From 7cea559c708a2e281c9d0726a0dabdb4272b9338 Mon Sep 17 00:00:00 2001 From: JinWang An Date: Tue, 5 Jan 2021 12:19:21 +0900 Subject: [PATCH] Imported Upstream version 2.4.2 --- .hgignore | 20 + .hgtags | 36 + CHANGES | 11 + Makefile | 2 +- PKG-INFO | 4 +- Pygments.egg-info/PKG-INFO | 4 +- Pygments.egg-info/SOURCES.txt | 559 +- bitbucket-pipelines.yml | 34 + doc/_build/doctrees/docs/api.doctree | Bin 98908 -> 0 bytes doc/_build/doctrees/docs/authors.doctree | Bin 53914 -> 0 bytes doc/_build/doctrees/docs/changelog.doctree | Bin 223187 -> 0 bytes doc/_build/doctrees/docs/cmdline.doctree | Bin 30155 -> 0 bytes .../doctrees/docs/filterdevelopment.doctree | Bin 10794 -> 0 bytes doc/_build/doctrees/docs/filters.doctree | Bin 37151 -> 0 bytes .../docs/formatterdevelopment.doctree | Bin 24773 -> 0 bytes doc/_build/doctrees/docs/formatters.doctree | Bin 180481 -> 0 bytes doc/_build/doctrees/docs/index.doctree | Bin 6175 -> 0 bytes doc/_build/doctrees/docs/integrate.doctree | Bin 9297 -> 0 bytes doc/_build/doctrees/docs/java.doctree | Bin 9248 -> 0 bytes .../doctrees/docs/lexerdevelopment.doctree | Bin 115064 -> 0 bytes doc/_build/doctrees/docs/lexers.doctree | Bin 1544109 -> 0 bytes doc/_build/doctrees/docs/moinmoin.doctree | Bin 8692 -> 0 bytes doc/_build/doctrees/docs/plugins.doctree | Bin 11635 -> 0 bytes doc/_build/doctrees/docs/quickstart.doctree | Bin 32348 -> 0 bytes doc/_build/doctrees/docs/rstdirective.doctree | Bin 5091 -> 0 bytes doc/_build/doctrees/docs/styles.doctree | Bin 43378 -> 0 bytes doc/_build/doctrees/docs/tokens.doctree | Bin 80284 -> 0 bytes doc/_build/doctrees/docs/unicode.doctree | Bin 13203 -> 0 bytes doc/_build/doctrees/download.doctree | Bin 9370 -> 0 bytes doc/_build/doctrees/environment.pickle | Bin 248922 -> 0 bytes doc/_build/doctrees/faq.doctree | Bin 41795 -> 0 bytes doc/_build/doctrees/index.doctree | Bin 12896 -> 0 bytes doc/_build/doctrees/languages.doctree | Bin 41169 -> 0 bytes doc/_build/html/.buildinfo | 4 - doc/_build/html/_sources/docs/api.rst.txt | 354 - doc/_build/html/_sources/docs/authors.rst.txt | 4 - .../html/_sources/docs/changelog.rst.txt | 1 - doc/_build/html/_sources/docs/cmdline.rst.txt | 166 - .../_sources/docs/filterdevelopment.rst.txt | 71 - doc/_build/html/_sources/docs/filters.rst.txt | 41 - .../docs/formatterdevelopment.rst.txt | 169 - .../html/_sources/docs/formatters.rst.txt | 48 - doc/_build/html/_sources/docs/index.rst.txt | 66 - .../html/_sources/docs/integrate.rst.txt | 40 - doc/_build/html/_sources/docs/java.rst.txt | 70 - .../_sources/docs/lexerdevelopment.rst.txt | 728 - doc/_build/html/_sources/docs/lexers.rst.txt | 69 - .../html/_sources/docs/moinmoin.rst.txt | 39 - doc/_build/html/_sources/docs/plugins.rst.txt | 93 - .../html/_sources/docs/quickstart.rst.txt | 205 - .../html/_sources/docs/rstdirective.rst.txt | 22 - doc/_build/html/_sources/docs/styles.rst.txt | 232 - doc/_build/html/_sources/docs/tokens.rst.txt | 372 - doc/_build/html/_sources/docs/unicode.rst.txt | 58 - doc/_build/html/_sources/download.rst.txt | 41 - doc/_build/html/_sources/faq.rst.txt | 139 - doc/_build/html/_sources/index.rst.txt | 54 - doc/_build/html/_sources/languages.rst.txt | 170 - doc/_build/html/_static/ajax-loader.gif | Bin 673 -> 0 bytes doc/_build/html/_static/basic.css | 748 - doc/_build/html/_static/bodybg.png | Bin 51903 -> 0 bytes doc/_build/html/_static/comment-bright.png | Bin 756 -> 0 bytes doc/_build/html/_static/comment-close.png | Bin 829 -> 0 bytes doc/_build/html/_static/comment.png | Bin 641 -> 0 bytes doc/_build/html/_static/docbg.png | Bin 61296 -> 0 bytes doc/_build/html/_static/doctools.js | 314 - .../html/_static/documentation_options.js | 10 - doc/_build/html/_static/down-pressed.png | Bin 222 -> 0 bytes doc/_build/html/_static/down.png | Bin 202 -> 0 bytes doc/_build/html/_static/favicon.ico | Bin 16958 -> 0 bytes doc/_build/html/_static/file.png | Bin 286 -> 0 bytes doc/_build/html/_static/jquery-3.2.1.js | 10253 -- doc/_build/html/_static/jquery.js | 4 - doc/_build/html/_static/language_data.js | 297 - doc/_build/html/_static/listitem.png | Bin 207 -> 0 bytes doc/_build/html/_static/logo.png | Bin 26933 -> 0 bytes doc/_build/html/_static/logo_new.png | Bin 40944 -> 0 bytes doc/_build/html/_static/logo_only.png | Bin 16424 -> 0 bytes doc/_build/html/_static/minus.png | Bin 90 -> 0 bytes doc/_build/html/_static/plus.png | Bin 90 -> 0 bytes doc/_build/html/_static/pocoo.png | Bin 2154 -> 0 bytes doc/_build/html/_static/pygments.css | 69 - doc/_build/html/_static/pygments14.css | 401 - doc/_build/html/_static/searchtools.js | 505 - doc/_build/html/_static/underscore-1.3.1.js | 999 - doc/_build/html/_static/underscore.js | 31 - doc/_build/html/_static/up-pressed.png | Bin 214 -> 0 bytes doc/_build/html/_static/up.png | Bin 203 -> 0 bytes doc/_build/html/_static/websupport.js | 808 - doc/_build/html/docs/api.html | 487 - doc/_build/html/docs/authors.html | 331 - doc/_build/html/docs/changelog.html | 1174 - doc/_build/html/docs/cmdline.html | 248 - doc/_build/html/docs/filterdevelopment.html | 164 - doc/_build/html/docs/filters.html | 295 - .../html/docs/formatterdevelopment.html | 249 - doc/_build/html/docs/formatters.html | 943 - doc/_build/html/docs/index.html | 156 - doc/_build/html/docs/integrate.html | 134 - doc/_build/html/docs/java.html | 163 - doc/_build/html/docs/lexerdevelopment.html | 733 - doc/_build/html/docs/lexers.html | 9981 -- doc/_build/html/docs/moinmoin.html | 133 - doc/_build/html/docs/plugins.html | 175 - doc/_build/html/docs/quickstart.html | 268 - doc/_build/html/docs/rstdirective.html | 113 - doc/_build/html/docs/styles.html | 340 - doc/_build/html/docs/tokens.html | 434 - doc/_build/html/docs/unicode.html | 149 - doc/_build/html/download.html | 157 - doc/_build/html/faq.html | 252 - doc/_build/html/genindex.html | 1656 - doc/_build/html/index.html | 160 - doc/_build/html/languages.html | 295 - doc/_build/html/objects.inv | Bin 4793 -> 0 bytes doc/_build/html/py-modindex.html | 801 - doc/_build/html/search.html | 126 - doc/_build/html/searchindex.js | 1 - doc/_themes/pygments14/layout.html | 2 +- .../pygments14/static/pygments14.css_t | 2 +- external/markdown-processor.py | 2 +- external/moin-parser.py | 2 +- external/rst-directive.py | 2 +- pygments/__init__.py | 4 +- pygments/cmdline.py | 4 +- pygments/console.py | 2 +- pygments/filter.py | 2 +- pygments/filters/__init__.py | 2 +- pygments/formatter.py | 2 +- pygments/formatters/__init__.py | 2 +- pygments/formatters/_mapping.py | 2 +- pygments/formatters/bbcode.py | 2 +- pygments/formatters/img.py | 2 +- pygments/formatters/irc.py | 2 +- pygments/formatters/latex.py | 2 +- pygments/formatters/other.py | 2 +- pygments/formatters/rtf.py | 2 +- pygments/formatters/svg.py | 2 +- pygments/formatters/terminal.py | 2 +- pygments/formatters/terminal256.py | 2 +- pygments/lexer.py | 2 +- pygments/lexers/__init__.py | 11 +- pygments/lexers/_asy_builtins.py | 2 +- pygments/lexers/_cl_builtins.py | 2 +- pygments/lexers/_cocoa_builtins.py | 2 +- pygments/lexers/_csound_builtins.py | 2 +- pygments/lexers/_lasso_builtins.py | 2 +- pygments/lexers/_lua_builtins.py | 2 +- pygments/lexers/_mql_builtins.py | 2 +- pygments/lexers/_openedge_builtins.py | 2 +- pygments/lexers/_php_builtins.py | 2 +- pygments/lexers/_postgres_builtins.py | 2 +- pygments/lexers/_scilab_builtins.py | 2 +- pygments/lexers/_sourcemod_builtins.py | 2 +- pygments/lexers/_stan_builtins.py | 2 +- pygments/lexers/_stata_builtins.py | 2 +- pygments/lexers/_tsql_builtins.py | 2 +- pygments/lexers/_vbscript_builtins.py | 5 +- pygments/lexers/_vim_builtins.py | 2 +- pygments/lexers/actionscript.py | 2 +- pygments/lexers/agile.py | 2 +- pygments/lexers/algebra.py | 2 +- pygments/lexers/ambient.py | 2 +- pygments/lexers/ampl.py | 2 +- pygments/lexers/apl.py | 2 +- pygments/lexers/archetype.py | 2 +- pygments/lexers/asm.py | 2 +- pygments/lexers/automation.py | 2 +- pygments/lexers/basic.py | 2 +- pygments/lexers/bibtex.py | 2 +- pygments/lexers/boa.py | 194 +- pygments/lexers/business.py | 2 +- pygments/lexers/c_cpp.py | 2 +- pygments/lexers/c_like.py | 28 +- pygments/lexers/capnproto.py | 2 +- pygments/lexers/chapel.py | 2 +- pygments/lexers/clean.py | 2 +- pygments/lexers/compiled.py | 2 +- pygments/lexers/configs.py | 2 +- pygments/lexers/console.py | 2 +- pygments/lexers/crystal.py | 2 +- pygments/lexers/csound.py | 2 +- pygments/lexers/css.py | 2 +- pygments/lexers/d.py | 2 +- pygments/lexers/dalvik.py | 2 +- pygments/lexers/data.py | 2 +- pygments/lexers/diff.py | 2 +- pygments/lexers/dotnet.py | 2 +- pygments/lexers/dsls.py | 2 +- pygments/lexers/dylan.py | 2 +- pygments/lexers/ecl.py | 2 +- pygments/lexers/eiffel.py | 2 +- pygments/lexers/elm.py | 2 +- pygments/lexers/erlang.py | 2 +- pygments/lexers/esoteric.py | 2 +- pygments/lexers/ezhil.py | 2 +- pygments/lexers/factor.py | 2 +- pygments/lexers/fantom.py | 2 +- pygments/lexers/felix.py | 2 +- pygments/lexers/floscript.py | 30 +- pygments/lexers/forth.py | 2 +- pygments/lexers/fortran.py | 2 +- pygments/lexers/foxpro.py | 2 +- pygments/lexers/freefem.py | 1719 +- pygments/lexers/functional.py | 2 +- pygments/lexers/go.py | 2 +- pygments/lexers/grammar_notation.py | 2 +- pygments/lexers/graph.py | 2 +- pygments/lexers/graphics.py | 2 +- pygments/lexers/haskell.py | 9 +- pygments/lexers/haxe.py | 2 +- pygments/lexers/hdl.py | 2 +- pygments/lexers/hexdump.py | 2 +- pygments/lexers/html.py | 2 +- pygments/lexers/idl.py | 2 +- pygments/lexers/igor.py | 2 +- pygments/lexers/inferno.py | 2 +- pygments/lexers/installers.py | 2 +- pygments/lexers/int_fiction.py | 2 +- pygments/lexers/iolang.py | 2 +- pygments/lexers/j.py | 2 +- pygments/lexers/javascript.py | 2 +- pygments/lexers/julia.py | 2 +- pygments/lexers/jvm.py | 2 +- pygments/lexers/lisp.py | 2 +- pygments/lexers/make.py | 2 +- pygments/lexers/markup.py | 2 +- pygments/lexers/math.py | 2 +- pygments/lexers/matlab.py | 2 +- pygments/lexers/ml.py | 2 +- pygments/lexers/modeling.py | 8 +- pygments/lexers/modula2.py | 2 +- pygments/lexers/monte.py | 2 +- pygments/lexers/ncl.py | 2 +- pygments/lexers/nimrod.py | 2 +- pygments/lexers/nit.py | 2 +- pygments/lexers/nix.py | 2 +- pygments/lexers/oberon.py | 2 +- pygments/lexers/objective.py | 2 +- pygments/lexers/ooc.py | 2 +- pygments/lexers/other.py | 2 +- pygments/lexers/parasail.py | 2 +- pygments/lexers/parsers.py | 2 +- pygments/lexers/pascal.py | 2 +- pygments/lexers/pawn.py | 2 +- pygments/lexers/perl.py | 2 +- pygments/lexers/php.py | 2 +- pygments/lexers/pony.py | 2 +- pygments/lexers/praat.py | 2 +- pygments/lexers/prolog.py | 2 +- pygments/lexers/python.py | 5 +- pygments/lexers/qvt.py | 2 +- pygments/lexers/r.py | 2 +- pygments/lexers/rdf.py | 2 +- pygments/lexers/rebol.py | 2 +- pygments/lexers/resource.py | 2 +- pygments/lexers/rnc.py | 2 +- pygments/lexers/roboconf.py | 2 +- pygments/lexers/robotframework.py | 2 +- pygments/lexers/ruby.py | 2 +- pygments/lexers/rust.py | 2 +- pygments/lexers/sas.py | 2 +- pygments/lexers/scripting.py | 2 +- pygments/lexers/sgf.py | 31 +- pygments/lexers/shell.py | 2 +- pygments/lexers/slash.py | 6 +- pygments/lexers/smalltalk.py | 2 +- pygments/lexers/smv.py | 2 +- pygments/lexers/snobol.py | 2 +- pygments/lexers/special.py | 2 +- pygments/lexers/sql.py | 2 +- pygments/lexers/stata.py | 2 +- pygments/lexers/supercollider.py | 2 +- pygments/lexers/tcl.py | 2 +- pygments/lexers/templates.py | 2 +- pygments/lexers/teraterm.py | 4 +- pygments/lexers/testing.py | 2 +- pygments/lexers/text.py | 2 +- pygments/lexers/textedit.py | 2 +- pygments/lexers/textfmts.py | 2 +- pygments/lexers/theorem.py | 2 +- pygments/lexers/trafficscript.py | 2 +- pygments/lexers/typoscript.py | 2 +- pygments/lexers/unicon.py | 96 +- pygments/lexers/urbi.py | 2 +- pygments/lexers/varnish.py | 2 +- pygments/lexers/verification.py | 2 +- pygments/lexers/web.py | 2 +- pygments/lexers/webmisc.py | 2 +- pygments/lexers/whiley.py | 2 +- pygments/lexers/x10.py | 2 +- pygments/lexers/xorg.py | 2 +- pygments/modeline.py | 2 +- pygments/plugin.py | 2 +- pygments/regexopt.py | 2 +- pygments/scanner.py | 2 +- pygments/sphinxext.py | 2 +- pygments/style.py | 2 +- pygments/styles/__init__.py | 2 +- pygments/styles/abap.py | 2 +- pygments/styles/algol.py | 2 +- pygments/styles/algol_nu.py | 2 +- pygments/styles/arduino.py | 6 +- pygments/styles/autumn.py | 2 +- pygments/styles/borland.py | 2 +- pygments/styles/bw.py | 2 +- pygments/styles/colorful.py | 2 +- pygments/styles/default.py | 2 +- pygments/styles/emacs.py | 2 +- pygments/styles/friendly.py | 2 +- pygments/styles/fruity.py | 2 +- pygments/styles/igor.py | 2 +- pygments/styles/lovelace.py | 2 +- pygments/styles/manni.py | 2 +- pygments/styles/monokai.py | 2 +- pygments/styles/murphy.py | 2 +- pygments/styles/native.py | 2 +- pygments/styles/paraiso_dark.py | 4 +- pygments/styles/paraiso_light.py | 4 +- pygments/styles/pastie.py | 2 +- pygments/styles/perldoc.py | 2 +- pygments/styles/rainbow_dash.py | 2 +- pygments/styles/rrt.py | 2 +- pygments/styles/sas.py | 2 +- pygments/styles/solarized.py | 7 +- pygments/styles/stata_dark.py | 2 +- pygments/styles/stata_light.py | 2 +- pygments/styles/tango.py | 2 +- pygments/styles/trac.py | 2 +- pygments/styles/vim.py | 2 +- pygments/styles/vs.py | 2 +- pygments/styles/xcode.py | 2 +- pygments/token.py | 2 +- pygments/unistring.py | 2 +- pygments/util.py | 2 +- requirements.txt | 5 + scripts/.release-checklist.swp | Bin 0 -> 12288 bytes scripts/check_sources.py | 4 +- scripts/debug_lexer.py | 2 +- scripts/find_error.py | 2 +- scripts/get_vimkw.py | 2 +- setup.py | 4 +- .../output/99_bottles_of_beer.chpl | 7195 + .../examplefiles/output/AcidStateAdvanced.hs | 9920 ++ tests/examplefiles/output/AlternatingGroup.mu | 7401 + tests/examplefiles/output/BOM.js | 2347 + tests/examplefiles/output/Blink.ino | 2657 + tests/examplefiles/output/CPDictionary.j | 9238 ++ tests/examplefiles/output/Charmci.ci | 2953 + tests/examplefiles/output/Config.in.cache | 36524 +++++ tests/examplefiles/output/Constants.mo | 7156 + tests/examplefiles/output/DancingSudoku.lhs | 17845 +++ tests/examplefiles/output/Deflate.fs | 19779 +++ tests/examplefiles/output/Error.pmod | 3243 + tests/examplefiles/output/Errors.scala | 2909 + tests/examplefiles/output/FakeFile.pike | 10315 ++ .../output/Get-CommandDefinitionHtml.ps1 | 4435 + tests/examplefiles/output/IPDispatchC.nc | 4013 + tests/examplefiles/output/IPDispatchP.nc | 17997 +++ tests/examplefiles/output/Intro.java | 64309 +++++++++ tests/examplefiles/output/Makefile | 29784 ++++ tests/examplefiles/output/Object.st | 74139 ++++++++++ tests/examplefiles/output/OrderedMap.hx | 14983 ++ tests/examplefiles/output/RoleQ.pm6 | 3556 + tests/examplefiles/output/SmallCheck.hs | 17352 +++ tests/examplefiles/output/Sorting.mod | 15587 +++ tests/examplefiles/output/StdGeneric.icl | 8966 ++ tests/examplefiles/output/Sudoku.lhs | 16349 +++ tests/examplefiles/output/abnf_example1.abnf | 3048 + tests/examplefiles/output/abnf_example2.abnf | 2525 + tests/examplefiles/output/addressbook.proto | 2989 + tests/examplefiles/output/ahcon.f | 8416 ++ tests/examplefiles/output/all.nit | 41326 ++++++ tests/examplefiles/output/antlr_ANTLRv3.g | 13193 ++ tests/examplefiles/output/antlr_throws | 2407 + tests/examplefiles/output/apache2.conf | 8250 ++ tests/examplefiles/output/as3_test.as | 5244 + tests/examplefiles/output/as3_test2.as | 3345 + tests/examplefiles/output/as3_test3.as | 2456 + tests/examplefiles/output/aspx-cs_example | 2775 + tests/examplefiles/output/autoit_submit.au3 | 2955 + tests/examplefiles/output/automake.mk | 2423 + tests/examplefiles/output/badcase.java | 2388 + tests/examplefiles/output/bigtest.nsi | 16333 +++ tests/examplefiles/output/bnf_example1.bnf | 2780 + tests/examplefiles/output/boot-9.scm | 37551 +++++ tests/examplefiles/output/ca65_example | 5623 + tests/examplefiles/output/capdl_example.cdl | 3392 + tests/examplefiles/output/cbmbas_example | 2592 + tests/examplefiles/output/cells.ps | 12372 ++ tests/examplefiles/output/ceval.c | 64537 +++++++++ tests/examplefiles/output/char.scala | 2367 + .../examplefiles/output/cheetah_example.html | 2468 + tests/examplefiles/output/classes.dylan | 5425 + .../output/clojure-weird-keywords.clj | 2469 + tests/examplefiles/output/condensed_ruby.rb | 3487 + tests/examplefiles/output/coq_RelationClasses | 16992 +++ tests/examplefiles/output/core.cljs | 3549 + tests/examplefiles/output/database.pytb | 2886 + tests/examplefiles/output/de.MoinMoin.po | 28107 ++++ tests/examplefiles/output/demo.ahk | 7344 + tests/examplefiles/output/demo.cfm | 3637 + tests/examplefiles/output/demo.css.in | 2460 + tests/examplefiles/output/demo.frt | 2426 + tests/examplefiles/output/demo.hbs | 3144 + tests/examplefiles/output/demo.js.in | 2464 + tests/examplefiles/output/demo.thrift | 2553 + tests/examplefiles/output/demo.xul.in | 2464 + .../output/django_sample.html+django | 5187 + tests/examplefiles/output/docker.docker | 2899 + tests/examplefiles/output/durexmania.aheui | 3526 + tests/examplefiles/output/dwarf.cw | 2669 + tests/examplefiles/output/eg_example1.eg | 6554 + tests/examplefiles/output/ember.handlebars | 3349 + tests/examplefiles/output/erl_session | 2558 + tests/examplefiles/output/es6.js | 3628 + .../examplefiles/output/escape_semicolon.clj | 2410 + tests/examplefiles/output/eval.rs | 25551 ++++ tests/examplefiles/output/evil_regex.js | 3832 + tests/examplefiles/output/example.Rd | 4009 + tests/examplefiles/output/example.als | 9276 ++ tests/examplefiles/output/example.bat | 8736 ++ tests/examplefiles/output/example.bbc | 8691 ++ tests/examplefiles/output/example.bc | 3903 + tests/examplefiles/output/example.boa | 2962 + tests/examplefiles/output/example.bug | 4291 + tests/examplefiles/output/example.c | 46594 ++++++ tests/examplefiles/output/example.ceylon | 4056 + tests/examplefiles/output/example.chai | 2665 + tests/examplefiles/output/example.clay | 3488 + tests/examplefiles/output/example.cls | 2727 + tests/examplefiles/output/example.cob | 71439 ++++++++++ tests/examplefiles/output/example.coffee | 2817 + tests/examplefiles/output/example.cpp | 56106 ++++++++ tests/examplefiles/output/example.e | 4645 + tests/examplefiles/output/example.elm | 3672 + tests/examplefiles/output/example.ezt | 2930 + tests/examplefiles/output/example.f90 | 2556 + tests/examplefiles/output/example.feature | 2965 + tests/examplefiles/output/example.fish | 13229 ++ tests/examplefiles/output/example.flo | 3345 + tests/examplefiles/output/example.gd | 2607 + tests/examplefiles/output/example.gi | 4175 + tests/examplefiles/output/example.golo | 4917 + tests/examplefiles/output/example.groovy | 2359 + tests/examplefiles/output/example.gs | 5792 + tests/examplefiles/output/example.gst | 2579 + tests/examplefiles/output/example.hlsl | 6509 + tests/examplefiles/output/example.hs | 3242 + tests/examplefiles/output/example.hx | 7826 ++ tests/examplefiles/output/example.i6t | 2614 + tests/examplefiles/output/example.i7x | 2873 + tests/examplefiles/output/example.icn | 7630 + tests/examplefiles/output/example.icon | 11712 ++ tests/examplefiles/output/example.j | 12334 ++ tests/examplefiles/output/example.jag | 4219 + tests/examplefiles/output/example.java | 2634 + tests/examplefiles/output/example.jcl | 3603 + tests/examplefiles/output/example.jsgf | 2998 + tests/examplefiles/output/example.jsonld | 2786 + tests/examplefiles/output/example.juttle | 3995 + tests/examplefiles/output/example.kal | 3364 + tests/examplefiles/output/example.kt | 3545 + tests/examplefiles/output/example.lagda | 2673 + tests/examplefiles/output/example.liquid | 3447 + tests/examplefiles/output/example.lua | 8635 ++ tests/examplefiles/output/example.ma | 2610 + tests/examplefiles/output/example.mac | 2449 + tests/examplefiles/output/example.md | 3560 + tests/examplefiles/output/example.monkey | 4976 + tests/examplefiles/output/example.moo | 3083 + tests/examplefiles/output/example.moon | 21599 +++ tests/examplefiles/output/example.mq4 | 7267 + tests/examplefiles/output/example.mqh | 4898 + tests/examplefiles/output/example.msc | 4087 + tests/examplefiles/output/example.ng2 | 2787 + tests/examplefiles/output/example.ni | 3269 + tests/examplefiles/output/example.nim | 32929 +++++ tests/examplefiles/output/example.nix | 6547 + tests/examplefiles/output/example.ns2 | 3320 + tests/examplefiles/output/example.pas | 78846 +++++++++++ tests/examplefiles/output/example.pcmk | 6257 + tests/examplefiles/output/example.pony | 2728 + tests/examplefiles/output/example.pp | 2494 + tests/examplefiles/output/example.praat | 9789 ++ tests/examplefiles/output/example.prg | 7764 + tests/examplefiles/output/example.rb | 37674 +++++ tests/examplefiles/output/example.red | 7214 + tests/examplefiles/output/example.reds | 5108 + tests/examplefiles/output/example.reg | 2586 + tests/examplefiles/output/example.rexx | 3723 + tests/examplefiles/output/example.rhtml | 18164 +++ tests/examplefiles/output/example.rkt | 16433 +++ tests/examplefiles/output/example.rpf | 2423 + tests/examplefiles/output/example.rts | 7270 + tests/examplefiles/output/example.sbl | 5260 + tests/examplefiles/output/example.scd | 4023 + tests/examplefiles/output/example.sgf | 5692 + tests/examplefiles/output/example.sh | 2746 + tests/examplefiles/output/example.sh-session | 2548 + .../examplefiles/output/example.shell-session | 3124 + tests/examplefiles/output/example.sl | 2371 + tests/examplefiles/output/example.slim | 2876 + tests/examplefiles/output/example.sls | 3606 + tests/examplefiles/output/example.sml | 8622 ++ tests/examplefiles/output/example.snobol | 2769 + tests/examplefiles/output/example.stan | 4763 + tests/examplefiles/output/example.tap | 3677 + tests/examplefiles/output/example.tasm | 13435 ++ tests/examplefiles/output/example.tea | 3031 + tests/examplefiles/output/example.tf | 4849 + tests/examplefiles/output/example.thy | 20664 +++ tests/examplefiles/output/example.todotxt | 2696 + tests/examplefiles/output/example.toml | 5381 + tests/examplefiles/output/example.ttl | 3435 + tests/examplefiles/output/example.u | 13444 ++ tests/examplefiles/output/example.u1 | 3989 + tests/examplefiles/output/example.vbs | 3513 + tests/examplefiles/output/example.weechatlog | 2467 + tests/examplefiles/output/example.whiley | 11609 ++ tests/examplefiles/output/example.x10 | 2606 + tests/examplefiles/output/example.xhtml | 10986 ++ tests/examplefiles/output/example.xtend | 3802 + tests/examplefiles/output/example.xtm | 26005 ++++ tests/examplefiles/output/example.yaml | 7466 + tests/examplefiles/output/example1.cadl | 5767 + tests/examplefiles/output/example2.aspx | 2828 + tests/examplefiles/output/example2.cpp | 2811 + tests/examplefiles/output/example2.msc | 3917 + tests/examplefiles/output/exampleScript.cfc | 6725 + tests/examplefiles/output/exampleTag.cfc | 2876 + tests/examplefiles/output/example_coq.v | 2431 + tests/examplefiles/output/example_elixir.ex | 8343 ++ tests/examplefiles/output/example_file.fy | 4882 + .../examplefiles/output/ezhil_primefactors.n | 4430 + tests/examplefiles/output/fennelview.fnl | 7319 + .../output/fibonacci.tokigun.aheui | 2463 + tests/examplefiles/output/firefox.mak | 10595 ++ tests/examplefiles/output/flatline_example | 7930 ++ tests/examplefiles/output/flipflop.sv | 2737 + tests/examplefiles/output/foo.sce | 2415 + tests/examplefiles/output/format.ml | 40779 ++++++ tests/examplefiles/output/freefem.edp | 4796 + tests/examplefiles/output/fucked_up.rb | 3624 + tests/examplefiles/output/function.mu | 2355 + tests/examplefiles/output/functional.rst | 14506 ++ tests/examplefiles/output/garcia-wachs.kk | 6740 + tests/examplefiles/output/genclass.clj | 15950 +++ .../output/genshi_example.xml+genshi | 8338 ++ .../output/genshitext_example.genshitext | 2965 + tests/examplefiles/output/glsl.frag | 2534 + tests/examplefiles/output/glsl.vert | 2684 + tests/examplefiles/output/grammar-test.p6 | 3009 + tests/examplefiles/output/guidance.smv | 29882 ++++ tests/examplefiles/output/hash_syntax.rb | 2503 + .../output/hello-world.puzzlet.aheui | 2903 + tests/examplefiles/output/hello.at | 2502 + tests/examplefiles/output/hello.golo | 2437 + tests/examplefiles/output/hello.lsl | 2537 + tests/examplefiles/output/hello.smali | 2927 + tests/examplefiles/output/hello.sp | 2439 + tests/examplefiles/output/hexdump_debugexe | 44889 ++++++ tests/examplefiles/output/hexdump_hd | 45496 ++++++ tests/examplefiles/output/hexdump_hexcat | 43766 ++++++ tests/examplefiles/output/hexdump_hexdump | 31883 +++++ tests/examplefiles/output/hexdump_od | 43649 ++++++ tests/examplefiles/output/hexdump_xxd | 35330 +++++ tests/examplefiles/output/html+php_faulty.php | 2343 + .../examplefiles/output/http_request_example | 2619 + .../examplefiles/output/http_response_example | 4007 + tests/examplefiles/output/hybris_File.hy | 6640 + tests/examplefiles/output/idl_sample.pro | 4087 + tests/examplefiles/output/iex_example | 2719 + tests/examplefiles/output/inet_pton6.dg | 5000 + tests/examplefiles/output/inform6_example | 15376 ++ tests/examplefiles/output/interp.scala | 2796 + tests/examplefiles/output/intro.ik | 3011 + tests/examplefiles/output/ints.php | 2536 + tests/examplefiles/output/intsyn.fun | 49049 +++++++ tests/examplefiles/output/intsyn.sig | 24734 ++++ tests/examplefiles/output/irb_heredoc | 2425 + tests/examplefiles/output/irc.lsp | 10740 ++ tests/examplefiles/output/java.properties | 2479 + tests/examplefiles/output/jbst_example1.jbst | 3009 + tests/examplefiles/output/jbst_example2.jbst | 3825 + .../examplefiles/output/jinjadesignerdoc.rst | 14938 ++ tests/examplefiles/output/json.lasso | 16277 +++ tests/examplefiles/output/json.lasso9 | 9799 ++ tests/examplefiles/output/language.hy | 5585 + .../examplefiles/output/lighttpd_config.conf | 2630 + tests/examplefiles/output/limbo.b | 19287 +++ tests/examplefiles/output/linecontinuation.py | 2868 + tests/examplefiles/output/livescript-demo.ls | 3638 + tests/examplefiles/output/logos_example.xm | 2795 + tests/examplefiles/output/ltmain.sh | 62855 +++++++++ tests/examplefiles/output/main.cmake | 3594 + tests/examplefiles/output/markdown.lsp | 19933 +++ tests/examplefiles/output/matlab_noreturn | 2400 + tests/examplefiles/output/matlab_sample | 3022 + .../output/matlabsession_sample.txt | 2695 + tests/examplefiles/output/metagrammar.treetop | 9471 ++ tests/examplefiles/output/minehunt.qml | 3771 + tests/examplefiles/output/minimal.ns2 | 2622 + .../output/modula2_test_cases.def | 9057 ++ .../output/moin_SyntaxReference.txt | 5835 + .../examplefiles/output/multiline_regexes.rb | 2703 + tests/examplefiles/output/nanomsg.intr | 4584 + tests/examplefiles/output/nasm_aoutso.asm | 4267 + tests/examplefiles/output/nasm_objexe.asm | 2803 + tests/examplefiles/output/nemerle_sample.n | 4536 + tests/examplefiles/output/nginx_nginx.conf | 3761 + tests/examplefiles/output/noexcept.cpp | 2882 + tests/examplefiles/output/numbers.c | 2598 + tests/examplefiles/output/objc_example.m | 6051 + tests/examplefiles/output/openedge_example | 3543 + tests/examplefiles/output/pacman.conf | 3651 + tests/examplefiles/output/pacman.ijs | 54160 +++++++ tests/examplefiles/output/pawn_example | 3037 + tests/examplefiles/output/perl_misc | 3578 + tests/examplefiles/output/perl_perl5db | 15828 +++ tests/examplefiles/output/perl_regex-delims | 4765 + tests/examplefiles/output/perlfunc.1 | 31641 +++++ tests/examplefiles/output/phpMyAdmin.spec | 15148 ++ tests/examplefiles/output/phpcomplete.vim | 18089 +++ .../examplefiles/output/pkgconfig_example.pc | 2687 + tests/examplefiles/output/plain.bst | 19236 +++ tests/examplefiles/output/pleac.in.rb | 31984 +++++ tests/examplefiles/output/postgresql_test.txt | 3817 + tests/examplefiles/output/pppoe.applescript | 2592 + tests/examplefiles/output/psql_session.txt | 4115 + tests/examplefiles/output/py3_test.txt | 2367 + tests/examplefiles/output/py3tb_test.py3tb | 2406 + .../examplefiles/output/pycon_ctrlc_traceback | 4142 + tests/examplefiles/output/pycon_test.pycon | 2530 + tests/examplefiles/output/pytb_test2.pytb | 2375 + tests/examplefiles/output/pytb_test3.pytb | 2387 + tests/examplefiles/output/python25-bsd.mak | 7842 ++ tests/examplefiles/output/qbasic_example | 2403 + tests/examplefiles/output/qsort.prolog | 2887 + .../output/r-console-transcript.Rout | 2714 + tests/examplefiles/output/r6rs-comments.scm | 2529 + tests/examplefiles/output/ragel-cpp_rlscan | 8650 ++ tests/examplefiles/output/ragel-cpp_snippet | 2377 + tests/examplefiles/output/regex.js | 2785 + tests/examplefiles/output/resourcebundle_demo | 2556 + tests/examplefiles/output/reversi.lsp | 12980 ++ tests/examplefiles/output/rnc_example.rnc | 3532 + tests/examplefiles/output/roboconf.graph | 3454 + tests/examplefiles/output/roboconf.instances | 2827 + .../output/robotframework_test.txt | 3046 + tests/examplefiles/output/rql-queries.rql | 6197 + tests/examplefiles/output/ruby_func_def.rb | 2587 + tests/examplefiles/output/sample.qvto | 2426 + tests/examplefiles/output/scilab.sci | 3045 + tests/examplefiles/output/scope.cirru | 8066 ++ .../examplefiles/output/session.dylan-console | 2575 + tests/examplefiles/output/sibling.prolog | 2790 + tests/examplefiles/output/simple.camkes | 2752 + tests/examplefiles/output/simple.croc | 17044 +++ tests/examplefiles/output/smarty_example.html | 5592 + tests/examplefiles/output/source.lgt | 11287 ++ tests/examplefiles/output/sources.list | 3471 + tests/examplefiles/output/sparql.rq | 4088 + tests/examplefiles/output/sphere.pov | 2676 + .../output/sqlite3.sqlite3-console | 2635 + tests/examplefiles/output/squid.conf | 3263 + tests/examplefiles/output/string.jl | 40824 ++++++ tests/examplefiles/output/string_delimiters.d | 2900 + tests/examplefiles/output/stripheredoc.sh | 2351 + tests/examplefiles/output/subr.el | 108780 +++++++++++++++ tests/examplefiles/output/swig_java.swg | 47781 +++++++ tests/examplefiles/output/swig_std_vector.i | 7279 + tests/examplefiles/output/tads3_example.t | 43669 ++++++ tests/examplefiles/output/teraterm.ttl | 3552 + tests/examplefiles/output/termcap | 50421 +++++++ tests/examplefiles/output/terminfo | 57950 ++++++++ tests/examplefiles/output/test-3.0.xq | 9135 ++ .../examplefiles/output/test-exist-update.xq | 4916 + tests/examplefiles/output/test.R | 5580 + tests/examplefiles/output/test.adb | 7792 ++ tests/examplefiles/output/test.adls | 10644 ++ tests/examplefiles/output/test.agda | 6572 + tests/examplefiles/output/test.apl | 3207 + tests/examplefiles/output/test.asy | 8860 ++ tests/examplefiles/output/test.awk | 4851 + tests/examplefiles/output/test.bb | 4953 + tests/examplefiles/output/test.bib | 3878 + tests/examplefiles/output/test.bmx | 5606 + tests/examplefiles/output/test.boo | 3294 + tests/examplefiles/output/test.bpl | 9716 ++ tests/examplefiles/output/test.bro | 9072 ++ tests/examplefiles/output/test.cadl | 2987 + tests/examplefiles/output/test.cr | 41876 ++++++ tests/examplefiles/output/test.cs | 10863 ++ tests/examplefiles/output/test.csd | 2528 + tests/examplefiles/output/test.css | 3497 + tests/examplefiles/output/test.cu | 3297 + tests/examplefiles/output/test.cyp | 6542 + tests/examplefiles/output/test.d | 5541 + tests/examplefiles/output/test.dart | 3028 + tests/examplefiles/output/test.dtd | 4114 + tests/examplefiles/output/test.ebnf | 3925 + tests/examplefiles/output/test.ec | 24650 ++++ tests/examplefiles/output/test.eh | 7793 ++ tests/examplefiles/output/test.erl | 7003 + tests/examplefiles/output/test.escript | 2391 + tests/examplefiles/output/test.evoque | 3304 + tests/examplefiles/output/test.fan | 36708 +++++ tests/examplefiles/output/test.flx | 4235 + tests/examplefiles/output/test.gdc | 2687 + tests/examplefiles/output/test.gradle | 2665 + tests/examplefiles/output/test.groovy | 4744 + tests/examplefiles/output/test.hsail | 4204 + tests/examplefiles/output/test.html | 43666 ++++++ tests/examplefiles/output/test.idr | 7345 + tests/examplefiles/output/test.ini | 2421 + tests/examplefiles/output/test.java | 16162 +++ tests/examplefiles/output/test.jsp | 3044 + tests/examplefiles/output/test.lean | 14745 ++ tests/examplefiles/output/test.maql | 3427 + tests/examplefiles/output/test.mask | 3369 + tests/examplefiles/output/test.mod | 7198 + tests/examplefiles/output/test.moo | 4466 + tests/examplefiles/output/test.mt | 2598 + tests/examplefiles/output/test.myt | 7456 + tests/examplefiles/output/test.ncl | 2756 + tests/examplefiles/output/test.nim | 4797 + tests/examplefiles/output/test.odin | 3369 + tests/examplefiles/output/test.opa | 2513 + tests/examplefiles/output/test.orc | 3941 + tests/examplefiles/output/test.p6 | 6498 + tests/examplefiles/output/test.pan | 3265 + tests/examplefiles/output/test.pas | 19457 +++ tests/examplefiles/output/test.php | 12646 ++ tests/examplefiles/output/test.pig | 4710 + tests/examplefiles/output/test.plot | 19980 +++ tests/examplefiles/output/test.ps1 | 5534 + tests/examplefiles/output/test.psl | 7183 + tests/examplefiles/output/test.pwn | 8522 ++ tests/examplefiles/output/test.pypylog | 88091 ++++++++++++ tests/examplefiles/output/test.r3 | 3936 + tests/examplefiles/output/test.rb | 6097 + tests/examplefiles/output/test.rhtml | 3613 + tests/examplefiles/output/test.rsl | 10040 ++ tests/examplefiles/output/test.scaml | 2607 + tests/examplefiles/output/test.sco | 2801 + tests/examplefiles/output/test.shen | 6813 + tests/examplefiles/output/test.sil | 9920 ++ tests/examplefiles/output/test.ssp | 2587 + tests/examplefiles/output/test.swift | 4976 + tests/examplefiles/output/test.tcsh | 16628 +++ tests/examplefiles/output/test.vb | 10698 ++ tests/examplefiles/output/test.vhdl | 7460 + tests/examplefiles/output/test.xqy | 8082 ++ tests/examplefiles/output/test.xsl | 2719 + tests/examplefiles/output/test.zep | 2887 + tests/examplefiles/output/test2.odin | 3288 + tests/examplefiles/output/test2.pypylog | 8919 ++ tests/examplefiles/output/test_basic.adls | 2776 + tests/examplefiles/output/truncated.pytb | 2870 + tests/examplefiles/output/tsql_example.sql | 3814 + tests/examplefiles/output/twig_test | 57123 ++++++++ tests/examplefiles/output/type.lisp | 39661 ++++++ tests/examplefiles/output/typescript_example | 3116 + tests/examplefiles/output/typoscript_example | 45956 ++++++ tests/examplefiles/output/underscore.coffee | 15822 +++ tests/examplefiles/output/unicode.applescript | 2436 + tests/examplefiles/output/unicode.go | 2523 + tests/examplefiles/output/unicode.js | 2428 + tests/examplefiles/output/unicodedoc.py | 2514 + tests/examplefiles/output/unix-io.lid | 2543 + tests/examplefiles/output/varnish.vcl | 7107 + tests/examplefiles/output/vbnet_test.bas | 3164 + tests/examplefiles/output/vctreestatus_hg | 2367 + tests/examplefiles/output/vimrc | 2745 + tests/examplefiles/output/vpath.mk | 2683 + .../examplefiles/output/wdiff_example1.wdiff | 6434 + .../examplefiles/output/wdiff_example3.wdiff | 2418 + .../examplefiles/output/webkit-transition.css | 2404 + tests/examplefiles/output/while.pov | 2640 + tests/examplefiles/output/wiki.factor | 9781 ++ tests/examplefiles/output/xml_example | 52730 +++++++ tests/examplefiles/output/xorg.conf | 2822 + tests/examplefiles/output/yahalom.cpsa | 4022 + tests/examplefiles/output/zmlrpc.f90 | 24042 ++++ tests/run.py | 2 +- tests/string_asserts.py | 2 +- tests/test_asm.py | 2 +- tests/test_basic_api.py | 2 +- tests/test_bibtex.py | 2 +- tests/test_cfm.py | 2 +- tests/test_clexer.py | 2 +- tests/test_cmdline.py | 2 +- tests/test_csound.py | 2 +- tests/test_examplefiles.py | 2 +- tests/test_html_formatter.py | 2 +- tests/test_inherit.py | 2 +- tests/test_irc_formatter.py | 2 +- tests/test_java.py | 2 +- tests/test_javascript.py | 2 +- tests/test_julia.py | 2 +- tests/test_kotlin.py | 2 +- tests/test_latex_formatter.py | 2 +- tests/test_lexers_other.py | 2 +- tests/test_markdown_lexer.py | 2 +- tests/test_modeline.py | 2 +- tests/test_objectiveclexer.py | 2 +- tests/test_perllexer.py | 2 +- tests/test_php.py | 2 +- tests/test_praat.py | 2 +- tests/test_properties.py | 2 +- tests/test_python.py | 2 +- tests/test_qbasiclexer.py | 2 +- tests/test_regexlexer.py | 2 +- tests/test_regexopt.py | 2 +- tests/test_rtf_formatter.py | 2 +- tests/test_ruby.py | 2 +- tests/test_shell.py | 2 +- tests/test_smarty.py | 2 +- tests/test_string_asserts.py | 2 +- tests/test_terminal_formatter.py | 2 +- tests/test_textfmts.py | 2 +- tests/test_token.py | 2 +- tests/test_unistring.py | 2 +- tests/test_using_api.py | 2 +- tests/test_util.py | 2 +- tox.ini | 7 + 827 files changed, 4329673 insertions(+), 39279 deletions(-) create mode 100644 .hgignore create mode 100644 .hgtags create mode 100644 bitbucket-pipelines.yml delete mode 100644 doc/_build/doctrees/docs/api.doctree delete mode 100644 doc/_build/doctrees/docs/authors.doctree delete mode 100644 doc/_build/doctrees/docs/changelog.doctree delete mode 100644 doc/_build/doctrees/docs/cmdline.doctree delete mode 100644 doc/_build/doctrees/docs/filterdevelopment.doctree delete mode 100644 doc/_build/doctrees/docs/filters.doctree delete mode 100644 doc/_build/doctrees/docs/formatterdevelopment.doctree delete mode 100644 doc/_build/doctrees/docs/formatters.doctree delete mode 100644 doc/_build/doctrees/docs/index.doctree delete mode 100644 doc/_build/doctrees/docs/integrate.doctree delete mode 100644 doc/_build/doctrees/docs/java.doctree delete mode 100644 doc/_build/doctrees/docs/lexerdevelopment.doctree delete mode 100644 doc/_build/doctrees/docs/lexers.doctree delete mode 100644 doc/_build/doctrees/docs/moinmoin.doctree delete mode 100644 doc/_build/doctrees/docs/plugins.doctree delete mode 100644 doc/_build/doctrees/docs/quickstart.doctree delete mode 100644 doc/_build/doctrees/docs/rstdirective.doctree delete mode 100644 doc/_build/doctrees/docs/styles.doctree delete mode 100644 doc/_build/doctrees/docs/tokens.doctree delete mode 100644 doc/_build/doctrees/docs/unicode.doctree delete mode 100644 doc/_build/doctrees/download.doctree delete mode 100644 doc/_build/doctrees/environment.pickle delete mode 100644 doc/_build/doctrees/faq.doctree delete mode 100644 doc/_build/doctrees/index.doctree delete mode 100644 doc/_build/doctrees/languages.doctree delete mode 100644 doc/_build/html/.buildinfo delete mode 100644 doc/_build/html/_sources/docs/api.rst.txt delete mode 100644 doc/_build/html/_sources/docs/authors.rst.txt delete mode 100644 doc/_build/html/_sources/docs/changelog.rst.txt delete mode 100644 doc/_build/html/_sources/docs/cmdline.rst.txt delete mode 100644 doc/_build/html/_sources/docs/filterdevelopment.rst.txt delete mode 100644 doc/_build/html/_sources/docs/filters.rst.txt delete mode 100644 doc/_build/html/_sources/docs/formatterdevelopment.rst.txt delete mode 100644 doc/_build/html/_sources/docs/formatters.rst.txt delete mode 100644 doc/_build/html/_sources/docs/index.rst.txt delete mode 100644 doc/_build/html/_sources/docs/integrate.rst.txt delete mode 100644 doc/_build/html/_sources/docs/java.rst.txt delete mode 100644 doc/_build/html/_sources/docs/lexerdevelopment.rst.txt delete mode 100644 doc/_build/html/_sources/docs/lexers.rst.txt delete mode 100644 doc/_build/html/_sources/docs/moinmoin.rst.txt delete mode 100644 doc/_build/html/_sources/docs/plugins.rst.txt delete mode 100644 doc/_build/html/_sources/docs/quickstart.rst.txt delete mode 100644 doc/_build/html/_sources/docs/rstdirective.rst.txt delete mode 100644 doc/_build/html/_sources/docs/styles.rst.txt delete mode 100644 doc/_build/html/_sources/docs/tokens.rst.txt delete mode 100644 doc/_build/html/_sources/docs/unicode.rst.txt delete mode 100644 doc/_build/html/_sources/download.rst.txt delete mode 100644 doc/_build/html/_sources/faq.rst.txt delete mode 100644 doc/_build/html/_sources/index.rst.txt delete mode 100644 doc/_build/html/_sources/languages.rst.txt delete mode 100644 doc/_build/html/_static/ajax-loader.gif delete mode 100644 doc/_build/html/_static/basic.css delete mode 100644 doc/_build/html/_static/bodybg.png delete mode 100644 doc/_build/html/_static/comment-bright.png delete mode 100644 doc/_build/html/_static/comment-close.png delete mode 100644 doc/_build/html/_static/comment.png delete mode 100644 doc/_build/html/_static/docbg.png delete mode 100644 doc/_build/html/_static/doctools.js delete mode 100644 doc/_build/html/_static/documentation_options.js delete mode 100644 doc/_build/html/_static/down-pressed.png delete mode 100644 doc/_build/html/_static/down.png delete mode 100644 doc/_build/html/_static/favicon.ico delete mode 100644 doc/_build/html/_static/file.png delete mode 100644 doc/_build/html/_static/jquery-3.2.1.js delete mode 100644 doc/_build/html/_static/jquery.js delete mode 100644 doc/_build/html/_static/language_data.js delete mode 100644 doc/_build/html/_static/listitem.png delete mode 100644 doc/_build/html/_static/logo.png delete mode 100644 doc/_build/html/_static/logo_new.png delete mode 100644 doc/_build/html/_static/logo_only.png delete mode 100644 doc/_build/html/_static/minus.png delete mode 100644 doc/_build/html/_static/plus.png delete mode 100644 doc/_build/html/_static/pocoo.png delete mode 100644 doc/_build/html/_static/pygments.css delete mode 100644 doc/_build/html/_static/pygments14.css delete mode 100644 doc/_build/html/_static/searchtools.js delete mode 100644 doc/_build/html/_static/underscore-1.3.1.js delete mode 100644 doc/_build/html/_static/underscore.js delete mode 100644 doc/_build/html/_static/up-pressed.png delete mode 100644 doc/_build/html/_static/up.png delete mode 100644 doc/_build/html/_static/websupport.js delete mode 100644 doc/_build/html/docs/api.html delete mode 100644 doc/_build/html/docs/authors.html delete mode 100644 doc/_build/html/docs/changelog.html delete mode 100644 doc/_build/html/docs/cmdline.html delete mode 100644 doc/_build/html/docs/filterdevelopment.html delete mode 100644 doc/_build/html/docs/filters.html delete mode 100644 doc/_build/html/docs/formatterdevelopment.html delete mode 100644 doc/_build/html/docs/formatters.html delete mode 100644 doc/_build/html/docs/index.html delete mode 100644 doc/_build/html/docs/integrate.html delete mode 100644 doc/_build/html/docs/java.html delete mode 100644 doc/_build/html/docs/lexerdevelopment.html delete mode 100644 doc/_build/html/docs/lexers.html delete mode 100644 doc/_build/html/docs/moinmoin.html delete mode 100644 doc/_build/html/docs/plugins.html delete mode 100644 doc/_build/html/docs/quickstart.html delete mode 100644 doc/_build/html/docs/rstdirective.html delete mode 100644 doc/_build/html/docs/styles.html delete mode 100644 doc/_build/html/docs/tokens.html delete mode 100644 doc/_build/html/docs/unicode.html delete mode 100644 doc/_build/html/download.html delete mode 100644 doc/_build/html/faq.html delete mode 100644 doc/_build/html/genindex.html delete mode 100644 doc/_build/html/index.html delete mode 100644 doc/_build/html/languages.html delete mode 100644 doc/_build/html/objects.inv delete mode 100644 doc/_build/html/py-modindex.html delete mode 100644 doc/_build/html/search.html delete mode 100644 doc/_build/html/searchindex.js create mode 100644 requirements.txt create mode 100644 scripts/.release-checklist.swp create mode 100644 tests/examplefiles/output/99_bottles_of_beer.chpl create mode 100644 tests/examplefiles/output/AcidStateAdvanced.hs create mode 100644 tests/examplefiles/output/AlternatingGroup.mu create mode 100644 tests/examplefiles/output/BOM.js create mode 100644 tests/examplefiles/output/Blink.ino create mode 100644 tests/examplefiles/output/CPDictionary.j create mode 100644 tests/examplefiles/output/Charmci.ci create mode 100644 tests/examplefiles/output/Config.in.cache create mode 100644 tests/examplefiles/output/Constants.mo create mode 100644 tests/examplefiles/output/DancingSudoku.lhs create mode 100644 tests/examplefiles/output/Deflate.fs create mode 100644 tests/examplefiles/output/Error.pmod create mode 100644 tests/examplefiles/output/Errors.scala create mode 100644 tests/examplefiles/output/FakeFile.pike create mode 100644 tests/examplefiles/output/Get-CommandDefinitionHtml.ps1 create mode 100644 tests/examplefiles/output/IPDispatchC.nc create mode 100644 tests/examplefiles/output/IPDispatchP.nc create mode 100644 tests/examplefiles/output/Intro.java create mode 100644 tests/examplefiles/output/Makefile create mode 100644 tests/examplefiles/output/Object.st create mode 100644 tests/examplefiles/output/OrderedMap.hx create mode 100644 tests/examplefiles/output/RoleQ.pm6 create mode 100644 tests/examplefiles/output/SmallCheck.hs create mode 100644 tests/examplefiles/output/Sorting.mod create mode 100644 tests/examplefiles/output/StdGeneric.icl create mode 100644 tests/examplefiles/output/Sudoku.lhs create mode 100644 tests/examplefiles/output/abnf_example1.abnf create mode 100644 tests/examplefiles/output/abnf_example2.abnf create mode 100644 tests/examplefiles/output/addressbook.proto create mode 100644 tests/examplefiles/output/ahcon.f create mode 100644 tests/examplefiles/output/all.nit create mode 100644 tests/examplefiles/output/antlr_ANTLRv3.g create mode 100644 tests/examplefiles/output/antlr_throws create mode 100644 tests/examplefiles/output/apache2.conf create mode 100644 tests/examplefiles/output/as3_test.as create mode 100644 tests/examplefiles/output/as3_test2.as create mode 100644 tests/examplefiles/output/as3_test3.as create mode 100644 tests/examplefiles/output/aspx-cs_example create mode 100644 tests/examplefiles/output/autoit_submit.au3 create mode 100644 tests/examplefiles/output/automake.mk create mode 100644 tests/examplefiles/output/badcase.java create mode 100644 tests/examplefiles/output/bigtest.nsi create mode 100644 tests/examplefiles/output/bnf_example1.bnf create mode 100644 tests/examplefiles/output/boot-9.scm create mode 100644 tests/examplefiles/output/ca65_example create mode 100644 tests/examplefiles/output/capdl_example.cdl create mode 100644 tests/examplefiles/output/cbmbas_example create mode 100644 tests/examplefiles/output/cells.ps create mode 100644 tests/examplefiles/output/ceval.c create mode 100644 tests/examplefiles/output/char.scala create mode 100644 tests/examplefiles/output/cheetah_example.html create mode 100644 tests/examplefiles/output/classes.dylan create mode 100644 tests/examplefiles/output/clojure-weird-keywords.clj create mode 100644 tests/examplefiles/output/condensed_ruby.rb create mode 100644 tests/examplefiles/output/coq_RelationClasses create mode 100644 tests/examplefiles/output/core.cljs create mode 100644 tests/examplefiles/output/database.pytb create mode 100644 tests/examplefiles/output/de.MoinMoin.po create mode 100644 tests/examplefiles/output/demo.ahk create mode 100644 tests/examplefiles/output/demo.cfm create mode 100644 tests/examplefiles/output/demo.css.in create mode 100644 tests/examplefiles/output/demo.frt create mode 100644 tests/examplefiles/output/demo.hbs create mode 100644 tests/examplefiles/output/demo.js.in create mode 100644 tests/examplefiles/output/demo.thrift create mode 100644 tests/examplefiles/output/demo.xul.in create mode 100644 tests/examplefiles/output/django_sample.html+django create mode 100644 tests/examplefiles/output/docker.docker create mode 100644 tests/examplefiles/output/durexmania.aheui create mode 100644 tests/examplefiles/output/dwarf.cw create mode 100644 tests/examplefiles/output/eg_example1.eg create mode 100644 tests/examplefiles/output/ember.handlebars create mode 100644 tests/examplefiles/output/erl_session create mode 100644 tests/examplefiles/output/es6.js create mode 100644 tests/examplefiles/output/escape_semicolon.clj create mode 100644 tests/examplefiles/output/eval.rs create mode 100644 tests/examplefiles/output/evil_regex.js create mode 100644 tests/examplefiles/output/example.Rd create mode 100644 tests/examplefiles/output/example.als create mode 100644 tests/examplefiles/output/example.bat create mode 100644 tests/examplefiles/output/example.bbc create mode 100644 tests/examplefiles/output/example.bc create mode 100644 tests/examplefiles/output/example.boa create mode 100644 tests/examplefiles/output/example.bug create mode 100644 tests/examplefiles/output/example.c create mode 100644 tests/examplefiles/output/example.ceylon create mode 100644 tests/examplefiles/output/example.chai create mode 100644 tests/examplefiles/output/example.clay create mode 100644 tests/examplefiles/output/example.cls create mode 100644 tests/examplefiles/output/example.cob create mode 100644 tests/examplefiles/output/example.coffee create mode 100644 tests/examplefiles/output/example.cpp create mode 100644 tests/examplefiles/output/example.e create mode 100644 tests/examplefiles/output/example.elm create mode 100644 tests/examplefiles/output/example.ezt create mode 100644 tests/examplefiles/output/example.f90 create mode 100644 tests/examplefiles/output/example.feature create mode 100644 tests/examplefiles/output/example.fish create mode 100644 tests/examplefiles/output/example.flo create mode 100644 tests/examplefiles/output/example.gd create mode 100644 tests/examplefiles/output/example.gi create mode 100644 tests/examplefiles/output/example.golo create mode 100644 tests/examplefiles/output/example.groovy create mode 100644 tests/examplefiles/output/example.gs create mode 100644 tests/examplefiles/output/example.gst create mode 100644 tests/examplefiles/output/example.hlsl create mode 100644 tests/examplefiles/output/example.hs create mode 100644 tests/examplefiles/output/example.hx create mode 100644 tests/examplefiles/output/example.i6t create mode 100644 tests/examplefiles/output/example.i7x create mode 100644 tests/examplefiles/output/example.icn create mode 100644 tests/examplefiles/output/example.icon create mode 100644 tests/examplefiles/output/example.j create mode 100644 tests/examplefiles/output/example.jag create mode 100644 tests/examplefiles/output/example.java create mode 100644 tests/examplefiles/output/example.jcl create mode 100644 tests/examplefiles/output/example.jsgf create mode 100644 tests/examplefiles/output/example.jsonld create mode 100644 tests/examplefiles/output/example.juttle create mode 100644 tests/examplefiles/output/example.kal create mode 100644 tests/examplefiles/output/example.kt create mode 100644 tests/examplefiles/output/example.lagda create mode 100644 tests/examplefiles/output/example.liquid create mode 100644 tests/examplefiles/output/example.lua create mode 100644 tests/examplefiles/output/example.ma create mode 100644 tests/examplefiles/output/example.mac create mode 100644 tests/examplefiles/output/example.md create mode 100644 tests/examplefiles/output/example.monkey create mode 100644 tests/examplefiles/output/example.moo create mode 100644 tests/examplefiles/output/example.moon create mode 100644 tests/examplefiles/output/example.mq4 create mode 100644 tests/examplefiles/output/example.mqh create mode 100644 tests/examplefiles/output/example.msc create mode 100644 tests/examplefiles/output/example.ng2 create mode 100644 tests/examplefiles/output/example.ni create mode 100644 tests/examplefiles/output/example.nim create mode 100644 tests/examplefiles/output/example.nix create mode 100644 tests/examplefiles/output/example.ns2 create mode 100644 tests/examplefiles/output/example.pas create mode 100644 tests/examplefiles/output/example.pcmk create mode 100644 tests/examplefiles/output/example.pony create mode 100644 tests/examplefiles/output/example.pp create mode 100644 tests/examplefiles/output/example.praat create mode 100644 tests/examplefiles/output/example.prg create mode 100644 tests/examplefiles/output/example.rb create mode 100644 tests/examplefiles/output/example.red create mode 100644 tests/examplefiles/output/example.reds create mode 100644 tests/examplefiles/output/example.reg create mode 100644 tests/examplefiles/output/example.rexx create mode 100644 tests/examplefiles/output/example.rhtml create mode 100644 tests/examplefiles/output/example.rkt create mode 100644 tests/examplefiles/output/example.rpf create mode 100644 tests/examplefiles/output/example.rts create mode 100644 tests/examplefiles/output/example.sbl create mode 100644 tests/examplefiles/output/example.scd create mode 100644 tests/examplefiles/output/example.sgf create mode 100644 tests/examplefiles/output/example.sh create mode 100644 tests/examplefiles/output/example.sh-session create mode 100644 tests/examplefiles/output/example.shell-session create mode 100644 tests/examplefiles/output/example.sl create mode 100644 tests/examplefiles/output/example.slim create mode 100644 tests/examplefiles/output/example.sls create mode 100644 tests/examplefiles/output/example.sml create mode 100644 tests/examplefiles/output/example.snobol create mode 100644 tests/examplefiles/output/example.stan create mode 100644 tests/examplefiles/output/example.tap create mode 100644 tests/examplefiles/output/example.tasm create mode 100644 tests/examplefiles/output/example.tea create mode 100644 tests/examplefiles/output/example.tf create mode 100644 tests/examplefiles/output/example.thy create mode 100644 tests/examplefiles/output/example.todotxt create mode 100644 tests/examplefiles/output/example.toml create mode 100644 tests/examplefiles/output/example.ttl create mode 100644 tests/examplefiles/output/example.u create mode 100644 tests/examplefiles/output/example.u1 create mode 100644 tests/examplefiles/output/example.vbs create mode 100644 tests/examplefiles/output/example.weechatlog create mode 100644 tests/examplefiles/output/example.whiley create mode 100644 tests/examplefiles/output/example.x10 create mode 100644 tests/examplefiles/output/example.xhtml create mode 100644 tests/examplefiles/output/example.xtend create mode 100644 tests/examplefiles/output/example.xtm create mode 100644 tests/examplefiles/output/example.yaml create mode 100644 tests/examplefiles/output/example1.cadl create mode 100644 tests/examplefiles/output/example2.aspx create mode 100644 tests/examplefiles/output/example2.cpp create mode 100644 tests/examplefiles/output/example2.msc create mode 100644 tests/examplefiles/output/exampleScript.cfc create mode 100644 tests/examplefiles/output/exampleTag.cfc create mode 100644 tests/examplefiles/output/example_coq.v create mode 100644 tests/examplefiles/output/example_elixir.ex create mode 100644 tests/examplefiles/output/example_file.fy create mode 100644 tests/examplefiles/output/ezhil_primefactors.n create mode 100644 tests/examplefiles/output/fennelview.fnl create mode 100644 tests/examplefiles/output/fibonacci.tokigun.aheui create mode 100644 tests/examplefiles/output/firefox.mak create mode 100644 tests/examplefiles/output/flatline_example create mode 100644 tests/examplefiles/output/flipflop.sv create mode 100644 tests/examplefiles/output/foo.sce create mode 100644 tests/examplefiles/output/format.ml create mode 100644 tests/examplefiles/output/freefem.edp create mode 100644 tests/examplefiles/output/fucked_up.rb create mode 100644 tests/examplefiles/output/function.mu create mode 100644 tests/examplefiles/output/functional.rst create mode 100644 tests/examplefiles/output/garcia-wachs.kk create mode 100644 tests/examplefiles/output/genclass.clj create mode 100644 tests/examplefiles/output/genshi_example.xml+genshi create mode 100644 tests/examplefiles/output/genshitext_example.genshitext create mode 100644 tests/examplefiles/output/glsl.frag create mode 100644 tests/examplefiles/output/glsl.vert create mode 100644 tests/examplefiles/output/grammar-test.p6 create mode 100644 tests/examplefiles/output/guidance.smv create mode 100644 tests/examplefiles/output/hash_syntax.rb create mode 100644 tests/examplefiles/output/hello-world.puzzlet.aheui create mode 100644 tests/examplefiles/output/hello.at create mode 100644 tests/examplefiles/output/hello.golo create mode 100644 tests/examplefiles/output/hello.lsl create mode 100644 tests/examplefiles/output/hello.smali create mode 100644 tests/examplefiles/output/hello.sp create mode 100644 tests/examplefiles/output/hexdump_debugexe create mode 100644 tests/examplefiles/output/hexdump_hd create mode 100644 tests/examplefiles/output/hexdump_hexcat create mode 100644 tests/examplefiles/output/hexdump_hexdump create mode 100644 tests/examplefiles/output/hexdump_od create mode 100644 tests/examplefiles/output/hexdump_xxd create mode 100644 tests/examplefiles/output/html+php_faulty.php create mode 100644 tests/examplefiles/output/http_request_example create mode 100644 tests/examplefiles/output/http_response_example create mode 100644 tests/examplefiles/output/hybris_File.hy create mode 100644 tests/examplefiles/output/idl_sample.pro create mode 100644 tests/examplefiles/output/iex_example create mode 100644 tests/examplefiles/output/inet_pton6.dg create mode 100644 tests/examplefiles/output/inform6_example create mode 100644 tests/examplefiles/output/interp.scala create mode 100644 tests/examplefiles/output/intro.ik create mode 100644 tests/examplefiles/output/ints.php create mode 100644 tests/examplefiles/output/intsyn.fun create mode 100644 tests/examplefiles/output/intsyn.sig create mode 100644 tests/examplefiles/output/irb_heredoc create mode 100644 tests/examplefiles/output/irc.lsp create mode 100644 tests/examplefiles/output/java.properties create mode 100644 tests/examplefiles/output/jbst_example1.jbst create mode 100644 tests/examplefiles/output/jbst_example2.jbst create mode 100644 tests/examplefiles/output/jinjadesignerdoc.rst create mode 100644 tests/examplefiles/output/json.lasso create mode 100644 tests/examplefiles/output/json.lasso9 create mode 100644 tests/examplefiles/output/language.hy create mode 100644 tests/examplefiles/output/lighttpd_config.conf create mode 100644 tests/examplefiles/output/limbo.b create mode 100644 tests/examplefiles/output/linecontinuation.py create mode 100644 tests/examplefiles/output/livescript-demo.ls create mode 100644 tests/examplefiles/output/logos_example.xm create mode 100644 tests/examplefiles/output/ltmain.sh create mode 100644 tests/examplefiles/output/main.cmake create mode 100644 tests/examplefiles/output/markdown.lsp create mode 100644 tests/examplefiles/output/matlab_noreturn create mode 100644 tests/examplefiles/output/matlab_sample create mode 100644 tests/examplefiles/output/matlabsession_sample.txt create mode 100644 tests/examplefiles/output/metagrammar.treetop create mode 100644 tests/examplefiles/output/minehunt.qml create mode 100644 tests/examplefiles/output/minimal.ns2 create mode 100644 tests/examplefiles/output/modula2_test_cases.def create mode 100644 tests/examplefiles/output/moin_SyntaxReference.txt create mode 100644 tests/examplefiles/output/multiline_regexes.rb create mode 100644 tests/examplefiles/output/nanomsg.intr create mode 100644 tests/examplefiles/output/nasm_aoutso.asm create mode 100644 tests/examplefiles/output/nasm_objexe.asm create mode 100644 tests/examplefiles/output/nemerle_sample.n create mode 100644 tests/examplefiles/output/nginx_nginx.conf create mode 100644 tests/examplefiles/output/noexcept.cpp create mode 100644 tests/examplefiles/output/numbers.c create mode 100644 tests/examplefiles/output/objc_example.m create mode 100644 tests/examplefiles/output/openedge_example create mode 100644 tests/examplefiles/output/pacman.conf create mode 100644 tests/examplefiles/output/pacman.ijs create mode 100644 tests/examplefiles/output/pawn_example create mode 100644 tests/examplefiles/output/perl_misc create mode 100644 tests/examplefiles/output/perl_perl5db create mode 100644 tests/examplefiles/output/perl_regex-delims create mode 100644 tests/examplefiles/output/perlfunc.1 create mode 100644 tests/examplefiles/output/phpMyAdmin.spec create mode 100644 tests/examplefiles/output/phpcomplete.vim create mode 100644 tests/examplefiles/output/pkgconfig_example.pc create mode 100644 tests/examplefiles/output/plain.bst create mode 100644 tests/examplefiles/output/pleac.in.rb create mode 100644 tests/examplefiles/output/postgresql_test.txt create mode 100644 tests/examplefiles/output/pppoe.applescript create mode 100644 tests/examplefiles/output/psql_session.txt create mode 100644 tests/examplefiles/output/py3_test.txt create mode 100644 tests/examplefiles/output/py3tb_test.py3tb create mode 100644 tests/examplefiles/output/pycon_ctrlc_traceback create mode 100644 tests/examplefiles/output/pycon_test.pycon create mode 100644 tests/examplefiles/output/pytb_test2.pytb create mode 100644 tests/examplefiles/output/pytb_test3.pytb create mode 100644 tests/examplefiles/output/python25-bsd.mak create mode 100644 tests/examplefiles/output/qbasic_example create mode 100644 tests/examplefiles/output/qsort.prolog create mode 100644 tests/examplefiles/output/r-console-transcript.Rout create mode 100644 tests/examplefiles/output/r6rs-comments.scm create mode 100644 tests/examplefiles/output/ragel-cpp_rlscan create mode 100644 tests/examplefiles/output/ragel-cpp_snippet create mode 100644 tests/examplefiles/output/regex.js create mode 100644 tests/examplefiles/output/resourcebundle_demo create mode 100644 tests/examplefiles/output/reversi.lsp create mode 100644 tests/examplefiles/output/rnc_example.rnc create mode 100644 tests/examplefiles/output/roboconf.graph create mode 100644 tests/examplefiles/output/roboconf.instances create mode 100644 tests/examplefiles/output/robotframework_test.txt create mode 100644 tests/examplefiles/output/rql-queries.rql create mode 100644 tests/examplefiles/output/ruby_func_def.rb create mode 100644 tests/examplefiles/output/sample.qvto create mode 100644 tests/examplefiles/output/scilab.sci create mode 100644 tests/examplefiles/output/scope.cirru create mode 100644 tests/examplefiles/output/session.dylan-console create mode 100644 tests/examplefiles/output/sibling.prolog create mode 100644 tests/examplefiles/output/simple.camkes create mode 100644 tests/examplefiles/output/simple.croc create mode 100644 tests/examplefiles/output/smarty_example.html create mode 100644 tests/examplefiles/output/source.lgt create mode 100644 tests/examplefiles/output/sources.list create mode 100644 tests/examplefiles/output/sparql.rq create mode 100644 tests/examplefiles/output/sphere.pov create mode 100644 tests/examplefiles/output/sqlite3.sqlite3-console create mode 100644 tests/examplefiles/output/squid.conf create mode 100644 tests/examplefiles/output/string.jl create mode 100644 tests/examplefiles/output/string_delimiters.d create mode 100644 tests/examplefiles/output/stripheredoc.sh create mode 100644 tests/examplefiles/output/subr.el create mode 100644 tests/examplefiles/output/swig_java.swg create mode 100644 tests/examplefiles/output/swig_std_vector.i create mode 100644 tests/examplefiles/output/tads3_example.t create mode 100644 tests/examplefiles/output/teraterm.ttl create mode 100644 tests/examplefiles/output/termcap create mode 100644 tests/examplefiles/output/terminfo create mode 100644 tests/examplefiles/output/test-3.0.xq create mode 100644 tests/examplefiles/output/test-exist-update.xq create mode 100644 tests/examplefiles/output/test.R create mode 100644 tests/examplefiles/output/test.adb create mode 100644 tests/examplefiles/output/test.adls create mode 100644 tests/examplefiles/output/test.agda create mode 100644 tests/examplefiles/output/test.apl create mode 100644 tests/examplefiles/output/test.asy create mode 100644 tests/examplefiles/output/test.awk create mode 100644 tests/examplefiles/output/test.bb create mode 100644 tests/examplefiles/output/test.bib create mode 100644 tests/examplefiles/output/test.bmx create mode 100644 tests/examplefiles/output/test.boo create mode 100644 tests/examplefiles/output/test.bpl create mode 100644 tests/examplefiles/output/test.bro create mode 100644 tests/examplefiles/output/test.cadl create mode 100644 tests/examplefiles/output/test.cr create mode 100644 tests/examplefiles/output/test.cs create mode 100644 tests/examplefiles/output/test.csd create mode 100644 tests/examplefiles/output/test.css create mode 100644 tests/examplefiles/output/test.cu create mode 100644 tests/examplefiles/output/test.cyp create mode 100644 tests/examplefiles/output/test.d create mode 100644 tests/examplefiles/output/test.dart create mode 100644 tests/examplefiles/output/test.dtd create mode 100644 tests/examplefiles/output/test.ebnf create mode 100644 tests/examplefiles/output/test.ec create mode 100644 tests/examplefiles/output/test.eh create mode 100644 tests/examplefiles/output/test.erl create mode 100644 tests/examplefiles/output/test.escript create mode 100644 tests/examplefiles/output/test.evoque create mode 100644 tests/examplefiles/output/test.fan create mode 100644 tests/examplefiles/output/test.flx create mode 100644 tests/examplefiles/output/test.gdc create mode 100644 tests/examplefiles/output/test.gradle create mode 100644 tests/examplefiles/output/test.groovy create mode 100644 tests/examplefiles/output/test.hsail create mode 100644 tests/examplefiles/output/test.html create mode 100644 tests/examplefiles/output/test.idr create mode 100644 tests/examplefiles/output/test.ini create mode 100644 tests/examplefiles/output/test.java create mode 100644 tests/examplefiles/output/test.jsp create mode 100644 tests/examplefiles/output/test.lean create mode 100644 tests/examplefiles/output/test.maql create mode 100644 tests/examplefiles/output/test.mask create mode 100644 tests/examplefiles/output/test.mod create mode 100644 tests/examplefiles/output/test.moo create mode 100644 tests/examplefiles/output/test.mt create mode 100644 tests/examplefiles/output/test.myt create mode 100644 tests/examplefiles/output/test.ncl create mode 100644 tests/examplefiles/output/test.nim create mode 100644 tests/examplefiles/output/test.odin create mode 100644 tests/examplefiles/output/test.opa create mode 100644 tests/examplefiles/output/test.orc create mode 100644 tests/examplefiles/output/test.p6 create mode 100644 tests/examplefiles/output/test.pan create mode 100644 tests/examplefiles/output/test.pas create mode 100644 tests/examplefiles/output/test.php create mode 100644 tests/examplefiles/output/test.pig create mode 100644 tests/examplefiles/output/test.plot create mode 100644 tests/examplefiles/output/test.ps1 create mode 100644 tests/examplefiles/output/test.psl create mode 100644 tests/examplefiles/output/test.pwn create mode 100644 tests/examplefiles/output/test.pypylog create mode 100644 tests/examplefiles/output/test.r3 create mode 100644 tests/examplefiles/output/test.rb create mode 100644 tests/examplefiles/output/test.rhtml create mode 100644 tests/examplefiles/output/test.rsl create mode 100644 tests/examplefiles/output/test.scaml create mode 100644 tests/examplefiles/output/test.sco create mode 100644 tests/examplefiles/output/test.shen create mode 100644 tests/examplefiles/output/test.sil create mode 100644 tests/examplefiles/output/test.ssp create mode 100644 tests/examplefiles/output/test.swift create mode 100644 tests/examplefiles/output/test.tcsh create mode 100644 tests/examplefiles/output/test.vb create mode 100644 tests/examplefiles/output/test.vhdl create mode 100644 tests/examplefiles/output/test.xqy create mode 100644 tests/examplefiles/output/test.xsl create mode 100644 tests/examplefiles/output/test.zep create mode 100644 tests/examplefiles/output/test2.odin create mode 100644 tests/examplefiles/output/test2.pypylog create mode 100644 tests/examplefiles/output/test_basic.adls create mode 100644 tests/examplefiles/output/truncated.pytb create mode 100644 tests/examplefiles/output/tsql_example.sql create mode 100644 tests/examplefiles/output/twig_test create mode 100644 tests/examplefiles/output/type.lisp create mode 100644 tests/examplefiles/output/typescript_example create mode 100644 tests/examplefiles/output/typoscript_example create mode 100644 tests/examplefiles/output/underscore.coffee create mode 100644 tests/examplefiles/output/unicode.applescript create mode 100644 tests/examplefiles/output/unicode.go create mode 100644 tests/examplefiles/output/unicode.js create mode 100644 tests/examplefiles/output/unicodedoc.py create mode 100644 tests/examplefiles/output/unix-io.lid create mode 100644 tests/examplefiles/output/varnish.vcl create mode 100644 tests/examplefiles/output/vbnet_test.bas create mode 100644 tests/examplefiles/output/vctreestatus_hg create mode 100644 tests/examplefiles/output/vimrc create mode 100644 tests/examplefiles/output/vpath.mk create mode 100644 tests/examplefiles/output/wdiff_example1.wdiff create mode 100644 tests/examplefiles/output/wdiff_example3.wdiff create mode 100644 tests/examplefiles/output/webkit-transition.css create mode 100644 tests/examplefiles/output/while.pov create mode 100644 tests/examplefiles/output/wiki.factor create mode 100644 tests/examplefiles/output/xml_example create mode 100644 tests/examplefiles/output/xorg.conf create mode 100644 tests/examplefiles/output/yahalom.cpsa create mode 100644 tests/examplefiles/output/zmlrpc.f90 create mode 100644 tox.ini diff --git a/.hgignore b/.hgignore new file mode 100644 index 0000000..b564df8 --- /dev/null +++ b/.hgignore @@ -0,0 +1,20 @@ +syntax: glob +*.egg +*.pyc +*.pyo +.*.sw[op] +.idea/ +.ropeproject +.project +.tags +.tox +.cache/ +Pygments.egg-info/* +TAGS +build/* +dist/* +doc/_build +TAGS +tests/.coverage +tests/cover +tests/examplefiles/output diff --git a/.hgtags b/.hgtags new file mode 100644 index 0000000..27ce430 --- /dev/null +++ b/.hgtags @@ -0,0 +1,36 @@ +634420aa4221cc1eb2b3753bd571166bd9e611d4 0.9 +942ecbb5c84ca5d57ae82f5697775973f4e12717 0.10 +63632d0340958d891176db20fe9a32a56abcd5ea 0.11 +13834ec94d2c5a90a68bc2c2a327abd962c486bc 0.11.1 +a5748745272afffd725570e068a560d46e28dc1f 1.0 +5a794a620dc711a219722a7af94d9d2e95cda26d 1.1 +dd81c35efd95292de4965153c66c8bbfe435f1c4 1.1.1 +e7691aa4f473a2cdaa2e5b7bfed8aec196719aca 0.5.1 +6f53364d63ddb8bd9532bb6ea402e3af05275b03 0.5 +11efe99c11e601071c3a77910b9fca769de66fbf 0.6 +99df0a7404d168b05626ffced6fd16edcf58c145 0.7 +d0b08fd569d3d9dafec4c045a7d8876442b3ef64 0.7.1 +1054522d1dda9c7899516ead3e65e5e363fdf30d 0.8 +066e56d8f5caa31e15386fff6f938bedd85a8732 0.8.1 +bae0833cae75e5a641abe3c4b430fa384cd9d258 1.2 +f6e5acee4f761696676e05a9112c91a5a5670b49 1.2.1 +580c5ce755486bc92c79c50f80cfc79924e15140 1.2.2 +c62867700c9e98cc2988c62f298ec54cee9b6927 1.3 +3a3846c2503db85bb70a243c8bc702629c4bce57 1.3.1 +8ad6d35dd2ab0530a1e2c088ab7fe0e00426b5f9 1.4 +eff3aee4abff2b72564ddfde77fcc82adbba52ad 1.5 +2c262bfc66b05a8aecc1109c3acc5b9447a5213c 1.6rc1 +7c962dcb484cb73394aec7f41709940340dc8a9c 1.6 +da509a68ea620bbb8ee3f5d5cf7761375d8f4451 2.0rc1 +ed3206a773e9cb90a0edeabee8ef6b56b5b9a53c 2.0 +94e1e056c92d97e3a54759f9216e8deff22efbdd 2.0.1 +142a870bf0f1822414649ae26f433b112a5c92d5 2.0.2 +34530db252d35d7ef57a8dbb9fce7bcc46f6ba6b 2.1 +2935c3a59672e8ae74ffb7ea66ea6567f49782f6 2.1.1 +8e7ebc56153cf899067333bff4f15ae98758a2e1 2.1.2 +88527db663dce0729c2cd6e3bc2f3c657ae39254 2.1.3 +cc861d7ba005b8bc91829210e7c4ee0eb6580434 2.2.0 +9c8cab961cde0a179b29e2de90946bf720a463f6 2.3.0 +f3f550c25fe0caba1cb725dd1994363c003d97f2 2.3.1 +88f01f09ab5e2e4689ac0daa287843e3820774fa 2.4.0 +d13e2dc5bb057b45c7998b68188ed57e63e23a73 2.4.1 diff --git a/CHANGES b/CHANGES index 2ecbb94..6822bf9 100644 --- a/CHANGES +++ b/CHANGES @@ -6,6 +6,14 @@ Issue numbers refer to the tracker at pull request numbers to the requests at . +Version 2.4.2 +------------- +(released May 28, 2019) + +- Fix encoding error when guessing lexer with given ``encoding`` option + (#1438) + + Version 2.4.1 ------------- (released May 24, 2019) @@ -23,6 +31,7 @@ Version 2.4.1 - Fix F# lexer name (PR#709) - Fix ``TerminalFormatter`` using bold for bright text (#1480) + Version 2.4.0 ------------- (released May 8, 2019) @@ -72,6 +81,7 @@ Version 2.4.0 - TypoScript uses ``.typoscript`` now (#1498) - Updated Trove classifiers and ``pip`` requirements (PR#799) + Version 2.3.1 ------------- (released Dec 16, 2018) @@ -96,6 +106,7 @@ Version 2.3.1 - Fix invalid string escape sequences - Fix `FutureWarning` introduced by regex changes in Python 3.7 + Version 2.3.0 ------------- (released Nov 25, 2018) diff --git a/Makefile b/Makefile index 878b94b..2fcb832 100644 --- a/Makefile +++ b/Makefile @@ -4,7 +4,7 @@ # # Combines scripts for common tasks. # -# :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. +# :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. # :license: BSD, see LICENSE for details. # diff --git a/PKG-INFO b/PKG-INFO index 9e2824e..17dbe83 100644 --- a/PKG-INFO +++ b/PKG-INFO @@ -1,6 +1,6 @@ Metadata-Version: 1.2 Name: Pygments -Version: 2.4.1 +Version: 2.4.2 Summary: Pygments is a syntax highlighting package written in Python. Home-page: http://pygments.org/ Author: Georg Brandl @@ -22,7 +22,7 @@ Description: * a number of output formats, presently HTML, LaTeX, RTF, SVG, all image formats that PIL supports and ANSI sequences * it is usable as a command-line tool and as a library - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. Keywords: syntax highlighting diff --git a/Pygments.egg-info/PKG-INFO b/Pygments.egg-info/PKG-INFO index 9e2824e..17dbe83 100644 --- a/Pygments.egg-info/PKG-INFO +++ b/Pygments.egg-info/PKG-INFO @@ -1,6 +1,6 @@ Metadata-Version: 1.2 Name: Pygments -Version: 2.4.1 +Version: 2.4.2 Summary: Pygments is a syntax highlighting package written in Python. Home-page: http://pygments.org/ Author: Georg Brandl @@ -22,7 +22,7 @@ Description: * a number of output formats, presently HTML, LaTeX, RTF, SVG, all image formats that PIL supports and ANSI sequences * it is usable as a command-line tool and as a library - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. Keywords: syntax highlighting diff --git a/Pygments.egg-info/SOURCES.txt b/Pygments.egg-info/SOURCES.txt index 0500f8e..965e419 100644 --- a/Pygments.egg-info/SOURCES.txt +++ b/Pygments.egg-info/SOURCES.txt @@ -1,3 +1,5 @@ +.hgignore +.hgtags AUTHORS CHANGES LICENSE @@ -5,9 +7,12 @@ MANIFEST.in Makefile README.rst TODO +bitbucket-pipelines.yml pygmentize +requirements.txt setup.cfg setup.py +tox.ini Pygments.egg-info/PKG-INFO Pygments.egg-info/SOURCES.txt Pygments.egg-info/dependency_links.txt @@ -22,116 +27,6 @@ doc/index.rst doc/languages.rst doc/make.bat doc/pygmentize.1 -doc/_build/doctrees/download.doctree -doc/_build/doctrees/environment.pickle -doc/_build/doctrees/faq.doctree -doc/_build/doctrees/index.doctree -doc/_build/doctrees/languages.doctree -doc/_build/doctrees/docs/api.doctree -doc/_build/doctrees/docs/authors.doctree -doc/_build/doctrees/docs/changelog.doctree -doc/_build/doctrees/docs/cmdline.doctree -doc/_build/doctrees/docs/filterdevelopment.doctree -doc/_build/doctrees/docs/filters.doctree -doc/_build/doctrees/docs/formatterdevelopment.doctree -doc/_build/doctrees/docs/formatters.doctree -doc/_build/doctrees/docs/index.doctree -doc/_build/doctrees/docs/integrate.doctree -doc/_build/doctrees/docs/java.doctree -doc/_build/doctrees/docs/lexerdevelopment.doctree -doc/_build/doctrees/docs/lexers.doctree -doc/_build/doctrees/docs/moinmoin.doctree -doc/_build/doctrees/docs/plugins.doctree -doc/_build/doctrees/docs/quickstart.doctree -doc/_build/doctrees/docs/rstdirective.doctree -doc/_build/doctrees/docs/styles.doctree -doc/_build/doctrees/docs/tokens.doctree -doc/_build/doctrees/docs/unicode.doctree -doc/_build/html/.buildinfo -doc/_build/html/download.html -doc/_build/html/faq.html -doc/_build/html/genindex.html -doc/_build/html/index.html -doc/_build/html/languages.html -doc/_build/html/objects.inv -doc/_build/html/py-modindex.html -doc/_build/html/search.html -doc/_build/html/searchindex.js -doc/_build/html/_sources/download.rst.txt -doc/_build/html/_sources/faq.rst.txt -doc/_build/html/_sources/index.rst.txt -doc/_build/html/_sources/languages.rst.txt -doc/_build/html/_sources/docs/api.rst.txt -doc/_build/html/_sources/docs/authors.rst.txt -doc/_build/html/_sources/docs/changelog.rst.txt -doc/_build/html/_sources/docs/cmdline.rst.txt -doc/_build/html/_sources/docs/filterdevelopment.rst.txt -doc/_build/html/_sources/docs/filters.rst.txt -doc/_build/html/_sources/docs/formatterdevelopment.rst.txt -doc/_build/html/_sources/docs/formatters.rst.txt -doc/_build/html/_sources/docs/index.rst.txt -doc/_build/html/_sources/docs/integrate.rst.txt -doc/_build/html/_sources/docs/java.rst.txt -doc/_build/html/_sources/docs/lexerdevelopment.rst.txt -doc/_build/html/_sources/docs/lexers.rst.txt -doc/_build/html/_sources/docs/moinmoin.rst.txt -doc/_build/html/_sources/docs/plugins.rst.txt -doc/_build/html/_sources/docs/quickstart.rst.txt -doc/_build/html/_sources/docs/rstdirective.rst.txt -doc/_build/html/_sources/docs/styles.rst.txt -doc/_build/html/_sources/docs/tokens.rst.txt -doc/_build/html/_sources/docs/unicode.rst.txt -doc/_build/html/_static/ajax-loader.gif -doc/_build/html/_static/basic.css -doc/_build/html/_static/bodybg.png -doc/_build/html/_static/comment-bright.png -doc/_build/html/_static/comment-close.png -doc/_build/html/_static/comment.png -doc/_build/html/_static/docbg.png -doc/_build/html/_static/doctools.js -doc/_build/html/_static/documentation_options.js -doc/_build/html/_static/down-pressed.png -doc/_build/html/_static/down.png -doc/_build/html/_static/favicon.ico -doc/_build/html/_static/file.png -doc/_build/html/_static/jquery-3.2.1.js -doc/_build/html/_static/jquery.js -doc/_build/html/_static/language_data.js -doc/_build/html/_static/listitem.png -doc/_build/html/_static/logo.png -doc/_build/html/_static/logo_new.png -doc/_build/html/_static/logo_only.png -doc/_build/html/_static/minus.png -doc/_build/html/_static/plus.png -doc/_build/html/_static/pocoo.png -doc/_build/html/_static/pygments.css -doc/_build/html/_static/pygments14.css -doc/_build/html/_static/searchtools.js -doc/_build/html/_static/underscore-1.3.1.js -doc/_build/html/_static/underscore.js -doc/_build/html/_static/up-pressed.png -doc/_build/html/_static/up.png -doc/_build/html/_static/websupport.js -doc/_build/html/docs/api.html -doc/_build/html/docs/authors.html -doc/_build/html/docs/changelog.html -doc/_build/html/docs/cmdline.html -doc/_build/html/docs/filterdevelopment.html -doc/_build/html/docs/filters.html -doc/_build/html/docs/formatterdevelopment.html -doc/_build/html/docs/formatters.html -doc/_build/html/docs/index.html -doc/_build/html/docs/integrate.html -doc/_build/html/docs/java.html -doc/_build/html/docs/lexerdevelopment.html -doc/_build/html/docs/lexers.html -doc/_build/html/docs/moinmoin.html -doc/_build/html/docs/plugins.html -doc/_build/html/docs/quickstart.html -doc/_build/html/docs/rstdirective.html -doc/_build/html/docs/styles.html -doc/_build/html/docs/tokens.html -doc/_build/html/docs/unicode.html doc/_static/favicon.ico doc/_static/logo_new.png doc/_static/logo_only.png @@ -385,6 +280,7 @@ pygments/styles/trac.py pygments/styles/vim.py pygments/styles/vs.py pygments/styles/xcode.py +scripts/.release-checklist.swp scripts/check_sources.py scripts/debug_lexer.py scripts/detect_missing_analyse_text.py @@ -895,6 +791,449 @@ tests/examplefiles/xml_example tests/examplefiles/xorg.conf tests/examplefiles/yahalom.cpsa tests/examplefiles/zmlrpc.f90 +tests/examplefiles/output/99_bottles_of_beer.chpl +tests/examplefiles/output/AcidStateAdvanced.hs +tests/examplefiles/output/AlternatingGroup.mu +tests/examplefiles/output/BOM.js +tests/examplefiles/output/Blink.ino +tests/examplefiles/output/CPDictionary.j +tests/examplefiles/output/Charmci.ci +tests/examplefiles/output/Config.in.cache +tests/examplefiles/output/Constants.mo +tests/examplefiles/output/DancingSudoku.lhs +tests/examplefiles/output/Deflate.fs +tests/examplefiles/output/Error.pmod +tests/examplefiles/output/Errors.scala +tests/examplefiles/output/FakeFile.pike +tests/examplefiles/output/Get-CommandDefinitionHtml.ps1 +tests/examplefiles/output/IPDispatchC.nc +tests/examplefiles/output/IPDispatchP.nc +tests/examplefiles/output/Intro.java +tests/examplefiles/output/Makefile +tests/examplefiles/output/Object.st +tests/examplefiles/output/OrderedMap.hx +tests/examplefiles/output/RoleQ.pm6 +tests/examplefiles/output/SmallCheck.hs +tests/examplefiles/output/Sorting.mod +tests/examplefiles/output/StdGeneric.icl +tests/examplefiles/output/Sudoku.lhs +tests/examplefiles/output/abnf_example1.abnf +tests/examplefiles/output/abnf_example2.abnf +tests/examplefiles/output/addressbook.proto +tests/examplefiles/output/ahcon.f +tests/examplefiles/output/all.nit +tests/examplefiles/output/antlr_ANTLRv3.g +tests/examplefiles/output/antlr_throws +tests/examplefiles/output/apache2.conf +tests/examplefiles/output/as3_test.as +tests/examplefiles/output/as3_test2.as +tests/examplefiles/output/as3_test3.as +tests/examplefiles/output/aspx-cs_example +tests/examplefiles/output/autoit_submit.au3 +tests/examplefiles/output/automake.mk +tests/examplefiles/output/badcase.java +tests/examplefiles/output/bigtest.nsi +tests/examplefiles/output/bnf_example1.bnf +tests/examplefiles/output/boot-9.scm +tests/examplefiles/output/ca65_example +tests/examplefiles/output/capdl_example.cdl +tests/examplefiles/output/cbmbas_example +tests/examplefiles/output/cells.ps +tests/examplefiles/output/ceval.c +tests/examplefiles/output/char.scala +tests/examplefiles/output/cheetah_example.html +tests/examplefiles/output/classes.dylan +tests/examplefiles/output/clojure-weird-keywords.clj +tests/examplefiles/output/condensed_ruby.rb +tests/examplefiles/output/coq_RelationClasses +tests/examplefiles/output/core.cljs +tests/examplefiles/output/database.pytb +tests/examplefiles/output/de.MoinMoin.po +tests/examplefiles/output/demo.ahk +tests/examplefiles/output/demo.cfm +tests/examplefiles/output/demo.css.in +tests/examplefiles/output/demo.frt +tests/examplefiles/output/demo.hbs +tests/examplefiles/output/demo.js.in +tests/examplefiles/output/demo.thrift +tests/examplefiles/output/demo.xul.in +tests/examplefiles/output/django_sample.html+django +tests/examplefiles/output/docker.docker +tests/examplefiles/output/durexmania.aheui +tests/examplefiles/output/dwarf.cw +tests/examplefiles/output/eg_example1.eg +tests/examplefiles/output/ember.handlebars +tests/examplefiles/output/erl_session +tests/examplefiles/output/es6.js +tests/examplefiles/output/escape_semicolon.clj +tests/examplefiles/output/eval.rs +tests/examplefiles/output/evil_regex.js +tests/examplefiles/output/example.Rd +tests/examplefiles/output/example.als +tests/examplefiles/output/example.bat +tests/examplefiles/output/example.bbc +tests/examplefiles/output/example.bc +tests/examplefiles/output/example.boa +tests/examplefiles/output/example.bug +tests/examplefiles/output/example.c +tests/examplefiles/output/example.ceylon +tests/examplefiles/output/example.chai +tests/examplefiles/output/example.clay +tests/examplefiles/output/example.cls +tests/examplefiles/output/example.cob +tests/examplefiles/output/example.coffee +tests/examplefiles/output/example.cpp +tests/examplefiles/output/example.e +tests/examplefiles/output/example.elm +tests/examplefiles/output/example.ezt +tests/examplefiles/output/example.f90 +tests/examplefiles/output/example.feature +tests/examplefiles/output/example.fish +tests/examplefiles/output/example.flo +tests/examplefiles/output/example.gd +tests/examplefiles/output/example.gi +tests/examplefiles/output/example.golo +tests/examplefiles/output/example.groovy +tests/examplefiles/output/example.gs +tests/examplefiles/output/example.gst +tests/examplefiles/output/example.hlsl +tests/examplefiles/output/example.hs +tests/examplefiles/output/example.hx +tests/examplefiles/output/example.i6t +tests/examplefiles/output/example.i7x +tests/examplefiles/output/example.icn +tests/examplefiles/output/example.icon +tests/examplefiles/output/example.j +tests/examplefiles/output/example.jag +tests/examplefiles/output/example.java +tests/examplefiles/output/example.jcl +tests/examplefiles/output/example.jsgf +tests/examplefiles/output/example.jsonld +tests/examplefiles/output/example.juttle +tests/examplefiles/output/example.kal +tests/examplefiles/output/example.kt +tests/examplefiles/output/example.lagda +tests/examplefiles/output/example.liquid +tests/examplefiles/output/example.lua +tests/examplefiles/output/example.ma +tests/examplefiles/output/example.mac +tests/examplefiles/output/example.md +tests/examplefiles/output/example.monkey +tests/examplefiles/output/example.moo +tests/examplefiles/output/example.moon +tests/examplefiles/output/example.mq4 +tests/examplefiles/output/example.mqh +tests/examplefiles/output/example.msc +tests/examplefiles/output/example.ng2 +tests/examplefiles/output/example.ni +tests/examplefiles/output/example.nim +tests/examplefiles/output/example.nix +tests/examplefiles/output/example.ns2 +tests/examplefiles/output/example.pas +tests/examplefiles/output/example.pcmk +tests/examplefiles/output/example.pony +tests/examplefiles/output/example.pp +tests/examplefiles/output/example.praat +tests/examplefiles/output/example.prg +tests/examplefiles/output/example.rb +tests/examplefiles/output/example.red +tests/examplefiles/output/example.reds +tests/examplefiles/output/example.reg +tests/examplefiles/output/example.rexx +tests/examplefiles/output/example.rhtml +tests/examplefiles/output/example.rkt +tests/examplefiles/output/example.rpf +tests/examplefiles/output/example.rts +tests/examplefiles/output/example.sbl +tests/examplefiles/output/example.scd +tests/examplefiles/output/example.sgf +tests/examplefiles/output/example.sh +tests/examplefiles/output/example.sh-session +tests/examplefiles/output/example.shell-session +tests/examplefiles/output/example.sl +tests/examplefiles/output/example.slim +tests/examplefiles/output/example.sls +tests/examplefiles/output/example.sml +tests/examplefiles/output/example.snobol +tests/examplefiles/output/example.stan +tests/examplefiles/output/example.tap +tests/examplefiles/output/example.tasm +tests/examplefiles/output/example.tea +tests/examplefiles/output/example.tf +tests/examplefiles/output/example.thy +tests/examplefiles/output/example.todotxt +tests/examplefiles/output/example.toml +tests/examplefiles/output/example.ttl +tests/examplefiles/output/example.u +tests/examplefiles/output/example.u1 +tests/examplefiles/output/example.vbs +tests/examplefiles/output/example.weechatlog +tests/examplefiles/output/example.whiley +tests/examplefiles/output/example.x10 +tests/examplefiles/output/example.xhtml +tests/examplefiles/output/example.xtend +tests/examplefiles/output/example.xtm +tests/examplefiles/output/example.yaml +tests/examplefiles/output/example1.cadl +tests/examplefiles/output/example2.aspx +tests/examplefiles/output/example2.cpp +tests/examplefiles/output/example2.msc +tests/examplefiles/output/exampleScript.cfc +tests/examplefiles/output/exampleTag.cfc +tests/examplefiles/output/example_coq.v +tests/examplefiles/output/example_elixir.ex +tests/examplefiles/output/example_file.fy +tests/examplefiles/output/ezhil_primefactors.n +tests/examplefiles/output/fennelview.fnl +tests/examplefiles/output/fibonacci.tokigun.aheui +tests/examplefiles/output/firefox.mak +tests/examplefiles/output/flatline_example +tests/examplefiles/output/flipflop.sv +tests/examplefiles/output/foo.sce +tests/examplefiles/output/format.ml +tests/examplefiles/output/freefem.edp +tests/examplefiles/output/fucked_up.rb +tests/examplefiles/output/function.mu +tests/examplefiles/output/functional.rst +tests/examplefiles/output/garcia-wachs.kk +tests/examplefiles/output/genclass.clj +tests/examplefiles/output/genshi_example.xml+genshi +tests/examplefiles/output/genshitext_example.genshitext +tests/examplefiles/output/glsl.frag +tests/examplefiles/output/glsl.vert +tests/examplefiles/output/grammar-test.p6 +tests/examplefiles/output/guidance.smv +tests/examplefiles/output/hash_syntax.rb +tests/examplefiles/output/hello-world.puzzlet.aheui +tests/examplefiles/output/hello.at +tests/examplefiles/output/hello.golo +tests/examplefiles/output/hello.lsl +tests/examplefiles/output/hello.smali +tests/examplefiles/output/hello.sp +tests/examplefiles/output/hexdump_debugexe +tests/examplefiles/output/hexdump_hd +tests/examplefiles/output/hexdump_hexcat +tests/examplefiles/output/hexdump_hexdump +tests/examplefiles/output/hexdump_od +tests/examplefiles/output/hexdump_xxd +tests/examplefiles/output/html+php_faulty.php +tests/examplefiles/output/http_request_example +tests/examplefiles/output/http_response_example +tests/examplefiles/output/hybris_File.hy +tests/examplefiles/output/idl_sample.pro +tests/examplefiles/output/iex_example +tests/examplefiles/output/inet_pton6.dg +tests/examplefiles/output/inform6_example +tests/examplefiles/output/interp.scala +tests/examplefiles/output/intro.ik +tests/examplefiles/output/ints.php +tests/examplefiles/output/intsyn.fun +tests/examplefiles/output/intsyn.sig +tests/examplefiles/output/irb_heredoc +tests/examplefiles/output/irc.lsp +tests/examplefiles/output/java.properties +tests/examplefiles/output/jbst_example1.jbst +tests/examplefiles/output/jbst_example2.jbst +tests/examplefiles/output/jinjadesignerdoc.rst +tests/examplefiles/output/json.lasso +tests/examplefiles/output/json.lasso9 +tests/examplefiles/output/language.hy +tests/examplefiles/output/lighttpd_config.conf +tests/examplefiles/output/limbo.b +tests/examplefiles/output/linecontinuation.py +tests/examplefiles/output/livescript-demo.ls +tests/examplefiles/output/logos_example.xm +tests/examplefiles/output/ltmain.sh +tests/examplefiles/output/main.cmake +tests/examplefiles/output/markdown.lsp +tests/examplefiles/output/matlab_noreturn +tests/examplefiles/output/matlab_sample +tests/examplefiles/output/matlabsession_sample.txt +tests/examplefiles/output/metagrammar.treetop +tests/examplefiles/output/minehunt.qml +tests/examplefiles/output/minimal.ns2 +tests/examplefiles/output/modula2_test_cases.def +tests/examplefiles/output/moin_SyntaxReference.txt +tests/examplefiles/output/multiline_regexes.rb +tests/examplefiles/output/nanomsg.intr +tests/examplefiles/output/nasm_aoutso.asm +tests/examplefiles/output/nasm_objexe.asm +tests/examplefiles/output/nemerle_sample.n +tests/examplefiles/output/nginx_nginx.conf +tests/examplefiles/output/noexcept.cpp +tests/examplefiles/output/numbers.c +tests/examplefiles/output/objc_example.m +tests/examplefiles/output/openedge_example +tests/examplefiles/output/pacman.conf +tests/examplefiles/output/pacman.ijs +tests/examplefiles/output/pawn_example +tests/examplefiles/output/perl_misc +tests/examplefiles/output/perl_perl5db +tests/examplefiles/output/perl_regex-delims +tests/examplefiles/output/perlfunc.1 +tests/examplefiles/output/phpMyAdmin.spec +tests/examplefiles/output/phpcomplete.vim +tests/examplefiles/output/pkgconfig_example.pc +tests/examplefiles/output/plain.bst +tests/examplefiles/output/pleac.in.rb +tests/examplefiles/output/postgresql_test.txt +tests/examplefiles/output/pppoe.applescript +tests/examplefiles/output/psql_session.txt +tests/examplefiles/output/py3_test.txt +tests/examplefiles/output/py3tb_test.py3tb +tests/examplefiles/output/pycon_ctrlc_traceback +tests/examplefiles/output/pycon_test.pycon +tests/examplefiles/output/pytb_test2.pytb +tests/examplefiles/output/pytb_test3.pytb +tests/examplefiles/output/python25-bsd.mak +tests/examplefiles/output/qbasic_example +tests/examplefiles/output/qsort.prolog +tests/examplefiles/output/r-console-transcript.Rout +tests/examplefiles/output/r6rs-comments.scm +tests/examplefiles/output/ragel-cpp_rlscan +tests/examplefiles/output/ragel-cpp_snippet +tests/examplefiles/output/regex.js +tests/examplefiles/output/resourcebundle_demo +tests/examplefiles/output/reversi.lsp +tests/examplefiles/output/rnc_example.rnc +tests/examplefiles/output/roboconf.graph +tests/examplefiles/output/roboconf.instances +tests/examplefiles/output/robotframework_test.txt +tests/examplefiles/output/rql-queries.rql +tests/examplefiles/output/ruby_func_def.rb +tests/examplefiles/output/sample.qvto +tests/examplefiles/output/scilab.sci +tests/examplefiles/output/scope.cirru +tests/examplefiles/output/session.dylan-console +tests/examplefiles/output/sibling.prolog +tests/examplefiles/output/simple.camkes +tests/examplefiles/output/simple.croc +tests/examplefiles/output/smarty_example.html +tests/examplefiles/output/source.lgt +tests/examplefiles/output/sources.list +tests/examplefiles/output/sparql.rq +tests/examplefiles/output/sphere.pov +tests/examplefiles/output/sqlite3.sqlite3-console +tests/examplefiles/output/squid.conf +tests/examplefiles/output/string.jl +tests/examplefiles/output/string_delimiters.d +tests/examplefiles/output/stripheredoc.sh +tests/examplefiles/output/subr.el +tests/examplefiles/output/swig_java.swg +tests/examplefiles/output/swig_std_vector.i +tests/examplefiles/output/tads3_example.t +tests/examplefiles/output/teraterm.ttl +tests/examplefiles/output/termcap +tests/examplefiles/output/terminfo +tests/examplefiles/output/test-3.0.xq +tests/examplefiles/output/test-exist-update.xq +tests/examplefiles/output/test.R +tests/examplefiles/output/test.adb +tests/examplefiles/output/test.adls +tests/examplefiles/output/test.agda +tests/examplefiles/output/test.apl +tests/examplefiles/output/test.asy +tests/examplefiles/output/test.awk +tests/examplefiles/output/test.bb +tests/examplefiles/output/test.bib +tests/examplefiles/output/test.bmx +tests/examplefiles/output/test.boo +tests/examplefiles/output/test.bpl +tests/examplefiles/output/test.bro +tests/examplefiles/output/test.cadl +tests/examplefiles/output/test.cr +tests/examplefiles/output/test.cs +tests/examplefiles/output/test.csd +tests/examplefiles/output/test.css +tests/examplefiles/output/test.cu +tests/examplefiles/output/test.cyp +tests/examplefiles/output/test.d +tests/examplefiles/output/test.dart +tests/examplefiles/output/test.dtd +tests/examplefiles/output/test.ebnf +tests/examplefiles/output/test.ec +tests/examplefiles/output/test.eh +tests/examplefiles/output/test.erl +tests/examplefiles/output/test.escript +tests/examplefiles/output/test.evoque +tests/examplefiles/output/test.fan +tests/examplefiles/output/test.flx +tests/examplefiles/output/test.gdc +tests/examplefiles/output/test.gradle +tests/examplefiles/output/test.groovy +tests/examplefiles/output/test.hsail +tests/examplefiles/output/test.html +tests/examplefiles/output/test.idr +tests/examplefiles/output/test.ini +tests/examplefiles/output/test.java +tests/examplefiles/output/test.jsp +tests/examplefiles/output/test.lean +tests/examplefiles/output/test.maql +tests/examplefiles/output/test.mask +tests/examplefiles/output/test.mod +tests/examplefiles/output/test.moo +tests/examplefiles/output/test.mt +tests/examplefiles/output/test.myt +tests/examplefiles/output/test.ncl +tests/examplefiles/output/test.nim +tests/examplefiles/output/test.odin +tests/examplefiles/output/test.opa +tests/examplefiles/output/test.orc +tests/examplefiles/output/test.p6 +tests/examplefiles/output/test.pan +tests/examplefiles/output/test.pas +tests/examplefiles/output/test.php +tests/examplefiles/output/test.pig +tests/examplefiles/output/test.plot +tests/examplefiles/output/test.ps1 +tests/examplefiles/output/test.psl +tests/examplefiles/output/test.pwn +tests/examplefiles/output/test.pypylog +tests/examplefiles/output/test.r3 +tests/examplefiles/output/test.rb +tests/examplefiles/output/test.rhtml +tests/examplefiles/output/test.rsl +tests/examplefiles/output/test.scaml +tests/examplefiles/output/test.sco +tests/examplefiles/output/test.shen +tests/examplefiles/output/test.sil +tests/examplefiles/output/test.ssp +tests/examplefiles/output/test.swift +tests/examplefiles/output/test.tcsh +tests/examplefiles/output/test.vb +tests/examplefiles/output/test.vhdl +tests/examplefiles/output/test.xqy +tests/examplefiles/output/test.xsl +tests/examplefiles/output/test.zep +tests/examplefiles/output/test2.odin +tests/examplefiles/output/test2.pypylog +tests/examplefiles/output/test_basic.adls +tests/examplefiles/output/truncated.pytb +tests/examplefiles/output/tsql_example.sql +tests/examplefiles/output/twig_test +tests/examplefiles/output/type.lisp +tests/examplefiles/output/typescript_example +tests/examplefiles/output/typoscript_example +tests/examplefiles/output/underscore.coffee +tests/examplefiles/output/unicode.applescript +tests/examplefiles/output/unicode.go +tests/examplefiles/output/unicode.js +tests/examplefiles/output/unicodedoc.py +tests/examplefiles/output/unix-io.lid +tests/examplefiles/output/varnish.vcl +tests/examplefiles/output/vbnet_test.bas +tests/examplefiles/output/vctreestatus_hg +tests/examplefiles/output/vimrc +tests/examplefiles/output/vpath.mk +tests/examplefiles/output/wdiff_example1.wdiff +tests/examplefiles/output/wdiff_example3.wdiff +tests/examplefiles/output/webkit-transition.css +tests/examplefiles/output/while.pov +tests/examplefiles/output/wiki.factor +tests/examplefiles/output/xml_example +tests/examplefiles/output/xorg.conf +tests/examplefiles/output/yahalom.cpsa +tests/examplefiles/output/zmlrpc.f90 tests/support/empty.py tests/support/html_formatter.py tests/support/python_lexer.py diff --git a/bitbucket-pipelines.yml b/bitbucket-pipelines.yml new file mode 100644 index 0000000..4a9f1b6 --- /dev/null +++ b/bitbucket-pipelines.yml @@ -0,0 +1,34 @@ +pipelines: + default: + - step: + name: Test on Python 2.7 + image: python:2.7 + caches: + - pip + script: + - pip install -r requirements.txt + - tox -e py27 + - step: + name: Test on Python 3.5 + image: python:3.5 + caches: + - pip + script: + - pip install -r requirements.txt + - tox -e py35 + - step: + name: Test on Python 3.6 + image: python:3.6 + caches: + - pip + script: + - pip install -r requirements.txt + - tox -e py36 + - step: + name: Test on Python 3.7 + image: python:3.7 + caches: + - pip + script: + - pip install -r requirements.txt + - tox -e py37 diff --git a/doc/_build/doctrees/docs/api.doctree b/doc/_build/doctrees/docs/api.doctree deleted file mode 100644 index 27dbe17d57a3cde63aec44b2eb9e42d64cd7c129..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 98908 zcmdUY37A|*b*?4Nj5MunBw4a-%eXPJHIipWwplC$-eNFzY{@*^fDN{%d#3NG`>LmV z+>4}v*w`V!7%u)o(wHp}6Ci;QAn+gwTRtEp7zj(q0wH*xF(b&S@=TZ0VTUDn{opb6xRi{qfJM_9YpSJ2W`Y+g2Y0h>#wR(H1(X4pwUa*cH z%zKSa@0WXf@9W*&8xKZX<>T#Uw>9hag46KEY_(Rew7f>|j^23nv|#OQb3Rm{Ivi}7 z+&5XAM~w%It#+q~Z@uc;J9>C~=oaq;)$MxaXKkVqu0sa4u&9&Ojl?KU3b^Khd)FFviSbQjE*neyz>mN&=mjGmaUA8ap_sVnOi zT3*|09rJqKU~Q*-gi7?Pqt)%zHPuzsHNlAL^X2>Jy-KaTzfx--y?FoeX6xwwig#@P zOszB1ojvMx_Ae|RAqKQ3=gYOmelU>!Z|^TJ)TYq;UNBUzHN4*StGhGAuC_PZsWls# zztmk~4)JohQ|r_{>!)D*Emf~L*R9u!&y}qduX^rvf}3MWzZynfjPC5G?o`h|T-|dR zysYj31FJhR7-!-CUHJbA_`i5ZuUp++oy6<=#Ou`ys(Y>6l#)+wNr6QGWxYnq6CoHB4 zNk|-`U@gR~Rbwe1hk(`^M<6Z17A1hiap+vP?(OXbo5iO|^;54pa=6ng(;!brXxj)@ zU9LH^@0xCd1+-n9Yc=P2L=U2}gC$i}i8LFn9OSVbuZ{)V9A$c%ckZ7-#DdMw>Yc%c z1+P&-pQcYhUoEZ#nv*kl-FmlrHyfHUsJGM?LkPILy?S3%2gZXTZZBB9 zu-Jp$S)4@odR|mDUW&3WiI%lr3PwOR6kpg-R{tJsCp{y((5nW)T72s)E?~&kP#eK| zeD_W))N8Y~PVavH`FOe2z{k;rMd~xSOomGJggzc*lh-@URd>p*BOWY_d4&deQR>1b z;xPsn%+Nz-M#Vgf4uzK}fKVyFNCJzhuMpu4 z>hl!dN|s+3HOS5o*&tgEq)ojOQyhMoZr5PoJKa{uxQFCeuIfLCfkjOl1}$)?0kjY!kfJW$gEd=zXEMV}bY*Ci#t z4@RU?SAR7a7K#k4vySF>0YCnVB;iwN;rC;bAVhMu+348lOigZ<1%LGo-icy~*j*|f zuXUOtJi4 z-R>8GL~U^e3fjylXqt>88Ut3d#BM}%OpUigko*f#W7>#=#r|GuRWuH18Vd@BQx=i- zQwOR3KGdQGajj&rBF!a={^bMEw!t1Wi@Nah9Q29t*7WgLQyZ%S21a8opdB5)P_ptSY-f?t(r2G1o+~h(K0CxoUZ2Jttfd82p|Q@68W>~ zy_jK1ZYLO#z(oL~wapn{U>BGxfe;DlU~c87et_zOwT-5LwD*%8`#9@0p#;X}G*J%< z6waAzw&u&7M69q`Ps`%Pbhz*drL+RBj8{Lc9t3-2L&Ahj6vbD}e-p)Kw=-9(dzT$< zHh{2&%l5D?Xu$x9K&>aC`N)5V9I6$@z26P{)g~K0ulc$^qdzEl-T*Z@JLC3&>#zaeEb^LRNxC9>0-On^2+mo_~faD z(8S(iNeF|1DTR_Ayf9P|m7)p@u1pnaZ3K%r-Fl~3YZs2UY8_xBv|B96u_-}oTg4ji zOSMez6iX%2-V&Y*oa0rB<#w@L0F`h&4P3dfk6u`|ysu&yy8qP76&e{v6zdd!lyteZ zWn^`_wpe(F+Kb?wf{2#=;yKQg`N z*?eLEI?p%wCq*PpLqX00nLQiTVy^nNR?59;jC*y(0KFQEs*b5Mi2vrGwR*3z5y3j8 zc8bm+(n3=q6M0iMkyR|huvD@PpOK`*MpP1CanNgwruN{hl~IMbcB16}lUkcLMUm18 z#O1J{$xZq9qizOV{;TPe!CL-n@ZCQFCixHG)5!){#VQs=>-awzV{O$KOTRlX%-XN3 zu-9K!25vn7p4lE|uB90NRk{?iujqRE0bP#P4d~i!ExjbN zj0qdJa8cF*jQ>7RnkLc;a}etKO@7y^J$o6(|M+t1w`LXvujd$O024$E$>*2TP8h(+ zros=y>0dfOHD+3@D_Ns()I90f=Q^eNb4EDZ&yWmHcu&7iiO4L9&4y#ABwGZ1@-XO{ z$xc0Ai`K2(!6f>UHwlRlvq^YCWWz7KKPP)zG8=@OBkxZ`MN1O3|_C7m0B)i>DtfWD7%`L_bA zZw+ESs6KSK`nLFEGVW^c?|jKdwkN9&S`5b*2aA&xhH6#l7qu>X&u>5N5b#h;&n!;U zwugIFPf8Is0-qQWWL}O9)i5v)eNvp5a=lh=PZam?9a z`7gn1p(VNZ60s7zJ&4a1c!NMVz}vWGu3vtW+0>JpeYB}(wgB7R8QzT)>tt0diIsSq z7^T%Z?E-%Tj-y>*vB<&tM@wo)YR72N4Y;r*H3mQri)|<+b{0FeGAWW-T-%vMj%B5i z-vNies~O;eWNYQdrV@bR8)))1b+g(3Kg7>`u#8$`GrFx9=aZ>6aQ>WwTES^etx%A# zs16PFPwgZDw%lW9^`p;%nLWfZm9V5G)yK8+foYV-P$bgFFgd>ga?<8?1=I*UzMbD43_O0g?{<<^OVLE&G0qrM z&c6qZ8t^E-@Cb>>0FS?*(3%by(}>(rA(T0Bga+4{f}1?F4XoU4LL?-%qVFZa=ZjR{<4(L5ORE; z1@5v@S_pe!G85{j6t4iEY%uJ27Px3nG0Ve_=TmKcW5+#?k<5o3pX4Z+2RmMjax0A; zpN4@a?y{j6&pm!d6!VvnE!pFkPdJH2Bb=#a6n>1)CCSCI@Z*cXp2q?|&essmjx!ip zi;fxiQB^ZAe%uoVU#h-w`mizx@-A@L7K?lca$c8W1bJl|f>fPQ(@X?G>Yue-GlG1n zZlNCp>7dIX$juQh#xUd%y`abxU&2Ja7DS~X0EO8IN4|#N9SleQYTxZ7tC*sRaAcf4 zrkr0zqXtKcZ+UR!eMGFpkv=|KI1+M^fg@k4k()Yrkb)yiG(Kwev8V*6(AV;#49kiw zKU!!}k9h<_eq48MUz6`bPwJ)5QPl15iID7aVgA zm(`zsOJ?>kH*oyH0R2?JF)Ck1n3CRb&~3Cb4LCjnB|idEN#R1k@%aM)$BtZc)W}h* z*TBeeXhazUp)borj+?2rzLDb=l!_``KIFL3Q8Ev5JR9X!8aZB$ktgo5eb_6IW1ayt z!q`V4*_I6w^2sMrY2-7tjKYrb`6Ibl7Iu6#7_=hT@sfJ8T#=jAbQ+phAG46-?&!*+ zs}eVEl-iSWKILazm5nRkPo86?_0h}DyBa6`n)AH*b>7M#$`^s}wy@+wlrPYw7*XC3 zLX@Yw`ln_p_m5iC8BNx7?fsxh2TcY|PV~dx7}Q*+N~>O&2Vu%z0?MNX%r-*`-Vx+H z&hJ`~^9)|)jt{(QH1YQ^4fijH25YBXns4h#xSiMc-A=M~P<$cS8y`ng&c6wb8rUno z<$=9NiC77HZ^35^_Ck2mVehR~1Z(F&z9jZIjG|L6EVP=(YWxKI9TezZ$0qtQuYkiX zwADT<&fyj-wHDHeG+T>eDUL*q_T-UN0FO~0UZ)eo$Ujgl7uggPd85BTl7e7NEj2i9 zGqZ?99&=@!rkTJwUu`?~P8FYrJSpvByV~s5D|B}FnAf6Hzc`@Vt@FXz0?|}!EOb$H z#+xm7u>;EIgW+pen-wPMu5RsE8GAP!BC6GGG-yrO!y|-&a-X<7uOCkpZb5xaTY7{+ z6oQf1(P`rx5dTAZAP0u{pCGx&2l1&jp2F{UK>WvN>@EC#3K0bzP9K@|mdq;SHmb$g zbc!c~uM99k@BFFI1k=&rr-b0lkD}*gFpCrRkYoDPA&l ziHIMvLIIQCL0o&S!I{cTTzioGIL@B#H;x&Ti)FdG74*;P>Ppvf&?uX|N4j3SEnM9!2iJs5@w|)B8dKKo z*OH@0=^s)Y+g(;A;~gXkTp5@3#ace{x`&^|U?0<^*kyfw$Yot`QLYe4=vi1NmULZt zDWEJ24hoEex;erxIWL&33q$mRqD_1W6ZIw#m8L4n$0FbJL4McrJuNRU%kO(v-|Zx; zilT}9zBqeKIsYy+YWzO&Esx*#Dk4_;eQkWUEC3`R!|xl-=l4-!f;|YLCJnOvKN>LD z@EFSfmDdI{;4iqhReY&S9+!bdyWXdZ6}N2voF3RQ`w|UYKF5q&W5?{N4#$iJ=Uex_F=coRWD1^~P(j-0 z(n*~3{*DL%NYmYK4$#}Ns6<&EiT~!H(pup^BS9Jk%?5Y=Um94ktiLHNxTbMN4UafF zq=DyJO3(=Dk0A$64)AY|qM<2YC25QV5U*YrLY_n1LY@d>ey5Gq7ND`&-V%;wZLs^s zSyk1UtQ#dCS>E1w>ME^l&4>G~KIBj|(IAt@2qK+IVA^DJ$+uh9 z(52Y*xHIH>>`dyA!VAeSXxZl}_g>vfKTe5*Hsh3>srJ19S;IVK;_Qfivm+)AoOuu? z^Orzo)SB5gNx1~%raZv!T5d|F6ZFt>YVX4ddSBn|B&)gVF*!l;;V|X=8X7fDkocCz z3Ho^=RysjP@!4{MAdBfvQ1FJU+QoyE;p1S*l2mvq*e2?BMD|#*hENn<%3%aL5A1t`L-Pxfi*!>HtK!Dwip{|?8SnIua6OuDwj1X!8s&3y9HNVch)Y1}Xx7ZiK6 zT{LbAD~G8ui2jmEH!?Fyerk3m8R>Hep!5kD>Z+%KAnQ#>PmC2z^9x9VAI9kZwD|>> zgWdi61y?!-N!y)Nzu=jUlC~fwnVp|SIm_&$~MDTlnMz(AJ!R$ z;z?YjcEx|tR*5b2t0Kdl58uB+mtuT>B!urbg{f6jMf7o)jyLEU`@!-KIy9C?_Xx_U z^j1+TYGcrNHAWQu`#_n&pyFE|4EiRNQyBDRx_63S85s1iioT+37%B%bR>zTP0m&M? zBgkliz4nS&>_+0B#hOE%S>u9@A@78Y5A&(Z@6<6;g0>Sqhn&j-TxUhleF9ZSB_#fKZ1na_OB6t%x?Q!8c+S3 zK|m1RYxkc=&(@$Lu5I=?$@ZMv>~YIrOi$EgWzB-4g@jp9&M*Tnj?LkI1Q}4y8*+Jb zpv4x)k}4W>Vmvpg!g2OXPGT0cbHQ617Ui2K&(@{b?%x%fCwC?huV&2T7sC28sawf1 zm1@$X&3OlHdhSG^3mHN|h}n_1pN^?_!n9lgTGA9sQ9z4b&&c^MEfdA5HG1OjKt*PX z7T@wr(ff%2xlFVZpDn9^p~{$|UnJ*J+A@h94&?QncuF!%-#ou?O0o&w%|`NbJLMQ6 z#K>#ZuG;{{N6FjdXoANkd+Di=r|Y$nFm(rv zwZJ&Q-r%oLZDO^tkG;XSIz~R<8o-+zB|~^2VVnJ3D7VsU0P`4j;x4OI-mF_jKJ%84 zt=JP;KCvYFj98`v$-W{u(}AR^Dh?$1H_ZZeX20kd;T%BnbB+K&m2l(*WfZeHs!K6oJRSm!V^NwFP>lZ&){C=st$Ar7fasvb0K_3; zR}>#w4{>=SzY!*65`?5_iH#2T=6sjXVVqPW{QeLsGU!lz%YzQjAp#^i9KmNx)iEv( zbZE`i+2Bw|GVUWj?L$UTd09B!?IhCE&Mfi~HT8mwbnF`({;Z~bU~qUdu_DE~S>TXb z<5_H{2`ywatUGMs*yMLGs8PDHnzPQEUjVAEwe5wR5y2p(3@*8==AeI$*d$tI`p-@o z5~~ZTuQ__*A3-~M5he%iFByRLf{u%|FKx7+Iw&(LlLk;bDES;>6-S~aPk1B}@}`5h z@+3EvH8s5rO*^M1Y4iQ3Bgw5|Z)6ELI4gR?fmk2KQtAM=94tag23=9vrS}O81=dXm zX>=yvc0dFfe<6A$dgKZM)9&Cm9(+uSfx{g*E4ewLr}wHc^8bix5pKXX2V0D)F~oZq zZ$~Xr2>#Q>6wwt!jCBXl$qMQx?se!b>gTUDfk+;|R6U{Ly+V;iJE@CTN zAo~Uc|2|6IEPm`CzN6Y@Ux9EbZR=>U$yfr^?_p^?*y|Wp&TOmSQnd{X=QuH0tU9p@ zm>L*&x{Ee7mAHQlLzVft(2R4)l=J@sife$T z_~rnbe}~sX*Cd=y#7dy~pZL)Nnh*>J(6p~fc%8MS7rG|lATQP9yv;T0%CS-ek;T_1 z9ako3r5Y@$LGqOdT400Zcfj!*!5rJ*S!+()99@jgE-$3wVs;tTjEKxBw>yMI&nfE= z&c>W{&nmY%%Gv^*6j(Bca@MReESgB0SA3EiOe6j_#QV^h4XZ!)6mpMb8c!huuFyuA z9$in)u|j)y24e^x%J4L5jj6p@uF&QeLo<@W0EHdm74B*7)BV3VKyR0Ix<5KX#tfx_ zcan`8K&k-m7FvA404dp!u$Q6>fS%Q{ymCj39GQy2viZJS5~pTUOWOxnVg3-+*7pkY z?>NR%n}gISvky8-<}n7JL^;bCWJj4vQvf57x^ixth5In{#9dajeKMPGo)I*5x}Q?A zHQRFM6H%hmh-hjV!{g;RZu-RgrTQgI5oM- zfr0eiYw{ZEnDo{owiX}CZ+uOp!A~{F$*!hIs~Q9O74!r(`m1S z>D$ydeYA|~pwEEn<=h%k1UY%J^e%pPFf2XQcRR`IXJhF&V@x^!Cm2~q0+>6s z?_KCYUUa^b-?h;Bxfz0?*qQlWn5+AiLsK8C?+5yBC)vW-9z%S5O*#KZ5KQASh;Mm3 zh7Cll^ca2|6Yg}6;nsUX3A423S}X0^Ss>N0lVz<$vSdv_IAL-rBu*t~+GJfcA$4-W zPMu7Li?CKZSnA|LJauyM7SyMSTrv0Pc^GQj;)U_``jX~>4nDTl*u$!HXNHJdXq#GP zsPGzxZ}9j@g@x`| z)dDJ!i^<-VE6wuTi7w&hMUoST&EGp6tj_1yz0FZFk7M_KlpD~oi}Zvhdlhd(t1fS0 zisEPQF~_B+vo^fe%!%gp>Z1-xi9J8%lPBtfk*8BNHvi8YuAn#BI zS;VpZjEj$uakg1GSche2ggD3jC}$g3Y9K8hC5ZJv#5l$t+xGTNP#j_-<6&2PW0c3q zibB<@WB;gj+3}70X{(S$Qbi*s?8^$V#WKiJ!XErk^K=JOJ?^57koKz#({;jA;+hF<4v2<}IUJC^d-bDn+ zrHdEhvo+yiWYU)|f)jePlO;#27!+}z5*?AnwMnf`kwi}H5YeYLCCDJhf2LcjcW{daGtLbV2AbsQMF0)ydhW`)jJL?`^ujL8(MhO5LXWhNEO2N%}6z zS(22!O=kotcf{MNG{Is!TUyntwb+2SHE=#TmFe;FZ>8RB_n)sj zl{I9xWAp1Md9y4DM0biN@T!Bt*!;owPo*aCsyd2=CXa-*or-29%g4K-P1|*(tnDNu z@OqbIdCs++xUd>+h$50K!~7sRHt5>UcKGYvx;LreBd4hDe`)8pV4ViF|7V07w^nu% zs7qeh0U3smQaQ=F#p+l=z4)!9x5#&|wy` z4$^+;3^EbQ+l=^hkXX|aDaR=dq|8B1?iW&ohTuv3Hdw(pt_jyfhp6;nt zncud$xeTc~m&c$uE|>rpGc09Th%uos%#$fD5QYyHzeN|)LU+cvg7JO(nhU&|sFq!D zlVQbc&rX*cjb?{)vWM~z2m-aN$gi52JahkZZcfU;2mhbM5PVM;BzZYBvyb5%8IR66AS`V*QCy(apEGm5ORGN)(v5ZVzl~8am`Qw#W2RtJSnhkFrJ#*O zja&-)jviGlDj5Lk>tt_m?@zna>e9{Jd4GjbN( zn?%WD#cSFxd`3hA!#nP|5(YjiL;fL+YPX$QgSi?D&bNguaeysm^stIkSLL>N}cF{|!_>?h%#EsO7rkodVm9`$&jD|6ybx))2E*@#c!t)3rveGd(@t z_UdzX!is~1BL1VNQ?t$ag%+99%QPRc;WEBQJ>N4?+_Psdzt2gC3fZuyAU3$Pg3sWuzEU@Kz1X1!^00_yl5+Yv{4OTsDO@6)&#wB5U# zGcG=$7so5ONEFxf zpkM)`Fi&$3T}EB(wn6P)WD(aPB*)6FTC>|O(%pBp&SH`7uVHLiC?6}=>RdBws(SSW zbDA0!(!+drBco6?o1*2?w3*o6bm|grOh#}jQwfpy-H28r)!1mun?w8$5QvTOPj?N5 zQl~AkfifImY5#PFw9A}%fuo{e81&SzBfmw+=cL3|B=cHvR=98Srcroz=emC8wPI02#L-g| zfe2N}*k|XPH6$T);hXaGj5|{>q^fbYABD}?zeVIId3I2q1UWYUqD%2oz?U&*#;>t1 zfHN+Q^$^?GM0iBfMz-d6WLD>VmmHZmsYY)6AD|z`j}hPEevE%9USr?IC#S_f3;TM3 z@VMxtM&cT zGUZ}P&nt96wX)UxE>8=}v7x$&`_{$L_*gJkcFYw^rE!+8&f2Anyc3rvAfgk7Awuh9_-9}SQ7=RYGQ?= zg?kiX3$$qvaV@~!_ZnhVPeHBNf`eRph%Y!46lx?02&>Q#H}X)f`8p_| ziB2lJnNFs=4QWTb3f(SQ&>2!oC0w}Soq(CBw3-VG_FeRM#QKT5-cMRjEy;ONtf7;~ zQ1XwD%28B~R9Fym`|F+j;z%vB8CgAH-+@TqGU@5VUw`EQ6s7pYyp=eqMl}5&p&!Ou5#MrpE4#${ zTEZA8&*jH4MrC*|@3(ueQ0p|(;oormN>_mVg;&AYVc5U2P zjYSPOB?@3j2dUjDw`dKmu+VJRXkALh`f^pgB881ta(DRDFFQSE4eIjc5pJwuu-uw3 z=o1hSTdFN{d`>Ehl=7UJ$VNjb>(5g&M3DsHrII2B9=O0*T+b**!Bj_A8;5>L??=vQ z<=TGfyNo*Yj+=mrNn1kMg~zI{bPFm#>j-;S z8uJL8oBxvC>SAWR2L?)6*?+OmdKWEK{5HtP|vU z+tCMOwbMY|H&F767*V^-;XCEsfK7;nj!D+mWGT`A^mfhB}ZB#VYs zUkbMnZVt?=UKA!Vz!zo2I%W7#2vUQ`gdHPbL@La4#2(&ZDszaZFl!drJQc{HjijBD zExbZ(GsY6q9d6dqis;2GGnV^=%sUZ=DjnPydTy#`YjH5A?8)}Vg4@Vyot$w(*Fz&^ zMD_(IuaSr_D9+`R?!N`6C$l-^Ux;@sMbNw3sjVpgAP!!Vi$(o|4Ym%+mAW|)ljJ4H ziOu+4S0PUdZMWixFsaee28DvkJrR~l{D#;pT(`!9ez4M%8Cf+5z!Sx3oAtjFGQg+o!(v3?jXnm z{zYXHe25+2^`DBn=Rwh%q9E z$Y_>&;$UI2)KUG)0jBy@6i3L5?_DL~YPsHS!Y((8!m7b4&o%4y=5YXMb88>svw)7V z-{0ZceGzx!0nB29u8auh#e#QY)?4TlOMI7!&c)v@?S&LV6zSz|8z@+seRb%aM76sB z_jI;eZXipF5zd=efI1^J!HQH5PQRH%)e`gYM%Q(UH@erea|PK-ww;?pj#5>^TBcVB8a{X6bm5c|0^U5!fd&&(fn#eRFc=T?C_>9=~C=Df8REH{^#(c@z%w+oZfmtcV>0rC^hUgjS(*g?cX0m?`b-*IHc%>48y=o#?kz4gHE9Qnq+!(HoW_&8HnZbSc)3 zf40Ze-+~{Fc8G5|wPT~u4%Hi_AlqWir7OsBOllIiaZZ?tVz*Jd3t`U)r)hL*b2Xff z6cZ**$5>S7ITQF@%#*x!#UmsZrvUX(+eFcu!b(?{7QBkQ$Iq{ryh~P+nDUAfU?#5M zF=!0xd$Aq^q4?n$ce|4zYS!IGn~o7~nGOhl3)S0F(XI@u?R+P+SqhZ@8 zIvO`6JD5do#dJ<*FNo2Da7+wD3jfShfBm2-~#))N7K!+Y}BY# zOV~=aiSuFtw_Xa{sjeKI>|H5)7QaiEVmtL?du;tWel&JUe9LL4Hs3%etS!DMOVx-q zm~N@aIgpkLSMK0qy4fnZk90pB*1Q?h^Fy3XltUnw<8kJ&Q?4~|f&=lv4V+zMAb@2c zF>aijTGzwt?erTpCD-Z6>ItJ*o^N6gonrpDtlUYYwU~mT&ZukXDfA}LU3M9UQQa+N z4A#nini|;vybjga!;@kFs6{pa_dWis(o!0n0>n5*BiSg~_U~U&@_spvS%V<$UtH3Y zo659*A4A(KW&bXUxO_Qq+HTh#?^L9o<>0lL8_MR-;I=Q*SO|+4dsRyutIeOtQR~kx zeo>cVyZB%BnEMaoM`IVox14ryyReHr-$*Lrvr6j<5U<` zPB;ip4at5Qv-K`rssL)#1}gh-v&~iudzeLRR#KcdmUHI4vYZ79j6IPz1jpF9LN@r< z-g4*$KE+W+Y!iaPv0+(M#}1X9m(3y2>h^t8)y{k!I|}xEFx7kAd+$4q|09XZZT7#b z$8uR_|No#GTl!MWKDEeZe?FJlKUo4`=BeN@ZWu;6%4O?5tS9A=Ofl!`?OK0ihqfzc zB@49aF`JlmC=?x{P|ilukl3Ox2aydqiso7I-HLM7itiA&khtV~fAy_=KCAkWIGm;K zn%< z)xae2EhkKp?xUfWq={C7?~J#Yijab}d`A%}`OsgLBZ zj3i_v0FH2;jJh=6{d=e{+x?%@UFu`O_|U3VD7q+%+H7~>1L=`Cn70h2dOaG?P^#qG zElqdiHA*fQRdso3tT<`%PA5g2lI?tn!P4$gI5U!sZi==Bc%>m%zC9}!8EeA`ozfq4 z&D^BJ)kIi%_fjYguaSq-(H$a^3~z%GfxJ!ctS3@YN$;Y`^(d)bN^-rzHHvLI!Jhrd z$p0Uc^ltY*FNHYUK_g-)$=+vm31;*AZqa&foMf^TarMM!ypy9cFfs zq!%v&>-sr0FKbRl(A*h)H%~#|uI5eDx0NT4n>Pt4qQ9i%)Y}Wf(xx4?$V?jt=jVKv zg1{Z58;j-tD~8%Y3h^xtDT1x>F%Rd}JCi7qkmBFs;^Tl6!h z1~8n@iO8?zo{vR49QDO-qOxL*ub{XxzSoe=`gw~(wnDx)an&dEiabj>q*JP>}`#AASy>Mr_w@> zEXx}<^RHya8|Q^Ms>Ns>uH9fZx#j3(j*I||w(*i5(yE3?io~Q^i-3LnMpvMcT7&)~=R4Br< zj0pKuq+o$8mV)tP15m;#9qhc2@<9&X2qjSj4f9viE9T9Y2B7G`z-nhWI(Qw9e&;hw zETooM8q`tZ3;--=(}@2M=N;45$Dmzv0MTRsaJo zkkFCkVBAC^1)C@Y#Js2EB>Gqn8Vk&$5Sad#Z64937%)GP0JDLIBZ5LffWd~L$76x| z8m4E{&itsbkn>$W@*k(zh?)N*{Ay64_!dWnKFz`+Lm5rPB173u4ZNd6uHfO1<4tG4 z!(WS?N)|`-iWG9s>4*ReTkuOUr?fcVIC4*RYe@NmJe0gYhI1?)$5_pFvEzl{1(plm z(ZYG=wueY9Jk(jZuw=yt3CDR4?CP6u7AD_o7kK>^i2-OIO!u_3*F-nJ_LQU5n8e@| zBo6j&0&;8XTzKBu9O1RnF%sAU`|5I;dH5WL^20BRyE-|T(6?5HIkyPsE`Q(={l1MiINoC?%9#vz~Vo}DPW z$8FOFIU}E&But2CsWf>rHu}YhADQKMlC%5@aW6h|cD_R2FGmVD_$*T9Q4hW#E`g=e z)Kx}ZqM)&@=cE!~#3;yk5xiN5$f$LEZ$$J&N$Bdyn@!Cupo`f8${T{z221BE8*Jl? zt9|2u?asqOrY?Mz>H+GK@XEG1_#f%SFFHEW$HA(=Q9O@}_9m3GT(mXugH=oX^YL=4 z(W8S^Yo*kLT>EoLMm2j!ggluJ2I`4}(c#G@(-?gqqo3A9qUqTo)5AoB+M+M%4F|mu z!Gu~E!7Q69Suj77O>9^&*J%|q#>+f&P?OJu@bH-FFSU@`o_3(ZZefM8vgoPUpW7pYR)%`URNPZXQo4n^g)qr_eZM6E2#%^kC7B zI$+zAeu&+58oiKy8r|A~e4Hp44?+0gbQd3#Zn=Nd62nvXgQ?;&o_*KoFX;++7N4M5 zd@Q(QWyeDIVWk^_LYWSV5R+sX4-&3beIU-FWUWlSSM^f9lH@{4Rl$*h%<{dYy98Fj z`9at4C;6ndIUTHK-Ijr#CjUbyccA0VDLy$K4r=LZ!QOd<{tLuK;jOxk(0@@gIV%!K zfhxkFpVOt7l@~#J&CvLt!LJ5XiElYU)h2D+1veC=`lEP*4oGF$nWw}L(rcDy9;P3x zu+}dBJ4Eev|J$1AEZ*94%6^i|Z_1)JUma7gno}I?N!GXMoDw@y|KDglV_F$lW5kiI zP{^?!mjtCTN46YqilbYx-JH63&@bMO1inZsd zVeQ#~Uyb&NZ#lJRi_xCwIr~lVHq#a86((eO;Dh#hyb=%F>w_-XLey_N&)s{E2|X65 ze~cQ0vQOx-5q81~H7aH?NPrf7-on3>>JsMu4BD41g zOUfT*p3MOiQa0M5fys?!+UPnOUnv`Xi8+y;AKF}yzW%1pxG!f3&dMMVvDEj{P{=?j zO?*Voe_jq(wufgUoqKdCw$%s2wt5l28e1*C<+Rn?jIA~lE4cHLc&q8w`W?nP9>kX1 zL47no<2)tIgFMUH!3tDCTMORiLo8^eOShACI}6>8xS%Ls;(-Du9^lJ{bd~)kA9$nY zLCh*{3imN;1K&XAg z%;|oRgs5=217zKZO>EtQQ);L+P6|#fx+KSJ(3Wh4ZIjQ;eh|#=!{j^EIJvP*lm87g zo?-HnYqusMN7#6BxhPekV@uz4Qp5)_@*G<_9ZJxxdy{(Rpv!2@nI3hsaxjdvhESI! z>cfFG7y)w%MFg@Px}26z26f_hgxa?bE4k?lJLu-r3C|Bih+$@63~Jl-EAmv%7bI(u z^Gb*Kd{D}PCC9z2((XBa(HiM*KAU;Ck^af$ybx`4e5s&IaA&V1uAAdaw^A&Nu*@Nj zmXNWX#u>>m5i`?7YP;B_!-%O?DedF}F(r7P6)EV&c_+$VkQiotwk9k#SDfymC^}H)ZcoMmC z*<+=}ELeVBk}_LJjdD4bL-e{lcS=d?GEBqz8~G5-vs#7Q6OZnjYyb<4sBmdAWD{Ru)XXsaviC-m}Od& z&*l~c+oJq|F2!1R6PY$`QGyM2HTBTt|$g-3D(=h1>Y?a@yEn3yEqd{4m_wnqB?& zC|Q41?MbHpM~n%sey1Ci$cR^JvlOLXM$$0)6(8F;(un(=i=^a8E=K2e3-b6z4U0E7@nuWJ zl4Lyshj16Xu2)V4uqL`T6ArvJPLaXIf$LYWwum9KAxSvC2N5l@OKSK@mK}1oH)G_< zip%7&)FOk}?M^mXBqzL(k7{PMO*K6*m9w#U<^WXh&NF0Ab zUR!)Xt^ocK2BuVMHs~RlBux0K_%*l?!<5IBZ&bd~6#vetA8|E3%FoS}N`-Mwg^Os# z*>c;PtTo!WpdJ?@9m6ec)@hktX+&FNnf@MF@*2q!wHIIof3H)WSL!fCyfBrsE9htDB7o9pCDgj?EE; zG4%zppVDc=&AU?96nVq^-PFjE^5cFfjLNJ3F4Q1N2@MoP6zZ)SUlqNXZ|ynhz>SO* z<@D2vk|?5~Rxu=GO;oe18_h_E&stWy{>Kt}3wBHErJ5e*?~&Fg`fZ)%Fk&8H9Ww+I z>dDqq(Xs~LB9vUwFC|aNFvUi9vfgwEZoWzD#_*(-T1a{D4w#)>F6zOrqX-9r(9(hT zz_gWe;P;ThX0#r7 zT$f_M>G@&reZAESCH=c{G<0+Zct9=N4hI^spVPk>AJdpW<3`XD?aO84^pG{#x@$t?$e6(bNJoASUL^;Ny6SzlQi4nMZB8CW9_luc<|zq!JdV zwQdIqFXW>-&zj{!15kYzt*Sn@LuxqkI5h6yw$a11$^JG%B=<@2%90J4{@kIG$&F=A z_J4%7SIWEHN3eIc+N{lb+0(sA?yMbLQ#5hHm&o@Md++Pr4NN+Wsg8nYs-IR5f=eSr z#JyZZPc)Bvl@-~n{%bk3YH2wc%_*}^?(WxhDW3Xg!c#wkb(=R9hPNJTh2ZJ``?|`0 zrhgY9X8PZglb6zwF$pL1PPLpm_4hE%kAmhjolqzvn zN@gly-tSya8+~Jq3;J#?*?K4r6V`CZf+^_#Dfn-&hWM5TYy2aPo5UJ_j?Wg>AhCB~ z4SRRIY0cky581ijYj*i)b4sKhob?L0Kb%JlPC18Dk^k*cd>>Z9@M7yYLaZ#)>{dJOw}JC}tzrq{I6sBtv9?K52IHOqhCj|A6c8{)}R}9d7R!CAD1QFf_@dn}wi$ z6MaiUP-3n%b^Cw1#WIt@7BPVqP0%LJ1xTw&MlpH<;L242f_UaU@>GwXGIgkrM1P_s@N&++yNM`EgAtMXs#m>$$Xyj| zl8IiY<@3YA2K9t;5cUoS>)KwYg8+keFW7=CbcFTVQ;1`t%NlV8YUKG8o7(REa!)GF z1!GHrPa=5DU|k)DK15sPb&_#H)+!x6^ca z!Zc@82gztr7O0ooND7Y!8(Q8%vqd*zBXps6{pz4lE!Xwe;b4n*qDIM*%bjjpy)+yQ zSG<|-5ftA<>G;4aya69~9#2L)E%?IJsoqkssn)pN<4GqK3yXBh6 z186_|L!@vpMtx|5QB|<0jz0B*jp{;6aJ+)=tGz}q*hcC5TNUKQ7TH#3Ys}aYBm$a4 zH^GrwWg5|ObF~xj5rR$SZl^hI9tEpw5UP!p?)<{E7CbPPAMgr%1K}sAW-~tlcN=XQ ze)DLd?R6{7=@auLQe)nUg=X8M9XnjxEK0JJ%yy=a)exe>BD#6HQsV()lO%jeQ^K&w$Ij^<)hQye7RPiE>|io993fa)_9F$y~Ev^V4bi8 zP(4Udtx>7b1+dc{1mAnGETDJ`CB#NcFo@s{V(!{-XoIbxrxXy$k5Sv4{^FQK?&$fS zrirG_+bgvS7g|dt#OHDU_h{1kF#Y+RG5q-lGq~i&{}lcCHa+7%KR{P~{_~@)_{4wi--b{8=X0my6aU$Q zn;U)pQ>Rb-=VAK9f9PI6zUt3ki=8BYi2l&UJmL=}W9R(roFh>Dp;R`U-NxTQCm;C_ z9s2h9&-;nFG~M%|5%GtDhB=OyHzdU$T9)ONT6UrMPd6B)vEnQKXjy|t>oAYh;p#`1 zczl+4Y?gRjmUv8-cs!PPES7j2mUs-7nEy-6`z7Z467zhC`Mt!vUSd8kF^`vgV)9|; z?Gp19?|`vO%+Dp}8OhYTke~3E4tR?2r67y%tCk7p6 zzAQ0MmY5$)%!?)F1CDs^r0$cPR`&@ZJ>-9o20rEfJAOgCq6$tqj*V6iSvY4SoTiUf z|3Jw8L!<>P`>!G`xPlbmpw@yn(kIq}mytfO7R-}Auok?4^ntbD80iCRL4)*xwcr(` z53B`elRmH(jFJ}o2L1Uptq-SZeRz~Uu|7OPnlVI5@!y;9hc)94`pTNIo7nOz^yl^T zhjoOm%@aEEJ^I8t@-HNktRs(*wy=&oK-$7O@+Hz1){z}rNAA)(@$C~TPXF7&=yLp;Qx^Re2@OH-q1l5p*M6W zOXv-S=nK7}4S%6GvJ^v1O zttWWoVhsD1*A~;4)W}u13|{iHGu{h^C2n3743RAa3b^j@H8&D?<%G8II)Dqvt+;+S zt$R diff --git a/doc/_build/doctrees/docs/authors.doctree b/doc/_build/doctrees/docs/authors.doctree deleted file mode 100644 index ec5a06b2bf8f827e78bbed2b4473460ec0383368..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 53914 zcmchg33w#eRqwsqGq%S|GWJZ3G*g;=JQ~ScY?IYmM$(Lyk=63pF&I(mE~%^3-6dC5 zYeoYBClIoA2q9t#NeBrE!GwfBAS5J&We9;lAS5L0ftax6g$EBF`}Y3lR^L8V)zx>7 zllNY}Pw}XA{-@9H-dneB-R0DiSAF;EK7E<`Uu#DtC^uuT77aCmiW_aUuA>j?ZX@1$ z!`88vZoOpdXlq;OoQr~HSa!Esm%*Z3^=g&SZEQWhRlTfrZRD0?FKBe^t5;XAsb2f| z)=~WN>e!2GZt731ofFMk%`OLxIP{jAaS+-yFN(KF0Q;*J-ShO#z06*|r9#42+}gTk z-9ZqL-dw%BdS&aHc8GJWt7=}u-I{AIJFV?boO}<^2<1wz5+Ur?wdI-@?$Q5Jp2Gk@rns(Od@mHt;yBp|f61 zm)FF6+lB2#_PNlDW4B>DjfzbkWBj-kdwJ8Ibc1l!9u4uAn*ID$`t8B>O?*pZ2>*QH zkSgG#)t#+-zY>32opS#Q^(eCwNtw-6`%p84i?Vf5foN5_rB$zP-JB0SX&E~U{?LgD z&CRMlfah_cqm#g;kW3T=oeya4_P&uf-P*wg&Soa$CLeOx^RX5!Je20D?3fsRw z=-`Una8KN;1YGy_rM@i1d}&+oEN1HT{tng6`KUX!*!71_DXUt1{F&8amp5IDi>elH zD=w@QWiJZ@+a5z%YfPg}mN=9V?{BwT)0b!A3!8AJ!Y>sIl_Q*<9bwXnB z>dvZbuLNNox5#dg54S<~rc^|dmod(V@#Q~vsR+?8s!G`g;}2cR*oZT`SPkkBS9oNRl`np4CXiVeo zvgb6CPA(`W^Dn>rvdh|VhqDd{tk6S;FcDk+mGE(?&^70c;Qa4P85=qGk2ElPr%R{q zG*o{EemYFji?7s2hqi+?9+ksMDyZ%8uke8dk*o=hmO;3=J^I5Gw8uWInk!|jH#rSzaa=) zW8MnPP+)JwJW`6?6|~w}j8kyWLATY6V!|xp##4)(+FEg+^%_P!TIhmM^FA0?$u0l2 zg77u&vw-_5fxQvenrW5+If}gm^4}o{QbRo(P(LWJ zH$#nL(qh!1L7GCEb=HC&-Oz_pP-zt*rDY7I6qf&CL6911C!l^tU~hyPn03wrD#n9( zGWHyI!g6G@dfvnOxK^+5g-d0Old6tuLXf>u-gIl=K^~p*g@RgQFj>dB_p7tGkD?)o!C8w z2a1YQ4ESFRNW+Vcp5TfdFsRa6{$*FlM|!&e`X65{=sojJv!)_l#mHsQzWaeY?YSU~ ztAqBbMtO)T^vtqPGq{*9I$g}Y6G69!xc6Sd9Ti2lKQ0F4%JOf22F0)&#qcbFy|KRc z&U)plg9be6Y$g}$?B;4U-t5r{4Kne*tdan;QifA1%O4U1s~fWgxH|;)MmSqvZLU*u z)g>C@VvRS~+mjSs!`v~(8^~zYWttZ=pwe0XNkPCGa1Q`S1olS2fzv@1_YW6y-QHRp zMaeA81fJ(<`JiAP#(#_n_jij4GoxliCA9pn6NIc0`+)eUz}}3w7`hvv8FfS4Bon~; zsfDqgGc^7aDa5>(0CQ?aR6@&-1R-n0ejo+{dn4k$vmPe<8!<69FhE7uGvh|lSgBN8 zhp%KnJ0~T+td{YV%<^9?2v_6n1>Uy{?2UN)$EqQw4uf?X4FEBAYwGD^!fBM-{Y-u| z{#GVDP^3=UDa#pBDJ}nPf}l0zK0v-jU~hyxUb^_yvJ=G~jpsbvQj#I+LuVK5Gg!TF zXd%$)F;nsZrX4JBL$Jku7+blp{0|B+G`0=wpAy&`vG<>LLZG7QdNnLAu0}OHZlnFq zdJS*9xb=RLX%7^s2{$cgNTsy=-x36^AqN2Y>jHZtInkP1 ztI;%SrHlpQ9{0;HGVJlZ7Xt0&hM|=Q%l``jgofS^=%)qtM(Dkz_~KJou4uR*4;q!E z=PgZ66xZs%Gw8lx3Rk++- zEu7*z#&~;jDuV0C7)mKD|F|GX4Rr`mM+EjpsFR%;kI^QLc^Y7(YjR|+bjpt6O^o%> zZY$Iu(I~;j0}K{{o!W`JpL1XDVZ(#WKT-4rR0z-T8@Q;HbITtUpzESKjG}s>z}{F? z!x--_yYBsJ8Dd^-d$8lsDZ8|XBWdSYxmpi{4OeZ)$lr(`;X=8y8_R#O z07v5=0sg}Rdn5kgDKA9VJy!KXuMtoiDV3diP0je8c6#>O4W>Sl^+4#wE*Ms+Eq`4Q zyoNmr*qXrJ2zzYS!A_2{7uaK{;LufrtkOtH&u_==r}rq?_rQBC{FgKD9bHZoa-kPS zRt_xx6#@i}d<@9nDzG;q-+l@`_*g*uL#T4kPWG6Ac{2mm7n1U9`9Cdy)`fH&h4d2wdt)ID%(%`9l~Fms+BH@CY!Ea|i*%ph zGT5ILQyFxr8Bqx>|2G67Ys5hy{;I&I^M!PbmneBVib2 zZTYuABppl+1Lj77y%A>jXt;?hj=B+bZ#g+S*W+p6F!NYx5do!CjHCpXe^d~nuAe)B zbWmV#MCu<6n;003(~ir~3Dq#ERK+uV;pEv17;A4{NN6b~qbZT)zeo_OM!O4W&lA`i z(e9e`+)C&*BYV8LjOW(1WX*SKadw6W!N$SgBIDj&;Eae(-na;qBg;Q4K+;8UH;Q0E zU~epfU1!drD#x2)LsjgQbG~?Yn!m=RyHgrP$RY+(_Lg541gL@T0gxxKHv&zJpk3n8 z2E(24W{mD~&^~h>BZP|ma0BZUVdP=vehfV+cCO>$r{snkUb#IGoF7@h7O%o~{W8X% z{0hH8HUIQiaA7I$mj7)6cwJccqOhJ6*c%HAgC=ZWw@+5iIblV0Sc`O#(}1eD7~aUm zFp$*}U0%$9N@w|R5(KOPp98?}71$dA`{z77#GPDG4FKby_A^;~D42hgdmq#7O$&)F zr(`rGvizSEgsRc7SKNQEz}|?~SK7dp3p8rSYU$=tZ11lW*W1ULtUs$GwzQDJl*aOZ zO%SLCyB}a56WANUj*MXbyKbLc#gPacQJ~?go}MtyPJEsjkLFwuWU&v%RdUP!Q$hF| z_W|Jkk-*-Fi>(0IoZ_CdCunH{9oGdS9vi8zo$Rr=@sA9OtpIs3v1ZhasDzgP&w`LO z;@1H29|ZPB#D2XFI)QE7=wB4zd}*Y(t=@2TXQbCzdeuS&$4W+1BFoKTkdA)Q~;lMeV&D^Fb7w9<~lJ;K96{0K4>zsic;FND#KJ!RG;UKwxjg-1i7A zUSOW61kff9!KkOo(j%uPi|g>YjA(aD2{NT+JSDUI=L^Esc+Usk0|I*^-rZwPSPLS1 z(y3M2vtTD{-gz%n6GQEPjs;lRz{F|s)b~S7d{53B;a<`m7lQI+`6U6AE`%4L5Y7nf zjfJpBU!^F8TA&`rPL1~%4po`9FDD~f)-jfnSiUa^Q)9glSStd1Bi6n-XT!yva?-&e zH}tF{I5=1h;x(+o6^``2h0*M^l(@RIjHhIl|58D?8t+BGdx^l_h}SoRDNR}#pTxny z_AEd4+ZMBZ53}{>b;QR)22&c#|2{#W8tg%UZ3*m+U^sK)o(I)t1M3rN=r)fU3s#HC z-os=#cEUoUn%~SQ8BK{S|HlQPYP1ocy<1>!M6(xYM-d)LCS4lh5Zx(^cCdN2y|Xbt zF#Tnw8^~$Z<(3sQpwe0XuLuIxfTIBXC4s#Wa9{+-I&jH(wdMA%%|+}eGTjsZkO}u^ z#RS-;W<({l{67|itP#h6`1=BTBch$OGMr|n$4Jy57Qf>QqfX?Ni(Ba5Ga-tHT4-BK zfL&q+R65K5--3WO;5Y#PPGD~Y+)w*Z=uEIZ8MyHUz1wjz41$dwUFWuIIwQ9O1!@A# z$Qe>8E&qBrkPhEY0`gjcy%BQHba-Ji^4v8*`c#WpxvKTp!f7*IUq(h;X&qxJiRJGX zgsJOp0$6(m_C~CM5jv)Wlifir*zhhSUCgMryy!lfG|sMVefKk5a>geuRxLE6W<({l z{I3y&tPv-H_#A<~5%B;$`2a9bhgK%tMpX3%v5e`uF%Dpu8?&EGIwCOwk# zK)8i27*?q*e^n5?hCKz?vcTR5+wK@uNi5eF|9_L-WuL8H^jrnj8zGmbWCH495W>RQb=;2&kyfvlD| zM{;5YR65K5F+so@a0Y-sBCt0C?wzOet5Y}^O+y|vAee9)4Q!h##QR0Y+m}-kUq;Gs zN@e*M1;J{#S-}0gz}^VgH&F|4KCA&g?4_o$P7thmJ!nV_l0?6p(-Is zQZeBs6YkH82{5N-L?yKRZxn>A5g!KPIf1mQm zh$24n_b!Hi&ZYdRGUz%($OWN%S^iT3FkKLjpdj8Uus0UO;j=ikini?`A%GK$6MB4< z(U0Uj5a}f{dMLH!|AHWR4f`lyKO(RQIxUe_X$GNwexjA*(I!!*~3)vW`5{>7en6J?TTm(z8GJ*vHW`kI2!+P z;13Jzjre_Zs(mV;jYR4Rk!HiGt_s`d6tniHbOfnp!db{*N@Mw_1%Ya?uLszKz}^ft z-X7-!=h{2YXM$C%6&HdnrNFW}Re>oXgDH*WJAyzp*b=}V7uXxY_MM``U8fqs1-BkY z?gmvG4xGk8kG)?T%x34MM3>Pro|0Mqc|o`u?;C&@3+#<}Uyqx2;H-J}DXjMr8@8S% z*<;lze&|v{RE%rXRTZgIQ1htbFfl`Z*9hlP2e;3b#?O>&{i{7XHfTRG?yaokF5lMw zm#^E|TFOvSvHxGj;);7c)wkupPEZlL;vCq&MqqEOIBYnkxu%67>`pANwP!6B-5#ql z@1!Ux0r1WiHXP@KR6eX^G$pe9cL_q(Xl0=Nkig!E);@MXQJ!vR4^h=uN?KcSpYjnV zJd_+;=;%B3pLM{XN^AKa6$Gw9D**jjfxQv*=r|@nF-)0uoDfGwoweljQIcjk8lpUz z#ju}Y*kc7g2)Mur11r7de^vmXfn5Oqw!q#9d|>5DQO0!=qae+Y>nV=~CYD;@TT##v@q| z#8~WtVU-#Q4F$pL2I>L!guvbiyBAw(&S6$+y5{2l={k&@WtwCxhMZu?eHo>?1hZ0x zQz|4V6a=f`e87zh?2T~NNldia(+zJqSwow_arz#8%i|2TC#@o`w2YyY0;vcEL29Tq zKz*&i-U!u)$@q2L5T}D$90h6=I#zRf>@bNLt3RhBvXqd)lm^KM1%Ya?8o)wBJ!knHll@w_Q1z~H<1~7k6U~k0SJs#k=KNvsu z(In~hoY&)F_CpMZX{VHkxLCzVN`Rz;f)F)Q0HmK0*c*}dovFE1dpdNHmWAr_nQKHBi>!K0i=B=Mi%c?uiZ4K@xqW5 z91g~xu|{*r<3E^P%njlFEs zIL%mArz}dyNKx-`@B1&N?a$~4Gb3a$r9mpf?egJS2(bV7YQgR}9e@OvBepwXJ*`}= zd&$lj1in@Y&NXcGve-Hk1^Kl4{!YZ&en324=!I|#+%UBAfW(8(06GHnvjq0W+N2Rl z1<2tAq$1J1EVg~2Y758PgG@?0i&IjCsJ4uCw2Y@@NIfVBS2xxecy|cwjd({+V~TVJ zCyMKCI7mDBRxwJ`t4x$!*DJ;xV@xd2^>CruaLNbcDmfAn3c}a8P2i3Q?2Wj)&tNa6 z8hhcqF?wI*Z0+$3{1^jTX%R7{RE(qqNIWPAQ6p^t=~02b5owp&L^vx!V?@x>3S8zq+VFqzS7SLrJm z?offA7;_F7RB4fLP!PBVJrB@t7uXv?_mwtl8!nRDyO{s1giUIYC9F$0%f;8+Tba?$ zOVy>8)-s-wA=RKDT#dI0ytfGK&3LpA*e=n|{2BZMa1%8rRvTsud(ID}@Ul{Z%V`-; z$&gY|5U$3%0KA_P*cRj(8>c+2MQoG^fv+eX@R{F zTCK{?VrJsf8=wB2A@|T)ZlWT(UR$1&0x1IpL29U%0P0@__C~0_k;W?SZL>}p$FHkR zb!zJ&q|zw7j;j8Tw6+m6oHURCkMY7U^ffwjbOWHf+i-jXX)WW-4GtV z>&{}TV@zdbM1;gDMp6PK2o!{<>*`y8bVOipMB1yPL&j!$FrvpntZMbzulyi$?aL{N zEF)z&r9w(SL9iO`Nx;2OU~hywP{Ipba5w^;9@5NWl@D7ch;V9i8Ta?%ntOx^4;IM@ zGoxorB}M8!LD(AerNDexU~k0SHSOUxFk2p{yt%3hdnCSUFx&2|hN!fNfs{Sc^9cgf zKraJOO<->XIy{N}b{NsmmT3cIa_qCT86g|QS!|!^dG_<=jCUmOfdGqKFsxD|F`poK z4g0Nt{Z@g!5q3Y#U(z$}Y40<51RyCQEGo(@?U7sLm z4f$<={CX>E%HB34y&4 z3AwtFEC8o;X3IE2y;{YnlKPf;nwjYFK=%n|L*BYBH34Si45^ezuO|pvLw-9Te^p>_ zggk&*hgelxauN&axhcC34xTyXUWb&wz?275a-xH@K+l*;iu8Jdur=l@fcYl^dn4xY znI`4@nsu8|vOqmLI!2kQDFG6W7t*}MOtZ&q%fB%0V8#sroBS}ga)Fe40t}7)N?`w! zz}|>``xsK_&myBo0zHq((ZVs>O*eGLXuDDp)m}Rq#!==-x(AhXc=jsb+$gX&;_Oo| z7Ga(l#+*Gm_o{kh>2B< zqy$K$CkRm^wScrBus0$dMz%xj?ws}Ll}?T1!W!SK;pV38*r*WSmwKrfCfBzq&1?M`V3Dj3n< z0(&D+A9ZyBkeW#XF6?6M{Q|uAF;stAM^srMgDDLX;RyoOVBZO__X_NdV0&gM@78Rv z5qS|MUqu%=zNjB+a#>_Q&Rl&tnY!9KI>u5Gq`?z}sj*%Utd9xojaWD>;?vN%S;f;q z#aYG950H)Fm89*7=zLf5d1f2v))HP?%z#RV#CL*#HQ;vv@Q(!cM!>-lJQ2*{O*gpE zK(;_Y{SY@jIjE=Z6LIzVBB)$^_s=>YwU@HQ9BiMl?t*?FN$QTm( zIjflGP3|C@$h*;F%kH-@-(f9(`pGx41L7PD(;gU9iIDg1g*{?|YbUPg+G-X&FN)1=8OMg49so52!7Hy%B0RGQ8mFw9!DiLaMfg8;uoT zY42esD=i|Xl!}p*0BP?8A!?*I0qNZWdn3}FG(5&3Z!byjgKT$kP)1EHH?i;#MrwoB zB3^{I(jIAd?a%sUCcP`=i$Dd=xB!$Nq{R~e=>m8&3gDLn_QnD@-r4&|Z!icuM_bVE zL`^JH;2{yG1My-?EL`XB9e>E|gWYbZ2o|xPU*Lzal?$ZG6JTiUw*dS11@=bl{R?;j z)uugnGmmw}zK% zU;-eyJ|Ggus32K8mnD=ieCGL z4(8%h8%XR-Mj0(Mm)mC-j^#_l z?~-23ohCpn5Je*J@y;@A~RWO5h0~ijHCoewkHTtBfSeq zKQFL1B3bR!NDHo4QJVs0AMLe=>i3vwPew&hDH%g41rqHEg49qy0;tak?2S!+6ggc5i61_GD&f zxxj9&SDd)}_IS-ro$=q1E)N9Biw7MS46D>gw+AQEVc?Gf_9}tB5%$DPa~b2@5V#F& ztD;MeQt*yD81T-HJT#+*2xIL_uxm)r&&)$PM?`G$#OTTi(((yVbUnWt=z9eAMs)0M z#L$%vz9PS8vMa)AtOmu?n)fm(b~mPV1d8EMN627GgH(KiKsDHp0qkypy%7vYW&>m_ zU7*B5c#}{vuUQ&7)uSVwW;7g7$tnqMDkWt&r9v7$L9iO`J%BqUus6bCLAG4=&{7uy zA;Cli14FY>3;Lvo?2%QSLi%L*iSEqz# z9Ln=eqcOFI#daIGMV5=-UcAY;!#Pg`Y;?u&$_oOSbtWN;0 z3+g9OQ12Aj8w={bQXDi-Z=a&HXu#R5Bu$To}q5lKBbXbYc7?3gaUJdt+g!oWE{>;-K6BG|Ug`*bHqr=KERZ zRylt=VxsO=GolhAxt}0pjre{benwz#M8wt%9PYusb<7$QAr8zJk0Za#WZ0U)8UkYx z11WnX_7eoCfqn`=UlQ0Gf%>pJmVofSN;R;+5xLGutgdEy<;|UeV}DvlP+1{^DGk#5 zK`I?C{xrZY7uXxY4lTHii%${SImc@cEfyTFu^gPkNk&|fg=@kV6CTbxAj$#{jH<*) z|0f7t*Wm|%x=UbhL_JV)F$bj14ic{GT}T?|BghMn$AiK-;JX;~V3C|)GkV5UQl$A4 zgsm}u2AIPFdn4xIMf5A!im&R_-Aouy1%vkNnKNqZY%(vHpZc0&(j#3S2(!Qi!zwjW z{|SQEupb2MguvbiyK51%3Z<%ZPW3Wah&$C|57-jp?e1s@i(VMk5iyXmN6J4zfEwsS z0D4?tZv?W?3u35W!V7QOUFT@8BfA@nv?rrdSS({Gr9h%TL692iX8{!p?2S-ZAi^VA z3@W(TAFD z*yBd{*UUQ5r6tacm;sf}^8be*U=8^50Q^gVy%BKFX&38WAdF8Wry(&4b$e{jxa^kB zc&#rlBeaf=v6RH}uYgiIT>AxJ{nuBEb>Em%NAiC3e(S0m9jm#Rv!%zTxn^nhY|>7n z=V7~c4NuP(aC0mizunHn_oqD)t<)tKhVo|lyPrW}{2~ftr@-D=$9t1k^Omr{MMq?5 z2rwN`4uRs!b%>$&<&?yokusc8S^k}ZV08ojCBO{|?2T}H76ZJ?H*Qi65`Zm+cx_tH zBMsC^ChJSdh$^dNEG4o0NkNzz>msnm1olR(Lt_C|P^pQjOiFGHv{DlrHt})Iqh7dh z82)t(h{^nn1A;8_z^F=W`Cl&xU8DXoP#+W68&Ufx7eMJ8ZI%M%$@4fQix&Ps-jPMT04?;8CjorwJz*8>oWU!#lfKl({99PX@A)UF zw=w3?tP7&-;e&CN92txS;cMKF1NW^0dm}D(OydDv>yT4$pU1DLfP*{b?2#G7LWHcp0 zwqil38tvDC_U{6FBidd{0lNmSO}r%yDY>aFP9T$9kBpYjzO^%C-IrG?xRjLPlnVKZ zA(oC>e*7o2DjhNy3j)@FzX`wx1@=b3 zLwK7VR&QO(pcXn8E~piad2GX64c!v98x-F)Ud)t-yBrW@w+BX5V&pXzgsxG43#g9> z?2V`cBS`9e7H?r{Pt8$(f3kv|1|y?AR^rzgbAMV)gav9wR6=Ap7KE%3KLx~wz}|>> zV%}Z%{02rV098+zxkFE5i_U7#*WbQ^afh;w2-xU}(UlWqK^CBB^xp>h%LVpEbgVbG z?-58;*3Nf^Yjw2O?9UG{EUoG!_a&ARF>4u5$&me65U$4iH1OUmus7mSX0qVodt*#U zHIP~!WFxclJ(}%LGa5a_q+~>u(lM5jAOo@>OpWy!V7*^pZ^YU?gRBeo*(P3Wl#JCE zdTlTK4TiEZB4T0{BPjv09t%R$NWTN5PYCRdNXOcnA0MF=s>ZU@RD1cSoO(@7^w6?# zk6!i981as7C+Zq3^1{f<0kRwm5H#{*DXL z8R6~Ei-|C&W<(`KZe!@BgS_7b;!Og3BjVmUuNDy>&P`GMQGQ??Lap^k8*-HS_NA4? zmX|V|QX#XkAXr_0zX!O(0(&D|KaL`9+K+55dm$DDsCCu?zu9Xt=S9r6HzQO{GoxfQ zB|;WsL8uz-_ks2TfxQuJ0PELr0}J3U#`UJAJI?6WefF3yKg)Rgvtr`wQZu3wBCD|= zWR3U-KwK2q8xd_>K-kXtn1dJnB=c2ccz^pUwrt%~JP5BdAzisCt*XJCm;sdzS&jt( zYrsDQ;F`eR2)OTLBlKuj9T1xp?3$w%>TcGstEliH)o3{2ap1k;k`M*DPZJ&yBZQm*26~tRAbr zsk*0Hs`gD^SGB6wS1+$#*<7v;9((B)>91~K(<)Av(=o8M4q^+GRAUn|sE(lN1s}Q#wT?B~%N001y zZG(2%P;qR7>noFps&}ARt}w;ax+ZyF;#Tzz(q7)$QEuW@_KkR{{rOz$2K@<^KHaUk z)^!nHbc>@P(N^o0N>D}_)}kRC^u^e_Q9fw0SHX_C);7Fe8#z9ax&ZoZ z>cCU%)YtLL^~u*P!S@<|-QNDS8P@RIjYt5ogqNGF(O>a(S0k=)bE|dhN)W_!Ii&tL zeR=D~2rutxH0w*}>2SjqKG}g+7G@EYsQc*$*)13ERm)!NpKS57=|BJ%L>zU&{D+%OEn zCA8xTp5ai=6lxh)!A(Ik&U(38dD&|9=l+s&;k#(MtsRM9j^XNu7bi|UfbY-#At!F# zOboaWMO73L9Xi-*-AD^}P2|@?iC6IZ6>ejz^{mh>BX9Fk#l?(e1z+Q-lD;Nc*rO8h zDog7~ZQ-5Ys$SFD;b2eX5`WaX!b79Fk&ZDebs8QDR(+tJ_mJJ1s_rKB37t2NsQUS% z^~lBHm!gs2%I>`VyG1x`_b^#c~6ELdU?DsBO3GY8i3LRDG#)ZFRz5iq@%mU)8!zMdLM) z4VTE#ZmEnaXvo)x&biL7xbSX{HXE^XeyQqF24uYMe5-X89thB?Z-_7x5idQ_#N``7 z`7HH&^6OG9C_9uZ043OuT{XB;@I0SfR;V%Rf0m$LYpx@h9q4;vFGlesm;0;GNP@E0 zSV2|m>;G2j5u)aD*6wDvzU)?TNp9l1kTo@ix1D8VE?8T_yJ)@Il2gHvJk=w-vUR1~ z*w{k1-nuU732^D56?u({hjtw=#mFI#X(NjG7JLy}MXYWrXdx>R?m(?uGoLn)tWSLm zZ!4W&uA$anQJ-H+A143yUxdrke?I;%YHg#BRzS@7etaTkO{EpdU(96- zmE$iyzUgVlA3DBq@!V48Xt`J|O=gcTo(74@*<60Alr0>;@A&L#i)WX!la*Yt5XjG- zIeXUZ+4mjah#$|aZiBMUQ>d^tTin<>m>^TnCtBn{zZW7%VrtyAWi#~BO~ zU%hzNd#WM=56B?+2 zT`3_e{F$k=+&EjQ%$Kj zL-fAcOHrJ}E%TNwpc2}OIeX?}?~+I}6>jZPwF84t&r`EoQQKxOLlv970=4)`{C_?E ze>MKU0affQz^;~Z$7ipv&Tg9RBg0_M+QrSy6kLeH=JaAb_M0XR<_4Q&&Q%*Mk0&Lq zT2_a2tnRC2^>ozFAe}|}E6PB&Zc$N6*Uersdo7ytGN^G&M_IL4%51N;%6_rfdM6q* zy1sN*dry0ZWh3%!fM@nFQq6^{b^UrnV5qy9HsTDb1zA5kLuA_YL;76+$xt(pp(c}4&`%Y88E^Fz`69xpo8CatDd+DrK{~vZPMA_HXT_ zFL#&cvnI#>Z7elXEG)1u-QqA2=z3i^g>VhU@dygye!v!+}EQ{JJFkDJn3GkuGK z|D=GA2nt-5NqL`EWN+bK3b>z8$j9NXip(yffWIbyW1<3?rBdEE6c8-r%K-Ub74mV& ztLsdEL$lxWV*CR$NW^L5?Nc#XC`N}UH+oPESwhRXR-6d%ZwiW zW@zZnVe|L4E?RaBXAWnlbNQ@UllAwp(8%tQ^v3m_JzH7iK($8G4&Gjr`?Tvh5nUpu9KwuGH1;mpIc_-r4 z@fH(>{h+{hNegxsOnJYiz+$mq4eSpn_jhLWiiIn#eMeY{uH9-49 zg}f8(GU|AuFyA0B&F_Mbe@c08RODyzZ2-PMRLDE=tqAvsQJfzToV9X-mqk+E2Nn5S zyc>b{{R(*}-qxBfI|}&o0yrWlh*>7(eL;c1BHjeVpHs*?5wENrRHBgoLm)>b1v3k! zy#G{Su$Zp}=I<)xotRf++8Y`7qPWjGBN#zk(t@1@Q{Fj{*A8;m0sAtAyc7GGGCYSS zUd!lPW5e1-^M^pZl59zNS17Wy^lpayB?@_gSbv`6U5s+_o=AlinqJXBYDW6{nn^RP zOR?FSE*JBeQtkoFv&xl)yuYK{vDM#!54JwN0b;?-2^#AOajcT^1{FkD;@V->Eed%M zXD5zys6c0HGEeAdyQ=f^#Zo1W=^18#rNdLjqXlzlNr!K3##o%Q9`>h&z1=aB4~of4 zPEy$5)KcEv3hOKzTfoMh3i*a?+(2yPbCcOZIh)QEXu6uAgKj#zoyiukr%#jY-DAT; zTLwo*)Ba2uQ{!!YF-D5Q$O$DYtZ<4c?|y}qmX!{$GN+Jl$VwWUzBsl|59}M=lb$T* zQA_yX+$ToDC8TmaRaeo zJwi*6m6jR=xDXg@t zbc2<*DdZcnvYlAT6()n{_A?IYI zE*x>fDerF;Hd~H*z|qGP@(nraC5}q)(@9t9Jaa0W#@wd}{}*(iBMX&TJ~i+6w;OPJ zP5ow9jF!iQmL(=AOmJE$@2d*SEEBz8;>!y8hD@{*6Xu*dJzt!kr`9rED$b#nq_cDL zl?6;7(8ZW$6CXN$A`~>6lGx6Pq`d!D*kWymuZs60g?vNXuNzyKx99FvcwME($J;CT zs?106o3i z>;!gs2%BU;UOwKXoL)nR4KGE2gRIvsuBfptD2&MWfkxx`s4bR$$gfNW6W$?=PxNlI zn`&dF;*o5cUE*@nIdV^0=~R) zB2pTOlHlhS=Eu7PAAyI$*}hS>tj~ank}8++?qphR8Jiq{`$9KKl5$;%0H?0pw?h7g zWPpxxub)1zkQSfNRu(zxHCz7uJ-nm8J5xTKg&(RpPB4B{#%Zd*m($oYgO$Qasc0nn_`8wf(QIjSHqh%} zJ%4tAOnRsegG zLLMW!_XcBt>6AAR`pX+nSE7czQ4C4a6q{Hn<^72Q zqqXUF*z^X4ywj#tkr`Ihh!2VpF;PLyQYr7l3KSOg5Kw!r~7&w8`3 zc_fq1(WzF6cB-=FNh~1JWx8L6^Ph6GF8rMMFjG~Px-iL_Detti^dtU$*!eRhlVWFl z|KnydbbME}QY~fg%9IK)bQ~MF*zupLPU28!VgbwgnJf+h_^^XFcl~zW-QFMD0lDdf zl4C|`4`dl7BWn-;Z`*eyymVg*iW-qX}{7{FqjEb_1c_4oP=gr+bHl zHZU6QkkDR)c8A1u;jA0i(4>WpnUXs|AE6eXyhE}-(BK`C(Rw>1`-(@>UA^^pNNRr< zU=s$|c#usqJ0xQ$0SmC<4#{F?&7~;1W40?>DA?VQt++>p+g^4fqYtMt@hyYM4JyB< zi+n4F9W{nk@u6MC+zE5;XZc3k1*;;+quuaxC}YzN$3z7lOQpO=nt*o@@SdfRr^fXg z#thjsSm|IlG!(bi{2X*xwciT**O84W?==cEwrhAYaxl!CQ2DnH=L)&G>YP0r^|#w? zl!PfdZtrUE=i`~~_TFgo{~1wZnt!t?3BQ~O+~E^=pxSKf#UWV#Q-wV7E44p9AtN^- z@}vs+9?5*mdfQ+F+0Ms!a~RI%Q6AQu9q_Q^B!v)8E#<96qT1ek9EP5+kWV6Hz)Z!h zi$SG`AP{MsoRTL}dX)W*KnHnV}Rs09URwAnotsXNkL^4#JPt3VLIn{s zaQMt3e9nQ(4AHtX;1k4CaY|WcQj5#@Z@?a8*xr?>^TE+#YYhb3nJo|Dl9YJXeS5O) zcGhk3!{T6Nt!a{C?3X$y&oXRSc*}?zNriDeWeK=igkDK)G5l>EJZExgSU6{(XhfgU z+`j}g=bBR?D&3%lE{47gur%(hZAG1c{4L2acxBP3d)rgnnz5*yVjrsFbo#bjWp{PL z_aU9;B{ntj!1K}^sCCjYSoc;N-$71_UIcW0$A1zt3Apn({giiHL4qZ15~Tg6LO!W= z-^i=#t25*zQb|u_X*XgvH#3{Z|H<u_gNTOHYieXv6jSAG5W! zox-wlAh)&q^s6&cAGvbBJ((ce1(?*)1#~q}gygy;ZIq^bwl>Cojam z5@_&3e74>~d@oMtyKwZ47%SU8MHiLYsH zTMm{M;0I_tD0=%jhf#RPO=NtpieB{oUAy4DcS_4>iFjmK_XB2Ixpmj+Lb{0%|-3lyrEG+=j7KOYM`$ctH zQPhClVnAILv4W*j-d+VNYef-O>{7@(tq3`0P>YX(KP2Fzl7g9qQeH-Z!D5~V=KB=# zZp^ivQWW!%5ax)aU}m9|cT9o7V!j`kD++lh=JR9yW)$}G1-3(2kh5IMdw~LpMP35( z=PBf!$X7*r_bBey3+|Yxpk}F*_xlPI7Ihh@U#pOJqFz}$0!2Z;M?gm<1v3k!y!RX!;211etB`lHzbZ19i-K<#@G(*0ouyJZ$?4y6wpyg!OTJ_?=}Sni}?Xy9#qIXF`pNm5=T+rE2!hLf}6!s-cuAf zEbgZQ_uUG4C+_oO^Y$q4vH*7o3v!lAc~u1xi~K<#zh5EmM82|ibrFUAh`^3Y3T75c zd5a1R7V|^E{2Yb68*}XfD2n+tA1^CI-om%0f=tUsF8I4f zHa%S}aKQ9(yIHmOI_^~c-T#9|a^0nU)X`I5oJ!y3322V;kk^ZN~%# z@A7^lxk1@oUXwc5xvXJDlnjyt>ma@&p(CN~;MXwmTXky_IMRP!xhRz8WuV{0o4}ym4212Z)qNjjkP<^k0YTM?X4f%r#d72S5YI9SjXfo+q-nc+~C+p1gbnY0+fR7raxG?jW zlXBUd9=z^4Q#i$PWu}xZ-=9zWRX$c!laE8Dw&)(0yrd|Pn4+vGipCZt716vxKB*|5 z)qqt5)662)M|vX_VmUXLLwKl7){A~Bo5wfs2;nkr2{lPu#wc6dT+Np9`0wr!{71@| z5Y?jZ$ndc=6$UmO@=j zA)qQ&7aTXKoaXh11X3z+^}bEo}y)#1tEFhadYJ9K*!c@a?t6dGvH`x zT%*&oglffwlfN*WyjNkP<>bZS~XY$y?uZ(6R(=qRJhLJ}V7FtGL0!BWqkWXS{ctcqkFj6C(RY}m+By2Fh?TbJT5F7#bb0Rm!(_7UQp@BCr0vb|Cpk8)8Ca^D#lIBt z-%K{hJ&UiOh1>Co94o}_Mzq6@PhIsKpU5w%q2m*|80j3JI@`O0l9q?C=v(QVHZ139 z_to?f+RjUMd|DT1u;bJ3)HpuXJZ@D=8^0&((2JNn62b*;l=8E{>NT*sgG`!ndwK=< zxA5X_PobU4P4GFyaDkhI=mGehFpTSX&nk!SRy-%un-QT5kd=um?<*mYk6|^KwR-=DKpLm7rKKlkb5d|ZMvB_H z{d+KWQ6W!t`?&#~@eMXzo5w2&OKkJ@UI*UaRP_;%7he8|fWt*sVW+JGzYqD>Dde5J zNUL?95Jm6SQX$wTQSWYTXIodjHcvnJ?{3mLQZ)J)wJvR&cSRrTtcgDMNyFtQ=%cBT z?PGxkxA`~Jw0R!7_)$k{@|``ACSUJoDdI00SYHS-X{N>hG5ELe8n^g$rtcn z=2pAsG?y_UO)IpG%sUuEnX&A_G_JA~i5j!3nc@3=)yni%>i;`?Z9gXc|0P1tiKi_T zak44zGKI;u&wDc{dZI!;i6Va(i;jQO@cc$RjZ6veXk+<@K)A!1NmFa^cxiN4>=Ig* zmYz_-Nu|6Vg=v#rcIcHhelg#zz&nnuiC25L<++MqiwR zJ;Fhg35oHXM#{TgVT?8YtuX#Jg?y6n8~x6*n$KnIhA@JSWJ~jSLV(>2_~JuHtnA0d zZhabJGOMS&355yPJ@9oE@g;#0R>W^w?(YKZ!v@#~gKV0a4*ogVw*VVX2M_)h9$7K-0dqvf?|JW>qhXEX;nPj19`ly< zKxwL)E11hsJw4`~IYeE`XWF{VjB2i$ujJaMa+y5E*0&J>&_i~Z*SL52!Dy)kP5HAj zcBJYu*S`iZu4ehB{0=&7$#Dl;bAPMHM1C2od5ov0o3ZSj!~xvSOnCv9>9YupO~||O zstayjjbuuhQ9ORY-*s>0du7{;#hILu>gnPOU}M!%#Shrg)5UkccF{#PiE`V6yZK1% zKyji-x7#?i9{(lqY`KyiC}2`e<0D@p!|{)i=wb{=rr_t#X%AxNT`XiVp%}>^P?s-j zZn%wB=d-23VjjV~{Ht$0{_}hsaTl562^$)8$0p0Obl_-%@or8JkM7t%y2)g0ZkbWk zPCAF&Yre$%jqakA=3p8Zu89IXQp#k^1M3vbpClx-a1S2Iz&AS|z;?HDNEQ14chj)&q2l=L8)hL-Z*=i(jiY%)=YHR&%*~R?DK71tCGj#<6ENQgnBP*! zQ%C-FV~$*lJ1^RdqtH)p4WP$m1viV~RVqkp>HQ1fIZYw&#C_eczORXz^h7bKsbs`j zP5}>GDbU;D_WiK-B89xu+RGaDl~MaT#J&VYv50l>;-mthwdgNlQM*FkY0)*h-aTsC zZDN`>1+kHpQ{Em0W^3aIVB=1Oywk?WyKgiwMeTWt*yEBG>@1k_#uZpB_P+x5dlm9d z>=)M?Kcf~@#e(`eVh4+-yrT+S){YOtjTG9`)Pex2Zsi3)0#N_oGhKw(jT7^q*PkawewOq-&p-yK376&2JhmGa)J zKw(k;HBi4xA@4-J#xb>vBL9pacZv&omP8mb1saR~BS8Ntg}f8}`LQWz6#F*?yF*xz zvs}viHw6-l{G&krb%neW`4wZ0C(2Pfej#=ws)|*tmGYjjQa?BO7_9oArCQZmGr5o2 za3Ns$bHRw9AZ8f^J7@y&$AS0)g}k#Io>+JJ5k=o7=o=`BB`lB72MT1iM*R&exlSSP zwB$nfVkv6I4l$#ihS!Rk}Bjzn3F|nM}LHGoP zCD!u4h2>9H$U7}x9bcVBO)H6ME@{Eef(WCaz+$m~64>Vz@=ok4YZuW`+|L!dyf6I~4Lx)GKSZOQN7ZDWId0f|-Tj-KfA|F@F}AKcSF!VqO*5 z(TRfont+ap3Tl=@kOTz^i~8??`d=0DPSh9I+jWY<|CzwAuOoJ_I07apa9KMZg&jXt z$UE&gFSY@*5TMVy&ECxZPo*p`~eu&TJ&s*R&U=KSNuEp`(Y88=`-jUgnz! zyfZ%pH}-sH(%-l45sEu0?%VGGFdiVEIPvV@25hXw&#p%GETDS~&s6BAC52 z0qjcv_Ev>FRhU0921u(IUP=KqE}l?38akP&+kzcAIf>$W3+fbZwS?U@KS?EoiI{>; zTQ9y0`Hw2(h5q`j&fQ+AfKAP-uc>IS-TQD;c^!q%p!??QY`hILwWzlpN6|z~-}rUp zuIAIQ#nwlvAl(9>`$T@ok46wezO>&rh4VcH*|u>071Vu4Ay0)P<9XaGaYt~Y42PuL zIl*~~+-vC0V8mCEtl?WmzH1^~IlxhBoXo+Kkf>$%q@*R?P|JDT4$i@cb}ITcP`FGX zpG5UW`z%MMT7lo%3_XRNdjPTa`TcVL7`xX&XYig!f}*8NEibgJGqj`?X4)F~4bXC_ zLOzL>oz}ezms@dJ6<42g?7_$GpM_$fjU4)>G9`MpLV^+C_6yfe<3Ag(f;&z|s+Q1` zlbEo5yeBiV)1%H1&FgB76@`I47bGZa@57#4dZ2 zI=wMh*-%D+d3rWtb9w@<-DQq3pI>O1LTqW=>xX}h@AO?k?0$$Mp)CAk;K_{Vu;Vqk z@c8C$OKZN_Y!O-UgbWXjhn^|zSw-pD(*7<=drBdnRNCEpGe_Y4RLad)j2k6iwf8G~ zIX#C+3N$+I9PQ&0>*#QlSXc9DSk{-KDy`({hPQ_mJXqeo2i_i3$S3jEHV6;23@UsM z9(Q;ir8++acU|_WOXv2Frnk0tnh~zM+ril8X0$C=%_#{>zin80nZjzz()YpAafN&m zOF0~JO`sc@;;WmZ<|e0cVy4{^K6D9xyP4y>`0qER%d^F5K6u!ug=T1oA~1)VYW7K} zVrCJBE?bKCBD0TI&bD+OzYL{h-)2hoEsBz|CHn)E>>CyGNhLdIMn!*Yz%Uw`;NdS6 z-BH}phx>XyT`6I^Y$7vxn67JNk4t^Ha+{ zjOi1_;$buN_PV;Idro0ywq#Ce9#UkFnIikLq6ln}{RBn!MTLA)k=;6w&%+;h3WF!! zw~*TJcUnQLFhsIpFJgb(Wp8O`vD|Wk30mq;I31z)r-t4iE9kQH{tWc~Kp~$*?iq0!Zvt`5FhV=pbo zpH2#X7i9MtWcLL5G;y_N_)IEn3$fwdn#J3wCi_EU&=HsE;v6RJGwG@7d_FhH^LDfl znqNk`WE|q*N@aYevg_oeYfJECB_{-z48imGQ1(K@XA`Y?g#xvqTu^fHE!M&9nCtPg znr$|8A0C{WqTRpg97plPut)*j5LCK2Q^1xWzel%gJs+Cl;)$b68aUxJE!QU@C87Bd zL-TVKtl6&WT%!3I3I!+4(%HC=!6Q*2Orc6!5%usbz>c;$VIinHX><$F^bFb#%M}-* zqb4rIn+=_BqK~FJtTzN2++nrW?Xc)k^-j|vSvRJd4y*QeDd_hZWbX;`X{N(kNkwiU z#yhN#y8%B#iA^$l|3958mvQVGn$3@o%R*~>+}!%3j%$3pt%$$Pdd$&)xFsfnvMlsI?8;h$Z7XgO79<;vjrNb6NW(GCOCg6KKiJYD zJW6Uc-v-jP=*}{h0_&$g%U7~9phHN7Q0RKzveEd2x5OADRo zom%LsFAv|?+{aFF3D;%g)1`Y(VP>}N9cr8h&a2rZ99A#QC~1t|fGML}@S&YtT|^~w zgF=Bu{jEXa$0t{agy7*I#%wp!%6IfA-2mpZE3@I;>Dr>dTBT;WR7y*&eX?QXE`@=% zV@eStqY8y2MqV>am)@~ACUGGQ8(iMJ16H7U{WPZfJnNrC^E56_!331gR(sP36OAa; zbpIW9tL4Xoh+u@D=mUEP2u;nGt06K~ENrNR1P8Yu5;e{j)1!EfG&_fNSq@9?_&w^r zr9rmEvs85{ulr4T6&3W`^177D>o6-ca+^vCZFF88=G4HKphZt75XOnBk(Qul1x%is zKz%u(epsPEwd^5do%mFRiq>5Rq9(muOiI=j!&oil{f+{sEsiV5u$L+noQ7>^rsjs+ zz^>8h*^0Um;y5NvZX%)1_3cC<_E!|9SuWDV#h)k?gbSIOL?=&9&#hsuN2d1ISGBHN z(tn%5J2b)HFp5CMd0Gc^Y*U2uG28e4IU>&7%N3lA#GDYWR_R&ZmC{lHKW|9?oPtA3 z`Z^;0vkC=g|6QL%_r#LNPnp?>dU(%`qVryP-|^Y?_Z<(u#-3Z_puNL9gKIFUTp8M4 zD@_KA*yz_n=KmNn|C>IVnp*xi(BP@%HMLXAP{f-32=3C^$J=f)x2*fSAX~nM+t#`C zp+;s4d;=A@h1hs<`OuD1aef|E+~0cZYVTq1_^$R|jKraMhwW*EFv;Ql6n;WwI&%b5 z8yxD=xm7;fW+H#L)M${a2?xIlk|rM7`#JsY_U`NAv(E>AnP_a2{N;j>Dv&l+;BtIu z=dPQm;8O|(YUvjRbt^u1-A0b1N3#=UJm$gWg~?WxyaG)iw+UW0;aqcc9x;55!FN1Y zK)|nsE9371Y+Y(fwm6oafKa*BP}!$o#8!VP9m2e4$0zmbfU`rI)pVQfD zREqhjT{xgdaS!e?7Y({hXem5gI$!ax(*)SmQ9N9>s@p${XUmH@K(iYdU74C(BdLGOmZR3;S@PHInqj^omOTl)Fi zE)yAR4+0$K)5Qrdh}YC*B1!EjXC|_UfoI@uWgvSqW%%ToZ%inxy`%g0wGHj?Q)C-% zx1pfmjsz$}S?t^~m)rQ~p;6QvDy(gs#*_@@?yu&isB-l))5A~l<+pO- z4rj`T_m2Acv8}_!2Xgs*2L3u(xa=T2Wf=#x$apw|%}N|U;?%{6_izIHvSm{TI{O&F zKDcHwJX3l0VXKkh^>OYanF5j;$s9E{beUqK_kYsxQlq+RXdFQ;;8aZftC8GcKPH)p z@%5xo<=)B>w+>*)Vuc>I`hfrT#P%vx06v{CrF|fK%mC^%^c}!sQjD+H=#}%pH##zK zz^AF-#2>>mMkaY26l(>0rypic`h*$}#d=ox;1F zG+87$tJgz@au-)~|UFMI?tDV~S6X??v3Qo|igW*YC6!Q}Wb3{-evkZdzDG*qmw-V%w6beqr z7a^vnYu*_J-yz`Zs)!jZjfuSil{I4`G=qX}MOjKaA6k_-(P*~VE5bS#u3QpK-9E*@B z^r}F2iVJ*}MCd*R8VmnMf?rlBIN`U}td^p{7X^4kP$07mV)iKzSjaaK>_%nhyA}Ekq20{812rT4V3G$~D3Qovt9832o@NWumr?|jpNkr;Xpt0}= z2>#a<3QqXTLwgKS*uN0iHDUsnB@lvdt$sSNo#6h@QsG{ixCs(9;zD5aSA7a)F^%;Q ztFH;u2FbJw6bjCgI6t;`6E&qxOmPScbe2PKJ_Qn6iFOe5>l6x3=xZEXOi}PV1iVvR z;Iky+@+r_*_&W*yc7=iy{)O(nu&4$1hz0dD#0VBfh&=@+Ys4-x;>ijHrxEAJ_U)qh zOM>4aEYMjF5%v^FEcDw5`n*EH34K*$doc?9xdJ>UDzI4!LG~0VEbQF```HQwC+zd0 zd!SL=uNK^KSpm*sh_t7`VS(=<;IC3BIDxMS@6<*?zf(Zh$_Zc=L6AK~{uc0F0{jkz zf)gsPp6!U;! zj)@9vmP&bp3KSOh2*JKZq2PqQ)_FV>1%FV$*HI7?ST^O|tw3Z=coLa#r$WJL!Ue9A ztf&P=vA``a2C!(#yI+CF8gPINm{TY?4QQ=7bBiK>mLQJ^3S^c^dCyTGu#iUy@-q|) zPRJ|5$AVGBuN1_!asrq|Qr_<>^0$D;2=MPH6zTz%gUcx3x7Pp;$q8T>nu`%%Oj1aU-AAhS%$+oV8XIlh}9U#(DZLS7Yd zK!}3ASwP1`1vX2iyjvA0EbMy-_KgY!C+rnrFNrATI|OsBoB(E#ly{dRe+&3t0z9fv za00&2?Z**?o)_r#G{guNPI(0dCTqlfWQ3U_oaH^j|9!oS<7r!(LBO%wH1B z5kY~>GAZvX3IrDN1VR31g@P0E%38;)DCYkX%uz`J%|dXOR$#E8CkgZq6beqztu@YL zQOvCu2P1YwP$08R%3B3d?T|f1ke4eIoRC*V{M(|SuM*HPQGv};DeoEu3d?ksV6Rgs zIAO1GIN?R1-yqPP;sT!~Q{GJqG#36e!QZA(aKc~d@D>c+@M*32+1RyqG4idzzmp72 zd1DGR7XA#uA5ka>e7Wvn?{~~zje8y&?mOB=JVz_owGwlg<7O~Ng+xtUpx zu8A!09`@nv0w;)Tw?QDn`1OZB{a&qVguFz=@{xZ?n(tkJx z0!1#QP(3)cw1le08&JeAIqkG3oJRjck^iBh$ZZ{&r#kX_g+fvtIb^!Wbrh%>jT^1C z<}m<_B@}K6jT>*)lu8J}lwK!-8C#V zb6^-1t_g0#anc>8LEKtkdW4X9_$_20{p_0KuA*wp(hugsfTDR<_07-a@{goqfHV(&_z$`~nAHU7%^l zVGjHko=u>uKwn-ca?GqRJGQbNq008CLLsTL%^H?4{X}nYq~-|`e;zQD8Ot8@ z14UwJpdO^0&C?i?!Gvp`p1-1Nn=R;7CUBQ)4nxb4T&Y-~FU>`$Zr8gGGmlfowmKXR z^5edNO_jnWt7z;yImU*{_WP!6zo#fFTec5S*?vc%kW{v}**7=Hvf<3(EZu_iqr<@7 zguS+5%tWAVTuvP-&ZzOTq?jGYDWysy>yH zdPAwep2iymwxRwy)tO6^k#ab-MoTk@n;gOk#3Rb9pSx{EMqy9j<=&?o*eSE#Fg_g*5VgARhb=DfqVq_B1N6 z-3o=IM(&N67mPxsA)$`e9m&m^SsG8AOu)t<{uK4aT(C5xwje4#6@3ux0N2$q^)};X zXQwOLEF!q`<4LDJYg?RijdH|b3UlpT>LeH}P1Tz=B|N1lFk8aUpb{QeC?u8er%a4A zY;^E!xQb31u}G-!f*6$LcGE!7hhrXD^;+EX+?LVG_(W-CbpM7ct(K2s{<_IV5KZIeBRQ<( zFyW`#gmB;4srXp($^WbTw=>=|b~c@aC2|Rx!rEUM*8WmK zf@SUb#M*lm3Q4S8vwt4TK-wS_!h-W8-bCtm)xxV)YL*?Pv@r4o!^r0q23kg5K#V-9 zP)K5AcyARi6o)wp_@L1jqcEo8*h@!Tvg|y?0L7fUP@S8|mhADKA0LPx)i4wUcdr+R zPG;(s-;(Ksx5{WQZal-p^#Jz4-R4ZjMz4&YEC2a&s?@LTb!!dpxA5! z;M(`s+S3R}-V)5XkpQ2>Vyg;^DvI5iD^sY}O#WzQ0h1@bMBHVGP1>&E?D^^1^U%CGJQCjerNelYC^;`QoHt|s+g>GCx=&*db1gxro#s#od~cOXPh5lZ88x{Ykz$J z=yn(abv^!EMnHu68qe@ItDwX3_bTFVN}-U%-Hp85kSfZO48dp`FX3 z_U800Yt5=jWqZ0I>tTiMmaJD3Sq~}{lE~`4y?8j7p~@2gh3rx6S*3%fPL4X}7_P%L z?)5As6-(UGl!dg+d~IyEDi9o!INKn|2frubViu;d;k1Cg@^k ze~+WF_ADipfW9Ru3w>`h^u0yFhNbWIMBf_~3Q6?cjM$~{7_p6&x;c5``!KwX@KpFT zEs5~#!6f-3k;|i*AGmnD>4cKAgq~n3!tBQlvmaFuWtshbV)jD{g(PP0!P{s4;9;vJ z?-2P7o7*#u@DS_9(2f~ZPuHf+^xg$dgC?poytCXgRm3CgQ|U=W?}H~QVzu_T4ioM^ zb$Qt`Ih9#Rfjwpl?8^%NZGrtE71$RQ3P}aF;r3z$2OqZ3X0io#@AbQ68m+pVOO9)F zdX`A7xRCNwL&}d8Hd<2tm`M47LLrHin-Ac9;k@O9DkmbhvrEVn-YtgfC}KU+P6|1m zEtIo|Dp>@wWv=>M!_-YDl$@pT1XB@aS6{-b-}CUHUH!g^m|dYzNMg2qXz0#iORQhX zg3T%T864?GfPH4N*VW|Pno_sSHK`>`U2B-ys4(2t!8a3AS1A;dn7V#scQBi@`@jKH zRQd24eWrxPZ7@1fTkWDZCym{*W4CR|Nm&L@AO&G_yJ7QI1v!?@w-TE-Dio5~yd5nx zTS9Z)GM6bG##`fswji2!`}~5x3CFH3{!lf)fW5jxn-w>evdh)H_ny48E#D_INhyH4 zO#vKK@M#O+PpJUL6beZN&^^pN1uLDXKzT z$q+ZM;K3624k9kEP)H)~mci^o9^*}D6Kfs;{QX@l__oqaX^tKaBmV_EQ1Ps()8#^Q z^NFQr2|dwdgxp6AxzAB>WyyUfk^2mVLK3-q2Gi4UOoq1s(_6ltXQCl~u@--@@?vs_WCH&+iDAd2!Q2%NLla~5FC+c6JP)MTwrUO-4>6^n5 z*#YQi-zLj{yANolp?K^TT|@U)*Tmq)6G_cdd}4_Rt?xFpzDvQ9rS&~T>)RCyNwjX= zna9yV$wh2uubbKKZLUc}{}L0jWG-1>c>Jv4@zV-gERXLa9zUT_NaB$nGA|$HLmA`I zNRKz=G5yCqC7c;d;AL=r>d8NFhqqF>7&s`?Ndff=v8mXOU06(+$HLZEB&sbt*fnro;H)`)9f$we46>izi>;p zX3w2X%`P_&h{;1`4PHQY{1wbF)vF+)caX*U1o4y66Dg%v%DXo;KsMPxwKYE@OKKKC~;}zb1<}vqGZ> zVJ>tVXNo0QiFtzrz&s99PeV$Ig%NkY3A7(2v;ztSYK}J>tK^}Wm9+&C1%J1Ik4g$? z7DCi{1qR!Pe2hRJR46z>U)->=gyI2QR7cP@ow~n^aaCdfG3gapt#KbG7@$RZ83deA zwj%@2a8uW~>xWZ@V=8rJqK>6SAt^L`%+T-=g;kb@PZJFvR46!UsNZJYlOP_EWDFMd z;HB8M&bGeL3~0GsM$x1tW8;murawH_XfXW=UW!FZt_NiOuZ7HiH)MW?K0=*NX7;j& zj&Gd30+CPrS8l_oXcF|TK!YbikJg<8?ZuR0Tm4B;&Ci1CX9m|#gIt=K1pPe~w*}a6 z5_Ir|r@J1vGi`KYJE#CP-WPkwbqA zhZ=`@IdKp#6Q(y}=hEE6q7$~^Tp_oEk{Y~Y#{lPLULf4zKg`$3&lB$1VV*zi#9k3( z_hx3o`4~@6$_D2e`1yAKK}vXbo0kg3>u{U#!|CiauQFn9B=okXc_?$ZSilChnfP^@ z#}~_!IB?=`wlWd>M)!=S%af(tJg#ta+wW$W`{%QT!TsC!8?ffV$tR&}Wsxqk+;=grRoWSU|s{}_d@@4n)V_*kHhUqTaB({U_^roMU zNrQGRm=P8noy+8N>5V%w`6Ic*@WU);=O*$C#MNlATAIuTVLrRL;MgCDv`smVm9p7N zao$g#OJHnaKFnNaC+B+SZPr#w`p#UriV!@zipOy9jA5j|kL%1`*sLlZEvFA;XYiaN zjs`HmbaJ)_aV^Wd2T9K{a<_N_&nljO8P9@N;X^x%{s(F@%UPk(EPB;IZPPFvxJ;kd zQO;)i921qs%~CjoX#(Fr627!TfqL-Ej2$v>UKMG-QP|tUuxp!o$ajgQQr-;;6n5bG z0>SQAC^%tX(x8)xnlK_JG*pvPV2zY_K!MJh^G{^XK81qQoRzh`V$^~eu^=ibpjjy8 z-N^3;4?f_yYbM<)X;n0{#jC{=7oL z3AnXpz7s|KpMp3dD3Dnu<^5j;0t@*ug8Ux}1t;W{wNtVv=5sC&2F$3WfMy|V>p@mK zp8qR>K1-qC1ijWdZH!{SLa^6S5EEE7}<*9d%%Lcs}sRb(a}MLsOZW1<3^rBdF01quuM>je9Dg@P0I%Hf*jLKO9s zppHrkXckI&(+Uh0^fw6fghIgydaZLw6UF{i!Cpr}OkmlR_mBdSHQ}3N!h%AJ8&@7bl{!xL! zg8mMH{(FUj6ZDGken1rRzYFAAIRVTfDerrV{4L<`65#JB6r6xBK2T@JA`1S@D}rIa zzK$5e;wkTJXlW+^|4xRSp-^xda#5ZAm8cz;iXC-T#0-{Bd6z3t+4A@gG9#r>aGJ4# zolgACpeXik!CosTfLSEv^(ykWfWJq8I~58}z!%lou8LycE7`&g7E5_ARN%0{e?Y*WuTXFTU*p(Ijbi_O!R{0n z_$-OQAPO`V{tpTM>lF%4_{&3^zERlk6WBFk0+%IH-uo4~Te$y4aNna)aKgR7wJROP z{ixt}%ZmXln({uUz+(;g5gG7Vg@V(7i|Xv3M{W4F*ictR%wXx1_gw`lYsQbsjBhCv zoMx>tBWs?bP5W1phRJg20zE%;%lSl zHy;gcHUaW*NxH?qFfhr22rF=y?yBQ;1YBXOz>N^z0DSP{x~G2RmxIHW{x2(gsb<%j zYPL~PYiuj|Z>nZjDHN!hNgarLY?SJdkDw2@lemtf0wS1n5SiMw#N%$L(y9Iew8 z95~+N4qc|@MhZK9Gu0InA}_>b-)&m(K}CbK zE%*tiqXmyC6cSqS;yiUHkT{!x?~+oh(=V1c()WR|rKn1E{WnA0Hx)cs;?5`HzN%12B5uI0|dt4#m3ySzYHd=j;E120vt)?YOuOQT%ekJd)oQ4nW4$B&%=4U5?ntjH=bwL1w z47?NlfZ+TvB**vXR!na^PGsrX29Q}!BYJ$fj9+QT1a77sG`z+xI}V-9GB10ZzSvDcPJ&396jMq;{eR-=@UahkfEPP9()66hm-OA) zTxANcK4P_uS^8!KLd$0{pP#~WL8Wvju8Vhdban+-Bb!$gTZWA-w+G0Yxi65SDq@Xl zd|#m5d0*fteACzWb+d>3u1sN)JiGghkNe2N$U+*|_TUws9mIe3<>pGoDf$QAwJOmy z%k_PI?5U1mSsT}P_p-12ZKY!I2&K}CuzC<4DV8fUrR?aF;AIYZ&S=Nj4*YUkv0SC^ zy4ekVKQ=e<(i%;7%r%8k#P6KKJB?}Ui_c<%yRwBD?1^=grpbZtJ3+0Rv2dirn(LjI zpCh-uqx<)9>InaVKS%ckF8{{(;bO56x>nG`#_Sw4zVTh`>V8LQB4;iTnB5J|j~rNb zPGLKCV7o7Coc9+HJY()oY{XD7II;?leIeh$;{8D0Z)_eZ9?h1_)j?R(%LeiVCAtK` z;wX{|g0izd-&WX8UinCkf8CcoI<#kWgum$GpYPo5U%KdFfze4+;R(vHhl%&^-E#sA zb1aLyVw4HaDS#y zaDqF_^p{b9pAvvpLO}A5Dep6iJT1s|1oCec3QmwKYy0vj*slxNsHA{qp_KPc1qKUx zJ%RqJLct08++e(k;{6}N8xj(z{Cmp#g(7DQ^(un;-wFjM)Yh8eFbemAbwOVh5fsQQ zlkzTvsJ0Wmnjo)MC^#XX9~-fwu&)!?4q<`Law)G(fyA~UEE&SEL=)e0OI_;m#Q6$%9>@a3Udcog$H1apm;z-5V)_fAFb7Vc(( z`=<&8C)~BpWkMAACj@vM1u=nTQ{E>Ph^z^1WWvW43QiMNgjY0CcQXsM>^pFXM6$(xhT4Z-6iu)OYJ1iw&Ss>*-OOdw)+e=`d zu267-#nd^n`xC|dJA&CEEYMjl<-JmY#6s^Q=r30&IH4~OZBj)+zfC~bhzVSlz;U4> zcMG?l;J!tn;Do!fb{8#*_+x@NDk-2@DCPZ)0)qv;l|X+)q2L7FB0GIiz+V=?VJQL2 z0x9n?Mcx+dHUj%E3I!+FRgs;@DB>Rp;+UwwW~r3-69ozj`+9=?LxqA9_KNU!X%zFy ztAb&@R!#u3NXk18lG*|N1_Hc7q2L7ETC<@WMSQg&jtB~5mPvUV6bLNGHxlIa3I!+R zm9=}$QOq|A=BT8AW+4O>RA8{6Zz9mwD-@idmxuPnqliZZagCV3Wr>t`haz_i_hy3o zB!z+#?kd;Dedt_kW$o|AqP2_Buf>7_*$B5P1t4p|Eo8y0LP0E$BSxKTK5xUD!-4v$ z);0ghv=taS8w|PT-$4Ew!DF^KW}d@y2N8cH_9>C$YC3Ildf{BZ^t5a-oJbNZ;%7Ni)Hm7go z$4zdg_jky-X?>sb`JG!%FhNW038y3czQOSOhYGqZzk|f@>lF$~{N7iOj%ifnsazR; zb@aS_aU!3c!{rfpE0!w@6kJRk%UdX@^h~LU2YTVk2p>l#-}!p+y{9raTQa9M6DhX8 zGR5|nic+w}wv&qOy$Xe-Vr$!3n8lGgPRk1jIp+tU9EG0{6+vOzx>Vs*+!f`2b2HkO z*5;IirY{(pKCiIa(zKgsdQ_p1L{rZwU3EeCfZ9xsee^1E77iDql~Q%GQY~et#^8=4 zY$0r4+~2l)35i(JmZU4(ecy2RJp~n(yS>EScN7Xq+-*LPoh;52;85j10?BdXi9fux zI~d~36-@WKCX_8#P3Z_nEm!k|;v9TvXUapw(K3ZX5=Xbt5It1IeJggxUsvOJe0@4s zNlz91TQ#%fg2Gbx0)tbZ^OchmOV2X7-6lg0-gHZVoXf&K(aF$4$J^@+!D$6wwi50m zf-hAlBoVxiESbuh_ub&&UBW+)(WSM~$xPl}djgwy?2SkNu#xmIA5q=kiE#7%9jCo^;Y z!*e|Ga(VG?X)*!JX487Y*8#)Vh{Al!*8$>dNTHC#*H$u@>n(0kRuCSwrU6nF9^e=G ze8;eE?h{w_5B^LYSh-0 zx}~Q{En(`n4O1^u7;c$5NK749C?qk}O{V4we8Ck}rC6Nz!`BZMr>C(G6>&FePA62kqX}yAUBwcfVJg7G zyQAB_2w#p@zAF+GEIEmCV*g{t{x2)cvGzZO?Ej)dA<6zLs3L4H7O{0uqL#>$I5P|G zceTCC8_Qeklf=aMpBm$TtgyuzKTgK~K%tOi{KZt3>q@1{I^6Vfi%{OF=Og4Wzi z=yy!$krYkmc^k$i7MiWEzJ`}W=ix)U9GW0oS11&cY~{7G-Vn=OM=nuiqHc2j7#D$2kX z+B6l~jS2;4q18VHa9?ra+XZr4M%2U4oAlxx6aVFq;Olf}JAK{YbvM3Aq`TbIV~Ztp zxv3}AIm{Ruv-A-b_4P9)a=S(`xn{S=L z#ir(zq$*U64dnn)Gnbn3R4J@6jW0FzKEd$_$}Tih?1AG7QWxKPA%|vrwP$^gdDSGi znX|qJ=Q_BUvmK9JKQNrZ7?>$z4YzT9Pd~1}T$|og#6v0g3tK_>YcJjOL^Gd92$WnF zAzHBajtwM)1E3Cr_TBdE+hZ3#>wD}ioHA{3^mgzqq8-(2e#_qNqhmgaem-xDKAcLmiKp0)7rYv?Ex7iuD<+(CBVYYfbT)wj$Si zeuwfk*Lxy@Qu$a0{xD5oneF~0k4Y8BBGd|CYWQQ0-L2$-ft*S zSlAB`>|a+XIAOQQR40n~Wr8>?C16<~<^8rIZwvOR1opQS3Qn-+$EIRY%x@OV4q<`L zaw+ev3M3Z#g9QCe3I!+hi|Wi6qXzu77*JP5%wXx1_fZ8ZYsN!l#)lLNPBT_Irneyv zxYnAVjco``Tl=lR{|XtK^1h@%W8wcA!T%?Pg20#cr(JN`6{b5hEl*O-1iHSe^?!RB zO(H_e$B_Tq<{d?jAvvAN<>`zo1Mjzma`sS#+$`p@mD%DHtrPk>U8k|vHKA;IZc0Z= z;mnO(3TNO$JJ3H&rSJ=7DF{Vzm$v?qY>6G&;J=g3&0)!R#P{O?VYJSRg!ZMyrYn3| z-_p{t%q>-2NW9FDcu5l^KAlLsNTEPgxeRwgWP~D z`?!>f0DZhN3~X%NW!i518C3VTB=a|$RQLOK;gMF^J*J9IK1lXJvu7(}2w^j-lmFXA z|J~*~aOho9GPZ^+QCoVC4442RhZKZZ2A@p~-lI@RVsPJZ5ltMPk~A*0p&Ovc!tl?! z4dIOuIo+p{4?X>$>g@VDM_Regt>|2EidRMmCkmzi4 zPaM5Yp^(H;*8m-$P2AHgIBfVOm#M$gym}qn!?%# z3~TRKkYHJR0kQTTg+dZ*>?c+&{f9p%>O z@+7)Or)PQ5iVG>{ZsNJf+4#^d2VX*@oS{%iB4wu?z{bZj^Fi?TEgp^oO+-MfYM3e7 zC-+Z+=5koauv013Cf5~@9Va7ICY06|=h)OjlWh48L|nl{)UGU2^$oHmb)nm0$%WS( z87kv?$oZpd?g!JOB`ZM)-+!`veetYPcDh>19bdepK`|Vsy|O;k z03Y~ii)SHx^GvpK{Kdxu8!m5Lk_P!@v`oL^J|OUmJLE$W`pWko3D9|2GHiB}H;vCl zJQ9JU`Ybk_rjFlE@6hP*U;T$@tdWmYwF-C@wZpqbNaUKHLE5A)AM08Y=54@b~{?Xg2;NdLLq5jYP(~8$~>wt zm)|mqTSTVI;A?caRY#pmx|^pnu4&-rX0$C)%_#{@zh`KAox*BM)2oQ4-&H6i(d4hl zMs|<*U8?Wp$`_Q$b&nPz7^dNO6Q3;mt1FeVC8;SL;plyaqxUFGwj8~hIC`f-A&H}$ z9ZfJonJcRkS**>n@OR2$|9cWq*|Aca%9V_NIh>!!`LT)tY^IQ%+R~CQf=BZoygr2s zo!n#xx6n97rwQzY-sze;&OTl_+tPXbGL)kIdsDQ3rzj~~w6CS2{ggr>sc3sF!MsCG zTWYyN)ohH}*+z}u+BVm0qk9R7Si+a2E8Kn8aQAHm6_&f-BksPTP)OpgmzK(yhfyy9 zA3yUVhTnZq1E3KK&-D2<9u>XIb!^tNlvFHxOH&s5&b*cfs59`PT{-;$(f5m!Kp)?} z<)fc1+=)!l%`gtJUvmuqg@UtY)}M^IeV*CtQk-c;J#cPr>ul=@%~zM(X+vi_eciz4nH`K9_&m45 zw~!|wo$cN2otFB@w?gH1L*=dX5jwa|_Iti5&|tskH`V$*Z`kPXGx|?Bgj}BKEr*U? ze#@aFaCuhC3-S?zd|!a08E@x5q3U2whS(*EaYrx#%!DIa|nPXYwEXv&qAgc#SpM_Hp`(cU+|H zFGW@Cd84uC4FNihJ+_j(gDQzNEZz-l4D|y~#U(R(igN8`#8Q+g~$Cg;C6J|UKT(=44T8!#tHropVFT2 z4VmwfB{-5%7;gK3cN0_JV1-6EWX^!urnbtxn4eQ_=3Wf{EWHx{lJZWwPCxm7FX8x^ z0yxc3e`4^;Z5YJYwdD~-xfUSo<2)fL0m}lI9XA2?eFS!mLct0499v(aP&W%ykrAN$ zbINO1 zvHesO@N)!kL{K2JOv-yifxtrkD}wwig@PM$yekcPW3LGRYV29NSo@`5e;wJE@?N8W zVzGaau)k8FAlT~CncF3S+W`v=++(tjj-&8!{U3h;aKJnV1RJ8P} z<%Ri=80J5$Aks4bVPgIR3I$?bXo-*Y{bo)_^Hp*@BOf8!fA4MY=FOw>d|dK;aP=Q5FX&p(&E4_kcrjs zcEV;Gd=U{UMX z$9hGW30wa4cP4(GTs~eJ=?A&NnyWMfhs@E*2RStEh-~fp7}c)-Oh%tL9Us7!Hoe$O zetgst$ES*ia)mP8jE6_V#!chqMPI69bJct$*Jc)y`AlJ^nwiNuTyk$c(G-JvvnrBO zh}76mpySHTyg00>59i11v6W&~#863`7mC+%VvQGye$6oXqGqI%xRN{+vVldq8u1CL z5tk*SPOK4|E$auW6AOMA$nYq}HHE?#xcU)_OT*Pkp=?W{DIMvP_rq40JghL;wwg~8 zM|%_sNqsV%<-kl6BQr`4k7&3tBw-r6dR?bU6g;ijL@Z}Z(iQGz40l-t6_&eC6L%Sf zLK1g7FdC%G>|336gjSY_XFYhAm?z8#=HUl3BEQ8xmk-3?Nl4Ukds5O8u7BNd{g8q> z%k^i8>ji~E64$xF4IT3=>ceTfacnZbIlUu0kwdH)Iz=ua!U(;GzB#=Qk1``H9l~1Y zW;VC5ZY7gHyg9VvGPpVIM|s>VS798Z!0h9dvn{p9FGDHXmztt|iK3)z(LPE=`$C06 zQqk_Ixj}P%+H@v#c7(_=nR!IZL4X^SHjV4F>df$VGu{2*Ycg7qP~-5j`!AWU%@| zf19b`_@-LUPPL5A&rGOjYSha^XlO&kqUAA<`UDJ&NP4^wAQIap0#C@ssT7 zb6rh--mefiTi1T2FiCy+k*O~~#D~^_=3l6m{HH>JI*or1Dq?&mgj`j)2BB)uLkVqk zR|;1fCvgYT57TL@5Y2Y@jD#mUbblr0K0|NcEHyP-NGFtrkh-dkXW^~*(31KUBK2H_ zf|FF~N~6bB?ma#(LTTut9zIF$YU>L1#i5gQ>OmX!#@y{`dt;aTOc&1Th0Y#BXBT}m z)f;aKG`KhZ+T-3EZ#T$q4RAEm8-Jaugf$`F8;7=9kD96vHyOOjGKCXv_UFS)Hk;4q z=F5nUhfNGz(LnF&$MTyl;aUNIo$=p`YQYS*T*Neq8eo=MBDoZlX?p|7L4Pt|oIvz_ zG%@3)?r4J5UkVP}cz;Q`OFg~Il=dC?&^G&TQW-x$wzO?>MjJw%$Zc?M_ zHTyaaG}I^?HhXSg4Vrz(Stgi*)qE#ZK4_?XAh1}|aL9q?XrRGO{=2nJev>%R?8{cY z%xo#-H^Wn~sNYNz8iM{2gZ?=I77cnkC;xY<64s1(vk$w=tlNR*%sg78e>1iVX9zVj zu=uILm5D00IVmK?;@>qEzY-tXs{cK*^W_Q!s`|ebP#K>_Ovarj$_sPzm12c_ByKCx zfBe7-8wabC{DufcJRKa)943kV#Yy@rINHY_G5-oCTV?a~h&`DxFB!bdJRmf4f3i6RHeQrwHySRlQ;1v~>~&!3T}Hqq%-!jtHI47)3LCyB zSJ&v~3LOTx0@WNmRXLePy>{``Wgso>g{D@#KvBrHR{Rguisva5YD+3U&A7zeC^g>6 zL&X_71Tc=t@mW@?zO1FIk%$=lN51AJjTtA8=|kq}wL@iG zBd-Ku?yzf@o5O)Oouc@Ad#HZBt~1aZP9QO+jC>}}GKokx5qtxa-_Iu3dOoaifVTy3 ze#|aO*SJL|b7=h_Ox{4a&c>RnPmScFk`62jcR2BW#+Lr+RQm5pM$RYR(lN!WJ0198 zWidXRKSV^85d{PXCiLedJ+f=-0AI&;RRUMYx9umMrmil0+ff%<#1vZv&auWxnQ+Pf zAelc~@+K#g{F%&;BxJupanwa)m<*%gZzK^$bM}_RIa&>enbU&vPND%?Qy<< zbmytb#}@CwI>|rw@XJrk5GhA*GpY($zc#tX1XsAuqGGy6p^!9O&@*G`FsPV@i-qFh zOfGmNs;Ad=N<+_wHBzv|CCbTgxx?7MU15&xFwZ6XZ&oNI*-x+D!knR@JBR)IO1UYz z`8S=zkym-4P{9igVD?=>vntsu>^yqs9RQ=yQ= z@{6SvnN6=TyIpOLhtTY~Q=kaCg|=WXJB?5x8QR63&1NvW#=}-E_znIY*Z`zLvEH~G znPnbxoE%>54z%e~8ICM@@{@*>DGi9}E-JQe*D&{@Up zQpGAL6p|{I@1aTE*I-bU3dM@No113OVfYK99#s60*|?mH7@^s`!`X+z1NhzBk`lB< zcEafhzl(<7M-+5fe$OL*pQTVp;+GyI1|9hz+yn>1W{=4Gt3^I!n$J#F^SKP2^z-wK zJ$)UHm^BnAaw!Q}K9{U7JigxW_*w-mmdDk^cr#m?+ z1m0Zx|JwT!FgdR3Tq7h|YAu#*%S(HqEpMY`7H!tzRT{09)Djwb1DlzinVOmI>FFNz zqVYJ77aZaZI>3V=U;4331A2z0YZ3&#OCn`NeEdCVND}QH-(uuew+r2| zqno>5=sqxdbKs3~XT^}LP10+L9L-fV2eeZRNt5_VSgkMhnR`RF@E{-4+CqP?`QcdIx_D!60~9 z@t%4@6SC4dJf?2Vca(<4)gQz;;|~N zQsBM8$!7=X1>}g+UnJ?lTMsgA_ar>J(;>+A9orA{r{{1-0da%cZr;(^eJL$lZqDRM zmv$yFU}Q#T?C|5A3iQV$^?h6*>YtTE%@aHTDq8aw9W{}~3 z@iAPN&M+)VlDThNl&=}?BE#RbAc$mmE*npf(K+ip{ZQWxgYqevn~M~-eaAX7c$x)4 zB!lx+vktO&zF|?PFigrvxNf&7Q=_<^OrC2&5Y43Oa)L~D`IvN57$)UoGPmBMOwHs5 zGTC845XmGR)kt?3WOI*U)1)zs%4b|}wJ29Jx{-|TvLJ|Lbe?q9K`vinxO5X37Uf$q z*Jn|pW^of)yxoEzlEt|kM}izy4TtKxVNgE7B-Nrk&EQpJuxvpP$>4l7!Ub8Z85VU4 z!=!w~FmF+&W^yx`e1!!;B$IPF`UY8in_*FXHw?!($|owo)0i((&fOS~6`-+!ej$=tUrir4&JO@6;-L16eb>)PmL@%eM=m&G#G zQPZ`?q}u6^nInhn*?!&Zzy%D`a9Z!4#g~ifIL-ZY*ujbl2P%Vd7rTg)HHmYX@cVa^ zP>Cjmim~mAfP@PWeG2+4y(uQFTd=~b*z8+Wp5GY@jk>pzr_mRtCu!a zFIQN=tow-@ST8Fr2;%Cct;cmvCEji1v|G7_mn{=7*k>5;D=i5%j@Ic-|9OML!N8)A-1Oi0K7Yw7Dq-qZyi zAFjeLF%7z%0(^;xY1*IzV}ddH12)_f*#dVJ(LHR6I@Wsl6lYj#&?(QS(Z6w}e+3J5 z()zcT`j@jHh||A!s3`zyA4hudMB?p3hq@C^Xf~|k&LV8;>Z8$a*t})c+5|uJ3}C|S z9gJ?+y51%5hdtS_b)Eax^;2WgZ`r&m!2y?9ZSUFO`w-<)=<#11-nCZ#BC%`~^nRtF z_gd(iR?q`f&|kA4h*Qw5dX8FhoRs+N!tB~XJXR_P`!P{kDCg#_jPBhf@xIqb88Es= zKb4VIbJCSJ{R|A0k0>Y~vOq>dd6-bX$$}sb%5DXv=Sh&QENCt7jxO0U2ZaGHgv8)p zmNQWXP_CirO!Cz5&PG-S=qD7=AF#kp1AT-*zt4go4rsCiHo`@lL6O-U2C~$FsDas;QOqC?4G?n@VJB7 zp`i%-ik0y+8XB9V28eGcAiicHmj>cy0`X-Ff;b>NpES?`-QBU#I=E0iDVOh5ykNfb zob#foZj21rc#R(-7g6inG#cS~!Boc246_2bRK(ROi`&M31LS~zal8f9(0Z`QKbfX`x%2L1CC`sd)scAw=%ME^_+ zf;jZ+1d`V6k4jI22eD#+d3+IWDD#L>ulCmP!mT5MAGI{u>j!@(Icw}^FGB-;mx8{- z0!gj-w-fr+76ft7mlZ(AVbxr$mc8k|JDcZV)YE=Ak1yyQ5COz*MQ{sT4p*>8&`oO< zb}{R^)+X?Tbq=14Ik|D&M&%52Xe?72kR(x)_R`7cL09KYuzX@EJIfE^uLSIW@g!HoM$I9gZG^Z@8cHmX}kxBcgcbv67R-GK+!h$Vqs-$ z^$fY+=HBmB%4c&QV^As9i*^Q;T^fI%L1mY3NBu1d#^2&kO9qv1^eDuja=6~0vdb7$ z?kqXkYGLrMiA>=xI19!)Bk#aca4?lF9#5@DkG;ODYl~-i-#VdDiFYVje$V5q71PQQ zDu))TxoPFYJBx)YE3&PbD`pFA<#Fs-%ks2s)hcBG3BPgh-6$7$(0tU=6&tC2eYY3- z`qn1;9r5&u{UzL>8!S3y<~Qo#CPD_ip+|v_GBL)0DANf1k?8B=qHR1B0gfBCyAg!h z2_HZ_bZYY}8cI(FSA$O+_)QC0qtdml(-wCMD)3QgPcU%Yd{u9{Db2aPV2YF5=${gz z#-8VV!Qxc@koNj0H4yRb6v41iyEZB-YmI6o^&+3DY0*!2mCqC|@_%s}@}KMOswy`x zMtx1zwT4N&dNRFg@JOHM^19>Cv2A}io=3TL|3RcvU19ia)^+F7*Vmp#07jQ{v}?{; zFl|*6m}~*Z7(?_ci7&&jLDd`4JPqN&EM5#}kK?#SACZKctb3L^>jimjt*O_Lp9)m< zv0TvxD703DIqhjvQKAF$H^wgFbihQFKia_lS;Ww=GrQSBbripLx>lgBJv$4!woA>v zj$)mIK%SLJy4~kNEB5bFI8xnS7{n6wND$QZ*&f`=dfbA)3BN4 zs+C}NGBX4C8mJJ^TNSTKUei8CxG%RL;GC{m)4ox`t(Nv10vG7HBxNjP+L&+fw|w`0 zL$`7hdw|Q;Fy{MaEo-m&x_%`ZbL(pj@o53gc7d?I$zuTUMAlV)y8FiDFOC1k_!`iS zUzLQ$f3a!&Q81bkVpDqj+cnWLb>`Z5j$?;|EA>VXFCWYPI98#YvFUZK>|cZx;tD%D zjk#@d(~yb~4l<5e$f(E2;{+vZK@iuF?oh%h)eN*F42amx%=FWvauF+mf#PrhQNU9@ z;t6C|sl>stFqqiyO!gPyJ%1mE(o%IC_c$)y5P9+a_7ho}u7DF;h^e;wRJGk>Q46}- z?qs#yZ9x!MZLfh@{&6^Gfh!Nc>oV~Vlp2R2hW~_aExhlk#S5ZQkC(E%_?6F&I@=JY zH|4h?X&=H=XDHQP#J)qZga733_+%n6P;#)Liak8|+D`9LW+mKo%^WX+i$S;1_`S>S zo*fQ#rS6)Q?0mh{EMt-5>arBiJq z^J8lx(R{}z^q9{5DNDt2FNSabGQ#7q+TVPjiEC@uY{%9dR{E2kX;j}3!YlQb z(HDBl3fC#UzuBQP3=bw(&mc1aj!W<5p-g;=9q|#!eL;|`cP+TcCx@<-g`Q|8OHrAB zjE7#ka8qT0&ll)9Lj!b75%Ebq8Jbt7ZfJK?KR*}GbL_0ab*h6CzVS(S4J`XWJXChK zg7Voir}S2PYXKLVA?Pv*`4t5=0m$Zh7`LIenLPw zXOnES)CFOjucXzgGncZPLPTp830d>47B}3YDgj!v*bkq{FYw;u$xa_$PJQ^~(RUA_Uu{7U*AZ_3l5j;gnA!3uY3Bj!d$?f2efi= zdLA0itKvvuGcOE?4ZX*L(cs)(1>SB8EObA1Kf&8!K@bPtYSrYG_ke7<41-=e4+xlE zA~a(dvsKol8mbm3jB52MbY5a1tVZV*MCU~o1aatWrGb@yUx3=9UFwx_;>qaD+^2yJ zO=^s8e7MAkvUcAx-JCRb)6c*_d8dN%xCJsA${L|8SrEiQxq~*q}RAh&Rd&LL~ z3ilRRE%xJf5Kc!JJPn&Lm29P2fgWa*IU6yJ^t`-%##w4`XCWtp@@o{zud)D5qx>qO z{0a+#IFuQJJ+*xtTB)E`-J#$1Lbe>y4Q|I?H&5j^Y>2YNWDNCbJvIqR0S&Z1+)7f45CP zFAe5QvM_-DsRHz)7WimDUrRtgY(WqQ=s}4zi+*&-Yx+1&!7eyDPeC>_Fi_=9NxC2% z%Z@pf$#J%SY$DOTh+9p9@a9NAfcs}Lca8b1=4mS7Z&W3G!2(lV2~(_u&sh*eRzl-h zaJ0RH9I}}MbVKg9cj)p3&-2+k@U<^K#KMu}$RcwFcTkKAn%Fxmy%|fwhTg;+g2Sa0=kZkuP^upO4N>o*3B8ybE$oGrRaX2T2lW6hba z@Her-HH%>z249E`&&K^mIn&?)rawd}Cv%+3xqC+Cj%oobQi;F85tY4F$Xdu<)@D-E z%~Cg@nP+6+Oer`o#*eLm>6-~+(t?1k=3K9y+{5C=3)ARUBc+)t^R=3#Fd(5)w|BL# ztM|R8zi4%D+IB=mo3x$9{l@Cs+PIN>;& z9m81=Z$xq{M~CahKWQT{jA4tjfH6ei)*&cyh?E!-ABH?~gdq@Ivt?+;SMJbtbE1ne zIAs)0z0<)sr2A=l$dK2`1*GJ+hEUL7R+kvcqskkF$pz z2q`ouUc|ab9L(NEI(x>mm7y#?!M8PHg<@&pCxgLq1+nysgUI{<@5ffg2e}Lx$_~qc zMw$M`aJ%)+;LAOQ>UbV*wD}MnP*ZNEckd$NUxyFf+bR$$F`6C9V*AT z5Hxdy*4d&Gs3v0EqTNT%lv}ixvog&?2NxQhYCI_W_ z$VeGSX@nA@WbP3Q9(B+6dlc%;76g$(wb{15pm^^$;@Rh61Ql|aepv9W1$~@?{(%KS zq@bNG_8mca|H8=Ia#luc$t9V4%0db)_B$x{r!5E~#a~>fe}H{CUal4 z;7E(`PKxl11wo_;XNLRgApbuy{70oW%uB*#?k5(!Xy)HV=6`5G5Xt;`jmDIq2+KEn zonm7eBZwqV=FS3@ZSVeW3UY=8L8Kt3yCYzb?>57?m&C9sUvVg4QL4uKJ!JC=3xY^C z&x#nHgDhWfSdL6?*q4;B!m{8-v;X^K|5^)zNcJzYnMVZWx!K5LM{fia(q!&d3x2hL z@1=l8EeIk7T+`|d$mg?cwLPtZXhp3R5`)Sy0-DSfErislypO1iS`Zji%%U=AB(2t@ zLBD4*dr`Bq#-uciU=d^N5q&!qW|QI8XUx1FryVdaat2`^gek6j(&k-h#ddxL>m3+; zVQa6yltDO|A=t61`<6U5;bO=;m+RiT6-Gv~&)0$6jHh=wVSzJh*p*eVTJUA>x@v$6 z>MTq+abN%glmUmXt&tO44PahB4ufrGcQcl3Vzwu_)#bryJfi%Sd1?cTC=@}yM!_Ht z90rP*(>usdwk35KD`vS5*AU^z*uBoE@&zS#ChvsT?aGy9I&aHV^SJJT9ZdHSnES#T zyW}b(GK~~V-7CR;el8=iUDMZhU0)wd^gK=#v2>x2&@qSz#<>b5=R6&u*QJ#4y2M@5 z^|G^r;NSf;9>&T-*Hqon)%uPuvnma*9+tUFIj_of6A6DX4dL~wA-v8)uXRKCLpFq0 z3qi9r#6B&9uPR&BHl=HU-mo^?Ij@+_91XOOD`?-|0<<3>w2xU3umgBhRe@Q)+&IG) z>1&Tc$Y(w46}8#S(t!SP1^S;_V5s%^34;C+3xY_{(=+Y2>U*sq@Fyhu6}8#U)>Ob3 zRR#Qw1)jPBKFkXEyahpI1&qzSmv5nu57y6fP&&;9vvER;|IV1f%}Q)z^HLj*D>QyQ zwJQ?p@b97y_17Zi$uO&GU`6eD*BREtK<(Lh4boR3RPMm>c^xJb{^6C}eEy|1_aoHY ze~m9!CTyYp`kI-{76OWxOK$O2mov-PG-b&$nY$1<+pa)ANg;0pgL&#L?oYQ`qg9D>Ir@(X~+L>XHWR za6UqH?SoNwDKmjd4}GnV^Q1ORVKQlXd%R}o zNsB545~khyuKsDUw*zysCx}aJ`>n>_2G{j*Rb{AW&d)N zqJ))UO$)@W*7$!#)cBG*4t13;^td(^Aa#D)*4RnAu5(oy>in#5o%<$c(VtNjir%j7 zo?_j7Zx-tAJ|Fz6+lN<^b#u{D+BNR^VFz0cWuDXXe(`_=QxlqI$Q7S9_ij%asp0KW zZrJi820dH_6SEG9!Uz+07_|(HI0JCb4)RjD5^=%e-cy6GRyFQYQ5W1}T>x{NkxV&T z_FrM0ON6z`&1oMF=vs$`uB}BHRJ~iyirU0;RAGko=Z*yr2fH@&S+#k+ls|#{pKytV z2|d1KDj8l0!3ASD;DPyl8xpa7@MH%W;FI>`S$1GlnaL+y(@F`H)7R&ZOF(uI9<@g$ zRLvF(352ag{8N}(aho*Z*_?-IDN2NK>@&agGOMOuKlxl9l3KUkC3PBLT7wK4H^nQN z9yDGkNik@=^f}cbBfxXb2->K^q`oH33~Q>cilz1HZ$y#07@0<0thR4JrnFisRI@GR zuS`SAdD7H<0=O?c-t4;7&$C-S7+-#Lx7xUjXmfKhyfoI!hP<}eZ*K0prJ>)8v3c`r z8e-LLX=?8IrSzMc`yErh3y=Vrckst&UeMUSo?D7EHGjKDA*SYkS8r;*%9xrT%;fKK zs(z1@2eH%8byeWfMhg^XhvttI_aE|jX~o3+OH>IhM)(?s&vd%>xO>>1p{G_cuGm-P z`TrPZos~>}6#w1{FUT-ipcT49%iyB}5;j>Pr{GW6Fy}Me%5){3_2rsSWsN&sunD9*3vqwW%Yt;izESCyUawp*G7qP#hLc)Bxez zDPK~@yY7wxZw;iMRggY~AKPPqe;|;b7J_Cb0(15iRq4iZ_!$mXlGP=3supDT zjBOsIfrN%-Nt4ViM^f85{xVr!VnGne^7GLef{b2a7?sb4J^7N%wON#=5&a6;yUctyg{76j1@a(Dg$4V-w*`Rcphe6 z&_sAMIc}Z|>VCo1oY&>rp=$azXzh%E2I*F|q)xF+A+jrRnPk*-Etqq+dR3W_;i1O5 z`Q?|r@$hXp#`Nna-gV>ENz+jyVeK~?bNXDiA|9kh)!;m7Ub^0%k7j0Mk=oikjoMmL z*IZ48wZI3%(*<*VT6K0*1J^7r3$}fez3_XZ1;0s@=9LZYT9Wej9wx)!2al$CkE}%m z#jFJZ$NFZq=!FJn+);Lru%YoH<$96#!h_cp+NP;FoyOBPxdG)q1qEq8hj^=K092bTrAEk}z(Dgs1>(OPY z`&)AK&VU{M^LKQW(gk*}S+h39P|`GLyA^Ib1+R!`px4bTKMmtdvoYX4t-$?D3#>G_ zKOwkJS`fs6E8$eV-fG{GZh`M$^)MC$jR2Gwsm*CLNH$3g5MNh7eAPlO4aCm~#4{EI zaX`?)k$1sSnYwu)V?^b=t7^W6Nr#1vIxso_o=Jup!Wrjd@cp^M_oo(cX?#zc3w)oo zAc(`4VHr}HN_(ja^O;}=*WJ_82u8+Pu%Odu*(NujoO_K-9aiASc6)mcL0N7=5C_Ue zcaRH(Rw$?7h-knWs?r}hmfgmDDLhhcm_{ZVu4&O4>^c>8?G{jIg_=w3R#_0lVRx>Y z$?nKw&*2u{7VhE3K^UHMqSI*v8htiG?omSSvfx<@IiEsqw;+fU^4D#yZDOSW(=@s% z$LV(kyCuh}@Q#!)S2k0bA@1YAVG~?b!(lm2202JZUbyNn!f8>akl`C7wCSIws#CnOak=ilyOm$VwMpg#t359gk0yvHIVj_Laf*=y<#(M+NRvmKA zZ43qsd7V{<-}k29sw2kvrWjB(vFh*wi*|Kv)%e%FGeEvs0r@8W__msx?&FC~#~VBf zvFTVgx6bjNFJ|aor_^7r+~pu1XR!=Fah^ZUL-4-4PWj{992IQTlF-QVxRT}V9%rps zcr2%SXrY>0czo=D#K2BvJPVIqC7M^jvcm6Ci-s2T@jy?wdsS3ryz0gl0oO3B6`Un?=LdEMsNQhF_=gJg=!F~-Q8a2z@dQ%Ng`qUe$zsCx0Gk+~OB6M-jSNs(0{sr6A2X|Tx! z0sPTQ)dKBcwvgRfw>9RUvxS{^xuaqDgHhB^DMkIHh2CjJO;J%lCIro9ZXK;@L$Fi$ z8#1P5c&+ASq?1gNxi7XL-AYRL1q%W;!~d&LFf+j|)79vp`2S|a-_{^caop3qotQ)J zoMf2H{fC8Qx}q;76yLTWh=gKrhAq^peFk8woy0;{oTS2xHYcu=L1h7cY*$&Au?pu} z5JXntH8bh^0#%c{nB1!dJ+pibAd+P=m$Hyg>+}@_5uB1OO4eo*pFl`gZPQW&kM*(Ej@943~@T-rh;jX@hRxY2^Z zV98$7&YTLZqNP*9 zDS!wrR6A0)6|3&-rhp)yFs(`n z>?V1vD1RB@gMf!mafY>;z3qhXiP5+Ck2*1eP2beFE2`tR(+7hM^Ut9OT1$18% z=<2(-GXenU>RjZ5#pEa*-oM4yfbQ^AiRkcl1UkHF0Bg9ImE1f$?7F2*)V(jqOCH-T zUB8FEPN;e^1w=sje!7pZ$fi^#MH{MtJdP1*FT_;!NQKqwx|!vt>wBizn1+4!_0rFs zi67h5?iPZ()Pf+cVPD|(a}sJ`vvOIZ+>eHXDxTjkt;VED3M1}XCGKhq?sY%5jpANm zK@cf!V||UbV2}e_b0BWWP4LjJ_N_j*6khO9S{*bEfGEZSP3#wzm;i`dI@W1e18)tK zFH}$-;*W0wJKl!jfJY%V4A<4$F!(})-dHW9)^8Rs6vkAc;djGXuj1?uubfsa60T=; zYZjYZB)Dg@MGJ$w^ROt1p(E4 z)Pp#@s@bJBCEPWz+f8yYP0L=1G9v$qgbqgzVow$BKzGhYt{T(X$;`lAQ*gh+0zKWk zbQA7-EePV^zOEh^H%P6zjT(uudwr<^&ezT;I}Pv*b1}$1rjUKB1yCBaXxfX6tRw`S+oV6#>zIi0p%kKln-0Ts6p97P(ENm5C@98QOP+Et}f@R z@Vb*aSj-mqKYZ+z%3QC7Zohx#{RG!)TMy-DiooMMGTo z&OrIBg7Uxk)6&TPeUCyUK3G4pukm}F%8q{Gu_34AGfbq} z2;b4v!GWDc?1(VPu3Q%KC+Hq2!R1tOoPHp?OHQ?NSC*EMa+d8+cVh-o!4Rx>2b`y3 zu2RlB^kl`DIVv3RTDmImqBYOhWbr~(5lQ^mPCyP5{&R$&*}?b9c%+q@8*|2XGNaDM zY^aSo2_eEov>?S1O0mX*fPG7wlGYq>uZ-7_pl~~V!dXxo;e;@m+ik(CZXrDsZifXy zq;Sc`njIAC#YU)RR7MyfNapUa;7tp2l)~I*K@cg-otSxP&kcJ=c(;_GfmD_F>7?46ju@xbnKn0mMfTARvcW)gDF3!jGDmR zYb*0oa$nyySmPktBlhHm>9zq06C7N_OUL_970yIic*IpWxg7cSWKVX2Cex^o9*51fU$@BH*djk3&zI~lo8}VU zCV4A$ps%|JrxW8cn^aD~VJYeEoU~^qG9}CvRr8=jCn`DYA7M%$jf(dMc_l@?)!y@A zegIe9I-$9C$o0OLcLIkXg-UiP%S~Z(C&FA0HYnkk7+(vAu5L&sy5pC_poDC# z!4Rk*x6xFpQb|IWAGT*O?=D-(ay9TTbXb=ras*(iY;>QqRM$dAugdRX09O`{y1ror# z2)<1r^C{8WloCB^p%Yq(#;8PZu^@<3q6xOGfdAn>xGb)W6vZ=gy)l*YtO9dqwa3#p zP%ewevXe(&gV{Fh?6Fj)?$AcM5bkr1KR0}MgJHu(>W2j6`pN3DAYfX@ zmexCSHFYe*-^b(YOAmjlR1AM@wsm33YJmny6eVB6RIHY4fv##(j>z;^(~zl4n>N~N ziz&0#I;|(8-SB>lE$jX9QpFDMtLpk28NN8on7hB|M^m`K8*U42wv@VZ>

c_>UkW zB>ka5X5yld$d)?5Q5VBFaLT@mjX!nPfu|$n%GOnpkd(Ld3Po?vwStI zvz46z{mdN#`ZE039>pFf=!-1~;y~}$p!-Z{>h4y_+){Q_2D4?o5sAAThdd+iGJLCH zU!@99`NLTLap%9i$NL(tkIV@#QmTsE_?xCxlyoewe{lFT`R}DuZ6ouhn-glOO=_ur zcb%7E0H9W>Iqio`XC@u!y7;Qq`|2ux2z#EsWE89qlXsIeO*lnm8cusmZo=u#X>hv0 z<cy-}Oa%7P@TQx>hP3*dS_Su^wt+x5r zi~^4W5+L(1e|!hHP4_It^W2*~3SsMI^|oC;tL)uQe`?*PfE`!E?}oGYD9+yHmD7sV z_T8**&0=$#t(#P<$Y(1Rdd1BSkL2-xg~N(}I-tyB5di>8Qv~-z%s`wrV``Atj({r| ze!7c=q3p2QK8e^bJ9;8Y(Jk)8WNxbB&s0@>96z>Gg_pAeK59Y0slp$5bsRnqz4)ea z#4*M(B*%Eqv=q0n#}Tk0Jj`FzG`R*WCY6!=OG@%DS_q**H$@B^J7*(X4dm=(X7K*L!uz`x@M*m7 zC*I$&Ac(}MlUOL&ov)GgTxqNrEa(r*JDJS6m|`e6hu zQ_SFR$&O}W3(weGAxrq7lT)tNnUhtVslaPg1#ZKS?HKZER@+q;1Z>$GJk1D?AwR`k z-@>pvhM0qSIjl!SHTXI!rpncXcI%=z#OF#89z{Jrh)B5zar{0BW-N zwz{`}vUhc#cZ`M&$zm##8i2(bJbZZNtxfc~&O*u^RqS$ibn~WYx>(uGjQcuL`(VR^ zQn*Gn-~8jxEVujr-}tJDo-xu|J-(ZXHOq){0@MB2hMq)o-OOH)QIK5YX@7yBEx z7A#>JyeUKExO*CMtZk*wFy+-+qx0l56Qkg~ii=u3cY7^+_;kE9vMO)Z!)NvI+1I|e zj~$?K)8raMsuRO{CpjFmIcbK^r2+SXB>HvNYovb&z^M_O`bYX4897-cdp%dIP19on zXnnshm%wPvn$y15)UasOyW;CW_X8?-^aHn_NSqCSC%7CappwW=W8XlHeIy>OSdG2^ zUE1-W`3nnzxVh{)x4$W*d~SXHXHtRdh2aYBYBdL46Ri^($iAf@`-TMq8nTB7**{wl z#6kA^o<`SO5V!&D(B^1wtL$%`OgRf{Cq3~N6BHOX74>9$4DnrPK7e#Ei!ajYavnQ@ z1^6^p)BOpog<(^NdA!px+yUDZwnuDWC43HJ5bvclS{?65^*E{vsN2a2*Vuc0!359> z^9#qf(bqXUrHP-0AKT^XBh=TQ%v@h@z3O?Vo#wUCz9PD7*B_;4+QRiE?nId$el0@? zamyO2IbJM_0eJ$c5VE^e!le!wX!=Y(t^pP7t;AoTc1#i+c~%7v_3CC;yp2^FLWr~u zH_|v((&juC-^5`X*3FEgi$7(k;)n;4=mlKjB!PvDaqh;!OkHB}JYOb2s|KyLWR?=D z6}y&(j=`fXd2ZeG|B>WX1Xq1pKvG&Tm&pq~MfApKdOxQ(N61Eb{5H z$xbGqm&7+TJponafw$|l?auU?gW+JtIW<5bVOpGqV1cd-Q@Y5%aT@afwKnSeC2Ph8 zn%Xa|h@QsSEju3L*m8Efj>IlIhGi<@8znf2k-N2nrQ$fOZxO$do{bS9lvdsN$PT+t z-k19lm>1!=a};~ndI!|o^2W^qc%{tCVN`euGjwvw_4=(%(<;xWdDF}Q)pSclf4D#+ zsQZEW3Q<#_^a6eHHLQCo8C3>!W`TQ=#b;FGd*^XuSL5$ujsI#q7qK;dT;tW} zPBWFaGXJbs>iYoH;m+N9R@vv?gcd8oGAF&*DUk?#I7kr%(UVqjG$(r@+)Jr2s11Y7 zD0DryFtzG)!iJ}9vDK*Yi$F{1!R_ct9m2jJ( z%#m?H*xhOLZyb4Ep0*|60!qR=^*ALgAXA5VW{r2Z;5uM&qKu;Far3u)_G+JeOoLSe;9gtCpO>qX?*pS34&WuoJ&r^--C2AlNp}X;V(Qh~;8- zAT?ep!rjLh){(HR!Vzwj6OXpZ%;Y7j!mg;+w=SsGyy=jdy@G#7Eupf$V^LXpZ|i-m z-ERp&GpAO|L$#nd_ByNF^LC+Z<-;l>vEfnDC3DY5a@+lkKO~Pow-Ac^Z$DA87?)LN zMJP*<`ST3(k;x7Fk`fnUTVVhFWd9rsf=Kq41+_ZJd#B+&oYpWd$&$Hs7JO*k_y8Gi zw;+gQe0iwt1v%esIFCqdSeJCk++GV#H0vKE>pLw7B3WM+>>`4k-(ffpr!|aAvShB; zf)CC36J-3w76g%u&y$WU$Zf@N>n1QP%C}_hxJ8MY#Sf9ik_AB|i<_q3$NKzTZJbUn z3YQhN=@A>uUQIlcx!+T zMlaktD(X`}?8@%I6_*{fT`EP~&AKF(L_=?p(%}3D3g`D)z@~Bj2yuRw1p#q3AcQTo zZd4+z94cYDGU;CT<;hGD^Mg`q5a%Xh=rmjsW2|B+JItUUII%gN9c%B;l$}92){L+W z-ZyJmYg7-a9I+}??0T1Nr^T?DYvw+qYT!u=Ky?j#lr`{43xc>Ba1Z&E8F9HIk0WQL zkMskz(Dr5MSfUx=zEtq^{ZJQJlD>FQmJNR1>DZR$&NL-?Y~AKBZ# zt!JVUn+~Z#uBeb3vj9RP_ZcFWwIGN@u5t5?zLO}{^yU(TA@|=&+#G!;k*}NFNt7!h zcDGip(rEi`tz2a~t=yD?@wNPE>DJ1tJqo$C@^t;J6`$Yu7iGsPFrzE^@2l)|22$&l zulcK1X%1VWF^ce3CBY*eKdszU`7BjJi_-k2O26tJ-5G@pfY?F{SU6!fe4@mSKW?uv zIu%}sb3y`;$tRQvMj^FwsEVFgn<1@~*rwqgIdj5`)Yv<-SrRAce(^X@r;}md=|}E^ zs@C3*AKU%0&#|uFYeB%~`)*Gi!i%02O4uVucN>eIF!*OjrP6pse>6(@v=Z+xEx6Ua z(_c}%CoKr##M_}57iS}!5W5#e68~pHI@?J8WAp?+)4KgvedxM zLQV$duPccbv#qb7U6iS(PXQ=C31~k zi&O@wpDUz(Y9Y8r>TikEvlaw#NL{V;vG0a4XE2-TgRSTIc(H_w07dB`1)+MUWsMba z+w}7i47t#luktX1p!Ws>uh>uQ*vWu3VF9%$g7*i z-xHu~EePV8#<>UJ?GqkCQv-5gl1*Yq&(82zbWU_SEqJ5PM#vW{A#bzbSqu4(6!Inu zf;b`FjV5=*(G#bGlW_U~lWqqF-LNn4jvK?mcPLG;rPrXeN@l<+E3l4P$gaV9hG30Z z5Lkkh(H<{Cz2iaLEg4PiulB=FXv9eEN^MIY0Lz-pfb~iR)&mx@Yp}jTu+PO{`ZOs4|w{t%1F=9P}$m@5j6h$Xihr-s!-t zKW=r4N701e#7z5Zm&w5dZ~Tu{^OY>FePA2M8A43Ih`Ks<(piuS<#ghlP|;t0*@I=j zq0ZT%6u^fzXde>r>vt~ClncdTt=J1!nsghjb!S<9@ zvsf#Jo!QOSL&|RY@(t?s`{S{QpT6|CGgXdR-Yh>`;9UxBR-Vdmmo+?St+uDt)!Pb* zY4~4zrPHN($raC{9rZ(LjDG4W!2}-bipl)Fv3pzE zyQ3-#-SJ9&V%b<>ec;)o_9U_EJipDp;n`W}8(!GMgBM)aEMXER{(I2K)wXEcnl-ER z29$Rhn})Nv)~Rm9@$M$WOJLeomHjFV`60XEL$h3huFqM|*L2ovpc24$RlH_+E*t)X z6>_-+L1bs$xYDB!Eo6_??1vk2|DnZI(T5g%-Q>_hP6(PDTKF6(Zi;lI$hQW{!wSkY ze_A@Y*zZxu!Nq^pA6)nxB_0~6=zzH9kfPyt!`Vv|XSaLhv~nQvU#xD;V)#J9XTa3$ zp1f(BUpB8k?ZJh%5A6rwp4Yd*dpKLHlv0Q8%9LPJJetMO7iv=5XPl=u^0?kjJ(Faz zXR1#-Kdt`^(T@Q&9Ohpz*B2LTc}OhXRG0WnJ=zbL>}5K9mt@s^KQ4)Kyi(EsUepvY zQ$SooFHh5gn(eqf%UU0c=U1kzIZv_Nqm9NQMmKUP&FGk6(eG1lQzM^xY=%u6y0oY! z4c&JVxk*=K-8<8i-bqoDxyL<;ZJN?jQ2ee(A&vZ}^^JU$@u_!sa(~{b`r}|nHv1MR z4EG;a+&|&*V#B?jr2mX6p~Yz05uCTLkga4h`P6u|KMx~**DGLnO>%C7gt`(Mem5dL ztwj1u{Ma7boi-1GJZV9|0qoB`tZJ9Yb$1+^!iC@9Aop)FL)g|yb#!!g@7v#V!n z~ZtrT}6g|Z8b(0~EYUa!DOzkPFU2X5?i^INby|3=(ulgFu z{rPHaqZ03*(@fUdd}RPQRomGq=PaFYpp0ndsGRatZ8?v#;b*Vh zf#n)p6%+=iYV*aXR(a}mQ>*TtqL1~Z>Doefho@Kq{X#mM#U;P7as07J71N8nNAYLE z{j*xi{T7#$NkT%EkUT;3L@6_-pTF0DZE+A zmEw4>o@gS=3)C9~Dv_yYFW08M;sDSovqYDGZUg1T;*!IYtKK~P1?H#rIw;BQ3# zIj^=HTaCa9*C(rG^LE~#1INb5Zz%bbrCBJ44<8 z-9nkwZ(fa;ohkyA-8n{;TISpd=N%4$v?=opCKD|gsPr<5BP<=6S1)5Cz7X@XR|IJm^9>ECd#T>F z)JUZ=Uf$N(IWaNOF+Pb9JcW*8X;_*rQkFZXYAZ;=97)lu4^F0P3-G@E@~(|QW@%|? zAW#h7m|`x}#Z-z#G}Nq98Ioc~uzQOl7Q!VbTESptWNQC{+C|W*v0krvdF=N}_{*sW zYUci$hT*fW7O7F2MT5uVZ zXUX5{&t3#O%eV+$g#Jl|(^M@BmBucpSKWaFGfUC^RI>0X?zZ>`peMzFY+(qst-F9T z*(u;oeSNxR&RD-Qh*pWa_~jADsUWu%1NmZRv=>I#*?cd?pAxpRgumxIh2v8gc4`Y$ zXMolRMPl4OhF0r^A872-N}Vr9LC}f{`ov;E_Hxk!*3PVZD_3Os8o3R5f6V7T_h6at zPv=YZ@3!0zplrGC@=?aTH8;-3f%$ms$4S2kkj~{Hp z%2Af6Vq(}HwbP)-ZNX+bwF z$fgC=v>=)mG}D4)T2M?2f@wkTenBoRsHFw5w4jw1q|$;?S`b2>046QSqy?3}Qo%+RiFS<)Db+eoO%v5RG#~#G)p~}SCaSg4 zQ>`5TC93r#|0SyRK5Ck%){WFJQLV@MFHx=Ysb8X6KjgnewGz}XQLS(CUrM#8X`)*F z)HG485$czy*0t0xQLP90FHxR0;kls#FzK;vXutl|WFw ze$VsH`!Ta$v-Y`lDk9~4H}ifx-|zE0@AJO%((d2*tL_f|FP^LU)o$dr!jk9LoUj+~ zr3Y=ti+UgJoqW0XzTRRy8`ztn-wmoxFW!L`)rQ-u1&-Id(OYcni1$?ecB(^TIzCi9 zRkYd|am5P4$iiQ}#-1BJwBCKqxkY0SYVv^|PuQ4l%)~Pt8&gER#=h>F9T)5<3f#4B z1P}?NU2ZMJ^q9M4han!~_i4{=i{JLvx*h$;nqA!poI1Cez1425gdLku+1m-6&7fGve&6cuQA(LXzXh2Z0w3>B+kz)x1E}6FW1~~n($T^nbWqZP!|^=afK!Uc8%r?OmGct`W&Xry9Awr)W$l6M=}H(~%ps z9OG4d|2a_D_G;E;jA32$A}6R53QW@Zp|3SLPXnoCLaK3mwQ*t<6m8rOGB%EYB@f~M zqxk<}{BPapbsLW~ifDdHG;h4MapKZ613e3l*z1AaX_&CR=Usl+s@k5_4IS%BLI|rK z_-!j{I9AwjTCF9k6ZkjXnp3m3(AxFF$Zoap7g`in@D8K4S1Jh^-S;{bE35`?Ct6uy z92Xn&@lV|8JUvB@Z5Rs#dfKFCd@$@ZT<=!NuGJDnnrpe>liezZQQ&*)Ce0QG;w;%& z&~(r+Lp&B5m$HnD_awyY*;yW5BoM*3`FO7+rXJ0Bmc_&wDH&%P$5|rwpc_jFOU40- z>RQXMZkU)ZK4NKXENE|3>TDG{f$K%qu?r9l-}+fUXw{BM>}1lcXGvqyqj9Y9 z7LscRvRsgm6DENEDPiPbv%a+Hwpx%>f{jGC?zS8WvK9Fjgx0IsLCx~JQKuU%LE>F+ zots|SiW0r16xkOG#?SFWsQyWd6q@FNl(b#XZdr9dXv5Aq zfwj2iRBb3!fz+ku*1QvuweH?@&<7)QT5i>ijOH}*$&w`Dm+xUbzWLH?c`(tu-2}V3 zrlyUyTv9#{NG_*1{NTkp8=y@aEWU8_kf}|JL+Ch5 zEbKtQ@6ghx9-d{d`8UzgWwsTh2Dl#7PpBvB6e$w)pNeY~*zwTji36~^T1;34cQNs-rpp*{1E@9Uk{B1Dhw~=bSfIeD>PnHT0D9<0$A0QC4q{m`bHa3M7jt*8TrEAF&dtR=v+!hOL zErkit9siI48AI+-($d_ybH|SS6hG7_>;@GEyzGPQLcG7@cr|#iZsYCbdo!^L~r(}0Rx!r z;u&Cq(7PA!Hv{k|otNv_F6rK%)5uqLzrDjuJ;$_h*Tf!WQXO z!g!cvT|V1RzOyN%9T1mfH3 z4zYQbh?YBdAtQh{8~AIl`ps#tdV~+1qov{&OZN+7gwqT{-y(tQbDBSK&9|z7V@F_w zRqrAoR7poya-D){VxHyrusAAK?F{f=;!(-y@ z$tA1dt~XlvKgtBJ9HUk$NhpEU6d`Z&C&d_*ijj=@)~{G#i_a-NYn=65{XC@Ek}nRYEk& z5D}yGa4gm@O$yV_6+J?XKL$XP&w?G7N&-~12LE_kgP&t1Sz^&Y!xChTii~fwcbZMF zjUkDt>5CGHMAJ`7_YuV9d~ZoUhz~!A-nqU^2I8ltPKSN&#Ab& zR2$gJsFH#$Vc=@RLM+R>LI@xO%1qguqeXn%s#THl4YAm6P!i-+rNSu=ifCC|3ur8J zS1xwCZrZIbGFG8On0nB%{K`E-J*{5%4oDEZe;IBfrkUZ= zLhL-HF<=q@&KMDw%Qelw2I8~ZEIY`iZ=>{ZZr+d|rO)wawi)ibDD(aN%x7dDGfa@V z2wu0rysL1!g(|0(Hw(cYd*u|s3Bo}qIj$0mxU>zdrmUE(&|v{~j51_(R$y9NVOl7a zeTLstGL1HVkT^H?eG+3QOag1aG;oDvN-|w9b`if>p}X#dCCj?ja70wM1S2TTC2+VR zl4WXFb`kau(8%rE!lR`pP6`V{yWPtA@zRb>cwYQ{51IQ8cHb=@aYzDP2mXQ>A0mT{ zK0{le9RSe4lO`E4X3Nqx*l-gHn$%j^V)H5ZRG3P;Ig>2vhtW6BqE2(L&R&(MZ6mV{ z)b7ggqiHmkmNjYK3R(a)d~E05Iw{S{N`6!OecL9%8ov03n(A(-3I#7?qz$ShDL7TU zq9J3-JuxZe9wSpSnOf>8bAN^5sb}JZ6nGAO=QxT93zIB#+9IVz4xcX)|z{ ztlt2v@~vcVM^72nrNV`$(&54t>sZ6FDU)S%N#wU-B!x25?lWU( zXNulg1HV4e``lSk=)gq|f|Mau=LqkP#ge5m$*9*6cOtn<;kn194%AdQmSWpdqv{6=LLi^rbOOl?p*~utL;-Kr~mf7yqDU96-r@%6!fc_U@YQ6CX|_v54J< zqRdd*vVv5-78%1NfoYqCrKxNvit#AlI3go@=vl`@2_^4Th|LXY3>~^P>R6)_6PC>LFW3YySoVwj@VJM2K#CRc$!js4pc~8-1jJ>YPEqKQg&vZ?6 zsbEBUQ1rk#fe2J3yJRjQY4tb&o3+q0LG&kigAX$xQ z2qDW(^E3mhiY1rtB9UHzSEHg@KoDjX>d);D!08a8DY>3{2zIn_(&5@XWO+P&r%)aq>08GsVB&*ea@~Qlk7ohKmXIzhu zDaoT>jp=4cc+=4>oHH8CL=7M#yEy>a#pWY`EZ!AHHJsVP&mvW6Wox{eU7Bm5`Qm^P z29|j>Pt&Moao5Y}Y7}?vqG{5lU9afUu2-5X)L%PdA)S6Qi?p6kh|Ekmn2}G7cii`C z0CP~ee2`Cem8sGUXWMXqOVc@?W^tD?vN3wnqEC`ZB4h*0kEvrfcu9G{Wm+=j~R z41A|Z(EJuj3&J`2C-(xz;hgHh;oQ3c;f46%P3-z3%t1vb>NcI4se^}ColV+pPnuY# zOOGaty$%D1wrSqPbjtV+Z3a6!@SVb!#s2#kE4yMWHot?X@iZql`{Z33&wn4#GbQr- zqX0{D%Z}_dRJ6WI2@y)Heh>Wwd6`)6$s^OV^;*e+Adw0q2f$7Wj*xtCC_ko4A&gB#adJcvG&xy< zso%I;Lem$*Q7{1jr2U;Lu4W9;Bj`JdCNi-^bMSJpB7=#^k6F! z9B|P=#F2Cmu?jai>UMCXQ_^jC1H}%O?=xelX@&>30Zm_c@P6a4BXSRrBXK^C>U6LJ zZEbRbM(t%#UKF)7s219CB5J{hOJosuK^P|_J~ljm(@Q*2IJH{bsCe>L1WDz6Ivf=> zkB}V90Auj6?-h``G+Z2!QIL2@($0tndQ2wdwK0U0Vo7tcSYB6j;e5^ZQAPt6zo6zo zPG%ct7)`Uik~flKyNOjAET-4`r1aXK;pL{P0Qbi1^VbY>@MKYKG$i&@?ysTA(IHx)Ji?Nks?m>*GRG# zn!if_OtfUbqI&Qugj3zk?_#|ex~x7{dTi_pt=f!Up;fa1D>T1T=&>MudVnB_6}mZt zSHohPPY&poT3UxJ&#$Ek(;T%FH6K9ZIK4PGAEalyfXJYA*#)zR^bis4>HK4|F5iN+ ztGDcRlrWOZfYM(fDJ+;mQm`~9l0x|sY(KSp8#}NCJ^)X~GqeH+E(aZ9Pj+RiT^y0e zG3=U)gSn9(Y?Z8Y>f8gJyyrqmY?ILiA1vRsf)G)bP^!}ue<~^EjT~(B@`;=+ssP0) zV@f2*lP(n6=5Z7LQqg*#n*e%93o})`m9Z~>!&Dr-)j*L6&B6BgZ-0bnt7#GcNTHSR z%QR*AtoufySAC9D<{Uzc5|}}mH45I8h2#GGKy4QvFErcn2GGg@j9@$Wp6m^E=T+*xM&=dk911?qCq0_w9_*k5*xsAAQjv z4AfbB%BRwva)!YxSN*ml>=-Oak_wrmOPZDcJpn621L8hb)?iF=Z4*ifj15f0SdL?f zWFm1@RtsVCQyfYY*SzA3GCAFj96!pDbtkh5;j_{0ezAwm)Zj3|);( zSFW7te3q}-#8x+94X<%KOB&x8e+*z-K6^@|N*6KZEguy=iEx%fQJ${9jaKRTHfY*hS1=)22R21Rv1ug;>bkVmlkOL=dxw>10&du;? zQ?u5CPYJ-*TYSF`{5;r=f+%P}#Znc=VbgC~z?c3q)A&@%(# zlJZl<%Ub4G$87Y?>X@k^GE$~FSZe=RCU9O)MAS&fuTS`)7FjOmvkkw1o>D!)KfM$` zkY0)}Q#QvuTRHT-(pQ$B_l}Vt(_i`}1D3u;_BdyW_)r)t2OWmcSK=kWB~k5soU^rp zwfP~^5tvE(C%0{p{>;k;;3?bk>BP2N(6)uqpqfVBeXltf+NXue~)CJ-& zIvN9YM{roDdc=Y})t2%ia4XsxrSX!)uVJz%;1jedS)=QdhNgc%mLW-I3m2$q>v2IV z5_A7kW4JG^2hG9O<73i#+*@SQXvOy`gb=|jr}9~V_oszdTY!ht7T|(j2>Dhu$@Ou~ zl#a1Pd|`}4nD*d<24sEq;Bg~sO!`6&M3Q=TGIcCVsIj2MSVvB$C+=)Wz-@E}B45d< zQNBp&6@vsR^L#YR9QIa{Ywjd#MmI_`<{u_t%!dr9`WRChMcd?*1OvNdIZ;(v>`A*R z=$qdn%3`PUwg?;>sHA-3{W6oxo~ceD%jYa`qkUiUGe_i(51*y7b1F=y<2KR1fxqKRhl|j3bQ+hqS|--H26fQgL42eywUYAXCim6nbnZ z?wjd%Jac4<=LU2${ndC#rnrE9V&P}Pd~}r0J0epIL%5!i@I-Br-N-PfQh~Tg+76I1+_4%2xScyCi-kny#!A}H-_6-> zSKuNhrXg?#GenRwx6dGr<~@tu`*@7;VwcQ?d}iYEYq3+5)kBYL1>W{SK9Q-Y=o?)@3MLe_##8p6>PrN^Y z_MaOMUq11^z7IvDiPVWxX3}Gj+~m|NUmcVjEKS|AE6#E!$I1$-4}7kqWP~a_R6>}F zT*$*fUQFCYuR5STy^sUpf`1zUsD3T(FbTIp_&F&1Ig6aGg)Mt>{6Zv@m$0bN#=mzO zDv3$homoT_TWu6XctzX$Q3GdG*KrLKd>+sDif6B$yLi#KAq3X|3vpHs&h^6olSf@* zWnA|36)iGc-}O2b8bdq(T4Q8f@u38e#Q5G<0*W5Kn>E-d@{_6|jrELppwVYT{r&Mq zg$;Ew!+iYYov50g{0_%aIOj8;pF;a@kH<8h`HcTApV-J7mgpXGb&i)(Y)D-rW6kGn zWV8kIW!zs+RuMNag?`Jyt*VBN)W$JoZ1pjd;l_5cQh_Bc;eZU>(vp<%N=1I$Lz%xH zN%SbN{Gw*tX#3@<*Y^nP47K?ajl?LEb&ts&*{Fy2@b-l|suMpjL?WfZIy^vLH6_@3 zo!~LY$5F}L^YIkKU1e?fF9lgXOY%Et|IqkZhgy>1v&1tZ>(h&I8zA<4nrC3JC~=I- z3@C`#86rJKeAq5hdb9|~MyhnGl-C}RJsnxfi)5!U{QXINW0C3`i&T;>z7D1{y|RV4 zGTLmBIncu&K1tY%t z-@Fa{SxElu1}*$`Ao8na`sy3K#dOnj_a<(azpw855plwJd1+^SfIh~Cd$h~9$Q|zC z$sFA%+9?xV(KW=7Zxl~(;+CJ3sf?}6rKtcJGbSC9kI?~noGOO*i;6zfLgA}#aiL+i z)Svi1o^#8kBdd1Q4P~S0c)I4Sb=NW899=yRTG6+|aBC5s%;MBe)gh#C)BGIAGG!@D zxZl1T)r;>a;@ZDnd^G*CjNFbNlpFAqT225u6R1^OQGZZmc8pP`d6ZuKrp%kn5Y3mN zTJfA1SHm#<{I&DNcVPbXi%jQuo^ZhNqp$%IwfIAP2XKOTy^AX+K=B%`?i? zy`G?k1HE)S8`I$ORM24tOKu%#f+B9MjKkY?7X@@P@toa_{IY%&Pq`4P1GR3uQ&xfp z!tw*w0DN(a20X}5_)HYBUq9-EPPgWl@xef}n#Vb3+&Wt}d$N>Nqw-BRbWy-eW0moV zK&p`jA_R8DS*7EV~Sav9fJzgaP0EZ-uO)rsCHTDmO9;97T;NXHNKyr zL5YKd6X3oFi6a`-AjtdhWl8lX6mMSKKTuX@M(DeV^zpoSKkp`##Rb3Ud6@qef0jj1 z$`pK)x>i!C6*xxrr;NRbjcx~DbD$4iietD!-Cu=}_*E)e(E5KLS%k2=mToui;Hv$a zIA_k+4`8$fTt;kflyUL6+bZK29D-i@8s#hTF2}psLuNMKD=Yz24^rgfgBw)tUKZbp zV2BT4B1lC9g9zHt`E`8ZGQKbMRGj|6V~kc;e^5yueFqcI_s~P}`(pFm(9h;|`tfz# zBhvgD{rEagQ8d3sKR$qB(dIAHk9T4Vt$CJy{2TrF9{spV-LBwAH=d<2>7XBfa+610 z~s)S%ueS3#q3nkCuXPm9iE*R z(r$dflqyE_0KR<3`a|+7o{;9tc7mej6VVQz2Ze04*Zh_eRBrl)%tO_BHZ|g`oRvG> zml_{NJcwx3Sjdi*yx9@&gd4cnO)DWKae@Z(yq6w zUcGwt>V4OH_uL1c+nO=|^okd|nF>PLiDFO4TrbeTKtw8kKfnB={GEJLFQ$Ab<1BSW zu4e$@`a$TWBFf*&H~kqs@5Y0%1^%qQ(B5jZ0We-=sZ(PXBRS%@g=}5H_25ZO9 z)y$Z~>0X~?!KVL`p2gX43^B=$Z)3sr$puXyy`)ke$&f4F!@b=m9=?i2*BU%rn2O+> z8YK|TrGj6U5ItXf=K3rcXs+g^2FiK5CP>q3R$sxDzmu2*(QZRVBnu>6!-xqkM;-QZ z7?Kzbai*& ztvlDV$av2#EXBTvWDE8yiqiov0LpQ)YXiP-ZC0GABvULDQUYffr~NFfZOHTYKuj&? z`kt19nIAQo>DQ{YI&skQewN41UlU*y?RTe9w2zEUSU<|S8ks(Bb_%g`hS+XN<2jbmBy{#=(pvmvF?viFs>UO8~33t(NuAw<06Zc5u`F zclm0o#qiG)edahp6e!2plp^e3VOv|+9?n_`a>l z;w$Wu0RhCN%QYQ+6IB#cgyK-7SC~|(;DZJweRz6B@z51^z{5;X9BF}SYKh{YZ^0?o;WQ zkdDfZ8C&_cX|~e;g8xOm5b|g@^o&>L4cez5C@_@Oi)e^0Me-wr}; zN}?$?{7VrqY&48ol|{Vyn=>;rZ%~jP#mKu^9NCcH=xeu%*sm7e86LbR*xMQ9r*PH% zOj1~ZUoJFPA-@nZx};i>K>QDP3|I~y4An1E16Op|xB3{g#(@nvJUu01tT#e3K*&tS zfib7BAD6qWeh~psPGGRfE0&%DwPdN-4J3>-5E+YtM8Yn|c61_&EVvY{ZgH+*fbhaZ zEzc@xgH<>@miHSXaa8EMy=XZZ`|58-btq<>siU%Oc`4n!i zbjQTJWcpHbv_6C3{S$Y^`x_5Xb815L14TQ9Kc|w$_FZx0>!?cHv*tHgaDRA?oOwns zh(Q9o6Uat*sb`I?DdplU3{Oph5rA!aG=C*R$`aY0Mp7nIe$R?H*$w<9GUo1;_@R@Dvo@CC; z0`J&#;k{_OQTHXZ&AKn8>r?6o7j7Bv%#mWaamrPkmiKbZOvSCe9~$Y~8_|DvQU&Ub_y?Rb~UQ~=y3p)8vXz63Hncot^e;TpY=a}&;QSOw)&NE&8=XjGb{N~diB$5EWJ7;M%TwfZ1l^@NS+`ZbZ`p*C61F6bdw3=sv0;q7m>NG)=^W&1V`^5f(HBfLrp?=xsx_iZ zI|;#WPELs}5xq4~Zz@wwncA>B!XhVntQC z#^jANdYPI@qHN$C!o3f2jI5ww9wbiDp(BCvGj>FT!nCY7^c3$H>j#ptW3ixUtHI$@ zK`$0gNNp_WTG1_^ht(%KeZ(PN6mS}q$r5N*&w8Sl?E>G*kf#xN1qdqdV`LFM60V>_ zQCTvG@D}Ib?HkP_C{*gjO!eC@v}J(5pB$fb(0350jt?UpiWGb%Qe8CEtlAg729{Za zCD%_)%uJxz`#c_L^oqq>(=dDM=Joaq*nfOtVzFMMGoaZ+`e0FLT8fs-dMr5Zp(y(Z znxyo_l+q&4@k9doz&3&5^c)pQaZGR|@Er6a^@GD4jZZ7&ww!uMKN3Kwmc49{IF;am zv1XujV{~<^X2f)$(Dm0ti4>U^J7|3Z)S5UyX+dNrr zgBK_?xdlS?%{IOogxF#cojOnfPx<+c1^pBRYT)$aLbs~6499ki-PVs8YS7ApcW9g( z5=T7pAjs#@BUgPw@z&%hQv9$k!&Bc^OcK5%2ORqJc1A^Yth{Kx?WcoRL|$<4Dc47T zl#5%kYK0pk`{Q8sewO67P3xZRAF=9w8$uGhLDa`pm-@d>76FmZINX{T^n?eM9APhC z2nB2#ZW!}@N1%l*bWmnQZyfd2y{FHL=paX{m|n1!0ICNm3L-CnTq{Q*vJuMM`2}nQ zsZdClz#F76^vs~w$3`POXqsVQ>sKFhB>m{<1Nb}*+P^pVK8EAn`xpI^^edrX|D<34 zz^_a%5-hzC(n<@xV<2}7)K2C1wpi|J@UM^Ihh!6&V?1%aX;}C&xZx5l8IK?atlo-0se@ zGpp07pll$n$YeqaSOTPifrJpKN+ltrAPG)E3>88sr~n12R4Pz$<&Qu@$_b%DFnRpG ze$H!m=GG^n5M0*XO;7jNUw^OuzOQ?_A0K$Y(<(l68h)r&W2P!#cDF?V(_(X`&0iWAAD&n?b?!{Z@6k?E^(Z zX=k-_#5Rm?P^DEVwZ?(KRP@oeG)T#}uv#_JROeFKHvR`!@ipDf#A`sDY(69P6 zEA%QDKFT3+8cyhEO|YAQ_B^3&U$0QyqKa|j-0gFc z$D`n#x!N+DcHS04)dr)FlsSk{_YNk~-)UkDVRZM!Nic2S84O}<7={%m$E(obXuLtV zQZVMX%!XGpeRCPSd6H;&1dU!9qTxtS00aI;6GAs8=*1BUhQ(ti*a-qM*4!BaIZSMV z#N5L*5mfo|YuvU`HX8;PF2i@%tcKzFEz7KX1~jqSST$B!Zr!L^XTUvFFsk)t%ROxw zZp$zm4c9k)%kXWh;I{o{+n+Oz;)Qj_tT$`cjIkl$+tpROhJU`{HLY^htQipeibpIL z`tHr(uLcI-7uKu|{uaHpn@y`lebwA`t5r5VbmkgXW5tC5F-+jPQL~KIcC}&|mR}Y^ zGx6g%GA)q}FtV0kJ&)MeF|{OP#MCq*14ouz!)!Kd8=xi^1I@NJk=wS65(KQsUrz5Y z8FkCI-HPF^pa~OAcX?X%(0!m5okt5$>|wqVy`e?zLiCyK2! zb7SE#XcS;6C+#PCDI00$n+XSy4aOpE^m;(4uj2!-dRkM-uH9%R*|ja$U8}@4S=ishm9Kgu+5w-Ii}WumO%W?jt2GP~DnwC|owZpOs!?fJRCT zjMeICSc7E}444Em1!e~zF+*eKtFCNJL7Abdho+d;PI2h9IW9q7 z;n9~XG)2+e-DtaHt{!Dmli@Bqn9>Z>H*P$$eEWghDpt9C=PA}DvqtgeWRz%_3*2(t zjlMY~F)Y{*8VvA<#qoR-5|hL~(ReyChW$d2enM}|0~dteN~@TTzQ31_+WYKlf{~ip zSZ$lDm>36}8<`~7}v$aY-AnEv1!c2~b^iEHet4m$&pl_~O zo*`x}0}z?V>ly2IwQS?rGJwUp*@Clx$*VvH705xQ+GQV-2{TGXTod>NMwWDdI@ESb zJOj6nMQq_OsL8w!yZ3Z2no7I(F=qE3mUeGzN2_=ooTm=r1j}c8uSk`F-^b*(YQZiU zwJPEen*VAwqh+n)3G+}z@X#ZhN&bIgA}y5Ayog4^W_mQ6uCyD>edh+8M4=S7ZCSmtm=3>S%tMm61;9YwuXPdQOH*hh z=kUD=@@ce~k@!X)f&QA9$diQE&@j)ZyzYVaB}t}9tS92^)ZH+o|203-xA!XuTF?3h z5g&X$O}aW&lU9zeu-IVTZ208RueTt{R04CT-ute6aLLTHV6S zx&1^aZPnZ+1<-evwEW-JSAUvML7xEZNv8n!EF$WMg0ZI6s9-88o`C}!a^->>H#fZ-MF^!y5vBKSEA!l%Z$&SVOJNT@r6 zAyVsL3_n#Cqk}XMl~anN<6H|M!7vJVRJ)Va--T!EOtV%kSAERc^cr($1AkIeAJeRb zM9AI*+i5O$E+snfsAr$x0aNDOj5FwWho1&j8kFyKSMV6{=P&V*!)IaIf293%3fV4PJGNr-6vD;Q#k8Is=eQIVNR|LYXRva(#{U(#q? zKAM_p2sl#<}vD)6u9x7#bO)Q52UqDv)_`d8`{QT7p^crcBw1Cy}@d23M+- zOMEgI;?6~9F&Jc21NVZFm3FPh#==_B*d5;AszNk0_Axegc(p!dS@rO_v{4?ifRtaY zQY6PI@t)F@>~-QzsAY_>!N4NRuySL^AEFff2oR0iwy}&@`O(vK9j= z0HK_%^BYuELw_GV!rWvL?7h5)UlY}3emIm{JR*Ey_49I)-)~3;XxKOgZG}`nGeG(m#wC6Re075D5t#9$b5$*^G|&t zGZIAxiLZ*aQf*Wz1=CfRE{oME!ndl2#rPd1A*)`=IH+P7!HB})cnOa>SR7k3S630| zn3NnAJe@NPid503*{=CUm6F5-6<`~s(#ex2OQjk7v2^0d3I5kz4<9R)m;jVYizk-u zDJfSCOF9UpBG?u*woI|6Z5{K*-+>t;a%_60hYrCH+mUGwD9z^#v3mA|J*0TZ+Vk?> zN;QDCLaBBE)HV(Z#*f)mTJ>m!`7kcSNI`mOHxlJhOs>fuiStszgkvBODsaQ5Wg~pYx~!tu;q|JFn&=CKmn%j5`mp~iuJ$JNB@J& zerP~}DCkZHZfPVM1N5kyGfr&ufk!x`a9+-hJ!ex-TcPH5G8DJu==Ua0VQy1;f_DC! zu;6n$L4O{PS@ZgTa>V7t$6I6Jw|7VH=B<)0VfSXikcj$%9nB3ymq_*Vs89Hw*fId; zpA|WOfFTFN4VT9-v`p$))FXvOlRVxL>(kD|D3>xXtXa1e>qv;>m}nU6OXU8IWQemj zQ7JpjaaIy|O*?C$GUv~TtrXBW%}{Z^_~rA|Hdl-oi(md+H3Y4u7GBz3b{-G)u8<<% zCOPWpZ1mR()+Pg&BobO`7zR3UAtIU5dv!WM^-1TQ__^r(Dt_8S&b#o7wFw0(pHYaZ zLB0poqEa&LJe4SOelt-t?R+>?mK}S17ky``oQOT1BQ#a);rvm!pE0qhKnqccfMZwa zr8H`hGQ}rncqjUpbO!M&11n{gEiq1fE%hdi*H5)_k4fe7%l-<+1}%55?ijzynFtNT5?*vf;(kwvc7Zz!m_c z0t{G>U%^Ga4hN9mE=}Qyr zHcqfx`XbniyAdoU{u4>qls6igyE!t4`$Fa=5oDYngvLdS-%nfb52`kW?aeo^k4-s%1__u-pPAummhAZHK>C_bBIH(jJwN{}l85D+E zi?qBm2#uE3I2hsxGD8-Q@WSQLw*eYP%xn8O5HQ1tu*mM!tX?v*rvmz4o>t!hzP!TPZ;NpnSzGGo_gRR)kNdLOEd(A z$5VaaF+i%P;RO7-3oa9J9hC@;g;iHWV_1E(53DXpD!+yrq`mEe@g}(V**@@03*0!1 zdzu0@f07+|EJwd0aN{PUC+KkCM$k{>fGz?zIgxKJa7((#-SKmN4+e+@ZO%vOFA=Xf zAH#$5JTP_s5PzM=AnjU8_^aeHUrI zYT-~kP?Jc(D5Z`&I{93!plvc#OQNCW#33AXsK%ef8dKL$jcSELwSq!S4fBG9Y6l6G z-W%NIGSTgXW|vS+r@Rw^+Ng4vrO6GIC=NM`1bx!EFB>0ag04mVd$a4yAdT?fM36?% zZzZH4xmgqvLE25CdPVlObR=SO`THGsZqpWFN~o7ACDv2cbv`6F5t&Je>hr|=pCoExAwNdceCFlm2r?=0 z{&Y0pua}to_FmxSBF;9IdNxx5g!_EkKaw};_LnjT*wTy)QNxw1zNr!Yu)u&xMmVI8 zya1EMr*?{+OjN#-Q&herL?yml%gcK*+6e{qw}HHW$v{Pe`ovPKV05B9iv72;v6o>! z^(w;rTeHIahxD@O*0K&*m~&NGKYK%YoSPlZ!`$>bG+y%gN~a*j%y#WgK~#Rt+;;go$n z0Mrj>cPi~GSInNE!wD?XWwNh4dhFdyB(Y@p#1$~_?t^6VpnGRMlUVNpEzWlmYx+U6 z>RBfm_q1AWi&?dpJ$R zVgC~aagzzHBq~~F9Ku5at*;WAT@qTmTO_Gi z`y)wBzD?asI{%oBn6gc;ME!rrt}ioNG>}bXwg~!P5mNaxTVE8_ zQ!-n3Fhxahj&l!aXE65WVoL$#tKieI@38UJAn1sb66m8ngHe>bhbo)(f?#M$7ls(6 zN9b2B6(t8w?tH!rRFT8nig@>Oj?9btLI&qygpf&zccWf=wFKI;UAE-3-=p}zir8Ye z<_#+Y{S79=bL?$s`*Pw#zBz5IOLJTkrlX731>JkX-;Te7bsLemYCnqksq>&zhUPMF zYXT8a>uYgnvK2mvZ+_$WgpA(vKMGk zd5f4Qm@^T~ZVISo(`Flj!jEufX~*@do&qL`eMS7E(U25sd>G+N*@S0eBQTku58o8) z(`dg!CBBoV{u~e%SAW*g zy^i{;z8wJ>A*f(-(glgE{ZM_^Yd46(7$(V&elD zc8phdU%X4zt?8d1amSllAD14O;k_w!sRAl z9IA%H#2VH*odHJBOrj#OIcHLS5hcNiGwHW`Kw5xPh zu|TB0XC#}}t2kH%Yf&w$Sz~;|i7KoqPm#|Ns4Dx|(8LjRSdcPsnvP|hHfwF1x*~WT z15%-5SxTj6K7lQ()9pr;wk8+uKYrxMefJngPAu(5u3bYlR?Hf9i>ClYe<92&JVRdy z#}B2W)K-1d7!4IhBzc4{s{O*~8yMapK8~0(u>?kDXbbc99$@v7K>{z=CN?8Tcnu`r zJ_afpB<$gwki3S&a@U(q0J7iV)FHmP!RVI~fliKX&iZ>;or`FD@Nl zJce27;kz(d9bPKgSBCu3q343|T}2B?>qxP3O8?Q918G^>}K0eN|ESqLpk zzZSCaG?#_Q_a5=7PV5Yc7e{Bnzs<&7&VbaXm;p~^%z!6f0=Xpi|3v=an{|;tNYg}| zMq08`-huD`%tdI^PTKdf*~~;#z@G?Swh1c{LV8op)Oe!b=hMUpt0OJ^j%PlpJ7DI0 zWF*t$bi~A{#&iFc8ZnJfu*HD8&?M)?cSRqRxdxUx%Mgdgo2$ASMQDTOsIumgvpAN1 z*@Jo4&>4H@vIh~B)e~DiJtz|yY&mE}nV#lec@;m8`rpddU76YD(?U!XTYSih<^d=@Oz3`^(kV693A!z_+vlepN{J?&ZSOJ+WQ%gKBH44di62f!o@ z5cuTTXte9gbe{T(_B-e`&Q`>JHFMd}yFm2}?j~2rN(Z_`w=tm$@A{0rf;H|UkB{b* z$46Mb3^D0Cy*tAT@{mt)!G`O)DEqIgt}|F_D`Y6M66f3h4e1 zupR8Xr4LlK>FKXIc=cw^c0DEM@EC(^W-${dU{3_g!o;0NB?^(^LiDC67oGeEOQg$E z&bJ@XSTQMoUpC?7)URll7TNKaJ#|vqU=yf5o}*t8*>TNCuC{+A%(VA)DSz zK|aUoC2cM*@6Cje&9%UU&oEF?n;Vava)ngSX5%g`G4&}daV5(VzbPIo^cT;+aEA92 zw|tlLNgK(W$>kqr(~`-7fPcZ_7T#afbWz*_>Ppex@yy3#LOnj3J8n_qnb&bkF74D^ z2AggQB}f}r&e&sLAEQe%%^ZcEs;f*h>FsmbA|l;muHrpGhfOm{6kVon87*5Y5eZGSD>?LLqPFFYnFGkd1f1&7%xd)W#1G z=cLl|hp1<5W#cLBIl&k9yqaat|AO4r9|vI(k}wfmmuo~?3i37p<4$uK(DTPwSc@e0 z3R<&tFHF`R%jO}IHPO#R@YahTxi(ygi#O(w$eF zNt-kH%bun{%^5Zj_Hy(q+<9&?dV&tm8DwSjoYA|P*f1zJS8&6DuS_n!4TGOep;z|} zgP%^RooB<~(`XmkFj(ugt04D=!5SDs6wcZQBsL5tH)nO{Vb2YN|AN7s?+t@j9dnnl zU$E;g!LjiBt=Jm)JaMSct${lWlDm2LdzZyeYSEvLU&h5AY~6wG2t8v}3T7RV4Z8x3ZBpZF2vx5! z1Q8*Pghzip$tBBQQ_P}I+FaJ^Tq7?GM&cB*qid915OC~dvib+VUe7D@`Ob<&E1^&9hI)r{W~gM zC;1%}d#A|DjQ00aM$lzwO#OrKDcr=f}^&1}DPHl62oDn;c^H$Z6g%7_R z504~Lu)WDaN9Fk*1!|KaQW6I(9u6U(LnQu`6(UisP>6JsLQIYEf`v#Xp_1>Dsn-&k zT|%T?Y_*_HLYWAY3i_Qi_TeJMB&SUsAbpjMlCtSfpuU@3UxrIGge;p73YQ4_ql8rc zaOtF|o)RuS#B`SK@@jZix$V;x>5MeAuNNn9lKakfl(Is$Y7+J8v1)>yzjbyKGC%1+ zMN{Vmw&u(`X;hQWJ7R;&=cfH4T1v9?O#kWmsr&cBy3Ur(b05K|;wsR6p8Kto+Ii-= z4=CC(C4Wo2$1sfC^W0kmX|rTn%yUUYqYYWy>+de_)M?BnMg7r)fSLEW7Md)_Tn_Fq&d^%h`+zEzW zO<&4+`l7Pg#b8t%QsTDIezZcTmH1}8iHBXh8(cWpSi@sMJhodkJni<~a*-B0=`Fe& z1YRxN>~sl^FZJ<-ffahWuszrfOXDNbDW1W{1@#U}*rtzWvFz6Ag9r~Gub^+snnkN- zv63Pgqar)C11O_M{#qA|;e!gd@ z%k5Rb+e7yXgI1_P)(j=1KE4dKXi&Ircu%#_Z2Lv4fm8}=;p*bHzcPDZ7WdP3f-9ph zi|lNdqK%IqYPjnUjKfIExTkoWr$Itc1{su2a8>M041_a0hQrqPaJU+W!H1WQ&K^Mj z(HF7C!32%L!yUc0_~ryR_14VBYTI13K=BH$_T6DMI>DtatL(Na#fn8&Q==QY0eBI& zP`Qm23=Cp;m)vRDmGxYMJX0K>i5DoA5SpT;-XfI)}0o4Afkb@G-A$LZ4pOhWdJFc`1A5 zkHwmcJAXI@uTrT;u2$d}*`Fd>ueF;%W)EzSU-dyAuI^7lNZfL@u>w|0{lA1Pg4bS- z)|;@fQ@H|_+(2Kpg}c6=3?|Dp*IX-FxHY_1G%FR{$>cHoc3O?o9jxR9Bis@|^&mya zTOtt&nc^R=#Vsy9i0}zv7^Nqjv~b%?O=CU5*cxJmvOlkqb>->OUPQtdYlX464DJ;3VEzA zyEP@;tnji}p{hL^fjBCAWsNS0z=z>)`83l^#$ky!+kz3;GF5F)(`>;6;6QW_W|9L` YCM#0BOBFMT!&l(2qQc;C7k%!30m*L%$p8QV diff --git a/doc/_build/doctrees/docs/formatterdevelopment.doctree b/doc/_build/doctrees/docs/formatterdevelopment.doctree deleted file mode 100644 index b3015dc4f104de12803c31b0621d1fc1290fb257..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 24773 zcmeHP`)?fAb*3!wwGt^>mi%aCcPd&YX^Trgf*?^P`3XNDo30}zcH;=Uv%7aWvz(pT z%tIs_1hJ7ivC%<+qFWROS`Z$3 z6@J|Rz5d$U{jc{|)A`WeiGn0-iheqU5>3bTTA}d!H~Op2R65%Xx`hhPbb9Cd!|PTT zEnc+3D7Nse@66ulqx7-sVwc(;RpgyMcj!zzGwDpvMi+74Ii57^v|`6`=r)oVBoawS z-ByI|(YI$u5gy|6v~PFir@2Tto1&kjv$4HJ zHTurHbH+L99C41OGiuDQZgfSMfM~sI zyZ#0kNWalWI|#d=TZAYryr4&As$fSyJ?6Q-=wH1fX%PP+(Tv@|*9@oe6Ss)D)3F){#T@+-e$V3f z0sLAw`ib+PvyS2q%i_*M&dSwkCVU=KWN(Fb&&kvGji)1PM|hrPM^-ZwcI^6F)ZHR| z!Z73dj07cWnE6*&PL|TeBC`zLz{VNpwZR!%O^-1(>Cq@|K`!uj9X#)g!Kr)|wXY7= z&KF8&Fe<8=-nTD``_Ktgi16NbzLL)3TfEnUP#xu($MIe4_B^-g#{GBsHRi_0N2(Xw z;g*0DEDg>-W9=p9JY#H@W&$`{N}v5GP+{i%BWZ3BCZbe+F6YL?a)fbRk=oUne&aJo zrly`Ya!ly9Emmw+?AS4VcYR2O?YX}xTGoymJ605Qg|#jApp9A<_{l0evQ}e9Z zkz$F~SWvjFZUS}O3xk_3v^~11O9@+Dt6N#e1HpwV+^4BTRQC6mb&z5xxjWDN0!=#UlYtRyz#3xmT{A^<^cI-9T9q_`+yb zA}dJZUJ_>{8A;#$q_}6KGX3Oe02IHL*H~CJPV%ZzBPqlpip9<-n6zT1T&);$3It|6 ztYyAcrYC4!_$}(2W%P+dz{m8K9;*7#z~50Km7W@grW)+hAZQI4Jm~i)A9Ron`eT9n zPu_Ze2=GRUbwAFoM@ZTL#wJsD70i%QcWY{Hlx)Gt($)1I@D4(fI4j0k=rcjIk(qSiZ*X^kRq#ZCZ6}avh3!sN0K&e(D7zI+--L$<#SV0>KEe^JYkH4(wGVKL}McCboJXEq%K6^Mq3~UeG0@(SZ zJUbmDKqm*rsU~KjFnmJ;i_SkyB04`}eR1&?7^eY|-byQjgP#o2r%{bDg;7kU3m#1I z(DrH#FKBM(wd~*W@}@QhRjFW6)^fYOAdD@Iph`uq^aZ}I>ep)*D;9pRaAp8qjfB^} zAb}+}C>Lm1Y`dPw%2IjsXT@ZZU9cn?vAi-CWfj>d6{Mf6dX-FXvC8Gw)O`QKk3)ln z5&F|IggWP(<#f)o{jJ2_f-O7K+k-{q_tR5gXH(Q1Y%@ICYQXk~DZ8k@*I`7qQTTR=yB7xaqm4fE6QOuc7u=skkuWecJPJoXgwx$42bkVBk zX0cwO{ZBocKByv6ByE>A++;%f7K4*)Dd?hleU+=$a;R9V*R43|c_O+{AwoRft&$xE zG+Cazjhc-PcBj(jguy2vVvI$t#AvfMG%%wcc(XP99z6PR9|bhInbJ85`?+jhm8J3_ zYo2pz<=mgiIl3n)T{4P=!h9*AI-Z+E(-#4>bN7M9GR+7uO{Cd_5{l+EUKBO68GuYP zOv&1TD0!e9eWvYnT*)EYaYB-**}Q_iXCFYTQQb8H?uH5;;g#_t>|8<_qKKhWJ(#qZ z?$U$S9Abi5ndh|-s-eziQfd0*;k`C~cx=GSvCSz1-n5dMXM^-iwpd!IrWQ-117Cke zH0>l3tox+uy>Qd%IqM6>dAw205f~A ziyQ|oAEc=vTKf>_4gn~4(3Z2Y4!=q1M3c=e_s-Cr(_GREVrrg^pmVYre$dRYsgPE_ zY7BZ?ohJ@F&7Cf;qLKXRBH8IB+l85Hbe0e)^sBFWT%Gq>W;=f{!1=M8g-&1B;1j4d z&|zTvw(J&%ErL+#`q;4eIFyO8O5dWzQ38HgyH*1&|=vAJ<0CxYUWLHqO4BD9s%?e+Y9W@4bs># zWbf>`0*6r2Y{4wBQXnnD7LGJ-T4dPlI5_)&y+n`d6*7pV1Z|q2p&9twm;w_L$-Lgv8`@$RR3&DSt7*&dy~#&|Bt3TK&vml`K@uLqh;B27iOv zV{D{st>d0qXUt|iG)<-Y(Fh82nr${?yP|8FX*KOINk1M>60J{$o~6NAG!3T1@5(7W z3Ir(XjpZ{YJ$qrJ8rrD3Pk7W=^DV8fcDPYn}`LlQ|8< za5G2K>48EuY#zF~lId^M>nqK`3&NFpz4D^iwOgX;c5Tn%huJoBRcv98QeSDeAH@$! z@=i1qtu+ff()woL3kY7@@`Jd-9SR&c^E{BV>lo~SLZ8QTeR8RS6m%+gU~QELMza}h z12cI7B_@RZ+yq5us6*+VcmiC$gR6jWITk)O&#_E0H@Aem{86$k#^S zKo9bO3QSH<zPg`UFgrCUw>qma~NdMofc)FEo8QMKdEIrN}x)05dHx zNl%R@iPel8JLp*&1gL3n#5+yZWWxD4%Kz$kiaNi7GAJq$R@!uDii#Rg{x2)9&JjG- z%S9D)*a*B9iEjORm`EX{6;GZHWuBdeu&7ifke%6l6BX0M2ua)~8l)u7$es+-cow=S zFrgs26BNw};%C7o!BsjI{;4q!3E#Q#1J6Xt?6qcO9StvH-N3-UJ)T;I*EhKw;B}VZ zwIAzE<73KU(6Yr+Y&dF7ASS&vo>B!n$U8{!BOh`2SP|4xart=7;*7rl` zO<=0AHP*()W|!9wifh{n1MtOCX19<(%I;=oMFkOBd&B0pjKwhKcX72}D3CG&+)sf+ z8Mx;)(F4$aW&$o|(7rN3(Hyj&0v9iGm2zlr?PnT)#5~L-V<~;~pdA{P9ilF{@P_2V zWvsr+@=0m(3=)_&2-duQX8PoH(Vl?!*)+-C(Aa6V*$41t+TG{9ruSeLd_0~&)*+fY zWx;EV=O`O~r>QCxVyz#ZMENg{r%34s3DeT1^ZJ31y`O&Qkx(MuOO$TGHMF^;Rt>Zt zw{4{vY^!C5+m!|a74oWq>iPjT0n~}wm=`qz8Dg4<5sKlD!5VFdWd#lU8F1g3fO{F> z{%C@tIlb^4xc5F+Dc1{)v0{45%+o62!a@2noJtQ?4+H<99C$$$&}`|MSDIi>JuEA|NOmMNnSt=d|}Shko)f072ckqbJ)$b@JJ^)uliN%SND+ji8k5 z7(GG2CV~>624vuRWMwABmPweQ>eQ67@ zGj)u|{(qQ=yqm4u*`v0_&*!+7Gz^mw`!9V=#(QO+lz6VlV^rd~I`!i9S6;TBs6KAO zf0Rs)dSwQApB&^p^e^X2EFUC-_B*F_4fbdu@H>Zze|aA29$_L~np#}qKp@Djg@axC zaKZ9Kj5t7qD|v!0E?BlTOnudQ9YMVWg9_bTgsC(_JKVE=ZCwT} zkq3Bt+{ie%anLWx5X#!ViUuY; zk)yuYBXq|F%e0cxx3QGq+)z!rB4$QDFfB1XPalGwFJG`mVBNXo@Lj9NmE2~oPTbHe`eLYVs zn&n_RT)d)i6rR(%y!sH;Eqysn2K`FqMgBA0_A+X~+uwxt!<8B7=SNr{Mfl0mJfNtm zD?sZ>clCv=0ES`+G)yO6GT%Tl7>B@o* z&apxuYPp3l1S{&n@z-`iI%sml?nP_m3txEQg=d~Ac8pVQ9@?9kXYNL^X+!uUpqVv- z?CkQn<@3?GD=XIdf%=7EQT1%RmvGSzi^_`i2t6s3GBmLFqnw1smEr&jUEpPD``Oua zc@J(`4|rMHgFgRSDeojH>jW=HbYg%Ny~&}8H-MwOI7(4CXb|!;1T2byY>7I=y;Ecb zM2V!60N%85mDJzTQ?_h1vd}P>Y>!?LMJ|P}vg|;r<+VW$0HJUWKj}7d^1DpZ2MNP< zO5A9@iE0#lkIRU3Uy2+q5u#|b7!V4bFv14u#8nO`xGpYO$)fZD%~7>2;UmVI!h9U) zNoCdSq(lk^`Aq&ctC%8~Rc+p78hz*(sIVrfrOHE~~ zANst&7Jd%>L=*iiTaFE(<7l^*9oq^NjiilRXjNS7#VVe|K!GCJKo${_tydK6CF4F( zt9XTm;?sxfga6s6hq+GeGdde$%f%S@vs^!Nll~^vr)j$})HpRz6e`mkG^%E|Zh38} ziDlp`RwXx7S2Qw(2B|iNDyqguO(oH#o4PCkXvCZ)mu3VzDX3mR%)|BD;>^g)wQMd) zChPv8P_z4%U^ib?@Nq3Q$Rc+G+=7NqWYuEf`n;~T-#i$G9gzQr`SO^%fe2Tcg-4CbR=s z}EIj&JL<~KP^+7WA&$prjKo&zID)fI+@KA+|4*Y1mLxjNrzsI zpn5Vl*&h}Zjj5BKqJ!M^C)OWdCzl5&J?I-xKfh%!eg18U*Pp!VC0_rtFUSLAI)S;y zX|SF%X|R+}w7ih!PUrh1XJGci~`;Ulja?^(+t|J2NWA0MG?losG&8IFW}Ft!p>K06C7}2*B0X zO!MlP0M1CkCxU#h3gze!np7w`qv)s-XRy#j@@QK2n&s2j?}~VaHcmZ*^&?BMfirfK zV#8X6_0HZ0UJ_`iPOxL1&=o|)G-St-nq4x(nuF6)ypeg&_#}Zn9vEO<-czc}C>aV- zxNl#6=VYOz5J2!(dP-#oKu+f_4NjWE*8?-+2uvy zIeltbmf_9xgnGg$VK>t`xQi&&6p}LEiL9zJOEaQ-{1}caWT*NPrJ0M&edG1bbUtHe z5ccr}UO0gh+inkEj>~Rv|J&cjSLC0de9pBb^zdyV4w^N(PC{?d-85X2;U4F`3Sz9Z zBKPv@k#vC)7Q^{o+okodk0*=d+waxX#tlvWvV0}%*biQu|54238i5uQm#=uP9jxkI-PEbMzV$Ki7Y#TxRSHA|l!tCOG1@YH)$I-Q7O&YcJYK9MsIC^bQxG zT4*KRUQGiJjO7O|Fc%^rEX~wOegf|L5lz2&)Qd#Y3Tnu(jZ#b4w8K_I)R?KsC~3yE zn{MRB4AGM{aK{}+zo9s(8YXgHy{G7*y&%LOYaAuy0vzY$(FW@YcetU zd+FUw4UQm0NQa0(9Py|HARk96cKsECw-oImqHNcsrlZ{A0U`WEgtLmc_SFR98=>Z1 z{9S&nAzPuHaq#}8gYU5&{@hDN&frgy&QpB~72tgI+`=Z;-sGB_Tx*kS zY$ndV$gR#DI1h8{&Iht-O4A{mK27aY)4Wb5=|UbJOz{G8X|o^@5akmRVO37DCjUg1 zLt=b@q>K1BjW{lPdf=fhJzr>XUiHeAP8Hf`Zy>4vGlOkqZ>G{YC>ULA5gRthC6e?< d$ge0H)Ow`w__%(|FhF_@sJ2WC$V=wc{|D~sm23b2 diff --git a/doc/_build/doctrees/docs/formatters.doctree b/doc/_build/doctrees/docs/formatters.doctree deleted file mode 100644 index b2023c2f916757ba5630d40d67e22bfc631abbdf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 180481 zcmeFa34B~vbwBQSk?rv&Cs8&ac_;~z99g!5NrIC&i;06t?1aP+(Ap; z%Ss4KOA9HFt%yQtfROV0_XjP|(gLNll(tYx`vbaAXeksLX!*6!QrfbV_5VHRuJ6sA z_wLB@0zdcw*38`H+_T?v&pr1AD_?WQvNM*^f8vdk^@(XTt}AznjY%!IYp z!mAfXUbyhU!f?E{QJQPk+l`5EAzp?S6Xi;EvJuu6?p+uzFN;@C)MpYM%B$kdTeoZt zW-#KepwVmv__R=7eeVKVuRItYqp@90es+N;EUzl}#eK6SOwn2>uWOH&;zFs_YE;JC zEr3WMt*A^kF+Jw4mYPj`iN9CXN;BfGHIwaG^I^O+aikGWahtWrW~#fIvn5)}n%PF! z3>!zog?7BURhp(A3+1)tf%1y-8RZpmpIY-x+h)SaN@?3wz+!a$hOJw=(h1n zYrH*iBy4S)ou4KIG`G%_Dz$AuApNhoZK~dw0o-AuIR+#w#4D?nTDWk_^7c4ktrCPOHuMu~6+npRp$aB2L4(y)^7L1l`kEl#zo)uJSN0I6aG1;gRk^jJ^=5Hn$` zRKQ9xUu$b?eije~la&VPk)t6&@YI9*Zw=}Vf}~PATAvW}*X8h)8de1q7YopTM@VAP z<<`={@DSET1Zj~dR=WXgr$7Q03lmU2 z;D@eA_z$n7eW1LwrRl3BzkIr1I(pXP$nKzyjLtVL&zU`OQM6y6D9CjP-zjn zLBj>bJDIum-8QEF0JeBtJYYdeaZ`h+=5O-A#4{n*GZs9f2_D6Ojc~dReql7pkqUG5 zc6G8g1b&0T0fENG0WtLf8h5R&1Rf}KZb(WD(=5n<( z8)in>tAX+(c})7SoT)!PdTQ*A0r-?bAW`sU?WwF$`cPqaCD}v+DWmq?{-}NSi8ZDM zu=qsJBvJJ|jjBFj$u*+Lt{Zq5QGc3;DAK0H?fvojT|ELbW>%vPN)9+Z2?g!u4llz@ z!=V3t9_U7VF6+TPF|))yb?d->p{N}lwWc-d8#4(n<=^v`VyJMI!)Y?3#m=7vXkq4F zOS#;e(0Bp#HU{ra!r=YHDdYXZY3YIvuR=G_EG53#l6lyd$P9*)>=>g+y<{k`=8_9 zyeJx?P8DJ zo0%i_YVKM=$9}2nUMRY!*EOtBn@S5%^PPdFN9nA4z>&}nXE`>r7D&#Y4RnUuXE}Dj zitd}$o>*^=%?!)|KkWf^g1ay4VRLQff*aw#Ctq-_a&1xV)YaNn%P-6EQ|XpH4coMU_?gqe)n&QSVi=H2R(+PcjDW4 z6$eii!c@#VdLMoS54_va({38CZ#7D_X0^l-y@dxUTz)P3{U&wi06WK?gDh@9s0OjS zg?POk&cRWJ{J0RW00t=#&k$RqQqTlGVm2**0gVt@$!_!B!gq(gEtz>6?svyQDu1xGp@D8%7Zr9olT(E{44P$7ju=IiZXu7t=4f_CgC zVmNmAO+1;yd4tpKu-QapbF6T%i~tyhp-`i}SPlh_haAssHR_Y?2?{520J#qGG%A3? zS|LM{fS%yt^>zu^U0F~OyJHIF@rDElLe*$h8~qz`79E|z%d|i>DuFf7*K+ul_>?rz zS3KIO7~Kfkf0^5tU#)<}WPC)WCHv5oXz>#kSrm&fscHMLtw#hJ;`iPaL|wIGnW2nv11YSj)RuNa;r2tV{*9(*rtEP#U^Ry>zh!wPy!2hgnSzuK&%wuH&&;M#2I=yPbwQ z+peo<(jeL|XGo*pfPPlm+u=K1>EWu$Hqr0;4drstFhtTtD?9KgT(syK?inm_xkg~;`Eyk? zlt~66!NZInZzLbBUfZe?97-zXL;WHZbaSC(CxKv1i6jupTgXVG*t}OIy96pFM|v%e zUoZ_+*3;U2X^r|}JxRRO;Yhqe+JKL4-GP;P}diYEtl7j`qd zOteP3pv|HX3Np=4@Egi-w|5UL`#1C=#ImDzFz3yNHA<2=d<;ISz0ta$JHWy=XuA>p zK8-BA#6qS9J;kbj;lHEQ^C?d&`u zq>V(W14!nY-^H}X-!x_mNA4kVuAHl>0rDlTo03(~Ht)F#7Y7EOge-SvbZUX4_bMuoH5hLMm4uE1*J;Km#GAd$t0+%RWc zZN(;>aWDc*r*LDkn@pxDq>@i4FjV#g7~5$HUDEQst0?VW$s7 z-=RTiYam)8X9z7zHKcfO5{flq+{Z8m%AHXkwNhY~T(bh>d1b7X2g?`JvihjUK(s=4 z#5hB@T%u6FmLcLCKND!sV1w`-7)t_lo*p+(MJa&J=?0MDAD&+;Wcf*E;>D|`DwH<( zVBDvyz^qgmGbf zoN~TKgYj{}aC+w&-9bYL9cj1HAc+P8(ZPJE5CgiD*=;2_@b>)n(E*x!Afi>|*!Jkj z)YOcA1$|_fAlijLm1`%d7+q(57q8um?570st#9-?(RCo2ML$o}&kzI}_0etijD3_8 zAY&ZnEHf6l0utG&#DVBVG~Ph;)Av`9;AisZB#ZzQH{Qml)d6ls_#7mUAWZ-rAE$L9mY*X9f3kah&aYQcED z)R=6JA~?ozuL-33=@dEorh#85Q=7&Sb1CzW&_Cu03$w__qnVJ-1{bLj$_+q&eEI{b zSvGo$K>H&pv}ea9l#s3geHFnHe&Y=1mP%?MI7x|t$Rkuqx%eTVWb)}oy^XNtM7>&X zARQ2Yj@KKL$jw6p6d8Vm)B@$3qO0(|VXv7UNHT8{vC*c~(s)0~vl=A6OCa$#7KKFQ z2s@E@hz>9BMCewqYS5f-A`=*SxSYm1Tb{k~#t|fcQevaRt3)KY8@Q`9g1ZS>_Xfb$ zXu|+RK zp%x;ROvEQ2uqNChGzXtF!Wl%DC(WkgUp?^0r$fDAkh`2_I5azv_@6yi{bRs2w4?Yy zO607Rko7iKDIa-_Vl;y;w%Q-TI5}3EcW5*p?{1#5Bz&q>NW3)TIWUrGb+sRWtSP4w ziXVH!BPdNwgtIMVPAjZtH`0rY9wllGL|;e|&Cl2jBte`>Ic(3+SF96yH-=!0KgjIJno;(nh%?K`s3vzcEE!^ z>0kY1@I16%?=dR{KLw$)$EDz>VvK(mjG>RG<2#Gw8^FHv4I-Ho*lM&PBXnhltTGNs z>CZ}y4}FC%0sG9pmn|bxxWYqsdO8ne*Xl{q0VvSAckzNSIEv7F(btIpy$q(4z-7QEZQIPn3JSV z@J{x)O6rK$Na{q0!Lm*z`9jrzR*7ra1)zel-gsZ+X~RPW#sdEr;--l^iPf z*O;k-nKCVik6`Ii5WD$CZ}GqmN#esE?UW=2X#Wtm&yd7to>27k$G0ru_!bW?>9D}l z?+QHiEJ=UtK@XB~Ier%lCVtK4c_m9k)%SYLO0p!VpFOUUB^uYrQg$Dl;Au}*7(iUp z&g>FxBQYI_I^(_FyTyI-tVNvatVV*QIBSuCW!(Np+@8ORz7LGVt7~;}WI>s3(f3qn zS)Lxq+vL$#@qN;-^*hmszKxFY;Egl0`(|(-b+6uOcb;c#?r7w3qVfF>xQ3(Uz?yO~y^|jv##o5%?3i);SxzvGxJfYaEJF=g%11A*cTC;1Qa3`}L354MqhQORk5R&xKaC8|!eJ~ou{~w69;{VHi(HQ>WKGrG3Mh%!=vce!Eh#0i450*UXEINA+Ra&|5HRDq!G653ujK7$JeDEcr{nib~A93>o}eq!U4 zXr=*)xCPDz@?p`N+aU<|t@-U$ko#(GYN+UnR$FHYH2PHp_R^mvR6T%}$2b*&pbNs+ z+_Pm2IPW}7=o3F^e~g=1mQ4SSLxOxzG`=Z|3YR+tWJxKhg_&Q^|r#Z8W{J)Xk(DVyI&)SC%AFhnUNbyvgCPMX%2p{GJG^lxU8 z)Q++3GFGnzz9W%Jx5-YD(#@dT(<$-%n_eDk_jfakC0FE;B=wt)ZuT*1wQ z*NJptZmvw_yD%M%mcoVkDiMusY40w~e`|vKdJoct`MU1NoU%LZ!YH7~h3Q;%lF66% zCAD0V-EF>Aew0kC=mf%WNJJ1A7e;)Ni4|R47;0s?F#q1U$W!IQTnHRxNh3imE$U+k zon0*Iis{N!+I1PD_QG}HpEYqJ{$(KAoq~RPqx2uY9=?b^g_bRK=k|+db;a6+gZ5^Jr!b4UuWet z%v{M8c{nSta&)tmem7_3Wg3-ig09X|kG(r9S4))VNsDw=I!#4)X~}d}{s5~w(ay?+ zPi@Uqvtlh95)GEZN%=oStVKF0pVWlz(MkDB-H|wC|KT&zUW$SWUP@}oT5!>GAbL~} znGr`lfRntN z_f^}ij+^Q5i!;#h1B%Vc?@<^LRa9rg$%6WAvT{`Z#u=eBj`(V*8SJ_9PLb5Fl0fL> z4QZit)LootHaYpDSPI|(vKCc^$7SX!50lp3s1KFOOImp3rty-sIj6U&&8JzjG zoKI0sPolXvJ!z9TuGM%m{fet+9z9dul*d3BAJIjy8)^PK~(OueHu;Z5kNluttI`~{AES?Hivs*=B?M?xz$v{eH}?n{bDR6L;UXJqpS z4V>q5nTEM~VIr(no4fdHx=Dg-TbzOmT|`g?wf4+7@}RjnbxpP5kaXcDQRP*n;n5wt z)pBKqBIYY#<71#qLK7MKt}QBLDDt8n#nki+{z^&$8 zja&JRnTT<_X${dgxk80Cv8dxR{eC;KJ>J7)UtSktjKzJyjq_) zV%@(w^Z;%HkTWBEm}>|1WtG8TcR|wVnpR_XAqcK%;Z6mW^S^db3h`jT1&gm8oWS+Z zBa~c(WPjX%97O8?N{GL{c93(7hACNpjIYe?q4x>KIDvJ9TT*NO&;2M!*_^GG=7Vcd z-dp{7Z7?)65?mJy)u6M6f?fC+JTOQg0Vwj@cJQB_&)9#ZL%9e053*p(UI((Uu%dM28*mnrf*w-Nwl-9*^LCaeU@f9k;s%JA)#~Ks zC_l;UjV|`+Z0ttt)f|A{l3HTf_$h0O5*CHWKJnSi!aQ*hBji~p@^pd zXvPKUhRNZaLXv`bO$CMIcI=R>M{eR}Jxp#8+gmp*e5N}Y3q`#qMaVVk8#5!5@~9^% zX*MS1Zsl+;5=&a*;^Q63fdfCbndrtg@4O3v5V0Y#-?+eaN$e2l#Rue_@Y@wTalcNy zK16=E$mAcz9%Z81rivH^5^HfY#=IJUCo8Ny4F9SFEqvP!vou3`yauz-a}e}W1nURv z1jX|ZB6vbmirQ^VTw=Mk8gN5i`%5hN72Vk-zYcixI!`vCS(r@@Nj6z>+*u1eadWuv zmDnR)qv)sPYqk8wfXCwXYaVzbKVIYQViC8=WC$|{s>pJfC%d_8HAd&-7K!99y%za} znTOZ%0gtti*4JyD+SI;%;ia?VTBDzv5!Vn}} zvn5D8lsP1vnm|TT_=Lp|@{HR#O}f}dwIEIASs7I^8?XvTa7UWT^Kjt;G8z~Uw}Oqt zj|IWAQ1g)=4nlbYnEe9B0ZO$Al$(IPp=d>;jbbP~HE$1C;J4PaErJ_`aRCO#=Lc2- zx{F^xH6Y56+sZwQxE4(1Wdu04&)>dJKUM^l(-M!(puf0Mi?ODVlZUz}R~PD-t}$1^ zb!7xr?r7JjjO9&@M!g~5uz|zUDB)-8&hIM5r(um)egP7E8Vq9lPL^X)Fa%IswnmY} zjKysB1y44k*;v&)kEnF&NNT{3Pc++1@%SC(Sh%Ddp5f!p!(_ZqsbYHztKutzo?_!e%yJ z&H25q=B6c40S1bAi3ZHWOhV^q%-G)=m>4soy<(;xbr4Wx&C+DX26g`CfY;9iMd;}? zK~I4Rg2oPtsQz%6y@tcE5Y1uif3HP22f7A8%Z4DxL>1uJIicDweTT?&&DVWg~f}< z!?4UI-mio0IPB{7S;6;R-y|NuPoKo4^`f%+Gn`hwS4*B_Yy4|p;lLBr;0LxRE<;He+7SFkC?8Vc~tgpg9NupU0a9A~Zmgin=? z&sEI)3X3a1Zvz|Cxz#J~?mmH%WMGR==rq|l8_XAIh0sf!q7QLW!Vlp}OV*2f#rS2t zs1Kv{d+O%Eh@U~2MNeZ8q7nE~>QY(!OofGD?8sbjKiBfvIv3LOk-Jcis5*Hy;teR; zv@5uH`}Vziw{H&~D3~r2Gw7mze=`3EzmJXS;OB`NUZao&4u2eR^Ttbl7inm=sl|?8d7dA$9eKCIOlnx$XF6sSO zYSU^XY9se%g|rbc#uuBTM)(|*-EU#%geQTi6U^IG<(Oq&MH-DUMEjQ%I7`Hrcwiv+ zH$bZ{rt!>9tSBMwPZ`Cuz`ies1L%;Fd~TYZP2o;u3VXu0X1jQC{T=J~6XEA3*fJ@? zyNpwn!qVMCIL;bt&LivK7%|b%XfT9-L|>rB2p|KLDZ39EGomYMbFBQqM%|c z2=QomBH|B*L%hF$sF%F$Dvtu2*t(Okf-E&;Oak@zut!vHdheA5fW|s20Hx0BbWk@s zm#`z$jQa@hd^GThmkfBcQ&mS^1>|n#_8C=29)#7D!Man7PS(S8dSX%7vcmSaSlBkP zFmnokcL@bID1E+?KAHhox~}p-AI1OW=>73d<}I#(=+9kd()jY;d6V|e9jo)qxw@s- z_3h|c0IbC=qH~wENtsflTg9KvOia6H_qsM`i86s%KZoO$fOgblRx0o!1TA}9Rp5mL zb0d#y6-qiPUjIe?QKU-Bz>BIN3ja*n`BE@QN1=oIz!S$JW4NzxPDJr!V0CJ%%%DUt zNS};)>afJ=qtRdw0$!=XR(3v29KF;7P2_vN*rT1&U#~&?pAl$EJtSq>vf9bTmsV2K zdGkK{f`C`vyiI@3VEf&E>TDj4ui-$gz%P7 zrMO&gS5e|QR40~*M{%uRlI?<1Du=q(-4wG5^=M@BZwN%;RjlF`hFMr+e%b>yB$R*c z(M}2FFQNS>xP69GaW|Zb6NMfvfh#bHS#ZoB0o=a{;53%vghInbFo*W~rUwEDQF845 z_-RW=c54YsIjVuy$T6OcCKgjxcKOhdnVcDB?Yev~lq6W=!D(W}ui28OB=~h0?O#2x zPZBKHE_+-h!NjIc3I1DI!*;2w8mNTJKH}S!oSE0825! z6&_4L!f?4qJEb+=g!W_HK0|AK;vkmF5K)8>2Vlop!1z>?L~E++k9T2)LQ7LE_*Ov* zqZu~zRxS7$9;7EdA?N9jFBy@W>?{GXR_WK?%wV*=cluP>V-3XSdJU^sZY?0?7p9GY z;w*=FE4911L-Ng-tcCCI6|&J@G>4fi?ya9|o!;1fd9Q0)gQt2~v03n%?=&pg)<9XA zhtPhX2Lxnggqq48S6LZSYfdZkH%xz=eISk53S3A>k?#LGF8$(&o+4G07G|Baqjq4s zg)6_57bLVJzyAVbga1#Su8_SPa0y$|@7W@asMJR`#plR5qU z9yB2te6L44Woq7n_P@pLGfd5&nyA;0HchmRImf*sgYdXp3*hT71-^_u@V=`384t>k zC6F`p$8VqvS$fXswB&XRU8gyq2B(Hy>6sFJDkJTTd$e`v{=@xW}xde}(L3 zkE`@A$+}Dba-wmObq>P(I5sX8?j-%kemEZv1XQe;)3TsHt9WbhXo%a**t6r=IqoOY zi1~&ry`?qJ-%JH9*-$PZ`r+Mf6+76ldP?zpI9l-NWJ=?j}8#tm z%&*^d+9udy7)Hd`g|(#(##jM)cfnDV5~CLm42gh3FN)i+!%D+&>RZWd(8v#907OQ3Fk5Xm?U9p9LOB(TffvQ_@FOy+;c@^7 zjT!}w!|HZaq|v+^9R)AQjaIiJHOD;Ig)~abqn)z1??(GsZlAWd!`AWKL&i%v{kTP& zw8vyXY3Z`eWP*XJM>x)w9_U^j*mB|X%l71ml?0~C)3Zs zwaMl>u)l(Co#Dmw(ZJh!g>mP|7)3sMlX7bzi|cPzs|6%J4(0y)9;+t7(UdvcrIoBz zxqsIqWNT_=0p5Z3FJ;J*73kk_Ae^IH8&Uz1=G*1ads6Fp>O3!nCx`4%cDAN7ye0IU z%#Yr!)3ARO!5>Z*?myc_0VQ4TA9W@jtAc+3S-YpvdDO-Ij2-~v4c+n{PV_xf3!*lD z7Eii<1&5iDMEO$du~Ae`(xy|bZngZz49pAqx`zajZtIw>KfZM-In;o)#N)bPH=4Ew zOs(9IzbWp5smEmsp0)IxhS_U5+qN3YS5f5ePm8yimHFV@#jnogFsIq2yW;Ft2>MeU zg3|rAYDOVw=vddQ74HHng^KPD8Rg@XlP~_jYC$G!pq{p|Dht7wmbmAaR3&^Vx zU$F~KKCkv_GhB7yp)+Ca*I(CJGYG0{6B|7Z)K$TL4M&OtZuQ^*vZYV;Xs4Xe-$DDQ zaQn0qYWbjhmH-_R8~yRc9)p>M`!&ZR#>FCx;-G|2v6oU3=5!s8cp!_Eh8(|V*Rcbx z+#HhU^$L+Qx-90+mI>t!5fdEtn3ZHrFiZBhO4evxr>q?=B08HdYoMS=GEaHyP@_V` zoSW4hJ38~q*I5&$MenUxxe`4Mmf=8FzQ5*y9TL4?^=PL=@AuLESGavz^z8lLuI}QJ zhaN3*7jz%PtTWDP1yKJ`fSMFAK^`Mu^w|u_sQWVyl#qbQ@p~4q4!Cj!>~pq?Xm{-B@anra%5$CdVEwUskfiJU0|#C_9Omyhy4j^YyZH~_ zL_guFgHMKT`9RirMtA>VB^4`kIS?dX0_WK@BBdt8FuR>nYBa7(sT~Ssl#jE@Xy?u$ zGD?=z#cyz^MW^MWs;`OKQ6d88t8s}QF0aE;xv)T`c({^64cQ#1Jy0uEIhPHGWMX`p zj2lhXaT-V245DZk%@LHwoAqkD6&9!{knnJ+JxV)sl^_-VL)@=DBdQ)yRS9{TCoh@e z=nflVal}=ydIMAe0nYvan7S)IRd3fODOE|OT9iZ7CZcuI&AK@hHEe~o0?y<(-N<$OE9ILwxLB9=czlNmwB{Pn*C3} zB17ChL$e>+1Ah4lmk2N5IJiVxxtwa&Hwl7h-tb9lKHx!gGW&Au{zJP!Q+1YfdI&og zeLoIy*Zog|z#Gv#bj4|h$R8E0$(3W6Z?o;0@=ZPn{=JdgCw-IjGnw1RuIO-0y0%Gr zCS9B1uA=j|q+e2ZNTG*ca>UWi$1j;iKg%!qNS1Zz?w70pzFckz*#?2`Z1Rv>B5X)@ zJLQ(pxGuLO{%=k(OeV^cccQcDwf7<25?F3sRhA93$Rid01B|FhrYGm2K>h|?22?C= zNYgH}l#y{$pA*?Jl(@r3e4)D0qN;w@Q2l9Uyi!B*5Z=b+#Gn!-Z#FCd)O=&XQ^W;g zf>z(Aa=HjR#vB{K?)k*pAVhB@!i(ELxhcjE=P;LY8y@m#r`(2*frPK)_8D$NOqrKI z7PQlbyURVK_Xt#I`ow8B4-Z(leY!vJKsuRiIYWOOoje&ZHtwX(YF2CT>2a^?uX@Gg zjoSNJv~*h-T8h!k$`hdrpZl2qT z$`;eOE?a!)XI3B)h%#m986oRhgLN8+D<$pLY`c{>x}&GgN(h3Y;;yiht+{%@PXdh= z9MAo9=HiHA9JIZjE7vgyKL|vXcVSJDQZrGXo!^SPa$8YtsugaXYE+OpTb<{d6C!uF zL}t8HtxVT&QKE(9+B#sFp)_=q8>V#L!Z@BQg6+nwhz8gH!X*m|Qs~kcLlr(#LEu(+ z5;l;W90X4Sw=`PeG!+b=q+@u3HyPyQWL$YQU$_^1Hv?~w(1qc!)uLkMSkso8ILKF7 zzc|UiY76oy3VQ(^l|F~hFM17SYAqOK%NEL9-nC_mWEN}8VfpmYh}{+AO_WQuN^{1L zreHrQ#YmiA%3nA<8w2CueYhrdXuGw~E10W`>U9z;&op4Vn#8QVhyeG0GLX z7#Mm_gGOemCdSxR*m3!jE-e;;W$Aew(}@%5=d84ijCMkCNuRLG3s+aJC(YPKxu-DXEN3*77@tSaE7WE1$P3!r!c);m_C>oySa8C>{OwL#4 zFFkrW+89W>l!Fo4A=`0P`;WiCX#03#EBoV(r?=0f_3^$XgVT^AUcy0v+qVkbE>gnZ zmCq9VhN7GWu~mm-YOT%rvkS@|Y}SW+h4JyZ?y&{2M`IWKUX|_swpYlVSpfp~e$w`7 zm$dC!f*pVsi2>(e7DBr;0AOEFv#RIa*zb6vQI3zYs$QEK3uey_dJTTW_J%*~YKwPkT1?(fuV)3y;@t8u3c-zwgi8e+1kJHNj(rep_)u!D-uMH^7)EN%)f z=q-+|Eegi*4hR+FPLJ)aS!evP1K!hWD^ACT61&DcdAez%`2=Lcq^Wl%d0WZ-zJ)8- zuP*3t2`3RFP)x=z0LjRK8J1b?x?UlD(xL(nX4ZI-1Gv^ZkXWyZXQ)+gws0YSGf?o_ z@91^yP6H=s=%-UZhgAr7GP^y+S4FrZyPb-!Qh3A_UyTo#cOnaSs&`)yrJ{1g>g7!2 z9^uGRUm3(xVD3AQt~An)cPLGu=mB6?28y~pP5FEe0?@J4{T}U9r0Ac~evaE`M2g}q z{>V`5)JqqAL=e4}urLt4#$yF)&+#R+{{?QJJo9j8EHa9B>%d+2M#)o;?(JYp+&}6? zvGDwwV?`e49>417W}CKdxsktue%86imlt>L(KR=cxJKYSTi{4A1$Sk)Q-Vq3It6nN zYigW&v=9d`a+I6HwIax@FIVs@;*eKdCAN$0?r=wK#rtn+P{FuHAU{JW6sP%Y5M;ZQ zQv7TVfmHPNZ#~*6nfw=E;gj4xEtA%+dMCN&z2bn^NEN=f_SD;~xz~KspDqrNYB*%Fsf{zL*#@0D zi@n;TJ@J%4iXTtWxK5s$Q#2>HrBv<8R@>E)q=TbG&C?bX3r@=lqNY-@ILPT-rRpH6 zG}u~oyIZSnN&%AQzh@INgxAg5Tc=z%@*cJA?Lr&#bm(Ebp&&tSo+E-bjj zn3Gc5AK$!0^BMdt-H^c7QGqX`zfr2xVhJU0YxQ>q4%X+-_n;5yb2(3cyw2h>Ggk6z z)84=Zy8@O}@SL&T^kq1Hp;tJZ+ZO?4wyY{0@HIf$^q7@&fZ)pPag|*mE_Uev4mTlN zbG$ThWV%5YDQqpEvINAb4QhdiCou7da%~9P8<8|ZvTwSJN7V{w%LnE9>MF{@a25yB zCSZq3?N%LQRp^P!d6j&CY=%0{;ANInVUBKZU~m*xMh_$&bc}U(IK7L0Kg|+^)Pt3K=PP}0QME;FHq|p{$G5vq=AQ$N_ zIeLFA6JQe3#c$V~5cx7p7#}%_VojmG4t#os_rKmNMz1*@-C-f<_{K3S)*Hllv#5w= zX(nZRHt`F1<_7}?TcVX``Z?E{t1iGf9KVU&sq)OwaaJn>zmWh>ik<5LZ9)c|c2le+ z+rBBg`Cowcub5Ag5gq4Mk5oXXYnx;|r)x9pjh(+~#ljT4%7GJ)XwFVYH=k(EcJ#BN zIscQjGwc0E3E3He?ridqnseFT}WymQ7q(F*Et zClW%NB1M(b0g;|q2*;+!IO7xvgzUczUNhd%O7uzDU z=(M9TO*FQ)MY^StaAv(q4iBlFdFatjc^W?irApjB%hP!Ok1(0EvvAGvEW(f?KE82) z@8=7A8+8naL~ydQA}i^mnUbmh5)Z19GM1zF$0OcyVP@*3lbOq`#PihemwR2yAfNhW zO02aApVltuQF&cSy`n^)_`(d%w0K9a@SopRi~_kI(zs4L`dPN4rP>6FrYEk0AaaUZ9W%4YbQe|?F+Z|w#o|=GuI^7*dlV|; zN*nSZEKQUP_Wcp$h8Q8g(m&^4c?aPN`TN6Bb=w;>24Q z^JIaKP+mRk=mb*msU`&V=w?8l+-X3?X^mQK+I;?iXltF;$aX1)TXvS8=l#7L4kIHg zjpzQpGeDnjbB8SJ`5v=R|4|~3Hk^a`@Ec^uKCOYabC@1hUBlIqMV01V0oF3Zvf91K zgN9@R<=Fl4o{p_?@BD6HSeoGse8z0T0T4o^7Qd1{nwfd^m-o8*l_a8OkXU?n&3|U6 zAS*~VCMDbq$1vU0D@>!kCb ziQkg;a>{{d4|_T8=;mWDpN)Q&yr>(#2v5N z3AC4}J^-6Z^`V8aq?e{DlRel<)a<1@Z!nc?B7>uqQV^AnmYNfd%52LS+TJnd2yL%p z|3Iu(NU{!IMXw>+3VXShXCa)V*zL7Be51CSul8uCtmOuhvR812ENl5Y`POD}&diA> z4&#|JEPO1WmTs@sK-}8};>c#a=8R=XF2;WzSQsv^iaiYHdpyWQhEq<`AK%cU;q1h% zVW_1T%)n-hCW`fuY$-#vyfSI#WaISFURT}KU|Pr*IA65E{A{lX(MN4@EtKWu6UyHr_GYrVd+x@=-OLAsXGQx zY1x(ZDTT-V9{8aXa5;8=eBE)fi<$rUhca-PHK*_Nqgs&Ud^0n1P_fsQuVMx_qr~zH z7Zk3j2U27#;V|PcG|gV2an+m=M9NkeO7-^>v)|w`D`_Gj6xjnSO~g{<)I?t`()ACj zEoLhr%3a|?h1l?xf(gDMLT$ij6x*WBG#8l=+JLo@D5U{p9wBcV15_GxxKycCsH7Mw zkR-Gay-wJ!PEz_ms+mlVG8xgSpr=wTIHPTfqh3Y}4mU&b{$X)zO;>cKco=neXRJW^ zcLu@=X7y>M_Xdzbdi84*ict0TcxE(#88UYLs-enOqe?%YO~Uyu2J3Wn&HvMZG!NJO-yPk2T=RcL zKg%^ge~Ddl)`kM%*%Ts!D70pFJ7o}gV5dR+CYgkGqbkmHiiI0gHibOop_3PwMk=nv zrb`?|)rACGRK)xrEIE$AKlc^nP!s*A~Q)FE6 zL3r-?jAG;XI97OyD7wkRQ+1}qnG~LIXjiG?7L|UA+PGv_yciDv;I-hb;$9EG3V6hQ zM&vY&8k!8&9p&?ob&fnc2_iwcCb zT0B!QJfl|_GWIP_Tu3dT00Azh&P|wy$P^`bwaAlhhPhM>iiwU;+IsM<7^YM}T#{ zKzKHV$Po~>A-kP&1bASlBk+nM?RfbZ**F2TSxs2{5|`knR;UMw3`^8voD6ZBaN0_a z9Iw_Vjx$-ImI8HMx47I@N4sDE6cVGASM=vZ z4RIv3My(~!XU?%~cj2b#c+PRon4bm3b9|-xP zK!`bL@l5Lbst4xjkV%f;A8!kK<1}k=J$KdfW{a6pn1jR_U*H90D@<^D?xBWmlYOY6 zn>h|O__ySt#)fk`T~!Z-xz^FmM`5l)KTBagVX+DmD{&oauqXjVo z#UPX_{v`Dh!34ii;5@p&d~9dI739-!rS;@BL}KrFp|8@DyFA({Jvqwm z19!;MljA2Ii&{GlIOj6Bh`TfZ_Z$=p=~=(s>wz)SZ*u(pc%#&Bl5Z^F(!ZEq1}Y>Y zUnBWUut9&^C%!NPF~w(lg=@4IzBQA@z4g;;%9c~5afgY=p3Y0j)3`f5G_Guur*YL# zojF*LP0RCUM8C>`Xb+A1GDkNbjr$<_SsHii;x+D0m&U!!AUvBwq;ap{HDtF_8ke<& z(YV>2su=tmefB~R;MMHix=PRBmMwR-$GIK>>0YH>>58zp#Jpt-OGk7bs(7eg(H{}& zgr2-GySrg;Ch>=IXa()5>dAdDziC-9_ZZd3hk~|pv z?;YKI82r=dXEFGW#WFY$=3?;e3h6dO9eN^Crw&RRZMaBWO`i+K+m$N4gNReaxe^(3 zybWOIvNsJcQifC;?;jv|S)Zxgr?^w5(DQ9EQs3f1L*&U5zYdS+E9`V-zF8I@)bg8*!hl5w_Y5JPuI8{WA{O@@0HLG+zm;lL)M~u`}cAamC{Bj!`b@GD2Pn zc6JEVlB{sO9js>(SM*zG%L@`e&}7I=#HBfTNe*YH_=R?TELoF@U7j|)jgyZ&Bct)D zm>r_~JdhE8GDA$Z;!yM#5$jiTiSGN-cTr9NgvIijJ>D#3hA)7 z7Sdbb*uRfsMcF=yvf7s`142g1HX`u^&i+}8*6Oqk(S+*zZu@hvs3;0%tR zr=d6RbOMPv31gFiw!(r4AD03_IggV@z_zfW9lB`^3Y;)}iduc*bBbO~4|>sw1kJ>H z>7Y8eUefg%+Mby45Oo{3_Ow2B-vv`ZM9<|)0R*vE>!*jV!h+@g<{&B zz!g9Y51vDKVy2EKcsPbjEXfW>qBnDDmCuVu3usak&W7}EW`fc*-QSZ>Sx>V;>f>dj__9=$?x1!0^g)3^<0==d4#Q=wHs zZkQ3abrv~|n8b;%!ANm;q3gjRc#Wsv(QGVut0iAqkm;|oq1upOMWqkcvE>*1X~twF z{=a*L4X=cs9R4N^pdvgKK_h! zC;E(JBx8Nn+d~@C#>wxomhXVhwj*P0NY^M(6tna>_4|tR{5GRB6WgA1yDZHDvuhI< z#76Szd{JOWfxL=8n~|Bf&+&kmBwjdi26hSe1o|WL#Q&3y_Pb;|WS^$8WTV{ItUI0fx=q?t# z+i0xaLz26Gf^Bw{sO-ZD#kQ6zmfFc2sVxtdFOIH)P{e(+^QdK5TZney(@UbO@&6BS zZ){4@lc9GbY z;uYc}*zf8?ez2nGWo-{pdLJ>59$_$AE?!)JsHRq0)f!{veNg;B4xuRiOa_$ZV)Z&k z=E@&u);fFbnY}_p9Z4~myWT75qnVD`@Rhx;u8&p++G=i&)i(}k{7k`JU77b!Z{Uar zg@uS?m5(Mug;v~12K@PPXZ9T_Mlwh&t*+D#7G$JlaH@^sO_TKrE}A^ZRs(MwLo`Hi z5?qSv>aEIAL|kV1QXA**BS6VMe+~PgYPG=eB-W@LJ>oD43l=__aET)1gF@*pqy1~d~hZ=b-e1(*6J8=fBGHy`d5rnjjBog{tn`j|~&JVK^u zj9(H|#!n}U&PFUAaYKE2ab+iu`HAdLB}F!~Q?zXb?9@Q?@kGMH{=+!9?%pr@&PtfR z<+Jk((f8=DRqPHfL_ffX=quo$=!f|0LCP%n-g4nO3| z9I8Wc9{#uh|9dR{cOm{4+`AC3#@4e@37ZS|E(}NCM#uPZ`|jAYSGoVeFduPmg+s@1 zj4Z_K?cW#5eFs_#C4%NF1cHPF2Ot`n?{`2m94!Z86ok>Yu_yv^I9hFe9rp=8SnUMV zN6lxf#h0BgQ6Se|>bg>LD+tU!L6h&(qzopz5%+;6(Ye<28Y*mUk}FOEu(4+V&glw( zVG5qTq=+RyNs>jEVSoptQT+dbXe$lY7meW`Zbd6RgTBMQ*0I9v_(|biaK>=-B*%BV z9N%4MeHX906O{y8;-<8sP~y8JmbL&(IrpTZ-54KW4-rByEkB_=bPKvfx7o9ebPCQm$@x|J9ijsU>@2Y+!aSLp3b&Pqzl5OX zv%)AB;cNHGZ2hJ}xAl!)Da*tm52z)wpFt3GL3ZB(92LoYQ_HPhNna}%#0NcnRHgB7 z@|O>RM)U+BdMdwzLNtNg1EP6&gJ>gSO1P9JBm(Uew0u0R!ct+@>YpGqBTk9n`!~fg z6!=j*e}T>QbJ}4ICAk!Se485##x(ap^z$hYy6ZK-t#LU;xKz-?tI+}yPf?_>$i?Pc z^VN`_$FQ=CChK97-u9Tps~R7?t$EbT}xUsnkkU1e473GzI%LDm@e`X)uEgm(7D{D`{H6c6!ed{Ut3{n3v__ z(<$EjYv!r!^%L)X!lRwapsy32{+v6cGw3a$K74|)BawrY5;>7sx%mo!{)+(Agc$IO zyGF7S;nngRGX_iUe|li$VKYZRRV8gCGz)(=EesS?o?Ehs0-{fRk%D2>1^L<|0mIJj zYzI}+h4IX!@#Wq7cVk<+#Ctq=KCjm`I^X6H5cp}H8u9QydytnB#s#lu53CZ#SvdYw z@SM>XFD*{gtEg^0QO0o^)ipp4D@8F%4P>#Xz#m8KTJy7%+FB2WS*MI6WJPQ;K0PAM zxTybAZ-9Zw*@2d^)rb7*S}Knq5`bxQWaf5hl?@5t(&}XoXd~6jI%^=qFf1uIc~Fq_ z%ncsxl%8o4jjrVm8G7cqrxKZ33Ei|LP#C1fOEe%bc(=fyQ9X!23N}%SC*>$JK_@*3 zMQTTm?)}`|;-H!96`IEe86sezIlG-+U$*S49LokV>>&?ONvR0N&K_7P6_x~2Dq=%s zIU>~}1>3lcp|-bN8RIpdT(0xU?a(WTtnTRiYY8slM)c1mL$B?3Ie9Wpe=_({W5u5HoGf+(1BF>5QY0jksYR~h&t^K-K%eS$m1jG7R&Nes z_wO3QY*AD)&Mfv`570=)1uJF`tYn-AHZq>wiEf&yt+WW*;37w67ZvVx1m3#$%MAB! ze9Vat_g=JbrZgR91$;LqTP#J$_c2%)>8{0ud@rWHR&=KO&MOu24Rl8^|Iq%gbcB2r zRM-Ni*Q{BbeZ{A|pf9zug1(Q_keM}}s-W+F0&ToI zZU}4{hkP1=-6amWp)vyxec%3@M}yhgw9scduoc})J;l~M)lid6v>AvF=fk7JCJ&IA zyF0&wib~P~^3;PB{^j9sCeW8Y{CmF#)DrE-2!bwXUvtlvG2pv10Nf{j(1wMZ8N(9m z-v^?X<%6Oz{yf6?gZUj4#tHTwFwVmpj2o%Thyy=`&|_&DEA-OZgZBba5w8^7e&A+g zIzoj8Q;qse;u0k8W8feh+eC4F<95zw2}qk#yz17BY|}_~aHWBRKriMHV@ESpw0Xnvy|2xF%m*?!WLCUt;Dotcm(`4L7go+EZ}*zFRrt z13?@da8%oqAu=kr1cQ+0K^^ZIp>Ljc`%O0w(jPbOzn%ZRdEef_&dBk`BuqkB&35hE zgcD(?*4w}v&B;9X9W=`^ntYP+O^&(Y8 zclG0+JQS(D#Dlc-4cwu;EM7N`6sWL;i}TIa!mAh5SpyJHz9<^tftzOQFm7JXSU<;` zQcNLMXnc?%f=iJ0EM(w-gDCGt?f6Fwg(Ge`5RLS(f|t??F3s-{T})66L;*LAF2O%) zeQ}@Q;WXU4sfU2O0Jw?I0k{P&HyDVn=OL4N&{k-+5XS`WHTfN~z+J_XmWK8j2HLfN z7Q&bWmVY0J?#iEA+oG2duy^Kn$O5)+(5M5;NUh?pl`IgzXqE(cmKHP+)$-@p0J9`V z`5lq~cXxK;J|Phc@flm#WL!uvi4+B9JRv&W2O!eZHe6_z>~?A!&Wre(P)8;cS z4$qb*>6Pa&KwaQQiQWmCAZKY9P61q_u-Y8KwNUZeGd)2m!e>;90_{;WOI8uy6R|%vESkc zFv|Bmgrb4yw>_4pl<%u(dA})^r?q8l(MZ8(e`RL;keKn0dYF+BDrU^)MdDe3`0RFy zXL(@aS?QY?zWpY$acix^qL3yLiav5;6nP=CSUnYfBX54Z1b2fQ@Mb|=faUARcwW5O z20xdYh4DJB+0ebCN~=_@OmKTjH9__+hEscm$+1A}FR&4*R`GnResQ8yKt@KLBuCB# zIQZ61vs4Rh85z8>(!#5+VQD6KCTa}?cfui@b z62UhRt$Cckcgy@OP?fF5NZkoJ$Zn_99S`hOch?FrZ&vQZA-k3v`bhis*?Ohc;b!NaAs#t1Nx&>Garpq#DpWggfftuf@$PHBxd5d&V#9kR5>#U86y4CmF> zNX;HvIZpCDfL2oT1_FAw0NO~+I?d=ib}CpB-C0;kgG1e*@8rJ(jNc z{1ICEi^S5Me7;?QYw`JZLzC#WV$Rp}Fejs1%$d!<#OH!6+3ggc^T19%zfJ5_#N#G- zK=H0}*rJj=cD8`!^1=C=tL+NtAbT4h^jbS1`m&R)Hzp@YbN0IlOMRFCK)7<)9LXY6q%@(%`5K=7reGeQG%l?l?JH@i^AR2#zJ7lr! zAnD_5Tqq{>X=>PG;6i50v+$2^VD#4s{>sdFv6%7kJU&iX(dHVHoCaLdHP! zERO{!&H0MFC`gWoE318K--gkx?dQ%w|1eQGwd*c8W!LU?+>dD#1s% zd%&A2b8~?+i`xwjfDT@~Of&k63DbhnH`%# zqLz1-{+?C?_DP;_yi?5o_8#VEa*Fx0MT~U4Ke?J z^)Nr9Tg;!$%Ea=5EZOZ8%k#iamOqkU`H6C=fjuVCL2UDg<8cwCJ%jTA{ArmNRA{PJ z>vR0hr%ZIWel5(D8pv(3CBShGm-Ohxmtqn36Gvz8*=j|bO3Gh++Gra%^vNP z2z-cmVgq-`5`m{J9nKVgE#;8L8QMzt9EdLSK!FmAKO__k3ltc!FuvC13S#>!Gvn1_ z#wYhMBO_hRn9blM2!c4-?UW$!z)nGUdO{HB;S{Au7CzfGRho(4L|79{e5Sn%5R<0Z_{|=;B{qJ8M?1yFA1CVn5_iaA z<0odau^UB-nHSa9FxLABMFY`$Jr<={`v1_Pep@W+I9U24V&)I`Ff*f5%$&`t#L@!o z+3gfd^T1A){<#F7HN)8wUO=cf3|GsiXOzyFY?S6|Iv=P7|HX1fsCrq6^C<9xD&I%N z{rHD2I@}#BKer29X%YA*!m<#70b2z0N>WnrWe;+Y6nxR6osxo262p9+J7h`0vy~K_ z7?di3InmP?+4>>jejxh32db28{UxF5I|5Zkwo*ZONzc@`X5I|}&$|x)HJjVSFIkK}h#EjVjL4qR) znB7hZ4iD@Uobh70Djr%xF&fmz#A_(Hr9)}rYG!v!V6N$~&Jn7YJ*1O@n8 zyMi5Kms>{);mmAnp2z8wkAj&qEf~)sqzS=TqX0`uMAHMIBoTFwc1j}tkw|@nJ7h`3 z7Jm#W-sq)^;hmQf8gO0AV+o2|KSxXWIqs1Co+9Yfapfreo}zA(ym8dE87>?-f72`C zK*pOK%kj8x^vjNJc6xr?<-XBt(NA1&!|X%*zp%*rMk{~}*L9=M8-!aYj3#_$BtY?k z^EZv6=mP{Vu1V!A*S?Lwd@G2atq4eA2>#A)rxXSc>{J-{lkjpC7o#x9M)Fq_<`1-@ zpd2K!Zgkd|r!RD;WK0_6+)=X^2bo-ejS*?b9$1qIVA7i8vxH5fNw`r;ll+4Rrb&~0 z#-p9mBwr@3_!M`@(j+5FljNdKF;5RY3`>2JkT4Max5omM9{Cb2;OpGsbk!q;$9MXo z9(ttD(alGXEJr^}k9>KF^~gUPgj;%KOh<40B?DTx*KUSYMrf5tB+WsRt$qHlfjnEQ zkoFNWk=;&d9~L5`eX=`IAq#ciRu$#V?4jydIBE%W@0VG};vkTAqRSQ!K5ce-UtTGT z%^i)FqMAjCSOcnbco7ARE7aGzW8VFiU8xldVRYR1yC^5dE)wIP{Ide_(!#Ntl5Hm_ksdjB85& zCsA7AHeJf1bHCSF$)anUyltf#%C)V;Z+d;K+zW%9YRktRbaeA_2hmS38*A)uEZ!Y- zRpWO^|>v9J^E#awhNs>&SOoALa@#$=17@Lz5qiY?NA*{7-P z>p92=820Lp%qGR<=?54TRPbNYYfkd_U3w&65Tx_s0Ku?EMDrWxMSRM0UZ|DjyzJ4C znKhm&=cP%Y`8hAM1a=o|T0q&F@E9tmgvW&8)REulXQ-R-UhGzySfT;4JM$sYD)zf1 z?Jvylpo}Sjo~IbBI$S*b48gth?#r7!pqB2-8wr9g2q*Ui$acFgnhO0f+!y+!vCZxa z|BmZ7`JiZwe~&Q!&ioDv;{0o#W zL9)qkOx%yJAuUzhzAsLdY7_HE8{^_x)uN2j;i5_n1)wK!1U7L5mkX_D3`Tny-okxv z{ebXKrkD6UUAzSkOewIHtGY&gW2R(F|G9j!ag7R^jh{hS#pmN@lvsX|4bf_eIR(xt zSMcyZdWGezf`br-ot-~B;LPMG&E-ENE?0b&sE2jvt_mIOUw)xC`aazDmez8qZ*Vm;)yN*YqB1FBbu(?d?aQM?XyQK@kyM}F;=*cNY)mu667((vh8J+Z-A zY<-Ef{!E(p3_U!oPV9+$nuU4KSl1J^c0KbHf4e!Q}&E3Z64swE`|*3(_MpHT{m z$)G?{zV=P;X`{dsamoZ`d4WH0DU6;P?wG#7eslyaq%Lo zDy+H-8fgfuT54BYL-6bzueq(3GYO(=ofDl)OBA81RU&gnV}aTIeEjaScN*|$r!rqI zAOvmZ4(ZGn%ZzK8K)m_P{EigIQyvz=x?Uc~tE23kUIjkO*KQ zdkok9@xmeThI(Kq;D_A@^~>(?562MuGTu}HNn%r5r2_zyu3btkNN@@GYLr`u5?-H? zp$Wh%WylqN2tDvbwz=fdPKnTkM1Xq*o?fj2WMBr8B(h6FjEu?ed`^GdXk27d`MmLag!NG_>N^Y*|x6{CMK04|z;WLM-?$dtfER%&1Nw zE*Bwv>|e4R(?&QE{3p_eHL80sNm7kZL~FFtLMxn8QE?~n+}{xDpj9TSVHZIzn3>bE zyzx>k%WD*PDdO~_iI1nc-lLro=O+;Q*KmgnalQwVcEZr4<$1N7#VkA4UjfP|2q=4! z>unyWAi0)f!*!MiBa7w%3;5$7%Rpvim|SPWWlEIS>hBByEYUZ5V1OiAW56lV;@51! zQxbhCvDC#L(~?9B*2^APNi_4SQ=;!Ls_>_;M9a2h!M@BP&M_V(pf!lMpeO9lKI|WLtE1U0?Krzk#+k;6+pagGZ53B@= z2X+dSC^8Iza+eoIh>X2bQ(rhCPdnTpt_?~`c~HR}+O|ZyfmbO{>!dVOJ406Ho9jKW zPcn6lM>{1`+exOb;tm-yweukMA(jf^Tc+fiXCXn0($=1On?=VxcEn1aDOO?_dsVRr ziYRG3V}}`?QF_<|RV0dX+%DlG@oP5UDTZc*-{~2H@2c&!?aauzlb|zaQ5}biw?zF$3KuhAd22XI2(u_@mQ^5D_-rJJUS3t z39R_B6%XuWs}~i)D&r!f55*lFuY68dj-vQA+!0b0>S*6S%nXK`p(q}_MJ1eWDIgBP z36OZ}rP-_?9ugcaRofxnWwZU3Zu_7O38>QC_(z0U5xZPxL7&nJAM(H>vEv6l+9`It zlGyS0xI+dzwoeHbr9}6~yN_>rgT~_?3CQ{kBP)8vChMKag5eV-c->N!znD*)=;at& z&x9k)OTC!STBc_QGl#KExx1Hd<}ZMg>;#?wz0=tezh=w2QX*FnkAB)?T2dl{m$L^} zwuzbFsYL#*D074mn3$>@6DRg6!=5u&`F-n2(jv2s`eYkV&T%q0jv3YYB$6p{_J}m3 zHWjKUw40QJ#1T|6C?{k#>oag+LOR<_Zh(evf0hWTI4WdpAG^}}7CE%FX|A+G4P{Lt10mkNVCN5>5%lwA!klWDpGUl93< zbmB_-XrN>kxzU64ltGZ40%~jnD z`p`Lq_Oci$6Aq+!++02E=;m{C^=|YNiBFh)X#cgilGr`>Df;vo%a+CK;ik|_^<3m@ zA^JP|ZUt89Du{G#H-ulmN2o4(!%U9HFW;j^Wv2!s-m$e8bXNy;mS(`=BrVVH3Z>%f zj220{zCaj&4`J4_F58zDSS44r0yX)4HjJyK5mTgC0k$whu`s=J@erP2T`7;gv^<4CI)edZ?V+xh@~d< zQhqdh#c;msNq&VKy+3~7lrpHb{8J2w!HL1RXB_^VUUBZ+8VZVJ>m%jM-AJcp`^0gDa*nGJ1xt5i^%;g3w`fnSg3U{L-o!@AM!4hVWO+?rB;E=3>=3` z#>U1j!48=G5W4Kcsl#Nz#G~`LKr}rKOJW8*K7+E}UP#)WOcC9LJ;7@-YQ07+uq#u} zVG1(oWKJeM+9`9gpG2t09kR^HFXWpOgQt^&_}))nqb=;&v=HUl_1d#r{h)DkK^ys~J=fEVec|xK7*%Y-0w9aM`9cW_Da; zUc1JxFCTNfH@`87#I$|7h{BxgC4ngy8iX9K5kz;X{%pW*=-xtJP9PPat-nr+OK zTXH)ov@?0yY_|l8x+BJ(-VWWx1{9g=Hr&!i++|{a@S(r z60F3vYi0`~5S~pTa!bC&mL|KMa!Yt%ms=A5c@dQ=YNZ)m&%1$ME^P+$^)??@S0;|Y zSHOFwF#R=@?mCK%vZ>q^P#?G#v(?fBxhBt)^}WXUnN-y&*`?X4pso=47&s1Zwr6MS zjh5~>g!(Pws$X*+r8tg_CGQBS@w1!-X-Q0IcCB7q+D%v>LJBuwwM2jsT2@KFn8PAU zCH;a&JLMtVLjv`3?vUXj#Bcl2p@cTx51m?=AAP|uW$=$7ZT$LE3uhLW(?#N67tCSI z!bUjA7Vir_h5<8Qn#D2SzT1Nz$xO(Zx*U{?U$d2qG84}tu6`>|d%Bv5PdSj{VJ7~{ z(apz9d>s8OGjZ<{n~8f3!m}wvW~_jbuzoUTBD)j4o1qH%p*Slt0uJQWIo-Ab zc)=rTD1B+6he{iFpDMHD^qE_hwB+<_9{u1l{?EM&G0Xx2yJ2&I-mUmH&UE7o51=@K zD0m8!7z~fME7jIkiW*l@TySI|-jHap6qTnh!urTeE#~EnA?oW@rlyPvgD_`NpCHdA zx+4*Fe+2SG=i(<-Kq695!9`0gIq94|Ne|>oW3UBDK_ClHJ0LI&!qc0=(*#VZoL6|7 zTG0~E5KDZ#hRiJSR280nI)RpvNJC)DI>-kI>@IbX3)o$uo@xy|DvZQ#O|KGllV=82 zD^0A38w^Af`H<)Wu@j_&4(E4JWsnK1_*)2Wt|k%fviJ`qW0APd`Yv9( zw}QYKeA1>ek=8eQrRX{kJ>LUriSXwV1YHo$g{KjP6E_O1^TIx=NPVoO;Gn|O_@rSF zg{SHFf#_BFplFOw62@Pa-$7xVVDACrJiNiUk++P((@!Pzu!)$BXExksJLsfiw`kOGB2Ydcb%Z#nXghwWvJY zNn_?vCEanCY0N$bm{0Wk34?c(<_=PVOqLzn+|g($Y}sdsB#X3Vk9w0+SrTc>KCe5n zqJL3`EmKgzmN}NK`HB_Cf9S#Tm`Xt*?U@4#!y^%aVC!*1LAze4OqE}VC{`)JqA3Q}z+oCehSwat;l|yxo*M3lAZJF`1*f77RlJ1KD4<#;uD2jBmCh*<_bVl^ z!oC1suq)mnEz_;xv9N)w|C2brYgES33tKFB5rtj2TDPlMrJr#ii3g^y;lG=^i^6rF zDvleIfLlD8{sl|FKZP|br} zXwB+eioEfEie~xa%kv&M|whv9;M+tTC)|Q1YQ`HIl3*panMi z3gJZX@fy`A#l`=ICVA?L#PeS~+NraU`v|H3#2wOSA(qj--{b@Uai;`{p6TZ+Mp;#x z$UR+aV}KR6uB4AC%&zBN*=+bQ5G6;?IQZ_EAK7k_(-Z)!_;U(^i+Y9N;E7;d15|us z#y2=L6v{nvzr0u6IFH-~W&BhkOT{veX(_ZXq$hh|724;49~I(eO0&MM7&aR9#$ox= zmk~BfajrsJQABMtFi(hcbK$|!&1QCT=~@l9#_gPaQ*IbC4 z&wjy~jc^h=aIE0CWrN#FJ318Dh6JR7qm2%`7Z_6;LTg2atJoMH_P{HtvAaFmDK++d zQe$^Kw-dA-k1>WnB5Ix+76?|Nqw!S5{C#Gy%?S^1!7jK731{*3$%3gZNO4;bg+4aSXxWrUFX2|ZSf z!P?FKaYqRGj)Qw~Z?J(Y>=fWbs8s}AX?tI4x9W($RwhbS#6m=P0KemWKR_=k_0;A| z^uo~=?s}yr`g6FThUz_tn>Of`M|49Rx;-^D7Tg{-_;v?c27BtW^L!!X_7ckdzym>m zc7KIld#q2jhVK{&?!xsD`d7~;y^{X;$6_s-|Dxv-)dr&bQpC&NnJd5UrNo7b?ukJ9 z+i$OiCEUxY)q!3vKu|vp$DGryFj?-)4-jc>O9^=EY*(iHcZ@)37X+^+L+&d z*LiaX*p{d|e#G)$lER!7Ovn3TW_`*dU!%S;RXY@9Yv7Io%p&p;nyMX&=`vGK+BX;pdhc~a!Hpi%QoKS?I(uLhuVB{r55XEH2K>_E6yCm_Dox-D5OD>+yhUFHQ>B?| z1+E2ois7*my}Jx=<;F@&WHiv#9XvjXkPaRGKgGm%3tX*oI;@3_$^?2-p^S26qD*cJ zU;Al_RDf~`cZyIp6QAZPldZC&!eqe!CoHsy`Q8K!N#RZd%GPx3A}FMD7m@64(s@6uKpnSUjVRkLy*Wd2V4 zKb3iHamo*5l@!2Oz+aQV&W00}sftL$DVM5KC~S>=B1!>(vrvM7PjyJLFW-r)eRxPy z{5%@q0Gp17aKW7>*l{^40_TAb-G(GdP99j3Of!UO!G9G{WeYwvGE`({dbI~ON$_9c z(M}2eBSfZ`a)-3w+b2CWM%+omr;@OfJ?;PB-qi<5R#kV{WoCC~KbQpuH-gYE$PT!( zAH%Z3ic(1U3hZbE0j+3H&-9z=?(OMr`(tM(kyQSOslmKbBb_2CVo4RLSZZku30jl} z6D&WX24Y#p7-O_F#u7D&|Da`}$?tdW*L$zud;PkZVHeFG+dJ>Qd(S=h+;h&o_ndpq zb<4RAa5xYEmVuOp;~yd^|4b^Ruj*Yiu(jCrEXi$|^i{nr7PG3CZ?;FmL7#}}PKH(e z$6~5wS=E0O^#ZH;3c7g1$ z6(KNtkA1Dj1!AzG3nbLzu%umN;q==F8U(ZpBnAP;6cx7aTp;SHp9_Sg0xpnoi<#@; zsd9lFC2HBC#9l_U6YTSwTPK#(lwlQW<=0qVyFmEa4v+eRGu{2$wnm%xQPbSgzk)Hy z6WIX_@&f)a5ng{6NGSu>+6Cefg9H*YE(~OhcY#>)iwh(~ZE=CfcbK93qhcxkep38I z{|biU#C-sY3wTFyCt)crkV7O-K&J!@58qab(HtS-Df(LOj=OHoEj0@bY!cz&Kp>yw z`Nf)2nY-x?;Yw7}N8s!#ww3gJ6sr5jZ!BP2DOdeq#U(ax6f9tudM#tlUI`PsSM_wN zMjWg(2VYU(q&2^<&duSRrR2fFiS-u10Cu^?#Vg^o8iiT_P|F=zFV~7#;}$!Gsy)-b z3iG?NLvr)wJtv)Y0{dJw2!?wwI>c@>h%Cy6`et=Hs$MuuWsUWx{h3xy!Luzf^}irt zG#y+&u}C`3Bf<~{-7`-*h=S=y2gNkSDUm`sU;$>dSgb~{_}rja>`vlq2iQ+8lTI-t zjI^?0Fy5lyIPgNfuMdj%OU*cE5jHzr`2$sp>0PRBv-J12s{zUPoecrPrLY zdE z3agv~^%Iqmoa)ZudMX?Q7d@nm?aSrr>fE>-V6c|DSbuje=o$b*`i6xVWB*puK_%MG z)PE;Qt9B@(iUtv$y$c1RJR4*_Qk?d_*JdbZ?0p~O$jnNGsJ$<+p8eD>#8?Qyxni9} zcaTdf!d#Bp{<$&z<)N*rB9v3qnOA|G3}ZsWzit6JXF?nvz%BB@)p1ziD2hxMA`oT< z*=k-nSfUBn2F2`FhnW^Jq2&-l<}mSZ?g2WS+y+L;N|wJ}``1L84L!!4ggZQhA`fPu z2<6O<)re>a2gTPfTp5Rp^7REw{u919_G0Y&9~u;oOERdY0G64GyFNJn%9vvOZ!_Q@ z;`zA914ZO)YmdjM%*q*Br2$wey>!6F04!SaHUV66{-qN^wi2(hL;+!&Ot+A_GnLa< zLvON!(-TtU%}MoX_@6n+V3uhk%p5UAn1RA!M0=L&xaqn9-}Ro@3kqY{+wxoG`@mYy zD#;xG!wfVd$A2$FIWx0-f`joLMYH=&^fBa%Gu$i9vtNRLO$ASFFxjl~zqn6}0Rib- z6g}L0NY>U)IJQ9fa-@x(*^DO#Qxwd0sS{p&MkjI#hK3!O3?u`jgXV8DY7_iK)z^a! zP5@HRSuQhJZ4110@C3Vy2gPo866-p^*nlUncM{eirnguJ-a6od^~|7H$0-{{zI2^n z+Y}wz*7EFSx0` zCs!=Cyq6?CPvCEJ#3r>;NX@|c@x3qTHqMMA6`>6~ar*imwhH^$Ze3}&fj8sTb z4l{#y-AenuLF&35`-9a(FTSIC;q(A(=okdU!e<_Iz-2Q0j|^m@4$yGpV}8}gbS5+0 zE42PrhE~bFYE04r8}1drvE2LFxz!ZzeSH%5(zrtfr4DSB7kM=%ylE;C3D~}YlbY4m zK9$5|21LfR(4u*0N+Op~wB{j-q*Z9H0t5m|RNlvcYi*plXPR8jvMtF9IgjWV`tOjr z6h>`%U2o*P;*z)ja+O&#cq(kn_ADw$yJwsr>E1_1{)H%!#YS{~hH^%bK2Je9M=GQU z(qG32!}DhptW4j%LEUiU#$BHC(Py?X+@N(H7ng z*&rmQPZ>9$F-@IusbiEU*9>9hd6iL~y((^t?o(936+v0OQW%k5J6@29Z7~DoxlWkR zP|m2gf8db5Nh+kMx5u8h)VHebgU_ojxRLjtXNZHH-lEtktcD>EP7Pn>`X`+I)(jj= zHLM{|_@ioJ;=tH9wp6<2F)Hmz^8adv=Bd(@oTLLbDoq3{PNm)R+q@=FESjal!tuHB z8&vL09K(l^Q(St~F|B&1$@NtorqatUIdtUU%b8D31=%oDUael6o=4Wv2tEy04 z^bz^#JOtIOXib-S$@?w! z6%V;^_8~SNIl>n@h+W3I6!?#yD0?fs+fcbL>+>Gc1Tq0tvs1+yvc;7p@X|L4;V<1^ zxdgG0Td6mX7og+e|D@+KY)n>{g_{Ev?iQhKf$@lHdzxUT|7W06#6S~1(kn>f+eG1YjFO=&2K=*Xz@6@d(Z-0s z(VwI0g##@-_{9voMIEYJnDDP0LY=rahpX<|cRhq5Euf=JC&%nN*QWII-v;gH?dz%b z95Vj;!i}R0T-i>^8p3QfuN*2ummd#Gm-lU$+7_4iIx240Q~$jihBRF6>xpvQcxJk1 zeEiD(MvRag#~ELhkf*Oy%o6BJoM=9kfqS?FQWH`-V6z008O$w#(kn4?$Q-#GEOIC& zh9kMD)X1UhLHdo39D2!}UURuzD^zE$I-<^w^Xz+X1krhM<<=vTXcnF1dS;kNqPti( zaOywVi)#XTMmM9zWaSQ95xQ#cv7h%u5*e&;Kqwf4qMMLujHr z0HFoEBeWC6l+dFU_9CE!0tae7ACAV6{KVJK-f=6KmZ^?y8Z4FYLLJ!W#0uA%To3~XI%-U>Y+@T|!86>l1aC3+mW zlh$Z(Yp1!VZ+b6dWi2Zmuca9{TWpb z3A25P3AlTs2G0tezhO8`1){?nVZ;ixOmkDsgIwTB7m-IRZn>Teb-7p7 zVMXHMTG(*BrbPZ(yOl@%t7{JHz9#S){h1exHe4_?qnqU;n}BHxy1HPDA8k#weRC{d-uJPS=Q^eK8D_ zA>wB$rdn_T=_lm-z7+M;6fKM3@2_*j&(ntJxVHAgFo4vc25__?l3akO1YA|;RQeTy?5Q}$h+{`{uUUv3Js4(o?%LNJ3 zK^9OdI%Ic8WU>eCRirWvf!{xVhv((42UG*?jy58SSuVGXvRGrVVTlV=>MO9k`9l14lt09J2s~hyM#ajLqrQ z!*Wt!CK(<^2rbpGKoVA%iWR>)SKFw1;Tjj(6#IxZW2tCjawO1gP54{1EI5enRa^}> z(VcG>c0u{EPQfg@{*?@P)6Eit_mSZQHPFprA;jPlzLRYCQJk4NI@hBU6lp@@QSr%? zYInV>r-DOPM)~bA)at#M3~eV~O!l@I2tjE{xtQ#aP&Ifl8Jh`TOm<(-#bmpvZ1u*e zDq32?JwtbtnV}V7JU>BgT20_5m53RXhD0 zEn+vyRWy=Vm*wQ1T6eeFTuaNpD)JhuDgspjMKTVRdK~W83Qo#O$f?=(R$FSG6Hl$& z1(GmQ!pqipSithL$n~c!+YLFhJz)U|-#(*ews)LnyyJT9pLVE0 zeK;bjQ3&hwDW%f`{C_QMK+{xk%FHw^QuN9=9se~BkJ9nTN1@$6MP+oqC3LD zl>1j>AY@eT-$m7Q<=%Viytj}J*8%XMU%QTy0l74@j1L5>Lo>j$|VDK&$00vczI_uRff!cW%y_ zYTTKeuwlfmBP*A(gGxMNZ6%lCd)h#;+gv2>$xzOm#rY}8bCFa?K8xf3@?_k;R*@of zh9fYVW=0#c@0>E1DeT4!wC4syx08@@TYXJlw*?toM(~;p&CAHk^&5*Sj5K$uEu0wz~z4S>^k@lz6i89{v~5 zWR;)cg)#Mjji3XjDw{UDca^uHd+PO;z-xb0sUE|X=FzH7Vaa!~ZlJYfy=gqk+O_=- zTTxb17eIYhUJz*BVg>6#Ot-^WJY<2zt?h!-v%y09wPUbwJR&GKzc$HKDE0GevlK_; zO!Nh#;akjHH&2yc`{P6{WiBS#+J*WU(N1uo?lOB#>(o{(V)rAJFR-@WZub_pL#N73 zR-WvSmz{CX+zg_Au73sNSY=1j7BTGeH5@9rc<`8j{|M2S3B7VOz>!9Ft_!^L$8JJW zMp={^ISIV6Jrz-TIs?|4{NE)83FIHfgZ*>Do2>j#e+(?4H<8dk>0iMRnkWxIXaVmC z?SwAHgZ-E6ML^dC7Ju(nS`&8U<6ceY#>?ixmRLLEu*RYCki%Pc_?m;W`=rNXebUfl zr#Y6ET`LzI5q`Ol{{;x1tlS|+mUBkPIcBV|D7JdRU*ukSZpmxsWd)qaLbf$^`CM+< zYnSRcbYAybOubb^uu^RmdpLOdCCNV0i0ZIOT-pX(e`_4KC(C^qP29yH2M(5z??m}F z16fATVtZ~4<*R34cSWRQCA&`c&*{a_NcKb&a|c=k{7OLWqhDnS&DLe3_S#U-<@o2b z?zq6IF%B2dfWr?vwmWbJ#nVF+^KWF<^ndJZ?|8is;voYxQ2sV*j+f-oN z=FQD7A<`WecVoAPJOHrimDq|+OvLdy0n zzroZIGB5+%7Tu7OI`;~Q{+2@2ZLW}q)sB}D;Vt@&gCW%W-3(ND$h9%ypG5|6K=iXK z;jm!#2+bx2iBa{!RTmr{7!-%Y$!sSne_|QWHR{hK*H209ZK>!Ez#qZCFt-rNULT>H3@#~RMK(b*l@*m!A z$?7F*lpGUC!bVxoO^HsKUqZqRXp{M+%k|kR?JsD8fp|C(S|+GI^s86UgoGO|%T;9J z(4Kf{J5HJ$WK&7I#p8>_vnd6B* zr1T4{MpRfPb%?ks<;Y*HvpmlzP^^aASp1sZw>d3~TLo6UYBjVH(P?KvNUW-ZKw_-R zV-}65`Z$b{)9Pc3M%9sDIPFM=v8C#m$xzOyju&tw_DhA7CCYKTM0w6g)_1vbyFxl7 z9|}7wt$d8o@O2qzL;29qC;aQ4C40E`pFJptm+N)Lw4kLy`+Z(4JG-Ss{CA6Cy0{uW zAl!Fr2Gpnr6qlw0HhMq+J3WwIi57CR-5$(B9%GzLZYtG6{t$?;(H8QT-l;LU= zNi&tdFuC-4goAw$9j3Y)CLHWh*3D#AG1h8rGk?TZghJhW>_Csr++c;>QdHi} zq=h=5@jM0{$37L};*96&sh{zjr2@wDKew2<{+=r1`8SAKHskrf5bXr(tqtxvbY$7u z&*g9YD9vx}WtIOVAhqL9uV)8TRhg{(s6V#WICBx_&>!}%U@X1t-T;zjsgc2}kKdZQ~Tl({=7_`~G7_>JmXv-?N z4UG$n(lJ$ptXS`%SQ|l#hE|+A>#Z;V(uGwV>WNQCEE77!9CN*^0o8kZ&CGs$vqY0= zz8o`{8Dd$ym})_XdeSMd0_rKAl(~B^)+))?X?4nXyCxDc)p3!$L9Ysz4v=C{-N4A{HbLA9QUJp=Y0d`}xDcAGo&2Q!p2JM@>5 zJP$~PlpXpn&l#{s6)D^f7As^3>7J~9{btN z*C^F6%zkzo>jst|$$CDrpWSLJ${g|Xp8c%BN}U0Vb~`-3{fY(FPkS3I^ck=iEF6zi zh=SY3s;7S2Se6QGV@E7zuA8T78+#Q|OPPs@wmyV3L$njNv0IUsP&hTZWxbJ=)D+{& z*nz6Z=gG>={jspis~JupH}tPyc1=WkfL)V-KR~S4e|x%+0c%a{Ibx7N>|wU2D}*;$ z>Ga3I68cIK`px|-7(x@}0SGPN9ig3wrEE|4u@?c&64;&|3~%IkU2+34RgGpDp;~e% zsKERo@PH^r)vN9afAETqeIFn`la)V=pp~rff(ykXq_62w|9!&24EqFKrr$onfQs8E z;G6BA>=Qm9!y4{VhJC_&W2$A@Cp>IvDa!Hc;r9s#08))C20gZj7!@=G4>$ z!OPKG;i%k?vxr60(AY+y9(6~C~|*SpJbn&^~aXHnun`^ z>rK=jV}vGxFP9s5*ruAv1NLz|j_b!xvx=u3?fOEVXJhyk&5a;|ZgaKkpR$&k1WMO`QRGVmL}lzK19lZ$hr`J0?!0OGYP28z5UK1>un#Q|sss{M z(WkDzql(-Vox+j_iWl*`$*Xn!b8*WIGT7q;DG%PEnX(Xe6bE@1(M?dKT+AcE&0_f^ zR>%I%LZ@BNyI20EGMH*dv9sLBTgC%ng4j?~%m2Gp&!IQ;Haqvdsq^*pkz zqSSa-^!lGyAi{%!D5FOE2ZZQH;y3F5>&a@x`lEVT(cj#jI zMVv5ib>G&>MD zMeoD`lx!X7`jf0dh^&!sd&{758&utj_tP&qco<~a*<4(RG{ZNpnF~!b?Rp(jTK3wD z(oCtnTtyRO2)u*{6x*flZCm{pfuWZ3R<pDLEt#js0c>h z)-0^pM+n|TYqi!coXnSS2vZJCb^Wudb#nOj)-vuQ%D=e-@ok~zx$>+Y^VRx7K~wNW z2q1@~V0@KWVZ^9^@~C~h(*QC%d9`o34e}^)zYk1OUtn?(C;#VABOo#_TyDa{!Hc3g z*xV|4UK`LR7pnEb@w~ST?VE>H3yGYl0M`7?UhPD8wlnWzqJ0=8_ZOx37QR$}PgTCndFh+{`4a>N zRsNViU*qFH@#m*}l%MS*_)C6%z`x|@3#0f;etxnWf631U7vL}XDZChe$dmb5 zWLA1HE6A@2;%kERnjpL;$gT;ZYl7sOAh;&TtqEdlg4CKIv?j=`2_kEP#F`+m)+y~~ zyCh)g3ZY)NcS@mQAn2~5ruS%B%+Y+CK6Fd zcc~@aH~5#3?gu2IkZuQwDD-2NPDMJ#H7U~3Nvr6`eQw9!5fnw?jvWhS%rs;#aEy9G zb!o~eHVnQ|<)DxtkjwM+s+DdjdS2wEEsZdQ|)9Fmi}^v uzZJ^d7TehD@$(v==L_#;4>4HNLWgW*$ZUQ0v`fn?r`*W1vuOq<%)P?~ zwDpC2&oe6&HrX)qu?I19#@G1`K5OO+ArH)4C?b{}q;1bEd0Ho;ooXD84l^qP1;NdN zA9_lGi1%9L_4BvIAdQC~ZO=bSSciC0v!J~$4Q0H+xA`*d$nTo3xB4s)UMmpl=yB^r zCP%G+9k<#-w^RR!=~i4Ecc<@(sD(4rU$sOOu)%JkApE`kn`s+Iuj4dcH}PUVs;}*4 z^9R~Xw1~RSiO}3B_1A1>h0f-cPGr;_nZ;XnXwz(+KQ|j{aUO^H3KYffLp%Hxfbco| zzkvS({J)5k^H=#}FzsB%^cug*n>fu}sUCZ(MPK$~nMT2=c-B75nu@uI2D@Gm6vnrp zrLPk56GBLqELE4_F;Bl)-2-(sql{!snilzEYa@= z)?_}+m{}2#AEp88h%jG=|AhaPzt7BK-x~xh);!z40sHY{Yq+qsZYbN?nVIJz2;dfH zX0=$NA}mH}zs(XBWcxE_$vTCSQFxl2k$S&fXpXRWI;m!}Rw!lX1hx^gB=aWWdArnj zz7Fi3KgxZV*UVP4`Bo}I7?5M3h{*X$;tVK^sI4KTUOMDqqX)UXz z>=62!l{D5s_;)70FEUXq@h*Rch;v|;Eu0}6utbgE3oLa>$<3f6lRiR2NzCUdeM*?a z3iu%fu#Eqf|BnBj@%H`=?jP{rjI%S|Ipf{^8P3PBTZ0h4nmTm&Vpy#FJmfE zajqAY&7r^G-eRGQ?cGn|@?|?z`N`z)Rz7SUYjP~dIu6sWu+SFn^e_Hz{vZC|tHAkr z9P^)3I(b1jZv#$2sfHH?4z2~n{VA{N)o;wq6lktba*rd~TL$ZtOw(ZY7Ouco;@P}j zJa1W4kXHmEp}g!kcePbZ?n@ET-+Eu&l6u~;XFn+sq_jjcq#&AR<)HOm!(neu7xJ|CNI@o+Dokf=6y)nJH+EEaC{YTeDAzty&xRVztwS0W$JX0z&h=; zs~p5h?j18nrQI%5loBZ$JT+(IDgGlT4woc?~voJbKdkNC2f?WKRL8> zMbwdwj2tdc94(QzMB4MULrZGr^>=ON`;c#%r`ILU&{D2(P3T-e=Xt4c!0%CFwr^Hq z76rIs+yPWDk`kQnImNm&w<2wyZ5tmAb)7)?9OHu zQ34q=o;xH7o5~siR=NKs|oCx2r zcQH{WJDo)K$q$zHpz*!KYJu46!jVv0<_kVK%zXqp`u}ZMso9#|CzqK`eb5j0RP0e( zVKGjaVhL_5g8O5FyQ;BmQTbNd9wK12s;U}Vu-%HhiQtvR>yTqS2BCHuDQoN4WH$Fs zy9w&>i|gR3y}+A<_zlzW(}da&Zq;^JDJj-VQGP0>sc=VIh3@6N&jJK-$)X-M^FQ*! z6y-+c&W!_8@3M&D0+tCfuzG--7^P}I#>aBLjf=I9@VQicrb&peRn&+swJT^8+tsKH zKF!QVM@k(@%|-@MyslEu1|_LGp!AN)*#>$DB6bUdRao+FPGKRl?HZSw4{q5s!H&g* zqKk51RuUG=M7trSk=g!Dv&6ly`mC8-Y#^X3?depNOf^#r7;X`4*Pt*!Q4#AR#Dh}T z32cK7h3L=_QJiWQg^{G#nVEX3J3DXeD1rBTe??oW(NEYbT1#j-}e?ofu>58ruv=MC&XJ}@!atkW436vd&U(AxWIhzmZ2&qCv< zhRjX04a`f(*kls8=rO=PunqMw4$M4)VF$;AMnvGkQ95Ff@p;ogMj+iG$=nn$s?{Lv z$8KeKP^=|TVwXf-NDHo63bY$3f`3Sg6-xu@!Y{$Kjxa41b4O=dEAh2^ER@Jibj?NQ z%WH>pkV49Z(jj=$tl%)n38LIRVKoJtTQ*lrBWAHnmx3*NNfz9XG0YWPlWiM^YaOe- zR_o;CWH&xV5)|#qq-)!3;8d;5Y|;!`k!HA>^`T{?u!t%w-g)q)*P)h1((xy@A)~ z$QI!#z&Cd*AV%@W#q6UrMmLIbO51WO+#UTXP$Zul z^Y$dHV{>w?Vr0fF!tpD%23p7P7c{XIVoJVX<=X9rDd$IC&M|xH zIkru-_nPvfTruR+d`8a14p@ksAI-XsY&t?Dem4^kkwiM|dnwq1ci^Nc2JwA5bOyz@ zSucytL)URP64q058ry?lC5;_YWj0P&%92f%XYz<})@en~8+?%;=9B!eoY6Wz(HSt$ zcRHS*Zk+FIMaf3TW1F3>FS?n#!9*wCStkRet%2i*9T<@Q(vBYrww^eGwPAx?P6d9* z^2>*^E*ULluJEIsL<8}a`q`F+3=#RP)lb9j0lf|=A+jq!oxKYW|c)70E`23$GmR1KR)Y+E;EKZ z*Z$i5mf*~SGYdq)30BJeIJLB>wgqIwN#wFLjS?$$264bF7iew@?g%Rb;0#3(SpcfX zFj2*bng|CqS9*THR@MM_mV{2w8I+c2RixYT&RW~DUW_u!bwVtRcPuCLEXQ+VVfn%W z?Hv$p2L1-z-&QtU<_q$^>(DB%?0kL8;FBwLp8%H)gb{1=InwR;I;TYl*a(X-nYkKTA z6Y^!FUX)XW^xUbZ3OSWT7%N{<;z&s~W&QiF3-boP*SBuel5A{RTjgg|I%{H?$}K9V z%m^o0XJ#t|+8=ATEn6dg#kvt-s+~~hSlY_Y!**q=m9rYa7%S5*-{1jR@TW+(^IATV zS2nAFETC<49HLWC<8M1UlP`l*J(JJ!cT{+c%jUFsKZPAl18cS#sNBSV1G>MiXO8_h zly_^{{3I;@bhUr{Z|0A?K`ugn091<^GTudahJ$}ti_S^Y?FOo`y$9x zi`g%RJn;H^b+7a9R!*E&2Gp3Dq9-Fh%AQ63{%B4a&LzdpP%Pvd@*8E;IR!SbcPePK zQHXXPICt(s=lr?O0~btLCV<)j0MjZ!Vz!3&_@LYoCfi0LiHA{bOl0Xu)zL|jsk6t; zSf;QH48KvS|0JahQo2ePH!S#Y>L?6ia)*94dE-wyZ+uBhrt+K$bfc1-)fTEJqS{!k z{u|926s}`3#{A<>%2C-+l&N}GX|N!7jhp=OKZyF@I+FI!AD`6n$8AITMMGm0d%UUyqnl9(tUC2(NudS(6(oC61tt_soqw)=vlWS|`D&!YTry~(n za;VdZh{+wx2bHG=$Ahooi~Yls6BBjgaZ%y$^mrY0eBM?S3%d+qgrcbJ9|Ny(fm?3- zN+x(^L4IXlI~ooLnLJ+KxnZYh{hG#8hMUso%h#*ViQSH_a4h$Dxre+a3dbduCB%dR zdDb-x9_P)#*KP8khiikUG%yz~N&RWVCa1@{PePpkk*H*?l;;4UM2 z{G`e({cjRL7yB1SaJp}h_aCiRs7lQmit`r`S1GdsznkDNjPn`J1)IKCJNa>cod@_6 zbdaVp0mnFK6!okTh3JqMqOhRj#ERDLbE9tz^K4<5XDT%havaw?&mAzSHV_OmA&+*m z04diFaL%or9Lyy{gWlLUS`2EhvPgiU38cN;lUf>s$FwbvxYDu0X+b(aS2MFIfqD~ zz_{}Odh7FLzpt3o_WQF3vs)p5bq=UxXh97V$ki}uR~-qeqjCQg;;s${*wg`nQkn&M zYP?q}-0e59qG=M(xJ-2h^LzW49rE@)%)wNL{!^Dv6x6tV>NAW~Th{Yy7Xy9gwHFw> zyOSz-j_zud>#8ss$sfcV)x zAijq+E0n*ZxYSU7&z>mXgTx~vKyOs8s=<6{uq-zX$bU=BYalO$S&-JJfxL3H!TJ7k zfyaXK!JvK?^WWOX_y>pjGiz7Ab!8mZT_@fNaocRBje)v_StBs-{{^y+X5NQxh^xkA z)5tOc%D?Sn7Y)if1)%cG9;n={cLmD7DlRopF7FA--4sSK*^If~MQWfN9^Hk?e-QT? zDobe=K|9Ky)k3-|9bCEMeEs@K~d&%^D8*Iw^GD`QR z?l3guQdtM2E!0{qRbsVF&1m+wYTll;Wwqgvoky!yRDd;xcV^TgX=yjM{IFHFNLr>v zV)z!*P1&+%M`ag#)fp;PN9V(^AKenG?h!vrqEE^>H%q9YWtUy0Re4O0sJ_suoW=2y zic>V(+FVG$)c9z0xeqypUvJRB|9p!^Fr z@YpOLvn0UNaS^#TwZ-Ty*iA#OWG9y=dr>69NU++=%aigr^{T>bU~j{f1Kgp@IqIFn zHl1>*?*)>#7b7fSYQ)|`!D#E|95|K>%5EK$M~5X!P@b|)7N996o7@SiM>G)Gwhvng zM`WpXB0URXOK}aVBhZDk2ZFa@oj4uXUl7 z`uM&y{L*Gg5+ycje%v1>urrD3qF*;3Wn!4hw4##BQzLIiNc5jLG%+FP3c5yy>F1xj z)Vcuv!!Je_%LURQg`qf16sS(+aUD3YxQ9#iL+HKAn-b%-~|9 z2Q^_4-?P!k>iOF_`l)kHCL-Gm$wNLsb==Dav0VWVhE)U9xul*I5u8^eux^-=`^`|C zvdoKYbW|~Gfo;c8%Ix||g%VfTn||sS5S_Pi2jg@D21QCKkLZBFb@nkRlOhBhbyEb? zl+$dwp;7(YxtPUvb?HsA9HSAOgPBd(mg+*DPAt?aDq2FSO+*b_%uGAET%;95kyh9^ z|G>()(MCU*#jP{v9)wxulAb%lhDHpVCB09HC_?YtXQHRra4`tLq9L3vLckL|zdS2X z0Z;?Gimgg~yJ$pTleZ{oa2drC(j2%Y8+icoQMBHxM+DwNx`S)0ZM!D9gda=M zI1u*tGK6o6l{cy9;?WKw*C{P{%H`;jy6|riR`3|*ADh|^S)5-}zX(wM=Q7-{0VI*@ zhdsDj$Nx#n2x-G3uC_yc|Xo<9CVAOFTjCL2Uv?8I;kI_rwTYX5aCyvjdbleV}^*-~uC6dfmsE$cxGrsUXxjbZOh@AfR` zm6;wcd2k*F0~FB6B@_6XTdv6|fG;`wnxBxI4EP%4ny-4EyV5dLU?Ue1AURY0sOswa zs;aB!H^=_4_~L~AQS)|SCDL)@mLJ$WPSp$%JnqZ%_v!L))6dc+H5;)(93+v&Q#FAe zmT+7<;(q#RDkjwNm|M~b{Ib8864T=Nr|A+Jr=%lYUTackAH>|ax7Q;UvElf}Ew;l_ z>W}Wn?(_uAW^G5jOMMb`T(TZDrD9&36DNqHIIrGnd)#(d z+jipZEA2rLZMSW{)9yI3lUUnaw!?gxD;{(FHWW+0al4Ndx1t!bU${M)bg=GOEQa+W z7K*y6Ht!4OZ^woVjICRHQUt!SCZr6nx7&lkpw-u;RuJ{pw@ky4h7%iM5XVl(1-Jl zLs-f=@doxvT*L;6=V1je;P(gky@cPFutCSP;>)3G8Zso2ld8qX>LN|VviK3nt|gw9 zEb8&fm?7?S04u+A}7_%1{P{^*kL1cJA z#2d`sVK6+K>^iRO_!r-uz?GS*?~)7lfe+#A^>R0^707xbofT+1n>&r6!PTNP_WZ+O z@9`6fo9g^yA((Am2}BM9yIn5n`v>p%2nNq)7+e`%LWRh@-570u1fRds_!J*&P?AK8 z@B`y)s{-NpKBK#KIMB^J7Ic5xbYR)W4v%7j#Ff^y%e4?08#WK!U=OB`#;v<-2f+F% zVqe7EMiCGq9tKxh9<@6Lc^b!0kFuw8x3#klIJ<%{|@QX2UdG}jVP642~AzfDj zYvla#FvuC10g$Qpk!KfM@fz_Yuup;3W z)!lE`v??rq%M*{<(cu7m^05FGH<1m#;2rCVw?ToN9!-G9_=mzXW8BSy6# zdU-@oIPSQPp$&o{Q3P;2Pl2Wf}|`WNxk!dyC>MN|MeA1H=0*kt4#xkhQNj`-)KI#|IW%A&9~MY zjkUtg(cO=4G;?#vHkXCW5?lwfd+*%(K`daPUT1eVT3%X!ALuecXd)c=o@UeC9(bJbimS6jQW-CV~! zYaWiz49RI>;J*JDHqlqP4w zh{rtIp+}eby#z&!R868ss!k)XvbZUno^bIi5s1=#HDA7)s1i|)5D~b)0H%439{uPru5u*3TkcKCq8U0aiwvi5@d zm*31&)2HT9x1*=#QJP2TmguC?zXp$Tknimq=+J+G{xtDN9iwZFwErTW_xqRd_YrTp zTKTJ5#rfR8ab1*>V8%uqcpSB4I91o#=A|<|s7ony|6TBe+&`m#PwY#7T*)@%OI5OF zJ3EHf5l#q2{6G$m!wX+uL+tU3*6rrHUIx-bP}h+()*9pH`VW7Z1oF+B9D&35c@VjF zM##HUp2#sRu9J3(RPq1Cy;Q2UgiUDli7Y|DTOMc$KdH1`oj%oBgoTyDzvIxhqAjXc z?4__#w3A=V+w+q>g~nM-JelpjzU095+W1&nUK)y*Y3va-ZzU03Dw^eG;>m)NT`H;> zcw|Z*a8Y`SYR>xR6mf~dqMfSg51E_bHnF@Mo8M5gJ?^7mfcujaFE+}jI2U;#UQT3e zOw7HF*GB%DL@wUuWMG+e2}!MBH$~OCJ)Ow}(hnrBHQqj==I9pIPdsy%f*08n;uc|N0XmureiVcjgQLcuW~kl&4NsFPHqjHK!E9qy*LkE(`XZuvT;PVrp_ zx{^#LanWf?P1(GY^uTU@z#^(%p$DqQ9w@UYSzDYIMah@rhlwg;o_NI9W#8+qqZ+>fg>5x0WKHp{~-PK40IH1en}mI;kGwh7pG zo!wMSt9gcNHnSqBqYjK}&Q840EDaBe)dU^(edf}H&u9v?>&LYH6)B8)Vh1MWz#D3T z@8W)#oAr^}N-SyaII)wN=rbnnvnlPvLUJjO7l05itCLtv3<&6&2_~J(yO~tJ)Hmw$ zArH;+9+YG`Nt6x)YqD)+P^Bc!Z?Qw2Ch4jTU7n+7+{WE5ji4E6go%s?T9!J;=i$nw z)vHj;eAKl@*bt>+bD>}(g8<(*I9zr$8}d$IF)LXI5U{04Z_lWUFeuOL206A$@y+aT z@lbtFOM^-hN7*ItVYj3s8-{!WpGTCh0Nz5p=S#L*9X4=>jUouF`ef{(4q{RmfU5S@ z8U3EUnkb~PT!R-af$sq- zLY#Dl`z>&Yhu!kP2Wv?246Kq&AzPw8MAfE7rH^G&oV$iPQ>hasV{)f zKBrjzKztzf#pmKn@mKMU_&QOu)KBh(&>=n=&^|)Bgr95Mj<2?{jwF-DOo>!oPfRdI)Pgnj_!JPgjn{T z9hz~r;Hn3m9-1FcHIn4T7f0vHTPD;D{6yJp9v_eZUigwF#;G@(uyhAQg(`(epQgo%EpQ)!Q2%-k5sd#`7E5U{}js^BbL3!P^M7;Eh75TrIY|`o^;x+0vF^ zN1;&*H7Jb*2WO{eof>+acUpei!KaPVj%PRU_V&}>dFngvl@Dz2fTgk0cre~{F+_W# zw7awH1{t^AZk3liZGcE1Z7Uaj43Dv^uJ7X^{vNBlHSyQZVy9_7EW3rXEpLV2*>%2F zo%fqAO=V}Z<@sLgoVU>lcC_79YOzt;RhlSmD_v3A7L2PoAD^pv#j-nBEc<6~pId9R z&dwFRb92k(_Hw6i)@#o-*H;Mv{%p-H*XMvh`rn_cdgr|s-t?-CCVig+DmH@c)pFh2 zIKH*B9PIa-rE>jz&Mg)t_V<>`tEDRbZ&%&=s$K%Z2;=W+Oulq25P2Q`zaIbJi2of- zemlPptgW|8jrvBgpGK)S+9k}f)TkCWUfTJ;#lQD+;d=L@D~>CmaaI~F0pN;zuEF3EqB`!X z=ahY?R`&gJebp(~op#A{9+&IrfI!aTl^JT9DY$jVbNzC4-B}Ay*sT{GP_u_$s%cKm z|K$b3;Q4VNZXrFMPe_6wHeh@M&nJZ(D2**HKx!PVRtYUm-SdhL;bz$bmOH>fx$c*X z9%FJIyg#2;e34_y$df?mRK1+z9QC;iw9d3Vms*NeV4L~;(Z?Tkln`=>9GxR>vwUBE zMlUK>&UKjc(addQB{SuExm|Xv#T$u zu@S!rwTmh}49q|O#S06rz*a}ggQEAGW!IM~-WO^eFV-3Wvay2CoS>11ilr1DMwid${Uwh$)E<9rx zvDJwI;bL%Rg!j@3IifWsw`;ne}269M@~reZiGcyNdgqnLr%uH2{5FB}dfBe}1P z!Cb@`sys@~$%l0yL@Jstn3Ip=r6?zB8I5hktk_0$8OX4LDsPQxn?g=ko zu%56EKvkuRcWf-}kFbU5GU)m$W-gT)`qPqVr(!Av^tfO$(<#IGz9HbeB4;`Y@F514 zbV8MAM9DK-jxD|)P@Q6#z{c2WtI=taG=YiOB}{~QMmAf`Z5K)qb?z$6M3`!jW-t+( zopwgLQ{d&HXVZmFi{(zU;ltt6s854D;qGfdjtTP)wx1=gWXC>se=tJP)NeM}_u;tg z=_JY7^jc(wV)U%vfiK5}fGm4e@{qut!zQWl-N3gaHxC(dBFvD9TPVSuNN@5IO~Hf( zlu;mQ6yL)P8gLguZt_cTT(<>y8MtF;?(Bw=xS%ejyU8Kzxm@o+Iap4xjBBcvC3MlP|iQOz+8|Ifo-Bh7C=RkBR49v_!pG?m~1OrLL z#cS}#WvY{#DT!Z2by^!<#!^4t?55~+QulQ|q;BvNY_|#_1ot&W%vrCA9j|am<1}L) zM;MT8?J~ULE`0ZE;$4zVFykPTib8bZ8?lxw89P1+Wr!czYJ1eAaF*=>NGaM;!%{65 zl;xJKmd^^&mVXHLAw!g(%2dcdzoO_^Cu0pxzr9$84&x{RA ziXMiW%mYXCWgCat#QPf6__7HpvC?YP=mpUk9R{{>v`5owICupDgAE_J z+O!;)aj?II`;P~hzXL-stbPNpx2$@P3C5$=6qDW{fjk1hMmK)j0IHpCnzV#TNFIOy?0 zBK)a1^N}GSe&aAg-I~yF?Gxv_+tM3qv36-yV#eo{dS-}uXN)#7y#@Bu6fkN5kk>Hk zN2XVXu|5Qh)9IC?87&y3Rm>bq=2SswK32JEe&a$1o8fqJ?@OK>#Za*JVSVt_1ybP# zuHb?V(I01fY%4-xZKpCU41A2YjY09Y$F@sMXF>RRe+YM5_KX5>2LL1s$8^c?eP&Sj z?jGJiGUoawI*FNiI*Wc9E#usX!QY&QVYkwt^9nNayz_1i=f)0V8F79=MxV94(Wa-f zEUam{BZcj`&_IA9#dc+2ILlLL_~Ixw3_+LdL3FE*2`+_+46B@X1JyFlk$qv2=2yy9 zkG{cY4*1Goi;c5rc;MdIDP!}@HmQs`WJ}(|nGNh+b$&w-hk$dcjmFtdGqcjEvm?^a zIrH;O7G$mB%%=bB4Z);%7dZYLC@SPY3)!Co?G^u@Wa3m-7LCes=fo(N$eR0T`%T2t zxS$Tjz1xW*3^dHT|6vI1#9Hb7S8P`vv5rii3YZ=8K?I&}3<1v=OFPq1ARi>+XSc;C z3a(w6bxenhsjb^mTkD#Rp0T)(j=V?7e+InZX=|9#GCA#dqAQzDQr>}f?)d@ki=+vejs`C+D4K^b>lT zZB074=`;1o8HaKRre>nwp1DBGN?o8kO@72dOQ1nlO&=^-+!vEGhO#;tBq1 zw>ln~anv_XEzWr@^SNd zARewa#NjTiL7jqwz7LDHr;H=rZv}&Nkns``4(fJVsP7Q8^hQ zhW@E&DtH>1RklT@#c1$x0FD)9q=>*48~};<6(#Ld_)0ilgk&ILhK_k)jqu@BJ(GX9 z)oQeq+!6^-h+!!p%!3cNMEIUZFColD29c-%s5`_*Bg;xe5@sN~jWAmnEu@zG7HA?ZpmD?;g+6x-S-*7nAuaK1ouGqrj?4nQf?3L0Ek5o!&S zUenmDyhOAyQF)PmSyH|4N>fg0yADd=6H5zJHcZ4* z6P4?Cn!MAZVCXrW3&u1*;hmbyhjAGknL}VQqpg51FeJ0ND8AAez zVs8qpJ&5p3wRb={*;kUgdgpqK|w3L3rCOCN$jU+?sv& z_WbbS!!oH9WXL8wrK38l(9;fuA-*0jC|0|qVA5;ALi?wIyMD*Iw8IH@sCa0@cBGpOx#P|(=eD<& zcuki`MCY384yGa_|5LslCg49!m^cw|<#Tw6N@>*n26B!ozl@JBR=$9rUGzyfQfezC zIp2y%8xf(5!v~r1Aw(zWvISa2?2RQbU@RhV2b79EHPb2DG^&ld7NaBUPotyqKQJMH z|KI6XYCWx%u@Rbhcp0aAFC$iP{uEApPOu0xYdJ_0Jp-oXvF*tcX@CWNXJ}rQz7T#JIR+C))!HChE7}xB z$(53q;NK-nNHG>-#hAOY$}>FUOi!zV44F(^zbDrhyft1!(JhZA`b+NouxWkR9!l}` zt6(O2y_rOBN=>4wWK2Jivlb!(i$XUzPEp#pFkZx7`_z?>@9bM^El|@ay#S9#Lh#5p9h+Y34o#h7MgtRxArNJ7P4qcHWO!;T93iEjn z9}pxcBL8Y;d;9)N06I&BT@v76CGU&~0!xYSRLE3}v7K;h=D@UdB!RY+BBya!k?%4~ zqp38o9>&0wPO-nY7hQe?jt$D}W}o$%3qp}wH){)_Z8NoTBgx7oe>fpr8swm26qkWp z8%}0;Tr7)^y7Im13j1*Cs2SwL)xrbK)2Y5y{zhAzc|)7ezpN0N6%AfyK(K;OM(NQc zW?t7Dm4ZTb)Kn!;y@>qGtMtLA#(Z|lOqq#zIfjUJrY4oEpf!vwS5bK`rl}ogs;kn3 zifY0&1d)8@`-D6b_lBsNU4hu!Prjq>v*g*ooXi%0R%W zliJ0qL+02mm#EoQa)nRD)*2?72wJA;Ysbo~Wjb24R$d}jfH#bO4Q%jzpx3hhOvEuG za)P=XRw~Sj7Ef5MqBtJbn@R}c>mnWOJ&nq0yzHu+2QQgd=B)}gDyX)FoCH_{vKh`5 zmyy2CNtanrA5vc2iM|FD9T}nPD_KtA^Zw*wCvS4B9eg&gpc{KkBvipIP7_ zf|`pIL%+kBL@ML}CtPRiRDY87L8H^=DugmWRV+81FJccNKG1;}yWmyn z{V4hT(h|hZ(h^l8qRp<1L`9ZZ-t!S%N^wTbb+kl+w{L}Tp1J@48v+O}NcTYg@1-JD zjjt|JmERahnN+`$l2mDQCe?#o3t@T;V!rGTIYO`XDk_L~$S0;>hV+|*Lb^Xf7N)#P1y?vGHEf^@eHD+R1z1%L5%wc~I zv{2h&1$vpCIEdn#d;l(h`l@iu;WN|_%Bu(yeu$P@Trp~HOh8Q}F6txJETVBy!8>tW z$+k?tgD4RxMVY2qqjSgr;_TD1eR@S1SM&|m^oB!qcm|IL^%v>9f?4lhOdUC%WvOxKS9kP#YN z|0D#VwM@TLum@KQ;JZWV{2auYL;NnMUFm{%oTe;XiDnHpOdPS zXUoO?Y<)@&8Xk{5G9Ku z=;mih(^2+{m065Xon<&)9fys)PKZ0yjdBAf6Ox-iNJ@7Q46KuHfECqy#Z2!(!i)Xq zwKuf%iYaiR3qAYF zCxBZ3`EmL+upawNXnJ80e(GjNZ=Ct`_%R1(8dYa@#VNIG_}y^k+O?)$cYc^e7t#Q_ zAbo3`FwOl$0?iG0F8wb;m@=wl@n(1-bs`?rK1DdnqT75DRILhgNbkphONer55_LJ4 zGO!8Y04m^426zC};WZS>94VF4+lhp^|7w-W;&kew2Y8ndbIwYQ)EyLx_I_rB9kbTxRErKfvX(vg zFbmv+$$j(J;d!jV1b17gRnl}(raqg`-~9N|(~sm)0fa>drT^WW23tmeq*F{-7~gf6NWIqGr5c;HLfOIxdJs&2ejP&Wy!#`@=!! z_S3IC!ZTA2UWw56U*TPvt<)lqF`Jo5^E&^xLDzXJxKs<#fIh{*kxp;^I9i&0vk{lU z{jf~Wl@SX|yB$ceP8HFRu&PlHDBq<{gq&n0y4*r;wAV6X*@+9@d$b zz?g`IMxpy)7o=uPM*V*D+;1PF#0NnjT|!U@;fb1+I7qT3{ACRE=@#avdo9e#$7G># zz4s9|43r9MH29`TUjPisQzuo>>WC^!hzUgYI-MTVj>_+#ij=l-M%=5$NoAVWZlaQn zEMfncXccSqvmqpe&7R$I=Sq`N>m7QgVc?a1Q^HCQXlDFe2r`RrKKdw*2C8(bNV`pN z56%{uB!qH?Wrm%nG&RH{y6b|XR0_xvg>MQpbk1#+ankS4WK=0o?uIxK7BrjcKybW4TJ{DD>$QDa9+V@0g-lrEj|E)_-T3XfIRV(wYWL!!l4LZWL-AMek4;=Y62?u z3VX3NnZ5t~)Jmg)@{27LN1MVKS7x=^pySbXE*376E1W!cgc26&@-*6aSuDY>N9^iF z7La)h_bJKxd7Q?Dzg;LH&~$@J(&bJ*dE)e=CmvmRn5v7DM}SMa!MDr7UgY#~m&rQD zWT>!nC;Uw9!6Ff29Cq0cn>k;Z2IcwKKgFkJ`z-inz?G{;I1l$ya9 ze>A-_;2%l`T;*74*iVd-L=8N_X!}jX&%Q8sC^YS{dB#A;*!t)Y*m~RO*v>!`jI!4l zOrc!quGm7QSI4uyLSBl3?LU=(!$1^j31YkAV69H8yb-*m)NVKD=lJeM9O+UHNv_da zovW6YTW)K84y#BGoSUSinl)l=7L_U6?s-lm`Ii7jPCwWQ>%CDu=M^`OU%@)3cr*k<6HD$ZR@jRSbn?w-%w$wF`dOa*m z`j!b0yIflDS3VC!SALn69@PzgldwK{{7csK=oF6<7G-T*u?7Z96n6)OIx9q&NpTd9 zg`xs5eR3R0r}wckoKvy}f>VR?EK~I=S?UCKDQrpHH7brelV8+yQTcO0U4KTuQoAzA z9{IX%!S=|n19okX1fM+Ba8_L88jzi<>VG;2wjpJ!NS4!?xq>bQT{H6FN*7i1$%q!! zS8*LfhJ9Z+eSvkSChbrqT8J%j39sSU55=!kO0+0tk1l;G>45qRxU>|0Vd;_cGJF}7 z6`Qli2G){a;pdq0f7eqMS~;xCQr0=$vLjIqD{V&OF_(YOrG`39KfxsjTFg2b9_=T| z{$GozL&W^ zxX53S*Bpz)hI}4(iz2LnsS{3^ri-jqEBwd1C{S4Be~|_R)?Wjbu!WE16G8|}2rWv) z?Y5p8nAre2oXlXH6-c8pckPh4HvI(pQ4DnHsOh&t)D&`eEK=e1R2jQSaakAloun$P z^=Q3(g2ErV6L&ex1a-AQklkgqaE%_t&J;il$xua?m zE6RV(IFBLFz(q8I`h1En-kqA#okVJ6U0z43qK1Dcr1pwjN3F(KaNLt1I3fXFziV-L zSAf^gord8f-GqFz4jCvuXEUHo6g*W_jVhu6q+;OW1gPHWoPcwXuDr~cwsi9VDpIk| z5l{Jw443YumbYB8Fhf)gWmBL7aoHPr`X}#&YbQg+abRV^yiykqP-#L5knwlO#t z7SrPWP;f**d8NMcC=XGkFfP6a3uha2wMM~h;*M83a%UZfoubg(4-Wz3?E(;1VCh)Mn#B-}6X%&REUM{SF|8Sz z*W|~CnD0&D`Ns0S874^edg7c?tlj5^n9H%qT#UXSNHkf~9aWEs7}=@hp<3f{As-Da zyu!aT1Xk>eD^*%+R3xNRgZ~B7P~NbR8oWCcrY2Mf1?uC{M%)-aBPx!fv3cZU0XD zA)?^IjRD&Db5Lgw_XeVh1z=^rCQ1(@{O?Y5Z3^c932_lFwMh{R(G$gTHVWv>{f>js7C za0-4kJB~DO$IKv|wEau8jFq-GLE6d^7`TPJ$YBXoO@qZ6?J_Jms&^bLU`Z_T@q?(+ zFJrAxc@_r`A@M9yK5*{_2j}or943|`da*g%O3O$&S0_e*A(Qo1=OF@dP=6$gfQxq{ z;9}~&DdE35GI!>V`=+o-2lFDPf@_9w4Uqf-GSO)#!U9xT@%p9@DgSW@B(#I7ec+!h z^F4YJ#Av?CKOZTwsF3ajLYKt^pB^d97i@uPdvPattcTQ3Bml*^*Y~3ngBIrLBPn^B z;9?zdAWCMY!=U@Sm>GpPoa2H@73kZEW=)R_;$z7ms*R?G@~xvHdn2Ak)@2M%(#f#D z4aqRmCeUT>XVnq!tT^JGb=$Li#B0u#^}9WfgZmyf(}8WD!{+@DhVCKPVQpQ{HC`(n zqWFo5Prn8_N^a^Fe3blmwhw)q4Eq_tuS!$p9>{{+z}tubu&n{fV&6*p6y9|higzc z+k+0|2~>x#C>G{%Ox%Nx-TZf#_}n1WhnbxriT4YVc%NS2K??f*FA$R=jSxw^#~g(U z7dw0HusDs?Ix>BH=fPWV1#zq+RzR<8mZ@ge)KEpR`Lr{Q44(|g8gOVq_-lM8Z-Stj zme`?D<0M{Ooz+h4R5%iG8YNe4_n_L}_ zM$>K3>y7^K!j_%GLMULj&P6k8esoYsuZmk#3(olOW1uv0yH8lb$*os}$T)lwoWo^W zsZN4Zo&P@AY|3NQ6a9~YAC{Nj@oS<~D+k;7lbL}Jcb|D~?%CeQyK`rz&EanDB*iTe z7twLEIPjh9KFyt*3!e$+Achh5!`v4>(8amqoH|)HhqUG$JxI*WPtQCzJ@;%JY%u`! zoP@Y+vAe~YX@W~GMrhi6^L2NFXLcC5GHhI6F$(sTkbz`3d*;zL94Q`$vH@`L4*bLHLNDS)`U#B zS_emiI0@4xmAH%vS-G^UCo9ZHX)Vrk1@lvutGv^R>^5Z0m}{Smi;-LKt<*TLgGaPg z_Rmt{!{jt|Al#Bq=u7OV@)C`NcwMCOw$~b_PX-n4f{gS8p!%Ta)&P8q3d;fdd>7A) z`#vdKzFhBMt`aG_z6C`=>g)&$S4uu=bg>GQcX{^5w57~M_Hv(9p{?p~gA{Cw-7**8 z&{eCZRPeZXUYR#*XKBneu0f^!l_*$!9>_HvAwhR^p(rB$i#5>_QHaO*5-x9HE zt@!Ps=D3nBxiP5r6ll%XtDBNyXOan5NiiCibnN0m5L1XA*r78zieNmx;%GwK!HavN z_<=KTbl3rzyy9>ZL$&E7n$uIFy`3bQh-4t%4T)Oz^@ z@`3>Zuz<`W2u7v$wBH?p7X+cS*~wDwve_NyVaP1HdJH$z(gn2|HtA9%FuNe*X=K(t zq=+;dO+W&PhZJScs{2DwnP}bJ7JeS0{)aBmO0z&4 zXss>$eAfj63Q}Y+kVd*T|7g&)xl2-)xb1{Kq@;KYmBaTj(z$nxRMlxUwUeihPTY5?I;G-0YH7`TQSTwQknvAYmv%M}U2cZj-C}gtg zv6YnPJM6_xs+e;2G#j>LR@O7P2yvI5%CAfh+tKtv2ax^br}AO z|2}5@(y_}-FLqfxq_=X8?XYmXdZ7WQCMy5IEJ3LnK9l4lOd@?$YFQP&MB*#DgIR25 zc&C>U!Wr)o$Cc?lSSF-wgjJ+$6a-|dT=AaXS9T3zH&^EuYsGkxf~JpWx3THwtbuoA#$|EK`OkX)CSPfRuK1T;KpcUwCoUj zD#xsMpdVp1zL~&H)|OWhP;VE||4b$##Z5FWbJMw(XQ3=~yj&2(U_ZjS(vhzaAMX<0 zmmy3hM?PwdzgH_`s=4_xkfsq5FFPnPYmiPJ&4uNWX%y_o(MTP!)~mCuGVRJ3`YW*l z^i`ia6%?S;Cv<4yXz`qjz!_D+0aBoFRtFIE_*SF7@iqLXi; z3Mr-}#ht?PplYqSDjUTRIhUVznq1bE!!5B1<%1c4AYD;!sJ3QC4~QAPMZ-5h6wJxH zXg$@`FrzKO?q!q&_S#Ey4ZwyevjT02@*i0$i$mWmA*r)weZBa8TtuPjTG7r|>^rH7 zh?p(*nKHsuDj9L%9r@mXF;S<3irAu>E$^t2fk-t7(VC^atWXDpw&(&_RHcRHLC6%2 zw?t#u6QP(a?1m}IRo zp1bc^JIdlAzUdvvigb=>iTiArgt=wCWRIv^8L{I{bHvAkJyZ7W=m*TVN6dpARbfLR z0v$3LzH5`0!Lpdh)?Tb#Ydd zG@f)nf>kn+BWY?$)(sI^K7Suw5`{+;9H^Z}WGk_qrpS$XPI$H01!dY(Od5FQGi^pktg)&O%u+7i591g?(%-r5E9dIOkTO8}pOE)?n?FyMtyzxZZsQzN>q zTdz^1-4HrW=`*QWEP-t66?C3PhxOH8YJ@nw#r^lvS@<#(trw%O_!Xl$rLKB5ql57- zqi}c>h8gmM2^1ZPN_$8Vbyq~%Q=sb02E+w1AfyIA5X=sQU`wP8*btI#gXzNZLJS!8 z@v#KDQsHTGoCCoan>QBB@{kP4aM6a0!|50s z(h8GT+-Klo{C#0i{N1w&o7{{YY^s~Mc_P1o41P7yt<%x`hTj`>re`kX=D|Y6g&jxZ z$~dt4Wm%k7iU1yxY_MN$Z`3tAmK!8kU4ZWgyBhflZGGlbY<#eZrEL#O1+k$u8y^0Q zy-sMSBUaS-pDyaS+0sX*-na2Qyg_5ZRdEwm^cMeRSSSAvP+)IQT(@SQR_ClZ{}9{J0TpgD~Nm z-NX`tWtigMVWd-U5CKx<6t$bEJg%Dyx~iPU1I5sbU$7jxNKmbIP$PzXG@MBwf}MnS zN&0U1=7n;bu2G;!D7a{F2G2?Y9!|QMfdY(h1)9iL&C4$H;uK!rfG+M~&MR+ds;o6r zzZBO#2uRtv7jDd(PfnsmA)IO9Y!!Fd$~?6b@!4!WoU-&-d0YKSd!I?)&_C?wu$iT@ zqPC;bazTpM#EX=ScBbC7`%|x2K2v(sy>nJC%K2+YupiA|q89;K7B?7+8rZ00x_0YS z)_K=dw2mpgs>(oQWmVJ}hYFKfMN*wf)l-j=a+nmA$BRrnIwRdn4^%$$-19v%0142v z6W5u1#_vtd=^t&tp9i#hsw{P)(-PHL>HX(3nbSIHyR_aUif`k>zN$PXr&DZ{(!^<4 zhb|1^vv+tqWrb#3xhPhEf>eqb=~1AfNe_4hm(B*%BR(u>6p$3owZ|Jc4msyl*+u~G z>25f_k%}v21dGz}x(mE$Ie9V2QF9!HYfac}bqrI=ig>i(EZ~%R*5qJVJ61h|WbsNyKoJ0pcDZHz@I) zubU=m0vuB2d`7M{4uH7gsLJ<}?s$a|ati0V9l`HXj$ql##T06+&nUU-9TyG=qarWp zD9|4+Yu|9V`7TXEN_X#&cs6jc>aHZKZWSvb#sSvGg|aX`=_fs)IS|z=3aEYZdPraB z8`C4h_WTgAU13!}s(f`DD_8+|UtO#nr%R-~pA{Ir9?i8LUPzm~9j6BStH3nsFXFQ$ zxz}6mrBZqHQXh3^DTa78mni8|s<%z3HjEIX)@;bN5Q?fZH0`I#(oC+03PaBc>Px6D z?N7c;So^5QkLFEu^j#W1UVXUvo9+V*yU#br*N}hHnqt9L2T8f zYHDfY<~LqlOJ$6M;MF!Wm>i!JCdU`SVantPQX6qUmcZcTZ1`35tjB>7cUqO(9_8l3 zTB8foznOt?RSkGJ^x0%&afrEC5n$>Bq6CpTkY<;}StNb;Nfw6QmcHMl-4})5OLz@l zXseehboaLh#YmsyvMwlaF}!h27+-A0JTxd_ZS|i9g(mh=Z2?C*n}1y?yv}mp2Hg%j z^7k!tmct&qFN4!gQQTs=MoE1X@=A?6;VlQ+%;a#9Y07#EI;7D6`>zNI?MPO^{S zb~snHMkWROfiC} zA4X}8cL)cHsEEy7a%?DJPWvDPqp){E_%*l_Oi*b!$~fQxt#Hn+!|#cEmaz>(gc?P* z!LdPp6kOm;jtYt>#bciaFqbrYnY_3pmc5U8@S6;DtpY=ItDK1}jqzoC=cTaRTJPuk zK=ZX1J}MXcYcG6kSOPQ*8=UDg)}{%aq}t9sbT|`sZe%pU>XX!+ii8bpEKCk^^WIK= zOEZd*An*4o&B*j>I*fkN1G*ud9XAgFX-; zj41C$UcV*HH?myGfa1WKbu!0fMk|CdYHwQ(*)k<1mnYi1>k%aLP-M1B-47fPIPMP#A$!0pZ@P z*eb#B@j7g!IJvJ=_R#*1d@8Ek}jq%>zRhRuQ1it@Jl3pKPV;{I{F*KhCZd- z8tf>~$g9!Hs?o|RBctS!E?!1RRb;&yvSSkdu>=y19JGA5BDk)Dme)!b(q(lJs}8ip zoru+$W>tb7FM!d1Ax4_P38b{Gl3qL26VoX#^%oMB+Hx$2ksz&Z?61Pj2#IfM_^liX z)cThufP#IDKbvkD@ZU=YoOg^G>W{x176sR*NoiA<2?qc8<$x9CZ_+{b<)hNC%lip9c9mz{FEu(4)$jnLv|bY~=rV=G zuEUd!eGA3Msp35NijCPam0%Us2}B&6tZ5((TizDHsC}AtM%*`i_^Wi8EkqV+YRzcKfbGB)7Z}#k^^9M`KUKzuMO5yI0@TWl;tKJFj ztM6e1t6n+gf#6U#dz&s}zm0?V>Ufc$fIieMFU%keceW?o-(URv7XJ6_M#*`Wk|Dwq zh&!MVvrDHceuPxTP9DDUmsVCpaAhRT38GBivt@FydcR}RrFy?}AbyN+WYr@vm=P&b zmF+mj_Da_SF;;CQ%8z;|rj7kxujG}tpq-Uv@(JWs^(LjtDGVP;U8y`t&$amErHr>8Qk+sshQ7|q_7;OYs%<{+!l<@Kf&XwU35vJae=_HtNWnC#zziL+2l`=Qt z=@+E8Qomr~`^}|^(%lx)xJp!?Vph|qI?KF;C#5Tl3AhnksIDVVuZ`DFvCkk)H1Skk zpv9c1yhpF8Kw|F&CDuBE7Vpw67=NDv74+wf+jDP9p7a|jaTSOk>KDp%c> zu=}JriZ~C%6Tv7Y3Zra`jPblP(V@PO>mx!zF5H3O;tZx&u<|p6(TU1WX~d=>PgQ&5 zpDTP3NbGDRA7YSvcZ4pPq=_h1xiwng$ zoTN}H!|WH%I9iID*Fu?181Bd56PHOb*42J*8V)X_CWB#n0Fpl&L9%6Qz~WakSK?+| zJTOBuxBVJZXMgEIDXcUWR^&i%a-^6v(2Tm{82lI=(Jw{(N5e!IE`swb>2o>CbtF8M z+o^8kdjL^IC*rdg#i}_e%E?VWjSu+Y>DPkWk42*Ba~ccx@0=-!^-B zA3ahD{@H1m2zf0mU`nv{fXf?j5uXI|0UqpI>Vq**>HH{FnBZL;!?07@J^1H{`1}! zUK%y^J@$ebD0ud}_jT`3?A=D(>`!axN4e&j>XDS8urtd3W3ZRbi26;Nqp;C@%yPj1 zhg5(#6#5=~hN$*1B^?}=yYdo($KfAGy%?r>L{f8%H|2WXg8Rm4jt~?Cw0j6%BIaSH6KE4$MUHd<(4-CZG*RJRI}Q20~b51h*CP`sr5& z+)UOa9UdWg5z9@Ora*3Sz%T@^4Nn9QjRFV6skbs?h2;{ZGO;18Bes!`&JPR(%$09U z&y`{vVB_fvMkofj3pt3!Svs!#9pcK#6nK*LWp2i+#s?NnR|!t=x(h|8xE7~Mh$5@H zRMs7v3zV3yLYblDF^WsHxdH^C8`xt+5JN%FZx zNvbZiC4i^XH&(hmC#gV=7$Sq1F%7;dA0UR9sJuUU>N+;;4+vN9O>UsP<6S)0dr2zq zgl!dEF&%Y{Gn*@SuYFV=Oj{nbS+*PfS?Q&or8u=&K8NNnaJ9pAID)`2M5vXpHessT zzU@$}K2CJq`mwQLjd-)bAE@}pvP{ssLS=thW7>lW-9|1yE8 zPlFb(#<6zn&>Yp5L(ME{R#0rL=eMa)5VH7CkB2T& z^9rSU`JHs(H)IgJyx0tol$;lV0vy@O(v%GoR}_4LTt%)L0t-ZlK}OuUz*&CEE6TPD zh9^oFS#hwMu$d6~aDbVU+X%l!JVNbwws9EWGn`>Z(-J|ow5^f*6P1<6aeeBJ9^4;# z8AClWWT^A!1$F)_O>2XA#=k;RM3JdYoxvL|$27K~jRtO^l-Hw?mZR8}*&>nEBd1TE zkb6aQVaX7UjW`VM9Hu!Y@~cDP;7`Ih2s>uKn%*(1ihIn6N_PDnv@y}Uey-x4bNvF4 zi-_}Dvw{7@+w_wYQq(dE$nkB@LALRdIb{*Z;{BL4A+ z;AY&+<3JpdMb@O#beK*Je2?-6NNp;#P)ZSxs4@cGUWT?Aw2g4Lxb=qixjLhbN~e-l zmDfr^T;GFH@E;dfWkJDlpLzaMmuX<@tc}bqd1Em8QTce%OGT9y3EUQBBkB%_^M7*q zTpd4ION;k<1B&`<5k+mCFr&<`L677?nM?9y~;M&UUe z+~1$~EO)n=1MfXzN!#=uT-WEV#5wGcJfh$qW0oyrPbR{$i2KWQ{+WmLhz`Cp=?p?OFN=+8T-w#e`TZ1 z=`=|$vPjDxnKPo3!q=7f6u0U@Tq@xgVHe@4r)n^ej8;Rr!=#b}5WFY?1NXo1*PCKC z%94YyM!9Vx@viMPRYMy=?{|RvdqFg|10gI6G6rLc^mZy7nh}`#j-{tQ8j~eop9)F+ z;Y!61PY(eRE>09Rh0+m&!9g%+%NX2S8VA+7EHYEL-=&|Kff@PLQ42Us(HBXKzRT31)1UvHh-1;R`Cez0fd_{ zo=zGUe$ApCy7`<7Q>V z{=P8U4dDdQZ?JYh3Oceyyd`Gt*~Ee=@_qA=OKyN>YX8|}YG)Bfvti|N_s|q$Mh-U7 zNq@{<6}Ny2We1`ZYan7=d}&Zzup-TvUc^;hs0Yz^^^prJsZ{|s#-B|;49y=73e9U+ ziN-OU={e~0(nz5GZ-YX8_s~MXn$;#c=|Ag)yT7&^NZhxCxo0;Ajf=<<3;xjK{t%_4 zo+}51>@AxWoz`?V-&cPeY>LZo85A>dd16uFo=&Il=&92epwmxr1cNMlLwoaZ#+6R6A@WOI%k0LLh7)e&t%1c0d_=uvJfr3IYm8&yB3{_lN*tk zfU=x9m<4&U0nSp#D7LArbh@CV-RdA5ifV`AYLFVPkFI-kySdv!EC!_!Qxjrsu6Id$ zuS~6h%dsO##L)9J4Y##iMo}FcIr{6k>G?cn*Ix5*g1Azq5}_QjlH@yvfP7{UlC@?vSi6`RTP7PW)?~MZ zVgE$l=oR|0R}_Dlz0NBO?wEB>C%yOfl-`q&-lxg$E{Fkj<>cK+ z9P~()68OgYv)=kzqg8~oTkTL<3^q}`BgyNnPos4fn;k#1(x_G&YyLcygjhv_XIa6!6{!ByCd*kkjm zLRiM|r2&wU_G`&V>*ANI;zWSyH;Df}5%R0zq==fqp>7FdM&z0N(GWA)>x6`q(QT10 zVqj0FJg)4eJc8QMI_l}bKyEowK^T#2pAKum$G$nf<5ukrWINo;EfkCIKtb@4vN%d6 z^IKT=M*dEw9M&K=NZkZj`Wr052&DMmr(a7Nk)`;(n?sOU`%QKfgN1aoa&4GaOrv0e zb=|CrUX}5+RvfK(!Aklki^0bWeL`kA7PU{^i31OLRc}>X?#Pj5iWR%R(73DIbP(%5 zQF%T68YocqM%{t~WpCJuHPwN#!EYUfVM46pHgSC7!su8*(!AXHv3bOsPNH`tT`8~` z>b%T%gT+H_WTJhBIfWijn>I>i;bLAoCtOALzKjlrVe}|Av?4YFE^;{z9UN+gYt*qN zWrM8jbKLDbg?ms6%o4vYjy~~7m0^&N4MR?+pPI#!gS5hW%xUb3(9p2TIM{_Vwbc6~ z$TSeJ$h`~Q_KTgd&hH5VX4BTiUk?Ly@N$fvi2pq{!6?*c3=tXl8SBS~!1@k8OL6V& zP5melz5`L}RWR-gQ*{K+=Z1hY&gm8`TkpY+m0MIBkL1HzsX@n_be4qIiLrHTI}&iiNFZ<7(P|YsniFqTxPcBXP`g5k zETF@veEu<#Y;=m&@+d;9PO)PJNFxODV;nlk5*s%a5@85Kc;+Cl^gY62P>~kU1sN+y z9zoO%{$9BpE8+9^=nuL>4{Ympn<{ zV(A%X(^9n=2wUrvoInR|{nv6p$@8bUdWJW$|D-1mTJuVJGjTRUQs%!NVpiAp zlNHvS`oEVrqXF0F*N2$N9ABJ~UY=Q#n;l3H#!+KBRdrKXRfW<|f^Wv;iKyI=h;3n?{z(GCM}pI*Y(nY^PXEEvbT-GvPLaYc zQ5OWiWQdNJTg{0?E@7#t9T6gSu(hfq2AoWvQhBvh#s4r&5Uhza$pW28dhk?}%bH9j zy_MpI5abT!F0z@yX=3~s+b$F3Bep^~vAbm9(`!=s8>|O}+BfM}>g}zvNN=-O$F@j= z589V>z|1S)DqmbQLintEUXg51GVCl{5ij|4og){G_NqRjxYWfdWGr%|Kl4BAN3nAj zJ+RdYE0>pw?@rW#{gxd!nGzmLR;F$O^ldp5M&ICe$S(Adu<>L&ghpaZ>q+vNjT~dv z{4dY|G1y9{Q|=5CTUe*u7ZLDAGM`*OL9U}2hpj*PHzn%D$>+^>qxp(u-Qk2ajmWw; z*%K79&R`}uP}E)^;VrYAW!87Xr_Y+( zHC2qhQ+JoE5F8D)9VU@q=^%Ck0ru>FMD)mN2X#Z?L&JSezK<+(xWceK#d#HGujTlq z1d_r3ae@CwH8uxHl0HJjrWPV3y1z_`$`q<0JO%dQivzmAX)Y4?C4G<#La?dW%|oY} z%WBbD-iOQew^-PdsP>(#$q8Uwi{k)x7SLg>mj4`lgJnSwP}QSTX424V;3>IBQ4ioK zrggi#D=j42RI6Ad^)0F<&7oMgi_@dtcQBOs19Nu3KctDj$X9=QS?a63d zmiEU+gL-S0qv|mcI|Jl^_(Nc(PYy``RZEov^1&e>q9`z!ITxOkwI~QK+K@4;ZFE#W z=jHujd6Qh^>;MUWVelba6T2;;i}VRf@8u1zu5Rw;CXNEmUuzn)B zfht4uPY4p$fTYj5N%fn z2Rqc7sFp`8&YiPCp;Dhqtwv|H1p6Y>`^G-0x$=1)3mwB(jvy$pgHc{+0+5zo>~YF< z-u?(bw5Z2Lc`F)9bRye_(*JmCl;ukA;48YU=M1}`0hWA7Mnn|rHD4&6{zs{H3teC$l8D1(05f8)4ZnV${R@8rvGX( zz4JbeAY#ouHz47d@q&Sy#$Y0Bsf_R<$-hoUgtB5ZE_O)Tx8|rH#lUW@BE0#MUDS$= z@n_Q$ug>_DiKbO}b?zFL`^><>@O|{3GjcdB4p;NurJorPc@F%&NC$wKL$4g>5p+?6`riUW3A-v6g8LTC| z2k;kx()2C_<$XL620!EwTKsO91AWdJO!Q#nh(Rh`QK{;!ULB?T3i?E0y-A+OcDcZA zq;Q=oE*eD#3MJeXjqBvRC8Zv-Y{kw9L(e)^z>RWlb;&QU!s&3Y_%^o+1EQI|eTsXd zx;1=6AO7|Z^DP@LvMGEPt#%frk|fl*M$mgA3t# zC;10g8$5Mt=acW^5?o==8zJd-(q0@Gw!}!VO6eGf?O=N^1&d-q-?Z+oz`p0v6z`FW zqjEtr$_GduAu7sIuA`1*MwrV~b(dX;hh}1>VRnR<5s9JGlB%oO&q3-k8u6v52w6W{(#jFFO%=8CJAc#voT|w1ZuEHv{tW zzb9e&tpGTJf=%2%{Z?5z%_+gR%#>rOEuC@koGlna4(1PH?}#0*bdo_ZfW6mYWgusg zeZ9)s5aF%D1*CWl3`$8r>SPe+2}y(+n9WfIU+LIaCJE(Fojk#+Ixlaj!t;Esnrp7- zmkcA8FB&|C*Mf^UD3($SfW{o?blAm@FPL2KlD9zG&_vtDI2f3;6pu zRY*P%#KnO|mn9u%6~dfV`L{Nv-i|s#OAHK(YK?Ga>}kE4R^wV!xdsMjo9osIq(rF> z&u83LzCa5Cl>8>4Wb)*S4LI2fl`l*Dn286wG^<_pu(+9kDJHW~;>u{Ypq?=Hh*yb%J}0gUi?s0mVnF`B5uK&vZyJ~RdtAgGSXfX` z5~15<&gJbxK#Qx6E8Ri^LPot- z9mP3C?Si7)rgEJKTtWg)1ByL!4j1l{Jd>Lo+SB03($r37$rh=CljHr(gH&epd|PgF zSPVk%BPdF@h95*7QX*~&J1&mzqOo0cTegZh9+P7iw2pRuLim6Fe z^T+%u96}FitZ!xlW|E_Z`fgg+FQ=G%x^@}!8Jmb*JFZ29KGo_`EuzBN$ogf_Hsu~=2fvE-yM@;;8BDW6Z=e-Q zZUB^uLDH?k^Rx!rQcNJ-8Z75=?xlIF=AJLtIyH->8ZrP*auDyt#mOCtH&WO*m2z}D zRT#YO20Nc{CDSTyO(PEgi?6OANB4pc$%~&2Tbg4$sv?VRn016GZcl+z$<(uoD4xDi_KbJWM>r+P2Ni_*gi7QOmswwHfGI)o0&kcur1D*h-OUoVPw0zLp*27$j=$4&^~`@ zhJT6+5*-3C2kEGzQDGBjr!Ho2=;hTMK``&jzna>(V3*V{BuI})MCnU5yGJ8RgXhKJ z1Xb)n26zX@y)cVcL^gMpPJf}d$qbZHWy>Bih3NnlkD+j>_JoIhD!oXCvRlun&~|eh zwC7M5gvvcc#8``>BEm6at&0za5vRe+F*?NeuSIoCC`Kz|@T4}?J0#`|=u8m5l|T?G zPD?izky;BB&*X1DR7(M61#8jFl7h9OvrnhIe#EBc&T=?d>lq5xlHiDNtr1Qy9I?f? z499F~^hTn#{+O1>L~Z?Jdj`FtwyuXwI*ZLIG=^7X*ASbrvwYcy705;J!48hTCj+oF zAY}HxxinF_+c<$wa+p+~*skjy0aqjOV%0eT#~}we9T#=#bs39(uV|T78M~T|m2LEE zpt!Crx&_B|eIgXswfH$$Dzpo5A)8Q|6*XQdFp-%gprqG|<1m#SLf6w9)5k&uM>Jk{s-aSWYzvegDk@8Akt!U`3xq7poG zhZss7q2Pt6q)L^U1?)lQL+?)e3`i9a$p z_eLZTF4ryaJtrh;AIByOdnxon6(|u%iHv8b<$@L{S|4*`5cM}mcXg|LR!#u=2)94x zd58&W0d%V70dY=b#1o>wYDf=12Y}HouMap?VCK@zR5WC1S){)DB*%dh!h)3id>YpB zkT^r2u2RYmC&40#x6mjeGk1l&pNQV_G2(EPrU!8O1Xf)n6{N<%Xu3TmBf)lJkSnwB@&fG5st5Tp@A1b>g9V;sW zJgj0Cdh@+OHPhzoptO1S@M1$N7hD)PyzJ@5S2`QyQ>4L_asr#_^UmPTqb9c#Ug&P+ z0h`&>7^6x8mXGPGxW)#_LHzds<=9AM%VR0mk49tJawY8mBD1l;jr}?X+H^Sou`td< zPK)4ceLNOVP@Wun8&GP3l=zH88PX~TRhBc0$vsV>A$OC1mscjC0sYPj zE-JgXHF;*4KH90-$e~snOZtsVJI}1$iq`aw_1&}LwdWOIek)8>b2rPCQUYB@w!1%J z6H3>1_sOHawgzFdn3{;VHUsrLVE^SH)hQB z{kpe!qyKwgl5@RTnrt!&rXq@Q@xTngh&9WGnj7aoNt z4Uc$WdSZCKZ%}xgM7(QCK|zJYHR{G{I??m%M zH2s#eu%Q^NxB6t?@P?<)4(*zg96(CDh0|OR?i5#J*W0t6-)pp0{xz-ZMCIoq%j=d@ zYEoP>1l1h;8Qp^SX1^5Pn>~lU8I>KhQ({WxCbmFT1n2?*a{Hi*%b|=N`Hz(I=lbo5lj_UKWOm{D*s5`YCX#P~AD66Z)Q=g!-1yG>0(@APPA zbs8a3u=cPA!&VNvPi%A6ol*z2TFn$R@Tg%xi9)poy5@ZwMFy|An5f*AaaCN};6}?T z3XxMfu$cdr#x(CKE=j~vNP1M;cvj>6p^!eTa^(vxXX5X9eRrWMxtULU; zBjIykLkbuCktU>AKx8zjg3rPhbf8H2-Upn#3Mg@FVbk|I#fFx8md$&xYvM*}1TkL% zfxjKWeKfp=3@9C)ww*qiE?E!#5jsfNM5`(|G#0DIqnlm{14J-_&SLOjRo=P2-?A$u zeQmE)3j-;m>o1bg#b$)Y)vOF3Nk>>_v|zx7F*pd*!rr`1v})eFt%qiCp6V6X3{Dxi z(t2~uU|eTybmv)e&XoT@rR#&>aiytI)xKegp6dflPiq|?YNfR7X88}`4@i4ul%N4 z<4xJ>sy{g;PhY97P!IAK*A`G4Phj1w09H z!V25pTqBEmCP;GOdC!OTjMRmwSR`SSIL$rI@>T*FYC>DogiaVD*;ew*Wdit?B>-*u zuzM$jSvl&dJ=)_p&{VP z(S4u;U|CZ`Flb9Crsz!5DW-pK(@<#leT~SUr0qA$tXZ7x;W9G1)bcu%F}@ykHOwng z(3)5J?7L|*Yk&)`qF_O97JIYSERyHDZVM3KlUoT@^^si9_A`KpjIhm|y&m6T51^n` z4T5n5Vzdh0M(GxE(OePilaZE7^7%rrM?Im!cpD4BPH00))$uoigT+Rn(=J#29CpMA za7Gw@F#dKIrL+8v4{yk1;v);eE_pK7XrcWsB+q(CC9u5W97BRB+g+r}>2v%WljMX;AnMeCi~(s@YG+6JEN!xEI6OSo!) zYS8=W^0nd#u4CXQOH`O+i4VE~GDegQP~6$)2YXsx6Q{hmClg1$8^^Z>*w0t>$Fbm` zcODlIVK(iKuU;Ap#){r@XBGYJTXS2K|AIG&T<~O98;7eNO={!CU>|3ZEuqjDoGbO! zjbK-&y)t{(Eb0nw1lNbZT*6+f(OMD*ye;6FKrOU4g8hw78^@|vFJs)xMsP#qn@AUx z?*$hJ`$WG6hOsA4ADg`kGtyzV7Knm@|#CuL%4LlMkI9r=kD<7;NB>a&rPo}l@!`b=gPQ6kV$m^QV|!Z;?Niq zkx=eX3{koz*n`RVU;(~j6&>uAuPv>VarV9eS+K9^HJ9Kxg&zk+-&?uC}`j7AUq$8^?DBH-MmOOPaSd_)9QrvAiD&u4ZV& zZH;haf#L{9MG*4tmb<1ug7FUc>qz}Nzoa+p8^Lyp6W9p$__z?Hz4Xow*w;tPEBUkd zxWuXS0)sc`Fa*I?STT}6OK5$z(*!X4AbZ;7Hqay3{X-xU)WAkz9mD^JNFue@qevIB$)Q2qbNYv>@T~>YyC6-)O@(Q1+ zNR}Ju*dIKha4feIjFXeT$UX0%ZpGi(N(CHVS*0J}fhgX}d+Ep1=(O^7`tcv>$5-jc zAJUKCrysSQ_)(!BGa3Atq95n?;zx&md=gdND<7vHuf?TPm22t8x1pGHBsNVkH4qrtpA^W{4M?XCjIzH?D8u=PCu3jv?cuL1iJ`szGZ~!hB4#|4D|v- zyui>dFr+UslnV^u0z5P*0>@>9Jh=+b^7-h+e! zht`%GRn6}F>Q#}4`t4nz9(SoxxzV9e|4@akHtF7pXkYT1Ex}Gmcm3Kv9Hl@gf-QV^ dRj~dcEyP2Qck9Q@gP9`f6)A$r-03Xm{tx3P`E&pP diff --git a/doc/_build/doctrees/docs/lexers.doctree b/doc/_build/doctrees/docs/lexers.doctree deleted file mode 100644 index 02a063f40682ec006a1d98e3589f48e089d216bf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1544109 zcmdpf37lO;l{X=KCxIwDmJr~vrnB_xgs^XtbN~bCfCdmZ(yzN;x?k~n;l0;cP!tsx z^r5JY4!Djh4DLGaGLDX;BciA{I=IXy>ZlCLIL;vM-#N9Ms(WwMt*V#Tw%_OPXPWzN z)v15|Pc8pbw{G1V=iN5%fH?=?|5^tPPYg{ptF=aHd}6rLm~Jh?AI2)<&FR-puYSSw z4b$DN#r5)@#>7;8s50F;08$K%R%^rc%J}rNr@KcFXe}I?7)xXro!@#w&zhd%7+5^J zSZ_3oa5X);@Y&OldfpY4y=dE(zqWU{vb%S% z+8mr3y0+5no!mEq1T=ca%GL2+AQ1oG=&e=uR_cus5HQ`ESF4U!rY}8kY7iM~REC<> ziE*MBYmEFLo%5U3X02k}YAxTiyIif62Wyq0Fe$iPZn>cu+yM3H#d?gMw0(5db|7)| zFko)<2=KxR`2R}y|1t3Y;SGD38?3lcOe{i?0~1 zHj0zw5x`SzG#kZotyU}(#=)s-tyvwHSc~QH;bL>NQk%Uer~oYRCZ`7;#RZz6>zu%OYbPmGV4oF9}dSW(xd(P;b7mI|X+`#gRX z77X=V-a6b>4UO2DB#pk3+sYKr*vWWn+)ZG?i#)wOJW6 zQnsEl0IXFf$7=&!JMcfiN#)_{_=sqLb?8BOF<#k&J+V>TRi7B+?Xo&PIn^w7gZc7Q zt$B8FVBm`SRApdbb(eG_j9JY}JyF(TDoZ%xfY!mh*Y1RIrUFd`h8Hz@Lf5{L4}Hs_ z+Y5jxPu=A6)C+;F=lK#nS2p3Lka#brwM#Q*qTvIL(Yi0{3|rLZ&)YA1nw!>s8Uh~P z$az>;gQik1*9;O`ielEtWKUScdjdevtZ~L4@X>%>;eKBt)fJXQ;;#S=e?#S`+x~XZRy)N7^?|+) z*wxguI${pUco@idmm;IJn7pVu!F=vjT`>2_uYGi5FF?!7TL-Q#>CF5}+{$mYYEn2D z693d!81@73X}(3(53m6=aBfZXg|`n>#v4;GN<&}h2OR>lU%diTL77kEMKK>##)lil zJ=NxDv8?6{+Z<6U7Eug!fgY+?uA8dXE5pTI6ZK+aVoZrOVR;Co56Eh19jBl@)kdY% z)m17LcY{iVxvxAtTp2$5?Ba&fMq8&M(5X(vjsYpJ`jtwg-GDx1MM&af7P=N&8IVr( zuEQYx5j=gmgLNCT%Z;!tqhwJ`XSpZd!Tcv zzJ#4f#ur-0yVHQ=u8#8vP0O~HJNa_^J(A13&s8k0>t;`fUt9BeSD&t=f-p7&H-Uhi zmYinN*3xFZJl?34n-~*L-;D9wd64g!n4L#_JeWB=ug8O?-8TtA@KST|fp}V8PPgU) zgBTfd#D-Pu_QFH?9)*Eg4iEY1)L0F6Eo1dl2cV9P>h+a@uC2R@Bh}rNajxe_>XkBx zIviWai|f}FD|;t-oC%4s6=1xX)%EM`dSx+{kseuJkA&i~1!#APL-!8u0iy$rw3MJT)ntMbkOj4!TuW5%(V937EthhL4h7H=MM~k2ZfJ7aj(|} za#wsjfMOgfrCqyPk$3Z(tQxG)QSS*T6}y6PXue(56~Jz`D_o8B1LZOVgWvdw?hfmU zV^grgHdujvQB=2!xRzDyZcGh>JT}@y(`D7P&^o}?kZNBMG!sX6HQqCfzCL*ud7V=MGjt6r6>byObs|j zrYemF-~i8z??u40a9muanb!-xrzOKCDqMkF0yBnqAwlXX)^=yFn zwkg)pFfZa?S0rHC)X-?T4k@9`bvQ0`4ags0?P{=IuJ0?JJKAhcHqP$tl{ZQwAp52U zOVx?q^9Ob++W@SKgXe<_5j}yGkb{j?&8;t;-es3_(v#^y=SSdYE$2j{R8#*+K%>J^ z=Z695)TnbZr2jropB{BgIrmvLO8mdt>}b4O7gH0>e=9B@kotQ;svgId7~N5gW(#Ep z)dle}ZQg-?-Hv0LEMs}=hFR_38vSeo(VQfCSHq9yUHqbvbKVb+<$aDB_`(I1pFiTO znPerTe_XT^Emyzs|K9*fz3)h8-LxlKIf;O;_1s6sdIt8ZK>wZ<=wDgJ^410WI}sVx z+J9TN+Ao9J>#0t)@5S_+2EbeRwpa!hh}lz{X{lc{ngifbp=ANQ)f+kID)a}ZrfOX{ zuS3~Wht@p)NUxPK(^>#9r|Q+|)(ZFB&x46Re9k$djSAQ1?%iZ_}g5lh%HV(iRjLE4+bYf_vNQk!3pR@6`bT}xDFB;Pn6*a zv=P3JTmho__#LD8>~uRmwm-fID>}7d`9PUV>S1`~it9Xed}a)bvqKQzs6d6U%yKmk zy>Tah9J_OH-%jlB-Kz(RyQaqZ19s7n@JZ}8EbyTl-)I#1(2Z|uvrwbUJh@X9LCNq1 zY7yd*{U|*-X%z-+6;%V}A(opOBp)ol>S?8Fr2;YV#r!UVMd~Ll^H&Sv{3fB~PPb|r zi8iqXsDy{;;@Nr;c7GJrP6Axok=}<`5ZVl`vg7mH0k!j@BytVtNAIV^TholS+Q|)c z{M7BhCuFcH=N+7?goeQ#_g{gI!?L;K=A5l9wBW*mE6h!6wVPNItg9g#VBw+|O=>5= zg6veS(vzx3sm%LeV+bC`H}ynEv)cS>12Rs(OigI2G__%cU5(@0{Ob5{Wv{W+n<`z+ zpJa9+3>)<--w4zVJ1Jo40@g@dC*^@Fc8eOUo^A!zWpu%Is1V{lbS~-xHO|RiyE;i! z_h?D|&?;`@dxH2gqD`Eh9h@G%sM&vY|2EYq{0XT~vNaq8>xMsha|rfu*yh7d!sK#O z5G%liLKnj69q+8rP2ygCeRAG--6CU;%$y!ge6@*){L{8Y70y)d$u+RmoPKhmBtp%c{%4O=3 z9K*ZsQ;_YTZCInnkDh>S>N3nxV3$%xu(RsYLsUhV3PkW!1ox{M&nu43<6BCq3zHrO*=9&XT3lW!`8|JE75DJn z+*%-piRsqLJgQ+QB5xSbUR#THP1S0=y1bI;-mL=7MN|!&dtnu}3FDaIUeP&mgJ2g| zO75Lf02h>0Ue*-?F=U>_=mN=TFb>L=5mWhbPso zCp`zDn_r7bwe?%^lJ7)d)9|0kA-9{|Zv56-d{MPlNjSs!h3W~w3+&5~FVGcVLy6Idbegdq!Pr{-V-%XdiCYb42N`fdHYAo? z4oz*8SYO((A+z4%PLy15ai@cj+kTU($h*}hi-!Z2T+Xv(3t4hGi|FLT{_?!W=w5P} zhn%I?Tu`IIely-od=2qt(W0^vz}*mA3x+4~?Skpnfs^}SpT@)>6B{_B)`0gmK&bMc z*cjBZwQzhwL{7Lpgl$ocSIB>86#FOq&oWzkr0y@}iKm3_=Z2lK|3au!dLF&R_^tJX z3`jn}b+gOOR7$qXnND3M+v$s`;e-ldsp4Ni8F`B<0`miA=^i;QL3vUovA&jy48?QcmOW~i< z1?*+`--z7M-p?a&cYvqb70$r0@2pS4{-Dj%Xri zT{jUuDBFTxDVaZloxo79x_`8e&PZmPVD_ZaY;6~8ZNooCfnjp_D5#l&nwHDir;}%8 z)Uq>8QY<@@fmXKOqw4WqG-&Z`fKEn47RU=7aKNU zLTX3-zKz;KsG^kW_sx`%H=@#CK>dDziVVdn)vpC>LjArK4T~AwpfuS>?};v4s@`nj zx`DIX!Kzlh;wnV-B4R`JzJb7zGiFQmK8VP|RPVPDwL^N%8-xCqR=N*k2C2OGeR2`w zw+ieLf9W)*o&W>Y&;04toQi0DW169E(fR|3xAW?D(Sl%#=R;B##S39&*v9e^m`AX@ zK&Bv`TRKyLt?=U@vQGp$4)dp1WFzhfve9}B*`}cNYTPpdV9Q|QR7mQgd%^aJ@k&;u z&cmOT&J-8KHoFw<71&lk0wNkW2VLk-uZTv(5k#YPO|%}!a+KoNWhYS2r46NcB`QUH zG+rDl*RP$LEOl+KG;jyVrX3scDf78vgTeW5ro;%m{L?6oR!2r_@PAA*2uDqf!mAOJ zll6(odKKOo68lT&ap(+L*WS5Sc&CbgqLnFZlwpb*pEBK0%C6(4WhJG_SEiKAqD=Wc zsvcLS&$qOs0A>0dOSTYYx`(Lb^Vr(Fi6lpvLPc!K6gItZ%6;u!D%0$w>Td73%JeR% zRh}}<+kkV7YXeSgqyq1_1ns?1n<@5hT{yevLqITg*!aLYc6n%8TXKt754>odc!Jgg z`^0+qWJPL8rR5uL%#{GX;YOyFS_-7nDD6z6fhcD)&k0=W!%-qIK*1SEaEu7Nkyw@$ zZtR0WYK)#N2BoEL#qP&8USMDKbP6qmRSDn@T>`p-b<-_Kmsi4S6 z#ey&qsXU5?g*GD^lSMCE7)y?fQ?fBN#fR>BBg9wxHL zE3*~6tO4@!<{U&VrQRy!*%7=PI5?CJUCzej!f|+)e8%{V0z21VGD*DCVOTiRpI%8k zMCzOJjKBr0pNMEXAGll{09w*}B_eRpi_7v^hDR}nU>LTvm>lyhEtsLem>&Vrd`qxBe?qe7OK2Edj?-vmirM7I;NyaDYM*zJA2Z#9U#Wmaz zEW#0dPvO8#McwcD6(IXWswg&K?8a_&hnA%#r~^0m=`#3K^f?c)>P;%l$*zOKTSfKa zl&fxBTg%s{5I;<#ri5*s5I~OrO#94nOr-gxC9dTBqF<5v29^aQFuo$iUI_p2SEB?1 z;CG>l|7dX4KUtX0Z3)yi&w-q(Irze~Qv$*?N|PU^Q8G)I#_v%bxs!iwadJSI_NXOW zNSOAYkWcgvK4YJkH)ZC8X;2Ycn1<(_AmzS3F2l5}d~kaG51Xi< zNd0X=n9$!F(6A60n#W|>mqnK?1$ee>-H_QDuu21@yvho2R)l_&(Ao&t(Aw(=9C={2 zwDz@#EbKwSD57?V!MP*l$+Xyh4yG4g;p5iUaOF)oTy>R$?gEuHiQNa}J@J4*d~Xs^H?^dlgm zFNE~}>QAqTM#K?BqjgQR9{h5Y`XJW9uGBZ;!-WBu?1UPHLx8xREl>7{^*fa8cnDBt za|&wpd6?N~tl}E*{Vr8tQm-HHFHb4;xqwU;z*XGb#rY$mlIlFG(CSB`R<~Bo6zK>$ zEz(!!(UMZX%5$eZ5?2 z^{o7Gdp1|AUjwzWK2qt3R^QlPhDVEI@G8$xIb5@MI~G4nX>09k_vKkrZEE-?HH%P% zEZ5K{DI-^q&zLa{|3WG#6rtShV?mhE@O3mSR7#f5WM92C8iUmGSq!?NvunXBji&M{ zM9U*^L(7j5IP%17Y5DgcvM?=w7ov7Z%|oHfseT8i(2bSX6~_nD;{MZUK)BDIo?OUy z(gM53kB;V$%V9wKq(8kbToRkz>e~_18VM1h4hE})2rr= z?IxnRqjlZf^;DG;dA%L`zCH4~RA?sLJ2B9&n_ME`P)!mxSM(Z=<6j7_p)v z^u%R}!MgG2CG~SA#=8OmWMVu4D=x-M`zp89Q9rm6ysVnuUvG>zWoZ!sG2V5SY#}k; z800fzyr<3N9D-!n2?h6wW4;p#GZa{l9|6&P4WwV~Pp@c3#1S;3^%$C?Vy#O8 zV9T)hVo2&Dx}E1M*Py)udzK#o5xo=AU+qt?h(^Q_M5A?0v>wEARQ``;^H)2Njh#>< zuoH?;TM7BedwmI1Jw95g@eNR2eQ@HL_`)lHYol+p4qt+tm>h*I)qVBKRP*}kNb%eu z{p$ro^4HRE<$TZnsplfePQ`z$2chUW%Ukn#MRY&m6UK`@j!?2*W)_0}P(#oY7p8(o zz-a}4xPw9gk0iSsF=@JK(GMA!ejApOk?Eprkm)^9uF<^2W`qWp@1VmSZdZAcrMXF&M!MN77j@a6N6&j?>CdFmr4e1VGC9#K^!<-UPZ zhA&wq=JsrE`0~F{tGw{V+%gHQ<9tm$-T5NT*kBdUj-(-gj>gTNxXa%hfi|{(+t#uh z(FBB$w|*qp%v(R=8J(uJbpoF#8vLyv^|noqeA`Aot#9Z$tK|7F=g<-R(4*tt{W+Eg zh2q_xO`;B0lxx=(Yvu8gDOe3vW0N->bt<-UDVG8(;7?xTMyUAC&*7N7Gw=LtG-}`t zc1303<)7@MOmVA}ww4Wf5j(Ux?^{62v!OTm2iS=N zyt2CuB@3zrxD$};`FMIM6%-lxS`a1z-*eEgQ2JRulNg>JjX{RLSq!@8vQxn-4My@R z8~$3}3ldBw-wVRp;QYH4kN1KPUlL++&uqoSyAfGfOx!@!j+pqEauXhJh^jYXNJ(7u zo+6r+4whenri6EWImy?IXDYCl`4N*SnFO+L@~2l(2iDLxnHo_vTA#$4bUuoHM*wIU z-2E*gaJYpZ^VLkV{}Id~*vBJsn}!5b84B#9egs4_d^Ll8$e&)(jEEy>M(Z&&M@7-! z41g_(z8{jhh(1)Ef~&hg_UYjhvx(GrYrCae{!g*w0{e*{E4ic&>3`@?uUvw4i*N~A z*DlecW=_04hIKIJG9%vZ7t=R?s%FfcB6Q^6IK=a4Mjw3v}O zRuUwDsmoj4q4bK%kbqxeP;V&L)X$l){TPIh3EKs*xTkArkL9*L>JL-kYt;mSip1%{ z>9~=0M;dL^nI=Cx)uyuHsbvS{lPs+wAUr+Ck}V`WJp=L?;pv{d2ALC{LPc!h=^jbB zZ(Nb#X;u`uJ)0YzR-snb@Kg$Tvl~EP#EFnv_ff7xDd20ay0z!Urm~Rzi%qjf=R)~L zV44dv6O{@`n$6a+MpSBa=N^g!N%*Ry;wTC|x#^Ix{b+Qh5sl(`H=Ft|-=^(Kfs&ty zhIMu;Hsu0)rKcyTIP?{myfbm=>P_Gqe*ijJgXr^^%%fJw3!$epkc~MJXGqMs*suW& zx}9M2gGh-nK?et$@1=}9nrWqj&4RVkYL0Z=CC~EN@*k*($S~7_EfHqE9}NpBY*3gi z{J!YIWqg?}T=zkCCs?I!Ca)~<<)Jq6lEEd`!3Zw@j^L6zW-GXS7?Fhqmp?_+jwohc z89In)FFJ($9cGY048KoKWBgWu{lQ;4$pifG^yB{YN-iT>-?U}~ifH}Eh_~~B;t>Z0 zQ(S8M!o z;sDq(R@?$fom{tZT;4O$UV%N`kAR523DRHbPp^nZ#1TZJbxpJ$*K#7jAIct}=3PTw zujOyFaRI0krMj3w8KO)FWrxt?Qp$3^07VK_42CpwwA6Jb;(86*&qQ3J)VPQ%&1bH+sr|ha zT8MJFKjJ#Y`#|uNl1$@^xRfbd#0B?g1mnu$!xpy&L|pH;WDAM7?uL9u#C3Dt?3f#I zonni)ZkCk$`mv0-vP!}2+1!Zh`%o)u#3kixUc|M+6>)_IT(XS)3%Ii1#!JLoc_1_4 zmH?!wY^^lHEeLdauy5O9Et!tTS{M*vIvo(TA7~w91X^%ZhZ^P5KU2qG^A6^-&4KVI zuYsyy>d)AyI}=Q;0({;`cwdH$@Rw}sgvtABB-ySy#l+>kAR4N z9i;yke|kkUB90&$t!tw7pq3LsU5RxtrWPZDI%HF^0iT(#!7?hYqU!Vca9^D59yLSu zC|WJBU!;t?=PjJ~)mIyDhnxzQU*)UgBxo5YvuBSUnD|t_GG$ZwaF43TXTG`1vx8`W z%KtO>sGEq^(q_Fp-l&zE_Lsg_=42EkYv-1K)DChtsq(6edS|Exvv01sT;zAhpfy4N z(K0Xp16lcB$jSfi)sAU}lu}^7^jC@e_HJPIVSjq%H>4oKZ)iQnZ&9(xk|zXrjYW{u z<+*m=pF0-q71+^!1Vr?mkp4)2dPOuMjvyMXYohf)nG=h=6WgCLbs4cp!O$dIwn-I2 z^N!=L9zLEV*f|gX7zMcJMj^XT;)CtoP!k2sACLGyK19b=`{EI0$`+5nJ*pm`QFmB8 z84!E>}EqDN)HedT-v0kQ4KkDc^8L|;OSPFTTsLa=aOh}e*oEdobwm@Qd(FCq(*m0v>C4%IVn2>MuB*nAf=2tSGY6JpGYjjr!aX6;+cO6 zQ)DWzC6LhLY9jk1K*vIVdPO$kjvyPY$B-SR2D<}bOS(@&>Kt^pqXy4Kdj)p39{~~l z_mKW{e|kkUB90&$t!tw7K$fEhKPNkZTIMm-;9*(~4#BZRX*uY+O|6kVOYrjy{1dGQ zKMr+K;Qo5`;H1Tu0eY}z$rhpqM~O;4lYBC-f5+>=PfE&tEl%pe?6l@?ak+Z%4ye@( z>cPuk`LsiNkWB4|9yDygWZBN*;|Hh}geonm2j4>(dGq=5jOxLAsIX9!QV&{CCiLL@ z(6H2lnoJsYplSv7mFU8y9?TZ58#Q}3Sk-z^T!rXCL~Q86y9gY)VYc+(&k$Ld9{dTS zcFdmhCZLa{_2A=}LFz$%pIpTFE%1 zJ0zImLm;V(V$_2+mQTh!f@RQyNuIeL6qyRF+mD0D{zstWM1OikHsX#T8?DEX9i;~^ z41g{5;ATkbqI(H{67h7MG99EG{?3N(mF$Py*4ynC3mNXj#fmo8iQtk({6bIJKAI_mq(j zHxH)6iR{*9sJogn?KjE8T*WUtG!~f*AuPxeA;cdMxe!e$5|aRqiUuIVh%5lzW7%U+ zx(*}6RW^)hWj8;=pp{r>#%dUG#p79j%vM}+CJ>i5`ygtm!cIeu9dX6tp`HoR+tR_s zlQEa@ppl5Y$N2pMyTp%!#Md`qpt#7NUWqTn?VAdX2m`I3f}lGeVeAS3Ey*521P-#7 z;)=72@7Jj3OH^HfGyd74J383 zuMQF-4`kzx*NZ&CVDk~1EF^l$g4SI)p%MK}YkYiH*t4TvoER_S`Hj^YY^&@m?t;IK zRf@MW@a@%P-iL1d8&B?sZoFM@3Sj?&&yStr**2jarno>spLm9a; z`f10N(Sm~N5x(}OkV$1^L6%TPk0Ek7uWABmIN`GF(CEUYD#{kF8!vklO4h1KTxC@e zD?ze^2tve$2>KOPfX0eTk^0#ZLF<91KoQh~lse{Rc$j|rX=(j)0cH?>68FhPjNdA- z&HmD9Ao@NGMCbd{s}Ud3`o=3m`k?hSh`007=b8Y}a`Js9B5+X*(nkiNdZXqzW)Kw5 z#oNSiYo16B@7ei_CysvrEY$qz6~_oSf@8EE!*P_vxg`L$WclTg)Wvc;&)EMK?G@Nt z{0NBXe~0vM@TXTqBjO06(YhvDk6$_RWfj(e4@qLMHq^^es6eoP7v-V|e1JfFYpg+$ za&8)Gl&xv%X>;g_A)+-)yc`287b zHFLtR)HgX9wLq5LeTnifX{3D-cyPuN4Cw7h!NOt5KAdcXW@}P{OFnad0%hcl=)XHI z1y7&?BBh`OSwadPf`-NXm!UD)FE>O(kb*D^K{sl)6s&4ND6T>TA>uX!;XwqB957pg zupg0yJ#D`PQ9IPiNkfy9YMo|XacJ#Yc>&+Z9ooBB-AOCPXQM^o&Oig?WXAIr*iJuw znuQ;R;q96J^lBEy`uavfLsFvki?KqTmz2*504>GNUPRz4WPho@mHPv`=8)}oBipPf03#P|DyF6|3=B#zYBmZJ^XG+ z>hiEUI^6JbcFm5K^>kBEt53FDvGn_U2x za76!XNw*6TS(tR&jHn%|VDYd^o?VH#gu{$Pf*MQzs+F`2$V;JJj~Yeptl)W03v@ z{`87_tVRU)Xg!AeD0%d@0N9fKw?I-C`%2yrg;{Z=o?B89C5^H-$Sv%nSZ0BJ#E+7k z@f%400e^bs46IdzGtjzrh8_iTgweTJ2Zu0DpvQ|lpd6{3d_w4sOoM4eLeKU;$6JcJe|h|We*9dq=8VcxIOi&g!YMR-f4AV)EN z6Hce{BTzFy{{eGgWV+O!K}`V&**Ab`EhNmJftWilhOP}jEvMsAMBpI$i719R*ZhbY z{LeJ$&%x}1^yNCS_%Gw>r`1w{-QdSb?wEt_*yqon+<_H~a0fRZ5$h$ zQkO#xmbnD@MyX$Qr+6P0RbcP&gCj2-h+eqUpFw#6YZKuGZeDvq4~IFz z=v1r)7e-?IHy)K9w?iD0S;Ot_eAD`tzRR!d*>HMsliW|PI0{IqdHX7s2rmq#3U)u= zG4xLVd}L5zPHam%xo@;GbZt?7M_~Ql4eN*aM-hf6hI)sGCZ_;*skv8t;jtT@el8H{ zjc%rXff61}flGcDA*>K6!=I37;gjKypxC@2zNJ24&VGU^VN0+4OgZB9G!$xt3zHP) zKYBi;bgK8!Go{IY^i0VtkDmFxBo_x9ndKtj(eodC6gmzfeH`-X0HJ>|C@pu3%z5?< zHL*N<279vIdc4G>NVf1%+;nN#VjE-p91B)aXDoRZ4jzCK^1O|&V_F93qf z5zy`SlsG?^GIDWvc<06Wb}AuKoZFx!#QFJXStt+9V6p=~E4py0%q@lMw#&`{vs#&p zs}N<5hz(_a7NOJR&z3UZi_mh03xqB8$rQqN2*yPtWjK=1A`@SRnWVEQ4LOVP+XeP= zKMI-y4#wf=CH@Rbh#+j=$YrQ=ZoZDFJFm{)6@Xfj{dPp)AiE3Hxi~v=M_QGC6thXX zd7?F?9fnLuycemq3`a-{tu{tSwCtUv_q+k%(Ud{1C49IKK?;{#Wi z+=|_st~mLM)oQ!qK6tqTKJl#B@uWh+ZaeURIS1hXZkX;KozE7p;LI(=KZfpi&w8f( zJ`_1rsD0!}DPtL_-Q?s-nd1TT%t@KmPi~Z}{&NiZOQzYclfoW4oxgoK(`6cIQ_!3l z?Anx|Ih8W%xXy^ zu0kXYVm2hr212LlpDjrj9jo1UGQCh2IT^*N`wozdF=u{?B(d15v+wFE{sLR zBT&{ndB>)$+Z>9IHpD)2PBB+betUh~wRrdZP+& zyHXn8`d8HFHVaV4^avcV{`CY)HgmJQ>)`8UNGVCt{^QzT|FV5=6YNRSeJxLlM$262 zZh5()@kvmznG=oO+iJVV!WO`+uiaAi_9f$D!$zBm@k(-js4TlooOk^c%E%>4Z|4=` z5S0)LO+KHtK}#sc9cWq1hz5l;$Z)}UU3BSEFj`92?U!u>vsy5Us}RA6kPX4OozQ9a zXG<{Ng3!VQa433%KZBYt5w364 zGDIRb|58NUd6D?30Mt^jd>j!tNS+TOv6eYa{9eou`x6#YV1Mw# zBK}t+|Bw4KDE_e?5&U!WntwgK>S`wyXLb!q9nNlXT2VPSQ&J zW5~}iUAPYEQUHBCNSR_8f!#dh%9Jwz^9;(A?eJJ(KV%AJ-w&B$*l1Ix^auMjwXn67 zGV+P??9R)SXHp4~GQ|chAyY0z%TlIj3aQO=nNp1|UCIAL;0Enrs56mbg{;?hr{B!e~e?9Q!2$<($EgS;oSQIeZfu~~k*70Vg z=6Yu5O-Buw9dX^bZ+uvhuf(8zxt4qdPCXHX+Q!uO4EhWO#;_aO4li=Vp#P|JDuPDJ1!eL099 z#b*^~lQi+4!pwsBgVRZg`6Ki3p6yuRuH%g=Axv%={iQ!^TKRi!^Hol7XHLc5LFprziS>RntDi3|6rrSJ*xc&J=@SPytIc#I`m z$dkbp#3i56wzU6baJ_A3{1!#JuXRd=YMEf&tut4lZi9-=oI+h2rB1Wo%Y_YPriJ#E z>C&W`HdW~$wSrLTCm#`BLm7GVd1~iX=_Hj93QayDv_VU#(gCzA#Z7|6WQTlSGythc zEdX>|W={vRT9JyY5Jifp4MqA)LZ=-tTZ;4!gchbqUyraI;%MQ7{Tl^;hk1m*C<(cW z@w>2F$&Z0%*-LP6y3?OQ&9VsEH;x%Pl$)PM(4E(zUkX4iwa*t2frDz??e1XvJD5$d zy;vtAzFUc`@J^i{5b=H~^8T;>42pNGK?LvId<^eVs`EDixFz%d14&)Xt0Ori`&q%M z_MIi%v%Ho5nH<8F91Eot*kbtO>4fBnZRm*k{tU_ySfL05YJGO+waqA%5UFi!&=T6_YP2jzZ%rW$ z7EqxA+Z|oH6gHO9b^B#c0kc}zh^r7`gOCkjvxCrS_Ge4jyau6#37c0TY{zUnuW4Cg zdmCmDo)Y)TNsQkruy^=NrxE>19FE@V&!9$hgzFo%3`xVyw-9mXCCz68P|Jz+Gl;-J z^5Mzniv0PajraS}hT#2B^8w?!;+hgqPkv~*O%~WU{HVz}SD|yh>d&B@gVl_14mTg; zoG9J%XaH{Mo<|_5%RTCeBT}3dJ!*TDc9?$Rm~;x8TZBRiY!3YKbWP&_X~_Q{1L|?7 z*#C<4h~S@_*Zk`tF-Pv)j8r+~&T5n~R{&4N?klG1gA?jtj!ND2>@gw-wQhETAo4i; z6D@z9ft0FB__&ht$1<|JxyqG4=K|(D`IGl4)8ob_hNo(kp3H;8spiC3xd~hU^`|}a zzG&K!?>l8r?I#q*hfM<@XTEPL;AwtaN7wMcmxlPjsXXbWr&b;xnJSM|8oJIZq4=I@ z_7kJ+f4g)wmz46`rMjMtp?Y<)SsfqAe#bN-hi=zHqFtBak8gm$Z<=0%$vg8+(+!)T zR$H51r@*^WdoYin_p)z+;Q zZZeZ!JjKcwn)?Pqt-Ul`n)@>dElhKN5@9>!;DR#rvZ^>3Nn=TFA&CP!dF?U{f|7QSdDeQiR2wX&??w;D1evtip%p!=M zFA@;XtpzFzs4_nY;(8Zy{ab$q#WkXi;F_C{;W|o2uQ)EaSsxBbU2Ln;l2gin8zy9EtQ*Hci;gBw7Ns<&Y$MW1L&}BpfOB2 z-C7$#qo~MXctpt&&jv(-UPEi@U*v42&%&!<*>J>C1JJVu*IA#C7gM1oxztM^2wUvEQ(bXEaAm-7PVY2-Q>);eP z-gVpgINk%)I6{LLxf|nt%E(*Q^JhBBdWgyk1uFMu*nlRYtZ$%YAsaKa(!j*0!T*ZJ zAR{dc2Hob_yHTH-1jX|WH37cIv4{cWMBNv>>9oV^9t&h$&~z0O-sjC)&^)-KRoinZuG*F z{TY-Ous#u9;O1kz5EXq@18_?}?1H2&Kd24Ax)Wq0uqVh(!8(nSa+W)~U)+Gj7T9zA zK*=L}&?9^N8I(t`W)U9Y=Cw!k7?~4^&Ba<6QqhRS&f$@mW+f9wh`E@@Vi+A zBIZV6Z%7rKjKbCo1edFv)unUjPQ)jkcX$|A@t0{q#9%8@F_=+fGwQ;+q@%8*bLkSG zDb6xWrn#(@{52DAeFRI*#9IOj#9Paf4xxsdz5z`#?Tg%&L#_FGY|X0u__k?p2spr; z(&TT`reu}_%=ta4D);`kEZz@@7rt)EX3oK`1Dx)Ie4;~f9r4`u;|1#h=Fe56`^E(s zFIZ%a+q=2(!k?jHdGUg|<^Wa4$&K3gO2;F-!E&QIq*oX6p5ApND#@G|$^jS;?(%_V zp4kadn$Xs2^O>DoK$sZsp|u9<^E;U-vFZTlnNcR=Fwo(O+Ds&*8g?;VH9JM(<6w0U#iW^7}= z7?igIc(|8&yvuJS&1B%&DZ&?BkXSbcG^I{~(n6ur1Lh9LGA7$iY(`zzO=V9sS zTU1}Q1J`YGH6L)Vq*5YdO&h#Kta%|?mZ~{PWfH+qGy)lES`g^Y&CUn2G&{?yY^2#L zSV>Gi&cm7*!RG}8SUYC6g3lWfT3GOT1HyJhK#K+khj{A|jYmhFw_+yYM4g8G$N236 zdyO9j36YoLocKzA1|_Qzwr~10!cA`edPLp%aPxfusAZUQHzIJ5jnC(R?=;o-U=~3& zJkrAi#4~@S$FmgJXZ;|E>z5(dpY~@^TqEiTuDSUbuA_p=?+4(PY(EG|oosKP7_VgG z$a(m)8Y;yF(anB^_6qD#KLVoqW~BN*{TUS12swgkZeCNZ$GMznaSztQn0bt7@md&= zi<@z-E$)HS@*7i=leH>5N&z8*k5BM!e|4xn0Si*_^rTj9g4WnHG*KTfK6UGkzT#y& zN?lu<{Ao?CF;SdqK-R&1Jex3z!Ki!&LvN@4Ma$H_e8P#`mj~k?gADgH3?n^xwS`_) zJo=(0{jDY%KU>*jZ{??<@}nkg%JyfxX|4kmiuva5%f$pFt@Dtdei!GXyU; z|9Y%L=LPS*0jQ+}`w}8>I0B!I3=WD9x>km284r9H^9m2Z^A$`9UvuRNiFp2eC26C; zzVC-eUU(gP;otljlozl*5nkZtW4sV0g?|@-Tl(QQkksV|^%}_FU{((=md{lN2bCx` zejzuogH8hT1-2CaczP%?|9WJ8kw1fC9%~T6JU6eI*CS(&GM+|~3^8aZC%Z)O7Juf&-C=fuppkE6y(#BWIfFXCs>2@ zgOej%{0OMDrrf2?>J{Wx^4H8WlMAuH%rg@KBkq|=n*7{WLM`_WY`Lnf_|?BUk0ww3 z&&1I-yDUBrQ2&FLY$59Z8ptOaGFJ+3Y+wCbkG6TEBHcFzNcC?KE^hDUs{dP|Vl$`y zg=p_D?+w-duGMW6HOfr;%6}Oj0JNPpb^p7lF@y?hsr%nX8F?#u&&=rlk5DP0@TBgy z!At1=cc5jdS&~%JWC;~3u+K#!kgDH;K(}l5CNQg2zqkrf{RrDo{cj<3+6%L#`hS4X z!c_lv5w=5Y9>iywvGQJ!`|xjC?f(+93csaOlH(Xp1gGfw5z$=nHXODd@n=vf2dm&4 z;S80}&3^~6cV6W$Jvo^8#gNoRJYUux%MiX2vk1a*SsM}%&th2{v%rouKM3Ob?a1{C ze+I=hqK@F2n~&i-O3R-UfLm($Ga;#qZS^fQAT%rH)#qQ4ZOOg)6FGogh9wkOzaJBk z{|+R7t3QJxA1e_-J~yw)*F#>8od1^W7wS2*A?HukavtV7mspM74>g9oImP@5Ld@qD zsQj`>O&+>i@OfjmhhZh;b^cHpkJ#Il@r%^pYKVCAw~T~;2^N--@B%`dgilkR+cK!N zz8hPsY9BrculFjsB|N3cU%;niVcVYGZSi)1gnzpwTZn{z3*<8-{9Wxzc&MfAbjrIF z>Arq1CA>w2xV@Vz;lBh);zHe}hFW+BvN~nl;Ea0aMwJ+lj&RA+w$p4g@M5t1h zLjFgTkxR%=%#4u#Un(UOo)q#ncnKl@6SOS!Nrp_CDEW{)ca`cjp%|o;w_woioBaR) zXelqQLZmzbH>CXkA%?UcW=qN+3rqz{`IShjL&`508XSY=`?Q4b#Vo>ODg`-;@tXy< z!H`1v4?ZLh&KLVoq zgGlwu{TUS12swgkZeCNZ2ecfaei+umkj;irKN5v{-{yYt(r@vqQ{;yD2JIioNkPq$ zeE>@+u=k}33ft}R5oAnZ^ozyQy+@hTd$&HZs7z&ddvFs}KRyrr6L(ayN&#Z=vzBZj zV)4_&C7)P6+P+vk-6j@4sz~>>Jt-C~^PIcw<%-2$Ld9lIEUxRH7!P=#mOq|`z0Aki zcX}8`+82pS5?0z2i1WIWeK*l@Dc*?K(s7o z!32@XPFajDUy4IZ`MN!`-$NVK;!s?Lh(iQzh{Hc7`!M&xY>C5j5n9+f?^#HyOS6pe z{*@Mo+c1k1hcX2@it(ETw%w0_X3 zKyJiZ*ahU(ARxO2`uU(B)_EIe_x4uCOM9xoB&=qG#AYmBUk3b?}rOiO%LSV}r!;|gLY)Gj%%8{+?3Y*q?0)iNBJ%G;@_**fpvcEcM3B$TYx4Ct zm=jwxu@?5&;sPF9h%uY?kk~^DktjZfSh^-zdNPLS9f&KOVg->c6)O~=th|AfVg;F7 z#0n?K4dQwAHe&*;Q933#IgGuu_7@|xvFBs~{gToCCtB_1f5)crgqimB|FV>wHY0$SP-6&{*mAGQ3n?RSML(XI z2w<8@356#gCD`C4B7mFFvJ{g^Drqw1F~HlR5y%+8f?!4lEBmlJ(n?FYc4w6yv?;dP$#Sg7Nt@!_dSxNEpgllm8)8d6I{)B}B z`;#9Vx!}9#g5UWwC>LO5B3!`D$G9L$@gKD|xTPNfNnK7@(8lJIUbF{Wr2Gho>hB@d ztNj@i)d)F)YHnUrt;e_=egF5^zU=z`Nop|0BTcd=x#2Nu{InA|7_U+j$D~|UZA`&| z@|$tZfVQaYw;h@W*kywAe*9yAb}yE}08Q-wJF>6p>(`+2ND^Z=&R^!(k|9g`2+*KA zE!jde=rf5+K5zZ7eGO{e_54Fcy00Be4QiQ2-R(G6gWdua%hRBFhdmzcI_$AJ2ycYz zkSp)B$Bvwia%^S;3`bxb_vnF|Iqy-x(ww$7)buyn__7B7wuCXA3U6QF1Ix+LeZ}E& zv&;`?YBcxN-~)4V=8Vmz2b_;!?DfY3poiItW!@mzD^nW$n;nyt~uP^IcVZ>-Y5U^xZ+1t zPGn?b1DJ?xzKNEFc+)&43;$Jg;WDVP6s|ip`wE!VL5;Y|1~skhX_#VwOPq;=wJ-vm zuM%SIme~q)4q6v1|CT^fm;5_;u+fAk9gQY@ppy^q+-W-IIR^6zKW37WI~h+^U`0PB zk|dAd?6}gOK?y>vgl{4>!XIva5rXf0_;YRmY8n2Vg$Nws4}U+lk@;laHq0Uz$M<6) z0r4z0*<%*iv*rguT>k>OzQmtFagC@WxaQ_#xQ>c*t_{E~*&cljn*1Ksk58i?=1xpjDIjinza?8p z+;TT@$)}-Tw;#8hVp}`^wIbcuE@j+enHAmbGB&)|CL3E9W+V34Qr1tbv#%6I3S4KRCL4DKRbO>o(0EhQhVP6jxb^$>u?_ zgq%dshMar^>%a$Q?Sx!saSO;Gmq#$f`W0PqSUc zELuiF0^(W7NX$}T*ZM&a*S|xqNBkKS*N8fTYi>S<>nIudvH;wY?H5B*7u#wVzG&jv zJu+$+zS#xzE`0ebd4jzWiz=`?{NTt7zeg{;&YwYf0c#WC1#Vt@L63Sl3iEiZg4l0(XHS^ZUSGd;5C(3$(IB$WxBYJjaMxRcUjn(lykeQ`%0Z3EX zD)G3m(E~lRM~qtdhnAaru-o>)w*Y$Nax=*xl~b&XizRzAXJ(<93Hy^`wRQU0o0Nj%8Z$VNg+ik33KZ5oO>|s9wqIwxp{U81eifV)$K{Yq8 zsn%m#PK;533;QS+LzC>icR^) zs#Dt?^)@%;V+BAVm`b;Gyq`G%m|n!?gDA~MEqN&?q}GCZW!F@_I?YbN!ZO?N1q5Ke z%kYLCDa>CjjOR8SYRt>AF{|d|5A0@Z^V1fKvjYOV3oO~pn9LQ}oeTLyf8w+K6Wb5$ ztec;ns7Ut>3o@{?h!3}Sa|63^sF*ddlY-c6?of4{qo^$(>k90q8rAViqY)O}$%6Lp zX+`$6U5UX6@w{*|ah`ytnQa|a(_5e*hU$UwlJ-C8+NxwcsKZbTl2M0+WU{woc+@>w z7+sSXs8_3}3WDwK(USV1)y>CS5y2flC@a`AQLk3Uo0Z|?sR-K6w9d%z(2Z}*WO?Yu zHyN2|AYr#*YcH_ZdO8bM05>z;ipe_@-}P+Tyy`I$q33b6ItW^0H5z zFZ%Eo$#Hzd|uY}kN?-%haiQKZJ0hl7K?4^c)w;H=67dq=uhp@=Uh1-TlG7uY{h zS&?C%4P+wh`v_VVy4D~wS^77kOP6twy)#?E-=hdEEckl_VLKv|dF8?KWIFo$GiDI}6Zgq=jNdA-IqSWple9P*XSqKH zz`awjjBtGuq7nXa^FK$#oe%$x_E%Fy*ef8Zi{$0-4hQngU*f>gB~5q_W)_4Wq>`@T zW0@AaEsK=`>-8ffM;wEWSnJQA0v4=Ngd@257)M0KhT8&g%h+%$Bz3t$J$gcSWFJha zZ++=bvAmV|O?QL=ETq7$@xvnik465U;m@G>$9hEY&&_N8^`Mv&F#d<^H)(9B zKE{9%o9w#cj!l=Z!il+Af!)-gJV-;()FXrk*FTiyp&M^^WYS#TD7btc{t22jQG%QY zE?zLYs{eRoRn@~6xojwHkZ9>4aOP{XlqP@s6D6~3f8zJ3etfo_w)j0Da=F!#EhKVj z5tp2#6WfhkpeD9QQYR|XeeF|5E|!_o-9B?8mwTXM*2qQ5yZs9&&g`2QoTyz?uT;d- z)(A}sdxJ;DK$17)Q=yX5-6fmN_T}lJc5`hi)d#4Zgo;43xJw zyJWWH>Cz22ce&#pge|A*#gNoBT`wNO{4-)qShqCoE=F8OSN3d8uL-K zEM`rUO&WZlZUwgNl;qHt?51XaQ`>{2va}Sh+couF;VYSd+{F030z1cFKFthg;2?E|KZBZM5wLGOGZZB^ ze*!}8yrO(s0BR{_u0RA1nvX$6IRURbz+afC`QM?*ed7A^r>FTJK~sYN6*8mD2UnO4 zt{@jVrRB9>U{!xj$YE!q!z%s^%3)ab2#0a=F%FB;pDzi(EnW5^Na}LgLGW5ivv+R~ z?;zPVQuPg0qyH?2=jTQq?H+aqmQ!GV<3~m=I161c?a!cGfK`cb0XMH*pvTG_U0TFi z*mdcVT9-PsXif@h#_W?=LV+qVmo3@M`Ovj@ zar2e!_q3*Vqt3Mxyip`w<+<5WC#PAl_xZbxdLWFiZ7e7?M8>#Px;9^$YzO6xWD4f@^L*hU+M4 z`St+ZlI^!bQWx8YN||W1^Q^#pVm6U*&+?Y-7CDA}3`>S1{QOwSDHowrKIqS&oPw2$ za0)lCouUW99I<&G*1|3}Z_{FPK+T3decQUumHU}Ts?E`c zZ1S$udxvV(-bQ^0F013c^@{j(Q=>PL6+ZMd1p7HB>b-rJ_4jYuzGX+LxwpwrY3Ii) z7k5?R5H+#riW|ebG(4lbn7;zq-%3?4xgq?9S*@39W=jmxbWQl2_ybQ{QM=6(Cd97u z+F#O3<`#CO9Kr%~Pj74DFWhJZwX}ygF@=#BXr+FZszC3L|0UKWvp-(c58q7a6339L zhDk$1=8mNy=5|gRFt*}=p}MF)*7EO@-%yfid~5y6lx?ja?onNNGd=iJba_(Q2E$={rGCJVWZ8+>N08up+Sp`tS+UDy#4H)$;j&2R8}ZRxzKL|nTV|V(XtSY2ARo@ zd2Mv*GN!VWuG=)b2+YzjDz8FfDuisrR8J;!+7Gi8Q@s?SrG_wbEJD~)a^8fn9Rh#e z81%8Uynj7r5S|kE$w`dgDzMxArPG|S1Ba*E{27#pM7X|@%!s16`4=GK&PP#yAAnkt z{2@f(AbBA~QAwU}JKz8~(gOa+kIG_Sz|4a11u`j7-qN`X?2CRt#QPP<`{(@`6z^Dr z2;RB*7~Z2Isvig7mdyVUlDe4Rm=2cE4eN^K$;n!EsN94TMqnjh1p_^JGaGPih_<1G zavM3x?d)-^R)Ia{CxSeFC3^h7{TY1hQ|#aL z6dADL7i1fip{aVMq#VRUH~#AFXmhOATOQtB9v`Xd1LHQp$s8$~|4(mRAYBI-qunTSY0hlpsgjEJC#P{%WWgzl7X4Y{qJ zdg9gC6II*)>y3jhw=}4LIB1(Co2flqanO??pAiQ=tHW{7vlQvRF-^un7CGnkZf+cO zJydMw;-C%q==8$jkxE1?bh7h_Q^HvL@zAoAoi<~lTd7Heh8r>_YEee6#m8ncCVDfK z6$(?YID09W)p3!y3W_l!Z>4e<*doZ`X&^-Y5RyO7pFxq2)rcUUn~xzsDug;I0Jo(7cx2H*|7scj z7#$)JJ*nTbfzztMoJ#u!rzh{Svk*A!A@o<3d^(Ii-RRGte2Uye_>`O1KGlP5PLT8u zvj16LGc|)GHRHkyr`w9%xW&Ixuk9;dE{CSo^vq&UWw4|MEFLpe#(R~UdSN(I0a8&s z*D_uX2!2lWG$h`L>ykmzQwKVZRW%a+P6LV|7Yn-Y^O4|U4)4d`y^){@&RsHcu#PgQ-xA4HuN@anQm<9nE-OxYeL!9A)fZ(*;tw6K66 z>K043kRa-2$Y%smtoJ4f-?1)ZXG7gz)b&DMQ*n#}>k!bw{ScyA>X$6l=#TiU}y?txf(%}bm>QY`3>QC$; zY}^I*e>{By0pb};-^b*gIb&&6pD()RuC$$@%WsrK{%k;v7-8`8ekyK(GSFruJ@*VX zE`$cDGLrr?lE|lW9=+X=iKH{1ITICDGqUS8d6kcnPl$#mV`v+^L<~I#EsGFLahNRn z*yy5V@N6kscSZI);7te5;wl?Fw+hxFA$gDzRsn~it>TEEKUh36Tk-U{2rVq0J_~tv zL=kf`dkD8-YT-7xNgiYTclb7$zg!XuQ#fZ`;?JNYJp%PjZ$^yF&7Y2NJ0Byn0Ms%_ z8$kpPdY=d}@(_P(Xq5k3&FH16Oh~3 zdl5^4-R(zDZrX!xdbdA=auZfJ!cE+~c9R}AbK>BQSPPnowoeTjZ@Bkf1Sc)^nIT7U z5A5fJg}Dj@F=8WTakyHq3^l8})wWBBQlj%|Qtv}J1$Hl?@=dHLOVqhb*CV~ELO$N4 zmbQ%hZr*aW^nUvcXFzrr#lcF%@VQsoitAc0_dHQZrc!*KL^n8cNohNnB+$ z6FUWxC64kz#D+lnU#tKP6#d)*vn7yD1*QT8(gq~eF@rCHKy_VlXzf}t?9=HNYzt~fjd*zEENA~2RzJy0`i zssfhgv~`47A?4pG8|oRduA;6GY4|GYP%n(##tLWq>!!zX6)o0HC*Vwch;Vv%?bK&Q zX!$fCrEatH&*E)%F8uK*6TXUi04DFuDr#v{eR!%mKH-lJu$r)#nmL|nXeZuD*vZBS zh&W_Tb*Tx552@`OSAR0{!$&1w-&aChuB;wU8F`%Wrc9iW`2@18y&Cte;Bd zgaVY2f(>9IQaBMU3jvelG6`UFGyoYbSODmb$&LoII$97{*=V6rv}!zh<#`c0TcFb%K8V*=utzZTE4fadap zAg*7HT))AeL2-?!Be>?~W4Mme)t?T)E!qAABz3X9Tnc5`zOzfH>PV(U2Y~lollinW z*!@^qfqm7Fj~wwDbi|ka8I&WiLJ^MO=CvdAAef_;ufkdw^OCVzx*o;yfSmohn`5iR zC%JHAz9gwMG{iq(Ce*ZkOMM>Z)Ks;^HPro=snU`=eohQ2OigP`3}Ct_K9&8(!?4<} zlQ1E6<{YA4a4>3UZot6`q&MIkX6Ho!rQHQx(qd0@Oe^2k68S6AYui4v@N6hAvwcRO zfQ9KrGLSL0lNi5Q2+wU3)J|{1cB)!NVg>z*D@LpEt${|dJYIz3$SULbf#u@FF8;wg zwU`RqT^sxd>7viQuehx|J~CB?4Of`8*qEAxPcv7VU68R)tyV2>&5UsxKH2d=CRD75 ze~c!de1+TGK4XSquTOHqo&oRP(f6Yc*%=-{pY8W%eBZMtg-`xn?p|KYZiGG$A+4o=`8P2FAG{021PVNjv$(wk0ClLmRWL6aI0PfNnKR8^Ywyb(O!Wa?MFaV zzYVEA(w{+5jgTX#=H@lkdOXXCR^ExtiAy&eqLOR-7xHk0kG`<7%FpY&cwA8d;avKyi@^@k*SIx@TdZo&=OqA}za#iMYfsvV0nQQxM<$b}c=$Vhf z%5`Ki(!Rc2Y}ja1T)qaFGJBmnXiKf1zmhU?RrB{VB`)7eKx>S~y(sf&AH-lNNEX7rbvP8&+vU~-h(>|CjW%*@<7N#t}h_D@UXC8b* zd0$%XJct>j+Tr)fNsQkruS$O@Nx^2e4VHh5+^StoyF_zCe0PNv83w`zlkmbzitga|;&$ueJC$VBPmsmTcxa zi)-EY6_8IfRz9PDsQq={^|p224=K`p9b77Mi@B=1}Zj_ihOFgy#I<^8QC92 zu5Glb$iGQVAXM04*6(w=~9tf zO4n_feHqNsSShbU6gfgR6!}*Oo%X?ODe@)f;RNCi=n%HlE{h!@0#Cs1_o=ih zKMFGmZ|OATEXHrc%9tMo%?TgJ;ps?!1|?Mxwr?aelsPv)A5nK+nV%JaT9SP_B5(;s zROVA+=laxWn(?ieQ!u_nq{Dwl^_10GmdamXm->Mb|DQnqFZO3p{9{cb_~+(h_>Yq6 zqXD?32P%-%=>fI#8(MviREN7t7Lt6&-*o$bE*4T?*ZW}+|DQzucl$Fa{;?hr{B!e~ ze?9u;Nc97;7KVB@r1}nA7~X!>)-78%6$kpR+_LGRo8CtHbhxs+QiFp{>ZS4B)!}Mc zi1%`{GBQ!$*L(fMc%>J|l^*;rejMn;RDDQ(1s4yxSz;L1q$Ym`vhGO1OD-B;u)naB zixB!*p_LvtHq|G$*&?kviXSfEp+E$%E zj3_c;gTRJiLzmjUL|wx8&EmS;=15)SGuTB`qx6Lh8%pXc!}Q8lX0HdO$qySS8B{I3 zU4-AGTJo0hfTd*wgbnvwvW0{VUx9o^*znnQ!v?5{Eo}I#BHcIG$gshpg52KC4IBOh z6`Q%RVfBRp2aCwf@RoOF`DS=&qWzFzAz5fMU^w)A<@``RE(3<;l#z?o`)4X(IG)N0 z1t-uxK~fj}>ZQ+0;`ortko7fEb<~I@v67Z~Npw&73?eG9 zPxtp^5%2`rJ$DN(pb_2WwPSKO`1Dg`LfUs$q*DAAu2mwZOM zuYDzIJpu7PMY^x0N+oKUVBIY>SBW0=q?uHrYx@0P)1pnwj@NEW%0~NIbdfgErWoA- zG?`sI+3?I3l-f_yLm7F)`N2$y(I-(kkz&*aFd;^J(XyC(HIK>8*dJZERHK%{bvtI= zU{hgdpt3_mF}cHOD?&uREijsIuuG%^3u zXw3GZ72&;{Oyo|HzQCU2$4N8BH*xUVpFw#B>lon~ zZa&5{QNs4018_^)dze6v(8d_xz>u6uXsn@YHvKk07qAeI2ztNfUV{BI%o5BM`E z^05*T zvuDp9Omdn!@*TgFg(-~#Qv&u#Kc2#sT<$&=i_C$gJU0)MrDWoq3-ZTXwUjOLigoOQ zTE|Y*-(0Ciyy=zdBZw2^z~D{5(`(VlsIeA3PhMyCuRH;vXBMJG(Xd)OpL;`BSN#Bu zE}zbmf;?!FdYUeUYwr^yNIh*@kb0CP)z)Bq)E-0B+H$8q-GzjnmLeFhGX$TSPjJLp z^J&6!J0lGc-@ySwbxB{OMcaUkCspYMdrFfZX;CuEnm@ls!yfncl@@OYL|RX=WHWWL zYpYN{xv1AJg z2VM#JjBw!R9S#S6u1NO{EixRis2jI;bHjnpLd9k<9Jm}#Bi!#d2gt~Ngad|+Hp78` zr6v%nwq-c*4a&%+=x=8#9QZMn6ADm<12%w(aNq&7EW-g!Bn?bZtpfY+=+b34U@2X< zWp*E!)!~4+3JC`gvJnn^jnHWy%vLyX&}N*8+>h=Mwvz($rBNXq zKpy$Clu7(>UW)K-m{|~hkV*=FF(pyoTAsB6pLy~lBuD%gI^q(42IUB>QiLP8`4~q; zg$vgP;Fhi!g`_T5sJDF49oa`H>NNq~DfC;usgCe`ETq70@WUehe}(+-^Jh@}V?84H z=jJv4dicwU66Rwq3`K4%$ezxlgv)^^TCqk7O0|8Ba&w|ys#T{-jf(M}Z|XC+&M4qb zsWOvMz)1rkMN$ZWiFF_0bI7|q3{wce^CnmHZ8bFYKVnbof4!n_%bi)#$3&+1m)9Bb z|4}R|BmM;d5dRS?`f0**TL!h(|H0O(+Q(n7g#Wh1+W`{(o0e=L68>wD&yetsby&hb zrbzeodnw^9ip1^RTnRs?Z$>5jjy=_p{g&`#WIrUlVWUk6e>gB@j+t%^mfAjb2xa8* zalu^ZRReR4qg0*8)k|&QyiX#54}d38Igt|H1~4Jv3uswNcui!o^o`M_O9^i&UAJX+ z5SY~xUR;Gpc!X?7_~nF7`(U;t{ACC&Ou}zN*ba3$uK|54ttfY51}WkBeR2}xw+gK6 zFP-LsKj5(RY<~u&ToA5r1T!Q&H@_7TcV5EZ5P(|h#_JJ*gJj&3-`F$46@2ET$6GL? zARBh{^JH**y_O}dRPF+Ml^+$6|3@VM75)s0e5^(U`P_UA`BAd{Jps5S{dYl97yUw* zV?)l0_QibPlkNn|TgETC*?$(z7uY?1K*ao?koiygGbrY<1`*72^O|`**yRZJi?9}U z!7h&F+3~ck7n5MeEhb1zX`(*ThEo4Qs<5O|KV~4eERyJ$O7{gmL;cjlDM@smS4i|j zjZHUHCsUgv+O#%5+(Cr^r}r`O)dC16qh;qY5o1*+`Y678}SFWpH zK^eIqJY=Rs`7SCa6rfyJw*gFu@~hCY6y=&on)vvD+l($ zBVx#cWb78mY)(j3+3t zxA~FKys#LDs5kpFD5ZkXeWRHn(7E}SBks-%^m_tOOTs^e2pojt>bPK&|3{YguVQ9F zJFKybr1%$767_tIJ!Pf9?)M`kM|7bhzT(fI9D!Aea0E9WCi z{waISza9!RB>Qbhm0hy0#5MLyfr#vr9L3}*8<3ivm}+K^7R(-ne++1D)da&Hb36|@ zR<-hp&=mon1L8EUt-HpJ|v@ZQ{n~ z?JCZK#@3Y&4=qf630HwIK8EWDocbQF0H?`qA6={Lgl~M&_i*W}wD?V2*!8h~7*{5y z593neqDb78@8d3^C3o?CT=+b0acybrgwf&#B)-@z4_8J?T#Ooum!(Y}zwvID5%;+0 zR&4%-=p~-sgX2gqq`L`|cjueAtDsh+R44BSgK{{0Rcev5HT1>vONTXx9frMiQ}oh3 zba@I~&NHsH=Or_uBpb{m)8YNX&1O-NuYNMEG?2X&xe6Mk6B`b1Wj=C%Y@Be^Xh zpRZ2YB@YYDhTh#f+M7_yZM+OjtIMgQL$_m*sa*;I1Y#%emvfWMXEqORvPEpNs*(7@ z!j*k11HKj@(`1K*I;(V8NcVW;BWJ(e;_QI1@P3O~NLctLuoJDAX7`1ihJ{cQTUfYI zk?!mKGAy)+4YzkQ!@{pZ#jIhWl*d_N;SsK|aJV#9sfERavY0*l7;XABO@zP*2t80! z(V&2(Ic+a7qCtqkdW|mKD`GH?3w6bn6@`Tuxfwk^6}j;~B?ecEh6}?>%wcnQvHDaq zG(e0%hWpfo_7Ngt8iG|Q3J*o)Yx0BDYV7o+;_ekajcpxX+2H9aDhB*tOx~Rsuou1~ z2rb7O08%Q5{?cm!bO*mptg=bReTX+C?wcLU1u2j62YrV+Txu2ifXa zPyDjPdIzRrJt8q4=wU^SK<@xXtUWVXf!-#B78d9|A7MKJy*cm=Oz1H1>51X7S~A`n zL_^`ncsh1uL`e$KkRJ`pj9#4aw)hQ{GDi5m$k%o2yz1=aF9-) zhO_YfcW5K{#!tgx3T9e-8jg$#(QZEurn?X6{#(C+q8owdpqsMKLwBy2?|lKdCE@Re zq%OiciTOT*`4ys1`w=kJ{Ydpc`3)4+2ssDUl)a`}k9ip}-}zVzBL*{KKKT_m>i>Mo zN(={m|WvHeUNn?bq>pn|!gI%E}horM?7r#5w4P_%3EZY5oAm03))? z2AT@&1Sm~t`v?l`XqS)TIvSm9v7AV#Lpd>wag^10_;z?Ae4`3-zETQgCt`sRjbdXi zL^V%eP{CUjlXoY0gKu?%XS}f+CW6o{{kku_(WmoB2X2TpByj67GwCpHdlm9x%$V`P z$Q)G?n{_W|Ly8X0OT}&Jkb^3&<~-MJ_At$X_i`yYqc$77Sk(3k%vq>UlgD`R@627i z4B9Nk>#i8x3fbzQP5iP1ZG}|Oh787IHmrsbv)#^swO1x9X8RmMOU!O&gF@I+&3*=9 zJHm%)WvVs#iYMskn`j~2Clj#e#JxiFEr0ne7@muB*Vp|9O3NZ(-!x}LX_Wn^5OVjU zwBH1vmNY+w2plxegUz{W8A4iGWpcQHvd`m!T#?&x0l1|T79x`lCv+0IJqzFx6|2>Q#ONMKwasK{aKs zsn!EuM&$NSvU8|8+KAk4iOYtqmC;J0R4a~+H^%Bscu7UG1>XSdtq=90tSL2G%kWkO zU(pMvg|69E92y@jx2pBgQmqHJptd$jBO}$(t$dQGNV8~hk&2sWmV&nkH^%!$YyEU{ zvEKe0`tyL%p zS!~{iS~{W}-vYtoKHwM5lYUw1klFgUN1TN{LbXB2yodK3_BEfpSzW|^EjjO4?l2mv z;WeHbN<}q1%P1R0hUvp6T=+bYQ<=yk8AMqoe79X@5OpV@wFXgAj%Ec>N4kQj`sh$~ zYcnj2lI84K7?qaW0)i1p`M{<^DFIB=+CIF7Cnj|$g+qodk`n23B!%gC+yPRg=EE2z z*FM;BGzf`vl4Yvjc%y_vTydyct6+H}rB+k5)47;SwQsWJxG;ArbV*pP;Yt5VU{!7dV1D{v=Z$J3zoB$t#k;<7=EMO@#;oP}gh z5gNzv``j30*k!?>yKVIEkgX28#4k(OmEKSkO)VaHVQp|ylBXiS&XBc(CM)nd@H}kR z?zV-nr5N2GlDfp`AtmaJ*wL01OY#q05^YKcW5;7I!m}Ah?Bj^i7otUeoIF8aj1%;+ zegh?0v0}a{)CkZh`vq8y?gwaR1)!GhSc3>0vB9x@!>v-eTxmAJ8{`HW1J#d}^egF^ zO_-tZOqjiP5}6jFOa18CNgL5g7yAvAld!ruoJ85@aZ;`jt`UG+x~UFHU2fW6e%3~J zp7b+i`=-*UQQC4xd*oIu7M@w~17(kFLXX_&H&7nIn&t2aWv@M=$J&fYZ!Xrtm;;SS z?`$#YQIyad}o}~WN%7wGRt#lbdT#t0^q~c1maZ+*pzzMVrH)Pxt>FNkh!FZ z^NLP4rJyFZ1BX{A(tWL3##5Gg+})}(iB7H3Zc?do+0}U8&VsJCQcpCJU>2o#k+~w-qm)AJVj=M7*of;!#aqW zI!0!^a~_zxe5s5rI}bunx_%6Z$vZX zEoHw9F?V0y4g{cKt5C_IbF@rBYuNfLpTNhNMom*VjiaFBG1Nmz5S3KbY?5&6r;ydXpakQ@sVLzSnP{ zs7A;+sHW^S)p|h7ke`dO7Iyi$NXyRwF`x07C@~83jaFLlOpx9U=+PF&O0;i@|^ ziSbqSBQlFpzL+lX=t4-UeZj*lpAeJQ;)K|~a;HPr$vhi|XhEEstG(?5Y&-~P`c#<6 zPA~Pg)xgN>oO(t7TUZFJLNsATKe`$IbN=3vC|cOx+gR6+7K>H?B#W8O<_1{72B=)_ z)7&fjP7HX+N2bZv+&U}UK`_XIyA?U|X^SHRH1}^TW~K&lY3^TxoiR^u?NoC^Ep3{6 zt0LXkm8Isk$OgA}Gd1_I7fht)Ua@wlGP<=oT3Hn?yN`Ft?s#6EEAM&9j5-wGtARRm zT=XkjDZWo;LlS*ECQf{x!zG2r2U2|7AjZV^shG1+8A(3l9re=O5TyRLK+tVGS^?Q= z{Vjfl=x@Yr=>*^`}v3jjq zhNC{P{oTwd&-cDCjv+437y1iV8hsYSOsKmaC?RVoR5IPjKq&S7)tMwY24ZbZt&Y%> zTKzyfM+vn)OA)xr5bUvwa{AGl{ZK3{rP&1pSXrJ|jQcRbXd``BtZ59%^C}^DRZX zZzzyr-l9R=-pv&A6{y$*E})-PFK??f!j-&p0o}-`a~VH7mP>~k{>9uBLItwa@H^O$ zgyTylPQ$;9OA3W3HM|XCOvCTOoP{)rks0rtcjYc$3V2KTx?M*N$W{w@@he2YBWOdw zw-}xF!(<8ghY(trfPXK-cIe3c2C1JdD~i83LnlS$r_o$^&X$@T7*WDP^cg=go-?k& z;p>xr10{N}D!!4<5b~7$yAXf(h5Qc!P)l|B7$R^upddyTBwifuCx0Y;@GHzg_}~E3 z01o+!^z4PUE5kzclpirW=EdlkU-%7_W3Y-j97EaXaZE1ZzhHfE-?LTy!jADMSnX=-ExzqMMHN8z?tnb#u6hve$0XBWgy_@D6OM z_MqW3BWMtzt@PUldmB*%wG>Ks0d=J+uSWwm? zQdl4(1+JwTCLq>in6STv7y(SL=!?vZ0AV^`Nks=&Vi~FEK$Hx!xnQT9o2DxPG`=L$ znQesI?G4y&RZH>33jB1paTY20#%4~F9V>7$ORPZmxXKhOTx;=mK&-ISVipoBw7|}Y z6>jP@R)Ct=VuhO&>An#_#tIg>Qd>C^UB1bbB?~vcjUAT-7EQRZ~ z96bct>i9tX3W*O8u@N79fYE6uOjdmGKL{->KKK>FcF5BO)zK<0?Zda~2J21ukyNHr zFJh;q)3Wm-N>+%bLuwByo+EC<;c6ehfzmcu4d2LS#0Hf8&!xv;3fc`PrtaK(FZgs5 z{7*e!27aWTd^g-w*V?nr2C+U`8maIzJjNBSGEE>01K`Ub;V2~1;e`WXwVxb8tN!x4 zfupJA|CN}9=s^2R1Kb6G^!RH?OPRJa!$NeTA2Pe>c68BlzkzZQ)-i{RDEowqloza? z$rU9$KLEG%&3Z`c^o^AMjv3 zY&4lK6=dFme~b{py`v5zJk#1nT2)1Sd`TT;nHJo9W$LKc1Lld-QT^u&>ch3vv99A2 zW=@^!sX6g%I@DC}4|cRV6f8B>d)W}%>xK7BoTmB|my}ae*&xO=)q|L`m=fY_a(|$C z>e1W)q^`06&}}<bLYs-r8 z*zCCacda@)zEdtTEi1zQ$7rP{e4u`;}{RV1g zK^lA`rJ>6x`yXNLy1!<7=!RhD9Rx{T&RZ?VC0wvwOHZGIyn5l1WyQ+Q7Wk}GlRx3r z7o&rv#$c~_{=Ny9lWtss#RxZ^!nx{9<9Wg_TbAt2_8tK%M9cj2a4UE*McL@hvmvlH=S!v; zyG}6nV*Ha^&E19EDYU+2$Q1$4{BfG>Wk^nDS%##0TtAwf@343`U>Wii7PF9L$d@sf zG~M3a=`tkL#I_81w<6uw3Z;Cu%>M3Hm?@t>0u`G$`F!F9)vdVBKZsL8xQ1Tr($J~w zIv3LWTJq{pO@EmiN2pYlYWj<8NUiGa6Q`!X$0dbAlq-}rh%q(&CCpjO))q?Ro%X+T zqmYu?f+#t^P}Q9_zgIu9ppDqb1+uJH~JZpn6jUO=({h8PYFORrO=6p zz!A?FkEZdWPV_u95QHb6R-;>m=v;s4O!6C%UGpY%P1A3nT!U52;TpR0)uLJYlBF)HtAEUP~QOcrTWfI-(#D?AZ~8 zB>$Q1huid9uuZF0l#C4ySYO$Ji_-G#gT>{2D^{e=GrJSTqXTz3sJHzl_g3=%mo5Ge zh!4JKF$;+gJ`Z-HYms(%pu_lJDw1Xk5FSv>`-TV^AXv1F+r^my!ZT2_i3<=`tcRx) zcjk)@oDV3*^Xfb_n3v3`!?$G8;@o}wmK*fze0in#BBrxJ&aDfVzPpQa}iqDI{VoO+o4OR z;gd-_laavXXd(P16R?{ix(7>e{_=UM_y-)YUf?%S@&^I?#x_I#Q}$~Sa`)x`jsVnB zm$ndrgXSKh+4_#V+t5sKZKl$MQ-VVDGCvX~{9Q=+OZ^6laD<+NaLPUp;kiPA`vY)G z%I|}uF3Q!L?1*_s9H8D;r_(4YX}P04@*yl1-W=-(${u+)dgLL$f$|8}EQd!Zd+iZD z^kqZ3-;hpkq%lfP_@ zzwbu$PW+HcfX1I|F$>Z7v%t>K_z!hk<3FUB_YDG4<6ESO+r^n0|1_xC#A*DM8%pJo z(r7-B?|emeBD2m_{`^!<9m@RYbGr!D&{F1K$cCgXADuXvznM!4g(zjd4Ps2@UxYae zDU%|UXHTeJAsWezLF#-92Hno1b0AwDXXURDosYl`oqryq)4rH2o&Pd~mKfK}0SaMD zHFPV&b_q~;tmxfq&LO7Z9mHz}}Z=z9|BVtWi{j1FnCNU#*! z8oLOwI#}LbxEukcf(!u@f(+Z+3zJUFY?9nfK91c)HO$y)_E)Sax5i7g8f-?NtDa5U zQEzN(7E85}db0(mokrySmM*{p6I#m}+8R`|BcYSn)J!cghi z;5_U@h3FjoWAyQOu#*r4?1fJzys%e$_Ldqv6uQR;hMYeaC36UK;FKJ6tJm+X!4&dM>Rrw!p{CHf-B^LA9wr-P3sn zy6#trx?hQnHd_X9jX#4mzRYhBT`KZcO%!I8)N>a{Y#OdWiz>kv*@kMRtxaff#U?bQ z@^G;}%1>H&Qt8@UElRB8pWL4O*SII6E8tly4$=4n)rU3GZ~By)_Yt( z8f#x_VLl)dzsX`|wrSVfK(AviNvwad!$^F}%0BC6+ZPq-z6o3g;+ELKJz8c4;_rcq zOdB6e3q_Y2W{Nan%8v*1^8;F|3>P(ca; z_lz}N z%s~Y1C(6@%xV#a}vl+Q#{gaXVDIHcd5xSdbd9G~7ucmef??*hTz+IpOX+GO%AezMZ zWv^FH?yPAkKh8E9rp7>y{Kr5?#V59ku&!O9cI!x2mxbP>I1`rSz{?K9; z5*Pje?8N9r`tdtmjtjq|NcYVzGA^{pS+{pH=T-=H8%< zXV!USI6nc4`sku)gP}Ux)5X`8)mWr{^87}_&|+ZEoYdTlXoIo`5+E?VBPrPSd*F7-Vc{!JylDRD^7KzLUQ~VnYOO#D)tQo%Y3K#fBw> z78V=62w^*dm4n8(t1K%<`iE%EJXS|nV;;hVP7`*eNDYsk_%ZTq@;DA`b-#hq*jOpw z7-+L=h2~d_@jvHSUfLaDKZA9R3#axUV*&9g*ya6qR1LnliG3)JQ2JqD}KQeZ} z6X<|@{RYYbSd|MP4#JA&a1dp$9i&Ikj41Rntc4M(8M`>fmng*AQ~ayM z@Q}`VK=KLAqNbU)%StWY-gveSWHYjO{KmVjnP{$mjVKGzFB4$hQ!otq%=&*J>#Cly z-NZHPVIymGvDGM5AqL)B+%a5jRhqal1+Q%#A8o;|A^!EvGKT=Z%Kpm5l zUx21xU@;5P^mC9>YUVWWKiR3Khni5dcscB##qyI)%-36`rnkrtcW=$q^v{8cP265j z|HYN9mBDH&e5c3x;xaR*&ei;!cs4Mbb|U3BaccJ31e-)jD4M3eoY1+R*VAGCJ*u$SFs4`ErFF)zQKFj%KfF>1kDdkRzp%<<9%;r|6Fe zq!2yhht58F3Vrljzk%`*)-{KZD0}TAJsM`{@>{SLc3m!)*M{H_+rSG1qs5Em*uk3& zaJCJ%gvMa@F6j*-nS8TKeoWuR>z=onz8;5fWT1bboF5!7R|b2w>?(q%aQ+v9ei2AJ zbEk(nk6i^S54$W5KED66zPqSZ(^!z?Lib%#oW}yFtYKR12c`27dkLq?y@cG%n%fJ= zOmb)Fv^{W|s5~F+T#)Qx#I>HgZLLwZ*ZA3V)WOUjUlNI575ut@eIA1{64$*yh6Z;%4 z1j6ff@t^d7i2S!`Ks;DS-^GlC8?)`$slucXeb0}U=ajvs!kqGLzkyPISUulJXhcGk z{l8#Mx*rKW6M$N3^WPx?hf{jACz39heL1_pOr;5@1chiWr1LZnCVX!se3sup5suJv z5Kh_WAv{+&v^W5_r2Kf~&O!NpGRWcXkdA}Y3y?X98eh{lv^!46G7HgZew6Hveb61J z_zjdhuv$6XLD_3}=ut8w^7&`kT`cF~*6Hnp0b+&^=HO8+y3$)Nm4_=7@nA$_*>%Vn z%Up@18i80mmN`BQhw|Pu!k7q520iqvz(g90Xyh>Cj9RkdX25~)lL5z(*|Z6;98<_* zc}i>Zh15B-78aI@9s~r49`@j4cu6m0wj^%d)39}`_T-BgmiIkN%6y)LQV|2E$&MH} znPo48?s1-HS| z8)VBvsr(fZ9Ux>QI(UT9X)jDxbTIb{oMPNV2*Q@Cs0Wg|RMFH{eWaF@phuvA@RYdE zPKxMOAv(%mI?o4lacDZsZ=lo;!u5?}hT5m>XCUJ4tNqggP)m|eMFbA%IRo}RY&@Tj zHiGBrG6gf;)<%WsLO%|sdmhq#uHQh>jlgrzP1)z6JD1jv0&q*hw?a}E;hj9+ay{l( zh_3Y`V5;XM)jRzLifV+MgKElNQ?19d3>`leYhegy<7CklhK|RnOz2U3qr}!~Yj}JM ztP70vV>9iol}5MHzd+0{E0&7Q>d08FQpC&SaH_rsH$jBPH@|Zdyisva0%Kg0Lvye#O5wJ5NfkLN|0Mx`52^19RzL7>o0v1u@_HJe*u-BCn842`nsx(H*rLpi} zz~CRA2$1+jcY;vgJ`%{tAf-5Va8%%x84k5t9`atVd{JQNj5L+a*}xyMNf% zl7YdC&_?)chDyS&is)`38t`M_8R9S;tX}9hPznk``^GgRHlXa!LD1cg4XzD9Ev4!% zMBtzrK3_sqFDuG_^feo)hv3_of#BVqUOR!37NS@B(XkT_M<@J^-#|G5tCPbClzkp2 zSbrw4{?&C)^|W1t4R0t9I1dU6S%wQWGdh# zz~jWNg)iR(Q$=-js2(nXk8`evn^|?Pf#=2`QTOWP(SS36H**Yf4+IGj{A@O)mUQyO ziQx5IQce+UgBTOR&%vC<!OvoJ z+7pwdf_EacFcmzGupQEDCQf?T-;y%;b~F+m(`ndQ5#0twSajv<9-80HA2oo zHD#}<)+1hq7OrD0>{|GkxE99t=@i33X>~K5c@=Rt9sd}GyC*?Y4fi993irgT;R6NM z13JRGB98ShRShqJ$|a|d05yEP#Vlk!cp-C1Gv}%aPR0;Ff71Y1!f;d0n{mOP$U=X!WvG9#F(IuXPXw~0`-DG!Pau^~04wG$_ZcXCOg z5amG;8^o9(9>$!-9G~Qq2TfAMx8{Z*6|n_^ZsSo2vek-M{0dRTh}%%aWk#o6F1vfCm`0}9KJhjqfUZ~%L+-$3aEtblK< zGqf>fe-A?MzBYa|0JRikUql2B+VRb(X}Z6U7J_bgGb-F?mg&u?XaM@kkAR6@jYL1@ zH&8?)J(Gu34%dfOQnty@BEt7MRc)YG^wLAi z#&fQAfR<-n&qExkGb{of9M`aY&Lrug%=X1?{yEs@RV(u!K2huC?qlc^v-BQWT0lUs zF=R0d2{yKXooMbPO3vtVuyKYW-8Xv3V8f!3+}_O$Hg-eBvVx7)KFJrJ5A(woM~=X= z_q9r|WwE?juNiNV9acxOrd69@v-@_{lA)=6@LcL(1>?a@pcv<8RZem=Y|nsi+BD$J zox3qeI2sJwMF)i9A^~yn?zRu?@bvYq-6aHe0OZKPG_CF9YL%UpMza@UC;7f=`HnH_ z)p!{`-_tZYw=}@_j9H%Tk*^;^14$1QKD=nSFucSZScexU-Zwwor!KUQq!FLK90YrH z%`%pP%opMNKV#!9HKO{G={fQv*vt#jhdjLmf%ZbWhcWqaB94jn%!BoK|SO% z9auwfsO^{%-s&@RN{6I~8HTlWsrI%gI?uS)o)gao8e}H{>35JJW14g?P9=6|zR89( z;9L?EkW%%P*KH3siv;X%noEDmCFKlAZ4hGt>9;Uvp|s;{#sT~;Hvk!qS^((o8hsVA z)#0f4l@3SS2RVk0-O+704or`3hd&Wk1;>VS@meAJ8bj9JnXDMKuo)Y)yI~=0so?j6 zq%H+NcWl?#F8B!6G8jw8Qm=J74lRW%6A9Uu5v3_aC;0KO*f<~Oy<_|aN?>CJd{d+m zqf++s5qkGy)U^SqCGBS*0!NJ6gKuFK%!`i8LMqybW`grsIuY~Tj+qvsOZuv0oA9iA4f>C`CexXWIOZbb-%=p}y8 z?41kIJ2(0bly|U}IlM#JYwzeGGbyxij*8z4Bz zNTGRp081!DZ%GuCIj%8d8;~)D(HFF=fUkTe`daGQSx%FEUWk)fPR!Fit{+XcA0|h| zs}vBlJZv!w30fXxE@_s!xYM8oYGOMvf3YIn*Y;%4Vwp7EZ7(xu`A?{rHE5CIZqKCX ziI=Y31Rt!~*ebQgL%t3m_2^=UkmyTg*SRR&*OFI<%JgY&9HFvLZk7Co4XIU?Cr+8p z9EcA(@s4Bm041K~R*4N_Oqu=;>ma693#IW+dth!9Ql45+==L7{3Lt2CDt@KqX*3&> z#gr&QHMM}yd;A89YJ{AFYRXo=pp6@a^U5HTY(Gv3iBCv6pZ1z;&%w zqh2eDF#&##z-Orjo6G3S*2RUxTYJQvg+<6|VRQSaOu3Mcu9x?)jK^=hhf8_<#(S|G zxTH&hYkhi?BKj28wh()LISN1LUs##8xt!6oyMa|MZ?*61^ zzfO%NUZnsv`xT3sIhVUmzdyoU(o8qdshWkF*wpNRBHh;yq?)x%yzYLGsb=>oWvkha zmf#z^phwj7VzgRgZd;b%yP}%YOYj0h$P&B+n_7Ywz%;GxBaJ0^T=2$qc$DA0a>1Jg zl}br#@3y7!&KKSH)$#6H1zbwW^d0Uo(Fx#X=+?) zz{u*WMW6K2x=O(QrV;W|E-5s|k^zhjVl03;8*`R2IL2liz+i3wGIp^5(A_Y4E@aDH zP5w&9E|#TrK~sEb9jjslG;0{L_ReGlG&do%u%-3u5w=5H&n`EX70a^jdk5MH zN5+z|A0tXph+gf-!UAF&&SkIi8z}jQ;C<7c5zbKdFGk?q4`<#JfLiM8e?SBd%JJw| zxsi-#{s}Dv*>Lm=?la4D^a~9N(I@=~nCKc3{V~6RA{rs*AeyqzLv*f?=CJ_WlIm|m zQWw?gC7-f^rx(!Y$v23`dccBq@-q7(`ZbmYAJFpSV@HgjBYx&LP>#R~ z!i<1tC)UE4pNu8*lQ0+=kmEML-%|2JuMxs`g5oPd#pA*d@C?dlhVg*bR5Ul*uJ{cE zEZ7n+GJYuQ*pL#{6gNYn)5Xw?b_kS^H6p5mS8OiMS{)=`0Gh0#dD05OgL*L4Zu?L- zIRdCUBhsEBv(}2&yd)>5_Vh+&XuMI~9WBPfV0)vv4`}b3;Xmi^E%}3IK^C;<#);>q znY>})JhN?ZdmY2}s#=IY#9J8<;>k3=5Km=gd%zj)aaGCP=aEn1$|@klJKJJr3NlxS zcP7}0E<hr>Xd+?Af?{ zlI!TyVH6FCqf=`|J=A;JnraNpOv4=|`g?4(aAwle=n*0wntBZ;?@nZQl0be|y*xew zXX3m89@P`#yCrr{_F1w^M|p@pB+A?0nj19jPJ+FUB5B5C8XuC(u_Y1g{UaOFkaAlp z*h`=PA(nXI(0QL50F9Ji;Bs7f;1{ivAVfO{rrY6M$MqDMd)?atVGz&%*vHG!dN(zM+Q+xODo49+?!P zll&l<>+6y06@CN7HKNYJHD#ZN>s&G6#R0e_+ZRDnC)>E2n2rREO-6`fXvdN1j)pM5 zLNw?{z*OIWR1f$K6x9eh2i26lrdkh&8ByQQWG_%Nyb<-S5>X$G1o{aQF*5YYcga@k z81<=fqbX*g%$V;bNSiS;reZ#Sd8!Xi7c;i*0@MLt;o(<>e9BrxdHa@p4TLnYbIPL>4bz9_1|o&O1&D6<(fTq?hqtr*21@&2ReU3%A?Ydm<%qxgdpv^ysHMm(Ap(a3=7FRK(w7zC z@AzQqZDTDo739y62}L@ly`9RT5N-EkV;8&}UC{6wC>LO5a=3u9&*OqzO8?aXxTO>R z7LvN0(8+-Qr4Q{_ z%;F(Z|1*gayDs$~@esTRQXjGJfz+Q;9bBPxJ}z||TEB?D)XvUhSWrsmi{e4&&w-PB znsPl9#IHkROOl=0Cb*rx3frk_8GpG-|NktG4p92fSj<9{{%^s~Q2KXtS?S-QNcZ)4 zsq`&k#O>WorN6i`kxGB1kkT8=^+qLF<)4tL@^xOFEBpmXSRJeT=K_7^5E{Iye;ON- zx_{F|srw7LoSf?31~8`XPsf~vI!W`%!xAa`D|3U8%H9G(xAW*^$W|+R@he2xBXUF8 zpUUX8D<(_X??7l_%l9pW?GTm+3uWKi#E!@M9)5}SCw+M9Hq1mg(QU)dj3{{_dYK<3 z&nI``(DqWlfzmx#E#D|;sC>%)YOF=~RsQ_}sHMQX4-q(=feZH*>OX)cf_hlE#{|qX zE!>j{yj{c(g1LSza{Z9sKyi(zb8t=B=ixe+od5R#+>-4tLsBQ(9W31c81sX7*!mGL z)psM+kNXW2)d)EU)s(%aS`T^|a()zRVVCpEgXKK5x<(~L%1P)f>+;z7#KEGoKn*Vosefh9@KYzN#n@4>dITEkzigg?XL-~b8# zY>Qclgg+JR3<>}GE=%~=E7ETr8EQ^H43v8=`VtfN_nI*(=ktG0WD%*$EjF(=CLk#+y6w61IOdQSZ zrL}Qm5kE@PsMJcW${;-9x2slZ4p%BIev_6I1UapE^oZ`jMh++EJ$(eLfhW1zn7lhD zxlUNy^uKDL*@UxOHgCfgj<8M#b%+rLG4JcHX6h_JRdupe^B}TeOrXKR+`HM3B8c~; zg1G}M4V=$s*>AE>DT4Y0myk1zvq6i6aqq>Pg$&gU#tVNWci}R8vlOm7X7qN*miwRl zl@8z9`&+n+AIHKf81dXY8LsxmWW{q&BDAo0?#Bq*5kgEUH|04a9lAY(>4oFyHv251 zJB8?v{<2vzyd7t+-}?=eoJFv{iOz`9DEl8G;O<9hhina|_&`YNqF5ZSO0m2cO*l$J zH!#ogcomowqNRQi%=J5v>l6G2ifcrjgKNq@57)V(v~vP*%P4IfBz3XfLr>HIo#`W1 z@L`>ut$uFsppy9lQy;w$^DabJ_|Y)!??l=!^&2SK5q=KZDSJ)39_KP5w#Q|U0G6f? zpRhjm5s#xWWLpc0VbR)Bvs@a)*9vSz!BE_YilMof=Z}Dtnz(BPao6CVps5a}!s!Cw zh3`fR6=)wfQs-JmV>dUMI`>Y%Y}L6^A?(?ql%*F`n$5ML8YcbdakJTH=Fqv0nG?^Z zLoM^pUW!{cCi@`e1BDa4MQ~#11fYdV<0J<$l z_d&K=&xl`XJrn%^I(_V*38FUS%Uc+ocEDuGmnRTfn0)y@!gk1)sSxI*X4a?BKsZa> zXD3B;s}TLKzjPj&-j74mulxpTbVsK)RarXtxzQe&J&x52cl4rm&vyJDY z(MIq*U8Z2B+u8`;V(Q1ibbkQpKFV*P=tkf<=%(!R(49-eoDqOqYM9d@sf+M^B*uZy%o)}b3nn4x6i>(ECGWR;}+F%=VO zVNa99i#>#@&e;q0|af*D=#!{#pKf8kcW#mmI$-vjPIQ zWd3?c>S7+gJP7NCJA0AH^s3@)#F>)*b$#bN4&~!pxQmwBM1MK zz2;w!b{P`xsOa=(wx-`2gk&=Zq2sxOdB7SY@U@i%cnO zK83iVZOREU3TX=Ih;8hzD^Ap&CspL>si2LY#p)D}~4u#yjD0xl5M{(Nel@ztLWh ztyYNQSBOGH$c94PhtX;FCrcr&L1hC-z5Pea7rSBUih)KaI^5P^eaQiv9wZ$KNZ5MxXWg-Aw) z=q5i7ru$1s_jP^)MK=P^K{sWehwfa$@bv+>CE{@$N4W&wtYIp{uOd|noR0%3R4ik#n}bZD@+4r+5-M4TC=YfVq6~bsU01fR z4^6Is4H^e1-2hX^Cj}TyV!JnXe6raK2N-+h0mfe4NG#tDm4@;_Wz%=^vGdoNA7~u0 zJgcY=ZOXyabz3*v{kBoFT>yVPlPDdUoQui3b7=DTwT*h&CtwFbz8;oxFTBZInx@4o zA`Ce)Im^hSL-D!_$uPpqVDZ||h9sYUloGG|rK_w0ciSy?CuCQM)^P5=AxLRzDO_!^ zV#0L=<}8J)rY~OlMY&6t!qrl`?uyY8$W{wi@hdG{+xw=7iyxQ78W=iu8H3f1m@FMz zLug?-wu-PF5^HK1`bJX6UW*1&$I^ZFSwy!A(e?h)d18MIXRB-c25MqQxV}lv(6N;L z5F+lrj(uGKYALJkLIe(yQODYNeh1pHj*T--I#w8gEb`-Ey1$2Xzs+x;=tkf<=%(!R z(49-iem(%VB>c0G)J3>{O?kcCY?RYdR=#R~Vpq4^<#t7uPPTS zj+t+)dxlFCvrGKU!3pq|@{sbBf2Lw`9aYo(HMN#K5c25*vK6Nfj*zDh>=iW6At3(b zIfSB*AOTmONI=%~Q*doD=CX>@ClU_8s-#XN2ngaCxcYdb3@?Z0c8Sl$$!pNql4NJL z32vuPU^`VU!`A?1G+~(u-GyaF6RV-l)@VX1vpqYiddyiNk}>s(m%%<^*STJtt}^IQ zEN%c=IueT)upw!br@AW^U&OiR6pJ=kF|oKFbCz?V3yq9R^H8lKDOH0_fm4R1$iVbbuW2-~4r_D;RN__df?_y}&Ydm_4C zh+gL}mj|7n;Gpvwzk!+@5vXr$GDIO|e>1}Ez9@V+0JYR84^D%%V;yoZPub^TK9@fHVE}H){o|0- z#l2eR9JGo;l0>mX6{(J-kf_Jb4fGHFFW7xwtgq&mx^>>8M!+>^Eto%5%ztk+`34dTB@=kHJU$l zR|US9a}R|jmke#NVk&SO<}Ah-lRq9{ND00yckxmQT8h_gHyVU&wGtG+LX;q4Hk9BH zqtnz+mJ+-lp@k{I`w+H6s!SV!zLZjfA3zJ?E17`Z6wy6+Ba^>;o)>?E1JOf%12r!q zVBeT!C_>8q4G6jWitwuesHG}-6cIRRHolm^b6WHS8VHt?A5oxNh3JR=(wXGnBFR7S z8z_A7sz&hpd1!b>&p$EDQA$SkcM!F5huK0#GJ&ias2?WMgxd&=$zg)o5oVE`%_R9z9OPJ%Ot?-o~o`h8j+87@+ z_N#gA6vKyHpMvY(uxl%RKCM|4g;UmN5xK=nFI3z0Mp1I?&26V!^0;WpP59%Pi)qh% z43l?f&wSa&ky5SdT>+)q!_N89sohYz)2DMut6@YNvVY!VX40V=eho4cG#03xYN>|* zjtxnY?K=$+4}ef!eADjhb}t&9mi~CPXzV8-S3tJhCFQTQE^enj2PZg+Z>VEE46XbsMywq(Sz7r^2rW!2 z{~N+~sEcV$>~qNlz3-ug)XE|OyDp-8pvwH^vvim~4d%D+`VEwHK)}9f(9p`1{pS&K z_qFmL15it?{4^qP&^!|#9#MC=Vbx7CFhHgJ_qM>=&UEPp59RxuPHKIvY>5VVi!bbUnnebakuy}q?pp?&nReqxHA;a{|7 zbg)sc4)zNfvKTaUvof-!wyP)bOW-d;MvZwfzQ?{~AQ*~@bEc7n-fy7EZL5cWwa+e% z#YX#4>RaIWO>;~A&8>E8H$w#*KeAHh$II{Hx+82RsHQ)A$|(Q(4(o#o->;o|?yNEzKifReZO_ zEM!&uZ^2Hqc~TDZK+?H48KRru!Or%a?UhEeS|2S94ps(tN4Ma$DJ%L;wmk+mPm%5$ zMr8145i)M?W(JR+f{Iy#N2#>4g2%&Lr>je?l8&mfLPuH1o*lYKJwP@H6Bh3LOLT?J!dd{gazV)E_;kgLvX)<@4QH7XZvi7I99H^;G_5JxUj4uY2~ z?Swwphu8ZoS*62C#2yk(?r+Vl!??0%OpPU>VVjIAr?VjqLdT@y%7T>%<$lLqc07%% z2Xh&r;N)J54O}dyoQXM$n8ZqF9LMpwame7(folItk7~TLd%$+5Vq9cXCQ2c{+=B{Z|tp8R}^hXZxd`pJK@Y&GInA_DGJdg zek?3K4#8RQ`F;bXyAix^!ZgB6%Kmf&-u*E1>HyS|@=-+KpxnA8a}yc}u9I6bbgK~E z;xC;^J`_p5!Ec~QMz}dhrtI^OoGY??LjZ0`^F5H%Me`x0v9VgUTxx+l>o;0?I?O!C zky4GR&ilF}JcK|B(fj<+*+++=kKW}sP(H%C=I{|^uYIJ4$BY1UHP*ri`iubd*%*MH zxACI&MG_wH-Qe+ZYaG5+Qe3p7+8Qp7RGUqFN3Ix2iskV}ZC7ziqg1XKugcxCOf=hH zMVy7`%L%w{v5qoEy+!v(~=8re1-;X|iJ}^*GE~>Kv2Cc!yk@yL>5DE#>RB932VSYOyMQrNwIWEa;!H zv*HNakfudOr#&!P(sVOI3zMcVMA#0UG#@AJ8XQ!{zrD58S)n@G3b&<_vg;yBRfw+k zW8xX&cpR)o{03@f#!C3cHAA9O_Ln30?n~5H1fZ7M>2DB$gZf#p6C&78#sv4Gl^}g4 zr(w3+rePs^gC7l(egcyI_kIIKI>OIEI%S`S^jwnlqXD=j?H_`qF4_yG5UmX^MHi&y z>i(8gN~&7#v3sINvG79lh#xNdWfA)23w{IT7pz|nzfkttFM43ikg1nqE$lLN1?`id zPVK$mEMDiA0|>w27#=^|1|NW;*;h=Kpvk79sa}AVzoGI!PZSs53MmeFN>i?xA=Bw1 zNay|5L#^5ZVe|OAj?Jo>8y^Tdx$&Xjb!=KaM*!r_jv4Z%UfgXPmr@%ZGa67(YQsYm z53J5D#>nQTn7m=(IkPQr`&^3cQ?&@coL}zU%2?Sa)A;1P%E~6^;T~6&+`I^BO3aA? za{gG0nW@8E2mFg*C%Op9f!@yL{BoO|?^UGxdb*VJ7IotGZl;{S7%FDn%IJ!mUwj_Q zd0b#FE-KgX6^#(2+16WOMfynFby|_83_6$cvlxUJo1zWov7+e$ml{>n&vS7wnIx!#rZ@uS6)Mj-irppQ{p~5N!%(# z5Bf{zIbanINALC!@}_D2FxOOpQ;5jaT3w}IGrehh5{&+zpT zO2JIi+dza-A^N@_2h)8b()}I3fub9M=b)Ri&qH@Ess6_R+>-F8A*qY-{Y(*#B&K!x zf@BgkW~XnkPojOBP$nEBgFl|W$L=@@-7(v5pxlAg%Ha;mUb{n&c^L}*E@aNG&<}Af zBuinopq-II^Rz7gH|I};W_&f55eiOfMjN=8X1oP+mYPwM z81IO0%3ZqDjF!@M8;-7pY_(<-zd|%4LN+wx^^8vQKUtdbV+buwGkzFhJLJsNUC^hJ zn(>QhAT=Z1XD3B;s}Oz3UpmhLXW(%3uYLnHYa(3V$Yp3o%Kn3hxci#%$pF++!u%K! zI7prknh|-V_l4|A^Zg9k3cly6l<*f4GV$$n9`NB~KRour8uY^N{RYYlSf3nTpzQN_ zA(xaqv=!Xg4}zpFKd2XnpfA!YQoSTZ_Xz!h5b^kM+pG zKV`4^*JEFXc6>iJJ44bLhY&W3X?CdIC=Oh{=E4hjfftETtGmmJrQ-1TNNKcps8Owq z4#GJEab%&=sKW92Yv@2ok9tq7kaqaGxgMRl(ZJ2CK-C3Eu>mf=7JR51mD2uG5x35t zblxQ%{?!t&uodgS3*;equDQARizt(ezx!Lr5}G<4JB)i%&9J8Mpj(*z#3dOU3{PB+h3n?_04V6$H2w#iIasI^fEFlL?{rajT_$ z1jGS1Tg*b@fa}3dv~kiC=X4kcOhwXcfxtP6dEY=H0|AS^al1G(5O^=tEGrP`=p1}? zXh?6BWSxWW$_jP*96XdCatG<+(_MBp4V2Tz^MaF6q77UuO8gC? z7g{()WgNo+xiQFC(SkvD%;*;YfM;g;D;+Dg(`VX&rudn5tcnpm{>tK)$%-CV0C$1W zV;|D&2yCVg(zua~AJ?FX@S#elzkot!X!)RB1ee04HBCjgCp8`!K&k^hQ4d zruq`3`X0Z5q8cIRpqjGRRO@juBaU2zwJ>HXBaVDHF&h@)bwefb_#SDG)^Me`z0|1I z$D8VicC%O?D&lo&vPEj;J)n}{$-BN{aox_+$QXQfTqJ_r#GN8Mrqt{i7!cW&Mn~(dQmazz?WLRt2IzY&>UI;t*nt5Zs^0#Wf?qe9 z_FoPrXo;Ul`u+iaEz6;b)HLTxYaD2Iimoh)jnDgA`|$k|%lH-u^`?pOXI?QNV@iWcufeLqQHW{=}h;4&NqRKE*|snrQ8J{MpMhpnfv zEMc?C%oJzuf-EYPokAyoQ67KR9%LFd8KEF+H&!=`5gOXg%095$WGh7>kM6A?C@ z$7ST)T(g0Tg-xq5XQBHH5?Siecy(Fs(q+J8DP6bWXcc6u119k+9WX^FK*x+dSAmd? z@aQB)r}>|(@Ti5*!Zz2gM%WJ3K6?=Q#j@f!{z=A2FF`xuuvjv7Swtxc(XDQbEr_45(yF9P ze%qE0tYZ5?vM>Ixf-9*_BA>{7srq>0amNWHTh`>QJzFO1D^gGZdQL{eO+5wBb{gj5@z*)nMbiHJ|&l>aDQv3zgeV)*>MW9g0*kIQ z#InAz#HlY?JdoK=xa~Hu?W)!SYrA^=vS)oAR`FZIrPgZY5AzZaQBgm@hD>_#kJ049 z6l*I{{$B0bTgGd(N^5hi+HCE9^=`G=4ZcUUHN01Q7T(!hZB<5$+wFdM!NRU$s}3Jq zfSrt5u|D1!8*hnM`oO=7^)Y;V509arMaM73enl<$?U0_ zJ+K`aIMZ(soq-4o(dqbyct!~VkxR4&t|*$?JFBp{Q)+CjwCE%Y?c<2 zW$(ge#f80B;eTJe6#u*Qx`oSnD$TJ<8O{|Vjl-2)wBs{Ax}^l?3(FOFcSUO$c7tdv zDDHso-WIV4$a82M-K2m*$e+!R)qibUiJ8m_tL*!yj1;b z>2>(8JtRi&X+)ca2d{!Zp{-*FwvNmF2F}*u9tPUeMNiw^-g^m+eWxM3<4D+2qtwGf zbOpQ(mYbOMl~2@n^yuMC6F*SsOa|kf^&WRMnhS2S5EHQVah=61Wb0!W62x8a!fQI* z`k3N)v(z6o7o z7$*unP*Z0L1uV^J`v@BTn&M0$L``CgTOKMjzsw>Nx{m*Key;EkS^yE}3j2Ux<7K>Z z_R2`XohSMVw&X(esHY>~+7g~8{30gr&UwNmXV&Xfhpg8UkmKMep~IzpDv$Is4k8UX zML1JufwH257ZW^%oCN8e#3Ifw*pPaSj-oICb2+jce^$XTurq(b5QfB}>-PxWYB1-f=|$YPrPl z0z}}TcseZWSXhqGM6f(fB;eB9Y*L77eh|#{t;qGT-#~GVsB>^l+2`Rp*MiQ=0&q*V zZ-t~Tw#6q_cJ>0}>4Sjcdn$BOdEdOm4v6l>65tJOeoRdMZAkuIegj25Rw4)al)WZj z4|o|%JjY-ySd7MZuvVUp&y~Rx$4^QDWAJ5_!OC!Hyr~{9lOHOCAP&AhLX_ddW6qa{ zeS`}X8w2qro}<0RDW^;UTskPC&iKzBX4RSwnTi#igXL3WsfwA)I0!kpjB{89Cjug` z-OvEZ(@k6cOs(7eJC>4Kw-IFnp>yLrb307lfEJddII|&e zD>)0OpX_~V_Y1C3eK>Tl?II`xygi2vA)ga2eC3A-wyyM^cqKL#GS{uT$WOZ^6FRz=Xh@y(Er zl>M0qy8H5RdjM)FXqt$?LG>z-kH|JXbW&=T)IZAVAH(V&Bk~_RQ!fv`74sKv=~cPm zU(5{uVqW+cv%>Fa1^53{WP$*??7+A#BZRyjlAXXHf5j3+qnen{Q)=%$h;7e+m0K2^dATZc5NAzZ+^q2 zFm=r8PNY{=$j6%$T$Xvl&0D76`ZZvlIKkB`v`w(sT9_%eD4WiO)@%ce2v9m$sGIp> z)$)8kPAt?-WkZ_UAD$?Ybs(1$3Q&qH8^D;znvOY(sUb!s_XAR)$L20y3M@s?ROnA&p z#SV)oK_R->kA#P-zsKQfz28911_<3Zsu?nivR{q3yDzgw15nFpcN-#b5IzlLR$9+q zj~0UKsdS%NZYzUAbfX^u6MYX7eXZX>5si>@5KY?1&^d3Jr_QJjBg?IW5lozlzIlMsGYcJ>lFGKvCg0&#= zBSv`Rn2q=xGANyA%LT2G=HTeczL9FVQOC1b^gZOtXzzHlf4ktUf3PxC8n3lL%8%`Q z&cNoLW_`R-u7ob*eJOz@zKnPJz@9)+?%ZeXF*>-QIp&)lf2b8cv=j?`Cw0V!x%!7S zO|Jf(+9}VXYP>YKcUkXjxA%c@oVRrK2b6z|<)_vK1u9$@j606oeDVgg=A_Ru8y$Cq zH)2OnO)qw~>+m&$gH=2ag$JeRU=%zxUM^S0T9rXQ?UkK~;YL%gr7pTR{xRBk*!izF zConeDDHCWlI5h82zb{(~kMj<|^BA~VU8`)b)CPLasK#U`LHBlmrj{gzU*S{C_(p{)i(jrs`XK$REB7 zSlL={>;kM8)bVk90|ON?1PAIeODMr({CM_@9(93e3*o{rfY-1BW`@Ng9}5)Jj#Nsc z_{sq|3DyKw8zm&M2}BRpcZ?R{Xc&x4kRN0t;W6A{D0ZX{VBw76Xz|45%a$)+Zh8)Y zR9i>)kbC5iC%l7<4h%@*`g(f$`qZlp=-bt+R~MJ}ol5<6(eQPu{j}%B8;|JEx2W6E z!PtC-7?@+Wvcx!cC?xYJz~P&r+Z`B??pl~barO2=>;wCe!SHJpAkqF888gM^32yFc z@SgB;^w6;qNiRgp1ISXb_X9{)FBwG7hAsO9U-83`SL|{3b#`9C77O?GL>IMaSiksT zrmB{5*;E{)5-s)I08;Meen9M zKA5QiyW(gKzkOXs_x&>ffvzJ-$+~#PVapvpsYdq!WQzcDn6mBsV{x z{ag(htCbmbus*YhNNyuqc0=5DncIlZ1CA$f8}V#9x3$OHh(s`^HsY0-vsfFMZBiK@BX;F3Uv48>%GYf= z+639^ZA9@aWE&Ad8{3GNGCJ*r$=XJ|6QPA|BmN!2cI;|RAEIr-oilW84cNNVZ0Xr;mFH&CBy!>y6pF`GBl%d1wMbn1#zPdVizjxQD@3pToK`X0bv znW%Yu`*ic412;(a2``5|PV)aWPrKK9o~L6) z3UQk3P>7RRLLs^r=i)L87XhKrCW~1}D6|3WjL_xNorXeC6I&?sX+^rnODg`b$SC(% zl^K8B3>BNW_@i%qWu(%mRe}SMV;tug&3rnKK<31==`aL&Ew_bGg)c*p-E2tW_lb!L zK_1|8LIKJU#0D@Hg1iQE79uOoWxR8~KQ{mwgIECQb{)M6vehw&_!Sa^AZjB9xr5PZ zH%wLx@+d+Ji$T7KupQEP#;E9LNm2ZLv=RQ|BT^=Osv+gXaY@YLG2E9c;{tClD@K&`w@vS43;DG#Zv7FSoLQy(s*c{IrCrQq12i!Iv!M3SdLoAHTJ~Alh zgX~1Q*Ss2AKq0yV{}>G+EYy57fzf@gu<+M&h2rcV>{jC9z+cZ5(t%9*Lc1I%2;YVc zRZ7&16NK-;-kUAF=mg;d0qN8U!pE?+-$w@jKRZGADI^`fk`zFeIzjkVBE$yr^RnkBDaW*As-*azcXbb)$b6 z#K!dp)wupLPY_CY`jCnD1mXU9E1ozg$N~w3o_Q_{&oc@%VNLm)*pz>_XHEI9CkV|a zB}{L0{G3E*$02DL&wYY$bzoCGnbN@k|JM_Q;$ar-Ym$^4J^#YIbmu<>s0WAqwp^#M z@#9-KeysFYDLxgt^LCyfq&ZjUHDk%)&vAmVA=bM4XVFP912YjNjm&B!=-on_3cpg*EwR^32nWdf)BOy@mHKi+=*P z@ZN4Q3)#ZEAMA`Ryzh5;3-9~b5s`b}`ky>PXxW8uH-^kDyl+6oCTlR!Nd<^5jKpw6ktfy(Scb+{&{+(Cs_=Pbi<4S>>;gtvtkSY~}q3t3j)h+7Xkr zm3JI4)#ZxKrZJSImcp^fkuCt+8KyKtDIptcb##^pqg*-{U_Ba&Sn}vV zeEl%k!HM_5{eTT=&VOd2#Me_?j;{qOfKq(f0LH}E6PUA@GfXDA50LQM`)1W;LyMQf z%Tl~<&(U|FY%RRRuMpvdm<{3e7?Y!&Fj>Ou2w*BucpZwQI@G}2?OSk|TUOk@1;3a> z4$|{{FIozpB@(jRB1%(;`u%u#uJ{!WSWEo|YOcTv_{KFugi-bfA@uHxu=4{@%Srhh zMBt(w&QopQLQi$TD-M#hUx}82_SqsGe8&NjG3jl5s>8G|L|6HNG5^0t{xA0%DE_e~ zIryjS^YEWb7F`p7TY6vzBz1YQw>Yae3*=!$N!w&$9uiimh>V*%2 zZXL%LaT_h}5RryHc$fGt9lse7O`)-aT-fesLz4A# zrvu^v6J5d9#`#U~j=St#nhn-)8KK~0TxA0ni>p>(&N8ktSd15cQSRbpTxBU<_s(bu zWXmJJ{FRQY+6UP9+a3F|3D&}hw3abm?UKogv}y<~`_QCX;;tfWhvc7D!k(6t`q!d` z@SaS-j*IACA-djQK2K*;ro(i0jo&~?Mg;7e^^C}hvL8ao-H)tZ7l2yo`nwQ;gJ%4~ zT?uADB2`DS??4mDvq-=^(?{{h1XQFS1amzVxqh49Kyi(zb8t=B=ixe66!rN4+>-6j zLQ)so>bVnY+v&(jeKXH!`U~3ei|mHzyI4*k`nDe#yI>l+;2VAe8F<3H)mIrD&;O*#Gl|mTQO{8W*@dZ4W*Q|+#4l4{ zl0EFH_(d9vpydD=w8W}q1|JraJ*9F0Vo!w^qU?N6sU!)` zYy;dbXJWflEdi>Vc@|}5-*WGpwKz@o)|qtvuF&rcQeDd z%}_CG7$@cLo_&UJ(U}eZSF43Uw+3T<%D!`XK2vAWp*SCB--qg7DbBBELuxdKPJ}qW zh06#9w|NT9RW@)jao)t7h3wEA#yjC1xeJ%l+)}u1zfldc<)KpkN=x%-3yjAxA&!U* zAwJ6JH2sq$#NUO`GKLIJb$$zL{){tIv* z`iS2^sS*V18?y`%PT9W|0e4@7e>(uRr1+bNz(Fy6W;)IClW4*s9NoY?i!W27Ng?`g zKM3Y}U*!5HegnldqRzoJWuJ%ZTq3;ZrNIq)1|)T|y&m4co)+Iz@v@S5;s?_m9f|qD zsR2I%rg}f5`e46-q8cIRpqjGRRO_KELu|hV8y4dvld{?dE+(trk2wn= zVY0|$4vnv0$z8k@)|TRRJC5E3+44Xse}xEZ#B2!b_b@u`fXNcpKSyX`!um;s?NEKQ z%h<1$701dW+r;#u*I)mHcEV$^WbCqtQow62Z}no~S>XsAp#Bg5{%s;$L-4+_%n;g? z{f`lN_l5T1{%WdN<`78gqI_Ri?Ut;=zih-WLu*0&d`>HqGWl&=K82{y50ZUxB>G~B z-$1EDtW^$QQ1*FzkxPi5AAnniJ?B7Dmp3{&YP}irgX3F%1Wff&NcH7@14T7L&OtS0 zuc_8UUxpC>q3k7USK3(hzD!KCc+z@+nkws2`qj6!+8Q3;(pRnbtSWo27%hkMKfHIolonn^%> z@Q}qUBtCc#*ctJ`ah=8oK$va8{WwLsZ*Yy`~WI8aq&U#*|k!u2A}o} z3=z_wp~qt}u}nIT66PlI=`c|EEjNWwEiMCvr`V8m>PZt3C``LewbM{=GElIAiv=Ne~(DnEU5OAY%m!0^O#gpF{CH_{v`)u>!(2VufE?d@xzDLO(DS7%MD8QXR3v z%puvwl7Yf=(MWhqr(tJBbQ?aT=tseG!%`fkPWKxqxrDHNBbpH++o zA*qYzedUt@s%fW}qLUgYmBKx8jeQ~$nK=}gel*1R4z4nD3 z?lR(o%z!mZ#tbI}Qkn=L^bpL` zSlhgo3M0ObWv9Xjfe6Bgxp9YaGf&=t2AuRyW;^4yzZ~1XYHj{NU}ZocAk+8)0hN_4 z5P*AJRSE=tYiSDsfxuH1vyedG7hq=u0xLTY1XkJtft8AM-zXvj0gIk-dp9!>IQsU9 z35*eq|Yj0T1QMcXOoJ|m0HgMitwTsjN^P64jWG1z_fNuLx5FmNFl(P6A=Qe z<1%uF05)*35a1-tS?HV?jqwh-F?abg0I-y=+j7(g+49IKe}x192-*k$mNPo-fyoL0 z>If}tv!I5s9h!9Z2=%RHMfjVH0d7D$=`u4JyDXvYS#X;&izkyOk2;MiI z8DRirUq#^E4+HKEKrQv@>kxs1ay*q|Vf$TZBG{fL5-`tnDu+x8(R=(LnCoXD*YETj zD6SE84z4NtJY46B2L3Gow`BYCkkrX`2d8qrkNLr;M*Ikv>eWc~xBLc*YJ{AFYRXc>i(}j^Pj>OxHmt~KDO2{i~A>)shtNrfUni?K!lhp9X zhA@^8@Y4m8#?zAeN=m#RgcVDPcL4>&`;4OWg&rUHlEnd;ZH3$K>DYc%i}4Be6@4dr z1v{t77VMl1DwaGdPxrWzTTd+I(<9lEM$o0Fy0Aw=Pq1|bW7p7{YG`jR*Q7;D@3FtVnd`~&FD1!lO@vM zi_pSE`nwReLorSnD~%?_`6n>F@Dtr;7e#cZ5PiyDHjn=6a3K1)-$1Ds1nV2K3{g(m zzXJhxUz9%KJ=Mv>}|0cLW_drr7+Z~AVqcOiibd(7zjh4 z6ncCJBB^$chj%5<$y8|bBc(QX)Yuf}2tO&zkM>fQP~}uKPdRP*Go{Bb!?IF(Tp$41 zI!}GpE+xy8cc3*UY0qpl+>p=1hOC-S>~m`eoLOpC28-~~u2#7@T&&@1TBJ5#(6-;? z5iDwA8z@9q;~%3bxK|{B1;%ti9MfOw!?m|jLy1=@AY!=1VipoH+`wFt`dHs-#Nc?i zcD*9qH%!Th!6Js-EiN-+cpp@3;v$CL4UKxMe&+a4;3B{MtWPYH&SQtUiF|Na?cgP& z|H4fnRHMrP;?rzMZD{jE1Q1{2GD5-00Kx_?7C?Lka~6^*K_m}6G(S9%8-a`>EC_U) zjy?|A@{lfng+vhu+lV4Q!RWLPCM$~gKZF(*Mf?F_J0$M>G3;Yo#)sh10Q^@nqL}}3 zc3dhcyDp+sg=k+$?7_q{#U>oI=K2kk48uzJ#y2CnpzMDy{RNs}H#}va-X8|equzVB zajiY;Y&g$6S{i}3m&t}|T;ZBhBkc`9Eu)JiNS}lHnHXKzI6obYL}!_yk}!g{VFt>< z4}}@O1Q|cgZ=e`Q>^T^x?DH_5E5>+X0B*_o<&f0Hc_&W+jA4F-Xw;8@slF7cj{F9S zYJ{AFYRXZYuig+^t`AoFw$|%gYn47c z=QGx?1`doldIl>))zK<_CpNJs5cB}RE0JPDY{uh`QwBOir8@Hbgt-pasXO20@so;4 zQrU?3WTARez|D{uqhM8&G0Jg4G$-N|F&u-ddSUbiR~g#~e5=T}Qt``M5l1S15$OIu z_Pzv8uAx_GYo|NVYd^{aaC)q7R1)8n}R&+cbsGV|(J z^{el%j_^E`v)M= z8P}JZ$!9Fh#6vSYY%%lE3=e{x=n_!+(#_B8R5PqBtg-DfJX3-08g`^+uuz}m=%#9h zUqi(vPcxjdWujg$wLBGr{aBEZMdx~9ZYY-y)xzwyY6QN7T&jiXY)GxAIvHx=P%gvQ zIjI(G&V|&%Ow3sjpb(7V4tYZ6@}*X=l&{-z@Kho(#128ukP%dSz(PzIF! zTJ#wRN0*hsxQEx0rS3ov5`dn8%Ag*_`MGEzaGp-j8RfPz$OYHAJz$`R5a?&S4HVGm zat5F&`z%0b5(Ia6_${G+4J1v1x)VY09?TC8UAaAAsD}~ice)J})aY^ss4065wI0n< z1i|T83xjhT$NtySNgy$SF*OyPzgiq@k^lhKAAKYE{B4^AH%q;+=L?Z?!(9LP0e7*A z*UuD5BRuU<2g-`hD{H~x50xxFQcIJ$qvNp54rDR%zAe>TcSh@D2!FKVsZrvVGm0%o z#mdc&r|JyO|5#A>V8_3=nE9~d{{}mQ9alPM$JR4A6$QGh4@!1yne3CJo63$)dg)}c zBRnYnPuh%JTd_8Y8*Y&9bmzxgm?^w?m;r?Ph%{;6WbEp*L~ z5nqFDClIx1jb=4U)1Hs%MV#m{hbW*Yx!{HFvU&8cVOXE%Hc-3)opp^_1{bF6$I;>L zbKy66cr6Lq8_=1TRz_Bo(`D<(id@+_6 zTLBkd0LyPoLHM{MO-bp{53%-U%TMht+=W}%g;l3@ZUwq)Fq9g_Lcfxuo2pR;p<F1jum5+{+pHdLVU+ z1s>g|gBoPZ(}4WVN4KEchHklrz0=j4D&2A)y5^@_?m@Q`NcHTd>|;^!@*oDJW6Czh)c`+d(yZ~l9KO77_N<UGt;uKS#Hdm}HCkR+O~w{|b)QOqqfM70^Ri*nu<-4|qO!2@Xwv z^>A5U-H$H2Mlpl3Q}#c>YIdKpALFj3y1M^JL@fd6IY8O%SodqJXLA}xyKNfgf|YJ> z81y!R-sd(@gc9A)06Jx#1@uhZ{SptqrBb>Wk|x1^Xt7?eR)%PSynlC}h(LTNVv#MG zVvP2O9HC$k%g+S^ZjU)MFU8P2(`}$agH_BB8p>XWMvsIkT>n31_pzMjKbH>gY^RYz zkXF2(Qeasq)?r7Xq*y-eP>jRXJ|l2{e_o&9H!kZ;ywK-5L@|-%FCFkJUd3#tNFL#P zH#rbj9A8-rhJQFRe0z;ej*k_f!#ss9*p}P7Be5l{Z_COjf!UMS9Z?0tyki|Qi1O3o04%`2t;ypQyKTyp<LVNVI>(vG?V&l4w89hSX@@JQ+m$Ph5ttbCPJ=oC^`{ zpJ2{{Xlo347Cp6t<^XPv&hIO^uK=BE5)-`4s{F<^q z1|9A`zuxBIwIq65(1Qes=}=F7Br0Tv&_tk&hk76Z<17yKph+$$xt(CFUyWE7-3AJ4 z^g099lzkSgGtuhjdH5~S{zpifh;|1{;*FQ7`7!NLALwka=IT_ zCjUklfcSeab$-;osq%XyDGRsLCdd5|yhVyev!|@OX|79`r5e7d3 zJ3|=U*{Lvqn%GX#+^Il!jYd)!SU68|bW?@FVXsUV24?pF;Bji?)_&wzZFr(u>WkfM zzXy&M>V{udZG1+h2^M>ARLvV2+WZ@+6XuM2Fe0Ty$JX{N7z!H|xFiFwzd05R+ecd+ z$oC5OmhEk)J6fK3No#KromvFBu~Qn*_VOy;U)Ah`W0ZY6i;W5#j_ez*jTI~7ed5Dc>FRi?eY=3ylE$a0$0ktkTe4{?>dWV9tVBTi^_P@$AfANWp3GLU-ff3dokHWBFTLaJF++ zAom6@!qH)7a3lN(ur@eiY{b98=T_Na9h|Aspsz;P{C0Y7L$?zI(vo59UVZRgPwb1! z^WxV=sUB0N@4#F{WK)bd!U3g+cTwGbGAVf{&W~?%8z^Fr6?09chF+!YZ^3GGU#~vm z;k8sy52FVO;aCX!L7|9fB);l4P?5ko zWrzf2pCuBR)a-9P{Fbr!4J1v9#i8;`ZXu8@n?$x`YB*kGeaL|ddTxR8bHQx*;pog9 zntL!b)7=ItG+4z9p`q+`X!N)mWoS4qzD$gZuRs)y+0IbEC!_j3pNI-=0TqW@m7OJg zuNlXid~KptpJ?T)l^vzE3bQOG8p)FdlD+uDa3y)-Mf!C%#2%;F`w&xA3)rpMuRXt7 zfeou-_3FWDZD_~dTlO*wJNzkd&uJ@P%%7cKc~;NaPtLFGc}l)W|4=nZOTr%0M0|!L zj`(6}d$uBvd)xCvjd5@LVBnlXrK`)8(Q+03*MfI+_TJcDz`tKzY>YPdK8M7}X$Xy| z@BNYb^7ztTvAqm06T$xvs+(Pl5Ul#1d~JkYG1@vYxW^=JeA{bwLq;OlEMj+YN3r{G zxGw28!D{)bs~mlygVS_X$rde<_E54{Sl-x+g`5&ApHac?0GwNRo*o4>&aRK9IS1sXi>l>$V)+4%uq;A%2Ui zkKpAnWrm~%`fNyz*RXdw1XCq7zJad!NsX_e+X>uu2F+b!j^xodcpNQ6tYiWXlX#X3 ze&Q~lXYz+|VEVD!K(Qlq*foY35`wb-GP>M-2{CK0H_X!^X%fs!l!REG7yqK4K)xDL z=oe!y0{TOxmRO#rf8YHvQ6_D1nup&~ zTdanpNkLj*>VmkYm}fp#tTOyZj!bY7mIH4pxIN<#d=f)&zS}^B0IQNA1eCoFfgT-G zG{hHWr&3FdhK4u|H3Tkr4$!0++PtaNOXD=IsH0}Q9;$DMnyu{hu2ft94Ui}!f`(`a zZ+To402HyL{rT*4y4ugmoyezUQ3KEuW&8R?JaaYy1jK|8bZQRUfh>sF3(tP z{)1Z1pV=slOf)KcgX^)V*p`)W0CsbF4CJ|ShW=_nI<;wVbNw_nSJgmVn^h|cr%O@7 zlT7TLZBCO;@j01gvx=T^Jt@p@vV_@#;=kTv=0ovc19qa-5=Hn-rxYJ*V%x0xi~`-& z@g>E#FqY)#rc(TWfr?EY#h3KFGVIIpkGC!qhqLLN=+CDCW0Q1;dS@qG|9jjRe95%r z`j4?8wW3EShwJ~6OYwD2a($b7A+G-&%vq3_2$bQ@`IpQdNV;$FK)37Q8;~szp7J*z zx{q!fbpM;|osPm((fz}3#VI9q;Y^)wTMS7PDGp7`ebvhFa#-IR7V{1d$-&8JD&n>v zl9B@_66J#BZjX4b_&g30_VZLkWi5zY_*l>H*~z54>-LJzMcw_J}NBG=G z_*ou~-R^oNnhN0O$%G;u!`_bNkPDvf_KidE1q{LEZUYqptW1UwQ1)3ukcr^$^6*;* zp#@2kf^e|O;IR|LN&doU62&3o4>&ZzOR&sbaFg3h4#yWU94~MisBmDlGK7P&*Wu7( zV+z?n2y0;wZiDQfsy7TaZ9G5UyQwnRC^q)M%~Y@+KU6M`kHWzR{s_b}^XqfK(mJ%( z_`VZ8%LVUX*@OUP}SiHhpI|L##zF&BUN2l?mjX~ZJ~JM zKvkNPvEx+2Aq{2wsH#3r1?s&|&hpTMC*TmQaehiB@ppgI)W&^ zJ}|ZZyiGb=IR~bu(c{9x+h|-^06!euhz?85#pK;NEVUH8c1aZo8=il&M6;l*;|c|R z^f?waTW0~Zp%Zbk645Y*`|!|XjvIM)a(a)J}=$}tLUXhX?&>E zUv7<6@pT?`sz+~B9Pi zt~ye22MV5#tr@=O;OGn}sPWaFf5hb7QLBBzb*EsZ3W8>hU8-E7Cj}#$xN=2*eU$6m zP%a&c*S8`#24V0PuWw{S8dCle6R(Tyty&Ja3)_j$InXpxzL(3%C}C|5h9vBpF=xTi zLr{jxe<*YLQpH-z*Bvr=9c0V%sQk^MVq-5ni3SuFvRDyA$iALE){&ViA^RA*<|kyo ziEbyUELrV{mi;-JhzQP4N4^yC`c>IA)MRal*HyT0Hn3h02PIJPxr-YAiH-E!g`5@U#(G53X) z!{Q_EOaJL+eJU=qDD!cdD{9f zF%kDdEGQ=8MDd_&O$blqnj$_z62Gs zN;%1g)1+MDR$g^Xs~fe^*0x;XAj{Y{9T(rBs*V{VF3ri9f)fsDDCK@5-QIxPjiQh9 z0-Ua;OvqwOB1Il3Z9g3;Z2` zH(&Xc(D+6pR9Ve^_JMkLK1E<<}8@0Mlf9XshJCx`pr_f?vBAi z$d+eO`I|+*#djfLL<+qbg;g-b+rjLvj>c4px2@=!pLn|z-A)j1(@IchiV{!F!62rW zk^&!d%*2yiFzhayiNefTFlr3A4HT6{XI;~qA=@bXP3Umq=~cGg+!BF@JhE6jP)$U`ekkdg*AGe0c*-W3)Y#$+r1usOSInwNt4i4 zuPOncapfjH{kgl38$E1yC^2USAowJfkP9Ai`^4bSM(`hS8z}Ix5*fg!>^1m$v`f)! z8?hFKhB7o;L1;Fh2}-a5Cd63yZKiB@{(U!6R#>kcHLyOVC^cVAgG73?q3P+r9DpjJ zMy5igEs`oNR3THOp{r4mwm1O?;hM3<1|IU%0$XhKYfPQ}5le`vGf{3fbryv+HSXLN z=VDt_(RZt}sk(dnh}U2s!mHXI>TI#a%txIa0(OQvn-63vT(+4>aQ*&p@!LH4sm zaA|mNa0C8r+Ny%}?(O*sbXTvI>de9>lB1id&elW4tm;fM+%$ETxO>&WEg;?2(*&9< zX5R!_e4~v#Ff^LO)tF2ZZfQ*0C(%ZmmT55J86wH1*Mx=EYe}-I^X<2TNZN>lqU#0b zk`-8xd*@x3*Hgqj5tpL6tS zW_&G|lTobS-5TLboO9{Uo}bATg#@O1q;f?rWG{syKYiVGHOkHWP}& zaBlmG+dz?9bk;Qu8Y+&me-It+zKZ*A53eP}zd{cZAfApFj7Kq^{#wRZJl7!8qg*f( zQaA$4ATL3X4{#eOkkQ=?AXD~PK+YuJj`Q$a!h8&(mH_jSEXQzK=xdfs)oQcUY*uRH zaXEK*a$+@@rX|tAIt@L_1#8@O;9wn&!CK)qP{G1VX9yN$uY;vW#uT~tfb2kOqBrE; zN|bwIb`;+Nid=@*3nRob>y>yNSZZ7^7r1W6A6|1Q@)dP6-cg9KsuN8Ar^7WJyzaRR z9DZ{C8Rb%OIRET?t5~UC1-IfoW99Ny%U563qkd8%Ow+TnhyINTd!9jQ;u(a^s-^MK zRykZm&uZ1KrmTDhmq@^%qX8l}2{r(5ZJHv23*nzP1{>kOv5WbRiNO7I&^iov{O!Q0 zI-Gf}rN$nbai_)1lzT~sGaKA=i7YJdR5QXcQ`?T-as|3;XpoxG!d;SwhE&b?7O0q2 zGfJ|(Z(^=bj5W?}8>J57XYovRK-qLI3+5WmQ2*`ZMY4}@L-3{Batr4JY)CEWNt2}q zKEvhsIw&`CYz~I>z=tqr!6ZXahKHiBWiDR|0ZaM1O$YCRY_$*&zr}?>@G+SCLZly^ zHc0<_**hJDsUrQqM%VmE|1Z$(1gdmkiKO%Ld}%lSuNft$2fU8M7E8*Z3n&%b>I;b- zKJmo73J0zI+y;vJU?p7Rn;`}$`=82q!MwlM*zbhkk)D6G@wfJz4HcNIi(~M`2H8xF zzi>^#G#BJOyp}BWD1D#|NUSmS~HP_GrLUs&s3nhh673Z zE!-tJx~Zi92T-xeB>hC%_nq|XZ1#opo4F8a*kY1~nPSUA4L9mn9^Yt``6D+3UrsGa z|8LolTF}LlMfzvop<1f1gOc>y91M~E-(w9F={KMZ19WKS@+IlFl&{-#@GB@>lYa4= z59vpz4buM`OBAMx^sfS>90Xgf^!FpE3Cwe**iaMARv+0q7fnR8R0fuF=e(_>}!}^tt=%{R19eOM-b7dXND0vB>QkRpR(%`Lom%l)pLD zsMK4b7~DEzKUgn=|0~Z3>X@wv#!)g8xaJP?fZres{IAG?W7PI3E*CW1b>k48gCQJu z8>kQ>7#TuH*=Gr1CYA7V55Hv)+mJLVh^!K5083E`^jysatP;duIY_~qv8Y_|Mz?bu zg>@K(JKP2;3Rs&AQK0N~6!Z|9q7s&2Eex)1Y!mb=l^{+cFQxc;Y`_Hbd;jo3Y;eW zB_K{_c?pP~as32~vtZ_-9)4>v^HC4K20KGNT-d34fSTC03@%ilyM_s=9xNm%Il8Ip z;e^*urg~T<#++@q zdDEe=u3Va^IJJnZBD~`e`&^HzzL8U0iJ-?YTCF#BCq#4Y4K6bN*6z{n(7e>iDW;nc z9j}2kqCsB3hBS(d#Wcv0q-M;EL@6ma+K_oJcomnGQHR)^4C#;;Va|eXnP`TKe{1IA zr2?@OuRCCH9b~H&i1;n8K-z~T;TV219cyCflIO9{Iy_UQOFn_F`RS5>Lbnr0^Nb?) zz9?b-H?$BDlnFR^0X>7)6x`)A1slNG>x*s!MZwTv*F2l4MtYV?!dSNrGk9kKk~$*Jh!+v@zj*lmb{P90Df*rPamqdm;+cfW zG46`0O}rx!z68u?;=3gA-3a3qlZEZ*`y{I|zg)1=?EynQh*0;r4OHVsmoq?3*=wlv zP?w@FK8!8Vn175F`}s7zU7e@-RxZegm(OR46iSrMpkK9Grn%!XM|IV?AZaX411*-j z0@M!*qm@>9Vz5xD^_$0mo(dVaXE$I*ukM6bfiK>l7Sm@bcXiL;KLPk?WL7s7BaT}M zuwBUZTf!u-Gq@nA4g{F z#DZ-Dcip#_1y-C3pLvCkYh_^CCnm9^f|*z6Tzg(P8z3B=un#)d@a zo;8_l`}15@Mz(EpGQ_q&jyVgi7RHl%G!5C`%ItvT+ZG3O`wl(`*>ZoAzp2jld}zTp z1uuaA1|NbMDfHq1dTlW453_eV6jQ~le~+&DG3zJL?F9NUYf$vJD7T*dMvfOJ;ZOzi zG#B(hB8Lw=k=1ehn&~!B1O%OSjco?IrtE($;{&5mH(wMu$-`^Ou8&995}@t@cAbFs zX=o;T#~huA@otAqbHN#IhZy&35cf511BE-*AOr4{eHPp^aqP=H{FcaXg``QyFAjfc zMg}2Hx^vcaien}`D!4(0K*p{ z_J)`UtA&-BJyb!TC7sr8&^+}DN63`4U~?fZPEfBJq~T*K4XhT7X6EvBd#NYPq6)ms z&BHiPgl*$bayHaQhVI0YW3L?ue_(IJ>&r0Fprp1x?h_N(CsZqh%BQ}E0AG!li;8I{ ziDa7ets9-y!q|<(^o)BtHGzjLO~7O8<^hYD&(_U{!A>-A;vTy@-MWFA*tTwVE6`n| zi_{YqDwG`ER6X$%sMzG`iB;z|ik0z^iJ=`C6h-_bkzCg=gmdd$Q!I#P)S;@_|4ph@ z_!9O@QLyELKZl#sizZW59GKZj`C@|2$&jk}E7n5rrYNG}&U#d47o@JRxS-p4@Ovnq zhg12RkFG$!4PEg^OE9KNSDXn*IXJyq);tYCO(47T;d9xd-!0D%jzrbPMzj=hizMW* z1(YTiTIW!&cBx5WMyNScKG zl8Aax9U!hVqIyK7RHQTUx$Yfzqa(TC?QYjOM%Q7C-r_b;F~YiLh!JJ4W28sP6s@rW zYeB3XNzEmp_3bMX1i=7Jof}|AXC92-7a5zV*GsKxaZr2%^SOht?mawM+uc7n(myGR z<1_5Ln0mvC zb9hS7Cu-WEKgLAJchP~E2oY}iijZiWQX3|BmK(6MsOIVF1(Fk6rt##$QaSOgH%}%f z?mutc*pBtvG7#bu5|`MGtU71Ib3?gwNQI9A%*+)4?*;ZHY)H!L*2$#8r*K)md|gsu zo0B0bd<5n!X7>=BJY~@Eac1W7B@ecguiJL82(r~YSp4S0gVAY&2OrAb=}1f!555dt z^IKovif$(mvUx+ru^srLXJxp$Cd$Z4XeeS9PRBtDC<&}7y1n6{>%};94Y>`}Jdf_X zMmU27Q}&ml=iO()H+Xn0nb>vcK?3Lp0}EET!#{1%--6}>`h_~NOv~`MtvTg_Tit$g zI9`I`xY=!>!hsdb5Dv;dOE@x7NZ0CpxZz} zjV@<^nzGkW>+vsz;cmiO80*r;YWnE8#b#wFU&XVye5-h6ygt#==Q!k2d(VKFAqDqp zuI=w{ma1zC@}uu^K1Z4h$L`1KaG+?sm9JNeEiji~0O|$0bV`N-HSNr11_Ag%q{?Ap z-0NEvMTKXIs%wX6BKw&m%8CaoYe9mKL=v0?pUHf&4pHWNj061$-JK~$AcO1bzr={{ zpRv3c(G^}ms@k{^ zDmHm5@P+e=_47An5F5!S=XFk76lDtPjWD-n|Pg zM66^2Ei1oj@4HVYsbq1^{`z%;z5)Qxd@LQt&OGuiC zb_b{9XT25j%LOywhof6D)UQFP_jem8sL|yNP*e6AYCW8#2#1#-`CP{?Tz|U>>jz)u?+R(Z4-GQA?HFt9h z=*pY--*3O|aL`PCeXuq{$Ij}F%2=_nC*P{os_=(mE00?}&3toWsGM)sOGA|r)ztC* zg5pm2&O>pqTIzw6Dwy)U4(J`Aq&KbUr@6Dg)hLzv$BIpO?HB)Y{-!=GqmaJ@UIZ2; zwaS%dk5KHj@#-EZvtEa}9!i0K4mL}zymXSjwp?r!@*Ci=TxoZ4tX?hQA|NPpaIe&8 z=7);oJ9m?#gKw&2WfRW3XbfKaI+ z7#YC52Beu7A1^_%&0?bh#o>wq)RV z^3dB9=q}zVmxnA0H#xeg%R}#kicQ|~(2A|4VZ19Q!vazA3twhloi7p13ui+M0b7iP zfVR3IS_(e(Yq3)hrpj-?)6%f*Wm5Vi!UXW&xNt|-u_qlM@g)9E>v-Bt#<;7qp%4D`DZ z=u_PW3TSjW1JIOx7N9e&6J74%w}g5dBu#?4lMUto^UDQgw+9UMy$JQN+dx5$E@yz6 zve!`S@hoMX=$En!SYFq9+PZwRg5oVNCp0Pf2G!*N8_&C9+tM@F6nfwT&aFlTq$jAq z!9DqP!^N@uxz)<}4$z>rdj3hUcr?DFc}{U?j6MgWHQ>cQMSSnN+kFbr^sT+oCtEMi z_d>vK#6TymMJ)(dPN}-+pShRP2ywHc4e)zZ?c5kFKeTFVBc^IM zOTSs1Pi++3Q18cvs+xvt2X{r`3@1hBH0czblUa6f=~)=Y_gP@{py>Bm%zP;NyTHz% z=eokH$A#T(WUF2&1RV_eXA#6=tW3Io`BLw|`D9K8|G%%nrf zb`s)ZOcddxCFTedxufGaHU!_6ZvJdcvc;#rJDFHF4fvOYtso|goo9@VQC{Y7HHEUnM%Vn*+cVMaBuP=ib`zBpHM9`nn;{Z#%dM zP;Qj{)6iw;YF$=tFZJ+R%83`F2MI7w2jw;%Mfnai5GYT>$Bc1XCeHSo z+cOTqColw`bsMMZm_|0=)hNvPuC;2xd3(X!3I0#-kdco*K)zo^S@ zfmbWCR#f{=Q|9j+!Bt!S*~<~90~X=NVH8$#lOGX-G0r65?ZpYv5G|aExrkL?v9E#+j>qC%-{nfuqLuz#r*?NXuo@Gmb) z+7AKa7@V#`byl{GA~>$hqXspS+Er;6w^6)8@Ew!X}%P0>jGn@4xudoxSYqiNU|N)+#O+CX^g zoF(CLCF2eiw6Jx|X-u_?1{Bt$SP?^$zKlKA zk(nw@`gwFMGVhra7`iP<{O8c^1hF(zR-3}bb9e9Z9EGo76wY)Ts3>4|VTw-ulJKn&L(r zuqy?rtc5OA-){|9))a>5byOH0#=Q@p3VjHAy&Mf*gl;QGE z%v`<{eU|cdw+!}!Y0;6M^y!k$`cgH;u_87d+4H1Y`X}#QM2z1BEquodIjgJ`2{FRNfmr{FZ3H z4w5FJeQ5ahPCyZQbzJN%vL#aksQn>_CwMQGp9|jO_LxKSBMi+wZUYq>tYU`HQ1&`B zdN@pxerIAW483JYzs2~r;|4({7$ zN(@W!x+?}-AX_al#BUaf5j*Ng2!<5~R>M#jTiIb9m8nt~uSD1U6voTZ?F9PUL$zL> zAF1vZZAWh{y$Q`^42@JA!+;XNMMZ8;m^%CxXR$lo28w8*>#hmU&>NI}8~yIS-gwZ% zYsr8gKo1fCKM?gsbvJ!H0DhLEsDBY{1?uxqKrV^uPQfU?&i(4%9D;e|AvD9B?1+fkR+7eIS80jdLW@_> z+*v}`VNy29Z~I8zS&G|6cb8^}mce*Gs);;U>w^P1SoZfQngnm?U#Hn>1mwNtg8D=I5Uu<+RBPLY8kKrW4U5)iJVXTV!bY46?soJ6 zbP3N9z73OiN9V0(SDji!dRPeO7uS90yN~W$5X}f0cqhjS{}r(^<~DEb z_s?ud1IxiN?RR)G2rBGpNjUnDeJ=PWmzGfj+T09jz|UdMg2_fP4ZHAoW*4Lmw78(V zW$-X$%hRj;&7uRBB%&GCf><3x3x1lN)=`=&EjaTYY}&~U4BeKLcp4;4q{JhtGoc== zMU}~+Xe#3wNy+gHC{Zpr%5-`qU4+>3k!3MVzjP)GE`dqhx!WzBKfHh^G1?x=8Z^*-M ziS_^_O+>qc+irJZe(>!*w+9S$4?=y7+dx5$E@yz6ve!`SaW6&T{h7xsG1eOj?=qqA z6nzjv2EXB8+~HZNK^yF+|B8=bjE_`CCmM9$Ew40(#RxXvR0Vh|0&C2YVFlPXupxs- zYVw=IM!Lh$T=#lMG?W}PG7ysRXv@u&1{$U=#0o`q;jvCQh3ibC=K#=AzT6J|F(wk< zjpfEfqVNVp;t6oWs5@MGeDO;UEj${))P~5NV?K5c)f`=ta+SxSMNX40DLI+t&>}r! z1gOD$!O~znB<1HUWi92&}BXHSIPRdgDO-{zQ5YYic zN~Sv+laazH4QTriEhBB+UpyxDXg-z$0V=fKETS>G6G)X|F)C?CdLr&CLH^w|Jsu4| z(wZzfi8AsCOx_(Cc?!&1t+G=>Vj}ByAwDSRJmRtuef5!zJ!U32>jhiP{W^7fr36;} z$`I0Uvkq}FCd1^;AK5NEn+<8;I3Xq(koO2rUKNb7eaC(bQzO9ktH|A8|Xz z_#c7zf52^^@W+~Dz@M_uf`2BF_;nAzWdyzgNs}Uwi=05jW*^@rJj9Ypjj7gWx?lVR z3(p0QyWQou9EoxHq1!;k1?!g~E|k5Fiyk6V6y-Ho3uDGI6y>4Dsx+dPAE{JJO?eg& zF5^mgtPz@fFCjDse;7q1Pl`w{)EUKN5G&=KTVSY-CLYsbdsckIx=UcFtm1oClm*w1 zX8dEIa?xSgLtq?XG4l}^iy2Frk&f+LU|9F8j#Z$$TAdUamI*Ss)ujrIjZiVGz>xGU zO<)|Iv}XmawgKnwnH;3uiXqF{H;ECyMM1b=hzysrF_|G8)3C;NtFUkS>{d}9W`WT8 zZk5m!;w}v2%m6Vj9?7Y0VLLq$_o1MU4LTQ$JNknX9y>63cZA0p>HCJt?$WT2{(yRd z0$CQ@Z4eNU27x3xySNlV?|r1mK{3~8PU=LF+>C&E4ZaaY@=`XWk><3RA~`Gx2sM8u zC*bhY5c&o#DWfW}xfoIa&Hs*uBAnrL9La!^!2K6) zZQOIZC$B^TV z8O!r07d99&C1eRVU_fd|BVgG35cZjF0|h%)AOq}_eHPd=X_TcNeoNp_Km-$j@8mlE zvoJq+d&lhoLtQ|qPjwq8sL|yNP*e6AYCZU+$c~3(hfs65Av=!Ix`IXlTreGjZ_>#* zk@bf&ogNjQAuxLy{_vU$O(C)p(Nq4qg~(KW<-2ByuZIxXVKMU&BBP8Y%{{9-7b4cL ze6LoZyV{`?B9^HzxgDkokyk^-tU^SRw|x@`{jwGN^UV{IXqK5%=TviEI2)Kb|)_1ZYHKX$;k7Yi zwu8GMTTL*OF8O10&5uj|0NqZQU1yC* z4o$(~g`wbgXd~jqNjOyEX)gGK+XtRs&&0v%3AcfoU(spTxMna&%Kp3PboUu#?mga6 z9}G#8pgtNI6Ry{+&DElk{%fvm;_wZYC&vlSADP#-frQx!Z#FxhT{Tj0uEo?{s z%s~pS#M0m*W4C`Ch_f&dTipgK5LlrMfuQVlAoQr2!b~5N9nHc_57*3e!=+odZ(Vmu z{>&9{EC;S=Q)?b+Ni2tna)_k?tE!{%{K8NxoT;5sX-@wHdlrkwPtOkmA|~woG4a7#_rzI zsF{Z>)!5OQG55IOKd|}3`v8vKqn6Si#pK;tO79bua*G;laj=FSf3b&J0xY2;Hk3_V z(V)LRi|2DgxpXLK{(|6m4b72d^FOj7jX_t$1dU~-7g6CEB=I>1nugYS_h)rb${Cx3 zAvyCuSOY=MLQsawKRk2!Qq5S(*Bv-`0t(k^M*J36GnUH}#9$UuI9L%w;rz}LnW<7Z zrvrMP3TF)houF`z7@=w}&+iVuyU@+)KEf{{YtDz_mxn|!)r;a2hoFskSqWtv}NlBq=4#;(P$JV z&{RZWo=kXh0n;(;?N|=EV3*rB4#6f2LDOxZLV%UY5CY0RO9(P)o4p=>%OKngNt1#w zgI*AcVBemOe^ryM_=Qmq-j3Pjg1g)fFw&b5={LCz6w>H%2Bax_jkF#lQ^ZRFYhg@V z#W%{ zv0AO|YUW$OrOF^tOXK*L{EpI|UA4w=GhZAZ&W}ut54GTIeSW++R%-S@(lz;^aBD2<(R3hP=m^Q9-^J{Oz??F5G6GvP9XP{udO#G`_lQ(RJ_&pwiJUO1Z$HRUrAA!Bk*o)06M z@=7+Op(u!H%J@kuS$!4r!=mZ-Ha@6c$#+(3O|5%Q`w!r7Lem*Zg+QUWsleh?rR;vfdOiW?;cv z(MAN3lW_dRQ@CQp?E_Qjt8o^5v)e#XXmr{&VH$dovVS=`-F?0INe{23RCy3RNPrq| z4k!)RBU&Z+GMWga;pPBLz&O**0c4U3zUp>@u^vFIzvMPhSfke&u%_&@V4X=A{@TND ziS{obX%gDJ=_T5C%BGju0gO#A`DYGBF!Oy-S}vFlKO7y815w04?B_O6fxrr72n1!X z1EEL36y4ZH%xG%DG3=6%?7|}}aCIEiT<{6HJ`OJykb?wX9M_N62?!1()IsW%0Vg14 z#zYvtGT?ZRvedjbhuzZsgC?>*2fX^C09CKO#+PcNwKJ~=K<9xom@HX+sWxgBy)ll* zOrDD1N&wNKPB{f=qnwf2GaIFmiAH5_a5k0|yAnWn0HSF&L(c8d_!~5`DA1{Gf!pUW zwola}LQP@oc2vUf|8U~Jv>PNhzPO&RjnD_I&a2mnu)*haL6ze-G6l5xP4F4ziQ;I9 z4D-ziSfgx~T0LNP9#mV7a+8rYqY_++Ee^J(@rTjyk{KAXTJ*5w13p`~{v>%d8zMA)oHO_qiX{mz#*BJy`^h5qO{pCbJ-{|vGela7i!psB+|f9; z53k}r8woSnk9fDwP+`WcZQR?mD&lU3A@9xd0{*eo-1RqMFR0ZxO`vmp`qXUF8#A@LT>3xU>W988*v9^w2miYl99AyM@~%vthr1z))A zuVyY=>M2Xvy5j}+LAE@J$=@t`>fktVVJU?bFr?J|?5&Q(R7t5{qicRr>KEvC0>3{1 z###!IR#6AMpQDCPIbZ=j04@p79VPSJ)F3YDHefDEwbGHaaY92tQT9KTQGs5(7fz0< zrC~AI^aigs{??we0p1a&)pj+p8-L-NQn$!^cr7XYQHWRqz%xKV#W7xi7NTcNr{`RD zTN&hnRc;R$=q3VPa2qI~(d7)JowCmYbSCw5sfXVZ>P?U|32JrpZ?x8I48^sRx?Gzc ztMG^a$WaJ}u$){_bbH1jXkiGhavP`+U{x}NfU?&iNbe&r!;{qT^1tjFY63QPt4<`@ z1Y|&6w>?_J{k8Bl+a6-+dIZXt*1}?G$v{#GDgXyuU<@(T@m}nJP-!Ey6r$)Ld7-wh zf~knm!>A%!WUIDtiP5L>U7Kp^~LyM=*mD!1g zGNZb~VN4YV*vYT#DCN(+M84~~ud3O}rsp|&EN2qdX z8Z8oSP;4j1hm%Km|(QwPykcOt4V;b&QCjx51 z^hn7~f#%b*xa^Gj&gOJT-<^Uv3l?jD8!mlg=F+9Yvy`qob8rG=%agPG&7$yP+wjq$ zCam|cLWbTuiG9~mn<~9Gg0A`Ly&-fvL5@r>LJt*9iP=D~6Ah#qq30Yz@hBJUc9+iN z(?l>GpD3>~q{ns2X%*HVbwj2|46sV*Fo-_ws?bC?SZS7OIq5BeZeW7#Zq^|6!^^Yj+Fw(Rz9>s}C1jrDlHM z)K#n3u#mEuVFGEktVa&L@`g6tf$P!E=w+tRUjf=DB9#dX%+oupg=z;2!XRijXzKin zBdkh_MspFe^myYtPf389LKSNo6{;usg+)|{rRxGLt`CFON8=FBlx=zY@J1is_>QECVU0frDA#ZWo$_L;=O-M zRd^?t?d!CBLC@xNNEN;Ua~3RDW6Prx4cqU|T(}g4mcn&=4{m~Nd8(7Y#YJK8Oqgdw zZ{nfHhU|L@d#A%PRkH81=vvAIhi*%P{0O?8ph%_xF&1->R(=1D=|!CAF^4FiCvZ!h zyKJ5<_Tp^usM|oXV06|s#u=iIvVRyI?!M^zy@%Hl;wR991c>pZqy^>KA7Yf@q$DO_ zoav+_nZVU_kjxQh#`;#odZybzVU1pAz?!npf^{avx75RLiS`KyT>{!_-wxo53%(vH z_&6NET8%$(0D`ly1bBzQ?GuB48-jnT+dzSjmB;`-Wv{{4gIkK+dr0;RwTNrTy$y6C zu1c@_!?`$=eenh7UFFJ9ncgjf_em<%Vgp`U>!~#=qm^;E5CEhhpC;*6b~*yj5D|D9 z{xB44^1><%cpQsYV=Pqd!zTeZ!nwc3Fs!S?OLb$#-B7Pg5!&0CHQxl{6NGy<8STWE1-t8@ujW&aHZL*z)8qTvz#B;UTTa{^tbI98?03a3O$k8 zqrKGAknB+GFBS#>LAWeqJj_}1#81#Y8_<9N-=Ge6v=558sN!>A#CM%PQI8i;PU-FI zdAh`f?fnZnhhW(OG++pKQadL%(l=m8cDqXr&Vjf<(g{ea6#<-e4nhIjLKwjr*5(8> z&Q=+2o*aM|ha;69pzd6(?ketS=1b#4@U^efaL=v^+>&2xG-|u@gYc5+4ru@Jz+T$r z0=NMj9M4!I+qOLgG~PzQ8G&D9tF4Ot!Eq#X5fuLW+<_=#_+_~Z+snL>)Fovx;ZGn~<3CL7;#m0Mt25t@z#io`@C0@p)g($aaW<*J zD>ubfts!p3sN<~|6XK(5SiAOY3kN-CUvuO!SD-u|Bd1>8X_VU{;2qTwY3`W> zw!wC0P|a0ampcNQn$S*r#@urgj5 z14&zkzgO`YBmz1!oZnNMkckH&mr4`9x>W;$QyN~LADn3AEAanKxOAo1gzumt=|LSm zp+7Y>#a?LbScbSjct-F?W;J~eMpmPoeyDWnHhi9KX?q?UKD#YuJ{vwQ#*&)ieO=!0 zxle)aS|gGhK9;rVlnxb4hp+V>P~WpRsN%n73{`=6?+wP`m*6g_*yL^aoO)4nbaGAt za>ku+`OGo$=y1>HW85r!w@>7r&xhEM+S5aS%RQgZa@oF4%RL{P)1f_|k6_MXFTntp z2PT@T9?e|3-1M=OuG@U@UdWb7sQk@m(+6F~d1j;7i+ewNr{gkJn?C=IuK8{H{0iMp zSR zT|Vn~oQKzPBjOmuECFOZwr9h6721d%0cZUv1tU#o{e)32SmXAC;r<}Py~1sv;6}$Y zz)jg_fjiUA&r?18mVjRZNs|CyX?_wFL4+6j>-B17h!jKr?mqE1+V8=C#A&tK9}F)`(e#SX1^o)_Ooq*=YKS?1`3*ruFnX*+tvx zr4sBVT_BF{<2RA;(@1RoY$c{vBezWB%Kb_$2__D!|6c%S4$968y|Ow zk79>VP0)QH&Hd8b6;*U&z>8)PY?LO^Ix2_y}JKFMAPrYos-j?oY?435@ zlm@hYB5m!9ciO0n!F412CRrc8N!Ax$q?HBp_R8?QPMXdxMLNzqRLva_LaF_9HaL{F%HF9(jZ96gDJ%^tG67 zJ=EIP`RGW}V-7M+t!Hy785OF{y^uof!<+>l4*?l2|KiN$OO0wNUw6~sB*<24RPkF} zqqcKa2*auuPR~Eu(9GvbBV6Cn&0!L)7J>!gV*ANI@l2aO?tlm<7_j=xtq=uD5x3EtS+Q=s^O|2Z3}2B65fT8{zLj7g8fr1)c&Hy!Kuc6jsV2Z4~8f#(9Nyhobt*8SB&Z7Y# z|5PFp>bgGhViXFf@ltI~P=HO?{^8nCvwyg>vsA?`#lErPIDFEme|2GXzi8j6HfdZ* zz5nrd5r4uO^O8w$2ZU43T1nl@XnNf5W9cKTQl1H!3E{a~3C|3;nSvDIi3-xwI*Ud` zsOChJ`iL>wZe@@OB9syceN3hC^iGTK87i)J^`P4!DY8P9Kx69U#hhho=kuP2UU2_dN?kf z_bCtVjKNE=XXJvL932a*h^qR9n7lixdeyq-p0RqX)+%`kYd{9H^-`-kf@g(rZgGW; zp8F{51<{N;6xr`Wq`Zdjh{%3B8`4WWnanOowQX@hclF@SkgZnR;x~)hj(v1O^}?{=#@Zm0ko&}MWuJA3rb=-C z5MA>V+}}gD6LjE$Vsj7PiY>dOXi0j<_z5(X;f$o@a0Zkp7yQoc5!02&aOV7t+dwH1 ztb%KjH3T?i|84ZW`vUxs2fTq_2uYKG$5#dc(J0`@qlo|+JsDQbo{MEDsb!q+KG_{2D) zRA`PA#!D^ZD+|U~$5W+t3$Zh1%COX4I^b8RiqafTu6qp4bxTY`*!uF5~sJ=mThk(Ey~j z6>h)Z$M&mQ3{?H^^#0LzTf*+4H1D>U`6$i1z)mz~q6R)z)6WbIFwoEQeb{0pAMD4&$&tX zl5BZQ^uO4U+R_t~sRaIq%kp(n9t*WO8Bzj2#he9`i2%yeCymcNA6Grc*8?d8EFS1K z9{doB*Fr%278e4+H(}}v-3Nkh8{SkK>4SSR-4r*?>r3+ zM6|?n4pKmma={ty(s_>f2@Y3l+y;u{pu4V-&7k*`eI7mTKE2=W;k6{3Pel(BKt2rU zJ**A4XoGE}Q5>azjFw_wTo}eo1n@a!a&kdfz}Er5fFsdP$rN7va68WN`6ZwBxu1w;ZxG^2m!@C2{I(sIGAZvQwC zKf^%W>^4w=zzSsu1ZA%Sp@+v5F>n;t!l31b7&rnZ#!dO&OY5ca3pT+As&V38CKgxu ztVe1ZjnxOSlw9!sNMU}P9lu1>l%H-2>b{BNGwEfnyc&|!ui@r5_n*QYIouG<78O~Ep2ddLkPesw zU2MQCK4qO*PGu=6!cH#XvV7T}LSncD}R zTYrxO)>GXEYHmfRU1OU;LMi*R(dq7!&}%%rmK0|kJxGB1Kp>$wv=R5LS6flYuSZ(} z`FxcUf3^(H8P5Y=#BjUEQTPK!;UC=wDhgPi3{jx$vqT{i)x6WgZyAR>AZbz@m}-ia zA76WBPHA=x{b^s-V{~_TAC{I2?sxmgf%qc^;@xfo6$q?QhCopEIuLp&Ore@&3JotiA3NYGQ%$ z3(TT?0QTT~9CU7&)~C?!qM)ZX4{ox5#wM#8NtEX=x}>xV?xo7B6Id$>a643Fgli`! z3N!uWbWmgaL#{K0ecpo@o-oDo;QF&IW+pRB`rOrYuoFFpc)?#gEIl;W#3G3g)EyI^k%T?zK z*^rvlfs@JlpU!3ZIw@Jd&B+k!zX)>{lqLpgxW|?=`yh$G#RuKigYzI;9%tomKExj# zH;Dgw_D)A*s)+yd(Y46fW)4#5wq!xqquU8=bb8sc?z|TbM7+dv4pKmma=~rx(s{y| zF$X4$SGo-p$3b^pBb-6`Df{Q5$K9v=_j`CPLB1C~NB|k%$3UEk^5Z`$kts3w1X{}; zqSA_=6-{O6y^d%;x!_^9lN^hg7>ftp1}YXNA?6bro6Y>A9hu<<9k3rI;XsB-! zA(C;{uf9WsPZg^S{Z03UC$JDWG39oP@t=kG|H5sc@W*;&z@M_$`0Eich3`KLYhma6 zOL6|o_inBXHEPY;NGpGSrBNDctv)|tnb|wN#xVB~VR#7sFq{Z;w#c}~X!anQ%Ks=8 zjURkp9b=-2X~IM_F_~q_c~t?5;rbB`T?Unl4%Hqs^hAr9i9`|&J(jVgS!y0|hGaN{ z>-UFysOCw&UK}1S4et$Zz`sp{n%G$AJO#R|T}l>enKYBzWhx8Z1{Iq`7P_U@Y;7aO znqk#BnT1BO+XogJ%c(;aTH%J_OYkHMEwLfBsQhHI&|O@XualC6+MEor&{51;&aqKQ z!yWbonSGEf)Z&9~>%jnIt68Y{&4-1e;|2>IWbbq|riz8W5nW3eUJMrcdUQL1ARSVN zz9&|TmgnWaqZH{qXfMKNP0k?#%a zs9*5#TC$>lK@So_Fdvtto5WB{b$KU*K63tTv=rda73oecU@`{19ktH|-*r32_#cA! z|A*T^;g2=RfInrQ1^-OE^e-NM%Lx1lk|ssqF!L5H-67%>^-z04#a5FZbF6}cKLK9k zf`#zI(Rn#Qi!ea*+y*K@SkVjtqU?2m^q`qSTyIA#4bEtui4ZgR2)q`mNBaCorCQQ= z#2%5BMq{-~V6_5&7=Dj4z@wZ({<@-y_x>dUsn>#Bxt>Lu#@*3w9+0UwAc&X$Q!+QZ|$~F1y^;i94 zA9CPkLA?F~M|aSljogSSVL|5V1E}L+4WTtGY#*5#6E4k>&VpHL2jb?rB-}h*r^eSf z_0!6NOp~skIGII1(KD_&;asuc?4h4Z7Be6HR0KQG)rnsn)v11hn%MNyQ3`a|@F?|@ zh3+LsH&s8~0u`G){nWpuwzE;(lR-P3khp?xWYxKDnj6ZcL(TLKZVbM1L~5qDvLUsi zzRA=~ALO!pos^o%=441Sy$y4gyw8L)+(AE;xqPXYEamIA9oz}oYP}?W^U+J_w4s;Y z#NO#hOqE{xF1qHYmmWj66Uh3sdTnP^AN>l`i%`*H4pBf)V4c!kHqQ*l;~@15w}GO2 z=&WlzGxQK;|4nqb`+DfWPkKW<50WN9ya4r3Z6^sL_|Q@m?PJhXpgm6}JQ+?v3>hpa zmIJ)->Gq97Z~}(lD7S$M0ahkM2q^n3A;=_&p6ubbltgDi(xf09DBlo;K)}=zS3+tj zUp!X?FY;I25w>Dc@SdmJIgY}K7==x40~H0VO@=5?_BslB@JrD>kIH^yc`B`n4_OH z(76jLh{rgx&>f99;vPqc)CnJFw&LW^p;BewRlo*B=Gh;FAbR#^Ng}qQT73HF7`P2f z#i*|~(zlah)CY}R#K?H@)J%#W#}rBPX42Scp_|aR*l8i*F>vl#D$d+B^q-))M}wQ% zD!HfhVoy2kUxAvXDT=fkkB&~b@u*yE?kH8Ox(B3Pc+{2mUGq^L zB3&@BdmuZVsq@W4$V}F*vrs0KM}hX-A)j((~HpQM8jbY5R}kBgik!@ z$caa}VANeYbCOeWW*l-GDE5x-x+YOW#!~i|qQ~8ru{U^lEfv&t=s^O=_%$3G&bOeA zz!|=VLn#<(`WlWff){7qelXmpA>22+4HVqycm}vB`z&y0lC1Z8_$>jy7m_9cuEZze z7Z>7Q50WWT>7RN^?ileag1>cs02Oy*1yL+IKi|~$$WN)k{Ql0TJH!xTY>Hx{iI^Hu&?Ck zrYhzOp<-6WEcL;@-Q3iFUSkj3;#&2WGO;~4#punPI#)CE!r62vX#Rm)gRfeUg68RL zNUVADTSkEo2f3k_w^ zLg_eY0VRR2a=5+Wx#Bz=w%+14Q2Y+vca3m{oT2Prfu46?&OGelwFLbk^dJFryr9(r z_gBzFzzr9)VgkmQE@&l_T<|rw6O8rwi1oj@4HVYsbq1^{`z%;z(lWpC@LQt&OGui8 zwt7Wgw&1v&QLo6$1d7N;|IEP%W_=n;%LOywhocK}Al73b_IDeoKwyP31cI{HfzU%= zil})hVrCaLmu%R0<>vKk^Xu~GiQ!?DxQZ!1SZsnmsg0B3!L{JAQZqkX8mWxKpW%&Z z6harumDMxPfMb9tf#iX!h3#%mAwiA2&1II9^;n(Qv>GI_m7f$nPMlDt~x-sD!EN?uD)u}LJaviWy`yqY=f19=T+ z(;<0%7PkgpW+=()E;giQ^z_LiuQzc?zAj4gYI89}UiV#H(5Ajzx6 z0o}HP24t(rtN6`_yrS0zd2O+GIuKJuUf+wZ`H|QA(Cq{sHMcCLn&tU&>|KvfqMe9Y zC>e(=pcJ{_Q*K{)rr3gm)f=y><3>vui8mSpNN^dJH989-g* zVE+m&1lZH*IiuWG25@rE?EwS*R0R4LZUY50x|{)M%03IwnRx5MN4#72d`OxEwc@R^ zktg$3nIOtr)t@;Q!3kJeE;!EZ9|vM92I6S9feHjxC_^A9dmRWp>ZS13yRoquB+pok z->{C^Cz8{~KJwLWWv3%>zKFoN_`|4zA5XmuW1(vA;;AbNXEwXd1lI(po%t>UN8n4^Q2~Skk<9MW;L!YGQlQ;R*%1t5r*$YMEw}TXiZ=eI8V7 z@_1_Bh0S_t$e*Pim$2Vo(D`)EQG3ixI%KG~b35>*f0Ci@WkYH}qm#!_@8XhtU6c&f z=3J>Eye3L9lRW})%;Za=EG0XXM>;K%--oROcg)|@bY)$gE%h}8^{fWs8fvs~~!clkU+UxfqIV{QXAL!-m4vCQD4l>KMX z~hRjr~-G8ei1auvJ|8{e=`Nfl<3DG33Dgr{6jVw5dQYw%!{b&Ht~qpUKfG!qSX z$S7wJa5hdktl)RGTgfRcQ)6k^ENA^t>%>C zHy=)kJ{z3!9`;U$VX8RgPti3$PWd>xoiGdcRIraN&%=)><@p~plL0eQalisfkPH6e z_Jn8S0EenSx((E9jIO&zHG^GJ_CG?uyU#8U|7UN&7emq{z;UzQ0`|#hB3Zmhz&O)p zJ(=W!m7)7uiHRjjb3NKnzGM=btaOz(Zg>^GB-fdB(&A0JGI~VlIJ}6DlI)$ z3!dRWau|ZEu$)}*47X<-f+~jK3b%m@0ahhL2q=3U0zKfRkk9YS-eDo1XRnjn@_0oM zZoS8k5?jv?Z^R*lcjfmn7mfFG1m4fWADJm>4Ut!M4P7#H!nx+5gA0xKw&=t)(G9MQ zUt;a^ON7rib>#CkVV~1)o`FN0&IMUc6Q4vqSovbn3OKKzH>!NuDhnAi3YAlIMShicKDQUVd?HM>pQy<7_&o&U1`B zI%Lk@=0@O4OC@vuCL2=gdH&=v=bv#&zAj4UY;!Thoc{xJ7TmyqGTbTul(}?CoGqp6 z_8fc-vem>{{N_WP(Pe`;Kg!5&vy&1BE}tyCS}XU%Wfc?SK+I)6rF37LmMr9kBB&c4RAFu{%t@Vi&8d`7#}P4NJu4 zB^d1^Qg9MZ>euElbA1g)TMV;&hl9Rd@}IDr*lTm5bU?btK%X0F=&u%pQ=14k+vj4l zRShM&8Xo1|D+{MNX*{P%r}3Q3LgVQf*OMar9ZQ5gX#BShk&8AAL)F`$}C{D%b&?`Qv3diFU&QQQ* z9Lo|O6=KBiWEz)$Nl zu|OK`v`@hqe*7fuccJ9oHym$Nyxd^TnZ|QoU6gUaMl5o=E%nJK^IK9r^gOh>wkB z*YADK-rn*Im`AXC?^3_FA02=O*=+5pmIit@j^sxxJ4@rs^J5duRvtbDFfef3V5Kp9 z+`vE{fQR3zz?^o&uZ4UbQjgbK$^y4HC>y;0AMXAKY1V?TctOSUl@Z$f7^yTM)A8DP z->xzY{>?gE6Pky+SKzu(U}Wm;L=jHP1Wtz!?Pyku%`z4acUca>B`n%vd45xId+EwN zme*`fjFpC$=S$UQDSs|_T<9UZd&|$^n)c|LidfvB9tHFM1=@oc_GT+MBKmCsBymiC z<>x`e=I8w+ zT?(sqk8Yr`K*BK06Sv^I%pmP_fC|$UI%~CwX^(|02k8*CL3SW9RE2i);>xi6huDZNL3^^q(mf zZq%Tweq|0tWA1yomH4hR$eqr6*%0zsy7^s`w-oXam*nfB-08Hr7+MN>59Ta|v=!Ab zR$s{Mh+GY^IHKEra5rSDS3|^aKC2<26PXxluH2Y~)Ov0GhH^@;%vuET<1wh1N>W6C+E$7u9heDRophB%zn( zBa0}Vm}+F7Es(tye;CU+eyb~YBF3s#lefC^V&UVB9r^gCh>!obx-tWlxLPu3 zuDk(3PG4PlBP4N5r?k3qhetZKy7D%R(yPhf|5jH@=30hr?FF#WX|3qKm65W{zE0T?uCg^K&O(f!P3H`jNcIL6dXYki`6hlefCEolDBN zx?*!Nw7POW<}8Q}uUUmq<+97g}u`O znX1*5=b~$Vt1Hh!w-Z)Zj;WU6O-$gWbl|J6tZt*L%6~f5l^Qnhc+OqT<{9F z&ph+pi-TX=ZJ>lCR?;<28fz<*{cfyG_t#e5<>9rI`tLvw5<-J-Z9;US5%?IIhzP(H z%b0+1rnff9Bo}<#?F3_eA7cFxw}HYMz0QC&WuFD>ObaXD^zd7v{U{_&LOZswB0GS` z!b+&CENsUXR@8HjQ}7FPBp3Y5?K;QkJs6|M-3BT~Sl0|OqU?2y^w^oQurh(QFjg;& zgX!VbkqhMuj~y(m_?c>C5BvflJ0E`-uK6vjybm!}wVJ$zm7|1@M|9-l2O>WH-@;1j z!pbTHS&WuR$5z%r630wR3o9!;(y4`&k7AUT|3CJ=JkGA7${)zy386#s2!sHSJqhVf z!VZGUPC^pEL_|dCm+qHz7roK1I}3seu8a>63AoJ2xQolEI6BVgxFYH}{#+46abIx( zcX9unbE@i8-FvHURlU5n`D6Ud(0TXPId$rLPE~zR-MV#2;Qtm@Vis1`Nvv8}SlJZe z7F<|SHIyu@6l_RXSlOCt#s4j=(2lou?Pc4|9xk1_csl(r?4TD!I1Lw8WJfMntQS_O z=P!>?j0-Dzu8CY&S>1hF@WmZ2Q@(A5i#fKf&^?|~DKC4qqd^f1E4MquVis0z1vxo= zQ=;|ZRu@*FC9Z8NAJ%hdh#;wj6-VCZ4~Ei(m3yFJlee&PlHR{Sr(?t~tE>oZS~2=s zUsTz@XdI|?E{z%M-O3a<_na{0qik#uFb96MEzNJShOwwC9*dvLfrEG(c?M{HhbWVDu2Nc~)p zxqYv__TCHsHwkMhPl_;KW%*}7QJ;5czY4e_zo>FC%1B4xeibaikjwolBvcWXhV5Y7 zKZ&?+2n%T3(eMP^Nj>5o3wP48%5a3;3h=AZ2G1f^VKIdIL2*%~lHaXD<)oY7h)wrh zc9FOdO{j?Hg^gzK+>741E-awEgRM;P4yiZZF=J=Rg34@cg|%v7EvT%{>{MBcgGt;z zm2^Ra>Ko9Wig;blthmLL&mg|KMU%If@*Zj9yIQjGvpF08Z!x8GG38zaxqLC@(@-R4 zG3B0!a(Xf43+SbflED8hro=3!d{bi8!eYvgBHV(DDXNB&#gu{#35zLzOts?w7E{{3 zm?HgGI&JY(`v0(lem%lzxR@e4a=Bu?m_j}OzatdmVv3$?A{SH4i#xn^y!nrL2WaJ& zieqHNV#+dySj=L|Q6Oh6rhKW@#T3swKwr{xXowuC#S}-*=MRR`#gxmSVP%Uc_IW>$ zU*g2YXZjpGG}1RQSnn#XeC({7qkj5TPTQO@&;WtmFTvcku@AAL-`|5?6npla@Izen zSC>iS;7pZLGy7+59N%3-lNP}%KhOiDNe0d4vO)ZWRHF+Hh|-VtyK2LIU1N28Nx82} zp9a-1P1Z7W@V|O;Sp}J@lBwD<3LY?_e_4N}e?^A8F4r$1N$i*Pck2ty!)a}*AwMoq z+gZ<4&={ZCx>Ym(h?0h{UXPJp5zh{E6g0OB%I(DBN6Y1zJ@n)93!xR^1-G4b@ads0 zpLer@;tCtu36XZ5^+WM+;g(XDnepXl;P-)|Uf^LX5@Jt(iojP;R02Ow7R3KTIX0#_ zKd+%87Kd$P7km?4urMs3U4V^AZ~>`LaY52j+KCZ%tEIFxP}JvyBWV##hQC@5%l_C| zTvj_gD5FCtq9l7$T!>~?#QEWdu?N3}9y~iNpgo94BzTb28xNWRwq&{O^QzBj4qz>} zEyLwDoKDqiU-0pxzIt_;I&2bQ?;aW3wraUKM)Lr-gw+LnB>T_11)5o^vhvwDcBqU-^BpXkcSRvSkrw% z@JTn9DSy(<#T-w%=^nR_FuT=(SwwPnvqLNf)i)#MCX;(F5keGPi3 zE2-qnK^pwtEltio1Pya0XNt}4S>Ck#!kt5dd&al5f<58eMn{W$`%CQpSW2rNWdA=F zq`3LbWbo~8a~-k9sYlo@<1&2v3#eK1Z3Z#h5r2_fyW-o9+D#0L&wyIPx8<)Q-xmK5 z=7tQrMw2ae{aLoo;6GLDdd^pHwDzZJXtv@PGoh%DU(Ca4Z3qrl=m(GFx%ELPs3=~h z96L==k%~AtYz@x|599E(I4qz^0h%8g$t-3~>ZhUQ?Pu1fL|CmrKM^hPq%`|o7LyaaUKuzim)Mk7up}TuwD=d;}(cLrUR0_+4)t>&^<@M^8 ziGjgBJ|Ug?Zr@1nDmX}f6!On8I8DB~75<^V!h}c3cNjGmYqYNdD6h(yk>$E8Q|i|| zxWm|N>LoV!`hW-Yk@B_%d9eJ_Jn6REPE<>AWo!@7S$na$c~}5CX*e%NL+v~3U4*%{ z5KC93C*Z1HiJm!D-#Rfiuv^@X^}@)G%hBRS_|HXqD|Csu&(hAZh7Enm;f2x&;;4QE zqguyNn8Q!iG4o$`cs+u{f8HS$!{I**au$dGW$PT?dCdGTHRz%7L2-BoHSv45l*9i8 z8dk>P?U;w|hSL?t^b+3#mcBs~;~dqF=PPZ=6P6a1P5TC|$17z<7M`w1gAA0-!w2oB zE6{`OM=SCv1~W}vSUpy8KJwsB4&6HKdCD>xc;)jHI4!nsM{Nwhq}S*j8-TO2#;j*H zic`c3@bDpyypLwV!{JYWZPL?~L$P>!p02Ffw5u^*AG)kQHZVA{O-?c;UnJ-ogr_XW zI6U87)Kkp<&~6yof=^racPWD|(8^<%a}g_RYW8#a++&v~vmm7*GdsZY9(%30_}rjx zkPm`(-(-)|4EhwVAu$PbnU+Zc&qmFX;<~WRn$eSNhROyVW|%H4o&ajOkE&nAY_Pe| z5lq>KFW49>Jv@`mHGY|@^zeE#EiOI07R~l#DhnFa3Af8mxU$;Vp3f0)Mrr9#M`8A- zpmG&)OV}~6kUcMqe95#&c{|n;(Uts}_Kei+Re^Q@#vBPhb?B;{1sjEldynx4K^{sHKg9S z#*CdMndUgQ!kW&kO!FD%?HsQU_cf|&9H|nAs*aDq{*vC2ZNmd_IDKt!u$q}%t5?@| z?HCyYsjXupL)EqCop)Zxnp0P=?mB+;>eJvzec)X{dU#r!)H;l>=6{uZH4pz-jrGsn zNbAmo=)#5my*j{a8mDk3byCFB5LG7hG(@ZFEbMk$(+&5yeKh+Y0gcPg9TAz-p$@T_ zOlk>ZNgz!FI^%~^+yHNzH0MDeHQ+N6wZ6W3-)`}IyfzJ5;(8h~O@khaf0ao&W^sS~ zmu6Dyp<&KUO0ms7dm3_F5;HD-!V4&&t)X>JJTI%JMK-*Jqac=Ys)r)aVnGU@h3#j< zqg+F*Y3h-P%d`v|?m^9R2G63H?U2t&HbC)UhXE#*#nVBp;lc7(kq3(g4D6YwB51Wm zd!ND983#-i?R^cJRx*5`*=p8*C7SKwT^%sKVAi>^THw2HM=|L#yA(T2PyyJt7Pf@v zg*iAx-4zzlvpJd`8qF-COX_!{-R&p3pNX(q0e&x9-~oI(5ZwaQUqb;2^)$TA7&ldM z*nb|bo>A^VlH?$60e8U0RrWS9VYR<8Aw8%4`%g&D_bBbp^n*}Lq zs_o~QH**cKrYWB3GA+Y1uSd;tCbb~UcEW#7u3eE#N9`tl#dc6@NT&Q1Lo(51i)8L( z>kRr+MKV8trp1xW_o3N7&a)jlM1D>0(BUieK|Kzjk(li&qXpW=li;<@O7=wM#}MSXtQSMy5nMUi#RweD)lnYm_q z!7{9*B8~~0#rPkH_#Y7#(D-9J67VPW27fd5mGI4XVc1!8&f=RD4yf$|UydMM@;Uvx}`{+KJ}i!XwPmGQ;0tsaN^wtCce_Du|p#%=d# z%R*>zX;YeDZTScpTiEs?4KuLn7i85-wQ{pXm)UF)@ltKs294G?fh@H*fz)@_`s%#{ zL$$#w`hedQD=%cmj(@{IuZVX9`Uz&3_$uvPSiC)(Jyu;PeHPs2A&bFQkE04t=jE+# zTT?O9K$~G;3vTq7msJBJSSwpRK92}Rjl#Jt9-n1F8gkAqBpV0%ny;r%e*yM4sIG{I zxTeIU!)0P7>G&LKmeeYXX4Zh8CL5sg4u=7z!-{)Bt;sv&uVUWOTCS%KRwyT5%G#Nvq zLldKwl92kn(dhQ4Bqv2!tx}TX(E?9OGJWI7a6OOnc_<)pmiGfFGrBi z2@7bD(cA=(Nqq{)Nf}3Pgxw0}9w_RAxs^TtJ5V2-&>OaZpE%r+6Rv*WWn9( z7T6!l+h=7pU;)NPeJ90)wqmBdkhA2Idt`~Y2gD6h#v6bD#-XlzA2`b=i@^P<_0FJ} zHd>nMLb)eqsZO8`nFd|L=pbJ_oNCF!m1Ih$M;V<>=k0PU=WvJAcFv#m4*N>SJ063(a17R?9&b=~K!Kfl}h-*p{Q+ZvPt6|1W!)JYa}X(iQOsN~e@iTby>ab0)! z6Q!~XpG<$R(^x;wtz@TB3{)P(G0DHAgvpFJADqzS!B1QWKVKr&!hf%)hh`_kzhLUd zZE>r!?z5(=<2S>M(HMoCcI!ZIwJ|X|Ix+@FSn*7SZ#-ZZkP}#=Iqdj8t==jkDfm(|3nXa}-G z9^A~O&gMKd=a6u&yPg?v721u{%;^#3^fty*uze?o1;mq~kx=vmw4P8zcW;CanrZ#n zruALtC;+NmUF6uF-rDfUFtU{%<@D-A0|H=Rm~Jc5kbi6~F2@=XX9HMsp`#kz`}Wfa zDg$yLJ~P}_0`Uqe2f;U|`n~7fMiv@P&Dpw9IBlw;{4wC>T&tBsTe1hg-wl&ljFdFeO7!l;4q|W&7z}K4xd6m+zM9Y0|LpK z)~6AlrLN@gz9ZZS3V_?F90b6=6ad;nqpdj)gMhCCK1zf;_MXJ)+fvQk+uDgCmdj&c zq(N~WJ`Fv5OSslZ5AR1^6bPed82lfoDK`xArOxJD$t_)@;OE08lK=iUqMRNDPsg@@ zIxG;`_WhBpR=dWlJJ($6Y5v2-=JTb_=KQRdLfoJQaYh`^7-0+9&J zBbw}K`<$;AT+f#}o3nvYyZk;Mq{@hjp8e)Vl+&#~16w^SED+i1(-iIQKJW7Ls0rQY zT)aUg&-G#WLg&EnHprDRpN97(knF&Iv!T)PZpiuqL2`e} z3o-`hqHR?e9*VZ(s2q%!^C_F*_8N7CI{?0tGDGGJ!bh98cRsl~JJp)~a!_056mGFS zaUZ)g7WlwdzM-Pv~=|KEA zrR)uURmq;c+fv*=2=!afb4$%EJj|6Q88n+q>HRZxLb7>i+9e;Fax--~uChk=PeJ_#;%?i)gxMTdG1i+V;J4=LEu2=zzGl^<$ef@ zx93srvUA7#f{$^*o$w6T>E>=NUwn9rR>nNMoo!T5vVDpDa{Nr{E3kHnvu9^h|77TB zEc!0u5taDo9^8JD1wqfT8#Wgn+!hZ#1}x0>p2Fiux|=obQLZELk*&+P%p==xqh^VV z!7y9-Uz00Wk82&3n=UE725PzEsb7xAwZ+2=0H5r$T5JPOfNR0&5ZPa6dyPY;>RIiQ zZ(#hEE+Xl-lNLi!-;=FredC3Fj4QCb^c>x0rwO_PpR)|t&FiV_aPC?b7SPYM(Ady4 zXFa1O^^4G8=wZ;G+j;i!g%MV(hll5(1)gWL_!)Kw%9o>vL>WHAjs+NJ`V2dXz~WBW z2*&y%#QL(ZfW{iFPQaSfr(m7*sCGw$-3sk-DC$GIQX3r|ghjq_c%^q0oI}_JI2JdF zE_9UAqqy@P`$oJJE3b%~!sfDH)}vou7#7fe!S*Hih146rn31sLx$XjNg*7QzxnI{= z>YaL|D(7xK7rqmA?nv*%5M)%<&AUe7v1@(n!0-S9+)(Ei!`zoBW$=6lT2c{j%NbNU zvN5t3BeJ?J(EUr(5#B&*H8!71aGCOSgo`=S5xU3iBMR_Qa%i?m5$VW>9AYu)$Ojlp znx`&pH64MLxYChJHRz!jRq2Rh+Vsb0=_b>Mp<&K+M6tO&%M{=n91&*%OS)P71_zQW zR?s@%gwIDPBWOHoWvAfZ+5NGc?qbPr{>*|DH?_$ioclhM9eA>x!j5juhSiHnF5@zU z^RH;VoKP(gvmNo^xs`zDD@ zMcl_&($xN})+wR$GZD|ypobz&Q9{Q|=Z~~fN;vbIlS>JK)_3MNfmKw za_x!|I%+pDEEa-VLkZ=t7)ppHTa>WE)*1Y#iV|){)8dvKFGaIGY-M^scp^^;`%pkr zLcGsT5_AhbaTKnd=YT#Oj<$pa^c;!ihDI)n5|a9jXmR@~;f)biD~9r1w7>)M5wPfp zILlA2jStb^oqPqptu~%_!7EUmbituonEv8fph^_}fs*W;W>h^Du{+! zR>VEwRW%x%h+D!>FTz%EUJaW@tp&gu5mb0^T0N1TnqH0% zQLAe-U9E1c!^z=&)pfP)wM}#yI+Z$S)28Y`quM{Pt$z^yA4+tnH(kJ6VxeZ@(K(lK89KK=YL+vU z!H{E~*xc&m$`zY)RBqx|%muZE&BO<*xY(FEso8tMYBCbW6tOV z9BnCJKTDoZT!~WBTUH@+Pr>fh*(A}?F)DXHn0_dYvJd>9RZja6aO*+*mO zqYs1yw2!c@2|gnA#z$tPEMZ~iU@P3Kgri8pE}7V-Syu=C8~9bNy1fQe3LZRLa+@0zIs(3 zs}Dm7q|gLDz8SnawPq{#NtXqmd?SZK_UmO&ZcD?UU3;#G!;j|G9|S_ld3JT3@<#9x=L0gC6jbP(p5H*cB@x_>d(kx2Jl#%1XKlTfqdGB%3Yf$5pa)hoj9sNTe} zI2F_y!Y_Zt5Pr1TBK)Vbb;bcxMfi82X}Mv`jvX{xF`w;dwugbvp!qaU_HRN7=_^%$ z-6ZH9e0?cgKhFfu#X;%CVF68F(BRPcWf6T+-$0YwPxRj!VYPz!O=y7!=Gj2-ok)KG zg(T9mbP>k7X^Fx2mcphm;?G0G-yasxh@-^}u(P!j`cMZa^3OAS|F=fK5qo0jW1GFoRtQXCK8@xHarU=SKMc`3oN z%cD1QxL+sJ@~x)>vyF-tlYtxA_py9iZRL0l3lbOIJsHWs%ejtNM;{uj?@X>-r2>x1P5g>opq58d^(!V7K#Q$Z;Mr`Qfq$w}fe)f-ajC!u&}FIK3)jt~e-j6y&x8duBSK?CW0sW$koxzb!R=22eiC7| zqNG1W3p@~?3TXhKEVpko=raJ))T4ds3g``=o?q@pq#; z*di$E^Zrw1a)JKO>;l0Ha5VR}`l`nEZMYpIdmsE+yjU9_8FKF&*)$2YXmUL%y3Lq! z9D-L7%foPUT)hnA>gce5jw|#}LR^u06IW&)Dao?##=c2e7ETS>{V6A0P#=baTY9Z` z^6&-!W%bM9Gq{Zb*yLN?Kri@L*Vl%(O+ZYTck)`2HQ-((!Ci}gtQ^q4`UtZf!+a~k ztQ!`>Pw_~{6zRvWlt=b~&6CF?mtPK>;38L+-MfNWLF-I%j-{hTDtT?RXLH*~wzD8{ znb%JSm3#@;kw_(7#$~AFPSh-?LJLHWd*YIJB-gIEq@#8d!{QoHYq+HR6~iUbWQ$8S z*gAv%RB_4oqG@ql^4(~*hv-bFjiuxkex4^jfdbM~@;*CB-l~XChHK{`>6JJneJm`X zM}9OnG;&#NlGMKwEp9)X{7!_`icNkKE%1Q67}=y^k%+fskD~<=|4Y;%abLtNQ3}9M zD9?Uq`c+oMuftZeb6$ncc_b{LorBFxa1Ny=S`)c&;Az=aSSwtwov!vd5)(o~KgzIe>-xj5@ z_5&}lPd~VP)B4T4f7fxx;Bt<{*;xEceRXyB zn$^lC9w?jsLfLZ+{N-Sw*L{=QNb};;9Da;g=-lKGi&^NrnDL~E?#>n$I;SIOuA>a@ z)X0YdPBB!+#On{ZQigg1w5*Jw+Rp-@?Kl|oO8*ki_p%1y8<5N{$_`d*%W(-y^Lr+s zdh1-ZG9wGes!4+kl+9xX>pLOf%D>K$GizoPJ1I3Z_mkT$AW#K3$8WZ zVH2!eEL@MI!un~;)+Y#$0h6Oa%XmtYCq&@}fq{QQ@2)h;9S3*%A+8wk43{hR&^fFuD z(zBhpz|e~Z>V{8Og{@)O-+{0Xg#|S1Xnq3hq~5@8#<`NL;Ey~~$x+?P3Qo9W*ET!= ztx=VeDsEeW39hm4814(Fo5p$o26*j8i>wzo-aZ^b`Rv!T5T`z}OTw=17n z6wf|8xsX_R%VhHkZ!t(KocFkWG@riJ5eE@j#Ty-BF7g)cQR+O4q z$Sb5t2F>PtnI%wOfwMR>9({+%y}YB?5B8^J{gwU|cK7RFu1`IO5JH06->ok+7usn8 zT7QY2$e=U0?r~=jk6~oOW6wZf>xAJqSiC(6!}7IYsT|yv$y1GC&7r{cpbVr8g=ZS* zqi$AEOd8P6n51EjrK82H;h-PHj+42pp~8YRD154rH55);rdI1|(Q}twOXJ+JTt{Nw z;4&_gH!McYk{DSaW@|qwxptK}IBGXtN_2u+?ndgDBXKC^RS-1U%mEu=We)qZy~ZO` zl{q{GO^bWVaWR_hp|$&B%)qmI_^*TAZb3=u#9Tpkq@Xet(HFLdsn&;ZKI#bzXsU$` z2u)p9HbLswqv=3T+MG>1FT!fYZ?8uSJg{RnF)&)#6Z3Kuk$6Kkfdv?6$|gvpB3=dhv!E8uH8GQF^&7x$p< ziuicg8ixJD2>XY_0vdKSKLK`9Z(uirUr9Ex7F%Hvb}O5haAgEABp^}1PxAEE`zGLP zgj)v&>u~ro2Ilhaj!pG?RqZI>v13Q~j@tJ6P<N~d)nhZy)^YCmiW zsZ#+-?Qw(ssjXITb&u9{fTO;fgC@I?|6r>X`Bv)LwzLd)Vw!V*8i)$>=IYO}q=6th*d zHzr%{u$8o!uHK5+SS-Q6VIY^T-pqnD&^%N~R}b_xT~9pz0_w9%N=#Z^CT5b> zTTrv)-!_)n>i;dddX=?0syCffyad#8pH#mbS?gjCirK^!8)7A{|HLL6-%M5F`dKtB zH~-la8Jev){io4vPX;w(5Idd>9rMuZ>nNcTC|Q7gC+J>9JQS{ zI5ZJji7Ki8B%0j*MD?)vuR&q_{9^jhKe{X zY!ie3MFjt(uz&_18<7A$sW;%8@vbCM{kZB8dWyCZ)u+mt7N2(Ytj7TWxyrV&+RzYw zNp?`ZaKJArV_v#_V7xXwF|xfzN#}r^ZdVbQbn+6s1WxgdiD-vWZw0k|6~KBrf^5x@ z*<|secH0GJE%7(im`PLPmVhJm>)~Y@<;&v7S*cu;b`Zg|vK3KW+g>;0&}w z|8DkezWCgWPw(i0H{N$`sl$6xql2(g0%wSAsgJ|MT0By$+E<5X9Ak7om^s81Vj83x zBk(Es21!*LyN1VWJFE4vG3Wt&*ejM(F?>DOI)iGJSavqmFEdo!f>B%%HwOX+Df4wO zXyNxBAHWvhL<0S<()GyJiZkGgyxB|3#97w0<_Rq{UK(#^_cx-=a&~V?ooPL3s)#p7 zv|fM4;eKrW>%#*22)A^^T4b)2@yzZNT^l!demk9c~*3KZU}7h6S=< z_&XMT-nqGV7mXy=*PRLUe-78v|4I%`eQH0`aK(X%SdO|Ays+(C4;>ay* zIp8vFU0V8;qdgHzOTTc4*^JzG=)=PxXDux~*yg3B2lW6Oq8n;y$&uvvW1)0u>EIuG zmX=f^ZclD%wCCCCaPQJmV;q)0U<>49N|#j4R-@73_0DtugW(|Wm31q5@bHr~)fYO0tSThE420&hMU{)EyY+;Dv+ z7H`kW)T!szx4=oI=Zp-GkBtOB8N*;SV3DfJA2!`Zt;O{!Y(>m^)&4GJFu%95IlC8; zvsiiby46)INF?Wx!n)PLC1~gXDJ{d%02RQVM6NG!^~z;vX7#Funk7AQA)7Vhxyfdz zRV;@YW*87xfLiX^>X%~`Yl$Dz>_Qf{2}i}X)2JU^$yOU@P1Qoyzo2Px3t6v5vpt#r z!d}@!S3(Z3Mg2QZeBX)k(zDLu>{>x3E8<;Y+nCG!0O#4ahXwQs05&ExC0mPJr2YHWDJ{w`RUQ0v^JT92!f&J?!BVp$v25Pzs>xp3-80sG))L#n=XsAC;MNRi|Et*(R zlll~>lUBMOi?Ca-C_+&m*fTed3_DIGpN^OHa4LTosOS9z^ueMc{0a0phWbYc^~|t< zhWdADP?LHCb-9aPCI_$E5EW~RvNF62&BBwM6RSNvDkyt;)V>RRfY#Gf8y)ZJt@ZZ9 zl0g5+j_MhsS8sbF6d9QqADtL)m^~E>3L4E)QPXh~&{}~g+xERhXY|dvmO0u<#V$ef z+X-RJ``@f;qumU1t@c?qW-gaocc2dzZR#QyYNjr-`}|b9=NQmAQk&LAhs~v7!lC;x zhOQ2l&_;&U-KPcj$a9(UY?F&QHZssXZYBY?%>h_Mw%O|ti^(>x0y!C-H1+?i)oc@5 z;@ZgYGYxuZYE#*!gNgXPTbga&3Jr5^WKcAE&r-lu8#k@pB*%i1ZPYpyLiT@@pPO~F zverre97{)wT>q^c3b7RWVmSi8kp(G+{yf=S|NUHFtfA`kL6@N!uK#A#EUAeFXtsmi zlU%!^_>S65Y>PWVt)ckxSCQh2SHNtS`L;NkY*G2wv3155Q$^*!ji$v>`G?SK509J= z=U>4|c<^8RPk)}pKZ>H#XZe!sI6;Lf;#Xmdc)s`*4qd+p3uvl?O$d#07ON!n52Eeu zC-D0|91VO26!iiRPpJuY`da}05EPZb&r=2QPrqRR&G~r^6>(VDHg>_U(FF&G1+)vW zF$pdp^(ih$BKBuQ*sX~DX;9SX1pN(i>;%PU*!p|pcvsW1%r(;mF2PDFVq@4W#{V~n z|GKb%#vj{}fIq1>_?yAdm5?d6f4}N6dO6Qx`zPRm;ypMI@`F)?rCWY62-Y59hxBlL zd=)&Jt~Tf!j~21_o<=^5uvzpy`&{~%xcanfrlWPHe-Po@5x`eJT$Zx(F|yuF>};R? z+!(FTKQ}%s0FN}5m)@sSZ1L0t=3uPxVzGJn`(muC@bFh!0Ni(u0iA==z6ha~$GS8k zI7)wyQL5u2MC{>R&LFYpGUddci#dor-Q#AGpYL+`IfB@~$sra)>|Y0R7O{VjCo5D;cFL^@=2vmdW;&-*bJjhAM{dGBm^Re}tM9X~|%k zHDLNrb?=EaK#_ch0VdAHx1n|(N!70yl8;tfB>%e%k8#ITk^Cwk6-n|BK~Oy;e|iHt zU7p&nMgi$8d7qsm=vGA>AFiF}iNE2H)g2blYzEB@jcyj9C-qCv;`S5zjS*HWE_o4J z-~o9S&~X>e&q5iA^GsEOk!~6xc)K)g2gCh$g!{@;f!p`qYwx}Af6t^T<}SIMfGtSC zo$5)!J&DC%U)lg^w?h6}DC$FgfjoP=Yix9=3q4R|^84jVXv$c)&YlssVuclPOV~tq z%3gCp;H6;!-3PEu3BDlp#usMfD`E7Du@x4iZnls3q`xnFgN#gN=Dft*EhX8Jc8llZHQxy@Gc@R- zE}%%RV_x=qx0K{g``KiY+>O$d7Z73-Oi$_776b`0HZy& z_=6+&+Es-GiD6YHoA4gX^~Lf{MR;9?W(ePMWmMe;JKEdYivF5LLN` zUliphk?{iD7sdGyKQLgN3x5I(i_TI%3yZgBYySyrhY_Qb!%P1h2C%PZSurKz+ugRt zVm^en!+;n(V|`v$O^dnEHblyrRI)>zJ!a){pt{Pe%L^u*H+34vHxi- zp5Pi2vmuwMnQW+zniX5ipqe${`N;;TOvqt?>Ez;Bpq4wX`c=$?nvMr0jAwQqKQ_lo zk$Tu{L%oJl^3Mx?%?+x3+bmb77 zIo}l)&~y-u4^6UGxz5cd*%X^N>=Yq`|zcXSS-~WW%VN>RJ`Asd>q4@3|0bENt(Qc0h`?uS!0Z z8_d{{y8&UC_dsd1a0DNL5v*e-lt=QL;8sxmV-6x5aQHnUkE}byY)?Z?40!A@HH8G|s;| zg7arIx6b_+xeTSQ+{){`Z{ui*CDv*K(3@G1!tA8U&jCKbHO88%o`|?i&Ex=YMa`1P zxY6aYiw5$0lMPY1fWr{e7sTs8t;q%CuVOAB?tn=$v)>=Bwi-JMOP|qgc9Ebv74e&J-8_@5z(MWNuz==5Xl!WwvnW2P{{|Y| zeu}@}Bhe7ggQ7l&iQ*SgJ`6<+#fJinv!wVa0$&9U8^Kr~hgcsH7SLFu)d^UW`V_2_ zDE<>8>{b;22~gCFb_*2$DX6a^E(u$}P_IO&*M|i()M#=7)TG`(ZHBTEivKm$3-k(| z#m1hIrTF7&BJMaN!+%eqL-sG_T$HnP1wylP;$uf9{CITxD*j3T_= zB;Zn$1nA24&@P=VERfSV0&h7>J6YtTc3mC8XJl*sSh(j4SIXxQZCAnJL;DQUS!#PbHJ zvGtroJ#WxzfDp8jYy6a>B9_3bT;oS9NR;;M$D z*4H>8jmRHU_7K77Yn{e-*h*R?@y7vd_P`mvY3NuMB+j^D@=5$@Tw^SURwUkKYKFuw zN6nI(*qAakAqsy%a`lSBJE}J^E{*`ThQiBVF%%wcwkZ5jY@Kn(R8ja|G%fBiK@Xbk z;gd7QvCrjsWCJCnuT%kclc0MQF%hnxXNmK0z`7cWF_SM3Ty?Da~zvC z!aKC)g#R_f&NrWR?xyMq%tP_}GuZiC+yL}zu1`)BS#nqknE6bEs_O)cm(!IhUMaBhxxa#X3anh#p2o`aD3^u; zhvzyBPaP1UxKkw2F}L|EDZ1(t!gl<2(<>*WCs zO9;fY7ZjEd1D7u-z^4(aYe!hE?zLq_3{$uq0s#W?GVBfIOod)T*o4K~^Af`Hwc*cR zl0V_qgG1e(?p7*_nFHDhqe$?DgITNrLcEo=|6K@(wcZk)HcYS}@zkC|+EDyZBcY*Q z8tZI&@3V73aYekC>q$%&Tn1*6g&n9_QX_^jTlekBb*oIlQMc)oVieSxOhNuCW(v&% z+(DCluK*iiWe;O)vGK}OWe@K~)5`m#e)am@Xtsye?lVyM%H=1pxbz&}Wv2qSXe;QEHpJV-C219sedQh+y1=aI}uhZKKo6yzyt6MT<0%f{7aOO7*D7B zjB-;8RK%~t7BJA4A<&P61vJoTastq#J_YEc)M3A0N5}F!DC&cHzB)Q+U<5YE6i*hC zAHm$&MYr`ln7zne5JzG?u*EBE8N1+V=z>GS0@?-Glmr)$dgB5!;+3QnZ^JON<{vAg zxI(V?k1%;2pb2CGzEnhejc~DlS*_X_g6}J>#19{ikBsjct#@syHR^pG*5?wLS}a#P z-y_~VI1ACW?8>GdhxPzr;r&kqv$X5>S!)eWG@GppfUlDdl#~g_0s28ewiY|(KwI-E z$3b56a!Cgcpgb8h<*!1raRt^;NH%1>kZhdVvL85{_do~4blzK~p~v0f3hWL#0P_zP z7HzEWs16KQ)geUH)!l1W7hZVx7s|fz?k@-Exo4ukdx6* zG~$^pK3tfNpi!hg9S(2GC{)OYMi-ShI%tjG#ifbko1taS#8ENsvc&N~PvR&{2P(@M zRSkRgIz-{aQNl_W{RS2?MQMxyvAM*`6!Bwq10$Rqn&#%X! z;Z-tJy3YL-Tg67=3Ul`npTk(Lh|dPP0;aI|1-egT@%ChhN3N6Y@_%Y9%G)A_L@2HKLyidMo68jFx`*T%g zlewimB{gY3?7hy!K21g+34p0HIS`bITty{v*~Zv;9GaQW;|}zJAPv(GHE7u5nWPCL zBC|2`Uijxxe<6#LwWd8X$^%;Ja1O#D3_|Vpe7;qD=X*^d-SU>nW?SB3&`9S!?poy8 zM;xAw$hCgz5R1vRegtw>YBbVft~KrW?lrDdYea({>dq?Fa*zkVcS}>P1ApsDwG@pl zOSOETDB@Eo9JsibV}J+9bd=qTODsu-yPkLw zgbR!hXTqNVVWd3mbS&PUJnfWoMz(IP*Jay+DH}&1t>^%!LOIGUu zHfzOo$yTVm&tZk>=Hh9fmS=1A%aQjL7kfCovI!tI$w~m9&Q=>=O;rN;YBVkGDc~Jw zwkJb5vX}a3mmK_80`tQzoBfqf5#NUTq@$z6+4X`XE8-nt+nL;4i}UPT!vdONV`D>8 zvXw-V`rEKU?N1^<9bvUfYd(n@oYuGyDi>b;C9z@gPGK2fkY!B_6-Uodx&p01O0qH4upPeM=Rz>_Q zTsu!-H{me#VM6-W(hPluvDknz)kE}R#mjKmqfM@S_Y zY5E?a6oU76!*(#-|AcT?!U7s@G&})rQlA2M601Ks!fwUtPk^F6!1c$(5x*jz*B=fy zF6nG$uCWuuI;;df>k&4K@qa1ee{NVn(UH=I+n^Y`)dN2YGyBGvo9QF+GNvtv^Gzw%?n2v zu_sF7m_o~;k*AfW{;eh!^W^9TtfTPcNYV<1eCp2piFUN`GO5)yfs;p#`423E$$W7l6MUB_#0h z+7H}kl!#H-`oh z&NSw5L#>ti%tbvv(lY%g5Noqc|61ET=wxP@zQ2Rl=oi?Z3qQSz+o9Az=D5Rsh+O;i zfNRxf*81mID4`kf1mRzSB$Z+;6gzfI=6<Wmc#!J8J<8V-`esO@%*r1jQ?8@|L2AU zH2&C<1pG;T3jRq{`E?O?D-XODiuycoS#5N5aGe+eSK$_eMi4C!SmKi z_+-P*aZ9>Lw>R7BY-RTK)M_-}m>lJ%YrhZigKuSq5$Di)D~8s)!U8(9&_xNMMe0px znL)mU_MeWeAli@PcJ?r_gOT^I1Ba^p#)U08u;^S`#(;GnRs$!mac!Y_6s8u2?d=Gg zHZ+8XB6^Pb$d5-U(fbA1Jb6TK&AN&4@xkEt)J*4qT?&PhP|e+LOI_;(Z*E3Qi{x(F zA9ZAfe4QhAf9D_}D)FJoCU*;x4OI)EE<-cqZg14ArYaj!?ibL&ia0E}enspY^_v(M ze*zc{v6H`Ih#eYj5xc)QyfIb8?g?mG9I-nULG|#9`GWc!kURRDCm0u@s3vyVlI%D^ zg(_l0*diXr-h;!~+OU8g{jmw5(as`vr2Ztdz5T>)Ai`=zE4HEq9^i3{u^aPUC?zq6 zy~(N!qfL90wO~a&J8TVuem8=?BP^gnNAnXvC-o_yC$YI#McA!iza5JDU_alcQxe7k zlT&f7S)Bb-N)*}Kx$RJ^*;;#)am0vsA|4g-_Ar7RD(}Tmc}rM8hYCWT5GthJgo+ud zOW4`**a~82at^f?WtY&R>^gE(@`~(db9%EYvU7U0TJ4>g@`A~+XyW=x04u!~tEJ^a zENv{tl}ok$+B-6|N`{#FW3R!Yp)Ib#=G#~bI0wP|F$gs5`8C*;xCSesFblBWX3%)X zYp*k98?A*`b45?fwCehOMAfXie!w;edbnA2Ep3oq@N`Vyez{T}Jo`_R$%DHustqQs zbe6mh%DP%-z_YbE)B{>M((_P&%kH|-Ynw}0km&FKPB#5r$@RtZbVYw%hGyvRQq-&_ zb;gs2Xc|IJPp({XUq|I8u0;jZ8tyB9=}uO*sEHO^+;=fsXIwE=-1jmxEspzcLbE*- zY}(be!93yJishxB=r+4Z(4C6t57*5D=tpq8>I)0#Srm;;m_@D$WG^Zl&4L5*2^)d9+$HM~JQ`q7J zPmy}#DKiq5aLWzY3OBc0Ox*IK+F&xV{9#UMmRQd0A)OlRY4FQ*0-6sV2|%PNC5cKt zd6;@pZS2~Cp`rTNP;JV1 z_=ozmTD^7<4}ZLsvi1w1VUxFdy?TAE(Fh*EZ&(5wRsz#)E8P8A z91XFQ_+t5z-4!fIVf6jU=I(=BU#y{uySog{aQ7=wvt%naqS;P*ZF2RBy*sKm@hzST zYI%55zx3!<#@^9pi@iUMtuxM;D)xQ{npQHTpxKJ-+=ga*sOA2H*xy#dX+Q8s9=nkz z^KU_M={Q$ucA%hw6>(SCGM>#okHgrT!UCGbU{gXPp0$Ea>R*obx1Za8GQw)*fRCdE z9tRu%++O)W|LYm2o(A8wLB!3GjrXAn>4Zfrp#DMS*$qvv#)`N;&pG;Be{xWf{p%zA>9*#e*^BHJG3zf-PesgtKY@PB zF8Ct4VDGSib^$ge!3Ct=xWJ5;B^>`|M9a z@ds$n!0@)}mXY!PsuVx1qp@pvytb1LB%@1e!@yS9KOl>o+I=$J#SN$f^|5X`@NmiDG`h`d za5n!qN@^@`ua1lvRJ*B!XlZxAy*fWp!ZJfSNM$HRcv98kb1JQHL{k6rd5?2vJ}`C2%+Cg_ zX$-~ArGeN1kM!SY`(bbE%|@{tjzn>oRXPmt(DW4?n)G0h`C#DEv-A!XEAiayE`>yx}fPrOWzv z=nKuAJzFLQ2FC}68~p>@`Um0v;osYOI@WHjZX4KMAEu$Er)TrnM7^hHWt9t6l~@(O zPy!na$H!^|Mwh+HyiDp&9nDBRE!C2(PpemZ2S9C+rAG3H+SSF z{^Gjhh8T*k+as!dB`B70Sgv@x9k@GW1ehV|0BbL zyR1Q=cciag-BKUlQHPPjh*xV3Nme@So_~IAumOX}^6m}_wPpRL?=N(ikni~42D??q zUr;dE08a7x-3ah-e)wiYIo<2Og}wek37)^#UzzEOx^JzlwgotaTT+MrgS0p?==b_- zn5<)mSK2$9XY5H6jraCYkFm(KcTP`_iab5S&7j|=QVN-csV#PPbBRZJZ`8B&H!+}o z8xeun&1K+~E7skdA{D#2O#aJ-t^wtm&d6Z`b}pAMWv}TzSx(>9Y?oik<}&3=*<8%A zluh?|ASEZA?{HGYQuf&nF?$udIS=T|a08Biu5B5t@5a~AfJ;@x6G2Y0FR1<44c}>X zDH~cs=}GRRQQy%tEtI;drEJG4oZq*lOWC8)u*qA>K50ELN13-K?L#Sh<1VMN^+oOd z3Yw4+i%VeDnK{wSUQ7V1dc4p-v$6Oh4vyFbW3{qk6AHX4pu`&#*|;kj&`@yJvyZ+`?$ zi(B6Q5Sr~-U0pl~eUJ~A#vkrA?$4tR=|ZmvyHZeTIJhWmBj=(&!a?qHVFA6Ahm8u2 zht@(jssB%GMEe)Ie;#4AT1$NxE%3Mk&!reFe2VBVC?SD|b1C3HqfF;gpg=|ZEo=b; z{bL0BPhkNKG@6_MG^tMkI%(PZ;J-yj{ee)_2emx>*+hGB<$6Ebw_-}@F}`q}-62+C zg%!~iHj#bt6ZFOMuz>ajwkg3Eq~7?#42UJ`*YC%8vsRKU?slkLzvf9hd8^6>M99*e zT`JzUXT7Cb1KwdSn$RbbcUb^8y)bNRkgLOotJUFcS6{0)uHcqvQ&Z+p3beY#9W40< zmwJGs;%68Y+7J2l={2~6#aPN4SxVYM!v`-~cMO_Ap6A47_Nty6X=BS)#Mx|Y`GsvA zW&+ViM^9BY@FGW`L}UXuI>chKf#-mnl?^=F=4{|mjelq$P}zWkwD`lYG#hviG;H#+ zfmIu7jjNOLfU={bSy}5Dz#L0Qi`@TH915|NT50Auo1K?V>UP3bWn2*9G-7(sb4r&{)Dljy%SnQH=DS5 zq;`DEQ|%cqUqm;miibKo_Je=W0doXx{tt&(3~hcd$XT@cFRjvM&wlW~XwXBwPSIw^ ztnc@3DQ*4%G;H!{^GO$P5%u12$m!N4k>|2S^qk7pDfE5?O)V1XKXGux@>4~mf6szM z-sa7N{?=pjg3>QDs%g5k3=a*&9cJ&fcV+;gPAL)5il+0YaY&LcxJPq+u}oYM zT$iC4f?Gk&is0s9&34=ql5J1~*I|Q+c`+Z<8iFf-#SmOH+#k`i|~ml@09e|MT`j?QJa z#2jo}AcXpZRFS1#=oy0Dq z$*kj!=@B2pYAfO+VMEy?3(zAU3=3$FV9OFbLh6l2%-C4MWzWY}xVh{TXqnlJ<-FKz zz}$nc6@DvMadtP!ik|3twX-^mgy}-W6n`8*T(3CW8sxh361COD(PDea8QTIxc^uw2 z`WG|~s(5b7!%Wil~1P%{zVuVZ}1Km#OpzeI;O1X^3Z5_722 zfa)@79vrq(ZfO6^jpMs(Xw)LPrC1te0Bs&OSl+j^;h~=WCrl%dI*wESk~XIFEkIK zXABLhX1u>%U5D_qW<8JwN|_mO07JbZwgq|$n%M>A`mp%XGVc(3_M!UY$?|jH-P@6f zqu{ZX;M-%J&W8iw;kK=+m`|eRFuJ$X>zignht<^qQ<=m)L5ckoXLmNhSD$1i&z z%4L)Pd%?2n<@ zo*ZX}80;MxmeIpYfZ{7CA-$*yu;=8xiuh`{ex@3S{7^^pj5S6D#DGn$+L zHK{jHo1v{F5&R$qpEcoF4>iujL{M@C=;}D-RvYmA0*}7vu5N&r!w2Con%Y))rM$YK z24Aq>vPs@0w!sfJ_E3w#dy~ZbQv72X?4Kc#fauq8k3r;hTOYqk%Qi=BL?kV}4l#R7 z_a!Y?F_tthEo*bqvP^>>ieZ(sIHpN|43{P?w?f05NsFR%dqzW#qfQarxTE-I)yWqm z|0Er)6VDD~2+a|#FwVDf48&48^$g>UEJ(p~>f|ua_j4_==BXzaF7q;s^UbJPaupj# z9&m{8-jiItqMDBCO)QH$L9L;h@>h{+ivNPaIm0W_W{X$8j;%8;m?~cRZ8R;8S3ZPh zduHM}0=o@-a;R|n`lBc%{bdzmcL};*5x)xC!Lvd)4p6@c3+VY64G)cF7QZC*52D%a z=a>6VkA}Mgiu&Nj*H?>BAA%ARYIuDW?la2t`YH;*=eWWaFwmf@TrV?30Ts zd<1KRa{d9ufj$$ZfxLD*gVb7! zm3%?3*3KNOZ=Dz$fRzudrtm~UR-X1mB9C!t9B^!&fU&8g;qgoE?{YXfg51B!Ar?dK zUk7qBUWpu>)Mj#jk_J80%N4nIa23CIOUeD0pVo`yfO@`p zK2XyzU_zbr;NkE~c5NG~505vh(iEy;-DMkCH^0^wQPNCbf5ga!gX=D2kJb5T)e%pt-Z4JfD4{eQkh>G_Y0x z`c{;XKtmb`_ZekM15uzNZVOw$K%a#`-x3zkK%>bCK$H3upp(+T_e9vOp#C=~>Vvvd zrF1H|i#eY@oj()(oz47Z_J#N))>aXp2;0YwI2#@Dk+6Vv1U4wa5v1NY!VG^U+1xs8 zg_T}e*&IDW=utBsr!RO)e7$#YV6;*19vR!VO5wE%-hH-TzmT)eGRQ-`hv9!OS9LbC zTbWX`&hlm{YfYD-S?y;5$aS8_;@S70eNDD=K5R@r=Q|<{S}yzJftBZ~rgf>10sa{) zEo6Ywl(Y;m?~Bsd;dnj|<5@@0<2M6bIum`9ePR`n0UqcOi^%{Nft-~AUeM+Y@B$5b zXk<_spo60Ly<3_Ao(~OkW`K%5@7d#>73b7<4UP;4pYIUIKg>bYx-A8*Q~bFZEiE$q zD>(#WX|!Vam$M-8$)~lS;R~)I)-=WNU8ZFi{uQWM@|FyUJY~{Yyfe9eMerTZGm zKrIiN>Q|BAi?uLfW*+UJ(H5V7DqCmppDI4T8%@g%TlV;aW-I1%E1K;gnKOH-U&)!q z>=}9!ib!wi66`2JH{omZVGDRJ*nq>*8^Qvb+n~vz5zJ!qr2b`SbNku+CnBs?Kz|f1 z@Blpr*t{F-FQb&idN!9~w41hIMcfy*hC$zmpnowepg~9T6F?{RDWE4Y`(H)atzdry ziuz!er;P6GlF%24y*}y4b`9*;SNVXt%FYloWTgWRe`We1JVe49`4ep z;7EN6MyigD$1h=jro-0}g#GCbF?+)F?Z-!9o1qEhX$P zhK5ZZVL$Gi!4WYrR*z%s&Q*C^L+g}%URF(uoc*;N1+m0farRfUAaTaN_H*`ka1F7h zDbDUPEyLOW88u60l0}gxL?Z3~m27|_?G6J>EQ{Tsmd8!?D~7bA)fQ>LgRL_Tm@3l# zRWvP*w0{}R_HfC0y|Qn?=M4u73&cM}LFKeeId+<$A{FuDur)k4T!F*X_rn63OQQLq z5zQj-r2Y$NdHV_cwAs<1?*&DDpwC1CUxa%hib%L;$O4RWlSL|`5;lUdeg;R zNcQHH=*=gG1++I2l>~2+dgDzqewOh6{4AHZA7`lrbG8ee|wQ4^;jyV4isDL{u& zU^sfyz6x;kA&lO1AXu|@-z;UJsfgyIp#a!A8DMdl4J_2zfYnSp6F{T$nZN;VaB^9| zEETTS_73Y(ArH77D=Opxvih_2P&KF7O(MSWGT(2gq5uK&{1GU^;?Ep_ThS zSxqA!8UR!>;NUiX7nddjpMjQ5UNW#ob=1hir)UxX80R9s?3U6jIk-v>zRrTgPRH7x9{ikZh&4^62QJex>A^#&SyCStmf4>Ar(`o!lHf4I#JKn> zs5MD~{1uZVpzT(Y@Bmw9+%Q#1!U1z|p2m@s(8LED6B_rdl!4TDVguTrGMpP>wQ|8(Xn`kB z!|xVA%20rPGs;NV;kyM?f{~{07D%CrxGZc3!`+W?KP4=n;YPy~;3oAca3^IAjR?CH z;G zbIzvhvxgIOnv-CRcKE&-29e7`-W70+&O&lZWG+&5*L}V-9aERH2!1v_h0g$t@m5~;+m1&;uK#XY6Lt~0cRvaA5 z@7>a5WlqOrCM(M>7#SRidu(xtD@S4R)>D%?mJZ+vtz;yJ0Y>&n?B|oYLyHb(L88LX zZGT46#Wf^mBrel38Ob52SrRJ?!fYpeLUQdY5pmRR;#V91YI(?1zhV**G}%f-4rJ>L z`csvNJPl2YOGGxK*&Y@=eH-*AIoI%jE4HD4^pw2MPLj9a6HwvWdHkQip{O1f&`b!; z4UJk>szK^6MT^^?YP>MQYQ=nSL<>A1W2)i8`F51yRD((|(v)gQp^A8A*bat!JHmZi zSU|&#h9|&H>QmrON;TdWVYdSOZYb&lyp_|5K7;xy;!|M@80sAe^(Vpt8fr8-0cuij zpf=-K3CG`vt#EVv)wFx)0_g8dKQX@cWarS2anwf8F z2$^6|(tBNU?TT+ZYB%vKo(5`pq*T9R_%@ns@$ILxbq4*Z;@hu6({jU=J?@~{ikaMw zW_ze0%o>e}Jm00qr>hC3uuR7(-Ry<>Qfw+#MplqVYhPGuc4^VWqMOTc8}t+zy7GP z={)A6#&nH+Bj(J5N-AO&{0Ved#{UI~|Fp1x#vj{}fIq1>_?uy}gvQ^3P`PP*1!?>R z08{auU0|1lxUx(!~ZVqyCA7q~@8l*8dMM@;wRFsy{+KJJmfN6V zlSeIAV-Rny4~^n+|8W%aX!nwCPEG4nb3wr*nk!ma;=P`OB9_-F%DIaLDVkp0e#-e$ zt|8VmMLAujWhm#fQL~&$9Z+UF>8q2iP}I|5g^6!54r&ecl)qxACz@_i&+TlT@xoM5 z&-bHgan$p@XtswHP21KeeA4V*EH52Kx7kI4?o`C5!*%oQa0?Dp_k;!XJc`DK#x#p~ zlKOX}!R;rW-;c0bv6%0m1s;fTPq_o--=K&@8MdKg0mhlOp_52O{4Q(+WBqc(`d48A zjWt@GfHkR4!8(aE?!RwzK<@`deP|z`HiIAo>>5`SNs&D2FZE`M$s7RovAT2^_lRS# ze%L7$wwPUWE4ro{7SOK2CMLLs)En2Bp|6Baz7qq`VtdxkkA+MpHG<|7yUu$Yy5f9^ z&AIr;QXWSocOy#LuE#Hxyu#tf2r7A*Lo9|$K83NQY3GhMQ^`9t=%IL1RMIgE`s1yX zO1=OZHhENX#RX#{Binbykx1tOB$k5K>Eqmt78vkbA&#%%5Qt@Gia6fEf)qP%X+Lqi zi))BAO%X?zX&K`9D%31z$P9=)*g%si;y;q>SCr9FzlmdUE2uS;QT~ddjA*n)8E<3j z4E|F^8NZCC#ZksDpxHh?vyJ*ye!=W}C?dTzQeGu=Jgz7em1Y+- zJ=!W_|FE^}l-HtD=7$BeQ?PjnP9gOvPDx^zt0L@He10XO>T!!cphUW+NG*BQ(&{GW zfRaMjI0vh$h_k}Ru@~+{FPs?`&|bjSBzS?;8!wnav4l+ikLpQ|7ZgvGTMP&dv&n#d zcH`#Y{@O&N{0&9#k%KkF&SII_tp>;TTo6^Mru{QzaYOWJet}P;myVix(}9+j+YSy^ z+kvIKv+cAuA7Bgen-2~xM@gE?M{w)GmM5#Gxl-7Ma4l9>*oGjDNZW>xhrTpQIC5W) zk*j0n@k{Xk+2QjDg8xc~SPa3x4di4P6G?bun+g6KHRz!+KoNWgXYqTtl;GbB4VyfI zzijQu)sbt&&TYRe-a5ITW9ev-+JA$cAIqN=wSRyGDQe!=ero?P*AQ!(qV_J+GSvP- z)GW!O1tF6a8hHPZT)QInj@nK9iZ6p&9xK(a7-EkmTg3i8w$7kGRm6Vbe&tgXnyrXU zClvJ&o7n@Z*bFGUH7G@KO<_Zek6D;xzXVpG@x2Kwy?^u=KT4K$jZ z05qvj0Xm7Wk4D(7pdNyvKB)Cs;TYbHvECwM*N1|u0-6uzFS9Si3$V6|xG`)WJK`Pa zi06a_v?H)V363E3#t~+`E8*-N*b0k1TMNMb#MyCjV=ju|Fil@pt2V~RMuxWy?y8RO z8m;%OtoH31t_=u zW;yJbs}AyBv9+j>@bZ74tlZ6GhZRj);m#8NTKai%A*o134>YxV!a~;Y8Q=lDjqNp&>}63=THr_iky*Ftc+q zQ-&4mY7H2O_TZU-rJ(h+VQxlCi;2ThK*}Dz{g2pk?+YHtf<#?E-u}d41=o<6IJiv9 zBo0eZvm{6v5VM`})a3eA>for~#IaZiYE9}Of5oH@Xtb3&RM-QnsP<^M#KUmh0FD5Jdz zD3kgWl#}v^yCdvYIKK;u`fzUL1;Tq#A8ddMTfk8N7ef8fuz-ddO-_KC)ElVH@K%y3 zT!gJ~XA1JGLUKN1zAHz8Zg~R8R`{$?y?eMmPTv%&H5&DyEs!>3&js<)|L^Cj&eH!C zJ<&DmbYP~GFdc&E+s^}d>x4i{%aq_yl@fT`YbOZUj(mb}co48$x-e5#WF-vdN+D(V z3)Waj8KfPMGVE8)xx$PPg`~Pn2(QW&_knwBJU19;`eSTdA|S}Hi_%~>uTF;an#+p-p}H#6Zbim zjuvVAGuipE+*qymKb-}MHh!-CwEb$XA=Wgt-tRIkL))K$nk5^tAmkB;hTvVvwJXx@ zsNKY`*bHh7X_voZNIRNrk@m~jI)naHk@nlswA^rIk2`3#;xn&6vwgf#K!1`mj5+4x z%_tx}HJ$FWlLXzWh_{Ao=kfoOI264xETFjznj0FmEOJijZ$XROPtNa&uv&rqF|@z~ zG9L5c!ucyGBXNck1+z%gF&|Q>BEA~7gW!sK*NoOC%{eWQ{YZw>A#M!TLJzk z6!ihFx8ERs#if4z(x7pPd1)}~1Tk}esH7sM!=FHBWBfmb`0o`K(D-9J67VPW27fci zl~DI4LPgXa$E}SMTdY<76KIux9RS5d6u*txm>7l)D?_Ugj~2cIdKBVjB@0=`egrV~ zKz*92Psfrup57GzSMzi!D_Q!1ilrCYX7h8jI?vA!E`cS-%;i|g^Gs9!F0k@5v7Q1e zm$j$uz{%rW8V($y|AQf_gCZ1riXb}XK7VDU1hE%dKY0Xk#YH3IgL|~PYbj`*B+kuf zX^|%G0;KF-lub_T**>?rJHdiPRKDJRn)qU_A(1A!Ov}*39jIAOiWv}@gAh@?J-L2G z6dm=OI2NOzmOHTe6+;x!Xp1P0v2_OjsUnJZqiJzO@m*-Phr`Ugn)+3qDt;VA6opYG z*inLR!opYB0v?*afJ4(q!vcEtM3X}!m_-&z{X5X+_LIeLM_8@6%R^{^2WZ?Ba&=+t z{85yUIK!q8xX&olrVtc>*Z#s5FwkE_pnnk-&_JWf2|$zj6rhu6;{1itF+CTG`k>ak zxMkoLiK3>FcIca3+=XyI0;_^I{KCeu7rumESQ-}4UclBQc!AU#FPMR@ge=~Lk!Df1 z0$03<1_Gu<5{ALr@HV|OBztZ!M(r|SHQv?TCnIcDg?X+RtWR^rnX;tm8Z=X^4WO&p zqL!9?@c_jabFH)4BHEp2iwAjO$#F$JobqJTG*=3I@#$DofiKGXkuPQeHzPyt283E3 z>(YqeD7_D(RL4bV1=k$O!3zq7Q0`75B$G7y7c7MJ^zD_5l4QMrj-aW|;taZ>$?A?0YXMauu3tux?H z6)FEUnifaOA4Ri0Tyfgyz_vUi-)9l~iEgut1l@tfEGQ7Dn@9d{;vlqFSU~d=G&VF| zS#+G#|6KV6CYIe+R|F?f_k6W=tvToXfx$ZLF|Dhwvvk^5xTPQpG%UhuMaK_8$UG3^ z$sG=qSEGpR4RCS?7U0_HVk8f&yV0c%p9f^`xjzc|8fh4y+V z>O*^;I&}l!E7I`}b5oDc_9b?J=*1c;q87G^!T&ab|IDy}1|J)d06wWV;G4m%gor<^ z`i0|l%2R03_L6~Z)%CS;_^jU<$W|MtuUZATb=O8~z5QmJw(}D#UNU|ILgyvp$Mi(i zsl79YOPk(7ee<6JKx%VYmJmIkx(yZ|ayUJLo`1k07DLbf9po%} z{)1NOxo3mL4>ahZQ9#ji2mA1Qx0Ig$5E?dl^nBT-+Sp(mKX-1hVDZ)o`W#C~iwynG z?EF|7tQh(qSdd8KBkgDCb1FJ6V@*>G-DO&aq5lzWmz>0ckf%i&c^4rb`!ti z*HE>g=<-(#MMsk@ivC*%`bCN^Zm}pKw2ERRNFC>H>K_>!uV%<&=2{l069A|HOV;}< zRwJe!`Z&D--6>De&p`p{D0!c&7Idp3&I{Mh!~ailBswcBps5R*8yd7Mj!x>k(Bk$l znb#t$R;=+^Xn_Z0++X3s`5KgwIK%!5D#1w8{t78n5sk1N4EN6v?vb#7h8qn}fSc5( zz@0?XUmjt%0{mtu>H}Qwpm2fyI+T;3XNocMf=a-vU}0Mr^q(W>cZLNt=xBTb=%n6& zZU(jzdcFeNX)$I0!&w~Q6bo<+aQ@0lS-|I^`Vtmkt=f0bp=@E(Sx;HdtJAa%0!F6p zff4vjWAVUfT(F-(a)!C<3*d<_5WLxi`lUT3HRz0BI+igiX-fJUDf7dplL09!^ML*B z?HsZlb_$^E8{fxT*{e7kFAd{^ROE2XGg(vrE^z*zpwR`+FY8U?{8Gjr1yBojgGaF& z{B}CVw&mah=XA{J?$+OSul4oS`%XW-dVKeZ8g+jG(0$SO|D)M zS4Z`xvx%cXEq8bID~7nD%@%PzhOIO37m4e#e+YA}qiJz#%UjTF52>2bz&@3yt`jIB zeWePpn*`mfh#lejc?kFe4grm@fS%XU;DmXd)L(@rx1YP-7GbrbQZGjfJTT8j?&?DN zjVL6Mo~4U0)=f(cKEE3_g%N)Y5r2JHKqHQ}Cm>GhQxH$0ub+spTVeiDDC)ypAL>E4 z7uT8fBDCd}PIw4h-#NZ&XP3Olju7`_J@A#5ux0FmKcWl17#7ejz@{X)fYcion8D65 z7mJs{^a<8niLIb{2gk4M4&jp|eZ&~eQYgH_9|`o*NbgefZ@Er6p=9agQ9YZ&HEM!0 zgUaT3dcID;>34OM0_VAZrt1~=S*sSPL{L3 zwDHZ^sTSG3Vly@}!IVp7Y!SA7bhjj-9GjhC=>2L9=y zjkm+JFxvQRn4Q6AX6-?rO47!=AfafZEWmDx#BcOwVt@TSC>?Yd4N5Qf3+NdX2K&Y? zYspinzZoWXpEkZPz-mQd-VF;fU{ggRMn$tQ*R)Xpm`xikw;0-(a76Sg)KiLn>9>qsFcU8LnO{J= z08J_20-@fxzzlXdv~dqwVJ%l!i&CovYrGbN0TUwu0yD-A9xI!7T)e(GWjtlTSDl`? zW{H9czIZ$-&l>=lFG}I~IpIXpY_bTWlVs7H6HW*wk0Yqzl;@f@f01H}OHfaWDazW5 zF~uazxv{|Uc`)KrM}+Sf!m9pr0xoG&WqhZEwJO&sVfu}y8bL^|bhtU-7{YpoSjaJi zOGwUSR+|nXhLye49o>E)t!B>MRh?+o8e?K5cVBb|zMDaSiJ*EKeTwN*p!<5dqUH|9 zk?q}FYTlxTLPz9hM<*P7$z*v*Iz@maMd3G923j&5qQ zTm;`w{+~L)fcne5(zqr2^35EXy}7lo0+WuGIkYs%pxHh}H?OZ=-9s+z8*WVWMY~4D z2sl~UNh{cC zb0f8JuccLyg}fr%fuc7cnoH5$p3VRR`>5P&Q2cb2-tXrU|GKT)*7{O4_>cUS%GL0F zKPyP*YOs?=tjny-M}Zh!X?@o|#L__l-O1VUzX2rHl$kvkBv$x8%7SFt;!P)|Qniu} zw|*Hx{i4-+%FFnbT}w(!(dW62g2|f8xLC6GacGvb)B-VH`=fhtQm7Q2?YD#BE&=XUegO?P3@-q; zP+tV@LW$ee0d_0Ew@}e6z&p9LZy5SY(U9K)hWZ#lJ>VD6P{ZT`Pz&`2YBR#+BxpZV z9YIgRR)TiH+A?M{NjKCr-HowwYow~arzbi=Qs|1fa${JZE}&xttNT~2*0aj~DdFgj zULeta9{vPPj$jxUlD{z(9uM4gOMQt4S?^6exJ-WH!Nn-MO1{5b{KoAQlk4jpkr9x1 zyv89Gl6bt5u@oSk&}rg9Epa6tCuq=p5v&pq$7Gou!MTaYr>SAi#6z*T{o|P{)-^^) z8)F-3@(*O2r#X37LQUtK^N5tD4w>gSIUqvG-4@AnzQ%$gl9o*c^ZegjN2qb?RJ6;u z81sA-n&qsTf->Gge_PlF#XlW3nAncKOll4Pl%LZ4Gx{kF)iJgS!!5S?&upFX!gR6C z`3o>}Wv4C{+dP7bX3fhbx?zg?S|6D9^73GPd0B97;Vpi6JX!<|(t#NQ>_%CZ-pcH^ zk7tilFp!n~0(#a)gM4G2#YctuxoAT7_Yy7+uv*ch3t>S9A3Yp=l)$GyF8sGbRN_BZ z7F6XJ_I64`DZ1Kk8@pf;T(H?Mpk08*6mWr1U&IB4IBFxnZsmkJ70q&je&4v&CDL0A z^VJ~~tB_`5uAkUJ(H*F{6y5H(m7Q`boN}vQKsyDEE8rBN-Z;gKmpN?q5VXRghZdVX zn%JxuOv;$KC8~2TOXuA4@T8&+sps!F8baBfqMpBIK@mnznhNSU>xB5I z67M5+uRRmJSePw*SUK^x) z4H{!~v{`(aCY`5vWi$s3D9KKY-V8J8p+0}Z*n=zK!Pol*vF2LcDJ(t1zDW1lm}N{x;Fn?0M2&oIMjh(eyJ-dp!jvbvg!6c5-7&Md!r$(3lnq#!ij`9KA3;cEqF4 z4kJxG6)T>{f+BjZmX-sq6d#dNzmgzVXZ=zC!(a7~Xy2`>X(~6&u?P3o;htRR%pMSie{lbYjb0) z+LK7|+B5O3Cb04Yqa8g6b*1P5zX6Q&ML_x^egTa%EG~ewP;ZbnBU=tfU58e#>A+@k8C`b!w6J z=?OV4GNy?+=La6Q=@a0&DiasgC7`kohNI!ci0y;2ZR?`Cgk(%Ofu+%zN zs){)sYEG24XHQgzCnswAqW^$VsZ-?ARPyeD)^X}+3Ei*ZaA0mwa!0us9Yu#`>^_SZ zDv!}F$2eX0*+d$&ak8?Ut|=*3>U9yP^trravR0?WsadW}RLc|9!N$(9+OsHYq5~_t ztMz&h{Y6MO$E$<2N*#w^NAXRiB?KrNYt;rP>lF(8hA0@Xc7nGLo-p>9f$8uQ#lSQd zf2^LJ%^>ZR?28zA&0b?mB-DRDpWM{f|EH2Za`v!ZJk~tV=cE8F^$u?5MrYm3Zf=*uku!!!&FMJ3chiQEAu>EGQ!8DN~V#jdLBL#;G*SWn3%`8-QlXG8w{n z2Yhznx>XA1sN2MD^fXdyQZV@`Bn5-PRtolXw$7kGT`Ab>VOm%U_F9;ol@E;U#d|ao z6g7GmM5L!?s}k%e`C}=1x8DMud9T7y^bWs(rl>I4H)>glmr%bCHg`YqdN{ypl?r?Y z7Gwa89fy&rgv6P49O!pOS?oAKpcH-6Zvg|n5kP;j$pwHG>WctfDC_!N zfZYn}|Dd8-P@kxF4@UMbFUPkVM)s!n8cxV5&4fO$47)5k^dvHhPG-;_PuFCBZGyjM z`31DU(Cz~M66%e=%#fIqyWIt7Erw?ud08drU1V+pSM247Y~=`{-^Js;+ONlmT0w4q zOF%3EfL7Jso!U_cU14=0LFra|u+<3`B;yzTS*@~DDA<@xq0B{pmQXT#I*58R zPeN_^Nlii~7rF%6Q@N0=lX9WM;^1=VC4X>Wnd^Iw$E}D*9RdEmla&EPU6t_>b*;)p z)af^Fs_^Qd!>a*A{YHma2vL7J$;r4B6aUqn6ZMrYqJFgo-Pf}fQFri=Z13h0^_Nn^ zrjDpD-(1~|-N-<;&d;O9o+h+)bWYjl#?^Gl+wbEz2&Kk~x4(%6MF8!X3f}(LTt}#J zinqIri}CiiK(k~iaUA2F@}a^8DDv(wz{GR(dQ!{druq~@-eI*x-tS@Sj0>iVygvrh z!pQrhFgt@&9z0gvjf^(QDt`(Y=`SwAu8PF3^dd&T4LmDcivjA#egVx=V6<;6v*^1} z{|JokK7Bvr;5`lRDo&db*$2_Sek zZrHZ9pHl(c@~tp;R)3up$2Wm?t5Yh%pAWpIq z2!}T~g1sdvSd*T~jVX@v>k;QVvV189zqZrLLX-OiTqZxo;9>-WQw6tOgv47NArX*b zyxAcZl49ILa#o6QW2Y%b#;ZMV)S&xD43%Oy*iyE4b5o2jQp5H;#d!7118B#H{zq5( zl&|0CxQ~6=)s?}Us;$6O!+lQ6Qqg&)acE3Shl$1yI2uB^yh=2_%YuUCj!#9R@hh$) z)Hs!BxQvS>8jnM>7#QCDeB;Uhs}-%i92R6iejMc)gto{x=M=6@lg1sYp^XnTpJo1*}aB z^G#|aZsw$6B9x>A$t zVOrQ0$aOF~gC`%_6jL}&3xlI_R^>4`8iky4nO%%sCQHyGy?$GGW@uuNy2&q~DHDwM zjb~PBBGg|4!@HlFyd=PCMSNcb3o;--j8YRqTVy27W)k!_KvIFO%F&;up*ZcNd?~uu zZy4j>0{(aV1vLI>Ndf$Y`XcxjNh+`@uL|_b5f7(XoWlV_}W^PE68hp_WQwV ziK~3Fx@Y@Ht*P$B9BfS1hsryu<)Szryz`>BW!`a=TTcwT&ryR~}6$@-aHDEQTkMft439Hg6tl9YemC?6Pt zZRELm?bE~HU!;|zV(l$YOte6<84%fyg z=4xZ*tIxiA8+YnX#kuLx$%G-b>qPvq#>KEsb6dP!`H%dp40tyOm&s3|xtL>{PyEL1 z6A^W$BccLQ=oJpJkQ90uV<{;3b309;sU@zHgwNHW`=&~jLOU{o?5NF6p|7Wg?e{ic zW(vJz+emdRFoAZzih&h$o<1L9>F6+dZnFDB^Ha62*I+>rH?N$E;ehNvQVX~Dv*V#IQ|8%9!_rtWX)cGAS zJ0p*q*`z*|Oy3@Wfb^97ot+ekpXiNj{@QtNdl81CkNE{O$%nbVk;_V!h5EO_;_fHQ z-w3c;rD|V;1sNdY#S1Q+e+(IkGrf30lwhRAix;F&Df+434u<?>F9ZXA$-{v7E}a*jtWE-ENoa=ZEyoUw7Q(<%w7}ma_T7u& zyJP$U+IPU9fbWEQ<2y4z=H$bFi5RtbpS2bDBx@^f8==Y@aR3ny6yh}wt^!ZN1MXW#um0aVm>RwbR~agVo7xwi9w7 zl1B2Y%H+VylU7@QPVKR6Lp`ZIHd#Bd-vSQ{C2`J;502TFB4%}z_;$(6?P$D0n>vQa zW%4OL7jsa2@f*jJ@N>)I=KzX7?hp&1_;r$#F)OIT%R8m`)DjoPf4K(T*ZUR4cQBW1 z@8(kcH&VlA*v~)=HKgdB4%B2<2|0@<0(R1Ha5dBYa z9ihf4qVF;;M)cng&63u{V2pRjFBPs|QGG}KCYGc7NiC0>>Qe~ShtU?*e-B${JTP5U z|7S2QjOzawW@oU_L#p)7*HKzXQrAxL2ps(pQqo~oA$FJiy%hb)ZwJo`uf_oN2fu)3 zGBDgXmRY1-XgVoL+07^1@IN9TsLxNvtIhJVb%@Ut&I$?Fb4L4MWh(cO zwi7LPUD}rPc5aY!$GaOHPltMJ^X*=-^+mgGmGWq9PivB{WTUqRs{IjMbS--T9b2!` z9d3L3%U4zR?ruyB;gwIcqe54>^|UH<_qExfGeIIZOzE}E)t+8B4p$KFthGiacc3Gz zV0=MY&8I$jt3Sk+2$$e?!kvF6Gfe>h`Klz>ygRoKpWP97y4Q9n&C{yr$sh9dWWI>- zBPyoVo*`N$_6!ePVz3?gn4`^Mk$g`)lJj@O@X|{O1CupJx~$6h(q*kGeW+Aa6UXao z4!i==<*zuzLek|glAM(;zq#{td9^EDezOMMHyNvR*}?v^y_=gZA8>kpx@=#t}r@yZO{`sM6x$J^?=@@C4^+v-t&z}xDDnW?wcOOp(m?FDu7l6pMQx|eSg zwO>=ON{Khgi&EB`f=eWJzWavB~^ zr$3&oO}wjq5sG)`UG=LjsWhv)9lkXbxQ8yQKO=crJ-+WxDN3)Tz;0qF-gnm@>Ci=C z-pR%FR{$!DDQ2HRNSr#plm$gL{~`Z+iauu& znKC-Sj9W2O*b23(;;_PW(&)*g)~u??Pw7>a^tNo|&$7mfK-k z*gDIzVRptk%bXq53q`|)(|G2N?t+l?qbbAglRuZDSNW}AT6{m|wU_$^bUq5ReN&*d z$|BU?46D1p%JRMdt5x3rZdi~3wsnoV0s0{bNT8E9tBapX(I@@2Gsy1-$PfAjG{`Ww z0LVgp5s(WluY4=OZUyr{d;Bo(shXUK%RNBlV=(YofFI+TSiPuyw`( z(?uZfg=t{~^7Sw~gVW3!bg{?xKtlRT6<{|-;lit3wTJVFXEv>yz>tMb}JwKj*4da=x}u`PxvW)YHzOnv(DDz zU$SGO!xvLMrD!hw@pMvl!N=i(Ier1{0yL$73xs;(0y8+~@X>uh%OZakA3fLb(E$UA5I>D~Mp(_-SF?mE*1|eo!FRR?aJ}oM zgyqiK32JA})l_?94dy2|)=tU?N*c?{vTF3@xvR}zq|WADhRRa=W6}iT!Ut;yaFCj> z2!|xnx$(g<`ygUgM@f?Br?0%zy8@g&r#2W?=}m|@%gs3k6n|BwdV*ZUR4cd(Xh@8(kcS5U*Ij^g*}j5d(vFLJW{ zn3B$k{$U9{9diA*b0~z;X~p&bk_AN!eQ7GV{s*~^P~#NWcNrJs`fr71$zl>f#yjSx z3R|G)zQY0&)6u=8mWNFBDTMCBY>V!{iLEm}m@c~iZI~8D_aB4V89ej^u>Iv_{1@{v z{cCu6c}H^yUnHsMFQ7*{F;|RT8VQL~^h>|3JcE1&gW1pg0-E)palUcSN)3eiN70b( zrv`I-gI#h670q(V`IH(E{Ni?tQTnsV_=f+bzw3X-(&gpLH$MgLg8z~(TZnq3%g$3; z>5oroLMQvPy?>^aqLcgxaUlFX0%3t)KnDUKEf5GoeUU&Yl!2TdV7JOZ&ZDAPp>U*1 zF|>QrNyrh25}MnlzGo*!TTo#s+T=HpeeqfNVuN2m`vPq$;0vMN_`(d_IjP7ast*%% zvqu(hjvdQ-hRH=P*>-6eTk6zD#2{D3xv8;ctwC?l?5$VFYINSPTpepn?i|5m`6E=8 zmeP07offnr-6P(lSsASgs$8z_s*ahJ^r@}HxT_)EHHtr0<`cH={&_e~Llwj5#HCkP zcTdz>ga+2$x9;7EqnFLHSbSe9!{~ta96GZ-LRWt@_q}SLep_aAD@;-IK#r0`Vs*QS ziCnXz=g79+>@Uip`H%4y=EsgAz>ax5TP~LXzlAK#BfxnlY)+&@dXx2PUz)W}+%!2J zcE+YFOX-f)aadrTvhkRjI%gxzGMKjI8Q^9gZCCZ_!K~Rwovlxwbzyp@%Zk%BTvl{q zQ&y2TY%%qYltz}?J!52vj#L^MC`@}t`t=v>)5ORi&)keP#`><%rch27QU2@4fI`Qexlh9B)cjL&E@Jqj?CY>q4-jFjl zhsLzP0nyeO*2{KhiyMT>dZyAJ8AtLOz3K(v1PynI(>6b3LBY`DH*-Vw*>EJq6jO%fJ}hoC(cx619KWakjE~Uh+CSHz`+AO|+78x`-E(rO_F>DWl4`HmM9b4d^vbAU zwtbp=ajrk6sB^+i2RJN)#0c8S3h$|enmq!={X2~29VDbP&O)EuDE^L9K-3|*(Y)1=7t)boWQwZ&b*%s|y$krJ@Oc(8bI!p_r z-PgkG4BmIp=unbp--P1QSNN436p0^7(Wt*>o)>8YUM2TBt7q zbRn|*(Ez&@)E}avSx}p^BXYD(lViQwi^UjBFq#afzGrttUqFSW=<|LP*%!ZoFaF*y zpnZWh74U^nZ+u~fxg7F)HCo{&zgyPhrSf`)lSi|0^Ug@MKHhI#zcW~ARd+TfYSrcn zoT4B)+eh2UvVeH^BS57cRXIJ<(r4z&<$sbeCVr%ST^DT8ex0M6WkxYE&HUQq9DU^7 z$jejjd^b)ZaP0H&Xgl#^`;`=wJnfl`CA&))_xcSFUgmOiK)9_Lu~- z6~DS0W@k{@BWRUlux~eYHaav_1nZV#sP;@V86>R zpa~Tk;Tz|yEJCP%4UF%87V-H2s}=O0g#{VVJ5Pgr69OuU*xVSanrS)`Kb4|?@z>5E z9|({i^$Tc_VQvACh58~O7s?=hA7HnF`8QNF3+DOiTn7hwI)#{*ETXw)`Uey9bNi^y zQq)6#JpGW}aS+@w+b^Kqfo2tOhfr_aVaC6lB;r*-&YeV@h9m-0G5%lf#rgB?G*~Pt zV`^p^se(1Eut9IRL~kknSk-4QpV4^6IL-o&+HxP;T-krFT9fBd&2fT5mGK<{(W+dB zKCIvq$zUws{_7DQ2gGi6vyi8Qp&10SC0_s>^CBLqpY}ebF8G zZUzD7Vw(qR(0vi9*rsE$%#O%hwi!{wrjBi%wW;x}t@4_obKrq4E&z(#v;6lWfm`p2r8w!u7ml1%|7& z)4vgPmjiO!*gN>~tI~kWIGr;b<@nK5CWzryzgtG0t85S#r!Nj{xv8feERx?=3ryX> zIT~J5n`qTw(N?+MZIFaLH?TO^=Ofs4c=-~7)&1#v8Iwo4xlDdSz{MP=!o_bqXbObx za3B{TS>v+uyw{8)0Gtb z7fcIF3Vsi>Gq~yDqm5_L6Z<0>Nx`8j*n7#6?6^o2Dn*A;S&v0LkDP!Z?GV3!CQWF9 zZ}hX00-^pl%3Cx|?X&i9$aSI@-)()@K4d-JhB8K1H}KQk);HWz5-mkb0<2a^!5Kg= z19<16^phYUJ4^BrMe$Q9I^SPAgS-$RpX(RUAj8}OAPe_cprMAz1)vt{4b*02%Si%$rNUp|8DJ#=7sv&cHILu+x>Fy&?e3H+?px7I zB#h(%qxC+3$j zR^BbGd~HWoE{a>}d!N#DvFGs?W|VR_(CH^o8gL zWQt+c@>2+_MiVSn{jZFb@yT?t>eC6STmP=KS_CCs~St?@Ygd<|Js4Z;Z4!wordEn$Z1)_iX`IEAqD$7G$_#4lTS#u66f8 z2ub7*)g$Va^1MF6qKZlBDVcrShehu`| zMbLf=80zJK`W1cw4K++I0JTtWpf-bE4&h#iR#?o{BHTA57sZ_m+ktD(1Bsb=e-__A zn6$Zzi|-Hmy(1RiVZ)ze@ja)lN2V~l$QOq^#p z`qt{iAYJVloJnL{NNKIc+ZsDhBaU&K*l`ZAk^r@b>+FRFO^Q_xv77}3U%hmya)^t# zo`N}q%fMI;(GSg%{$!!bY)B*!8w;DF5(tMWCjO(vq}C)5@>56x0sE~4VhLMk95P)A z#0X3aTb8fF>uZ}kgkkYR2C zkcIjpAQ#FNJ{@4Ug87qFGz;cVa)n2suM~aFZvjKS22g*+FQB1@$pxSm>J8Lpe9Ord zZbU2Gxk91E`O~|#IDdNn;{5MnZz=k1!tCrh(_Wmv5cumBPvzqL?A5}`nfPOY8)oH` z<5oUV7v~pHJ*tFRf0KRe7Y@gDsJsWtprehT5wu-)R%+t@nejOpUfuY_q~ z{Q2cDJA=R-zGt$Yv-19z5S7kLmSo38q7ZR3zeUV6F2`{8X1{=D8fb!V2VD820;Da0VcmgK2Hym3qUQ@ z8>r1lm&2s*1S%HUvsT@^d)EEhQ8|zA&vN@IfZR5=i_7gx1N?}y?y%v{vD}{1)}8?d zQ?Ikn(cdH%*e@*FD%S#g(v;l5;$Yv1VAtXG#9d&&-hog+Lhv+)SV%(f6q1u6EXcx^ zE++(AH0ZuzLnQ`0L_ZQFpkV%1kwLk;mQ@!cT{fTINC>Q4bhjM zLWn*rwut^~*gE5a=_2}%z_c);{}q^>!8cEsXttJ@C#w3t6O)6j$%*O^E}D>Y3tvVM z{SbPj|8m9HrBb34{m5@C&l*p`0QP;qfM%m;oNuhNQUIa;pU{x*rvNj~3UR5Z&a zM^FkNyn?^vn4}wyf~<7IVXCAm$iTPLI!aN=ZytN$sqn&)egW+Tw5NaCL+WatI(=Sw#E_$g>AJsa-pCUQ#&0 z$45Pm(3d}^^~g&gPabKt#&dwMuX{v0iFe1YdtBs)QZ|Jzb|g!h>~KkJ7l1iyo8i$_+6N`|A+)I?#OC$Mjvj^F~KJ1Y{qtcZh{#AFm-fEBm;< z)9fST>YZn3&||w)3)c<}(VeZ0u}Zz%7{@=F<;vh-b-Yy_TBAEoejzggK8O~Sq6fGk zK9*|lq}`zHle7QGgnm?>_#9@`-THI;TLbdl#CYG}j(TJ8rshD;75ar^;%T12#u&ZK zmtHq3Ibfd8i8434xKX0W)&;b6^U1nI2Qo^9U9->jhg{6AS)%_LEp7FM%Q}f53~+Q)Z6gqL z7BO`QOOG#V>6*?50#kK+f3&zTnWG08-Ts*vb4-Pzt;>tH^&omWlE?ZsK5^Y)(|!fR z#E(Rf=AS^IQBXa-$O*3FwmnrQ|8fnZ%5~cwso)j~P&PS037GOXIK)Dx{L4trn(~J` zpYqRhU9K@~0MGZ(YsoQR5RS<&x62;zKNm3EJQIo&8AWatky`t7Eazm)<*t3+Nf=Mz z+9#zz!ABsn);_h4{aE`nDu@Pja@^rf9Ev{DmN+u}1{S0qw0Ki%s@6W=!}Ww3sMbDR z2FBJt?}cW`p5`!)@p0jS!j-GFPeUalv%0eSRIL zg{^&l6=r9wefG4fdzKS_ray8L{9G7S)dgC*l!7Eq;-sxKlBUeg&3G# zU?E1R|1#|E{>tX8bAkash>B(behjT>3e4$mWsWg@$&}!#d0d}j^&Q(-2%Qp+iF|8GQ`h;mk%=nB|Uiq`wBXE%+(O_%ruw42b}0&WuOjhoC6nzNGmMb+OVXW1NE z_E;_FY@MxduU;!sj*S)k%k_Fk^Ge1}L=Chf653(>v6eZ*j`2PdAnRuN&{IUpF*jtR zmrJB>C!6z#l)aWo^AH9JUZ^~Av@tYUuR5NmYSk+{%&O&xUZI>-Ym&&m7wN@GYIs-P z*6pBRu%O&44Wt{`Jf@D!-b|~kFzaY}4oI41Fm0b!r|Tpqntc>pePi?{B&>n=$#)?2 z)hlB=C+S6>P19suUc3}kmsbnsynuxA3L31L^^TNAmfG<%vP3T_NaTA*`t=v>#Uj?5 zLjR_UXf3W`pQvI88M3NS<9cv;6V>mKQT=xM_q4?>N#zp)0_Vqs5B0mL3 zyUDwY(szU47kVKi{s=W`77lx9nffy8RxJf{bfxuO`_Pyc>HwV(@y`LAprIi_#6QD= zf(5=Hgoul_>#4!sc=%`%=8GX9JIW0F%ow*-apGeB>KWx*f$~Lu0gW>3Er7C6Uj*es9Q(!qyA{sQ zprTngA0~*ijOsM4KGgbE50|m;*aJ}$m6W1q`pshepAGy+{Q??)w4(t2LcPJ?jCnca z`9FEcl7qapjdLuH0mzw7?W>8kb-r&d^LK;Mt0YEu;ZM+12hyWoDSkW9()J~HkB{D5 z-Cd>&cGbQbZK$nEUp1FqD887SU5@s~-9K_0#Z>vf96k+LPQK3}7P6fDCdO0DGk0{j zoIDetxz>~K(8&9OPvsttc`rNoa&wO_Q_GyWhoWZtMIz!kxCm|@~Q`Zn2M2&Vj$CYaIhY2=NON!V_Y$?q~q#u?K^CQl}$JPDsB zlM4ZA2FE!}PPs2FOqM3uusGZUK;m`XV3~ zVwN`t*sWmRLq)S-?&SHkmqTAEda2(6hWaId`cA)qh8iXpfLf?GP@8crheRHOR#^Pb zB9V2QM9O~O>@U-m%|q2XElO2}TXeDU*1fHf#@ISKlh&wL#kAbtL!Vj`wH=dKy4ta~ zd=;It+BP^c*=kkG7u?j8|GIdvS*g|gM{BMA>d@pxl9}Sz>Gr9p)ylr6MnC!WJqaY^ z$6}9hH(VzwSwHjeDY)u~J)y&gMrnnV&}}u=WYmhe>c)e_JBMMmcpmQ{aspS^#wSOk za$}0){AGx99a&Fo`u`0FqyhB*5r^|95HReZz*L{|=^-?c!Ye zKjTSLN&ow{47Mt}*A(MJq+XBVztQG7@JCZ?l#q}EV;`6-0r!)S}* z&u8n57p9Bi{{>77JI}EhW@m8C*$vUhlH9%m5$P>mf*lo!A4}1o-vXW-UW*~>M!$e2 zK`_}jnpvb?sJ{v}cc0WhC%|gOHgACi89+PNi(d`_33JwZ@m>Dv8Rgdj<(Eou<46Uhk)(S%AxhV;SCA3&(cw&uhztWA&X0 z_fMgcQuGPGS?q|r;fTNS3+Ql1I||@0)EoTGK<9Gt%j9ZD*1}CST&Ws{d^7S!6B~Up~%Ol|G9sRB-#m z9Q9k_tay_G*yFDpVj=AD7mTHtbl%t@dz?WnajhM{QG@P_KSdrLb6|G-<&wwpnkglZ z+Xid;2A zZi+sZB#+OAh$4@w1Uo7cKbE4~{1)(R`c@24xA+D0YzmWoqnSk>h58ZL+A#O0Sqou3|@1Nh|;4l$b)WWBxOP?D1l6Z6vjUFMhfYtVghr}(8~ zn#_*7Tz+{WH7xIJLf*OP64H070J|*`zm=jd`RiwT^kobb?JcysfVYJDBHk*LjGS;maJM*)ie~xiaP^p>=pE^7WUl?Q9&O`a znhvu9_0Ul)zh&%#kHQ5@`~unqXi5PW2=&GVX5h@pM?Qp@v}Q2ttc%Dua4FE1bu^YR z&xjwGcKvQJ+9EO9gg;g#+0&$*k30ahw0*vOWcse#8g%$GARoEWAr_L4Je{!=lhuQr z<|7%`Z9S+#_r;ycM;vo!cHHIWBQK?fIr9-k==Sfd^ch>mE8Y{*pqq}YqF>8)PB!Nl z6&+H|``GWHj82`6coPeXfceL6Q_a6-{h@}b)6Xu$VpQ`j&@AUmgC7qrg6BR|xN=1_ z9hIB7jb2Y`4bhaJ(nK@*APt_eGtaQtB9`~Cbq4zBB9@QAv@l}%D9p}aJTn^Ol_anE zDauPfiJ#d;k@%$){oG$S&yjzJ0qDnm0X;{;Sl?J>kxHTd5g6QkQhCUQ!4S`;qFE5n zCQ|7@`FMy(lxN8TjB}esO3?{^BN*#X1M6e`0vc;rT>xvLz6jQZDB*blb}I^hHWkf6 zo9W$nB~1u%Q44OcV0X-G+h4H*qK&Ab6kX}JiNXI2z+dkd(BPvH1;7{T4ftko%OQhb zQT;+M@>pbWrJOv6)jg3koiQOS_o@qI2_;+<(BGKYiCfk%(b3`Mg?W-zwv%6uu!?}O z#UkT}iRY({&@1gtc%cI;Qq)@8az8Xr`A_Pegg?C-F18C=$PvqLck~^QiwN3_vIN z1vDLivA(g&;=Mxs!7#Y{y!ZS7s}&PF4;Eyk7UC3#T1{=%c8XQi;mNUNws93yNYrtP z0|N91<(KxOID0{!;&3S}MVtI4vuC~x&us7uXwRUH1w13v7x7FXmK+7xt$eeSie~u+ zcesHFH(Rt1TA3IEs+IBadTp?R%hzMSq-pfB0@8XmC?vx^kDiT2&~Ye#v)R92fq(b- z1+;&GQvv@9^~S$uw9O&nGtml*>RROPM4U#(s_Qo8it?t7OvQO^)>F#h^+wcGitb5N zm^)rFa*qHxZR-=4slH!0EZ(F5rutroSO`;n7h@@AzprQofyHBv$e6EVdqA=vJ`wuYI|7OV4WWEnwvU9B26>Mwoj^CXGrRO z^0bEY=!hyQkB-#S=Q=t+F><1y{_?~KIY1!IgGEyhwVqODu$b{=F<2Z(e>{{*oEP~M zIzo5Oi}YPGxwGlbV^j;B&pN8#`dLvrse!#ACr1vkbaa^2oCYMV0X{xB*`rM2;7FMT z#o+SuR916*R&?{+kW+$PP0CBrYObqbhT}3amftR}0;&UC$&S2%o?x3ExoZc2QCjZeG zuZn*qY56F0NS9`cu!AE}x)e41HZs|G9P{2yegRF>&?w&&X(dQP{WWMr_Y%08|8f#cx0BfPX2-byC zo{tCEtOHncj@8s z-fL>2V2|J;>TYW65gg@EYelj1XM*i#2}9#M0%wF7rdbeeG0SF{ip4DW8;@`F>-CJh ze68MbYC|FghW$Q0w16mw&79jO4UXp{|oZrk6fiOpQ`laI~`h;>xowSjaw`t;0%D4 zm`MZj$3+e?o7rVOac~mJ$?hje#7{cUAJ1_;(fSh&x^EOw`J;o=WP3L^f80t9bLNkV zc<?%3%cm~15jH?noc57U(lyb-1) zhA?|9g4v1_y#Z!tFwmJ1^|2)Xd@lr~r{wSKq)7Z!ivG%9JI@Kf#PIZPzkudLFxNMd zSu|g$e;q9DKF$9^fYl1*&%uHWkPj!CPdJPH4+q`<7l=x@=c zh8iXpfLf?GP@6F>hyA}AsJPkxaSob(<=V@)@zPRu0W&77kSO)xk5x_f3K)$|jMr~~ zm$vYU%NW-=JQ~0lpX?B`N9ioacs^q(W}4r1nKAxOgYJtw#TXqEUv}i>GR9GA*wit` zRhz`3@Sy)-xLH^}BWqo-Kc=j6=6F~_PlxRB4i1S>Vy4*Rb68Ns(!obiFB`B=?c^*U zt7$2x1P=qGycFHVb%h$K*rUtH7<;@On&l*!05opFn+jW?7^K4j6XVgdNUdRz@>2+d zgxMB@yoIeZzL+is`2b7{V~`((*%{k!zXc5Rp8)jl`~n(im|Os8p}q*vh4|uemjuUkiHc@HeWW_#qQg7AaC}6fgmlZi zcIta}N3yn+o_ss5ibaLtYM{d_UsNVtUqc^0_$7GN5O? z*t(|%5~s;mVEwq+n4B1_TJLPZ!tqQfFw1r7GeK}AptCAXE)56Osa-Tjmo_zt`D>d8 zXI>g+`Sj9otey7KFj|nmG#nGXNE&OFhV`%Z(y;YiYH4^7^`(}Er4@wqVQ~j=kS2d{ zV4oW$9JvP_L83ZV{M3F`z+$i}<68{Ys$7e~^cy!-`22Yep9fI;+Z-oZ$+y_-wz-%Aaf zI%?mC({WunFT#pCr}T$dIy$8DpXM+KWy*@qe}V-?{2V)#bp9(`SE!MS&by3^(fLn7 zv!pB*nDLJJ-NLmiD(|S>#B}rksWntyehQ)TFxjH=53+T}57R~E{|M7^h7p*p*yVr1 z>_j!H+4L1xg0Jl(I z1nxpa{%HYrE5M&hMY8~(C60Y0!de~l&{-3T7+MgGLLHq=@*BWN&jr#u{Q??kSX=;U zq23^EhO-=+{%h3_^odQ2rl0Is_r;u67JSmYW87PgLo|A+#Ox*bW6|_%ZbO3-qjxya z)Aq(*kp9ox)ya5i8XYX=s;<}S@OD^~2R zwMHg)^ba;hSD@qe4OMrEf2^RZ;HnjRS=fr9#_q9tqcXHYsgUI+bPat{aX)FLyyr&A zbCK+YlE`d^9;lN(Oa zbErM4o$?vpQ^9}#-g;f;W-@T(57 zkgV`aBxhxXM|YkTI*$k)twHxqIVvl3klSqU=4OQlU7DX2+6U#R8-M`uipNPb8%@@$ zeU2TP$$DkASqI6xnY1fMk;)k+SPz)^j7>dXA`LUJwoj~E&zGnP%=vhUDkvW>(K8(` zYM89-tTqW2mCj&>?HwtNEVU=(ktMpfNw|AQ`t=v>@}|jptJZgEtx~TJw#w#2D9xvY zc;9M0eEAC+Vz7iFfQH@0^v9Pa;7-!hP`o?On5>XyuMSu?Ks?b?CZ}ci8GC^!A~dDf z4PY~68{QjA=f>4^xO8wO5DKDLiAPP=vmm*C@uv5tmJVFcNTAtjWp1AHAqrW5{VnFQ zYq_R^3kNO}V+#jYK(kDf;%LSV7%pspT03wUV7h3umeiWH1NkYvcF;aHBaGsYnxHAx zg2FmB*?4EV78LG)X<-Wrx54a;T=&pX*=?4WN7c~5(|GhM$Vo@Wim@MM2|66&w}r{Y z$(ZNv@(buJ495GWL~D6LsJ|74Q}^rg^1@#SSgo?%_rih<$Z=9^s5&ffFl`Ja(%$Hk z5LMkr6~vC=kl~{3l!j9DcYfR01!cJ4A-{lj0UA@l1wwrh7Zh4@_-=sR$_d}1qFGLu zXLbfLI;OV{^|hs{f9Pp7`L*c_|AE>{(XagWu_I1_BYxo*(2hWZ3OGWjH;yoaWX_7j zv(O4_=CW2K&e0o(1X$nd;V6oPSxo&iKCJ>Da6ER;m4iZ9`GmbQdw*oj`Tu z4VOA~Gx9QjJJx!lM1_Lb9ko+1*iS=^&6C>;vhk6oGKI#;3u!S=TW$Nh)TZGwRF~Q` zltvWWG)w}Y8zCIEry*)}oY*Tt*IrABBGyZ^56Xfrmz$I0V$2w@hPUg-Gr4a;;USXKN-Zt!k6jih71C_4E?Nh~AL zYR`_^SaoP=xn84JKv(MY$mICs*kEf?+>cRi?j7CHs5g7?5R}y0m}r(8!)4N|ZK72v zZ46zmK?M_jmEVxp=s-eP2AY!ls(VAUVmdu7QKFxr`Mw!sTC>IDb`W8b~~JmP3`XM?j~9T z9ia6R>X%QLF2a*>`w3;1!$)Bw?HO4kZTp$q8#J1VC4h=vVIR~(8I5H6pEU5{uAuU0 zWiO2~<#D?Etvb|0=XXqrR%NIDxjMR|iUSNZ`nDQWL>>Pbrg4k&%W0^Y-5TADfuR)b z#UG2L7c*pV$O61Gg}1s)o-~ybS?XXVC0lZb{yusm%$3>2ETPwoPGZD-GyR^&ldxd* zTynuZ0p)HX@{LuDRcP$%guuw_v?a2k7QI~PZ6A@F{6fY#A4M}u(T4-9yii%W8diQF zK;X69n*3h8%WnRwW%J{1tu-6$));wEoW$)`NG09+HME!AN+l9*eKeq5aO+nC%4xTr z3BbN2+vRs_cKa;1x(gY%{u0fklqtYU?bfqk{4!>w|qA<<(eR;^^$ z+B7h~$3iOU+QZk|uB8$Q*UqEgLwfAofO6WkX9Ki3LLi{WX1C9Bt-FwMZ7-Th$7lnr z)UG`TR-PIl0N2Xagt=BU-|5m!ca2EY{u@ z7C${e0N$1@3-h*6Y`mQ>^h{E&b6+{WZ?;q5_EpHvFhwJo#Bipp)#z%PUeh!4 z9IY|gyYE9=Wbd9WD@}Cow^4zR0sJii<#hMH2vFQB1ai9fxvG12)OZ@(JC-*Ne-P-D zq6Y%nrU!y2qir7z5WqknG05-Jny3lTUN1p2MD2osWjn1A|MJaG2@De=?ddR?Ewv?s zs}Sj+*%TlhN}KTb4RE1zX94Zf z;jtF&`elFs!b7${EIb5Y2#*xuTQjh1d=(ERJT3u@hY5k45#%ft9>v>C(b1W(364I1Qi_%Zv`PoZI<%@cKmfrZTOSr2 z0xoB8Tr{w3&rp-29lYd&=t?qoc$_>8{^udBYg(kqlP`s~XvkGA&JFmqzX_P4a%wfMVJM<(sN|`+K&G(R-Td%sB1z z436k!(B?>EvOZ*1Li@`bhRdTkQ8iBVE=koZ6V)DB=Wd zCuv0$dq;bzrX9`dGbd@!hS@%;DA#t5H72S5f0_{6W2zE*YXDrJ&awM*})FEY)@sBBHrX> z);Ekv^dSTbt%)XLCTz8RbE5W~WbD>~)AZ@h+Ow+VzAfe9NqRT0ioOd)!d31YE|0Y6 zm8gA<@`~|FYh*>Mv7#~A8lP;L&$Ti7IDiKUVyQO`81xvyP<4X#HBg>DU$LET@R#Bu z+U*H;b9c>=y@~r-^m)K3C+dyeYbJo=#vs6lS95LAf$-aBgiLO!=1#q zSehUWX5j*1o(%h|x35=G?;w_2yKQ7L@(;R#BY(3$@{8b+i2a#VQAj>?KtMSi``ZyQ zf0T~RPM0rE#(q)1=(%_Q6`B6A5V)731p%$q{bL(idu)IJ`iDd%tbYg?(LZDooiF60 zz$-2j8`e$|4B+X3v)CrK2Dh-B!SVu$(me9)rSz(i(ehS$rjb%VRaPEoD6gwGkSE0op5H^~ z(8NclJZ#8bBJ+0VKRi>ee z$C~}t!)=Tv=>W#n~BbmldqM55f`Q7@A5V^bDYv%by!}cMmg!dU7w|kJ_tPInnG`= z-G;@8dEvvPB5z*6!N0c=w|Rd+Ih`|20`T_=ft>Y%Gm$fO0w0moj$}n@>?(7XBbZ62ZU$@nvy9aGOFhBsID=`TRT>&COw;M_Qv1{u)8};(m$sPJl zw-_9%oR4MxZm>B+Vsje)6i(7_21>3Z{n`U52O}CN?Hjl38zuXe>){;_7J>=OwKrmJ zl%>;iNv1W8;hqXLae@{oM)9Cixj9%PQc0)52I+u45ons`X(q1>R)(sh^c@rufgEns z>kWD(oP7ETseh%_Lr*h-yIoz~RRc*BruCh(k}uVvU-0M^J!rK-7*)YxMS8%B_Q3Xv zrvXS`4|d6*Xm^8X!~{LhG2R#(LPgEiUfLumS74ALrP>Yu4-7h?x5f-m(OEL)R(BM0 zwozB!}=^?lz~G~imT{%-`IpLh|2@Y>GApwAhk{io6# z!O=;>%#y&kOdqK3F|{XS^L9WdV{?v(O)@bNo_7SGgz$V$Ksg*b0ch!9eF4yVO@IJ`RiYXetilZl)@~4z8@JO8D^HtLCR+`f zcIhg8tgX{jjcK?krr-%W`B9@|9@Yp<>pSXNU|J-rj`awx4Xyxsz%wyt>r-Bj@zn#; zS07Ud>C1fbcZ;>x?o3Qpd|^m?jyhMMNrLr-srz%X?$35qcRNvcVxqJj!g<+%dplEb znhV8{fbG^gk)b^S8?FOASKCJjki&zztrc9=W_QBBwDr2Nvb}n(+HCE2Bvo6wY@h7% zW=Y`Nz*i1{S2;IZI|CvyhJKf7@lEka$^IpvoE}480Utjq1ahYM3--q!dVJhJB_^hS z53ozoZv$GXd(q3$%3lWvVC<7`bCT7ls2iOf5UZJnO)SHs8(7xHd}Paa>tF8p;q(8O7lj|u@~{! z{+uba;qlw<$+hC~+wQfmhCD-}d|F2+@5@B_t=G^LM>8S8r2wLTwI(NUk40mQvg0J? zh#wng9F>$_!k$F4tU;vQRj8)hK`f2Kbbk_MzI)5I7&2A5r=vE8OEi>kd#pOx9shS2 zYkJ06(r2qX^4V)MebzUS8#n{yUUfIin(~&hC1TL*wN8wl>=DrenTdLuSUN%@lHE+baYXy_^9PqkVBlekXPVf z&=l(__JBiM>huh$yj*5{qOpqtR+b}vK_Q;*IYQ%Zagw<~1txaW+7I>#MUUyLnFj}- zpw_X6q8nvgU*Az&-<#=`SFCN7$FVRnMz;zza1fj(FmcC{*q-70i1hI9^9n$&64j?g zK1y9(0~hrZabpkG`mjqY{GylO&1KzBJj0AHMx`%q>c|&w&h$l4PH?lVdv8Z|-;K(|3WZGQPJzX;rSbKhbZD zu2@F?lA}QZ_k4fBAr^Aa_roM7DFW5Lc+)#Ozvuf**V~`op+_saF)((q`dRBn=zeHo z%QWrlWg~hk!J6_~aV3*DR@A3DtL76ak94l2H}13JvaHcvC%Zu0d%s~gUH1%2Kei7Z zlx^QvwLOH}*#>FPje5bqvlii$`^hOp{TAjz!*+Pe#nek9v%6c?>wtfrHZXfNO};bi zaOGv)cJ;q znEQTsdK@wAc6e1wX@)rso2VsuooV}EB7PG!x@Vnk(uz(Y!S+e%>z>H~>u&gbLsK`y zOQQ^+ZF%fZ_s>4DT---&uulMhd)dwHp7FA3X&KDXD%3iZ~YsfwEJ+=}G`QB)&6`<|e(l!mU{>Ttg1ec;w;1aaZr4Qw9 zp*l#g#)4ur{6y-O_+y-i@bX92&vEl^=2~1Mw>?m6^VF;5T;|1Yh`$M%B`39Uj8}hW z;p)}>@Q&(DM~#L^Eq6ur$#Fk?$%$b6c6c-cBkl(2m0>p6cxAe7hkrXv3%ecuKA4?x zRr#z@bQKxJO#7k_LqfVw6=2WF-{^W4fBk$f>fa2LsjGGQ zM)+{XcC}fT7C-{=#K#C$Nd5tXqa38 zXraCc(1q@OpRqAGq7R^=Sy0awubPuNxOMsDO!0a-jdtQ)bH_qoDJuCbV5mO|sE_mu zXsBUw0jPy~1GO36l2i!8)63-WbT7imnr*DsZ;X?M0Wr6kx2uUkpr1B6hKN!OH3pmg zwT5^-w2N2BNd~V@J3Ow{3g6@}gw@%=*s?Bu2Yhd+NqWMaC7NKW6!Yyx9)IXN;FYkv z0RBY%0xu^D_BHTmLh>5;vJXXRF!NMMFK{pYF!6^yQRtlYYtRl?zo+yLXCe*i(R!z`KJCf5p9_v?4o@Wj&2EECU7GmBBsIe+8nNrXYe z5e5P42{$>!Y!Z}}8SW%G8QFpsJlN@a0s-dA3m?>=`-Tsd7dlu(q&bLV` z4xN`5F389W#|G;rQPMBTYR{@Qsr2RkoQ+4cLR=6-p@~C<%C(JW4l@UsV43zEO zIx;bl1JbZbc_1;VK5N9}_lB7UD;dt-gp9KvlT4=>qI_pqQ&h5f-P)`5EkS%{SdXFp zg50m7Paw!k(cgOd2sv;B8Q}vc-kpqa@#b}E`pV7+$*q(Py1d=5rPG-pYz)Z+=NJ_o z=7HY>8rIyGy>O%xEtLm;iv`8d@|9E`c(fzHISX|E$UYTg<-c(a1#>``X|WveJJ2lI ztwAte`F|I#TxEcc%1y_N9woIr!KzP=4DcuicJcfVO~8<(^1pAgxyBpQmH#c+gy78% zB$%xdlVho97F(Wy@mP3?C+TP@%1g(IpV?>fmr}IcUpG^RhcSEg`UNykhOxeh&Uzb| zP=7QG?tbofMS#@`;>%z`M(#J8az6*k*Fr?1JWCc}oZBo?imvk;!B~F|SU=S-ps|M4 z1+W(Ci(p+S{o5U2w?ex`MYGWE5wHCsyw!i4EyH+ z`}6$*8g`gp0Cu6?z;4F5oK)~gw8ENjEEJA1NgK^;bnqSYTxk9#d0Egfz=w+LoI` zO_4>64OS-l)N}r>^e(@V?2E_Xi?{m)v@g)A0=^LHi}<2Yrt;YUyOlRSO+~Z3F;}D`d-}*D z>0CvoG2%y^t=M0&FQUg#1HBi*Zxe(6F981$zkmiGjVJ)VP;bCD17uFVQb8-MDa*=N z`q$IBnSss3M=nrr>LtJgI})$yy=dS@eJ_JaWw1Fl6LMD2%FopD|4h^s--tRfw<_(6 z8Dx*qEhe>tw&V|sdhbby%AKd9T@A7~oM0-^2AeClkIleAn#TJ~GYBQal-ufKYL96? ztWNDQ$$AOI!{XR-*d>2(z?$oKj>YdF7Pa?%S>dYwvlZ3g5i7MF#AWh#l(?8oBMUd@Cr>0{j;)u@Ntf{q3py#E8s*e3j3DbKF^w?UjOjv0PBG1TFGAIo)P`1yE z?#H;|am3Q$_Sr(5H<#%5+#F8IFWHZzaX~U^Y;lEpq|7VA zeJpw&0=^VI*VA8Uq!Q`hb5OiH>EGhbwU$5kYdLq9YAwq8q;xvvgN-36-yEZY!o8DI zhHnEJ*5nzS@4bZu#W3^RRKAzqK%ypV&$@qPpNetw16)JFOwVOnEYrIWnk7>;2*xY_ zWZ}wHn&+t8bkgV^QftyY`N@&yrFWNToQhtEqdK#r7tx;xO|WvjH?q0L8`G8JeH*5Q z<#><5?2LqiSV!v6+b>XFI!^q|K8wUJ^ky%A-Ao^ThMDYVegREoVXSY`vvNA2{!tj* z{hV&@)?kPap`uw3i>;zG$_pVPQO52P6=0m@?h-^w(Mf(I80(({>ji!RjWw(;fVEIx z1nWXM+xY=@tDNmTDw>IQ2Zsx{Kwl}^6cLt7>?G>S1RGim9?V@^n2UCK@Z&x_jPBLnmI^Aws&(=voBM_oT-^2vYn-7d%{vPRY%uTvpqqn*`6%+ zZlG*0NTy~j*AAU5Wo2MiLc|>#SxoOpX=JHA%#AG3cRf>7BM}(s*I%?x5ebSs&?h(N z_H5ZkTX1{IdX&|}UO-9iJ<;zG%5(?mWwWMp0Kk3@zPP+C`(j}$SZi9`1 zNtadutUGwL>-fLW<3MO;qmJ~ISWwXXIrGTg0ZIqh+m5_yPhQeRKeA87h`5+*2sKST z*5xuSmUJBp&5~78(xniLSAKTk%2m?ksN8hFXdbCGNtgT-mUQijCtYZQm2}N#bB#Bq zE9tr#riCS4TVQrZ($zyR25r!F!t_TD3%pnw?SPo{pIwSQC<~OLA-^R|6aIu5Yrrp{ zxhhQeO>R~SCe&|)-Q7>YZVRwlB?Y&_f(*cA3YG%<6%dhNixg~+>82_LBau?{O1}|| z_5T3tm-z)W*08z&)XCOyOpN2Sz>&8UqAyC?C++cS+JY^vpsqH zXUZ@0+GhXE@E7aU&u5F*GQiRM; zJZS6OEv`c*aV1XT?3UU&9NLl0;f~8fD3QX=CD>d{5Z@bm#@w$xo9>yKoT%-K{(wqT zNu4x>GM@vjSv^`p4}UrE&J7I?*BJ;`9UxEKJZ{0&a6)|TIv|fb#vvAx$IT}>E03E+ zG%Q}XtwdhuF;`vZQNeXB`}i^sXC608gYN72DvxvUkZkYf=5d!&!<>1X;>LM-T-IsE z#*XSlV=OF{Q`PKWDwjHVDJ`(FIghESy*6o;D|7{vd+)m1u zqFE&4i4Dg8NZ@~ zAWy1gIq7o-wR`vOel>Fqj;t6T8eXw(Yu`qCW~HD0Z8it3XVG$Z2)6@HYrc)Aoofa< zwnMvgUQ&bUdSX7k-s2aYji&X;jPx{>k>=uJC#Yy~GC^G&2(N4upQ4+WjCjN9+vYdC zIgDtVYcp~bwxse_X(8pU1x}16{g@kt+%rn(89EmI>Flb2ZAn$ew=Jnvx$YpL-?+bv z77n=nF{BN@tJlJe_skO?j*a-8aUlbk;$evb~#|&VG~{=1gZ5 z*Uw95<#mg6C&%8Mczt@aU#5IC7U4ej+OEL+DOFATm(ivk8)GA^q}Fe6DzBBs8F1T+ zth`nZx_vU6D-eSuaGDf%4`?MGFt_D-@0@aifaa!=e`zw2Z3LT zzUApRFdaGWH&MJhIqr&$jh&6AKeJWMrsVdt%s}iny3$!KY!1n4du$~g=CTKD*W*ZN zs;IU#{|I2kq%0Dp<*8hDL3ZQyyqi~qJuXJq!wZ|Kwm4m8#xmMJp&c^wvGI&ozp!xi zDvxzkZ@O;uJF45{vGS86k3BvU$#^P@reLO4sqB9`JTzUY?2`yUPr9O0*>iw(28*9n zM;DagWAdjBkdQ7^1=xF$_^lLO<*%O!$0E#qm-_`Y6^FsTY0^qph59pLa`)5KodH&> zWN8Q%WWc~}+r#Qqo|ME@Zf`Y(y#zcs^?+PQj5(O$px z?4(oSq)ESkb`qLfz)3=V5hoSOX4D!ecEM?I!CUE?O3ER;TyO(4}sJ)n=0$=)M!=zIo{NW%jBmZ&P|QxJS8JFQiO5;5|*>LQ>-h(ahGJ0 zlm3Ll&WY|3DNP-+-IEAGdwht;q#ay|otp(LC}Qf|sb#y%xwcSJuGp^2%oy8U2+eZ3 zPJtTlv}+36peV1y1{3d5iPRd(D?gt-+JhAid*Ee~|y~lYiu!+|8^O4MU;yXP7)YS&EjT5x@03->ksUH{=)4Gd`N@ z8zn7fEYxpBi@MK@pBG@YqFlGbf($415HprscqGY$_d!g$VvZ=Zw133nzA;I9N4DP{ zhJ7Vqf2ChQ!;S_NfL*9B0(&8@`+)$v74UyWMYDiETJcuy7kz_i#ydYZln)O#l9R9MK+eX?CXH1P9^&~hkdhde7p$%avY#h z3y>E7QYt%VU`M9R6CJCQ2Puvr96RD*^I1^L`Ik&B2Rn^x^M$CUii(4|%#3lcqoG+& z6b@LquTUdP(dxohC>G|h!o+=a7^yWZOnwSsVKCidVMnla#v{|k!ZyRSFcx+d%+8qL zms=CevSl_8Q=j?<)j#NOk^T@VAC%qBR&6pWzqKGZC8a8$B&n zCe&YnmUW+%-4bB6A_se6L59=jf|V&+mf~e?2ui2)$YMmyY-W~_rUx$kRx$YJ1N;~J z1vL0*Mgj1J`Xb;L;%4^;*sb7y2NlhN|CluGTDC0B&Kwj@ccFQ0_m8GuJcRn`DGa~G z?3xSUnveMfv}@4B0)Fd$QSie4s09WGlAqt_^#y58^2#e+$^?>YSJL*h*-S z>x7UUevJ-FpPMz)QCq-0EGVY`EmKR#7IJN&W-3DFGBZZV=0dZYAuK$(KL{qav~cx` zi8-n_u^r7KwT6kwPa#YUHd{>WV7AVa5HCcS?hCM6Vg6<+nuWRExD~jk ziIU!44l|;Q+o80jxvDx9@GP7c#4%>30gM z+l=z}y^Si@kzi86trSi_;#xQ)zQ-7_?|rC4%-)*JIud*^$(iJ0)5cEsy)$kq+NeSI z4F!tRJ19xEcXK)Ylc-^NoIdYJaMt~-jmDrk70WkpIbKWHmAoN!i7Zub9RtoYGIao0 z8f2hsFRtUYgz~{wPCfbt^G*RP5p@b!pVJ*opVRI9DDVkl5!>8hw1rx%A8*w|Wo{Yn zOVI!VyA<8v=@&>sapd>uDBhhTzl+Ig?_zvo&~P%IKw}N7 z3t%nO7s0wvT6gTzf&=>hkoP8VauwD7I7`+cA(N11Gf0Om3o{dvK){G#2tguSNCL7m zotd7QE@q>dec|EC)8~RH2&hkeuDJ0;c`gsd9eqDsp8s=BRh_DP zZ{1sUdwPD8-{eihPNz>5Qx((nEY3{fDh;wd;kFSu#)Qq zA2dQ_J|4Or0kevVinxQivr5v_)t->ZbOM^4HhS>Xl8v%T!KUBu5vh+Y(a_bnL^JRA zh-5oKy2hf6hC`lN8tP+0kZr*d5`s*Y3xaHxy{BL*9Qz(xQykRHpmRgK2pggfy-%1e z4|rcZr^yy(oXmM38D2}z;~Rzc8b@dYgxPBx+3a~QO_;q3^2r8DbN4093Nxss>p=1) z8gyTmR>I6dCDNmtDa`JNiaCXuqPJPX?BJAlK8}{ErCO;n7^cotLE}65yfA)*S^Ho~ zG_Sh}ohDr~$TnVJ=`_56NOyO9+lQ&GPDa`cuW^H9MmROE$L2{L(+9`EHUPw%5Mspv zTt9J#5#PbqTM*y!G=8nV{sWV@Mtv>Uj`}2+ju+^#Bhq5o6)~1nWaxK@BAXqv)1)l> zGs0v|TEVjH51f$(lUhQSC7u@4=&&}+NWo#JadOWK@;a%u++0pZ1lpgl7LtL+0F8Pu zH@62$r8zt>T~Ry>#q(UMJ~>p{{%MUVD%G$umQ?$#BSMoU)w%$`K&f^lLY<-`X6QB~ zhYX|FPQXkujwTI@lwAROd%>bn;q}Zpt&r%?VI>4(MIMMqt~q$Z5IW2twh`T z=s^nDdxK~jt;q*3M{8=7HjocuRtfnml@R|78jjOQSSW~Lzi%7@fguq73_1i@nH(V? z^Lav$OUu0>z;6|V>mg}c5ay~gO}gPH1>Kxj3O$=9zBk?B?O0$z+~aqVV{sYA;!b}C z9Sf{ej#!X+6ALp$W=Olku@=_UWl1|af7GpKNY;0F?~MkMg4eTU|~$2@pz>1Xmw{kOon=O!Ir41e2B|W;C+Hm28DcVpG?JRBh!gOs| zgPkrTma;bPR5|05hD8ShL>DY&=yNt945ecR*2X*%hWNrqxRfrge$}re2d!UK_eRx~ z>xP~Mq^0Dx9GHW;6#L7=CO>k)4S9i#Qcx44wKE_~Rj&v@y39leb11zG%&G zeXzO)p3EN^-qcgAjCv1c%F5P2B6g+3w99QXDHX9EAyRSQG=oiQ#tPzRO}xRHvCJ7s zGrm5d8C^mLn>kCy^aR`*X!P91CFR`GbGaB%jQwa?(%v*&qprL@w<}6II$SZGRdj<{ zo^jPDhjdKb)T`QGR5@a$EakX`T{dx=Eamu4bS-R?@9pSzikMkY!j1}Wuk9$Re^H;U zQJJh90B@1)oKJ8C~qt9}mG#Fq;ekJjD!&mUi_UcHD^MEQqK5{xIxUAnZT(XV9=? z1#-Yn=JUXwOI7|ez;6Zo-yvxl@Y9-jo#Z|jfxUv53x7QQk)gg4p`Pu}prJ;Wb3jez z4b*0E%n*%tA}ZG0Wr@ax-D}R=eEP=jOXc-D_M~3iT&nh$xr>2c)~sLseEH2p5{P z0m?*`BmG*8w8qvas+I?cDo&FvsyLZLRMBr-Z3@HrjxYp>s&gFKLPXWsj3v#ZS2ruF zpe8O+b+rcF*JqTda!l*#(ajW9*FeRb8*Yk{j!*2YB)+BX6J+OpO> zk#3JWMt#1SXPxfkmJ!NN&yw#2xQ#PXle%T%DD?Zdq)->tri;tP2!*~KEz7w*iD

    20wrs&xO>UhQYAkK!nYoLBsy{e6W-GJh12D z#$5q^E8ver1XF--h8v%R_F#X}?*T)7BSL+=KZAxEUCzE1A z(@^cYRQEauz5!JC8b`Jes{0zolBU9&T1<6s(xCggfTFsNnK``+WK!LaLd7PI>K-K- zrT?lW!*dVM=DDd?EQPKX&yKj%G{b$I8$>95R1EhK&PXlk?Gwjvzsn_sx~Leg%f$%8 zeH1NAdK80`M!(D0=Jgz_f4iIWTMJt^5>1Z_#aw-hQ6FGm)4qdizgwEsWm& z9o$m!RKa`3sVH{NO46Heyr$40?)3$9?0QMPkYP^D0I# z5$&{I<_-aa=C@efX?@q2c}iulJX{(o^9Gys4cC+!W@mucic2j;;8NhuAh9pOY_f|4 zkk}Lb{xIxsLD*mH&!Az)3gm#D%;$kU7m2+nz;6Zo`H(aX_-07#DB6P)T7C~0>e~@& z;m@F9{0^g z@;3+o8pzLM@50z=w02z{`>zg!192+xMZYaB`&&mnCyUE=0#Y6hsh?Y3ilC;EE6HUAbh`NR>`O44 z3|gC&iUTGnK|!48_k`#AcM`4gXV7y!y6zk0EH+E#7op#+Uy{8rz-vXS&O;B<08c-z za2aL`X0t?wsuGjWcDdXwh%J=BgT>V#|N4uvwOf9c$`Y%c`_9iWlrJk>jR3* zI;PLGFB=9he^>JB0#!BYTL6=+4SZ@gMS`nvf(SsD2r{FoyILdco28pu#-GEF#6~tTpr8CGML6C3v zXV4&{yE#B6^Laqd#ZNyO;J1SL0Z5t#b2I$(-_c${eA({-L;X>N`cZ!d4K=!)18OpF zpf=-J20z`1wQ%#(!I$ClJe8gz^HiD&yV^DljEoKT7Kcab#Vzo@%hKRrxmUg4a&Sin zkhEI8TC;o&acnAmb00B5!%ZrcxF+t#fqPTu3!q?%K|hP|hz ziPHDfWMK!03#H`r7eL_WICWYFVuz3K)bRMuqBB0Ni`P{YSHll@ls&V} z2&E?+v?x7ark*$rV4k?O@nea0nip5ep=_~Sc?LWebOEAb+G+$gLpmsH+j4ny|;A71?-&($Ykk`JJGc;-SHN5JB1Ld)o{3; zIC16Y2QZrwiZsx5QYsFZpacbRpWhRn)jp3S-240)G-E^8eWRbHK*;>9=y&TC$m0QC zD{A~j^dJrJ^fmMEVKxbPzzL8a`2AtnzkslR*PlVdjuprOJDJY|doE4#mjJ&N@PC4& zX}~w5N!qr6y@Hqle>{DSp?(;lp5o7-p+=W;KuzWi)Mkv!&?Gk_DsD|;w8*wfeIW84 z((yjw*Wtk+L=!9q8@49cUoM^VL=Ue^Rc`XA)JRVQfkA^d6q)#OOdrLVY7}=}E`F8+ z@c=G2X7k?RJNweYOE#~5nYtVhYL$P1Scs>Z0PhE*B$Q_l;;- z&fjr7d3Yk0dtYuB6w7tEVA{C27R(x!D?f#>T=d&wx!1FICKQv!avw+6!dUJX(d{(S zCHX77mMC#+-}f+Egym8y4w#?>1@QyFCp=$#jp&v?gXRF}x^I-TST33W0{Y#0miy-b zuNB$)BYKbqcsk3S+QWbcu-xg8+S33S_OB!Cd-^kI*s%gRU?=l=V9&*J4-4>H0lyFt zOaZ}c><1$$8=TwJqoO6zy48dtm^G59Y zOJX^9E`&&Sj9>XH|!AklPpVaS~d>mDAn0>FxEN>W9$V z*MSY2-tHq;Z=)XaSk!R-43R)H`6=#G7(@-*u1j(6bKo36ao^|27D92~#aPl5_|q0s z+@EUDeceG(T*r)@-W@V2?suVL6Gw67n(fB1Ejz*qZuXij+iITP$~8vIP?O~L*W4yT znWG}NzvPV6nEo(v=Z0d&flJm&CdJ88i{V>i9-Ji|Ug3gRlmzU&lQ=z-z_0&O{GVf{;OV zOPDQ~>h}2kVc7p0VZYR$LBoy}$N@W<&jWids{7gizZLLTLeezgo1wZp(OyB^;`e}| zeg>g_lRtxo8ePr-HJLY1n{h9L>K=%-aGzF)t>fa zk3)JL*ZvV=fF|r(XF|o{dlti|0o!#+?hhOo2aw$FIXE8ljQE%H<2W_W8IeFzHol)dO0VZNnkV0Q`?!AjV4*`0f3d=q5OPJvDyM> zq}H?BTR&>9;o`-*FJc!&pc`eBSRCp)Zs5$d1h&>dg&=6)ggFmV_Sc?1!is#YO#A_v`|L|;I zo7Gyl6Gpyuw2aIyz}@nB?3TJC_*BV?uA>qckHnuzb#AyD}tSW(;xGo?0hX&m@z$sPYAXw?q%~T~PL&YX;>Ah=X zslPn9He8n+n)ZyKWvF>&vRA}PliK70ZVI8qUulzbIU}{9*%PNt2Dqe97uAZp%f*N` zIS(yMCKdrR+Bvu8E?=n=NBO2*i?hKjkEH5Th&n;1Ep@Vqy)$u`EOl}Vx)vM8?C}WQ zRy^(|bUTeWSFxXUCY}O(C+3miny!;@s09655byT;z_Y`ib76M4*PlU?HgwuIrdb+= z%s0^K)@zi{2Y9WZeh@uKfqE)vl;lD5Z(t4y^c4D?QEuoA1@SGv2MqKS1p4d#3>s*3 zIS0^WJ`d2j)XDDx{8msu3rW+UZe|&M&jGMk5WB-4PrqWQry|t<2yprRu#f~v(d8Ua zlX(NR8P76=$PI{!TZkO7rZ`yM4lfucDMAw(yyFiZye}2k76(RZ^VApJ4WtIg0h1Q)6JtIS-gQekq(oQsD zEwc$c`e?7!&vR7V^gP$Ej*4bRgj>lBY$ZA_K3+U|S9+Z3h(G`@ez_x?Jr6Zz)vKl9 z+F+?(+A>()c>~N#fDF8(8uD4ZxD7Z>l#|;=#8q(RUSpQJw6N3*ig>5E2ER+Y(xXj- z?(1)g7dxi*^yp^t;_cYdMy9~+0yqVqj6EOwMnSaXoq$JC=ULm57o|i@HP4M_$Luu8 zj^D%$B9wY6c6=jeBvy9d#IfVMxuj4R6+3ph7-7c^v@BUb3{D=DXqfz9ZVwbcc6eag zw|G66HT+n93gO4-w#ARHWA98PCW{|GhOT7{DHcC|1l>*{Z8K>q>Fi0qDCG&vB|~N< z;&2K2y&%5t_k(AOb{w?6s*3IS0^WJ`d2jIP#$Zek-Vp2wV!(&2Z#n(HtW| z@!;rU$znA&UZ5iCWr662&4lMWtHr$P7iEX9T$6M1@cGuiNc*xDwH4a-&HlUub)EDbf!gyq7f zw$daI{sK3JP?D*5@PnL@*w>kFJHW;J={TDO^D<0r$!!97D`z3Jw=1 z;ZO?b_b~p$Js_Fg=nk}HyzA?>Wz-0bUDn2mzwDKv#MFCzbT6P%1mIAe# z0UwJwWWPvfz%TN9z(Btcfj-8cK?990=Kz|_=K(qw13ovvZw2)xNSX$9GYnXuy@D9< zd%#d1j8OObGia#M}c>&oUVB?^PFYFyM?;;*-b4f3HX6#>s!*>_IVv|Dqo@ z|5a^_g^5N#eENJG50-8 zgYN57iu*dI@AT+qa^D|gOPet6dkihRt{d7?#^vyE3cNJ)phU!8^GtYN(mCP|&0H?` z9Jh~9wy6m5?>Qq8v12EW5btrBZo;81DnjgXF+zy{h;@(?zY9|ysE8N0=XOK!Vuu^1 z&5K`y4~7@ZPa(V*eYbe=Zye#6EMD9JNO?G^K0UDnK}{iRGvw-Uc_>bdkH<_hY$go{ zOVG~+af06qo;#M}Ft*yCL9+;S+c)A_%$Up{fnK+MZTNx!uN7T;1$vMIwwoCX%;96k zgMJSf=pzy60e=P!G`gGvXfmG%=v>VBh5)}6)Yn4NG^m?l#`mB-cxR5^1BSW-p}yUp zK|_r$=YX2b8>r2Qmcfh<#adV_)$BqvRyo&(%W49atEjXhh;8<0&=P5oD^P!pZ z6kqh98p4#(51T0;QuJ4r$GApFY6yS4vYAohma`07j*ivX7As1x-aJsL!Hpw>gT;~I z!5zhcO8>wh{J&l#N>Z%VOZDIL#qt}*l8EKeEmkZ?YtVgTol-0gVwK*D zG8N0ggA=J(mQzP*(aX`JwwhNed&dm{muN<%90SnV6GwXg8aQO&P^865r7Y)+)R<15 zIF+)NOUkKITrNgb%1X2>Nmv|D9*9VxoSoYRrBEC$m^Lmtz^qXy@>7UHLBB19(#77H zP)wFW8A8{>R_+D5okE)1s&u}aUXFg-Zo9$o4gaH1nN|ENF~5wSotz^lC_zEI#_tkO z9IJ5*dzC+frgm5d-*9Ki6*AwCez#t(yfwgU#i8#&4^ohK%a!{uhfl8D@ArU#elY_5 z0e=P!G`gGvXfmG%=v;E;D*=8hs2_)2MqP`2=({<88p=Bat^4; zyn)&bZy9o>7i-~`D@&Ymg^qjEJIKd#mgVoUVw+JYQ-`t#xDbVce%K17AXQkrems&j zQW8L1<5kLppPR&q*d%licHI^I#SW|k`0-(mY$5!(2>E2Iqy4Z5#$DSqsj z*3+Y#$&WWe#U^e=zmuqF_)3223)hXE=6P|OZKO#~JizTBlyE9e+{+oM0d1N%PP~;% z3UyJf+`C+iaN<6+tXP$WC67hKhhLYwc*Tbu#hW%QE(NoO56e#>d>DPU_;886Ghvu4 zK722_7RHC~LATRbSrz$ke7XK3m_x>Ds!YIP67(C~)a5UqXN8yH0QDh%22B*uVc%G0 zkzX=@7rNYf^857wuN5Qvcl00yX6Fmne~cLv_oWxI8<6QIxRSzOI)i*Fg8ZaEg9aJh z%>go*&jWHU;`{dizZJ~SL((*un<2jK!(gu<_J%*6{=`tPN2uHU88p=Bat^4;yn)(` zV;RKvc0|QZd_TRWI677>7v=HoqP}2d+qP|8j)w%{0u}jKWLL3x4!ml;j?2gJgk)PE z3OT3T*u3Ra0cf(`6-QBdhU+XC1``KxHk8GU-5tXlRUko`$hRbNgy?R_5 z+d{8EF4vZrXD_F#I+&*<8~hc-q6=ea)jrC-Qs&u)R4>*T7$n(bDFvRM4xrXH*2f6Xe+p2lc2q^EN7@K_;Y7)lYXiPK)k(h$yXn9XtVOzO+& zT^5_yWAokSnp9?==2j9adz3Q!1ZN~k&^>X=>`PoysEbOOxm=7WvwuU&l83rcHY`sWmeHtcns$Q>}dFpcvq_k`V_X zaw&kjw5w!H>Sh#M z585k;lHUV{`aFdCVt)n=HM*PwYBF!2HltdGVtYn)0mm~3%Tu>1hRwU_$9YN3RS06L zWD2{a=0*>oA&Ln7u(vD@qAiQmdh*ED@FawI$E%nb7H%pRVpGw<*>!33{SNE{X!Hjh z*+OXadmx`hqc3hTjlNie?(1}lMmuKt^yp^N=XyQoo-?*eu7ZquCxfmhMzedZF4aD)}F^cH& z%u(HQLS0aF+2Mj|Rf(1Vm9xG8iG=I~MIvfl#+x)*^i`7>ys(d8UKlleSA=c3S82l%a^ehnl| zgSr_C{T8%W5Ig-IFw|v)`euIy4K=!)18OpFpf)3228CXLwXis>b?iOkv=2^v<9c%U zfmpH8-G(sg{GbQO5bBJ6*wk4a=84yjM>2idC!PS}8n03&{M;l4uu143?7CF>Cl0Iw zsPdDJY#~(n`;gC~%A&+8X52dKa+l6`>&H?q8=|*upCe)vJ&rRm1u!ai(XtDijIN z%SF%hNJsFEMpj%*1nh4e_-8gDZq{SitaU^3^ZixcHySPPQfac^XvE1JZ#1IcxSm8O z79v2gNiu-%ALPgu!uJn=d=}r|);!-|<$9yhHVwLOfKYtjL08hFo5}Z2gNkJ>joXbL zfX69}+w?(0BfVpT<<2BUul1A$cXCS~(9L^lr3P7cUawm=w6vC75Pz`SgW_W8;F6sU zkE;XI&aCe&pH50|T@`bf2As;bk``zB<% zVkDh{0*V?~JWv=|8X2ya=%aEH>BR$G`isUPB$?=xW^qFa@h$e1hAQx|zkYtdriaOp zq}-WA6Pn{ZsLr9-l8)a z3Hi65yJ7Q<3#q?ze8F%*yo1ZhDgImzM#SHn(X!;V2FGaOAI@F45`K=tO@|aWf>|D6 z)TgBIYwYVraHqHq+Q7gxaXtJISPSg@>+!pSXt2j7ER!V$zlyHK<~e)9Lbnws{xZ6q zqEPlJ^_Dt{@ShhQLw{Brb<{C&jq)Vsm$7sv=dcP&Sr9+=`^L25YMkMI=+B_pGFHYn z8CoKd%s++|XuU}MYk=1(1pk8`q=aB!5Qe4REhG?2y>N)0K9}NAXsekhs0{cA(&L|! z;l7Pjg9R}QjCfiNN9G!g%nW}99T}`+j>wStJdw#I8J7h3tzvTo0-F+>S?b-r0C4if zzHR15J;mBznQrkCETJG??DvVme;tB*>mMD-#) z%Uc^R^YKxTHNB-`ZDg$4Q!e(5^s0Af0cm&tXwbP>qH`hs37RaCa=Zj0hEwBph?Dlr zuS!-1s1lXNr%JS`cE{B}@Eg~UCfds#kql5JLym0rAfI-Feuc3lkZx#Rm8^8Bk{dMW zz80rciDTYOZ*iHbgm9wM7UBFLduO6BS%mW$bS;c<{sP@jnNb(^QXlImj+TJBz<;2_ zbQIxud%34BKgEg9^Jq;5G9WXDS5Ur!_?zEvo=tATf$e|%8T71+74?mQ78xb;|Ap0Q zJsI7v9vq(ekTfkkdjt8T$iUy;(P}&vi!iH<#VnOjreoL}2@3_W#P1u2;ARZL;r-={*jeJNd3y2S)ZD2i7CL#}R#K*p?5m!?D3pU1r_#pnV+GP5Aq%E;-kNH@QuIw%z z*rvZ|oYq~(9wf)D?zU6;y4gs_;=5umEAQAgQtho37x$L?N@Iid)o`D{=IU6vyPF^X zzmkp5ju@8^T%&&#U%n@LA+sY;}16Ko54+W&VLP^j&5ueXchJib@KhQbR z8NOGr@sd`6(cW0ugc*ZDbJ?MKKakZkkL=ITfznNJ3dYTpM1#}Q0Vv`_`^tKIV-E;B zw_5tdzlQavyD%0{1QdHaq?0krHoVmi^1n$GOnX1ITMle?Q`d8g8sM93>@&3Gcsrjx z#_e2>p^O-TJ_iznH1WLx(&;AtR)lprWyomaCz`f79uV3a$24WgYB^0BfJz=NE19#Q zcLiXH4P9AJY3TCT?DnYFz3#?{91>70Hgp-eY{R;tQ>d|_OO0X;?(4hzfDI+b_6SGP z*MR36+Gp9O<1PMtsHGa&;T{_8fr&P?`U?Y~z=8c00qJzBzXvhiL>V$#{qd%;HjPAk zV?}-|27#t>L)|Wyb<5e~F(B3#T+puoz6k%s$ ze}DJbaHU@BZiC}dm0BHdETS*q-l9^ySQ{7_8|*D^!IkdeTBWyKEyJg=%3!@R+)=EJ zjgF2~fu|Kq)pA>R_of|i`_WK$cL%T=`1d*W%3w`?T02;()ynXph+?C=`;1b30PezR zJ6Y19BHX@IAK@~JCA`OxBHk6KNyz};`@-@<-=ax-Q2ab3)9s%F%N#7*Mq6l zSB;g1`oiT0uw>b#wG&hDqsdEg_}{!9bmki%m>xEAX5<=W52kvQz85?Drvoa3qqH0p zvJLA|nnn>bN@r)f9E@v0ExG%dzJ)2ZOXXN>PcJHSmul74>|Ls?vvV&WSyYHTM0Lmpr*zRHD`KKaVHZOL-3&uUI>4DG7QhnorlR=b8c=4dhXx6 z+%^oy=3eNEZxq?u!LuSQ6MmZ@TI4|yeLgn@O@8J|pSS{9tO z)`N4(uN+z$ts7iM&J9bKbCC_pE6}oB!LmS%mVQ_6($#jQqjb|9#Tc07?xsE^w<{a- zUGPOu%wZ+04a{xquZhTHZD4*9UCUnk(OY&a&y^fs3%Hm-$=Igi9C#x}kqamzN*iB2YU#l=0iW`*~(xyS%8OUHxx+lISh zW}4@ha}t)CWSMt!g9s&Z>S){@oRM167bk*cevr!ubxs|Nb2%4bnRlUOIcX-KjCRm} z%k6{WnhqaK+ZMNiS;IBurzF=D_ru^CIU0wKTg>t{_Rd6MvY6#}(6umT`Au{?h4k!E z8;w((pJ8$tE&P=OBYeH0m#ho(?6B?;r)L>jHc9lvl;#5yb%9uW0A$`Sc2M&v|)1|1QsS&oR1c@q&c z*kzE%e^)(4ul-o$@i-)pW}34pB)rSHK2RL3mPe~2J>^;r682WAaCEk^wOms~uD#K` z0fTZ`qTGXja#PTU5N};W9|biJc(a~%JW??c&x-GGw1@x-I_Ah0LP0NQENRO6LbDVU z0CQ2$FKEzxEmu)c#|)a@ax*FDyP#rO6tt=J?lQasgn5Eq+-*3X6mJR7O|ExC`627w z=w@QQTe@V>Y#d~*cf%TUCk}|trEZmwmb!5<8WUQJ(ao=NA8xI3Z@{|Yj&rp<2rq!| zwF*!ZVPI-ATzm!Fc0qi}(=~8$9#_2|#pJD7^;{!hD6S%#o_xdV<8zz^fYAM zyUjMzq$K$@q7pRN#umPR$r)*6c_KiPV7>Kpn_T#&Upcy9v>=}6GIB~1mva$G@(fy* zB-chUTKx1Kd9hJy#8JHInBr+Dn@1V-DXB&rE8l=nbmbdsVd;~fFC81{GKpr`zp?7EBqNWX+zh2Q=g?* z$ovb@@7C*;jR9UO5`7kWkOKJGxC#zWN8z6#`ZIjFS`~*dz_+XPud7rl3=e%TlaH=a ze~0AviR;I0Dl>ScM^c}t_CgO#2VK2Wf1 zdnfhPq%vFR&7-G|fRttsxDDMbh+F*?;|P8oBY2ZPgN`5~kt2d+-bBz$3>nJp1y~Df zmbR4Ja(WO6nLu$>|=pC zCV6}Z@{*1r1I^2rnd}7h%Vv)6bOK8D_?W(H8B;M!I3u;2pH75|c`=ufQ^mNPi>R0* z(Xymc7Kl9N&~Upxcj-#RI7&BdSR4jsjfjz-k|IVN2>mj$rGhS7lH~~Y&fq^;lBJBU zg>9*9LAO&F^bDLkP_f8ah6i3ThM8ovOd1ZB{J9{u`Muz|;0HJ?)%+PWQ$e?VBbX&u z$o!?~b?fEItpQ#urg<}ZkOKC+gj`AJjdx*o2|HYOjOqpcOZveU4o*V_@gBdM9E~4h zG~VIQpre8H$`K7RpC=l*nE%58eyeyq1WD84VRLi1+$}-;lf3_6i}$;7Xfo+dXL`~T z=wLy7$6qCm-H$MK-|%P9u|o`U#E#6H*qL!OgY#d6wII%qLnE9hw@81NA^rC2)p6u$ zj`2Uog~>T8%J>hGj6bC)9ib^WkH^UVKRl3XvM=*Ws=rT+>SK*;rjNeHnf|^BrcXFX z*O=%}L4YipuRkY9{_Iyl5ebqn3(iOK}!b2_Hd^ujVZ=Srx( zb8kg)PFrI^+r+VzAwDqeS%QI413arL$JRgl&yZwXNaYU{M+5vjmVKWgmpaCbYbKj}sh53|w8vd+LT1`)U#lOKe8d z3!pVXYdjCTL^eC3ZI*K|d26;=7Ht^tznx`Z6!utL@$S;Olgb2r4B23rVN5_HZ$_BB z3em6@Zi0o$HqJ=Ic=kN-w;L`+)R#Rtwg(1Ad3PmwY94>&n1acIxPeQ^DN9_gMP$hi zw5*6OBN#3G_S}UlRpKbzbWKqOvqqK3Pf1nM*vBzEM0ZxO3YJW%v%4l5lOvB9^Nma1sTee3>;TMX$#^pzkfW>J%jVy!~P7K zc438l)1f6`$oz+}3au9~PX~Cd2=i0uK}r~2fC2_1A^%>u^5|nOrVoyX5B`XDWH9zu zx#*9|6n_RG+jK!Z=dS?=>Q@-3-}^J@Kw*`01d7b(2~;j^Gxv(%90s8 zlrj1iUieY-Vf!o7OAf~p3gS?|PYnLA5&VPw88rA}%~PBX;Geb^MOdCk%$ zmr88{G$f)IU;-L0*Xb@ado!X9Q*VI8<)JO*-d;Eu0>`{h-F(LB9mTO)8LmDk7rRfb z4-IZmRG?eVahcl}YY}40xu}>~(2d2V_@-mGC!~qZm#2FIprs6DbtoAHfURWEQIC?* zu*H}vRKeDM@2R(0zzRRX(BH);&@~R_%f}=-qA4YK+Lj( zZ;B@dGvbK|@@Tw1g6q4x#eu|4VT;()$utuowCr$pPJRni_~adD-q+_kzmJR;L#g6{6)PlVs$;*o0k@-a9;+PkEy zSlkR9T;0Uh23L=Ccb`%ktife$x|^FzMrKERlbk}KhNv2YZ#S* z3>!S3!yfbTfKu_+4%xG^4eMJws4Lz^hOJA)db<0@hI@ufLuGrwN*U!k%&4aCJ1Sy! zJiJmw8@0BenyKNyXflp$mMIz2LC z=s970XyqD*7D*3He+(LjjtT$*$023Cz0sMgN+vvcGnz7U)@W_s8aXexl=aQ-Rhmao z134_9SnRH{A!QrZ-IWYuca`$oblLNc18&ycTd9?{43>N4iZa}brkh|#acB(gM}w=- zN_`QBKf(ZJ^%=$9l`KD62+~S{r?jMkxbcvxaSCfHDZEc%J;AL&_3)c{CVjI~8`bc{>P})iWjEr0cnhA`7R%+|M6ii;K zu7#^#BTfBt6zHkvLth3||!pqhV_l+#-C@#97GKznCx0K*dS6A1?ZEfp^ z;ZmI*i71V&@~Sxup04Fksopa{kSlOyI`kLtgohOXL5&-oFiaB1xrvq(ABBgZaVzpT zC)Vmr52v|uG@u)xJi!ELK>;E4$e+m$4{9AXyN}DFJYq|%m z=~~&E%+xYFGEiwcIFko2QzvTXHw83boHkWC?Tyn~IQAJ|T5Fd5&7Pg~wD&jUMb}6m z`4CUzjFyhe+|E}uXV!wMSqo6f_sdGMm~PC8O(VL!%#O?mrf_OA9}Q?TI5eu#+8Z-e zn^|oPS>JKWuxRaugQ$#_IQCX+pKP?b=?!zmrnbOoO@sA+zhGWBqIi786AwHIDNX^X z8dHf3>=dWMhwH_9`0tgpO1TRD1&iT$ui2gkUTaD^XW}Ms82cy4I-?|>1cac~{LllG zy{1NUwQOeD?Gm}LoYS+@X)a8L4HwSNbU7H;i;prup%+d%HZs$T$~+`8{naUlMAYW` z_zos7y`T(lMXS=ehOGNvX!k$)K3-Tgw+u9Y0AR0(6{` zgiWl-;be3gw@cQ;K?~?&`?7Zi|H<0*KL=e4+x6dwZl`QFPkSZxD>+9os}W_)BBP~K zaG>Om1<~*KfVUpnak}X7XV5#A=yHx7OEP~J`rP_`{c8feR{Q#|MGw+|hW&iNIu7)$ zm_-6TRVHAZ8`E@_g1F7^1YX3U0|A{^q<59&e@gIYv7)2f>>b@XO4c!&6U$?i0ZkXb@j$P);1g!# zcKm+oU~#MvVc^y()L1pJiPuKx!Xp4hIJF6BFR z9FI+ATjKUz!1k?MRAg8C6)G^WMO%U$-l5S7EX>m4XmPN-wLHkxDwZlkuv99SBa1!N zGA+vCy58E(^7eWeUR{B&73-6yKKQ`mgxNR!egD@`f8Q7XSRE#8FMYo#GU9^WEs43$ zndNPGY-KB)3dU_BexlOqjHzi_`qX6yR4&dE0-m~D?8p}K)a7A}B@v_pfuN?tDXs#{ z8nYBgB|Pi1Q(S}J?V0k_<$)S>-x#V+z&rR_db`gQH|IjdoG0LwxXC&JpL$LG$nXv` z9&qP(9D#3%f=b>l1m#<2;4|G!9DgRrLV0u=WOOl<~_E3u^)#H&0V1>MHGhPGq!)*OOgvH@!2)xnU)dBZ+@-^B)! zIvD*7IS4<~veBdpej7p(H15V!@Limd2AO3E6`Z_E2y3k=Mar)nS|S$z!e!*t!7k?_ zI{0q1ED65_Vzl&6=Pq5TU`Oeui;CO8tWm-8Q&I&x9wY$xqNm}p5|%E$o&7ZtnJiuW zJ#;N&)tUHDr>BB!k(;0FCy{EVokWn4LCmqeph@(l$ zpAVu!#zxswp!?dKk`a#SExpZU%82(u#hfxi@vbZxu|S@8=}bJTRxOq4VLC#UGQORd z68I9`Zz6gJg=09JWh)})lK!A*AjCi-KI4PLR(EviSN1Bh_7K2 zhWm#-JwWS&FJbc5=z}9Spo?CCfQdjMB+ht^;tyTMMp7f7uOS+t%{J1cNcb({5;UsD zM8dB)BX#*T36Zd`vmdcaNc)*1OylC;xs;qT!R1~=COnIlB?Yo^j27PpD=7KUQ98j< zyy<}A=TNrM3G$OeCnSXw^^M2|8dk#+3cqB$OjIUIC@cc-0)@h1h;xcim`WWct`v^N z95Q?=0Y^^IZw2upfB8%kjzyYqj6Z`WROqm8aVoje!8!8Aq}@*abPp!&Ta8{Y>PVjegU^UK)|UqJ^`mqxda^i#?_?I{@xMV z00H-`BU^}o`)|l6y93ShCp0hMmb(Pp2^w@?uT}!iK|9i;nvz;0{U^ za3g~w{b34D6*E2smpmRQcm zw?@x(oj%fEFAZMiS9BOekaUM8l@0z9v6EDF=y8aun`OI0pf+;^atq>P&6nxB#4%lW z31_5{Wm7`e?Uzz}9sktdIo32_j&eCUm7UAMh_dTO%aWf)v5Y$K>f8<}ZRc>nbWCwR znC1CYeR60!hy2Ah6x{{FnpooQLiX5%X0pWHThXF^#bq90bVQZ@ECfK0yW;Z zI@mAeT?*tdF4#&$e9N+V2(BZ&}
  1. HsTo8kr{4$t6@E!oauV=Q zWo;)XikPJ2bg4*AeA{9%$A3hADdhFNp}i)Z`;Jc+vQ-x4-Bj^Hs1#|HnamiGJ@jAv zdULD%d_SF?CO!bAk8+XpG;v<4&I(^1Dl@ozEvYHK-cPTbFODtH~{-gS705nZBPe1d(9k?Qgzm7|rf?Cb_+CooUw2+^#HG-tw%LCW+nK_5| zka@(wFX`sK_xer2czD=PXXD`yVTa%1BI$UzYGsB{Kv`*VgP>26i6-lR?pIGEh;;ii zKfOYbFhgbp5%(kny;dOT>GFG<1O0z>Y63l*=)8TNXCd$v^7-2FpcB2MgL8Rq3ZG-% zDYq9M#I>ZD`X89L#{eQ&9GIbx&s1_4tVMN`)i=rR_9huc>Ex?#`wh+b{Dz;-#^)cy z%74p6(((B+rx)>)v9N;sV?-JgJtJEszuHf)qDMF>bM%m3lcHxf z8a)Nxjp$D9DHxi`J+VDgJ3c?fw=m+WDB575A14f>lJIRRYr7ju+>;_Hh`PRTOQSVc z!gQ1m8S+5QQQ3ash!%Bq&AxWbrRVhK&DgWcXT;a+2GkPFA_MAl8ZZ|WB&4XuPuwYP z`>n{xX!_~wi2688Smz??5q03C+AVunJ;1V^XjuKc-zaQ6S=&T-l@6<+@L+tlVh-?! zkRmk-bbx&f^e^*krvgm4I+e8@V1$mO06XBo{5HOtu#B4md{C)LO4BmM_s`Bss=*DV zKY#&bD4h`vB_)(`3}0^kBMj1s2L4GM`}yPBdh`>{p#l zBx|GF2IqR>utWuzA^IsAbqntIVsg7@DgsAMsadxZOJ;s2f6~6FP*%@jE5DSg$qyZ$l15SzFw?>j{C)xISjx z^~5;C-BN0^?uskVW@jb11xP2t4f2T*g;BUSgJp`QjtSh?VMU8!<~-LWQuBmPL63m` zJijC=1qpnovbIwYg@j2#>BZzd9?=^vqW5@gqM4MzOFZ~-rW-tPUq;#u9;5Oeczt)c zd0|7jhUcbYUHPIL=vCP!Fk9wW{ukF z@Jhlkd820J=FSI6EHO0RAS*NAVx;~YD6-_sm;34LeEE4;@ugfOJzws)8MC!?ZHHHL z6>&q9Fn)at*#Vc0`<2kaLmIr%Pp^VUD4QMy)`i=|{eEE|=V}h=rov67E;_8VKdOR% zDf_5K)qr8ICMAVD)H5v{Me&gglg7kHJQQZ* zhft%cl#9x-ts0dhg0Zm=TY3u!vZSm{emWbEUxkVrxJY`+I#Z=AM@xl`#P=p0Z6_5c z>lgV2)b1te&iB(R_XwTnIkDByG`jb@D;8+`xB#c@cp=c}c_xCp5MWFclUz`z8L2yd+ZT7MV(w0FE<0 z?l%i((?9jo+1d2(V3QAXk@Rf3%gGq&)=qF3gQMR>ZfS-nle zW|M>s)$KrLEvT||`u=YQt686*`UXt#LoSjInafY0H<+>?OwM&;z2f(EWd05}HFQxR|IN+XyU&%$%5&8-Tp+7-miyVM1VUSs- zNAG`wgutWs|H_acFn$P2nJZi=;;0LF7rVpi0%CfAaj1fREq)oPxD)hjzt_1ND0xqW z<2)m*L2cL#yor-&+h7nV;l`uUVHmTVi^Iwp+fNc&q~;af)_n`|&-iOqDNDpcDr>u+ zMB$T^vToJ!-@-9P7dowSk8XeAZ*}QU#M5d&{PYS_ z!W@|~Mck7xwcWVAhs$t_xtm(_H-B%h)kQyBwqx;AVq2WDE^0nSh7gU>m_`k8cYyP0 zzvc{_Px|R>;Cv5ie~gQy1Lur*l@%zGUK@vhgY?AZ4}S5q!%4QU`{|X#g&LV1PTZ3m zzE?SIU#DE6uTeN*6Y%4FC%CtV(H17k(A~*~0?E)t!RToNC=iqm3UZ*!(qkU+)7dEa zK5Vgui=?CA(jn}ipfOY#gO~HkB3Q8XE28m2TAb&nS9lQ$XT}S0Pr}RX3NN>cF7E(f zbk9V=L{5zYH*~EcU6d-en8z;pOt|b__&k-2C6dUvOd?Ve2R}FX&BIybIzOF_pC7qCey(xwli4f^OnV&$kuIjsw#F9qXOqG3SrxyU8eOF0)BN-bUBVoh(M8;o(DiKP z{@a=ufi1NLPdQ^`#4oU@Sizyqwz%F-W+Vx)$*i*TgMIg>Xm*eRNM%+rn0t& z0>nKjZUPlI;&7nbh|>-QIU&)-+`eaB>2f0jo^gPW0`^hnwj-|qI z?2U24VM{{aFR{K>1Ywy$`&Wg~2KmHP#WD1CzkNA|WF53OH3cw+xra_mof-@(&JL_1 zw|?F)stPoLfK=XApb69@MVfA*?hY1$!R6$3u+XC`RfFSL2JX;@;?*_+v-M>fZ4+(| zpq?~MJg0u0Wzxgzb_f3_U?m+> zmk-U;7$samVW>LAs#=kSOO~ZGRa~v--=-!u|K)zOa#TtF6XAr54Z|?zot7*W;W~QQ zYZv)NQqdzEoXXpd9%i2uJy)rA>JTqL3=AIR0?kmf4U&}`8qbA>&TNCAG`3{tJkM_l zZhD^Wr?X>Y1I5PExlDR&T;$+5-UJF?8L7?S@+g^MvflMeuK~iM-|H`+03x)^3=n3Y z1d!V_K$aKhV!Hnwer$5-9^_JyO7FPs&_Nnp%DzhM=u*#69TMDK%=F1v(HSa1>0suy ze&aA^9`e)KnAt>_c@>vQ$IR6(W-?lZ^`*}Jd8rJHwmw40`^k~s zcyiSJuHQ185+(PEa2ut>sE-ZH*tc4?RAg$@=g9cK?iWj;Uic}Mx1AQ*w@IkqS)A!D z&c!S_@)rU5mFh;sPrZ&R1}>t%3WX%1M?@XQYV3$d{Tefr|H@BiLwTI6`2{YM4(0P5 zB*tj0aFm7AB)%Vz=9Be*^~db!W~r?= znVal)+1%xq(f=nd5#!1jMh|m!v2oU<#zxMNIrw-Sh_ZAY&+yaP_}EJL*v4hj@!?KG zWHALRN@>n*E#nItjk6Yj?3c0ZR@%e0hQ=KVvZLyese_~LNq%c_`jfRJ+{q^1rs7Xy zn+?9pU#-Hn@J%XjJH0XcBy3-&P*%Lp&1im^-#mK=nuRk`dE01a_DN{IQ$g?6nDKuV zb87f@NNo|Ppqi0}+W`BrcpjJK z6N3OB7ZN#MF?9ps-=VAwgz+e;4rZ zC6qJThFMEM@YBzro}Gnp?8e`Hg|!=h_Z5aQWBNQU;s0)e@F__e3M!O4>2@NME4zXd*^ccMeY%xi<(@t z-wHEGw4WA5JJUP9y4`OyhWyQbIveum5#(>+GU<@N?!@e*L%`irk!EjWf^eu}P^ri& zS;4?ZCgD8cg^3VHChsu(IUH(!({YNIyoWd?FG{4sSceFE3(E*v`h1dF3XP`gir?Y|nL<0J& zxJ)|G-H%~7YAgKs$jrpWZ;{rRSM$rOF_0%=f8Ad|VL<4W83Sw!gMsvN@~(vFjRMiT z5=Ip$`lagQ?&(+0y{8}A&mNCw`Fr}k>V?&*>SXm2ahHL*nIP?!gpGINi>{?^tF5m| zu$~wbe_ojQbM$AZdlEjQOOP}NE?k}EqJ$?Gl~m@4@e+M)rYAP%wxrB@_sXTkB7FmX zS7Og7XE?es^KFt)Okt0zYMqGr4oI?0>3q{qXGhF-0^#3tne>RcT%AD9Yy?)4CUh>y zP}FFfjT};soauCha3~T^P_euEQp1xo{}!hAcZ4KgbcA-=hZ5;mLap$*u637F>-j_1 z8rj%FJKc4ysWGhc3cGZzzgm@7g!fZ<+ieT8|GGd-2&q!}U9UxYKVCZgKYe>FoHql;Y_bAdxM(81oNOthZA!GI+^AgKv6{-r!{oM-Tc@A$AGrsX*+2 zx-M1Yg8E)m4?F$$n36VWxVhUdH9L(`w?xMu9;BYmohbL7sI@MKwUQf|a2*Xo`{8D3 zDIrq0LH_KAYhgO-M6t-G4|U)&iUD#=Z0DsO$;5|>7D!AUwp;FF)mpilM=nNuYkoRAFYhLE zeMOin^`@U2ReC)E>#*j${dNV+Om+>gTuE{0`6JfT%Hrq=!G9_}tbUHEgKa)?mcK7q zG<*|!LUFYMF1pq!r`EH5wf@2bPg=$Q2bV{xU-#(!Z{K0Kh3g2C)GZPsq$X_Lh+IjC z_(28~eQ=c1fdEe`Z@Up;zTi)D9#I#y%KYLBrEDw6f3_`U@zu3jH!;gxM!y1+($L>RiB%cNuIR;O`Tv8C7qG{kb(2-7$kV+>ae%FVKJ zRtm?d5$Q+;`t|(V)FJwlenWC1m;9rjbgUnwPi)0hj`oAFqiSBW_adj-=MP)$SOkZL zTj;#d(xk?cj#CE4bN#}oI29O5yCeVW8ITLGRUr9m%M)&GZjinWR**qDu7Wi7EXzCm=HeKAi=WPp(OW1+-^69o zW7Iu_yizmi5Ooj155>@e;)(Hr_8(yFqd_daszPZ!|2CC!5dVqa(j3H+e?o6eyiLWn zjvIE?`~20axDkF$*7}hRQ*+6W|2$e5<|4i={jBLARW+m%y}ks&+S!{t0GrTRTbX`0bzl z2INTkM?ak%Nq0~r{R5XtkEExa61yp=o+9q1U$*IS-1=<9A78&yUj29Ab~4R~zktH6 zuwrK1vgHkK)62<`M0EBkIqA| z&)-20i((q3(h54w?cIDkC^Z_(xoT~p+Q9#?N`OnUTMO;d;v!w9*ubPsue1>GXO8fy z)Wv!N&BlmzAL^=24=IX?=HZJ1hBs)t%v86-@79-QHd$J#aN4L9H}!J%-w!@M{L_jA z)rVRp!rLsv;Uei1F`b4-c#FmK#Jn+RnbbJaZPHy7+X`&4t4>qV!SsQmba*j$eSSfN z!_IsU!=2>@?tNiE7R*<(ms`DjrA1J~Ck3G`7NeMiFK>?@VsF$nxQ)ajIbY87N+}$- zwlcc)h@Z}G9G*^ATHrG2jl&HmZW9IFn}%S;fQ&P+F;D&FWITL0&#$=#2dn)Oe*u;F zg@saqXLnebeMML`GIP9JA)tUOQ3G5jRLmW~7r5zD0KgFM@;zE=^n!e;gAdp+MLd+Y z4lr^d^)|AK9A!&LsY;FQIAgrSZwJwX_tV+XdKN+JO~MF+Q@~cvf1=j}3ON}lbqcC~ zhzx*Uv|nls3l{l({sIaXLc7ecVD925+jo3ir`(%U3`oH*P z*U(_Wzw0lcpdob33=L+V1dVHrN89ZdE{RUG4YooAM3P^`Y+h+0h)2j4ho1|P$#XPB z#xz8Tt%H#>L6@bgahjjb#>gyTWDA!`$H*nw?Vyk{Oc8^ZX)?%UeV1PkjTctp5`O`O z7ol%vyfFJDy!?#z@2xoWhwg3)Vyd8^d82rwm}W+Ca{Ow zxM;UU#X7Q#Of_BZt)a78A<3 zXH1;sm-y^izTBvZA$!7N(S%!-3!e{==>&Y#Ttq=g<$&iyep@kk-tVWg!GoUKWc~NJ zOgeaOIvF-n@H#on3;;hzR-CN=FTd^@fUNkZ{RI>Ng^e-;kl7~z@D7cNgYDMhQW^kh zMvSIy0Ynf=0M23aO-9(s3S8Lz3mMFTogj5!_kF*G7!la8$`S6~tasuh(rXu5@L zG+E#0*GwlYR_g|T0fi=Efy`)P_DN_m1GGb!QuX$^JAx>=+kLu0r{U+~C?FdN9BorQ zD^tCLr3JrX7)xb8osFe?2}`qFCLK!`C1S}ohC)w9YJ->i$QG0J7x|^vcwy0>?=PV6 zBDBnm7iOP?m)o^}596a?0ewa;rISU=6WAI`OZ;khkE0x-m|U)ien;iB81^oDeuXS1 z(6d#cM+@VC=S_YqF?e3@r?bJ+A@KYnmq`cDRf*v7n+6LUD=lu|^?PKj$@=g5_0#a` zl5!9G3n+LAJ7k6z+s?o%y_{THREr_ii)iI7y0kdHAQn6Zzb3EVi0NrM6PB{fNUM29 zmZ@+Or>%O8VAs;}0&mza@EmtP9G~meuwk*Ostfd)Z1pCwy0tWUDpc6N-mXotQ1XQ% zomQ`14*J!LX&e0NaJ<}WxAA$RS^0b>+^n8ZD(=sOqn)4&sTMl@a4SBo*29*{PGKJX zgD&>i^uv*-)7*T=oE+OV6K-U~(eZDT-$>uw?sQ9wOZc%#R5J_SNANQzfA-o9{2J@F z%Ci*r^tRKlU%Cb-ytZM-P8TPd0_)BG)!|qtD0gwO)9i8DlF-MKacse>EzRoX;F<6o z@dQf-Z0A|pM?PC_lsX;Ai|S+n{a`cp{I%NM*+y_QX!Q5533Js_L;qYKZVistU?_ab zsn=0N>%;YxV6L|Se&feV?IsKb3M{lP;>kvxlKKyXC7s4%KP-$^l&s_T9dCnV$MSb)<7;{|kH8QM! z+TojbU4#0gFPy^R1bGF=&}^nB7;pu%1ne+h?RJ+s zd#0z49XnPKlK_QQdttf~9GwPbXSyFwQVHTnC1$&r&c~TVSalT7cU^SZZkT1fJzsXJ zp(m!Ab5%9nRtq6o3%c`MO|`q&KouKt#t|V<=~nyuN5k^~sKr?wTUz|t&Jwxz!SD>0 zM$FvcgeVY4HmU%~oA7Bx{S$#V(OJgl&5qCNZ3X>s9o-It6Oo-oG}5zti*yGiPvhU> z=WL@@E;V2-SnxdkAy5%k@E9eZS(HA~TY@m-NIczI7v>S+{vbfoD${l(6aU*NBcS6H zrJD$FpKApniS_DEH3+)kHi?hbmX6E@i=|p)7N<4of_Mn>K)5z&9_^zI2uDRGKovcy|a5m3=ZHiT^|!F&g&X2Wffr_B-;9OyB)&Fdc{g#HRY-#`z= zzo+V7L$g@_D*fk=a`?}O=s%4K{HI3$c?3t9>z}0mybaVB&+=dPC^_%HG1uVhT zFQxx{ff#&_TG((Sai^21RF~jC!@Osh?+o*tVSY2rYlivEFprsD^(-ny0#z@me}(?6 z3P*$mOs-##wNmOYok2&2&$_42g!(QK-RP{Zbc$0q2$j#vb#1?L00Yv*V)I;da75*ReLl!Fl diff --git a/doc/_build/doctrees/docs/unicode.doctree b/doc/_build/doctrees/docs/unicode.doctree deleted file mode 100644 index be1d05f9b8c13bce333f09ff1db9867b7a1836bb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13203 zcmeHOO^h5@5#F`Ef3rV!oD71sopcffkDcAwScJq`a6+6P#W-w&;)HNWdU~ecO!sV0 z_oVyv&JGe%IH2q*PyC2ZL{P3A5#k0Hgg7CPkU%+b;Dp4HD+f5hSMPQA>)D;%8Lu7V z1C~5H{rde@)mK%os^;AjAMAW#LjDs=cF>5q>qS*RuvwIdS!(DopC>;`E_^5Xc2W^@ zq1B6mIBc*)OrS@@aXmX^e)3l0Oo*9?HMkr2d4FfhnRaI0N-B6c#a-^P!YfgJ-FF*U z%CLOfc)`b4*Kb7$4M6`BH`q3Kb8>oLdC=qSfsJHocbOvAIH2#xqYowN`g|J#K6=zSixxh?dc6$8!BOke2>O zYq6q1HH@(Rv)7Nub)0<;=doI$)pd&W`sHh`-(be8=|sk3)hAXA%kvD6ZL=^k;)ogP zbVh`;f|!Qua~AsO)UO&>S;L|}LDS%l#Y_DlHac$0;RXZoW9o?)c;9GP5!h&X#yZ<> ztk+ULJ2Ze(o5T(c5qr%ipv*|MmsGwN1R~q zvBwjiISk9hXF|-^W6xvU^jz2%O(SiV=je?IHPR`(7Wcn?Mg<1Pi={MOedTM0 z149ji+Pa`9n+vD&<5cI28kUm;BbJ??jAB8Chy`^1a8&06oqnjKgy0Tc>wJ)-=cOC4 zYhKW>JeCVOPFH1BiGq+t1S=TEn(9=AM(Il73YncDqjI6P@8myM?oC-##$7?n;Z2Oe z*?xfo#rc6A7_rez_Rk%99=#WdiC>MPF=2xCQ5KUI^jLaOFUFJ5MRfkzsHF~N=b2|C z!!qEvaRaOiLcRjVS={w6)k=G0Q!F3cS9T@8fA(P%sd_?$pQV#s2TTD^5DtEw9*%en7k-${r6L&L=eohN{)NsTq}5iUnl%g&~-YVu5-ikjbMv~p^GaJPM@DPrvNi* z83k%a5E?aHW>PC%Q^YO>UAk~Zuuv&0GJn9RH5@Cn8Lyd!=hj0j?88BbIIaTBmNzcx z9~776L8+FHk{*7~#qGkvMWBys18tGxP9_J_Jz`+b#8Ei5o49g-tKelQZx(@%>s`#@ z^Klp2*XwJaqB1TKG=#+XW5BWU9*z~%XGs$_!|QBDTD=@0wtYWl83i$bR<0&h;|XGw zoa9P5My*6b{bq;hq_~>T&B$IWHYI3>P6+T zUL0{OaYEj^ab;JcjXMffj6*jO%MRz=Xnk!heN}C_+==T|H&`P*)_$I3K`}|plFjh8 zn4MgolpK5XCJ>^xEN*JpFp52$oAdbs@J0~n?GZ#G-xW7BEst-~ZRb-#a;_uAr?K#;j<+6lEeh4m!k3L$xJ6$*%WuV23tw@; zr_*sg0nECw#52s<$uRf1_e&kgwCrPxPA01gCfRuFUCZ*y0`x;^Xs z?cI+KTq&n``v*#We2@Ozv=4A@D4jl{{e$qZjELbxh9C5BWk)15joYN2lcXQ)Dfv^( z>SU1%J0J?BCcLCblRFSqBQvdbU_=4@F&U>*Zq>k|a6z;sG?X);E%ffKZc!5Vc5Jes zb>F+yP2DEC!L4q8yhk36=3)F4vy9_m&}!0N&E}lSB~<9PA^RFnV;Wjc+uK+xw@zOl zRFMUtP1WQ}yyLx?iE^oFTrmb(3TiUu&DG0VNq!15=OmG8^4BA1+kOun+B@{Ii;r9< zw%^CoPFvt}pWb^|)2R@k`~Ag>ggR+xl@ku^Q3#GMG74E4c;#z9@K>LG?YV2$3^E-S zR2-CmOPY|CRQ^Ib+64U+-l+z#1KgYFI%PE)tjlwK(Fexy_^nzjX_j<1MT0k7^Jxm91_L~CNf2!j66t)O3#f_ zkNr|+a8=F>2$oqa04MYA;LZRb%pio35p{W01=II{t5l2nQ8nT=_FG$#pkmurr|Tii zNM{ZJW}q%pl#!vVczR`r1B9@TwdDq3hQP9T`F2uFOXY2z7n1T165oPrbTm`=PbvL} zhgRsaO{+;$`2K;sFZv#LhWiEb?jE=;?S0&#O&!jgg`&u2sZ7G=vW0X|t7K+Ds(R!UPkX@dqj`jlNNcODE{JT#L-P z(Fi&n(o0l~U{q@9UDN#*t6f+rMcGy3 z%Z(0YW!Td-tu~%I^6oUl#Vt$%Vm|~?IY1#S6iJW^ohFOkgA}?)#}qnRQ7VPXxMbA} ziSfq_JKN>lSN{BXtWu|jhvoXRL`A3O+Fv2UQ4LI4l!tO$?^=@Q`61+~IM>8+7$TIn z;B%F7@q5$w?W?rTa{K8a!;8`EJelvjQOw`BH!!Z4!lyL`Q}{bNg}>vxji{ZHQ<+!D zlJb{QYD&IMR`t;Y9)?hQJji0!JX`UEIEf%T)w7_2z-=O}U36#vv%z}gb*EiWQ}d+q zPYPC=Zsu@{gUsQkxIM~<(nx&f+xHx|;VAb%i|QlIUWn@JJ3z~YZ<4wY9cvRlIHKp> zAd1{N@{{o2DY|YMln3!x7UG9eKpsUpCuxi-b==bcf^vN#6mWS;|K&;q=cB>^bl)2& zNL~HHE$099pmMeLa}e$v()SIMkxXq3f0;h`H4u)`&_fT(eL19EyLmFOyXa*MTs;MXz|%oiH`(`#gFZg`i9XCugj>zsqylnb6?f|nhj8y;Lm(D-h#DuP z-z})qWLG5xmfM|u2pYuUfYCdl3ey%+yP&WsX3|Ggtie#ocsOBKVO!$zak4zXCMVdIA5(AW|}BiHx9{IN%Nmo=IL~oMMZkF&0UY`_;RQK%19dny>F|vwciDC&|lN^5xjWFdI)xta`TTC+KW>$KP71IG}E%Js~ z2pMIdOpk3bFS&k9lpM>;AE(4UY}*B`xW(fr>og^%Y*vq3SZ;|bCqXOpptJ#6=75R@ zqeD?{N4f-N#z<6V%E0VuGps-OV$Wc4ip~IdM-E8j$^6!0mec~pZDh8Np(0(}8=|lz zv`w2)fi0Fny$R?r-3a_9jtPpmwn;@^?sno#izO;kFm;nS=0d0z?YPr5bHM{)WkXh0 zO%tA!EmG$Y`*l+{VzC{V$it!6Dde$&h?&KaQc4=!+;SsViReia_2ZQm&^m@IjN&r@auqBJt z(KA|0M43j=j5NYTWgw``WfqZjS08!oagb#xY&P~RLoIrlvzd0|L4b&|%Xm{R;~-Oj zCFY=;0Rfvk$@N)r4g%FNb8O{m%2esgUGW*Y8K{2?!-cq?gB@r4!1+aCQg0$pdQpZZ>De*(z1|3n{uppW0-BNlVigDj=|NrmjQ zA>Z%B&I2ecmjj%6*}MH)Ib^ZOX1UBF%DtsPlR&8!f@0QB9kJM=`a#&i>`p!Ka>lU diff --git a/doc/_build/doctrees/download.doctree b/doc/_build/doctrees/download.doctree deleted file mode 100644 index ee94f9bca69f58b36bfea704c5ba84d5a5da15b0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9370 zcmeHN&2QYs6_;&&?b?>VqN0iAnss8tPPDs8VHAPuDE)Ap1WFVEbsF~&aJl5{4p&@~ zC5QSb5+rRBIo|zkQ{PXD`{=3w;B+= zGn{$z=6(ET-h2FD`d2@HYD)c+3r=7s!u8^&A2=*d_rq_RxzL#E;waD6v zgCw$9DyJ~Q=C0>N%unA*`IMZCnJwJF*W>vNpXGD!q}T9rM!3Rbl~?ld+rgIa1(su2 zzGJw4EG*Bn6akuqIn#I9Hm!cp(4VD>H7s>r&W0A45h*{*kMR?7HfMEBPJ6D;(zT>x z$+{&(;0DPQ1E z@RPJ6zbIE)1Ln9^%W>n4=UZDrw9#_dW~<|hPGWB`(F%8ZB-6Mtuw1_d5#uitLoc~44kvsagNh3hJD&z;xNO~77M5X&SppM zvXR6IkZcE-?0L+ox7)>O+-@5fNNs{-_(wLQ-G=2B=$3;dVfdCSxPtK9gMf`b&YH-u z;%to)9N#=*o=XFiM@||-|Lq7Q&v~w3k>ynZ`BYVIg>%doGAkKW9`fly9ztgLCt4M1 zUX;_MgK~P_-ow;2UJqvjrL#OdjnVB-=0Eygl61-m z@?37NP9wi2#ucp4FUt#)RlR?aOXjy+ebqVO{&nFt+hkr4(q-4kF5>zIHujws7XK0} z{ikY&`=7vMK(yB{DtE98Lzp4oW=}^9Ug`Qh<+ANJScDt2Yk3-4V_c+t*KXpDgzJ0$ z7T2Ic5{4c4A_}~Eyb}vHsMrGzW&SKyBA`dn>UqlUW2Khf1Ca5gI^Ev zRT`)~JIXVz%KhWVrlzVw;pH**>;vqn@Q<1nL%A?{M*k$%ImkJROHYMBDa}^ zt?I5txU^V!2jo5_Pv>xMcD%sesDk=Syl2>6;4>q?rRb1Vg&!R^+F*W{dCqVIYiQV4 zG5ex?WI81dkTrhuFl(%B54@W(fDI{$`7mNJi#8bl0+%+l&t3jt94I0OxUs6v#m zHdsv2jg9LAqO|W85xc%?PbLr*J?-RiUndH-5TH}AmqB1X@F^8xv^nA&jX#r7ZpD#J zfu9DEiwE#uF~$RjLBw}RPAYqm2lXYe+D?&#ZHqE zmuxAWMic5Zn+tV5|3K;_AJ|`|Hy*~LM%0=yR>s0bdyg`Ix}P$uX)gZK7&S}=+)os^ zHH2BepllveFbuJe%`LwN&~p9#?jSZYxdw8ghKDc-sjz%xJDceRy$AFG5`Rm$Ve5OZ zerN5Km%l#*N4JlHwbGpA(a?kZKZ2(A0l3$XQWS+>)UfGZ)zZ>zPoX17E$A?CBIGGQ9t>QzdPh>gJp;X$% z+j)^g6&~u1>c2vt2aXxOuKeu~4fk(jK>y`z+x@@b|ANCjyZvO+>HihoMT~pzJR0}z z-7`es1oe6mhw6kfT`g`|21nxU#Vb@!h+9`yio%WB)2)`ZVlX5uRC=Or7|4!v5+B)J zq>E85Yb6d9Ty;J90su~f@o*ih#7#S6Kt|&1nG)M3_3_nHhVu-;Ss7C6k#EI zixdr@=GD7zyq=N1d8-w!(3D%@$_T5)HuRm6HqgwdURf!wmX%K043W!K^MC1xc${>G zjq)F4ZU>~X4>ii`Ls^6im0-6~ME0e}S6}PE|G-QF0`}6K<>Q4>G!QZum5Hn@ST3Rm z?X4Z|S?WY3H-OsO{>cPgFhrbyTiP+XkXPDFT}V7t^kfA^IUh42VD~7Oo+GbQ^;5W# zFW?8Moc)32C8#9oYR1Bvto4|Wj2hf3MZ-}?xitvUIGHVDg7yZQ^}Lxx9$KeGV4GBc zq_feCza>BK_L7>2XxaDufE+--&f@Beji2>*skA&stf-= zPlymFo#An3*`UK5xa1Dj;tX}h`*IO^AZx>9_(H)mEe9WXs1GA{o3t- zYW+6-QtN+Mtl$5=V&Zhwveafz+b)2Uqy+(FJ>>nxxJ;_mo@^Yf1NJrO1fDilHT1EO zPCdFdM6p(^swSNuq7NXC1f3j+QD=226 zQCyVGvHI!)A#CKUl2RLkN5|$0qk$hHN&dIP!`q*9=9g8O?h^N=GXz=BU&#HQ=*pZMRhg7nPbR z>-f8A4cYRI;uRp)l&wBfuGTA(DI0Tj$t(k1t831=)|7x*t)Um?IioQ@udFn!?xI$y z$VHQKVRfI;OR_GP4l6~o3H+aJS{p}o!)#6&R##Or;Qe!ytxZa;B*Wud>bj=F<4vn$ zn(4b~br!Yys;(@Q;quV_z55Q{lshJC`a9s}$ScK4qa+gv;DuB>)@B3`B;?kD0Qu^_-LM8pxw2fT&lN!QnnVQZw$(`0+()2XnFHO>s!Xa+KVE{{4ZH?b zr+l^5V?Q?v4?wlCf94Lef*>l9y2p>i=BiX{NR_4u>~_L;5P1QrDbnn)mD`>kh~}p# zomxj|4FVTRZC)d_#mi^;nz*b%$=sQmoU17C2bxY%SL#HBoHn0hm2MQ;-lSp_+W4vh+I184?m+)%y|3-pxad8tt`=X4G9%!ImBDwXEu8#QXapz7*i@5{0Qntc?X z8XyI#TB!ko65gE~SD3m|1*kQ%rkV1bF3*G6s}Z|cmx^-pG4@iUtS!$WCWd{n&u$f9 zKUOI(H3HpG84O;97(GX2pj5^+lXB24qtVLj3VLaIXPMIJx2^SD0lEx|7roxQD9iP^ zWl67r1|4PwjYhq$%TU>!JNL4eZ3nrjRhlbm)v5+f8>9r25BDj-F0ku!a$Tut3${M# zC1_lpOG8vq(2Ai;G3Vwh61SMp>l!clGZSP)ub~83w8=GO) zhN0cUx4DvBhd%JsI;>0gmhVGY`=Nc8=b%6Vx-+R#v7a8K*X0YKC)*0Jiq>sE0gb{I zzssx`bI_3~^Q-oyZgQyz6-YM?Taa!8bYxy=Ks9qTH{`iCC(+v5v^HEg4Ba1T?$%cC zebyUNYhiWTO^&wN^{NE6wu8Dgq}71gwl;t^q1Oic3;sX@>Ge{R=yWpWYf$g>s@)YI zyS;-tXO~zT$#rUux@ZaPYRg|Qf1U6){_{k7m*=b z7N|KgSUO4qtv4`GQH-*zH|-~wRd*bV@^x6gpOS}uw`MByisu1*uTi9af=#7^mTo8| zLYJx!?BNCd`!gmv6=|cbA`sUw)~44}LjNK1jbXw-nl=F4-O> z-q?oZz^$HZ)Jx#$L1#vLY)bmp@@?K?(361{wVG66J{ok9R3F2X3ff?Tm{O#M#&eH! zf^`|Z8UT3_;9mc!-)GOFW8{UJKE^awuP7#~1CQam@CI@3=dirU{oanuAW2+Nr4t{ogw_GraxpT&-G0ip>Q6Cqya?9tF*&hf6p(G zLigH*974zqYw1@1f(+5>4`bmcDFOjr$#&0nVtG@mSL7wR zGRFcZ;_RdMw-pPtnkmW;qv`38*4Mzo63q?cWAqmB5y3TsPLA9v-(DW4MYdxRkA1Xz zS$dm=(9kgyE0RIHD0+E-GzM@Eii<5C<)C{*m!U%gV|r>GeZ+IeRH_hfLU7f3gXE!z zCw6L6>m8|9gdPa20=;pm`xbV?Wy4y3-&IYC6f2)7pQOcmNoelshbq7tHbA`<8I7x* zkYJrgdAfWDeR2ru0}aG^v_`fgyUiw0g9LzVN=gM% z6%AH{$n&OML5gLPMX|Qr@a+5xufFm5tYY`lA~ks#v;_LMHa2PyxL~CpruRsf=9pB+ zuO{!Mq?vE;GqvdMtw8Pw0wL3Moc0~XdL4T?K2W014AKInImkv){{}d+Ti{l5i^iQn zcejDg0Uw&+X+Yu&V^Hvntxo3-wH?FGPcQ1)9p)B-iqg z^Kgn?>K0>EY~S8mx6dus=N6-hu)y~$7C=i7HpY3DiFBra-m7<52Ia=Gz9;1t7{`CgaSc9#|v9ee`p zKKsWSh7K9*+E`g>m`cTc#G@^TEgONK@0m^G8E6 z0JX}WhQtW5{G9T0sX?F)3M~=fg1I+|O|#t_=DqY^04Ls?;AFAq0J~0;GBoAF9_V2= zFzB>4)xhIjvi&6QJG-Um|%M9cP!9S}* zbmH2T?KTCL)lncRrbAq1I|ue{r3NuFIO!l2zQ{3!!=Ax{xhhly(iMY8OBvkZy$Sh> zGW7RKRWYI40`HM@yWRp$XGiZf8U?EtT_c)62Mcs+oo5`Nj}2Hj0|Q&8*${y<9%2u> zlsn6iBeXhzdrZzf4nA8Ck!1|(8B|m-{oVAZsVzcG0LgE>Ni(!~f2aQn)MUA$)k$U? z9HJ6rP%D~LqF;fkR97HXPoIF~7i2z3Qg;blr(Sxkswp+{-+s@91|*;$^RELfu)y9z)7nNaP;x$&x6|t= zz3+xS;6ld0j4^6A;Ei?$1zv&7Ku1aJfXy$BUY++7P#1LY5be@Xji^uZ1Yqin$v{%t z8ekxz0|1^p!T8eFi&PvK%_2AL!7Jwp7_o!PJ6oP1+QC#lxjWo)m$>lFQ|qm5E!XR- zz-KM!HDqim;Ozh|TrO{iTbq$q^VDq(Yb)yzNsELki~)7?F>A$ z;xbwtASTISU>0tG7!YtkNd6bHRG@*!tZfkONQ-kaJW`nh_k<*6!BcqD>ZwECmIPX~ z2efi_jI_MGJc@#i!r0gtpgjg9jWMV#h0+?LAj{Ny&TdBKZ&itle{N3g(6f3oPeTX^|* zUVcNX!NVKB_t)J_THA2p^$)ze#02qJM7;I;&-_`CLB*RN+4*$#erAX8@<(r3=Qrzk z`BNLa*xPL*hSxv6`42pnoLzh6Qx>(s`17gz7c6EV@xo`0fWGYNWHj;O=U&mNo?d^+ zCG=P2+>bXj;C(WQfg!0w;=JGM~&c?I#=6>v9cp=%=w?LT-+ zC^I@(Iie81c3p*N;4|u^#1rZ-Gwts5z7jj{F+(yanacz2X^^1PYV`K5fZJth1@#s5 z?7X%DJ`a7?r!Mx|vScj6Ky_Q%b*;8aZ~DYz50hl5Y_8Vv?Lks0B_tihnXHqhK#BW+ zV#J~IgP{{lTG2v5^vjICuQoCQ(ACl0E`9j20KGvU$s`XFjt%WI4lfOOo>lZ9zUt*V zeQl7+rOJX_(51F))pbcS+djQShFXm>y&qH=Aft{d_q8t@FbFlkn}HsZJ|8GHV_V*qxM+dmDDf~Tz`_d!(znzQCneo*C>>ZrM(>Z29Nyeo)aDE~q28V8m= zuLzPsZy4AFf~p8iE|7IHK@3tI)UsOF1XLtlD`@7t4$~`0_Oq=zNp22i?BUyjq}8T*$GjW$Rz zdZU0{RZz7CUkMs~1;S)XKd51mabO6Uu}2DGy$Evw+uIaKXG0DPd)grKq&|`aMO)1P zgC^FBAk9byw%MS2kcMunwRxCRIv-*wYf2R|s`T-o_H0l4LA3<|PG;cPS_^`up%)ZN zKIkS4FSl<9Mh#Tf-bfecAk9rF2eyQ=RG0DLAeEWL_V@H!`xd`khS6TEW{w+N3gA+isracLDi1fHyFOh=dTN}36OfJg2|bK8E39%5QLSoz?ywf z+mP=E#ZVrD?y8N7f;a~ehH>fk=B}j7W0MKei*cd-JBgcuZc+^g zmW&E&GpN1HSG>JvkS;*qb>u5hq6L&Th-Vp8!K!r7?UGbkQm~^7>WtL(!iMyI>QZ~N zqnD7^ASF3rV33d(mau;as;`9xj2}XhigFu7xh^fE5e^a(4Ox)d4H#x^UmYMsf&?gi zXAr7Isa}I3Cbr-p@-UOpY##>671Ux7Y(+?NGlwncb{!IvtkVm!zGZo()TmWTT-mpm-V5Tt1anzsggXe$6-YADXM>aq=}Gi+ zpHQDmLuYjyKMvu?1b!UGk0bbT6hDsR#|iv6i65u%V-i26@Z$`AOykEL_;D6LX7FPc zKS-L!POnTHAD=pQ1kx*z{!_qQz{-G9Q++LzP+tdseEFEECuoKT9-V^Xy)b=6mSEtv zvMj9{&Bq~~Q-#rJ(iJiSPXYmJBTM!mr6=GLBz!k(I*baztVF|5U(H>DDcm$mO4GsW z1B41m@smM2W3&eD4djb9;gF&;>d~o7%NQpEQLCc}W*m~lH5f})r#BEbW}yQiIj3#2 zWjQzBs8n*!VC4Ap2}nHA#W3nQQn*bSYcm~;Hk;}Ulxh@UwS;HXn&eD3C@?-srhC(o zG2j!vZ^F?R_|^ea4&5IGsklfdT-*zD*0-1v3}KNa3QVv@fo8$fv+yQdr$bpQ8|rzu z0#e;g89hn_Ap>%3ZXitPhn&4%nBX$%91u`z@CO7@pAVlPfLef0AYQ_cdHg8jhe|&h zY6U*kD*OQ+UkKnt@VI>fCP>4|l-y}Bh`AGBUv%)jWj~Yc3L%#d(3d6W@IVDNn2#swWUjlvWnB& zd=R%Qgj{|qC6_Oa=5pj5nGNMyOr@5C1fBwmf^x7xs7AiFs5RCT$p4?FNBoP}9tWW8r@noVNx)kXd` za<)AsXD^TD>@w(}acUZ5*K?%XzQdiT;bStiB=UPrN`8MOn%`Ut_F$RL$}_XhQiT?? z6kQxS-=C6mE1L7oGjxK3zkb>mk&D|?a`B32F8av2a7cXRW^odb58~FF$kkLzu3j0< z)wXju(}q^SBWzJX3zTijAdmN?CuUJc7R=Cr*Xw+-!!MkG-G*$lcrg+$9cAG32|0_T&w#tD?a)VY1ZA z4bW*sj1emdDKRc2VVg>0FA^Nl`5P(;PgELX5ci)>)KH0=tx{i^xUwqsM~PFYQqPV! zUn=#Wh?7GnAE?BsP^o7?I%}2oxTJGbX%9!b9hLSS#Eh%d786sdQhP|umr89AF%l|m z>7)s&v>lPEuhRNUsvb>Fs03u1`B14sVfiP_5+rk^Xl?@Y3*7~X#ARHZ1ZjrAkewzX zI!S~+2eD?gO|d;zKbxQj^W0drV~{P2A+zBnnhR_5Ff!BWOiyc946_H(sSx!(qG_5c z_#$XQELA`BEbwi$S8=t>jwIbAp*ou)j>2Idqj58L?4&j`PgQ3}u(Qv3v^ zsD2nef*{(Xe!R^c^{I9@zR>Ol)p6f(ShSv}6Z~>qo~iXF^7tbln2DmTfe|`wLC)LL zrQT%CXGQIc_~yY)Sz)kc zghfxB>idM>$*I0ODTyw4FjJj(9c`0;@!miV4hjnq)6iJmBc3b}r~m!J@8$GAmXt*1 z`X4-l`@6vK53FD&*&M&)6>uNXxLa7HxM&QG;L?t~hbIn{^TMy^K$%HOq7@WbI8AQ( z+yYc6c0uB_RF|EPB($*VgH#phr?u+?*WBE03m$;*T3@x{xEZezMk~0!kvYULK10`q z`rHiF?d+Zqeiv7{UXhf9i{{Idl3-}kF&JSq_ayab+6~qC@O+7b=f{Ml3&xX38HMLZ zgx|&C`5{W;B07k}XqXL}MX=XFcy(z7mOxwb*TMqFRIas^8D}F8Il#Ql7iXUTN|*so z<6lim!fiocLD1IPcON_^*T_6mGW5aLSonYmxR0=`+q^d3BUk6Gp^*iLr#$0{1Lfb7 zYSi`N|1~Lz%t0AGCeyWIIqF8|a6H`yOJE5E=Gx4F*$Ti=z980vRg8J$i-YEBVFtMN za%EBynL~5=1Wb3(tL^2I^(N?`^#XnrLriB@P5L{`L+Mopsomw<%J z#Rrpioy?u53G<8R&bOJ=o4qe;;A#-Lf{`JXhzzn{SXzTwcn%JaM+QOZfF1C|i<}XayyKVRUUP zHpT=bTrNJDw01GuN+(%fJlpC_&Gc3@_17G0dQ=#f;08~`in68?!tdg$(e0E(TWh-J ziNk(-Zc3mbN^+Um2@ zZ~;@x=_G-R*H+ulR`xaC-*Ou7n}y{Iu4qKgsK)yS;dgQM>UESv+s3>0Bi~)^CJuUU zV4MA@uy`?52_t@A(5mz?~D@H;u3e=RAA%ym9QHq_1CJi0&UB)5jdViRl-zZSDTICEkRkC0W2 z`J40m^Um-$Kk;L|@cTJj{^P$aE|+^U`E=TC?l7Fz02>CuBUv>a#celN zaQS@*4O~t+3wE6_Cmd=cNlCP}rUc%C>u_NAlz@cG#cPvRKNcL&^+XrX;Rs%MnbT<0PB13X~5j*(Bt46E!CN|=Sg~g1iQmcOi zrfUK>d7B%q*}hQt-JFVFkd%bmU{(;NwRSuPoxU$;FN5{L5pW+7d55q_aS<7ECw}E^ zo;Xn6Cj5F1lwV6qq7{?`hS0U8*!mKXaJjfUsUXaj(%IY>&z81ZAnC1l>gOG6`VC>} zf-4%4GRm5MP5510t@;Z}BE*`08s>w+5^_9wvE7vK_)EOqb?#KaEwCt+?$m!TEd2Lu z7WoKlor+rqdtxkd(Z6%=BXDqH58GM*=8?dn0idI9>VzSeE#yxp{E=br0BOZOPzsjM zOVo}7t&Iy6tpMAnZZ0b&*hvz;^)gz{g$~@09`-Fb1!&;H1g%zq!xK=Gu4Z7nTDp~9 z)4E;wNXjvHYhZe2qqPw>S(2NlHlywOXT<2|@DVhzTwvy4sP=suCA+$|=jXVPIG(=I zBp2~H7Lx@dat#6FI`U@^`Ex_ou&7(fYqpU;+sU6D@aI(jMb)N%S7%pGY(s2vfoz|R zyWj?EZJe+^LH-mB^t<;IOT6R+>mppr;lb^UBI$3)IONC%R@?edw5P8g1Pu-mQH0me#?ldXgyUb^j>}y2Y!Y1TLNH6`~iBfQ0K6{&`YghIxf_W#7f~ z3S;d-;d2qc>bQs>1GLv79Y;itauGiw{4UN#{17D(;v%kfkk>H@+k27|ApH4F_cGSo zzZMoP*wg0JXmyT|4Mr&kOdD=q|F4AK$|>}#NlCb^&m#YOQnh)e9w#M{x#s&1!QOkY+ZOCx3+LIukr_S!xVwnM zwVB}nq5Uv(}IoA-bOiChiI4-{vwxEP9l#Lt&J2|>PeY+L>;YAUs6T*VV zHC0!PEHh5Y08E~8ns@ga;7~g%%n66uL{bv1s3q_hT$clTr355gEq;fRP)F2;+5-^Ep_2a=L-!CXyBf`LhQfe;2W@k+O? zfg5i5(EO=`=5Gnh7K|p5F$&H13crg(^Ieq0MRXXuV9P6cg)R;8_nY1uXo>$=SiG33 zgy|q~yraBAm+0`$%jbpP%c=DL(u?hNo|AHL3Mek5 z@?#QJ7;*ZEu&{A)x^e{eKA|&XVeuj37G}u;x42}7$L`0%yl~k4Fe!=5vFoO7CwH_W z!4YX*`(yd;ASzqC*T(VZ43X7?ttXEMHZuLWQP-gGi#Z7Tl9I?Ag4|3|s?|sXqNg@P z1dzilaZWN}(N61rw$a5AtlNYIj@yK;8i5VBDaU!6<2-VlmrPjB0~~V*9u($=LvVjm z5}6~|KS8$7A_$TbDqtAO*O0ioh|fL3;>5*gV1#bxMT{KdhlAs8;g@r8oKH$3b2zq* zmzH362H16til2iaMmP@C4@#Rd2+c#nqQ!+~JM=!t8$y$3ym0_MD9i!}(EUkCWDd{` z-i1okV=`drj1Ffam2&esEc0#W(1|>VP`+JQ32~vk(YNpkrGOcAREj*2A|K`uzEzkn z4&OH?C6PJ4+i<;;-8rBe*xCSt%OGl>6BaElYTMbmD4RF7PMhzro)Tt(1L#vpNn{St zz+t%}FW~kQ0dW(58)5m8ut0HP861I=r5H!;{O}(Lznw$mdr3)Tj!5SOoV`WPZD?sG zp6iIgKu=aac-IIVqr!M#nsYtyUg6hr%I``_B6HT~u-cYFq#h6!FD_D-k{wKuKa6I-(6OeGZcze>+7E8R-;~_3y;+;QB!fPtjbuir(E7}Kz0Sc~YM82q` z`}>98#nq?xQ4$w1>27buL3OL$MO~?zGz&a=*W_ux4UR63YX6F`Dq^bLx{B?N zO9x85N9@vV=&CE#3g3VJnJ_mTFkene!fj$-L~PeOZ9hUie;nc_BmE6>Xb3xcJ7>W0 z&vL76j`alM_;X>g>&x6|dbW4BNdJ~Z;2@4Y! znSL)aaQr;qf+XR0b6DJ$ltkuO?4?-H*;?azu`HXbbs6%n6&Q_yQGiuA`ff!wZpz{M z9*97m;kSt5k0YLs3M(Woo@0n7IxDRbK`)0AXF0_BsJhpUi1cLmcVle=${I!ATFRc_yBce$U8EGf%_$4wm5LVkd#E`;NI*3_t5yv zi3vC}cu{sC&F#Udv?k=la_0|?!m-!a`wej-3JCZ=3#%nA;QPIR(-XHLm$xXpFtd5L zM6CQ$0p=2!e5?LPVfHxS|2`>+%z+>E0AiN=hPgtS|FmO@fs}n&h-_ ze`5|Ui6}lxSj@PM_Hu8dC80_|lbjaIH_xXFGr~c3OHvYvG^+5m^@USXa%Y@e5u zMCRD;@&Gh3HgV&P9!Pv?KMLKD7A(O)t81 zdB!b=-QB{xaM+zsN+NUY1}S#bgih!%3jmJT_Qeqa_Yt9oghh&r&=4X-jb)MX#DVgl z@as8H?oUc0b5OjglnK~r4pv&)y;_T13@wR3y9s-3~8wrLe~M17RLGjJ}tYMCKTc zO|LFgA=hcJwMevkJ1kX}l*QcnNxLq$15fyAM0H>wtLS0xNS(>U)-Tbnu|(y`FOijJ zbml`C+`Yogad3AfC6PJY-cFE?J$d2?zc&+F5@9?bEN0xs>FX}(sFEjU;8QO9gc;!= z8%;_gbI822G+-M3{8(Kwi{;?%F`_KOc9*cIabfe#=pel2DX;CjzjMN@aKN2SN+NUM zc6z!$GNRwkh=!Cyz#b45F)m=f;Rj$b%r349bHQP?l$1o~Sa~zoOOmc=4On;$b8z6` zF{3UQm3gJu4nDC*5z)5@DLTRN=f>@k3J2Wgq$Dy2?m9QkNr9_Wb1?D=^VO@`2-b?QsByvac_TKjPGbs4U^j$W;egYV zlE@smtAlc8NA52x?Pfy6c>p1Nqp;9%Ash+JjLC#+2T0zle7!I~9F9*WC6PIf-tkq^ z)g6V!&#-9Q9zXJ%$f&}I-zS8Hjf^>^Y3y0l@lak0ByB7EIs8oX` zGi{)YD2vE_M_AOj$hEqc^OV;R;Jziy3J2Ubl9I?AxR%*PN9BsL(gwHiq6k~ZP*zbv z>qI07UzC*))HVro!lAZ4DT&Nc8+L<&qZJJ|wD8-B zd$H?<8Q`F~E-8u3p=oU;nr@b3HYk5l1Z!GY(70f64T`)zq(MyzbHbr^GAW6Rgc?R< zM?n#~ClT2)aRmWxR}@h*g#{Hv4dXPJv`08~Knw8vF9>tOp;k*uB6HLZ%qZj_8XPO9 zxvfd1sB4BcZ|2S`MnkH=A@nOS-93kgUc&UgQp=e^$w(l|uM<{GT$B%v7*sMGHK*y5 zsx4W5iAn|+S0I&=N6BC+AV|iba|8C*3UkPz|LUY9GDqKIX^-l%d{nM_78Ut>!|T_;3hyknZS01fz<)~1V$Q6ACz zrm)Cy(eteTZAb~q6KDk+J~LG(^(r>R`nkE^D^j`A=Q9wsi4rSylU zj`~|*%x4hHjl)?*5x!~gjQ705J1!S1)aLnCxlWiR4$y!9FAJ!5(>qW~y_~zykoC43 zl|&au821S4EN+|h?U6@0&Qp$^78&3PT`SBDhv3yoNo3w6pXr(0V(&GVql!_A2A$Gd zuV^OSxWt~@Yv`N5NL!jGN&p9o*b;>G#UB*ribMAONl9dmtT#ba zmRF<_OjxW!Dx%b=)?3gFFNz3$U0Be#2>N!(^l+L-PPz8@m%^NIsQs^`Br->Bx5tAz zK0A5(rX1`I?X#@pucDOG+YhEO1B)6Xz!upo@dV ztiLq{mOxaF3yT%k3bH{f%&05C7nz~PCjgEJGr&P}C@G1|p&9cSzZ122S+8k14dX^Q zY9~iBb#~Yo;9m+qjgVG^)e#rcz23+{L3#2TE(Zm9@+6CAFFkAHdn&@rad6KkC6PJY z-kl7U8d*ADk#$(eR9uu6AeVP|<}_k&ZwldCS1|8n0b{$+}C(Kea;6u6CowR|qr7f&TKOBr*rx8#ySjo1RY2mRN#aOB8x4hLeDA zaZ%$xhCqKnSOIZ?_5~as319;gDnVGl@w>uYamfC5QWBXX>)kPrnCcVlMpMEHB6@!z zEM#2t*dQ2ov%~-^VdE!%F3bmq*Z)XLB6Ga1acA!kxK75H&>!y+#PI(K3mzB4Ydr&* z1VT0DWd8pv%n^s?&yteJ9L*a&zSBu*Ny6D!zR1x{RQh^Sp(hdB+_tO&$eX-AlL~TR z9>*6#x^ggl+^+KqVa7O!cO@l}ImF)S{Oa zBOlj{3$wxj_nf38G6(LeprrvP&rh~`Qvt$Gu|VJM8rx4Sh3yU8Y(>-3t6!s_u{^L5O|02v32kSp2C6PI--W71rJm)2_t!=x; zsKN;1<=eB0E_}=7U|JtHZJ%z?{IHY%o)KdeX{Gh?$6cnJ`xzvM)|bB6DQDfyC6r_}ScqR;|Jgu({K)!A1i{wp!YzCvO*A z9FhD@VS(c!>5DsRMM;NMJd|U{yz=Rq_Xu;tA^6UuBr-?vpg%D_rMOGTb9>0}9c)ap znp>=C%e9ezm5!+cffc{i84+9A1B) zltkuudFK_AwD^>=a%P77b&>>r*kY7N^e)|zRUG1*hm7#8z{HSR=vD&TgxTRh97;+e za}clgbc1IKvQ7>&2@NIVJb*AhOIYZ*jc~-96VNEvF+$3x3-iO_cuP_end9iq*PJP+ z5S_r}j{HOfn6aNiM4vA#eq2O-Ssu;dzZe77dxe?eV0~Uv5}Cu=x`E~y&E5IdZ(T!6 zB783u7Ben<+*X^K<{qBNcZ&}TGr~dk!lWcJhiu68Vy7itnvs;2lWBqqAVBXH7Ah`4 z!yf;$4qO?8E2dO8@_&c$`#D_RmXt*1xOf*^fC>zl>9`=*U>+RoGS)KbExagV^~b`3 z#>L9FE(1BudpPA#`@AqG9BQ9QN+NUAyza&{OiF=;H1YkDb`KzeKM@u>E`mP)q)xex z(UJXFm>&+uA0{P{IgZ{mHVGD{B?#i(DGk1fQ4wVky{(sK6(sml-Xut<1Fud_CSYm# zpfD>OaD7QhWDcBn=Z0xWz{D9mjQI=#d7H54ahsuUw}(3L9(UmIpfF1up!<`O$Q)4b zQ2q4r={R`Cdj#RUM_BN*ab}H18JXh(q&yQWBY?>1%3QZ8Z*@F`q#^9}*Tl zE}s783B1Pz^g&^kI6&`DN+NSW`#s@Mqi=dQEN}!lXlr9%$)-(V z9?nz3jByZuDk+J~A@(N0rdQ1}q(I5Ap}pdZ|9 z!kloZjV2|LIcm@Fj4K&(6*gIi<206KoO^oq@X^*S=BUXH+F~OOAw>KxVI{>y{F&$+ z;e2CT$~;Jk2Dmiex-A{O-fkz3bHaRb_2Fz%5}DVB8$9)aHq9f4&iMCNv5j&|n-+Nx z@qR#9332hh(Tg{2;c`KXomE&B=8MC3DJhA}@f~r)79EbHY3N0=oz=Vo^CMteP*`OS zi$MI{ZLB8{(YFYT9T(AS5K-FS+I+(%RyNlI1|#9Qwm@dn5uWpmXAZ8R`I zNI|WmErrw00`PskFkc+LPbMXiIlg&MLxZG+nL7r)2^^`T!!q359%EttdK%Y}3IJF9 z4N>q}MEMiKs)&m+8~;F*i7fdAkcIpN&hsD&jr7=W-kyF`m@y9K4<{v&In3x=;&T5b zNmsOnku%D$Uwliz9#RhB`;M@Pap7Y=C??t%k9o!;)3h6$e@mDR4y>m>0wF6%^>W1mpk%}brTg=5@3eIe|=IC8RC!ldDEdY zu&sCncJEw_GhQk3AcA^OSP5}K_2p3wTZ*_L$$nwJIDE&FlE@t2);MEk@_ailD!eFS zc(<^iaWUjV5~I2l<|&;Q=7d9SCMk)`QSB%A9 zPYEk5F5ctbJhC5m zcM-oI2#XUJKkxKN*hn4t;o$h5@XI+k{x&Iz%;CTRBpQv(G+?gMgjT62CAnpfzqX|i zp5Du|8oOY_Oc?eP{)#q#986upOmHx5NlGGfn7kACXN$4caKw8A0o*4nc-;2ooAYlL zW6!l173PRT^TwnkGDj10YyO0dDZ^3N66|Fp*A|qTY<&Bn*Rw4f{T9+YE7|U0Tc6!+ zKZ)p`6IMiAbbWE4-TT?x6XCZ*8?hawm;zGCGo0&6#H$;mEXiAs`4xm#>Nn{StvpfKi zfsGR|kC=`&o~tS3tK(JNlv8TON~1)KZ=(o%TIbGB`f*H03gK=DD=IGB&+@?y1Il^KA0JqHQI@~hFyFTxe!n|0Mk+lKJLIan_`q7Inj`)34 zSm3z$`F2T$91-CdmUehK<`Dd_FgF~6|2-**%n>}`x;ZDvIi6J+#)=^EcV5;NaFpm8 zXJ^lABe&}p(9;PvOM@rj$~dtyaY4UIPAY6%pZsS z*OHRR9DCgP7$epySh7*Nq&DD8=CFc@k%&Lir6*>Ew2}5gM;fo{>#GU z?fZ!LbY~fs6S{}A_)Tq8VTA2^VSUAIe!h;9Obc12+&T@8Z)(>G^TJ^_l9WW|P0hQ% z2f^;HeS5ugA|21y4`S@65Y0(p@#CWD+ZBYMxw3DsciLnKtS5z;;$S_NltkvRdRIK& zrRfXI`Dw}ZwJn9PT@V&8E^NMakt@I-b9Dkbx|%Q(988NzNn{Sw&J*C-fGY#hqz2u8 z4ko$=&d&-dhfuv%Sj4zc?HW;R9`l69Jn-0d*4C?q+2Fu>JSmA*utcV5GcF$7bjc;C z&-e8Zw;=%umy6$|BofTeCS1Tg_D9zPGaue~$tBl6+$59D&%uOpn8SV^r$yqPtG|>c3CmYxk*i_k^es9ul55W)Y6xQu?ntrL{zIwsujzcUV{ZI z&9cD|al_Rw3cri1TAxcw!UgrIq$C)qk0k09Go(( zo)Ou@2iHcn3BQ=r>`+n?ZX4;RB-R{)?Z>s{+^m*6XUMs>XtXPYz&uM>xR|xv+A&hr zmh&*w+%ROA(c{(?4x^_F^T1(rOHvYEPR#guC_J3b4+!~_gh`uYwlr~qQ~d|{#D zVlzAf!~e)to`eWR!WDQ1_aQ!O?RIQAvLeBR z*A^q{Tt(e~U6}p2y6*tXiSOss{+Gfp<<$Pal9I???Pu!5l(cwyTkNZ-_DgbWecQ2ozeq|VbG2hOpN_lZR4)>^R5Wd|QTKZhVFeMKYcd1JJ{b`< z0Ac$m0GD~jC5P8l!hCSeOz0S6U8P%6Sg#RX+}#5wI3xPtJAaK$wfMfm+3F7ruA zwBnM$b9S9?^wScMaJhIQseNY7_pc;!zLRE{ARPDQ4V7B%tUNQDD`~|>6#`*@AF4jx z>4x4Rj8|}#BjQFm;BOOt7gxQ0Ehz~X*f%C6!GN_A0h>5?xOv80ZQadQJ?7x|r^3<% z<42^5!tYDM@8aPoW_5Ul!V)2zK5W#byU?&(a4=`v{rA+cL$*uzG`jUe6F5DGM8#UuTwra z2nK{-%t6qbltktb^c`v_6&UvnY#6OK5r|ubg^AlH`bP@LP21*hK=|Dp7W7%a+Z^Eqh=4o;N{6*E}`0d z-r>3}j&}+3!{K-?DT&N+?4N~Ml1dG>c%k;q?|E6=T}0?b!s5h5XkY{aW6DokI35sw zIS0pTQWC9jB=Ff?w;#QW1SDK8KAW_=WZvN`F0Oaz*yvepQEthUsplLo@k7G!1XnL2 zU6hyjLE(3Cwdwto#6|QH`%cNLunl?%1~xf0TCF#+#eP*-%$O>*`bQR#8yLu>+%WyP zlQ6y_{BBOgf0mSl+f=@cD6P3$I7Rk-4FaOudk0bYS79;YqR=x!rogm?;OD|G<{1Y}UFZt9u!hf92I{8ecc2k zTrS?1)RAB@%PZGk%qh;>=wfbIvQ5rzI8uYv+c0wBkCN4*6S;g}7^L7jMr4eNT)r#( zF0M{}J1Gel&A&-Xf}#01NZK}ZYC9b?@%Y5f!;rwPXi|yd33Hoai*VQ)4(4t6x={6k z1L^R{+PIBG&?ra;gx|$M+Dl2a)#%z!avq$Pii;pCvOE@fVv2I3(lO!JbGp7MDG9gvT#s{=CF#Gz%#kH?J z&RU?O<9GN?>Wdtc`na%6!4-_i7G+W`;dgO$=`l*;Vw%)D%W#O0VmJ${p6k=3HOSw` zmiybn!p2mrH8`>iJmnQ5Ute9okcVrwzaji~PSx*DO2Tb0??ANH+M@2hvn=ayY_BF8 zIkM!Lq}v>PV4*Dhn%A{z3sSM4Lj3-Ru=sKD+YR^u|9QecUC%(%g*KMtI9NFF3Hax8 zD1Rc%6Nl;_Cnb?Ns#npLBmuAlQ)3lYy;WgP%L@$!Hq0Yobqk&`$|I6L6Baoxl2>6% z1fD65$TRF)>GI5D_)}qSI1GQ1ltktj?jTFNic&3i0;VszZL$TqwxtlSUDvFQm(O)c zY>^l6msjXIFT2h0DD4pDfkSC)QWBY?w2Por(g38YvL7vz;RfQoPlvjl)k9Ej%OdZsWN99*|0C6PH?H@S^Um+KmwZz$yo4cKr%&l!z{ z1=tH2F3iJRHCX7=0-)HV2x&oB5pf~Ci9(9a43Lw6YilG1h15F zPd5{#7i{i%NM9n%5(nu+Nl9c5>8%r7M@SDKfxUkh;AC=`S+n5m&g0pvyQK*yrh=fq zS6Dr9LBDl`??@@;9{HTzdqOwwewQ$hTrGHeQWBZhf}uOh8gYi&Mvy@T5W6o63l$f; zA#hyjQunYJ@{7W+=V19cJ`H1j)Iko1Jl5iWw6^Kl`6i?#8p>DGMgw!x$L!p*zx$h1_a8g)~ zxDfP=kPRnnJ~#}H3BQ=b;4mt%?LJXI?`X4ul)xFro9mFGsF_Wb*0C+{Raa!Ad2e&d z>VkD%#+Xto$t%rAnv&InyGG0NumdD1pHonV!=!5{0H zV{|Lkv65me?i+(?m5XCANHJDW%tE8MD4Sz;zz!jyQX2!-k@HdA0O583iBtQrMii0) zWkZV4Z{I_B>I6-OgnJ!TLAS9PB->; zVVHv(J`pjh8+)tpySTRgW=i5Bc4OP717Z!oHmx>gupNC`Sm>AvwziK1#R&lu2R|Xq z0;l(nCMDrEq7Nf$(+G0HOKc!a~J`W*8QJ;3<7h{1$-AcZA>1 z;qtAdBr?aP=M41wx_!Q&Z}F7>7GlwT-P*X*oE?JQb2P$9+rtGrgx}1euqi2t%uyKp z_CxQ41ej#f6Lw&BP)ly4e{^(|-iPyZ=v_o(Ojw|}Eu$OUK^S^9$R4VEHtQzg_i|WV zpOi!^7708C*Xh81DggzLdI06)i=WCoaOiD59IgSE!NfVONC#}>G$EJBtGUq^g@Jat$hoR zvTq*U*A@tJ4}tg%VNsF*adUnj55&8LU(EsWj-(_mE)eZo#LduJ5Qsk!79|-F0WIQ> zg<=a>>Itgt!X0n5QrVS z{SNk;b-KPjVqgAd-ZX6$el-WgU{Vqn7l{2KK8$63MM(xkKxciA@T)l>?oLV~b0E51_q7EE-yJkdFA)|aE(kpy*EIlw zhlF3uLGWNw5}8Bbaa{L~wl_-T9>VZ0VNv43;Ef>m1{tNd3%{BJ;;l(ZTwEYpT7wTH zJA(M4uqerZ2xt+X6Mi)Z#8XL0WDbPK^t8kezB||&{y|ubxFC3qQb6qRBjFcw5d0u1 ziOeDBbNhw8da6PnPcHp`hBCf_!ms9l z_+(NNnFHZ5M=hy6-yHI+K#PxInZ74L*?U2>NDWQR21-KM(;v(q7?Lb3o*ilE@qg zkLhX2DEaPSYq(QbjJP0pjZ#30dsg_x90YeHC6PGrQuL+A07X)ux2rx%)6n-%W!RwQfxR?;MOX%%$ zMxj3~EJhLt0up+k5PmTS!AFyl$Q%MEgRysXA7_tRZ(>{c-@?Mg1;Wi>?9J~Bv`F6( zem953w~~^`9E%=1xk|9`_YD49h(q@cS$V6yPGXhdz(>#>!f)nK*p!sSMMa^-DtS?` zC&!El3lg_A_)rM2OE(F>nM2|Fq$Dy&!Rdqdjy~OP3a{y zEKgi8+-`br{^@*+m==C9hs9)45}9M+xTSkXZ)@wa;yr|6Sy+^~Ft{%3-u!JrE~_d0 zY7U4CNl9c5gr~;eW;Y71E!@VE8E+64BQ6Nuws0HY7G5X(Vh)1WCMA(M1fI5VYe-ul z_pmK|Tv(L2FnHU-twC+!4~1XN0r3Y(Nn{R$r!Cytwk_PsK=@l>G2(*YZ40;ZZQ+~3 zFXkZl>!c(uCIsyUp!dSiG`52+H)iFndLalHfZizlVh)0JNl9c5fyW#j3^7OK9>Q>= zuqbg`g4Y}!3^GT1gkQ}8acxo(nFHZ5N9{(R_m0}5&u4_ihzo+ZEd-1{pB8>G2f>M? zBw8VG7uH2jV`p6afwNc$*K;Kx;c_vTbX@@9qETpA{iar&gKZt=?42YYZ0=DXfc0#r zHmMJik9Fz`$;TG;MdV|H`Vje8uRcsZHmNVhi!UJ`>(rOx#h2l)N61H?`pe|wA@xzb z_!#-vsJ@(hY*ER9!SEb8kMq=Kl^g+dszW8a^utB60Xe*nZ2fqui*9!TzsRaucr96Q zcj}sk)knBDK;rU+p+|&uN*cS4qll-}c z{JD<&*+c%^K>m!AKNIB75%T9A^5;JC=lSGMf&5t|e_lZTJV^e$i2Qky{COSxktzH3 z4jc_@fNse#XKHi0Opd!OQHBU3k2A)epd7is2y5;y!lV0(FzfyzT)V#r`|d9yhx>~N zS)|MRex=BHNabUu4IV zCmL2S*{1|_M|1)R0Xq!hX^p8_38i)D!Dcqh3~OE2Bu(7i?3k(CjG^_Tlcn`ZOj zruu%cT)}Uss~>=S)}`|xGb388NQN<2Se+x=5+^47q&4iY4%--3B@+&l1okhb>^oP| zi9n5vGBp(`dLPpXsvp!#OwiNm(LqZbYgX$_+P>3$?DG*XEX$N(J^D zsFNbFeXgnHYFaHPub49I&r{;ak9N(-a&8=`+SKq|WO!Sy3ar4}$&2Zc8euho8r#RL ztqx#V*G47S1fpqmj>E@kQu~rr210xCs&$^)U=ug0O{?p;0q4}FBmUEM*7jD;3rfL+ z4UMdhLo>7Ks9&;P{U&K4-G`LItbA8GVpnglcDAw#dnwgmClphIUT6Zo!;UScmhLtB zMr#N68XY#&mH^J43H+As6}e4(b)iPn8a@fTI~{`krp{24neH|B2fap_pNE=r=VACv zM}7b103i%GyU^-A3J1|tpiQTH!OJ$Qoi{<{>7A)*%LUjoA|1JRZLuzG#TE9_0>i2l zK_gIm4xdi#%aIO5&Iq(t^D zy*#pT$aKAFb>C%@op#d6@rz#GmjWorEtXIN2!OD?ZxKBTTfzqcMGn41j5kI}1#}te*xSCei4Ean){!P2AU9GLlT&-(HQCI3_)7m}@KW6BU z3Bx#?`hD-+r51^G+u_nZZdNPl3I72j-0u=jrvzSQWEJX2S1-u-$UwQYakedi^oKM9C z4J57=*8>d_p0|N-q_F=jWMu%P{&DCw$};TA8{!#6$VuPw;$HPeqNDB^AXg3PMdJ|r zn_hK4C3fi0gjPyP?8l5)*D+lS^K9cV_O-16Jxy7LP0Os#Ly{5V#>JujrB#4#QNCYnyjXo^_!md$o7; z)@S6#Y379Hj&juo9CT8amhgP2d96~>mdOzca2Ge~CWnE+S#9J5DVm!ptdcxR7s-{H zkcX*g8aU{=s!WnVict;gENI$#OQ5i~hCN5~+zFne=6jF$`{;B3(bnvT@=Bha+`BHt z!_S63qwll?nU<(tBa{(pmp`|ew^*fB2-UzhK1@EtnpHIUl`ZOaVoQ1s$@2}^-!C1t zp>E#OX6M(^BB>ool`t4l?>04K|))5pJTRsWn+ zi>^bGSq$wJqq)ACbpstIje0t+Rv4w8Noi4w=tiUYdW2Df#KfW&)rm%vYcg`fgj~EX zG@9t!7|~8bG@bI^?^Rwz_Zi9<8CV4SX!SYWm8mVl7WY9tXDA^$G=qus;Fax^Mirj_tja*47nn2$y=df+l zBf6dL+PI1SHcT>JLA&GlKOsnr{V?NS%;O}^SZ8EEXNmvCEzmrz(|^*U;A zz-w5TRxE2uQJw=X+XP9sg;`YEJD;4gcAS+ILq1bGqU)MIOF%seE<&5}4KlkkWE73k ztN_jP3e_lt&8BQXup%d?Ni6>wPymgW6J#6P@DXhbwKW9eIY$LTEBmNNIgw}+=dRr%`rTN2$?C_k2A8cVKi|_ zAR%FBl@NC_4$T|4^6@kVreKtt*1&kZUXd|z+r9!hFQNwa=@Av^)d3X<)*D1tp!c(I z;kUW2?CEr1d{t}Y47mbxB}nX*+ignF%k0jPp|l*ETtf%IbIZC^uaoeNmY`i(7wt); z+{DaGj!r_zX=J3GoQDVz9u%!t8iq|0sldRy)Tog2xx1jh)btYR#a45>%gREz0{=~X zf0S60o7;y$kvf_D07;$AEG>jLs2JLv{Neg3^-E;5nUBpw*&vgqCZD$sFkJ}3g}4}I z1L_bxnwOj@1yfuoK1N+GDpDo4d!*hl%DZW=4&SxIkjTCb?y!`iI1c@0)>hmrGG8!caw0 zddIi$J)^9XQA6k%kI*men_(P=Kia9jkC>*dr@>dyA%2e0eMPhVcU|g!LUrh{Tu>xp znM_>^&6q{g+{3b^{V;9^qmK~JhB^h&1mC<>{Vrj$XY$OMsFZ0mwcTwx-1#a@)v|hy z$uQ|?B{TwO@1UJ7ov{^Mr>U3sB53Bvv;r8k5Z8`usuATbzI@6Wpl;`+tV2KC-uH|k zMl(2n1Knm{tUfc8F-q%uUCY;OhPkYDZKGbjr%3uw!+kO(*q;qP|vZYa#M zfQg7jlARwnR;zUrW{sp{|KkBuEJ%C_%9(&DJ|*@02KaG!O@m1U9cQ#+I>vvnlaC}I zWP=nj*!P}eaM;eG5|V9n5Rk2nbhUC@Pn~+)A7u{HR<2`)Mdu-gfMgx@BAUO)X!cDl zz|32}JCKOr@lEQ#5~r;5ZeM0I5v~8|Q0qjCJ;!R`kZGR2DG|9FI+0xWw6>&6t0~ct zdiX}CF2OX-o{5U4!s+rU>EE=Sr*B+<+|JO==|LuUXHV<3a=SZL$I8xO4o___V86zVD1=b#h<>@2?i; zDhjd1o#&-W$h4w3{GZ#RZX;E80A1f1GK`##;eTR{p!e8m$TO9~rm@6faAQBO;3_1X zAm{{(4kIVzYcZu3UOd3}8gQzrLC1TxkJrqK?lp|*6!iFj`ma>wlx|cqE{=}g$SC$A z#d?SvABWtB7`ZM=E}gKSWwf?ZS~zPMV$6wjRKLQg_EDPdbW! z@8j@nqu?>$Nz6dkAXb|0vyj}Yq*UJyMywZ!rL8a5_;?HT%cJex0Y+?y@^%Cc7bZ() z(t$C~X!cQ>WLPU5y=g|Ti_%L+>t04{E2Xto4Mg=xoWA;1Sz@$%Vh!5Hox^us2Xo^Plo-KoB$!SI8Y9++#6rhj9p|v23Nyb7xa~yu0 z@Pr`=*q!|Sb$n|rNCsJy(mzqCLL*a(=l7=*(##^ z{?*nA4Q$C#SmldD+v!+*4x`#dsivd#iS_EY2#1{$jZ$b=6fNxQSv=fxsz_(kreo|E zHnp?z0vXp#N3Abt5L&7%DPS|ZX25=i%y)`t`!t33T($tKd(tQ_$e}hqT9JxPY#I)z z51Zm2hqJdZVjbs?old92k8D=2C27||I!%s?p_4S|KW8L+aps*8>Rd%DZnlFDQ0KK3 zSoH`7=%}^=OCHmy;9)kP(s@c-Oxap~(#cPlElVpTls+Dj3W!$3?{x7A5Tyi8O~;9_ zm3wjO)6d!HPv_LkiI6o=aY$|I#(|`sv(u9^FhH0Nn{Nipl~kRX*U-#Q!*cVK`2Rz< z`n0{!1o}@y;21Te7)J%$dl*4jfwU$B_w?{xq}r$`FqvoYB>7yEc6+c#-ADA$MM?eZ!{t3bp(7&eXePh7i+9KxH3i}WfanB# zq=QHeSZ=#47pjUu25|2PA5dZ3LtbD%Y~2<*xH@@lyXYJqjm!&Nw_(cKWpA7^t2bcy z3AStrpTreGmj>_8R^LF}@hyi!Qm}F8{1$I&B^?$mn&6Uv8plf^Nw7HdKdk-<@fA8J zq_mVi%P5_J>38jGP7IzVf0c#3J>z<*q0~a$!Z_5v#A4O{X{lJHGonHkkH#VRb$e)e zdT{|9HdvaPPTXtQ%(Wg;4PhggbkuHU)OxW}&!^Ph?e?@fAg4ZPSGHn zB|-NyLBAZ0VJm*)(0a9<`j{=lE(9s@>D|K1EmvTg zLC29w$~yLHw&tLFV!XO|BqR=wBlKqj=6}ie!?p8k0?9sGzgv@)ou&yZ17!j?o4Gpyo()z9b+PR<-YGZQ(&9f#!gjO6xdZCTbSk847An$aDYEyfs& zkHhJG+pQ~F^BrJuWF7Y8tiVcH$f*p?klzsS(ckH+)sx$CP#&gMEr3nbJw`D}EB@I| z^<^Z7*fAS6FEEZCeq|T02kJu^{Q+OM!F67A%>N(lg;bvn?3NBZ1J*;s&Z#g~f*ZBw$X>SwE@dvraxK@W!)}() zZ_y>tc+=TjM27KfS%=+OyC8Of`F~)WN_6EE3|B7H@DaNGD?SH{*fdxRr{`e2i*6!J z%bF1JDK%K>jhndAWp20>4tzl2-CHL+*Wr|b?!%H%-FHh^jrQxWW!quePd(1Y+IwMJ zBRY7Wj@-9wa_0|4jm_*IjplA>Js&<4G#E(fIHZNfc+sR6SbPt&A{GGUbYgE~@pI?w znaR`XNcDH{xuE%qv$0>_(F)twFWqZy8shV|;9|jUaGlfek&gH+b{98OY3O85NT`<( zja6YA?@SpLAPdga4EapQ?pJs6?hdqBFbX3HCl$h*rmRYj@O+FUmzc+ zS$vn#>OMykx$&jvEGXUS>B}&6X-BZ}MEi@&UqTkDQ$zexu;X+^S-@0&|8jMX4f_0Z z>~&$c2k-*RZ}y@kch>>a4K#L7-@O|)qA*NZDv?FRyC-)0I*lNsch4quJ?Y5$4?~vK znD*}n6UluL#oIg7+le3B!(w?Cl0@X*+{L%fGVF;4t@AFU;)xv+QNOpF5498x7rkyd z;YlSXqW=C~b(uIdgV63}vN~*D@x~*GXuhP6=NP87Ripw$Jd^ZGN{zp&Pu2GW*F#8+ zb9WQ5Jsq^U5iHcz$m%l~KP3}JQ}X>78_FCmFFGwvR$e-mV)~UrbEi zW>0c15nmImKj|cKLrSJzvQ5><sWgdh%-?Kkj#v_uYk=m34V^*;gS$-^uf8JL1-1Qsliv~D_zZ)7n<{~=f?cz7Y=?s|F1Z-CN-;*8o_v1Lp&Yp2 z6^47xPmt+OWE1#CXxJI8l{{Om+)d8mI2|%|C=SzI_88(g?6&IhB$CwZDSO8Abl5Dk zI9#pRl+GF{5&qZBybmdpt?Wn@Izqpst3v-X;6M$!7XzuHt93{VrKA6Bd*<`_LfATi zI9ff)NRb0#V1I~|nl%`;t`j9)Nm->|%V@za0pKV=2%cWp_b`&q7CdVr^q(=RLpGyp zApEBT!aij|gCut63HV4?ef~0F7#0qVlJy!)&F?y`R12QSFG-`nrrWx#H5aecOgOZR zZvO-!&k1@)RcOXC+UV`?R#$HZcXTVGHY>xKRZvmVY3I3&D&z6ibksh_CaHIv3LmVD zmiGTKO0Zk1;fWQK;G?m5*+XoHhv)=tGR3m#$ zbxeirG!=*R=>fG&)6C#=hfm&$*6P2pT?;yoM9e0NCiQ_qwLxc~hR?x|BjNuHn&uCC zK9GqO@R722*)_yR%JniiOze3w?5dTr558?!eVn9;yJuj+N!p;VG^`d#CaVKB-A!kD zmxAVdz-e=2V|y3?Im^DLd&zC~lne;hV0Jh;rz#ym!=93HdggRGN*`gAI?u{0Av?Xr zshz{y)z8u){j+J|eF>XuFlf&;2w$)ehqZ1D9wzgjKevqWiQ$HV#ckY>J)R(mM-zjr79a$H;XOa_KmG%05ws zY+rU#si~oZbJOy&vil;6((1cVb&9dRDkWKQu^_$ zaGu-&;JEX&oHq3^b(#A6v@4xZbiI)dm}N$*bEXouGq|Hg7!%kxdUN}UGc>8YJ#fp3 znjHvx!5p(_SU)?8y@{w>S>LclWCXfS@)A5=n%7Y>>}q)#>zDlGYE) z5IOA8S{5=ri`25o2iB=?A#O%L**>JKnGrj3M9+kHk3C0-=JzM1kREqmj5$J+Y%7lb zW13bX2U3O|@fe5TJ&YiP++lrq98!PhtV+@~n1pC5Ve?w!5WI(_LwjK#1yeiJ2wCJ5 zhumKVY=1oun|G6xQ}U|WSU*;b+FJRF({BkSq- zU1dh7W4e)&(j$yg*G%{!NpWEMT|3Ki0uC@qC*V2e>UJMrErcd(cw zX*94mGuNeKCTwX$9Io!Q``W{euu-8nq+Z5Ib>DSIL)JqQKn^i#QV)iA+>A0P3;->-n?|QtL^fDID&q9llnHYaJTzt#Njt_h<%e0>zRT>M)fcUHV(BN_JG%+ z@SSp^_4R|zd@4hx6H}m`qyzRQwomNP5!f1CfywnPoB8toOf<)Wd3w&L>&}S1_8L=b=YS$KD-wKX%v*33lVu$0zIo z2$(AwlCX(G>M^?;JEj>S@l+gAPcl-2gjDnhP#luK&PaB{nY`LksI8BN@~bwnQ<@2T z6Q=`njtxP8fmse;IT%OIH?x_J-Dip>oUodXwcoIb%@h@wjhT+v7y8sA#42~3sfXk( z;>h?_o6=0!bo@A!zSYl1Sv94qYp{~Ndy0NZC-k2U^HY6w*dQ3v*+*d^T*`X*8+Oul zQqyYD{&#f6zMM_P1c%;U8kSD}_ip1AY}88beL|n7Jc7FmNo9RsiqV8cIHbD%*wEGA!>L$PBZA(rGA(;&GF@sQRq07 z4zQGB=W){vnJ5^C)O{@1*D;}8NT;y>vx{$p4ZWbi)=}r+BWkq5VU|wijY!)~_SiA; zaTvIW+7y3(j3nF<)<1L~m20)IkjEh=Tbsx_6Ef*I_z)zT`6;m}E?vj}e`jABUD9&cnaZC~tJH(0Yiiebj($!FdC!B>!Dygbms*?5Oa$yMKNxG8Wtv9INppp#E3kETHLb8k&ZzurjddZ=Z9AbN0UHp8Lu_ zB-hdY_W8~}!#(5PuXpYbzm8<0mFup#=4}5)JT`51&$Z8OBWUcmVn(R$q|jUPMh7X+O;V=YoAmFS z)-e;uAC+g`v;A*gZ>`Y_Lj2`L=aUz7kPOx)MF3eqK>O-=coLRsE#* zM^_>Wzk4O3*tu7tXVuTQsh@9GKi{E#o}r&v68kr|Q#4|GFS9}E&{_V)3c*>!(>y*lGqt;9crlL<4)x`l$mVkLqfjm;x*ju4tEFXy zOxtQOmp&%qb)D5*jA8}Q-kKAby`t<=b@anm)SKBR#c1G7a|W?Sewx^ z+`d_xqxkE=ITd(bMNE%NdSkdt5$Y+70NUJbsfm<0b^Fy;tFA(|>&mb`W=uPY)3sm< z?4Bc?qr6`0IK{K3k#aEt$LHw3nL?2+S2yQ$C{8t#>1PAQ3mysg;3jo_hTf~?i@fkG zW@;yssKfa}(X(V@nk8krqj%+`J&fxWTy93D^`8g-3?M$2wR)O%8OlFP%30cP<)nR> zhH+aC7qgdU+?r1j9UBq-ZjRUZa;{+J{feNC#4K1ZKen-)Da)UGz% zS$-PV6&Nh~1>8H}X%qlaODgS%M4zYnBWopfC9~HLDFgAKl4T!#fm)TRihaOfEG+GyXFJ}Z=L&wG zbIC@iq}m9-7@xp!IQeO{@|X5V9K!~wTUe%~pMArUEK^M80d@dT=IoV$lp`98E}Qtk zT%ATRW4#MyI;7(w1xdr6%D|A;#!7F%XS6n zljMUgw~gmp=}dhmKF8wfg;y*xDm~H7*Pcy8k`@z-7n(?p3qTbja%nBQXsL@ws$@yW zmSbQV;3zP|8*y`th*8DHnfIC)R>dN+NQf4=N>Psj+k+C*(!ZB8h(YX)Gl#kIB3OEL zih~?^@%T&}COV0xXhvZw2{9j9bBLovw!g5s3XWHn^INzWM-xD8 zbve9{i;1rDj^%Jh6{N1HIBAMIrP7ktD>=lmVS_9(EK|}S6YVC!`$bF;6z)-i;FQ?$prB|8Lm-`$+2`2 z5|hcR*X>qz!rD4EZ(zANXIkXfItJmL1U!YuQL#8k47~{`^-540kwzWkh#YfJq~RdB zX2j)1pNu)B?hQD=Rfx=sL0LrRte`9+^GQ$^k%d=gi0E(m=p;H29KO?bQMVP$;Q!R+ z&D^dR-HiKEN`2CTMUPrj-VOrO=>g*-ou#eSaU0(58PfJ76U@-hd}_Z$3o~BPj-_8X!zpeATdmk3)FI%kq;=xVPBk4J zQp}(ddR8bto=>(dT-V0~3F-{?7jQtj?lz*+9%pfLZ`X};)*6qTz_~gzWDycL&YdB< z6wQH7lUnfzIm$`1LN9;Zb`i6mq$)C>jyx+TLE45$V?1z_n4P5=sm+TdmIH@5%Z1F$ zm<uaWQO6I|i{VI5W4imwjv%)Xs}KGuW${T+VD(FxXr zg<7+gFCBdWuT@lDNrM+XdtHSc4UC|pj9c_|-ekoW9X^Ar0o_DCUTue1^TOACu90M= zrO3o%56fIe@X8SSaEomWig}unrze29jFj(7%Rr((uEQM;_Bw3mn0}fHg&(=u@-sNp zBFR5K>jJ1N+7?eS!NjA)X4u6FEcQLYDT*;O2F$2y--vb?zdhnOG3l~!`NeZ#%q!+R zA2BzH^RaG{bCCFc#Gv!5XTz?$OtKef<0)8fk)j*YPs)rw%n|vd zQa4##Jf9RUSYBSm>3eSd=L}tBT{A8a&n2H!8uyrqt6;_|Eg7oCmvwy)erW?4?wD!H zp_&2n3n%-Yfk>W+vuvXIqwL!vKH#{yMGNHIJfjD^t2^zHEHM3%aSn(0JV>hVR*7IwSyOGh!*EDmBN3crtyMzy`t zY|-_uRx`)~vhh-ix)Ink0vm_=vpwC}%%6=%-^o8kVt%N6R|cl%N|t}L&5bBVJf#CM zXo6{6qK2o*#7!U5)E5)=6gY2Pk>@xud}X+1Z@b=dtjR?0Okw;^KF`pNuWEkWkIh1<*_zw6pspf|BrhN5HRX={-4W|n6xK6-?+UfFVlSb8W# z`jVE3M-~2!6#X-fQ5jefD!CeRS)B!QOmiwY)@3&ET##Qk8a}Ep~PCJhIVnvF}sZ}@{gRzpWN#P znR8v9f14{1V^!=zH!vLNawSuI3>Rnm6u$X+4P5HVnS;a})Bv4Uf+>=d!6_ zD9mRgJ{Y7N6SBI4eXjh4ZrF_dz%V+|DBsHv3h?}9JIErSGX?UypygYQ2Kq-D=bWR& zNUKmRI64Q3j-^26t9OnNRihAzD|ap}7L`ic^18wy`IMHb2qKd|Ba$Z-Dw7H>Of?*% zUVe>af#1tRHbR5t@t?!}ajs+z!Z=-B0_2$3q+q?_b4JPJw~;FVMA4T;mtDWQR}0#97pUz2>pvU9ve=|7V z+swbVB=;!cD?(#VS6vu6ASRdv^*>1%Kt{MAuL@#`=MGKUFR;1iD+iv|I>OIS1<0Fz z=c{>u50m0sg)ekBgcAJBSMi-(h4`K}aol~@b=>_C@9CU&r4^Wtw3r2>-{V^_l0&Tv z4f&tj=#NXlcL8^F`6v1MJ9}MT*XKm7)v%2No|#qy@w4FrW>NWI&bKFp=1}EJI+m!} zmbyuXbyxs@nJq^H7DGseO4o6YCk{FE>FOF~m_Z%y9ptAh%hw1u!YlCAyw8dXY_-;c zbB=3*(d&2(h^~lO8dZ8Y7m&N1it7bk^mWcdJjjKj4(-8BH@o$=`Jy+sHsv!J3$O2( zVgj+J>% zFYI6)AIyezL>9`mkP4clm?R$zu@>B}UT$LGE4}(q@_O6eiHg3=Kbo?z=)!>!Z;6>p zo>Rg7y$0kL^y@rF@sU6jreJX^zjowQo}|r)O^jc3JDJFcm{tQ2nl1ldA2nn+q^(&Wt zP8Dm+Z2l<ybw}c_VT?b7HJNqEl@;8S!4-h@N7d^%Tn)12aspEPziaPcmL1E-6MRCg}L8>?X2pB(Qv`J6m);5@#2Vp-EaX1r+YaiZ4QvQFqOe zlUR9Z#9Xbjh0`3@l}d41u2Bn>*>jabg0jV{f^pC7ytc&rN#~cr3Nv+-u~P4~8}2%A zRNC^|fR#poB6`sXpL5GvtfQp_75bUq;#&M}yR4uFE_2b0J;2!^t`<}sQ+L_wQy{%f zRVwm1JjPqS_#Si`JHgprW#N3jf?wxfJ~?J7-}`~-f}gh(aY3K1QPiq%m2J*JWi!-L z!BX||G6GuV?}E$aLaaQ%@r+~2LXlUktff^h)q{n-N)iQt7f3b+$Xjs(I6_t-A@X7a zaHQCHLTrK*nOkY{9W+7GK(jmp`GtqHv*>aZ)Y7#sIr4B{$&vjXIILTm9n36UxkcZ@ z+pn}d9!^vP9FlbNKYr0?`TSIzU3PldbXaTE>+sEvwFUhPfp68na_t*^fDaDBgk2nW zEIU5?(Vy@_i5YX^1)jE;H*s>)p31_<^6C?#iUjADLhk-$z?m)Va8Utnd6+ zv?HM*pS75i2%Pg$vG`yaP#Nf$+gTh~=b_yeE8?AIo?m1!b{IK#I>++&Urp;b{rd$(v6*!p>uz(I72nj%im`!%FwhBFQNFHWjvi$ zDX7X5JFQE-(A^3O>On&XZUGwRZ1V*f7FAzC5jD*Dag~nfGK*$Wy4@Bk$T@@OTd4?& z=L1E}zymEZRlz_;rF1rRPnJb(U2KwMCeDW4qT&{egqZ?o`!AJ!k;zJB?=)Pg?2F7- z(C1Hg90vylUs-i`+v$s^U_?_ucTW(<&RH!hWL8u8-N8rsYQ~H+HFA!XpI<>oz&*W| z(?SJ23FkIY!55i;KKqC*ip;(Qzp6qyZ7Qa}W@hjXl%HEc<5}2);QU+*?2`bUm0!?DfGPS47Bm1Lou9vAnDet$%(JM) z6(GrZ*Xdd_a;$wP`9d{kj7D%V_!Go#NgFW$+YUDfZ{#~BIpbNUOS}5>TVeZR63LXe zWwCnih8ndDNB4O?%TzQ$r6sx8Ahtu`&AA({<7!_Ta**fhhMccC%6o*DH>c8fyBm#e zCzubrL?M^Hyw*vg3YLX6{lz(Z=Xb{FmNN)!d&skWOqGzrc-ZXQe5 zO9rCStZi>QUgtzl^Ss3%y#sGiXo=db3p@{Q)*Sty7+I;+p()>vKgVlatZXias||!D z4Q6WXb{tBZSy9$`f|>0lF+w>+*g1hb>T)k2hTsHIsXK;Xd8a3VTzIq>w)1y^qPOsB zkrqKf%y2JwT>Z*7>&p?6Q58A)=7{ZG#0w45XratH(bqW#ak{YEYHtP?@FPF}r|1x! zVqM1h_o9cvh+>G&RiDk9ttFBr%*^iJB1?h*(t9TdMK8fEkn`2>=X)h zG^9$K=besXQz<=H#>!#x!Eb? znaxfiM{9Np`Af4?$o-j}Lhi`ylwZ4_r;tZ5TZY_x*(u~S%T6H|Sau3|Y_e0nN%R78 zlVi(}I~qHMJj>WAow6rn$W4VULw+ah6mlnFr_j58JB41` z+bQ(C*iN}DWavGoEkh6O?35Ft6!a9#mgxx2^aRS5p%+bd3O!P?Q|QH!okEX@>=b$z zWT(*E9y^5|zSt@Bmc>q?M=5p+T{O2-dO|bZ&$eag{DAh zDgXUJo=e2jXQ$9@H#>zcu-Pf! z`4CT`t3=at$+bN$E)}V#4Ekg@PJB3zhb_y-G>=at8*eSFquv2J$Z>P|#+fJb=u$@9vKs$xz zb9M?%(d-nOa}B9US3Hx+LWp12?sSb9;%Qv;>pI-M8Ma8ZMPH0ehRKi-U(t*dX|@85uDu9^!^_>v@;U~@s$r$R50p&6!=@P9!^3fM-)rmO6h+K?zJR;e)E2>|1H&KtC`iEs<61$-n1X} zz>M1s$yD07$oSVA=wHM!dL#Z1p>ki;3@?vSImU1grPUszNWcg>tcsEUH)wf=v=HCu ziqeuc*bO@AhY{jl|6h8tvcJ_i z+eI^b4>IWARu6ZFh7SzYng_I4x(*!Pk4~eLnZmcj|7)Y#b;>U=`2$-?q+BOtkwN&w z0HYkYvT_8Vju)F&amFdauu4zjkmu(dV^PLsM&L-M0i{hNT>I2KUaTewdhJv51XDAm zqO|J*r4ibFN?yg33~%-jCq$dYhy6Z=J!W861~JGQQ*?;zihGTkM#slvgTaq&p!LT! zt0KFXt=}3`glOlsA|I1FQ;=;O=-z-)s$;a$+juL@q^y>DAK4@Qo2N9XTn8<7n-TgB zTN7k`t=3Z4Vd@@KO)eE#@in_tlDO?KWm$JtgScrZ(Vew~WhB*|Rl3JO8VdqXG6HF{ z(-Mx#PT@~6IJ@O))blO8o>eEc*xz}E2B-r=s=Xe-2%8Mfdh`L?*R$+Ks4Ba3FIIIB zTRzb08X;citpof<20oU7S9%n24)19?;W`z$SkW^~(cvn-rF)%lg$_2HaJ<;**47TB zbl%Fe+)Lj(N7mJD{P9IGeu&|Qs_+EY3DHz?S)0(eGw2BU4N{-k65xFXo>2LwU8hX` zKg?8&B*T0e@Ov0Ii=UMCD5JT(gg3WT$ZB#2=aL}tdCe}x!0i8)Wv^O~?rQ6p%v#l+ z;>c$_CIA@nvU>kheXrF6r-9HQsbitvpV&+pYF~^iigGh&uXet5sjY0)^}>CvO3%L@j@u zK@Sp?{Fi!>pI}IpDcqHnfPRue)u^_%)vB$9{(OHq0f*63moH|J_~YLr8(J^arY?4ib77~oThx)^ZRBjFyN2(Hgyh;f2G%|Q2@#ZY!K znaet1pJA|}rE0Aco@=UVRIeSJ&}Xq!HD}sG=WCtXYCXh}29DG5P}5EJT?~5rd}|d* zXI2kmwQpwia>Z;RY;U?TGYKY)UZ<jh!h}fMBamU$Sy|Cne!Af#h@+_w)mv^(m+`iP^D3bpeH*aNUvq=7HtQ7Yq%loOL z$BJ;D!_kOYjdvxuBGBJ43ydb9<#rE`U$Aa3LVp@NRV>R+ca4UYf}ZdT*rZdSFnU^d zrZ?zcZ`8luq<_%~94+qkI$c~K!3!JOn^JcojBm@`{M(|YrJcYOw>~uwPAf$frRZ?+ zjMY|y(e*3LdJmY&2GsRcrfYIxH$HjJo66)A3w*x8bg&sW_}4eX)ppe|*c~o|X-Ugg zBgeIapCUpU1}@j&K=Ad|8v97G%OGEf9=VFbjb2-=Yiaa{>(Fl_*A1;%@P=~iI8 z4Hl%b7MZc>b-y{1Tws%zFiMDbKA3`+aRukFY3((K!Qf7&K-Nm9VVJ{NE1iaAh(j~* zN44H-=ywD~jkME%9qwiC~VCO0u7GLHlo07ANMQ)|x$)L98Yb6SbGM!^wCXUx@-6sc9 zvBXpaO2tA|do3+6O2XkWreI=bmE1AZ*3N71u_aVoU@8v5acqfdNf>-Lhd(-y1-_TT zgY(Dre6?&XRG9+da$>c%inW!|ByM*#2_A4J(ZS0?=$o;Vq6!VY;}T^_YX7d$b!Eqr{MQ6@aWmF zQ$FkWa`YnVqBQaMaWuSK>$}}$O!j_`KA!|_DTDqXLyNWDT6LQa__{5l1G?6BsjSt4 z4TH#MJVzN_hRkuTP@$KwXO3XHh}#O5Q6K)fWkY$j>q&VwVJWkv3|Z<`>bm>l8~`WTvLE|G!0^cyu`5B1SqR zaQXz}6ioLvL;rFt&L0Xs$rMb^Y=q5CeA&HwgqeW&gTZY2 z$4tke<#P+(?YFhm5`V_v<8*d_;kJAszQi<4=+lP{|Dc?b_)Df@5-RXs5>wyuH2#|D z$Q!`O3z#vM77Sn{X$@fGi+hWEc;s4w5MeR+{0$>?8?Cku0DnX0R(HWJ7F}Byhp1hZp zk_M@-FjB{~o^!OX+QI$f(u%&y6isPGl{GvyF0JGnOo>?Fu31Y<*8&$(mT?XyUNwro zI~}^hb`4$!S?4xqu$V24zK)@XDu@E=FFa*@y?~++<)Ak*=xyoMle)Si8<(%;B?P7^Oqy?=~AA-+@ zx_649fhfg`85eOBwT`vF!NheGMPe3@!>8dt=6JOoVpA9)dc5@jJm|cX(HSKzXS8dd zf_6{21APZW4=c21p%-Ic&avdgq9p5k7*-mwwy{yhh!C@kIF8W7Yn{bv;*+t0)mzuM(|SITL=Y%38om6Ngc}w zQF6We%{pu7ILdU48S#*cDk0%;KgZ8iuwCMvSEczs#PD#%+dv2s??)(A@Jgm&;{58_ zuse_qrkRREN=4~vm}PL;DLQp?LFpV>AZ9m)>3Y4TU)mQ{1z@PilZ?gC@wUGuY3L&i zIx^F4#9o#C`JQFqu{k_}LS#RG4eHDvW%$vB&KjOfd9AO}%M3lV7)R^#6MvjR$J7?f z@t%JW(OEyi@S}^p)L(@^_g69W&{D@g2SgYOgmwEq$iWkJ@9G*D5O@tEAg$4+$jnCbbbZ(H{eqxnjUy-O zwcQ&0T9;~|S^+pc%{Yx|HD04C0>HmX;MHGBn>a_<6!JO}BL_`nXz}4Coo*w8`H7?n?daxF>sEo}iu#byIg3O2f zWSY3UQ;G};ax#?WCqq&o^HYqBs3HvWHS zW*EkGT$ajOgbvTuV|Q_0Qb}GQ_Opzbv{0+v-7-iyEktq_p;6p0@9H2W1sw|8z}+nn z`2-^(HbO}do(2pmu8q)G(js$&$N)Z9+l@nudN@HAtbUQP8jsa@O{{Z+hF@VCWan({ z;+)hdma}szL90|_bFE!W-~DVT3y}F$Mn)Q$?xcif?{pejOImcs=}OC7=ys8vYN{ zAcn53YUd)nz8W>{8oFXhtD+B0Z~Ctr#X#8h?-`qMoU@^sc&BWchJWN5PHO(XHj-)h z3ezxll0s0G$A6XMPp!9W-qV~c|8Fq-p+{O5y(e)Q{9hOx7Z)Pspltq8CfETY9flEtTwirLD6TM`#7%Xvs5t6M6kC6>F&Q=96i^|Y!*L#q+ z1rRvg!#JQp`VZq`>_LHrPH*vbz9S4fwAl0B`G~U}W6+VxT6xYB3_Ju+|0O9OX3*ga zow|1#5OY4pu%iFfO7%ZTSrvWolz*=U7}WEFjDToswM}bd!qwJD%%U)S3MoDd5(*?< z$w-JEhz+c;-85GkuBk&TX;B$FQ){exR~#`CbdEDR;&D@rLQU7}w$mop9b+O{s@TzK;(zHvFPSeb*CzvBe=SGCTvl;Z>EK#Dl?pU!9*z20eV}E z9^FLo_A5)nMW*5KiE6t(-}b+Rw6rvtmZPUrvv{qXN}@cMn4Zbgy>8ckCTel%G9AGq z{+pAQg3C<7go>CpP&uAtDzJ9gxtjWYis>0&!1{6^Ca+~0j_QkEpyO}Opn-hih6L%X7py)R<)9|y3y2Wf|fj`RB*Jow=h1VN{QFRloQZD$k1YH7VVhZ zu&$*Mq^y#R;sy|Ivxl;cGgN~fWlYGDb3Z3tNVBjGdsmta25e6N3q(yh7%7H(|zy}xoZ$UKU z|HzQI>D=}%yEOFY8FUz{y?V*g{vyX-=!Q-2%2?<7D-0_ZOz>}KZWXu|OeAIv48t>v zm1&>B35CA-tBl0>Osmnruz0+8T#N! zZ{LcMzs-<`&W4u(p@(r@#oNVV>>n}g z=!Gs#&r8#_Nz7`rlkmY1A}VUQ0k5e(GK5Cs{SqTHGD8YVg8!0% z@veQK%>SC<#j*#-i5Gij2X>wIYC+2yW7>tGzbKRiEb%vt%prVb{CCB5#(@7FgJTBS zzPR4H>}^x2hW{rtv}(=AYZtwDmQoF0W*Uwxw4PjShd9k%EAieXRr60w&CyH^Hut@E z6jD83V|pIS^psz=q}u+OX}dquwive8N<5HCRb7K9DCUbG!%i1^-cMet<~pWkWXZqR zl7g@2;N{SJKPCm=$iO)r2P4v|F}rtn91^rU4m{H#&EIiI3N8O;M&^jdSMbUTdqkzx zJfEog18GX~WeI83%CLKqS2=47xS`36H z86mL|fVpbdJQsCs1SmO+%qW~j`8Qn^4n&SJB4Q2SEOnC|QWlAWXZ<(XfdPRB7y&VA zHfxP`%Y0es8a1_`MPux&0{iMkBSGe2Mn+nrX1)${?PxS>_S3L~XZ>&PfdPSOKLPWu zr<=g8Wd{)Oe#e^XmgfmZKvYL-HEdgl46f?Xf)E@!>dyc7Qk*PVBsqy<^G8I*r zqH*}r@xKi+HJoP}MDuU8%$xqM)(0uevzcPwOu8rl}0tYK7NU) zMP+gk3MwVMUM6Ue`d&s#d^{lAu}?Z(ACFklqV&LYvs-Tu;?gCz0=y57ZfjFStQZp*t#n%VSUGYw+Ov8^Tx#*puta%e$obRC)Y--*>Q z45vTJn2gPab;OeNR}D>Ofd4Uu7n_LN)ywAn4A&+iiCLu>UPOwY#$|f%@qR{PTwgjF zK*I-_23ZV@ghq8ZivcmK7$Y=$c4-}0l3z{nFJ8j|<67cvC+;|whA-)ioau|LEt{=UZ$PCZ=3zA@egJBPy?KR+k z%;U&rJAwZeg9mzMVb4G?m|FnvQ5qC9hJXgnpl?8PjS? z)A&P%Kc?}6m*-EIA~E<~+A;gBYw&}V)wqTpJ-_U|nE(*l)t49pabeAT$m_bWW?z;a zSt?l{bX)eXSpN4cd5^9M_CFcyZts;*Lj4Ux33IpCO}|Pmb3@89_ecdZB)=D5z`)`E zG7clly>^M4V;cMq3@k?ab_oC6#)#w^>6M&Sh|wj2`nrI^p%ht3@dgH z+VB9-!-B5D<7aw%5eXQVSif&Q71l1-yoWBH}E1hL~ugWcip{eS3eXHdu)JJ#@hGv#EO8#6%R!s8RSmYb^ z;hJQVm_=-44tDc?hzSN3&u1(qXz^Eeq}3{3$W#oI3a>dd_Ev_?F+#`uR=JJPu|HNI z;Xn3mLk2dujgb(&v{N-7-?|2HNLlr8urlraegFdkcQ68CcUxbvw$J=ryW6R(Mds-A z_IADGjnz~GsNKb=9f9}onilX?v8m=>uI5Cwvxnfsq5mk^R5QfXOq|?XE%DydR58j_ zjGbQdexFQy!0~vHJcuPG1-|D!P&V^F!tj#|=gv`d)JX_<87K>BxlFlv}aC-;a#-doyRB-PZx-=fJ*PRBnhDm z=a`16h05%?%7BGfVoD|!TbIN3Ks+8}D(=fv3`phz(==YG_u3TRsdT-5H`6e(yjC9k zy$n1_-~o$JWjbU7ucJ7|jKkT$NzQ8EqZLZ>whj_P>j)VU`MHC4;5zNf7-XEEyF}I^ zG=(d#ctlY3ZUS@?IC#|=@;84r+}Zt3<f%*7ll4 zX9GrijL{)n^7DTrQuq%rxUAqyrfHoOe97J$A70q2_?P>gOEkW|mQfg8tS@fjPhJ}+ z^wSJ|nB1XkV$oZ2-mf{liD@~MXemeH3WFb9Doel5k)_S{EJGrg1;PYU$d@v%M|H8^ z!F1rbtJ>^fC0?4vyO;(!4#QJZnKxiqATxjFqqr8=^-m{B2(9Qx7?D@-<#MNoqnNc; zy(6>_MZ6Rj9Ao^ZLRP?xRsXJBv0}EJHvJ%cKOe!B|YVc^ezP^EwgstYx zocAt-sp%6;69OqFng+G@Cz+}vnW{ld^vg`mVLVHijqr5FyW4M;>r+h21hkxJdoQe; zDn89r5Rv(?+3}yin_51@v=EUJ=eDMbUuPj2oDe!%E4Zz4Rug0^1*j8zgFKh` zul<@W{}oKb;e|cK#M~RO=?^n4N3@nftLG3?^PpC9brm$uluc-5gH+KJQxO|yz)CvG zw2Uup4Y>BYpJ|vFe1v?6sTivaHbTCV;g2qd)#Z|ox6Jl7&GbwyBM|eT?PQiI2}sEx z6+6!q96KM@TWhuM-k?lQGeuL0qCwa!FeOJ5B?C6JMW*L4@Pp4hDoo1+v|p z!0EwvF%?vn!CU?Ja4i(b?sTmgc{i`jHoL;Kh-)p{8E@NkLgc#hlZaZIG8E+%y~!Ws zH9=!PW{r^&``ulH`?QbpU9W{=NsH3>Jba5^^!uHOu^_a`2#qdJFZz3d;s|ua&^b2V z?NUr(V}H; zu6GeW8Xi0KeF&rTxHi`PPN^{Jr;wFYxs#JEkdK`{r(JM9LRi_k(mOz(yWzFJ zemu7W-|-khs?6!{-Hy{aQ`{=sVFa$-ta*n<6OS@}gwZ=(snsFkt1hPHV@!*vw#(sa z11+IMwZ)QF(Z*(K?Y7q^VvIzgevZ*OG~4q#Y|G%kz~G~g`dy@F=wD*!LzTLJZj-_P z6N3jA9_@u~?}y`ziEE)$dOiuVX00RQQ!3c=4ZMW4nADy|zHWITa3|~Nsmjh%#;t@>AA|N24oYbbip!`EEXe{niobm3dm{2JZD2M8sgIaZ?|(z>42zuu;Q zyN+7hTCD$Vt#)m*)~wc7l=_ukySD$-e*Z>V z`K?eObo$p#?QmlSUrL&4)4yK;m*jB4plGbDRoAvcO1;HQtu=Sxc%;?dqrbe={0nhi LUorpQTMhm{?9a+o diff --git a/doc/_build/doctrees/faq.doctree b/doc/_build/doctrees/faq.doctree deleted file mode 100644 index 39f0c2aebe089792500d88c2f9a3f1c98236af99..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 41795 zcmd^|36LDudEWtIvDjVQB*6m&$>sqP05P*9L5hbc!Z-vHJXRn9iWEh9r+21zrw23B z!|opJE^V6BnPJ>E6Ki5ei4QrFBS)%8Nx4*Uu96icj^&c0SYhNiDph3Jj>;uFa#B$_ ziV`LN?|c1@K6bii3DK%Ds_?d_`<>tWecwC#^(zy9>)wxU;D6DMhF9;0Zab)Uy@nGE zqba)4ak}B~L&Jmb8NM_;5Ka5`a^Us-x-*P6z(>95wi~|F9X>y7Ziu!7PCazJuJYg9 z+?;G~d46~R4>yNy*mjIZ(Y7{h#5re$5==e)z>qV52rrE$dp42` zhs|Bhjm=HbWSpV7Xrk?Qo#9-6!H#C^F!bGpeu#ol#y7c*0GW)o)Z2Ct;3lp&ckPb2 znrifW`p1G@Kkqw>khHK9@+~E8jv&)`;ezxIU zm|bwgg?|0K6VCSHqE$M!+nt3VXBX`ks(yf6?|XEjztEf!64esgDQ`r(pKjWr`L&3z<==Cvq#^Lohj2K>JX|M%nntteLWAQ$I0;k|hXnc9L( zZHT5JTYJg3drh;ZzA1A;xw5TbwHw+iR?}T-w(&o7yGxeSU4rnfW#0`$r)#-gw`W58ykm)av+AJDI^g-17d9Q=>e&AIe(xZ~fRy^Zp67>7 z!)n{zrM|u7Sa!EzdHt~04=sD9=X-Sr_1Um4IDP>1tOE$wa2FRHLd071{Ei(42ayP} z>~<-qdAS#fR;8T(%9K~r^CO_=!SeJx(7cAJnMN?C2=u&75xnO#lzP#z!@lnXsL6V} z-*E1i(p}@J&dVGkeQf-^Y&Y_2B84b z2XBt$ErOg*2VC6`9lpONDTEdPLqUy%%z8jQeXvG~qTm$;SK?{bg-zTOUAabLLnVu~ zy`Zp8e<9i#^qOvWrD``CvDx0%bGi+HKEDDZW)^LB%rb~#JUY%aSo@H>Uv zn|q?|$vW0mmU0^7w3-$$ zfw6S#z-_OlvHaDzQpbrxmAd(>$kiu`vM$TH3!eXek>`=+Y};11-&sI|0UeFo16fdN zq%PQQ+g@lpXmi;7Kq2m*nWR@b}4cr)F4E2MhyuWF4ssaqo%N6;{jn^AO$VibN;nyc?eXCHQ!^Utdr+>AZd`&TC;fU5sxb(LXE7nUvw> z@cbu5p0mZ+%mAe_+qz`qh7ECkV-G4<;@RHT1iTa_C-b(axwo~Ug#T>zCKT5O)|+rs zEw%S(+$+@NS)u2(xn<)=ZFj-9{j}X|9VOAw=w(l|zWB71 zIjX&_Vqh&R3>A6P z1%H-gxVQC8iGs+2Uk(4~O8Czfd~IG@cO$}ROBJ?GkE)7Ha-3FQ@ z3}me1XHQ!3Z8feKD~uLSUkE3lKRo^{Grp5zx<=<-mpj_Ej8R#|4JvY=I<(~=G1^Vf zNG;^Eqj+eH9I4MA4}{goal~-;)~7M7bF7Akc9sM~qiHp?C3SPGMc?bFL2haPT0lQ7 z1Qd-WAdKf*uc{DSlIs$qnC_u7tB1r8pzjA5uZGYLCY*nZ9-blP!tgX~Lbi1~K;Og|0^h(&Lo+2)PN&yI4=pe|?-P_6 zsaKbrSxt7XTOUC|3L1^pFA6FB9rg-ib#s}r>2yl^hg2yA6V%o(;fCyiL^E1kvOH5# zfI2lAGPHh;vpRAfBU7pe-cTJVrlL0%PmUC{_DM}Ml}f6sWl(9hY|$;tq(&GZIY9+# zR3SA|+FKzts*oBPdVDK~NGYDwX;bk=YB%Y`2<^UsC#z^^LAo8R1{hCUK04N}@9^ZF z((4jFmGoP`PYCU8{a%WsktooVR_k>Yf;IZ)ghs!nY_`{JuUcKlfj(oFi4@!R8?jnL zM{%d!KCC8{?yTNpL7!>Div}FNd@ysQdbjaFTL$Y$)td8YwB^!-sNF_WKz#=nHjrdR zDLW0-F8t8tOIO44+W1E*=ZcSU(G%?-i*|AI~Kh;=|Q%8*HtUk zWUXw7wzn}Q>f7!4g|=5eZ+1a$GK5`Ow3=b3ZO!)VusIugvy12mReSN+XirqiuL6r3 z``eKJQC*m5dZp98Kj_)C_%zkS%(CNOaHyx=I!Hy|_vjr)S!jfqpzO(W)a|0x2djrp z8CDN?E~uhbF^ok7Oev)chM}NtC&Vm~(y$*y)Jit3w^8Btw%)p~>OEqJBq5GFPoWv( z(QXg3ImA8+EF$PhA!N!3#Iz^cSk;lxkDN@F>(AZ2Rs}rz6q`5GWYd@n zYi7RJ$HLmG)o`%z5QM%>>t8%>aIBN@lB`YL3QS<~daDBQqQP~O>en^hPUEY(D16RtkLpc2_M`vyWBL#bsQ87M@j8RwD)_dy>EDE?mM&yiHTfH zmvrQ_WL` z1M2}i2xi5P2MrC~nTo}LIr9iP8mb({Gi06`tsNdG14149GH~mcC|)r$cI6sH&^cpW z{bhtm>*|5#D`l35TECDJHg}b%`BUZ!QR|ZkSALPG`Jrg5A@#)K&;zk7GbW_9NRr43 zTC2mTF(E}Op-FV3uO=00c+enXxnftqa)oIyv}iAB5rB7dfFId$CNM`R(qU30(Q)=2 zTBV0BE%cXYJQVbomYjfY#EZa6wZ;pimF;yQzc16D(k6(M?Mo^IE8Ee8vK^1rB+fr_ zj}A1=fQqGS^cI39v@Ev0u*BHgfmSoLj?0Z6%Jg20sC03^A&UETmHBZ-UB`@KCyIOG zU0$DS%x(vs<q?` zDDZzj>rUua~DeGO!b$S!qoh|fdEWMdfAy{utB|!Rnr_nEQ zY;43?ME)_wfzcREe((++^kHCZ#GVhe5-m4F(3B}AUOemr!cHLv8-iw5v7an(+KWf6 zW9XaXm({c{(9(-_zKeQ5pGY)8=ycPXjOq%J5uOnZVB1-7d~CpS+Fp+)oy?}N`{w3V zw`b9toiemwkE*pyLw;l(QcSn!7DX@G^UL$9Ll>SK^BduPy^Z;GIbq8*=7$k(tj2r~ zH|A-A63zO!u;%JvJ`9KS0u)4jtKZ0Nl%(3$Tka{Q{3?Nn>(XLmQs(Npxwb<_pKfJ+p zM(vGkud25EudoC(Ty+7Ltxy@K%k>UmDIrV1_4CKj)jDiBzK`ZnbS4O|xXyXWq>jl- zYDgu5RqHfuT&;tzz`{_k?)g;B?bR7IRYg(oHdfTEAnk(Pb{pvBi(w*m+7eQWE^h?n zE-j{3u`e*d01{z2JGw9T!<|W8MK6dd^43*F=Mq(F$==)9!hZHDcmM3H)2%Mcn^n(WdayPx z`d@0}aO)pXw$T5gT>`XMl{UF!He7GaRX)&BnN~@px@G?ev820YiLTWIzwls2z#DA) zzB`PrTqCzU5C174y-#ui$m3+ZnO0{o+PijnMQPTahM{VSoAI6$mATe$P{Nqa z{T%*AGfF^>;iDT-H6mMD^GEf~o`e67b1_CQIb(BC>tpaI`Xi(l$?dqOYM3fG&hLb% zf-k7a1Jv>?s`;>GTaP<_9RkKmF-<@`gnemEFJNO$x{?Orzfh6{LhIAGlJjlR&1F&( zae)t&vlJhHgeXh#p(AL07Ga`2F&oJOnq>R|N8S2YW~Q%NOE763RJ0}!=FJQb|C5r7 zd1(E6{9q15CZHiVmJqx$4W)d81`&HX%ASY+udztp7-i4Zs1JLV-vm&d05Mn0y;F1y zrIm?a7wCC)&m$`%_@lwQgWc4;J3QW$ zti|yPhOdel&dABc_)1PRiSacfM4Td-+PaEJfL?geGy9mF!dSxb4Zyiw6n^t-7Jf6_ zAENwaxEHww_tzcf?#Y@Ju9+|L34TSa<#_*5_`j^6!jNxz!(pUVJzK+@ba(a|i%RD7 z5?OW0^Omp*jlRchZ#MQJ?W^yc4gG$d-biZDD~8nVICJt8de>bH$*|&`#{5y_GsnbM z$4gpby(P|0irzB?!ieIgSOpi5-Vj5&cBxHTALm4pwBB4saw%+8CiGTO<5mX38YP>7 zP-F%W?kD40Tq~Nzk^z8@NTVE*gxcwXS}23$TWcqPVBNcr5G;OIT~9K|Z#v=@wpUNM^s|&sh9;3ZXxc|KQE)g}`D%zp`vVIi#&Yb`;Q!?Usg_~qk=i*d zEh(YVI#bnYEIG@VKhkY9O&0XKE=m`mmlzMHFj3V7Of=~Yi{`b{DE$*;F9)TYN~9=p znxM?3So#+QrIsv-umYs3W011NGkN-_9CMPVeWOH^!fJw|H<*&YGeCWj63PH2k_S+? z9_E&}Sm2ti(m{ZYNT(cTHvE64K;UICduQ!fP$_FV5QJ z1)UOUvqH3$70hU2t~1e9v6dW6kJ_P^X*mB3ShOZY z8)FVfl-&gK+nh`i$o&e)5s^HYB6CWL)}Yh8mm&0$&3S~1{D9C~$mEr%9t~*O5a3Ou zQjX6B`2SWxWt8FbiP|yDXI?-@4LhDJYK4@T$+A~%eu}Nxq3GlB$eFC7cpC#Dyz>kA zkF~}+oeGde^Cn0%2hBUjPgugaE1l&Oqz9-DN1VhUAajn=TB6C zp5J>HvY5l?b)!?2EKZ8Nr3n1df?`VoW$0wD&nTZs+q*f^ByGCaX9P+c!AbGVAWPrL z@YJPLGEKBd8$8`k25>BKYrw`23xY(-<%ny*|I-DcFGCzA5MT69`B;He%n?T*u3CR_ zc6oN;MSqc=R+n6Cd*G?WqBFNDG=*#X z0X-t7aaJjJ@N_f1&6KTyY<%Bhnd5#2-Cb0` z47wsmK$qw6it9(SS~37&6KRx#a|!;xdlBGl)=s)Mofe^2ae1VYn25$2FME+A>XR)T zE#-;rL>N`mDyMvJcG(TF??;?@#>P`Fh^Nix9T0O4vA2(#AIa>LEJuphx0K{nhZn5M zG4=>)n8Y68WRj*;kFiHYlIC_w3fo}TyqDqiR4%U~Kj8IVGPUcfFpco~;{c^1C*`Pa z!vAbRg_SY6{n{Dq>BFuh2vZqc%s0ljs(znPwhq+Z-d)1(j%J=8T7!Y7gLLQtx&rmp zI=1F=gkmd5X3JoDnKAlH%tdNdeSax=NL8BA!b>Srr=V_R-^7eTsFPe+Pga7dL2ix) z>4o)VxU|yH`?7J}8fufgn^ByXaur8p1B!ErbwHP%e*w-olZh=0H5*@7c6Nj(gi8n-e6{m5v6-ZqXZY2!0i zFjYn6?2QZmPJ!&p*qdi-$FVZ2C;R+6>P>X%TDNzP1o4_~4{IDWL*1EOAg}QtB(r+R zF9qYEPkuQvuc!ApWH+bXylXuubdU5^6`A`i-8Z=nE8NI%a>B^%Gf z3epn7OmVxJMQl*3Ze*DK3MHNC?THM*2=IJ!iAvJAmJI>&L@MP-JrDn%EvS()q#muE zIeqe&l5Njz*tBfYKQH@sdb#NI$+u?@!xfU&^L>ATJmuiHZ&Wf8y9vrlin{+(P)13e z2rHnI>$^r_OuD|yQ6}lqGkr#&bgME!&kTy>?+irSw&X!1(gj2}Q5UR8u9~1y-hqRN zzZ^bo`2S9UXv^ROLl;keo5R?y=LQaDQ5JnitZOXvRmcIaE9Fe_KJFltK0F zwKM&b$CZG4t34N|dk~g9(?Y*zd;P|OoDPhSPmS?zIC#r)DLd<2N6vDnJXAh0iQ@!i zFU4ZBpxoN}QCI<@oM~ROfF^_vCy<0t&or+YMH;{f5}ZMw{GCB>h0@D3uOb&f?;1vL z4asZRMtTD-BEE8Xb>ZJG5OW#4o~=DYyVDh4q`)gQ6JpNe(Qmo+K)>6G>1CXJMn~?` zTThSRpkF#Eh^F=)VLsDs?1z!v9OkT|97xhOb52vJ{bGR(Bx-SB!I~V!B@1f;_IEkj zBw%_JmkgJtYBS5vz)Ic7aP=ulCc~9T99-Q`T&+|18oM_V3n&x0DMzIT|36nyBW0-k z@fwa^Sy518XI0n1>swtOcm&>Jh-1Q?S@I*>=J?Dh+CGglbNKTDPsRsP1$^9N-N#V^ z1m}W-m+W17eGo6s(#H$bvD6lSZXq-O@t2>O)HFzCEa^z~nILhcwC6t-)Roj85mqSA zO>vK5RHc}z^t(B#bW6Fa6Z(t!o!OYABS* zcR2;@!T;|RRB9OoJXUM={kEzl`cZX5sccy4mpf*8avW!#kY+u6?%Wydt-w+4L73K} z*5p*K^}l+}gcP@wc#7Mth^$O!cY?zTZgdfwp7*h&#yr71q;m?UkXw#8co5rb1Q{x`Y(YUuLjT2m=8_ZQT58GU}D zb_TCO#vj^|vnJUEL2RsxJ;}!6`0*3aPkPJe8L|g!Z&wbG%bfASUF%6$D#DD;bV>tO z3S=TRz>GnlFQ-gK7T#pN&q*a)7d>S%GMY5pGg`g|)ycaVoClPCruh-M0?yY`^Rsp> zX=V#N0yGh4IWYV1|3X0}lmYX#+S5I`Im!BJ$qqJ}_?>63c*L`B9 z1e>C2Ps?yK40M2Zzp;6**qe{y$z&8)YnCqjuJ{ z7d=l|y?}p`y^Cjq`KviJClX*CmxgG>VStVAbd5eVAEHF9Gu@}k>D*TO+L3vYa88O0r^uW_lx0S9GPJ;QciaQjg*F-7%yA~$+{^O>l>%;3 zL}%!}zLP=iDoP~Nu!^Li_YYCSdNHa%<9N}c1cD-;<&a&0|BVIJR0i26YA5BVR%9QN zCQ}>Yqyux#8CwiGSZoYed4_>rE;^YYR2~GB=JP(}GN%{0zf{7K(=?Y_?Q+i@+zC4Z^a`Q9Na}88IhX~DZm1K$1-UT zJ>(~cw;PL-kg(0@lvB8c1!a}EnK2092$aSwPKmy9V}NF+ zpM%=_DYXo0A`d|AYU&>4%UW|a!V{nnag~GUMfmR&2(}DF@2JIO1YNh+b8wzk!lLos zV)@84Y)l?NV!IYcL1Vx)Sigci=74o%9mz`4cBXQi!tE0UQjxf2q7lqp7dOaksBe@P%m-i}LZ))8)eVK|NXVvShEjw* zR!~}LtaNyR*JW{=Xco)l>omuk#9I!hpMd|j7YMivP7l@a5m21bh=Wv=2+7u~yRy-vgB)o=LQMpTcN0}_ zfe{0Xfr})sAuHt_;E4Fkf%Y=||5$;%%Yas?;bUtnCT8(( ze5(xhEN&#F-4Y1sz2?7$9OU41Lt&(nr7dx4DUyD@pk$IH60w zC9XuH={0U;THgPci?zrPu%;7G#sfiH$??Micad^A^>`2bf3u*5%BaT^@*{>p)n5?C zBPI2))45|-uJ*u_w4(BR5X-)eX%?TSO`hy8Wcq&A)?BlC*Lo6`$Zj?AlfrgCk}Eal zFryIY@(#*^(wpSo$Vnv)aJ;%%5K9_dRi27(?t53aA@;mc$=#HJj9nJl0>Hd4Ko%44 zTBYhq(^}vWsEIhsq4_@ezpkJb%AomF?L=EbmbVXJVG*aO;Jfk8Y+d>r!RE|~w%5Yh zwrOkq1571lq3>Q-c_i}FN*F2NpDPfxw9`fe0{2^}gOLI?N2Vt6&*Tt)FxP72M3jbp zT2-52uM;;j7Q3AbyvP-Rx40uBnK6J@m9LpE_6v+f%;m6uKm4C7sEab#pRJvF_>3$= z9`|zpi}p4Gdkem1X424O)7V8$gHy-=zn)Yi@H0Aaiuex|NLdnZ#vqX2pM!i>oF?(F zc@mg*L{(!ECu^j3jg#Vu` zsDw39UptA`-T2yj%ZEdOpQR5}hFAoSN@cFaZ7`jlS$ zXyD{j9G}|hA+oI^nR$W&KWE~f^wPszv_16d^9%UeDLqAUn~^Vnbr@ZWZ9gFvO&#Om zqZ^~`^d0JMzcar=hr!`vE71;ow%YB@(@H*q28i++amQ)%S=GTj4KU~HZ96~>QHpT@ zbpt0&(U&*p+w|t&@X<@6nWo)VKQ~9a@trov3THj^1Lfp{a9SrSIp@xHKhug(FSo7Fvvz{T~xo{P)&%a zjWP|R?Q&ZRB#tHB=n|(pj4s1h!>~JazTx1*UkxPVvZN<*9O)v835mFkd7PNB=&lT# zlhF>mpXOn!s=O6l;sUDejee&$ufT&~`3B7x_+5NP7SZhFJCJTSpz7DRdV$k#c=IbA zLTVSL9J~N~VU3VXNj;pu;PSDk6xV#i4QzY`4+V*HxkV~O^H8)6g`tn)@aq=vzCHFf zzv$A3N}eA^J9FyQ9i7ZR*%Z^VR8V!DaFJKz=^X(iF&)|qP=Q9+ z96mY~T??Q(^Gdf=_UD5hRqw0O6`ULF`NfwiaH1@TBN;US@>btoRzIP5yZEzkEAwiO zc^FN!JtBNtfL-+A{0n`kZ-9`O@o({SzU|>NazcXF(%~yO3E1(4TA{|s{>+O#_{hu- z*q+c0As(UbuL2UU?sgYZ)l&a2C5sUB7n1&V@fSy+lJxEJrsIT&Z4VBHvd_;u^ey6f zv{ST~ne(^F>0TJ(V@lDKumn&&P()v}qp#u3i!V!Yo$p3Mpdy4pglrnlVlaFOwkmO# zKYmEJ5!<5rF-i0{*!vc`DXtH+{t)d{>+9rvklO6`k@LIc{5x{~7dhV{=M{Xexb+e_ zUnJ)Xq>IYkn=b>e~p~? zlk*93K2FZp$@v;NSKxiV)@9^8M$Tz+-b>EQ}ze&!YlJh6z+=#{F*7f8(L(Ws={4_Z~NzT6}=X2!zZ*u;EoZB%e)T)rP zNKT!cpC{+TX{z^dwFl;2!soQ8=I6N9 z=eWk_xVGoGrsuep=eUOFxOV5bX6Lw8@f1}!;MyE;O%Avg2V8>#uDt=*+<?^|L0@GTszD#X6{55fjH*wFZ@ep0HYWxIUv1U9oEX z9$m3&Y$xtnHBQhKtHvr_v1)vpu2?nxD_yZ_>?7`3HO|r%tH!Hz#j5cebj7OihjhiN zaW!$zs__oGV%7KnU9oEXbGl;H`0sSZs&Ol60ISCHbj7OiA*C8$pet654WtIF8gHR1 zR*eo_k!rL)2B#lwH=8B4l-ujBIAMz#Kyin<4$_}6$>ts54~;V#r_O@cRxKTSy*zbO z`JPV1I4x7OI80RaeJ$} X&CSmYeX)iXO1$oHj(YldXXpO|ynU?e diff --git a/doc/_build/doctrees/index.doctree b/doc/_build/doctrees/index.doctree deleted file mode 100644 index 1e19762c514d417dfa9642fb66733c50405310b5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12896 zcmdU0S&SS<8TPf;?BOF>LSkE#b12SaXEq4|MNWv4;5ac(mc(qhqu!e7n(glG>F)Hg zyYm1t4{fslX)o_PTTMu_7LalP{W)m_~^z2lvAVhBX> zdb^Il{`&9#uiuvrz4F2X`_zAO!SuUHY`an0^Gy+@a+(JE!i&?F(|bObekMIFXF|Re z`AOInsoaMZUCVaOPc#XlCMRM$c12}MPJc|eUB53* zrL+c14y}r9I;=AD`BWVYK*!`{z;RTZT1Tw?)T}y$1_76C&lKD3Faq8uE*wZY zxaSNm!q-C{SXFYoxoX)F!~d}*m<75pzsH`-;k6k%AJZgf>%tSE-DT0hi}^OQ>~+h< zpIC$}O6-_-T)}#N*hnJ5Y>#!pOn{4G+go3viKHJbu`PQ8!2FQ;I4xv62wc0%iFtHB zkC`Wg$zq=cp@?ID6bSSz;Gg&n>ZM_^SB0G9-_$eB^v15-%mf>%uK6H7M+c z{Lh}V_@9Gca^_1Qwj4)n&6znYzC3R6uAF($R*pJ}lqcrovJvIZp6f<@Yr)A zblLk)HR=*}`}jTCmPL8{)l1(Q13oS9{sUa+&2iV!cR!59Z;V^K^X}rBfq|UN{C_I< zH&vAIa2_R)3smtW+*+w;U%M!{2@4FPjG&D|z%z&*&#aw${CP%k0(+##UwU+nLbh^J z2k0trV~^l7%HqvO*OnSsxn}xXp6dfJ?QuDx;ux0PRZj5{D~^LR%LrKmyB!Q-%lF!T zxURmJb=>*rn$cF&KW#0_qdRacsXb~E7yV^5ijs$;z_PvVHr!60W6TA@GvQ2(ZA9MH zFlce)^)o@(Cns%B#r&19>JPcbOlyjjxFHF-&H8hlxu@kJb*MZT#U?TY{A_`Osi=o{ zf5h(J*LPPJ$w?qjTjhj`_EY3ZG(b5(YW-bK;VT{l$e9kRRkQdO+Z1uzae7%zZ}HH> zue2}b;kt;i77SN{^SfMdjuJf~G>dXti$QtE%2=K3*3}|-I+alsSg6Eqgxq8l{*&I} z9NxcgUryQip>5^SL|*48*41fr+WOmGi0jOc*gKNG&OWTH64yCS1AC^gGfB%ExJRxh z*P!o&(w_2$G9TDM*$f$hv=kX}DU9;T8=`sI>>b}ljY}jI8y*}-%0nutmAise@|=Wr zD(~EjjUXaA58&WjKB1nu3|A;}H`|-N$?mMPtrE``ELbbEV6EJOrCcXt<+2fm4$Jaf zt+Zn*~rWf`XO18gU(pKW5&iree{%TH0N}F4I=P8_~6-yq5r!>00 zmzOK@6k1g7=0!Z30Q;l+qqC4FHsprl57_t?x5eB~u5^lhfsIg_o7RnSp3M&0RmbOteA!o)mJb%j- zCbDQGh#>-8I~3*;Lve_izIQj`Wx>NUdbO&p5LS&Y{3A*th|u6C2Xqt-ctvKEIYgM@ zpZt$pLen53G7V{0%F9Mf$QQPp? z+7r5R)==glLOfCw(^NFlvBM4F^@M8<*VYi2KvYn>LO_k!=2;PTVX?T|2u0vWcI=0P zB^KLMl*{TR0je=d3J?QDtjj&t5p2^ITf)p3N)gWSU2v^fgI$+_4zfl;=e-yx6z~IY z>(*LlXA07lh$$EF3eKu~;vsLEyMPJ+=PdTfHW~Z9bD(1O)rFJJuz|b(x>;JY{WFCm zR4o0_;10X~ZMu7I*PR#eLH0by4($EV4HTI|ZEh&k5yG=KKXdY0>i7DGlMv?7?jy@o ziD=^Gm0lzoQH*jh=_RZmsnPk1A7oV2@r28o%{v0W>-)_nX)0zL=RzSUZbwlmrrLL# zt@_OIk+0aW+o*N20p12OAg)bd;jBCub=c6x+L|49+r1n_8z9!!l#w-kwzjscz+?xm zt(6M-&|Ag6R0{dlVSuJTgb-D|AIkTWpc+al)p)N_5=-mnWOkVn52c(xCjs5Pob3FR zj?7M0(f*S+g#INW@&*AMO`RzeS4GI(DtT@m#e7YuBXzkbF;yh{%^pbhs~X9swPcmB zkRXvuhqNJ*+rd`n*MLx1=p%K3)VR)7<+OyY@ciprz_S`-I-e{rQI)v8>HjHQO2=J8 z?)M+(d!&{Kpc1M%A^gEJkdvSgK+zWlGAF644uw}?aftUY5w_VA0uM{%XAubb>kTST z_~bl29}hz|>cMcsS;@kVqC`boJ$oDDtro-USmFC&W3Ywwcoeq+1OQY4Ymn8+YhYxA z5TwO8PQ7B`nduWioLn_`BcDYm6@Z6K(Bqm*NYLi|1O$akSRoo6oVQhkX#afYZh!UC z%NjXtV*h*BFT9g8dq7!}8TxC_QM?Z7?i!M+QW8%)E`*g{SMNu?B!3bc%1u<#RU65l z%jkt8e^AZxgWOZgTTv8&w=l|l%;*dZm9Ty$bxz<04#%;Pyk+D`)iIcStcms%vZ{_f#sv%asSE=nUX` zpAsm=u_y2dXu8ejl9qWC&O#AIthr9}%}=xDWAvk}-Ze|tdE2$&mzGQJwhA|RUvYyh z7jS+C@|Je8j%Z;gtN53vDLeTnK&(hq{=8pI9rp20LcTNR!(OJF)w0ELCk~TrAA`^V>JZC+d{xG ztGGEs$IexyN1xv)C8~zV=Jg{&#gl>-+RN2xQQ8)5PIT#`T1{zHlxj$szW6Nn2J|pV z#R2m3zx;JVdd8UGzQPMHWdqM&1P41;^M^ZfWf~3V(~b6&y`l z1;i>ZMgGZR!Taj4W^>iX>l%-{ReppwJHJkM-Yi=(L zPn2jV+5gHOIG%^Bgn`o6V>_u?LY1RtN8vw|HM4Q-(6^aTY%7mbm-z0OOUOJa&NpWX z@nT;69OisA@69eeocF%_S`w2Xs4IMOLJ3nPwJipAq_y8C?02NK1+^QcB$n5f)zMMZ z9kS%}Ln5q6Ia7#r30INx7h}jt$kt_IlohCp?B1*Z+H(rm3UPyB#{+9X@omMh15fHI z`z<=T{BDf2bLX69Mcv{6yn1MuLJAw&s#R=d=+)p0DVKBTE^!kRRasZ9aQ+3Fa5>%Q z+olL&3#DXqo$)|5#GyxR-Ss=^6*+0y=((V`p7|U=K5#`&XAk=5-MN5A3e_$b`JOvS zuMj!^0`U1m&;vm5k0UrB59e2HZK1g=5FvzXxK+vd13@XNmjOhBO-Xn;3p?1-fl*mv zr{N-ADoW~Poa^x%!kh}YsjaNbuOjHv`OqnhRobWs&cV!psc;F+a@X#=D%$^0?gkF~ z^uhtzu((@%PROI^;Q_5;9w(7rG$AKUf&381EzpA`y~_eFyc|YmC?6DbDah`E z?V;hsz_XW6ueW&cOyXYap;lz$_Y=dDh6qDHG*DGCU5KPApV(bIa30RuRw_^?6qHn+ ztj*LwIFB4e^0AP?EpeE5Vf9?=A)G%vQQIt!&>bQW$^wa~5S_|--C75VoA^Ee1(i32 zqU(pI0d0nS;25$5D{>N%L=V>lMQqbRdn6)_)S8qFP#NDShvWfzu9-KJeqa=W2g0fW z1p6ZNkktarVKoBkdJ(a|910?ln7*;yCy_dWl*f;RQQfJe1o_gYtqR@*%Rpx;^_|em zQikP}RtT#p=WrQn1Xb_8SU#UGGqe$t3xNpA{EKkG3w;1_#nB|&lIbGx*49?FYXClS z87rgZR4&pEf=D|Iv^RpvF{nC?@z!1UJqWTaguQO<7#b;$IiZiCZkecUi@2x4E-UW4 zIAR6`0;qsVW_DVhgtGLFLbrG`A|!JRi6a`B5ad}jG#8&xydzmx ztx+_ur06cDVxOFgP(h82mlCLNgq=63@9fiX{VvbkfINx%DKrKA&D07UBl}}u_eK%` z8S1lE#ywZ}D-aUDYkNJgTI>HYvItSq8D4ippyA7eN)B+ACD0^rMJ{&H-?3o`Dv20* zdZ_R_D7;PTiI&ruC4lNdiqM~cS1`y-_Cl-rp^xGqNJR{T2-?7-QR}okHZ+=bNn;q$ zdc_CzJy3&no_4>Os>GW|a*CE@GpC(*L0g@h=*RPvo3GFhmCUPD{Wbdh9{pILCA0M7 zC-73vkI2Kx8G=O36RMwE!CFypE-M(99om0c?Ojp(E+^J|(5tHsv}Uu@o+j|>G%Arf zw-R{un>zP9G^Wm_d|jREyr~YKuga97%6u0c){0KJdNx9Ouh(Vttd5YBt5HZ+zvH`w zn5w~>YlFq=nIVWX`c$>)*bsb}@GvHyu~>(d&mcU4RmwjOK*MmL4qb>m3UMa zqKY9TC~r@w6XlIDm(@gheayNY@?0+LBgYt=ERw%(P}&ny#Ih z9#8kIBru72_>fKW^!Rv$fO(lf_>vMnEPJAa>h)m6W)|L^~(e^qx^*F4bw=pR3J7X25DRNQjgcWT~v!>!m}C)mIX z>UP8LJkq)BRh?IM_69>OYsqult+L$-&H|!bb!wHC-RRugsh$-KdUn}&+=j$g2dahY z;Jux_s2uPezh=j(f*o`1X47r?cEzk&jm5UL2&o(nbpJ_vnak}Bday%u(ERqG(6m6n z?^L%|*H!z1LPT#S=&v~qyED_Cw}N5I_gl_<+lK(IMxRshKsgvJ*DTLNA^sj{SoQF) z4V89Noy=S1(=B^}W7@%>Z!PkwPIaVeR5x;o)m_0Y6Lq`dSQ8b;JAKu}lG{2xQL)cV z%sc*kyL{UACz>mZ+>YK@-EtZeFzbX=c)aC-`|jKO+w)L+2+CNCEvs3L8|v6>biL_? z7V}K2VcKWx*4SdpU2+fdP zskqr93WCwumJ7?&>s(!FKB3SWwTj$}A!WZlIqu$@LO78eBKK^ZZ`W$JU#vNBn&=dY zEd0l7ijHsBW7WZ?1Jo_%$}Oh}XY&ZZQs`B^lg>vIAVvI6Fu6X%KySIzq8FB2wD5md(@OR}27fg9zzC90|$#nYxq`dlkZN=Ps z#%eik+q*1j)Q?4kdqEZNkAcd^^QZ{kTLAuzJa8uOp#$DZz3IC?vTt`6`dmaehEOa& z2f=@uCs?rD3h*E2fiqd|DII7p+LmYDT=o6tzKIEoPRARzzrR#WGXERSoR5w}7-DRU zV;ERtd4;O0qd_5TGnQ+4()?x?(V(BkDS2s7fP{9-=>*$S#3&sWx0ZHwHEDo zf(y>>rB0}fNbx}-)U;!XWFISu(!L~7{oi~9_+cNY8y;53b9b5JqSulpc2)~Tb@WRGSIE|Pa@R={7p zGe<1+`tvC8cjwq8ze^u<-DKE3j7CM5=G7|XsK#J{hEx%9=YZv^20k<7h7PuH8*l`- z21%~(Ra|2r#hB_1_I?cpg7Ho;evbw|lW}44N^|mxE0T0Sq38;P;!2eU`&|v$g6p~9 z`a2r#?#E%P_-K2IEj^D$)Eim)D(WLJE3`#(*)N$uUN5q8Xg5xvbqv zjdAC!m&ry#RJc*C!OjIpcMh=&Y|qxfXNKFRNq1qv?tOE@#uVjloZ?LogH34g5Zz=q zcweD`&*VKk>DDSo+8%$TL@8X}HM2vCbsVKQ6To0cG&l&(d%*di20oMX#!0tccN^xE z<293{e?gJ%f+^O7Fxb5sEClQ4f%Qu?@R_XpCf)mzY+H&gRV$WMV=!Mss$h9OST;5A znJmr8)(UO_Yvx|3QLc@{#=hOcJWZ4*?_=3RN(F&g`&y+RU1qS?Yw#8Nnb7Zb8u(28 zE-pbq%sguz+3wDaJAVErw z1n;9pV;MX531x45M4F(4GKHwIh)Z@oLm0=Z+rp3>xsUqx3J zclu>IUBgXZhPd!%U)?2$GJc02ww3VG*K#-tFNv$+Byo4I$Vm4*MXkl$qweYzdVj(( zg|I5-7gdsS%QIX;w_8G?dTzN8Zh10C_sbut@s zY71ZBLbzdT)K??}>4o*wPRt8CdeBWcVO=F=e>zE-{%qcCx9LMkdZxb!rvK^xtLgg> zS*_&rZT5o5&ai1%uktKa8SLsD%W}0up1l}6$2IVod3Ny7dqP9D2%gKp^>;P!nZa|Ybok|X^R0Zq&thYZ z8CH*(2h5XJ9l&Ofcb7>`zZz*8i?QJR96@0lqrm_POoZUqbJP?e7+o9>!ni&%2){<{ z^$x=L1<5JIfX!=TVJHhyf%t7MqB{^HsiVOqdRCRup?d)WB9x&Q?3V-ol^o0F2m2AL z?7OXG2n_YBV2=<|9Y&P~+Xzh7JcP>@!F~m}4r<^tgMHwL)hMqdshWyv1W-(=&R`d6 zNES@51k>kf;4_(CTsmSm8g|q#J-mEdp!3YsenX^4tlKeB#wX@slZmc%a}HI}wZzrv zTj%lw-;0y%UoWIaWBqGam|%Kcl>p#@{(XPUjmtMi;bbBcjt>>k2c*)MuJ=aTvN3cE%Ym1V-|7~bE z8kHqP^?#~0*gG_&i~f(bL+nuvd}jZ@sC1-d+eZ#hw)^ON1DXD zzbK=y5-lde>f-|Gf5nkF@V$qd+ z`_BG=3+fK7P_|xZy#UVoXpa85p|$=<>OIY0qs=I)c&!R3sxa7p*N`a!>MC&jOAUNx zK=mCxFq;hhA1IPktyofx!G5SARj|AoEdNmhpUHCj(W>1#?KI52lWi>6oVQ!42ceq= zq-A@dl@0_n*a+~tlZ|TEQ-|TBQ>It7Dt0Sc0;(SMU!=IlQHnDG40eeI2VwVX z!TACWd?x3@(U$9;NzIJ*DZT=sxKgFTZq|@3xLyaYH)`NBx!zp5)$&f;HFeLjw6ru{ z4a=fOmZ?YM(Iu&9x+BssmNSEkGz5fQ3D2aXhE)%zSCpr6loTmdUXFx%PITLx&_<@z zIchVOQqPmKjl?CXq#79~mQ)8-QEIea;DWkSY9xC!IB#vs%E-t9AppX*^wRV7z#q@C zWo~-zzjbPEDw+FUhgPEm9o9?wjH(RwkcM0l*f)UZgBtkEz`mw*>s-?=ORrY3TpJ6? z7J1J~Q~%A4@Ga`i3IOYZXVTFxa;=WD1V^!0~T2@R=O@Z?n!MS9G)g zt5}BhiYHa!Wq^iU!Sg2Y{AUe(CeLBcv*-G0wxb{&A4e(91TferaJsXWo56XT20oMX zz!W|oNrk}Wif#l@OsURbS87NW)_oC}?$y9&GVPz5IyIe4=LZzguwL<`DuYdG$Q3;I zgXb+8_)MOIQ|=;OfTvc*-L0rfh+<2%279T7biwu(usx-L&t$u+G}Ts%NBM-J*0$Do z0qjN^#0MEshC!weEvall^C3Tno)}{2QVcNLV{@U1ha5`oqqbs0$*3GL_LZny9OXCi9156TWEA&M>4 zVg(~Wx&!kOu-&SG&tzMeaq2BMW!TFUS%FYosS>LeHDn9R9tGD+HSn2SHy)p7{JYsR z_Sj_7x-V9QyI_hnA+T;ygN0yyD_HN>!0WQUEy?<>o~&CQikp zFRM{8!}{yPdj8Cv1Fm0VI`{ENzu0sx*p(q6^dsIUh9p#9psC&`a+DKOJ#jT=dt0K} zp3p*0^?sY$icR&lr!@}Q$a!9YH!^lzY^p~kCND|N_CCkk@1E^NBJrpMAEsAKrJN{$ z|00ZB{TS@gu(z<+^{Pp-*MECX_QFE1=#5^NSJ$>!^hU1>oqV7Y+U)w2&3H-5X3wr= zGrbw|H0<}W9F=ot$QPDo-NuTFZa(jCqJ-A1IBu_Zu7exG!95n_OqAiCrJpyL@XRJ$ zk~P$eNDi-sU*vo7Bp>OXA!;%f(6RU8$%~R+>gO89d?e0O9@@o)bVqe4SIbV{&%(`bzSg98ZH=tc{4-+9&Hl<2~y--88$fn1^^*RlFW;Pw3bv?iL`SFKTXT zS={}KuRtiSRB5nZ)Q~N>o&eXEYv41v-dUPm=~@v_y_1T>ID7T@G7S;e;NR#gj`y{8@}Gqv&V6 z9&r>h(94^1z`rHO__=xW{L+cT2aiv68MtN7yEVR+sK@)B&qE+jsIdrQqKv=qq1F-+ z@?;K45h2mV2oSNNm%CfCk@4{bYA+TaYDI6?1^W}>F+felMl@NF8q)rXi|7uHP^zA5 zPQo>RlB0F5Ys}IKZ0{t#kO?)b$n|(VeDfnnO!`KY@yDcSF5#J<=5Q3Ap-bTx@flN+ zjC9S9slk|Q)Mre|Ym(mSYk{8XxzTCLGnJ63 zoTq~DPA;T7Jw$RxgVEl`lyR^Y0Im+(&Ttk6E3GaqlTjOvf;h()wgmikedxBN^{uLvZ@qZbo^?2 zp8SNbGuthz;>=GFX6!(Z`PX^F>G_u^<8x!WGU2x8;hL=3fN)!MF&uZXa9kEU>Azjn ze$0QDYO0rTJ9b^4F)3lm%w;Q1D~1v3v)BaadVv_uU+0P4qT_GN{C`hwFbLEL%PU&3t)SV20oK*|EXKki@K*2 z)v#Xiq$-2WXvh^j7s2y34SXigt*0EXjZK(n*VXZv!zYttUs-WZV-80>xxCW5&G=F1xROy-5V?`Yet)C)=am?8?#_PS`gngymr|)-Ob-ittCdHU|5M1_43%H1LmW z;M0WHPMSd>+|0fcth=02{ZzCcKi@;1w!UxAtE+?2*cKKvc!fXLpyu6ifE=AYH9YgA zJA|gAA5DSt{EkNF2S#N->i@ihvu--n<{PO3_o_^Y9bzrz2apJ>qeJp$~k&YqN4 z5I^j0U!4|v=wjgsy)#mKQYusgm-Cg0{7w_I6m!BX-R3lyH7s7|Fn)$L zBxTp-?{_EYoFmt=NAU9(7Mm0Ydv#Tloi#K?Vs5o=Q?^&c+^P^=joH<1KD%1WmU3eC z6LMc{Vs(Cw6GEQyu~Z>+Q*2hHN>cNxZCs1)NpU0}kK*84rF3|Tu!-u`lC4WM13=hw zfZ|29Ol!9;)--2yam<=M<1NFQIf0t6<^VMxGo~y^+49;9TMoyfUv}^yUMelC(yQ1q zU);-ZM~UQrAMii=H|Xf2hff?d_tI;$sDI*@R8lX8RcCs*db1i*$+|+ZXL6JYf;*P4@a#+dPTIB+@H#EJ>+ei&T%Nkmu{k z5dmKaStTO=z8r;R0*S6h$Qw}t>7kYk_y#o_OCNhOt&s@#LfCXH-c?B|;9tc>btjKV z`e?An%rvqLmpmXMBWzQzTlm1U9K&+Td6Bg9wz*kzFaP+^oWsLZyJgPep+kDv(fc4P z>KJQYB6VeiHrNL=2#S8*hC+{N;PVukEKRR0R{idm<#j6R>J_)1oSxdZZ`$&(h3UNI zVVTAPeqPDuKVOyV8lQ}mk9Um=a^=Wg5fV!DiYIb(mAxXm8oeUE=1OTKJH)4`#aM^v zUUQ|cNIsk>gjMmrAWKqx;Ylu~yDvn7M}rH)zL05J86;Y01Vz}oUavR<{C~_btWK|Z zQEA#b-90C)qd-pVx*};hj5n`cE@KU!o8Erp`(PAKp4D99`FavW4E1w9i3s|q97RP0 zh1bR=68v>xN*x(Se^1TE!l-*%mbx$*P5m4ZizRWMilqL{sYr@sj|Mx#NJ^Vlh0mH0 z#6Z}NUd${3|G#ofsS`6dm+*338U#A&a2$R)5%GGs2u6(FLe z5Hd-`%jMkO8PDfLyojq48AquiL*-IxF%~M_8An}_jFCcE6^jy8l8Tdk={Si5j|RJh z5$zr}Rk4x@ga5_@obo!i)5`)PWTt6Qb|c5G}^k72sc@ zf$uq{u5AtWhnSDEKjM>e3 zj$_W-GSTl&x1*mRz^@B-6)Ky)Hni!h`9II;Ny#tCE9gnd{n<}Sb{xPSESQO7t@nbn zXf8N4@l&5_pQlH8i{Z19o^VB)kOq4jF39T6+&3Z+ei6QTL<7$Q;muvDbw4fHj)%fk z>{P{fQVRF;OFi@XsM4bsTB$)mgZ;V&EuqFQf!;?n@Of$!@T+1y)_#6gQ5OhBmnseR zM;hV<-3LJT_cic&bO$Ce`lr@){a3{{0w}UnhaKQFgbT8-0@<%?;Pc4#P0r1Q8BE3Y z-z%zAt%y<$Hi6R+EQr1uM8B_r&m(&FA$#7z56Pla`uNDCcdy(qB70?Tyi$dr*b5F< z=ypr|NGB_3;PX@&KIAMc^j6?}r9d2|XcGY2!D-MC9(XNi@6o{L(e68RGPMoF^@=Z5 zE230`z2Gzi3!)E#=(QU7Jfd5V+P;rpWH!qd)~>jVsiZfpSf{Z{1%hFBG7U;Xg?|SX zZr8x)sW5W1(QejUKT6xl9$HZfB$0|ffef~wK}XPk9q7+%;PdEj!e)aA@%X5&(#tCp z`EHz|P7s5=QiF=1z6$Cq8u&cw{rq+~Y45ix%3-~tN>v8yXowb69|F}kYvA*!Zoc*8 z$yxdJK$7!^73Ty{ktdA7eocdnApbDPe@FwLNB*2+Gsng}e+A#tWzDmGPie8%6-pff z8|)7>=m~XR4|Sf zY2fqJ8J%+QRL*Z!GM)21rOg@_C}jw1u)otFCzN>ul=-d(K2Mn;J|F8jiyzn`=L<2A zB2D$!l^!tNNx~aJx=#b2NBX>J*J<$oC+LO1UCwD|jeNRWDUorJQi|}{z+Qu-@Wh*- zl%avoQ)*y(W$untcD+U^5CIffs>2uH8o~wHH-qd|8u&c2+h-P?#&Tu{xJ@zdg;r`1 z5FdqW&=P9A1!~-?fzMN8WcK)}F?^_xsf67~-^f}@g(OnZClJ05*PtWlzZLY08u&c= z11Io;BehO;S+R})iY(RP191)Eg6tz8dqxAFM^=7=NRI~8l;=%~u7oJUREsZ*H3$g8 z9T0wl20o8){|S38wPNgX#Wt*0RH@2fzp5cxP<<3sKcIonqq^mUJC6zR5%Jn5Ip6t= zVx5921qfxZ|D-`kDDdy0z^63uc?yi2n4LEHroO#z{r+6>Pa+k40vYT-YtRw&-v;_m zY2fqd??mRl(zI%xYf=`+_knlt-?U8n;aR1}nwKbr2#qKD8U%$xZ-+wP(ZJ^^v}5kR zwj(Au_|(Yn@yo62x5^1ZPq5?we1T#b)+?%1g`eEh5G|spK|JE?;T@~ zD#CG;qD=t&_MQd}LHk{xeOLpZM|;OzPNU*3d3Z(ZJ^^(sy_IF1)RXQ?(*WHTZl;L$DzFZV>e}@Oec0@1C1Vji7;I8rCbSRE4jH zG(-!k?*Y|^HSl><2VQbudMfn}tDl#Kof2Pb(1~LEA+89%BGQm9*ggjQdo}Pq**@2| zSo}?ic)8Q{7HgBs-z$wpPoUJo->_WVNy*P~_}iD{dSCvQDC5o5mhtg%)2~|orPu_h zR=Y)*aNE-lJWl0LKk$yIM%nX=p*{bU|MQ&QVEu`_g5F@gAKc{hGWg70c*Aq0xl~;- z8<-+3wJa~7dDR4!$BOrtc&o$v zTK2-e5`SiopOC|4zU9+Q|EC&(!b?K~dDR;2N z!|MCS^0-y0H1HjayccB2A+6qjM4&LbVMZacW~XSH`2e`f^+r%`hncC1bIP0lBL zS$W=?j#LJsg|Gh;*CZ!0dxL(W8}xa8r4tO|IEMB*cJ(5x8jn^2pewO&bAbkXrbiob zDE-D0Zy*>_YvzL?Sft|CEvM08KR^q?APW4Irrinp2)q&J_Hq+DJv)A9m8zGlRs(z$X)19(T0%2$`Ij(kjR*6m$JV_8`5bPX~a4C zhkYk@8QZ!|`ejR^k0=u4jqO0Dyutp7TPNlVXuqZ}MtZc+(-&+VkX=sL%?n~q;9`O` zoxlb;d{}kyOp~u0SDFSm>0#=%$AtJhbM8oyB)gKMKZAu}`*;$ z4*z@YW)nXiha&tk6^F)BnTchVe6P20^CZ$C|H8xb&6Zt5>a;PCtf1L4vZc9TFPZKF zzq%ggG(^<@AK_}exe302VP44p(H?KaNdDn2lPWg^!+h8q^Tsgj#nuF+2E@$K6(d-z z#U0WCJy)HmYUM*nRvCu|@4iq)>ev0r-qIcG9tOuUZe1_uA+#fVOLg0ZybjW4t?upy6kf&#v=Y$LDBL_RVT3}MYOz7OWVoQjhQ z#f?>O;$&keriivr<8(MWZMSMT+w8mLBHv7q*FxL?c;PFvVEcmW`WW8rSmo{Og3TU2 zdTF%l#pMMTTMM9M#KUOcEaE#D8s*XCuCN3lOC`l6?6OfTV|xkgV#p;%7s!H5Et~g- zVvP^;o!i$3!&R#$PX~f+_Ob(8`Ig`I1ZW@_sMz!EMYK1~d3qWN+f^I}?mT&9>_*7%u1H)QY^7Zu`NkYD5&AvGq|IXJg2k~xS+L%2 zbb=i%yX>|q#fr_xd5Cey(gkEiEGgm^aVo_o5~;J?sTP6}tL?i*RTQju5LBBh?Rv8) z0}qC!0tYeIYT!W(n%P1nux`WS?pH-k&u&-T;xayCo(Z;Mv~)eY7{8LDr0f^ZIGz)R z=$2x|@vQlp4MifBgQ7!JFAp|B84q0`d`^O>iD1R>&C0!|Hr4209r((3WAWsTB z`YTjE$P2^2_p+1dpX?5P_yS+I_&I)9zYgQqzp!Qe!`l4NzaEEm{O|-ne2O2w#}Ci) z!}IWq*K8L*e1so9#1H?#58vm9JMj~i>;ykN$PcgPhcEKO=lP+DpN3*}e)u#$e3BpD zfZwBF5A(xQ*w>nUi64Hz5C6aqmtb>4b|F9fCO`ZJKYWWHp5cd`*dvLZ%@0L>xQ8DG z@yS2y=Z72k;aYxJl zt7Pp}vh*rhd6g`@O4eN^%dV1DSIMHQWX)Bw|dZt&-JN$zrQytyQwr zDp_fjEVN42StZM?l2um8A~R%-RkFk?Sz(nduu9smlIE+V^$ck|)2^P+b>z`i-AJK@ zkB9%I`lB$YTpn2zR6pi_QBeK1461MNzbL3iWl+6W2Gw`?UldeRGN|sCLG@Yw7X{U# z462XwzbL3)%Oi_|>hm(FzRUljpxP~i>ccXq{+jJD5$REe^F4qS_ajZ_+J!M12U*?;(t+4@dq&!R5TH9 z2b<$rl{)%n+_BO4!Si=m!bd)V3o}Z*B*Y;zBDdDDN3KlN1U)-ZDA7Y*Ee;8(bkX*% z_A!ZR`Fz$inrthoIV;$JaaqDfnpO+L84{YuKfK~ed%GNdyNWl;V#=Pw6+R<~22Vaw I#WrXE3JurF=l}o! diff --git a/doc/_build/html/.buildinfo b/doc/_build/html/.buildinfo deleted file mode 100644 index 80bb5c1..0000000 --- a/doc/_build/html/.buildinfo +++ /dev/null @@ -1,4 +0,0 @@ -# Sphinx build info version 1 -# This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. -config: 112cd20c4e5ed038a8485a838741d522 -tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/doc/_build/html/_sources/docs/api.rst.txt b/doc/_build/html/_sources/docs/api.rst.txt deleted file mode 100644 index a6b242d..0000000 --- a/doc/_build/html/_sources/docs/api.rst.txt +++ /dev/null @@ -1,354 +0,0 @@ -.. -*- mode: rst -*- - -===================== -The full Pygments API -===================== - -This page describes the Pygments API. - -High-level API -============== - -.. module:: pygments - -Functions from the :mod:`pygments` module: - -.. function:: lex(code, lexer) - - Lex `code` with the `lexer` (must be a `Lexer` instance) - and return an iterable of tokens. Currently, this only calls - `lexer.get_tokens()`. - -.. function:: format(tokens, formatter, outfile=None) - - Format a token stream (iterable of tokens) `tokens` with the - `formatter` (must be a `Formatter` instance). The result is - written to `outfile`, or if that is ``None``, returned as a - string. - -.. function:: highlight(code, lexer, formatter, outfile=None) - - This is the most high-level highlighting function. - It combines `lex` and `format` in one function. - - -.. module:: pygments.lexers - -Functions from :mod:`pygments.lexers`: - -.. function:: get_lexer_by_name(alias, **options) - - Return an instance of a `Lexer` subclass that has `alias` in its - aliases list. The lexer is given the `options` at its - instantiation. - - Will raise :exc:`pygments.util.ClassNotFound` if no lexer with that alias is - found. - -.. function:: get_lexer_for_filename(fn, **options) - - Return a `Lexer` subclass instance that has a filename pattern - matching `fn`. The lexer is given the `options` at its - instantiation. - - Will raise :exc:`pygments.util.ClassNotFound` if no lexer for that filename - is found. - -.. function:: get_lexer_for_mimetype(mime, **options) - - Return a `Lexer` subclass instance that has `mime` in its mimetype - list. The lexer is given the `options` at its instantiation. - - Will raise :exc:`pygments.util.ClassNotFound` if not lexer for that mimetype - is found. - -.. function:: load_lexer_from_file(filename, lexername="CustomLexer", **options) - - Return a `Lexer` subclass instance loaded from the provided file, relative - to the current directory. The file is expected to contain a Lexer class - named `lexername` (by default, CustomLexer). Users should be very careful with - the input, because this method is equivalent to running eval on the input file. - The lexer is given the `options` at its instantiation. - - :exc:`ClassNotFound` is raised if there are any errors loading the Lexer - - .. versionadded:: 2.2 - -.. function:: guess_lexer(text, **options) - - Return a `Lexer` subclass instance that's guessed from the text in - `text`. For that, the :meth:`.analyse_text()` method of every known lexer - class is called with the text as argument, and the lexer which returned the - highest value will be instantiated and returned. - - :exc:`pygments.util.ClassNotFound` is raised if no lexer thinks it can - handle the content. - -.. function:: guess_lexer_for_filename(filename, text, **options) - - As :func:`guess_lexer()`, but only lexers which have a pattern in `filenames` - or `alias_filenames` that matches `filename` are taken into consideration. - - :exc:`pygments.util.ClassNotFound` is raised if no lexer thinks it can - handle the content. - -.. function:: get_all_lexers() - - Return an iterable over all registered lexers, yielding tuples in the - format:: - - (longname, tuple of aliases, tuple of filename patterns, tuple of mimetypes) - - .. versionadded:: 0.6 - -.. function:: find_lexer_class_by_name(alias) - - Return the `Lexer` subclass that has `alias` in its aliases list, without - instantiating it. - - Will raise :exc:`pygments.util.ClassNotFound` if no lexer with that alias is - found. - - .. versionadded:: 2.2 - -.. function:: find_lexer_class(name) - - Return the `Lexer` subclass that with the *name* attribute as given by - the *name* argument. - - -.. module:: pygments.formatters - -Functions from :mod:`pygments.formatters`: - -.. function:: get_formatter_by_name(alias, **options) - - Return an instance of a :class:`.Formatter` subclass that has `alias` in its - aliases list. The formatter is given the `options` at its instantiation. - - Will raise :exc:`pygments.util.ClassNotFound` if no formatter with that - alias is found. - -.. function:: get_formatter_for_filename(fn, **options) - - Return a :class:`.Formatter` subclass instance that has a filename pattern - matching `fn`. The formatter is given the `options` at its instantiation. - - Will raise :exc:`pygments.util.ClassNotFound` if no formatter for that filename - is found. - -.. function:: load_formatter_from_file(filename, formattername="CustomFormatter", **options) - - Return a `Formatter` subclass instance loaded from the provided file, relative - to the current directory. The file is expected to contain a Formatter class - named ``formattername`` (by default, CustomFormatter). Users should be very - careful with the input, because this method is equivalent to running eval - on the input file. The formatter is given the `options` at its instantiation. - - :exc:`ClassNotFound` is raised if there are any errors loading the Formatter - - .. versionadded:: 2.2 - -.. module:: pygments.styles - -Functions from :mod:`pygments.styles`: - -.. function:: get_style_by_name(name) - - Return a style class by its short name. The names of the builtin styles - are listed in :data:`pygments.styles.STYLE_MAP`. - - Will raise :exc:`pygments.util.ClassNotFound` if no style of that name is - found. - -.. function:: get_all_styles() - - Return an iterable over all registered styles, yielding their names. - - .. versionadded:: 0.6 - - -.. module:: pygments.lexer - -Lexers -====== - -The base lexer class from which all lexers are derived is: - -.. class:: Lexer(**options) - - The constructor takes a \*\*keywords dictionary of options. - Every subclass must first process its own options and then call - the `Lexer` constructor, since it processes the `stripnl`, - `stripall` and `tabsize` options. - - An example looks like this: - - .. sourcecode:: python - - def __init__(self, **options): - self.compress = options.get('compress', '') - Lexer.__init__(self, **options) - - As these options must all be specifiable as strings (due to the - command line usage), there are various utility functions - available to help with that, see `Option processing`_. - - .. method:: get_tokens(text) - - This method is the basic interface of a lexer. It is called by - the `highlight()` function. It must process the text and return an - iterable of ``(tokentype, value)`` pairs from `text`. - - Normally, you don't need to override this method. The default - implementation processes the `stripnl`, `stripall` and `tabsize` - options and then yields all tokens from `get_tokens_unprocessed()`, - with the ``index`` dropped. - - .. method:: get_tokens_unprocessed(text) - - This method should process the text and return an iterable of - ``(index, tokentype, value)`` tuples where ``index`` is the starting - position of the token within the input text. - - This method must be overridden by subclasses. - - .. staticmethod:: analyse_text(text) - - A static method which is called for lexer guessing. It should analyse - the text and return a float in the range from ``0.0`` to ``1.0``. - If it returns ``0.0``, the lexer will not be selected as the most - probable one, if it returns ``1.0``, it will be selected immediately. - - .. note:: You don't have to add ``@staticmethod`` to the definition of - this method, this will be taken care of by the Lexer's metaclass. - - For a list of known tokens have a look at the :doc:`tokens` page. - - A lexer also can have the following attributes (in fact, they are mandatory - except `alias_filenames`) that are used by the builtin lookup mechanism. - - .. attribute:: name - - Full name for the lexer, in human-readable form. - - .. attribute:: aliases - - A list of short, unique identifiers that can be used to lookup - the lexer from a list, e.g. using `get_lexer_by_name()`. - - .. attribute:: filenames - - A list of `fnmatch` patterns that match filenames which contain - content for this lexer. The patterns in this list should be unique among - all lexers. - - .. attribute:: alias_filenames - - A list of `fnmatch` patterns that match filenames which may or may not - contain content for this lexer. This list is used by the - :func:`.guess_lexer_for_filename()` function, to determine which lexers - are then included in guessing the correct one. That means that - e.g. every lexer for HTML and a template language should include - ``\*.html`` in this list. - - .. attribute:: mimetypes - - A list of MIME types for content that can be lexed with this - lexer. - - -.. module:: pygments.formatter - -Formatters -========== - -A formatter is derived from this class: - - -.. class:: Formatter(**options) - - As with lexers, this constructor processes options and then must call the - base class :meth:`__init__`. - - The :class:`Formatter` class recognizes the options `style`, `full` and - `title`. It is up to the formatter class whether it uses them. - - .. method:: get_style_defs(arg='') - - This method must return statements or declarations suitable to define - the current style for subsequent highlighted text (e.g. CSS classes - in the `HTMLFormatter`). - - The optional argument `arg` can be used to modify the generation and - is formatter dependent (it is standardized because it can be given on - the command line). - - This method is called by the ``-S`` :doc:`command-line option `, - the `arg` is then given by the ``-a`` option. - - .. method:: format(tokensource, outfile) - - This method must format the tokens from the `tokensource` iterable and - write the formatted version to the file object `outfile`. - - Formatter options can control how exactly the tokens are converted. - - .. versionadded:: 0.7 - A formatter must have the following attributes that are used by the - builtin lookup mechanism. - - .. attribute:: name - - Full name for the formatter, in human-readable form. - - .. attribute:: aliases - - A list of short, unique identifiers that can be used to lookup - the formatter from a list, e.g. using :func:`.get_formatter_by_name()`. - - .. attribute:: filenames - - A list of :mod:`fnmatch` patterns that match filenames for which this - formatter can produce output. The patterns in this list should be unique - among all formatters. - - -.. module:: pygments.util - -Option processing -================= - -The :mod:`pygments.util` module has some utility functions usable for option -processing: - -.. exception:: OptionError - - This exception will be raised by all option processing functions if - the type or value of the argument is not correct. - -.. function:: get_bool_opt(options, optname, default=None) - - Interpret the key `optname` from the dictionary `options` as a boolean and - return it. Return `default` if `optname` is not in `options`. - - The valid string values for ``True`` are ``1``, ``yes``, ``true`` and - ``on``, the ones for ``False`` are ``0``, ``no``, ``false`` and ``off`` - (matched case-insensitively). - -.. function:: get_int_opt(options, optname, default=None) - - As :func:`get_bool_opt`, but interpret the value as an integer. - -.. function:: get_list_opt(options, optname, default=None) - - If the key `optname` from the dictionary `options` is a string, - split it at whitespace and return it. If it is already a list - or a tuple, it is returned as a list. - -.. function:: get_choice_opt(options, optname, allowed, default=None) - - If the key `optname` from the dictionary is not in the sequence - `allowed`, raise an error, otherwise return it. - - .. versionadded:: 0.8 diff --git a/doc/_build/html/_sources/docs/authors.rst.txt b/doc/_build/html/_sources/docs/authors.rst.txt deleted file mode 100644 index f8373f0..0000000 --- a/doc/_build/html/_sources/docs/authors.rst.txt +++ /dev/null @@ -1,4 +0,0 @@ -Full contributor list -===================== - -.. include:: ../../AUTHORS diff --git a/doc/_build/html/_sources/docs/changelog.rst.txt b/doc/_build/html/_sources/docs/changelog.rst.txt deleted file mode 100644 index f264cab..0000000 --- a/doc/_build/html/_sources/docs/changelog.rst.txt +++ /dev/null @@ -1 +0,0 @@ -.. include:: ../../CHANGES diff --git a/doc/_build/html/_sources/docs/cmdline.rst.txt b/doc/_build/html/_sources/docs/cmdline.rst.txt deleted file mode 100644 index e4f94ea..0000000 --- a/doc/_build/html/_sources/docs/cmdline.rst.txt +++ /dev/null @@ -1,166 +0,0 @@ -.. -*- mode: rst -*- - -====================== -Command Line Interface -====================== - -You can use Pygments from the shell, provided you installed the -:program:`pygmentize` script:: - - $ pygmentize test.py - print "Hello World" - -will print the file test.py to standard output, using the Python lexer -(inferred from the file name extension) and the terminal formatter (because -you didn't give an explicit formatter name). - -If you want HTML output:: - - $ pygmentize -f html -l python -o test.html test.py - -As you can see, the -l option explicitly selects a lexer. As seen above, if you -give an input file name and it has an extension that Pygments recognizes, you can -omit this option. - -The ``-o`` option gives an output file name. If it is not given, output is -written to stdout. - -The ``-f`` option selects a formatter (as with ``-l``, it can also be omitted -if an output file name is given and has a supported extension). -If no output file name is given and ``-f`` is omitted, the -:class:`.TerminalFormatter` is used. - -The above command could therefore also be given as:: - - $ pygmentize -o test.html test.py - -To create a full HTML document, including line numbers and stylesheet (using the -"emacs" style), highlighting the Python file ``test.py`` to ``test.html``:: - - $ pygmentize -O full,style=emacs -o test.html test.py - - -Options and filters -------------------- - -Lexer and formatter options can be given using the ``-O`` option:: - - $ pygmentize -f html -O style=colorful,linenos=1 -l python test.py - -Be sure to enclose the option string in quotes if it contains any special shell -characters, such as spaces or expansion wildcards like ``*``. If an option -expects a list value, separate the list entries with spaces (you'll have to -quote the option value in this case too, so that the shell doesn't split it). - -Since the ``-O`` option argument is split at commas and expects the split values -to be of the form ``name=value``, you can't give an option value that contains -commas or equals signs. Therefore, an option ``-P`` is provided (as of Pygments -0.9) that works like ``-O`` but can only pass one option per ``-P``. Its value -can then contain all characters:: - - $ pygmentize -P "heading=Pygments, the Python highlighter" ... - -Filters are added to the token stream using the ``-F`` option:: - - $ pygmentize -f html -l pascal -F keywordcase:case=upper main.pas - -As you see, options for the filter are given after a colon. As for ``-O``, the -filter name and options must be one shell word, so there may not be any spaces -around the colon. - - -Generating styles ------------------ - -Formatters normally don't output full style information. For example, the HTML -formatter by default only outputs ```` tags with ``class`` attributes. -Therefore, there's a special ``-S`` option for generating style definitions. -Usage is as follows:: - - $ pygmentize -f html -S colorful -a .syntax - -generates a CSS style sheet (because you selected the HTML formatter) for -the "colorful" style prepending a ".syntax" selector to all style rules. - -For an explanation what ``-a`` means for :doc:`a particular formatter -`, look for the `arg` argument for the formatter's -:meth:`.get_style_defs()` method. - - -Getting lexer names -------------------- - -.. versionadded:: 1.0 - -The ``-N`` option guesses a lexer name for a given filename, so that :: - - $ pygmentize -N setup.py - -will print out ``python``. It won't highlight anything yet. If no specific -lexer is known for that filename, ``text`` is printed. - -Custom Lexers and Formatters ----------------------------- - -.. versionadded:: 2.2 - -The ``-x`` flag enables custom lexers and formatters to be loaded -from files relative to the current directory. Create a file with a class named -CustomLexer or CustomFormatter, then specify it on the command line:: - - $ pygmentize -l your_lexer.py -f your_formatter.py -x - -You can also specify the name of your class with a colon:: - - $ pygmentize -l your_lexer.py:SomeLexer -x - -For more information, see :doc:`the Pygments documentation on Lexer development -`. - -Getting help ------------- - -The ``-L`` option lists lexers, formatters, along with their short -names and supported file name extensions, styles and filters. If you want to see -only one category, give it as an argument:: - - $ pygmentize -L filters - -will list only all installed filters. - -The ``-H`` option will give you detailed information (the same that can be found -in this documentation) about a lexer, formatter or filter. Usage is as follows:: - - $ pygmentize -H formatter html - -will print the help for the HTML formatter, while :: - - $ pygmentize -H lexer python - -will print the help for the Python lexer, etc. - - -A note on encodings -------------------- - -.. versionadded:: 0.9 - -Pygments tries to be smart regarding encodings in the formatting process: - -* If you give an ``encoding`` option, it will be used as the input and - output encoding. - -* If you give an ``outencoding`` option, it will override ``encoding`` - as the output encoding. - -* If you give an ``inencoding`` option, it will override ``encoding`` - as the input encoding. - -* If you don't give an encoding and have given an output file, the default - encoding for lexer and formatter is the terminal encoding or the default - locale encoding of the system. As a last resort, ``latin1`` is used (which - will pass through all non-ASCII characters). - -* If you don't give an encoding and haven't given an output file (that means - output is written to the console), the default encoding for lexer and - formatter is the terminal encoding (``sys.stdout.encoding``). diff --git a/doc/_build/html/_sources/docs/filterdevelopment.rst.txt b/doc/_build/html/_sources/docs/filterdevelopment.rst.txt deleted file mode 100644 index fbcd0a0..0000000 --- a/doc/_build/html/_sources/docs/filterdevelopment.rst.txt +++ /dev/null @@ -1,71 +0,0 @@ -.. -*- mode: rst -*- - -===================== -Write your own filter -===================== - -.. versionadded:: 0.7 - -Writing own filters is very easy. All you have to do is to subclass -the `Filter` class and override the `filter` method. Additionally a -filter is instantiated with some keyword arguments you can use to -adjust the behavior of your filter. - - -Subclassing Filters -=================== - -As an example, we write a filter that converts all `Name.Function` tokens -to normal `Name` tokens to make the output less colorful. - -.. sourcecode:: python - - from pygments.util import get_bool_opt - from pygments.token import Name - from pygments.filter import Filter - - class UncolorFilter(Filter): - - def __init__(self, **options): - Filter.__init__(self, **options) - self.class_too = get_bool_opt(options, 'classtoo') - - def filter(self, lexer, stream): - for ttype, value in stream: - if ttype is Name.Function or (self.class_too and - ttype is Name.Class): - ttype = Name - yield ttype, value - -Some notes on the `lexer` argument: that can be quite confusing since it doesn't -need to be a lexer instance. If a filter was added by using the `add_filter()` -function of lexers, that lexer is registered for the filter. In that case -`lexer` will refer to the lexer that has registered the filter. It *can* be used -to access options passed to a lexer. Because it could be `None` you always have -to check for that case if you access it. - - -Using a decorator -================= - -You can also use the `simplefilter` decorator from the `pygments.filter` module: - -.. sourcecode:: python - - from pygments.util import get_bool_opt - from pygments.token import Name - from pygments.filter import simplefilter - - - @simplefilter - def uncolor(self, lexer, stream, options): - class_too = get_bool_opt(options, 'classtoo') - for ttype, value in stream: - if ttype is Name.Function or (class_too and - ttype is Name.Class): - ttype = Name - yield ttype, value - -The decorator automatically subclasses an internal filter class and uses the -decorated function as a method for filtering. (That's why there is a `self` -argument that you probably won't end up using in the method.) diff --git a/doc/_build/html/_sources/docs/filters.rst.txt b/doc/_build/html/_sources/docs/filters.rst.txt deleted file mode 100644 index ff2519a..0000000 --- a/doc/_build/html/_sources/docs/filters.rst.txt +++ /dev/null @@ -1,41 +0,0 @@ -.. -*- mode: rst -*- - -======= -Filters -======= - -.. versionadded:: 0.7 - -You can filter token streams coming from lexers to improve or annotate the -output. For example, you can highlight special words in comments, convert -keywords to upper or lowercase to enforce a style guide etc. - -To apply a filter, you can use the `add_filter()` method of a lexer: - -.. sourcecode:: pycon - - >>> from pygments.lexers import PythonLexer - >>> l = PythonLexer() - >>> # add a filter given by a string and options - >>> l.add_filter('codetagify', case='lower') - >>> l.filters - [] - >>> from pygments.filters import KeywordCaseFilter - >>> # or give an instance - >>> l.add_filter(KeywordCaseFilter(case='lower')) - -The `add_filter()` method takes keyword arguments which are forwarded to -the constructor of the filter. - -To get a list of all registered filters by name, you can use the -`get_all_filters()` function from the `pygments.filters` module that returns an -iterable for all known filters. - -If you want to write your own filter, have a look at :doc:`Write your own filter -`. - - -Builtin Filters -=============== - -.. pygmentsdoc:: filters diff --git a/doc/_build/html/_sources/docs/formatterdevelopment.rst.txt b/doc/_build/html/_sources/docs/formatterdevelopment.rst.txt deleted file mode 100644 index 2bfac05..0000000 --- a/doc/_build/html/_sources/docs/formatterdevelopment.rst.txt +++ /dev/null @@ -1,169 +0,0 @@ -.. -*- mode: rst -*- - -======================== -Write your own formatter -======================== - -As well as creating :doc:`your own lexer `, writing a new -formatter for Pygments is easy and straightforward. - -A formatter is a class that is initialized with some keyword arguments (the -formatter options) and that must provides a `format()` method. -Additionally a formatter should provide a `get_style_defs()` method that -returns the style definitions from the style in a form usable for the -formatter's output format. - - -Quickstart -========== - -The most basic formatter shipped with Pygments is the `NullFormatter`. It just -sends the value of a token to the output stream: - -.. sourcecode:: python - - from pygments.formatter import Formatter - - class NullFormatter(Formatter): - def format(self, tokensource, outfile): - for ttype, value in tokensource: - outfile.write(value) - -As you can see, the `format()` method is passed two parameters: `tokensource` -and `outfile`. The first is an iterable of ``(token_type, value)`` tuples, -the latter a file like object with a `write()` method. - -Because the formatter is that basic it doesn't overwrite the `get_style_defs()` -method. - - -Styles -====== - -Styles aren't instantiated but their metaclass provides some class functions -so that you can access the style definitions easily. - -Styles are iterable and yield tuples in the form ``(ttype, d)`` where `ttype` -is a token and `d` is a dict with the following keys: - -``'color'`` - Hexadecimal color value (eg: ``'ff0000'`` for red) or `None` if not - defined. - -``'bold'`` - `True` if the value should be bold - -``'italic'`` - `True` if the value should be italic - -``'underline'`` - `True` if the value should be underlined - -``'bgcolor'`` - Hexadecimal color value for the background (eg: ``'eeeeeee'`` for light - gray) or `None` if not defined. - -``'border'`` - Hexadecimal color value for the border (eg: ``'0000aa'`` for a dark - blue) or `None` for no border. - -Additional keys might appear in the future, formatters should ignore all keys -they don't support. - - -HTML 3.2 Formatter -================== - -For an more complex example, let's implement a HTML 3.2 Formatter. We don't -use CSS but inline markup (````, ````, etc). Because this isn't good -style this formatter isn't in the standard library ;-) - -.. sourcecode:: python - - from pygments.formatter import Formatter - - class OldHtmlFormatter(Formatter): - - def __init__(self, **options): - Formatter.__init__(self, **options) - - # create a dict of (start, end) tuples that wrap the - # value of a token so that we can use it in the format - # method later - self.styles = {} - - # we iterate over the `_styles` attribute of a style item - # that contains the parsed style values. - for token, style in self.style: - start = end = '' - # a style item is a tuple in the following form: - # colors are readily specified in hex: 'RRGGBB' - if style['color']: - start += '' % style['color'] - end = '' + end - if style['bold']: - start += '' - end = '' + end - if style['italic']: - start += '' - end = '' + end - if style['underline']: - start += '' - end = '' + end - self.styles[token] = (start, end) - - def format(self, tokensource, outfile): - # lastval is a string we use for caching - # because it's possible that an lexer yields a number - # of consecutive tokens with the same token type. - # to minimize the size of the generated html markup we - # try to join the values of same-type tokens here - lastval = '' - lasttype = None - - # wrap the whole output with
    -            outfile.write('
    ')
    -
    -            for ttype, value in tokensource:
    -                # if the token type doesn't exist in the stylemap
    -                # we try it with the parent of the token type
    -                # eg: parent of Token.Literal.String.Double is
    -                # Token.Literal.String
    -                while ttype not in self.styles:
    -                    ttype = ttype.parent
    -                if ttype == lasttype:
    -                    # the current token type is the same of the last
    -                    # iteration. cache it
    -                    lastval += value
    -                else:
    -                    # not the same token as last iteration, but we
    -                    # have some data in the buffer. wrap it with the
    -                    # defined style and write it to the output file
    -                    if lastval:
    -                        stylebegin, styleend = self.styles[lasttype]
    -                        outfile.write(stylebegin + lastval + styleend)
    -                    # set lastval/lasttype to current values
    -                    lastval = value
    -                    lasttype = ttype
    -
    -            # if something is left in the buffer, write it to the
    -            # output file, then close the opened 
     tag
    -            if lastval:
    -                stylebegin, styleend = self.styles[lasttype]
    -                outfile.write(stylebegin + lastval + styleend)
    -            outfile.write('
    \n') - -The comments should explain it. Again, this formatter doesn't override the -`get_style_defs()` method. If we would have used CSS classes instead of -inline HTML markup, we would need to generate the CSS first. For that -purpose the `get_style_defs()` method exists: - - -Generating Style Definitions -============================ - -Some formatters like the `LatexFormatter` and the `HtmlFormatter` don't -output inline markup but reference either macros or css classes. Because -the definitions of those are not part of the output, the `get_style_defs()` -method exists. It is passed one parameter (if it's used and how it's used -is up to the formatter) and has to return a string or ``None``. diff --git a/doc/_build/html/_sources/docs/formatters.rst.txt b/doc/_build/html/_sources/docs/formatters.rst.txt deleted file mode 100644 index 9e7074e..0000000 --- a/doc/_build/html/_sources/docs/formatters.rst.txt +++ /dev/null @@ -1,48 +0,0 @@ -.. -*- mode: rst -*- - -==================== -Available formatters -==================== - -This page lists all builtin formatters. - -Common options -============== - -All formatters support these options: - -`encoding` - If given, must be an encoding name (such as ``"utf-8"``). This will - be used to convert the token strings (which are Unicode strings) - to byte strings in the output (default: ``None``). - It will also be written in an encoding declaration suitable for the - document format if the `full` option is given (e.g. a ``meta - content-type`` directive in HTML or an invocation of the `inputenc` - package in LaTeX). - - If this is ``""`` or ``None``, Unicode strings will be written - to the output file, which most file-like objects do not support. - For example, `pygments.highlight()` will return a Unicode string if - called with no `outfile` argument and a formatter that has `encoding` - set to ``None`` because it uses a `StringIO.StringIO` object that - supports Unicode arguments to `write()`. Using a regular file object - wouldn't work. - - .. versionadded:: 0.6 - -`outencoding` - When using Pygments from the command line, any `encoding` option given is - passed to the lexer and the formatter. This is sometimes not desirable, - for example if you want to set the input encoding to ``"guess"``. - Therefore, `outencoding` has been introduced which overrides `encoding` - for the formatter if given. - - .. versionadded:: 0.7 - - -Formatter classes -================= - -All these classes are importable from :mod:`pygments.formatters`. - -.. pygmentsdoc:: formatters diff --git a/doc/_build/html/_sources/docs/index.rst.txt b/doc/_build/html/_sources/docs/index.rst.txt deleted file mode 100644 index 30d5c08..0000000 --- a/doc/_build/html/_sources/docs/index.rst.txt +++ /dev/null @@ -1,66 +0,0 @@ -Pygments documentation -====================== - -**Starting with Pygments** - -.. toctree:: - :maxdepth: 1 - - ../download - quickstart - cmdline - -**Builtin components** - -.. toctree:: - :maxdepth: 1 - - lexers - filters - formatters - styles - -**Reference** - -.. toctree:: - :maxdepth: 1 - - unicode - tokens - api - -**Hacking for Pygments** - -.. toctree:: - :maxdepth: 1 - - lexerdevelopment - formatterdevelopment - filterdevelopment - plugins - -**Hints and tricks** - -.. toctree:: - :maxdepth: 1 - - rstdirective - moinmoin - java - integrate - -**About Pygments** - -.. toctree:: - :maxdepth: 1 - - changelog - authors - - -If you find bugs or have suggestions for the documentation, please look -:ref:`here ` for info on how to contact the team. - -.. XXX You can download an offline version of this documentation from the - :doc:`download page `. - diff --git a/doc/_build/html/_sources/docs/integrate.rst.txt b/doc/_build/html/_sources/docs/integrate.rst.txt deleted file mode 100644 index 77daaa4..0000000 --- a/doc/_build/html/_sources/docs/integrate.rst.txt +++ /dev/null @@ -1,40 +0,0 @@ -.. -*- mode: rst -*- - -=================================== -Using Pygments in various scenarios -=================================== - -Markdown --------- - -Since Pygments 0.9, the distribution ships Markdown_ preprocessor sample code -that uses Pygments to render source code in -:file:`external/markdown-processor.py`. You can copy and adapt it to your -liking. - -.. _Markdown: http://www.freewisdom.org/projects/python-markdown/ - -TextMate --------- - -Antonio Cangiano has created a Pygments bundle for TextMate that allows to -colorize code via a simple menu option. It can be found here_. - -.. _here: http://antoniocangiano.com/2008/10/28/pygments-textmate-bundle/ - -Bash completion ---------------- - -The source distribution contains a file ``external/pygments.bashcomp`` that -sets up completion for the ``pygmentize`` command in bash. - -Wrappers for other languages ----------------------------- - -These libraries provide Pygments highlighting for users of other languages -than Python: - -* `pygments.rb `_, a pygments wrapper for Ruby -* `Clygments `_, a pygments wrapper for - Clojure -* `PHPygments `_, a pygments wrapper for PHP diff --git a/doc/_build/html/_sources/docs/java.rst.txt b/doc/_build/html/_sources/docs/java.rst.txt deleted file mode 100644 index f553463..0000000 --- a/doc/_build/html/_sources/docs/java.rst.txt +++ /dev/null @@ -1,70 +0,0 @@ -===================== -Use Pygments in Java -===================== - -Thanks to `Jython `_ it is possible to use Pygments in -Java. - -This page is a simple tutorial to get an idea of how this works. You can -then look at the `Jython documentation `_ for more -advanced uses. - -Since version 1.5, Pygments is deployed on `Maven Central -`_ as a JAR, as is Jython -which makes it a lot easier to create a Java project. - -Here is an example of a `Maven `_ ``pom.xml`` file for a -project running Pygments: - -.. sourcecode:: xml - - - - - 4.0.0 - example - example - 1.0-SNAPSHOT - - - org.python - jython-standalone - 2.5.3 - - - org.pygments - pygments - 1.5 - runtime - - - - -The following Java example: - -.. sourcecode:: java - - PythonInterpreter interpreter = new PythonInterpreter(); - - // Set a variable with the content you want to work with - interpreter.set("code", code); - - // Simple use Pygments as you would in Python - interpreter.exec("from pygments import highlight\n" - + "from pygments.lexers import PythonLexer\n" - + "from pygments.formatters import HtmlFormatter\n" - + "\nresult = highlight(code, PythonLexer(), HtmlFormatter())"); - - // Get the result that has been set in a variable - System.out.println(interpreter.get("result", String.class)); - -will print something like: - -.. sourcecode:: html - -
    -
    print "Hello World"
    -
    diff --git a/doc/_build/html/_sources/docs/lexerdevelopment.rst.txt b/doc/_build/html/_sources/docs/lexerdevelopment.rst.txt deleted file mode 100644 index 63bd01a..0000000 --- a/doc/_build/html/_sources/docs/lexerdevelopment.rst.txt +++ /dev/null @@ -1,728 +0,0 @@ -.. -*- mode: rst -*- - -.. highlight:: python - -==================== -Write your own lexer -==================== - -If a lexer for your favorite language is missing in the Pygments package, you -can easily write your own and extend Pygments. - -All you need can be found inside the :mod:`pygments.lexer` module. As you can -read in the :doc:`API documentation `, a lexer is a class that is -initialized with some keyword arguments (the lexer options) and that provides a -:meth:`.get_tokens_unprocessed()` method which is given a string or unicode -object with the data to lex. - -The :meth:`.get_tokens_unprocessed()` method must return an iterator or iterable -containing tuples in the form ``(index, token, value)``. Normally you don't -need to do this since there are base lexers that do most of the work and that -you can subclass. - - -RegexLexer -========== - -The lexer base class used by almost all of Pygments' lexers is the -:class:`RegexLexer`. This class allows you to define lexing rules in terms of -*regular expressions* for different *states*. - -States are groups of regular expressions that are matched against the input -string at the *current position*. If one of these expressions matches, a -corresponding action is performed (such as yielding a token with a specific -type, or changing state), the current position is set to where the last match -ended and the matching process continues with the first regex of the current -state. - -Lexer states are kept on a stack: each time a new state is entered, the new -state is pushed onto the stack. The most basic lexers (like the `DiffLexer`) -just need one state. - -Each state is defined as a list of tuples in the form (`regex`, `action`, -`new_state`) where the last item is optional. In the most basic form, `action` -is a token type (like `Name.Builtin`). That means: When `regex` matches, emit a -token with the match text and type `tokentype` and push `new_state` on the state -stack. If the new state is ``'#pop'``, the topmost state is popped from the -stack instead. To pop more than one state, use ``'#pop:2'`` and so on. -``'#push'`` is a synonym for pushing the current state on the stack. - -The following example shows the `DiffLexer` from the builtin lexers. Note that -it contains some additional attributes `name`, `aliases` and `filenames` which -aren't required for a lexer. They are used by the builtin lexer lookup -functions. :: - - from pygments.lexer import RegexLexer - from pygments.token import * - - class DiffLexer(RegexLexer): - name = 'Diff' - aliases = ['diff'] - filenames = ['*.diff'] - - tokens = { - 'root': [ - (r' .*\n', Text), - (r'\+.*\n', Generic.Inserted), - (r'-.*\n', Generic.Deleted), - (r'@.*\n', Generic.Subheading), - (r'Index.*\n', Generic.Heading), - (r'=.*\n', Generic.Heading), - (r'.*\n', Text), - ] - } - -As you can see this lexer only uses one state. When the lexer starts scanning -the text, it first checks if the current character is a space. If this is true -it scans everything until newline and returns the data as a `Text` token (which -is the "no special highlighting" token). - -If this rule doesn't match, it checks if the current char is a plus sign. And -so on. - -If no rule matches at the current position, the current char is emitted as an -`Error` token that indicates a lexing error, and the position is increased by -one. - - -Adding and testing a new lexer -============================== - -The easiest way to use a new lexer is to use Pygments' support for loading -the lexer from a file relative to your current directory. - -First, change the name of your lexer class to CustomLexer: - -.. code-block:: python - - from pygments.lexer import RegexLexer - from pygments.token import * - - class CustomLexer(RegexLexer): - """All your lexer code goes here!""" - -Then you can load the lexer from the command line with the additional -flag ``-x``: - -.. code-block:: console - - $ pygmentize -l your_lexer_file.py -x - -To specify a class name other than CustomLexer, append it with a colon: - -.. code-block:: console - - $ pygmentize -l your_lexer.py:SomeLexer -x - -Or, using the Python API: - -.. code-block:: python - - # For a lexer named CustomLexer - your_lexer = load_lexer_from_file(filename, **options) - - # For a lexer named MyNewLexer - your_named_lexer = load_lexer_from_file(filename, "MyNewLexer", **options) - -When loading custom lexers and formatters, be extremely careful to use only -trusted files; Pygments will perform the equivalent of ``eval`` on them. - -If you only want to use your lexer with the Pygments API, you can import and -instantiate the lexer yourself, then pass it to :func:`pygments.highlight`. - -To prepare your new lexer for inclusion in the Pygments distribution, so that it -will be found when passing filenames or lexer aliases from the command line, you -have to perform the following steps. - -First, change to the current directory containing the Pygments source code. You -will need to have either an unpacked source tarball, or (preferably) a copy -cloned from BitBucket. - -.. code-block:: console - - $ cd .../pygments-main - -Select a matching module under ``pygments/lexers``, or create a new module for -your lexer class. - -Next, make sure the lexer is known from outside of the module. All modules in -the ``pygments.lexers`` package specify ``__all__``. For example, -``esoteric.py`` sets:: - - __all__ = ['BrainfuckLexer', 'BefungeLexer', ...] - -Add the name of your lexer class to this list (or create the list if your lexer -is the only class in the module). - -Finally the lexer can be made publicly known by rebuilding the lexer mapping: - -.. code-block:: console - - $ make mapfiles - -To test the new lexer, store an example file with the proper extension in -``tests/examplefiles``. For example, to test your ``DiffLexer``, add a -``tests/examplefiles/example.diff`` containing a sample diff output. - -Now you can use pygmentize to render your example to HTML: - -.. code-block:: console - - $ ./pygmentize -O full -f html -o /tmp/example.html tests/examplefiles/example.diff - -Note that this explicitly calls the ``pygmentize`` in the current directory -by preceding it with ``./``. This ensures your modifications are used. -Otherwise a possibly already installed, unmodified version without your new -lexer would have been called from the system search path (``$PATH``). - -To view the result, open ``/tmp/example.html`` in your browser. - -Once the example renders as expected, you should run the complete test suite: - -.. code-block:: console - - $ make test - -It also tests that your lexer fulfills the lexer API and certain invariants, -such as that the concatenation of all token text is the same as the input text. - - -Regex Flags -=========== - -You can either define regex flags locally in the regex (``r'(?x)foo bar'``) or -globally by adding a `flags` attribute to your lexer class. If no attribute is -defined, it defaults to `re.MULTILINE`. For more information about regular -expression flags see the page about `regular expressions`_ in the Python -documentation. - -.. _regular expressions: http://docs.python.org/library/re.html#regular-expression-syntax - - -Scanning multiple tokens at once -================================ - -So far, the `action` element in the rule tuple of regex, action and state has -been a single token type. Now we look at the first of several other possible -values. - -Here is a more complex lexer that highlights INI files. INI files consist of -sections, comments and ``key = value`` pairs:: - - from pygments.lexer import RegexLexer, bygroups - from pygments.token import * - - class IniLexer(RegexLexer): - name = 'INI' - aliases = ['ini', 'cfg'] - filenames = ['*.ini', '*.cfg'] - - tokens = { - 'root': [ - (r'\s+', Text), - (r';.*?$', Comment), - (r'\[.*?\]$', Keyword), - (r'(.*?)(\s*)(=)(\s*)(.*?)$', - bygroups(Name.Attribute, Text, Operator, Text, String)) - ] - } - -The lexer first looks for whitespace, comments and section names. Later it -looks for a line that looks like a key, value pair, separated by an ``'='`` -sign, and optional whitespace. - -The `bygroups` helper yields each capturing group in the regex with a different -token type. First the `Name.Attribute` token, then a `Text` token for the -optional whitespace, after that a `Operator` token for the equals sign. Then a -`Text` token for the whitespace again. The rest of the line is returned as -`String`. - -Note that for this to work, every part of the match must be inside a capturing -group (a ``(...)``), and there must not be any nested capturing groups. If you -nevertheless need a group, use a non-capturing group defined using this syntax: -``(?:some|words|here)`` (note the ``?:`` after the beginning parenthesis). - -If you find yourself needing a capturing group inside the regex which shouldn't -be part of the output but is used in the regular expressions for backreferencing -(eg: ``r'(<(foo|bar)>)(.*?)()'``), you can pass `None` to the bygroups -function and that group will be skipped in the output. - - -Changing states -=============== - -Many lexers need multiple states to work as expected. For example, some -languages allow multiline comments to be nested. Since this is a recursive -pattern it's impossible to lex just using regular expressions. - -Here is a lexer that recognizes C++ style comments (multi-line with ``/* */`` -and single-line with ``//`` until end of line):: - - from pygments.lexer import RegexLexer - from pygments.token import * - - class CppCommentLexer(RegexLexer): - name = 'Example Lexer with states' - - tokens = { - 'root': [ - (r'[^/]+', Text), - (r'/\*', Comment.Multiline, 'comment'), - (r'//.*?$', Comment.Singleline), - (r'/', Text) - ], - 'comment': [ - (r'[^*/]', Comment.Multiline), - (r'/\*', Comment.Multiline, '#push'), - (r'\*/', Comment.Multiline, '#pop'), - (r'[*/]', Comment.Multiline) - ] - } - -This lexer starts lexing in the ``'root'`` state. It tries to match as much as -possible until it finds a slash (``'/'``). If the next character after the slash -is an asterisk (``'*'``) the `RegexLexer` sends those two characters to the -output stream marked as `Comment.Multiline` and continues lexing with the rules -defined in the ``'comment'`` state. - -If there wasn't an asterisk after the slash, the `RegexLexer` checks if it's a -Singleline comment (i.e. followed by a second slash). If this also wasn't the -case it must be a single slash, which is not a comment starter (the separate -regex for a single slash must also be given, else the slash would be marked as -an error token). - -Inside the ``'comment'`` state, we do the same thing again. Scan until the -lexer finds a star or slash. If it's the opening of a multiline comment, push -the ``'comment'`` state on the stack and continue scanning, again in the -``'comment'`` state. Else, check if it's the end of the multiline comment. If -yes, pop one state from the stack. - -Note: If you pop from an empty stack you'll get an `IndexError`. (There is an -easy way to prevent this from happening: don't ``'#pop'`` in the root state). - -If the `RegexLexer` encounters a newline that is flagged as an error token, the -stack is emptied and the lexer continues scanning in the ``'root'`` state. This -can help producing error-tolerant highlighting for erroneous input, e.g. when a -single-line string is not closed. - - -Advanced state tricks -===================== - -There are a few more things you can do with states: - -- You can push multiple states onto the stack if you give a tuple instead of a - simple string as the third item in a rule tuple. For example, if you want to - match a comment containing a directive, something like: - - .. code-block:: text - - /* rest of comment */ - - you can use this rule:: - - tokens = { - 'root': [ - (r'/\* <', Comment, ('comment', 'directive')), - ... - ], - 'directive': [ - (r'[^>]*', Comment.Directive), - (r'>', Comment, '#pop'), - ], - 'comment': [ - (r'[^*]+', Comment), - (r'\*/', Comment, '#pop'), - (r'\*', Comment), - ] - } - - When this encounters the above sample, first ``'comment'`` and ``'directive'`` - are pushed onto the stack, then the lexer continues in the directive state - until it finds the closing ``>``, then it continues in the comment state until - the closing ``*/``. Then, both states are popped from the stack again and - lexing continues in the root state. - - .. versionadded:: 0.9 - The tuple can contain the special ``'#push'`` and ``'#pop'`` (but not - ``'#pop:n'``) directives. - - -- You can include the rules of a state in the definition of another. This is - done by using `include` from `pygments.lexer`:: - - from pygments.lexer import RegexLexer, bygroups, include - from pygments.token import * - - class ExampleLexer(RegexLexer): - tokens = { - 'comments': [ - (r'/\*.*?\*/', Comment), - (r'//.*?\n', Comment), - ], - 'root': [ - include('comments'), - (r'(function )(\w+)( {)', - bygroups(Keyword, Name, Keyword), 'function'), - (r'.', Text), - ], - 'function': [ - (r'[^}/]+', Text), - include('comments'), - (r'/', Text), - (r'\}', Keyword, '#pop'), - ] - } - - This is a hypothetical lexer for a language that consist of functions and - comments. Because comments can occur at toplevel and in functions, we need - rules for comments in both states. As you can see, the `include` helper saves - repeating rules that occur more than once (in this example, the state - ``'comment'`` will never be entered by the lexer, as it's only there to be - included in ``'root'`` and ``'function'``). - -- Sometimes, you may want to "combine" a state from existing ones. This is - possible with the `combined` helper from `pygments.lexer`. - - If you, instead of a new state, write ``combined('state1', 'state2')`` as the - third item of a rule tuple, a new anonymous state will be formed from state1 - and state2 and if the rule matches, the lexer will enter this state. - - This is not used very often, but can be helpful in some cases, such as the - `PythonLexer`'s string literal processing. - -- If you want your lexer to start lexing in a different state you can modify the - stack by overriding the `get_tokens_unprocessed()` method:: - - from pygments.lexer import RegexLexer - - class ExampleLexer(RegexLexer): - tokens = {...} - - def get_tokens_unprocessed(self, text, stack=('root', 'otherstate')): - for item in RegexLexer.get_tokens_unprocessed(self, text, stack): - yield item - - Some lexers like the `PhpLexer` use this to make the leading ``', Name.Tag), - ], - 'script-content': [ - (r'(.+?)(<\s*/\s*script\s*>)', - bygroups(using(JavascriptLexer), Name.Tag), - '#pop'), - ] - } - -Here the content of a ```` end tag is processed by the `JavascriptLexer`, -while the end tag is yielded as a normal token with the `Name.Tag` type. - -Also note the ``(r'<\s*script\s*', Name.Tag, ('script-content', 'tag'))`` rule. -Here, two states are pushed onto the state stack, ``'script-content'`` and -``'tag'``. That means that first ``'tag'`` is processed, which will lex -attributes and the closing ``>``, then the ``'tag'`` state is popped and the -next state on top of the stack will be ``'script-content'``. - -Since you cannot refer to the class currently being defined, use `this` -(imported from `pygments.lexer`) to refer to the current lexer class, i.e. -``using(this)``. This construct may seem unnecessary, but this is often the -most obvious way of lexing arbitrary syntax between fixed delimiters without -introducing deeply nested states. - -The `using()` helper has a special keyword argument, `state`, which works as -follows: if given, the lexer to use initially is not in the ``"root"`` state, -but in the state given by this argument. This does not work with advanced -`RegexLexer` subclasses such as `ExtendedRegexLexer` (see below). - -Any other keywords arguments passed to `using()` are added to the keyword -arguments used to create the lexer. - - -Delegating Lexer -================ - -Another approach for nested lexers is the `DelegatingLexer` which is for example -used for the template engine lexers. It takes two lexers as arguments on -initialisation: a `root_lexer` and a `language_lexer`. - -The input is processed as follows: First, the whole text is lexed with the -`language_lexer`. All tokens yielded with the special type of ``Other`` are -then concatenated and given to the `root_lexer`. The language tokens of the -`language_lexer` are then inserted into the `root_lexer`'s token stream at the -appropriate positions. :: - - from pygments.lexer import DelegatingLexer - from pygments.lexers.web import HtmlLexer, PhpLexer - - class HtmlPhpLexer(DelegatingLexer): - def __init__(self, **options): - super(HtmlPhpLexer, self).__init__(HtmlLexer, PhpLexer, **options) - -This procedure ensures that e.g. HTML with template tags in it is highlighted -correctly even if the template tags are put into HTML tags or attributes. - -If you want to change the needle token ``Other`` to something else, you can give -the lexer another token type as the third parameter:: - - DelegatingLexer.__init__(MyLexer, OtherLexer, Text, **options) - - -Callbacks -========= - -Sometimes the grammar of a language is so complex that a lexer would be unable -to process it just by using regular expressions and stacks. - -For this, the `RegexLexer` allows callbacks to be given in rule tuples, instead -of token types (`bygroups` and `using` are nothing else but preimplemented -callbacks). The callback must be a function taking two arguments: - -* the lexer itself -* the match object for the last matched rule - -The callback must then return an iterable of (or simply yield) ``(index, -tokentype, value)`` tuples, which are then just passed through by -`get_tokens_unprocessed()`. The ``index`` here is the position of the token in -the input string, ``tokentype`` is the normal token type (like `Name.Builtin`), -and ``value`` the associated part of the input string. - -You can see an example here:: - - from pygments.lexer import RegexLexer - from pygments.token import Generic - - class HypotheticLexer(RegexLexer): - - def headline_callback(lexer, match): - equal_signs = match.group(1) - text = match.group(2) - yield match.start(), Generic.Headline, equal_signs + text + equal_signs - - tokens = { - 'root': [ - (r'(=+)(.*?)(\1)', headline_callback) - ] - } - -If the regex for the `headline_callback` matches, the function is called with -the match object. Note that after the callback is done, processing continues -normally, that is, after the end of the previous match. The callback has no -possibility to influence the position. - -There are not really any simple examples for lexer callbacks, but you can see -them in action e.g. in the `SMLLexer` class in `ml.py`_. - -.. _ml.py: http://bitbucket.org/birkenfeld/pygments-main/src/tip/pygments/lexers/ml.py - - -The ExtendedRegexLexer class -============================ - -The `RegexLexer`, even with callbacks, unfortunately isn't powerful enough for -the funky syntax rules of languages such as Ruby. - -But fear not; even then you don't have to abandon the regular expression -approach: Pygments has a subclass of `RegexLexer`, the `ExtendedRegexLexer`. -All features known from RegexLexers are available here too, and the tokens are -specified in exactly the same way, *except* for one detail: - -The `get_tokens_unprocessed()` method holds its internal state data not as local -variables, but in an instance of the `pygments.lexer.LexerContext` class, and -that instance is passed to callbacks as a third argument. This means that you -can modify the lexer state in callbacks. - -The `LexerContext` class has the following members: - -* `text` -- the input text -* `pos` -- the current starting position that is used for matching regexes -* `stack` -- a list containing the state stack -* `end` -- the maximum position to which regexes are matched, this defaults to - the length of `text` - -Additionally, the `get_tokens_unprocessed()` method can be given a -`LexerContext` instead of a string and will then process this context instead of -creating a new one for the string argument. - -Note that because you can set the current position to anything in the callback, -it won't be automatically be set by the caller after the callback is finished. -For example, this is how the hypothetical lexer above would be written with the -`ExtendedRegexLexer`:: - - from pygments.lexer import ExtendedRegexLexer - from pygments.token import Generic - - class ExHypotheticLexer(ExtendedRegexLexer): - - def headline_callback(lexer, match, ctx): - equal_signs = match.group(1) - text = match.group(2) - yield match.start(), Generic.Headline, equal_signs + text + equal_signs - ctx.pos = match.end() - - tokens = { - 'root': [ - (r'(=+)(.*?)(\1)', headline_callback) - ] - } - -This might sound confusing (and it can really be). But it is needed, and for an -example look at the Ruby lexer in `ruby.py`_. - -.. _ruby.py: https://bitbucket.org/birkenfeld/pygments-main/src/tip/pygments/lexers/ruby.py - - -Handling Lists of Keywords -========================== - -For a relatively short list (hundreds) you can construct an optimized regular -expression directly using ``words()`` (longer lists, see next section). This -function handles a few things for you automatically, including escaping -metacharacters and Python's first-match rather than longest-match in -alternations. Feel free to put the lists themselves in -``pygments/lexers/_$lang_builtins.py`` (see examples there), and generated by -code if possible. - -An example of using ``words()`` is something like:: - - from pygments.lexer import RegexLexer, words, Name - - class MyLexer(RegexLexer): - - tokens = { - 'root': [ - (words(('else', 'elseif'), suffix=r'\b'), Name.Builtin), - (r'\w+', Name), - ], - } - -As you can see, you can add ``prefix`` and ``suffix`` parts to the constructed -regex. - - -Modifying Token Streams -======================= - -Some languages ship a lot of builtin functions (for example PHP). The total -amount of those functions differs from system to system because not everybody -has every extension installed. In the case of PHP there are over 3000 builtin -functions. That's an incredibly huge amount of functions, much more than you -want to put into a regular expression. - -But because only `Name` tokens can be function names this is solvable by -overriding the ``get_tokens_unprocessed()`` method. The following lexer -subclasses the `PythonLexer` so that it highlights some additional names as -pseudo keywords:: - - from pygments.lexers.python import PythonLexer - from pygments.token import Name, Keyword - - class MyPythonLexer(PythonLexer): - EXTRA_KEYWORDS = set(('foo', 'bar', 'foobar', 'barfoo', 'spam', 'eggs')) - - def get_tokens_unprocessed(self, text): - for index, token, value in PythonLexer.get_tokens_unprocessed(self, text): - if token is Name and value in self.EXTRA_KEYWORDS: - yield index, Keyword.Pseudo, value - else: - yield index, token, value - -The `PhpLexer` and `LuaLexer` use this method to resolve builtin functions. diff --git a/doc/_build/html/_sources/docs/lexers.rst.txt b/doc/_build/html/_sources/docs/lexers.rst.txt deleted file mode 100644 index ef40f14..0000000 --- a/doc/_build/html/_sources/docs/lexers.rst.txt +++ /dev/null @@ -1,69 +0,0 @@ -.. -*- mode: rst -*- - -================ -Available lexers -================ - -This page lists all available builtin lexers and the options they take. - -Currently, **all lexers** support these options: - -`stripnl` - Strip leading and trailing newlines from the input (default: ``True``) - -`stripall` - Strip all leading and trailing whitespace from the input (default: - ``False``). - -`ensurenl` - Make sure that the input ends with a newline (default: ``True``). This - is required for some lexers that consume input linewise. - - .. versionadded:: 1.3 - -`tabsize` - If given and greater than 0, expand tabs in the input (default: ``0``). - -`encoding` - If given, must be an encoding name (such as ``"utf-8"``). This encoding - will be used to convert the input string to Unicode (if it is not already - a Unicode string). The default is ``"guess"``. - - If this option is set to ``"guess"``, a simple UTF-8 vs. Latin-1 - detection is used, if it is set to ``"chardet"``, the - `chardet library `_ is used to - guess the encoding of the input. - - .. versionadded:: 0.6 - - -The "Short Names" field lists the identifiers that can be used with the -`get_lexer_by_name()` function. - -These lexers are builtin and can be imported from `pygments.lexers`: - -.. pygmentsdoc:: lexers - - -Iterating over all lexers -------------------------- - -.. versionadded:: 0.6 - -To get all lexers (both the builtin and the plugin ones), you can -use the `get_all_lexers()` function from the `pygments.lexers` -module: - -.. sourcecode:: pycon - - >>> from pygments.lexers import get_all_lexers - >>> i = get_all_lexers() - >>> i.next() - ('Diff', ('diff',), ('*.diff', '*.patch'), ('text/x-diff', 'text/x-patch')) - >>> i.next() - ('Delphi', ('delphi', 'objectpascal', 'pas', 'pascal'), ('*.pas',), ('text/x-pascal',)) - >>> i.next() - ('XML+Ruby', ('xml+erb', 'xml+ruby'), (), ()) - -As you can see, the return value is an iterator which yields tuples -in the form ``(name, aliases, filetypes, mimetypes)``. diff --git a/doc/_build/html/_sources/docs/moinmoin.rst.txt b/doc/_build/html/_sources/docs/moinmoin.rst.txt deleted file mode 100644 index 8b2216b..0000000 --- a/doc/_build/html/_sources/docs/moinmoin.rst.txt +++ /dev/null @@ -1,39 +0,0 @@ -.. -*- mode: rst -*- - -============================ -Using Pygments with MoinMoin -============================ - -From Pygments 0.7, the source distribution ships a `Moin`_ parser plugin that -can be used to get Pygments highlighting in Moin wiki pages. - -To use it, copy the file `external/moin-parser.py` from the Pygments -distribution to the `data/plugin/parser` subdirectory of your Moin instance. -Edit the options at the top of the file (currently ``ATTACHMENTS`` and -``INLINESTYLES``) and rename the file to the name that the parser directive -should have. For example, if you name the file ``code.py``, you can get a -highlighted Python code sample with this Wiki markup:: - - {{{ - #!code python - [...] - }}} - -where ``python`` is the Pygments name of the lexer to use. - -Additionally, if you set the ``ATTACHMENTS`` option to True, Pygments will also -be called for all attachments for whose filenames there is no other parser -registered. - -You are responsible for including CSS rules that will map the Pygments CSS -classes to colors. You can output a stylesheet file with `pygmentize`, put it -into the `htdocs` directory of your Moin instance and then include it in the -`stylesheets` configuration option in the Moin config, e.g.:: - - stylesheets = [('screen', '/htdocs/pygments.css')] - -If you do not want to do that and are willing to accept larger HTML output, you -can set the ``INLINESTYLES`` option to True. - - -.. _Moin: http://moinmoin.wikiwikiweb.de/ diff --git a/doc/_build/html/_sources/docs/plugins.rst.txt b/doc/_build/html/_sources/docs/plugins.rst.txt deleted file mode 100644 index a6f8d7b..0000000 --- a/doc/_build/html/_sources/docs/plugins.rst.txt +++ /dev/null @@ -1,93 +0,0 @@ -================ -Register Plugins -================ - -If you want to extend Pygments without hacking the sources, but want to -use the lexer/formatter/style/filter lookup functions (`lexers.get_lexer_by_name` -et al.), you can use `setuptools`_ entrypoints to add new lexers, formatters -or styles as if they were in the Pygments core. - -.. _setuptools: http://peak.telecommunity.com/DevCenter/setuptools - -That means you can use your highlighter modules with the `pygmentize` script, -which relies on the mentioned functions. - - -Entrypoints -=========== - -Here is a list of setuptools entrypoints that Pygments understands: - -`pygments.lexers` - - This entrypoint is used for adding new lexers to the Pygments core. - The name of the entrypoint values doesn't really matter, Pygments extracts - required metadata from the class definition: - - .. sourcecode:: ini - - [pygments.lexers] - yourlexer = yourmodule:YourLexer - - Note that you have to define ``name``, ``aliases`` and ``filename`` - attributes so that you can use the highlighter from the command line: - - .. sourcecode:: python - - class YourLexer(...): - name = 'Name Of Your Lexer' - aliases = ['alias'] - filenames = ['*.ext'] - - -`pygments.formatters` - - You can use this entrypoint to add new formatters to Pygments. The - name of an entrypoint item is the name of the formatter. If you - prefix the name with a slash it's used as a filename pattern: - - .. sourcecode:: ini - - [pygments.formatters] - yourformatter = yourmodule:YourFormatter - /.ext = yourmodule:YourFormatter - - -`pygments.styles` - - To add a new style you can use this entrypoint. The name of the entrypoint - is the name of the style: - - .. sourcecode:: ini - - [pygments.styles] - yourstyle = yourmodule:YourStyle - - -`pygments.filters` - - Use this entrypoint to register a new filter. The name of the - entrypoint is the name of the filter: - - .. sourcecode:: ini - - [pygments.filters] - yourfilter = yourmodule:YourFilter - - -How To Use Entrypoints -====================== - -This documentation doesn't explain how to use those entrypoints because this is -covered in the `setuptools documentation`_. That page should cover everything -you need to write a plugin. - -.. _setuptools documentation: http://peak.telecommunity.com/DevCenter/setuptools - - -Extending The Core -================== - -If you have written a Pygments plugin that is open source, please inform us -about that. There is a high chance that we'll add it to the Pygments -distribution. diff --git a/doc/_build/html/_sources/docs/quickstart.rst.txt b/doc/_build/html/_sources/docs/quickstart.rst.txt deleted file mode 100644 index 3a823e7..0000000 --- a/doc/_build/html/_sources/docs/quickstart.rst.txt +++ /dev/null @@ -1,205 +0,0 @@ -.. -*- mode: rst -*- - -=========================== -Introduction and Quickstart -=========================== - - -Welcome to Pygments! This document explains the basic concepts and terms and -gives a few examples of how to use the library. - - -Architecture -============ - -There are four types of components that work together highlighting a piece of -code: - -* A **lexer** splits the source into tokens, fragments of the source that - have a token type that determines what the text represents semantically - (e.g., keyword, string, or comment). There is a lexer for every language - or markup format that Pygments supports. -* The token stream can be piped through **filters**, which usually modify - the token types or text fragments, e.g. uppercasing all keywords. -* A **formatter** then takes the token stream and writes it to an output - file, in a format such as HTML, LaTeX or RTF. -* While writing the output, a **style** determines how to highlight all the - different token types. It maps them to attributes like "red and bold". - - -Example -======= - -Here is a small example for highlighting Python code: - -.. sourcecode:: python - - from pygments import highlight - from pygments.lexers import PythonLexer - from pygments.formatters import HtmlFormatter - - code = 'print "Hello World"' - print(highlight(code, PythonLexer(), HtmlFormatter())) - -which prints something like this: - -.. sourcecode:: html - -
    -
    print "Hello World"
    -
    - -As you can see, Pygments uses CSS classes (by default, but you can change that) -instead of inline styles in order to avoid outputting redundant style information over -and over. A CSS stylesheet that contains all CSS classes possibly used in the output -can be produced by: - -.. sourcecode:: python - - print(HtmlFormatter().get_style_defs('.highlight')) - -The argument to :func:`get_style_defs` is used as an additional CSS selector: -the output may look like this: - -.. sourcecode:: css - - .highlight .k { color: #AA22FF; font-weight: bold } - .highlight .s { color: #BB4444 } - ... - - -Options -======= - -The :func:`highlight()` function supports a fourth argument called *outfile*, it -must be a file object if given. The formatted output will then be written to -this file instead of being returned as a string. - -Lexers and formatters both support options. They are given to them as keyword -arguments either to the class or to the lookup method: - -.. sourcecode:: python - - from pygments import highlight - from pygments.lexers import get_lexer_by_name - from pygments.formatters import HtmlFormatter - - lexer = get_lexer_by_name("python", stripall=True) - formatter = HtmlFormatter(linenos=True, cssclass="source") - result = highlight(code, lexer, formatter) - -This makes the lexer strip all leading and trailing whitespace from the input -(`stripall` option), lets the formatter output line numbers (`linenos` option), -and sets the wrapping ``
    ``'s class to ``source`` (instead of -``highlight``). - -Important options include: - -`encoding` : for lexers and formatters - Since Pygments uses Unicode strings internally, this determines which - encoding will be used to convert to or from byte strings. -`style` : for formatters - The name of the style to use when writing the output. - - -For an overview of builtin lexers and formatters and their options, visit the -:doc:`lexer ` and :doc:`formatters ` lists. - -For a documentation on filters, see :doc:`this page `. - - -Lexer and formatter lookup -========================== - -If you want to lookup a built-in lexer by its alias or a filename, you can use -one of the following methods: - -.. sourcecode:: pycon - - >>> from pygments.lexers import (get_lexer_by_name, - ... get_lexer_for_filename, get_lexer_for_mimetype) - - >>> get_lexer_by_name('python') - - - >>> get_lexer_for_filename('spam.rb') - - - >>> get_lexer_for_mimetype('text/x-perl') - - -All these functions accept keyword arguments; they will be passed to the lexer -as options. - -A similar API is available for formatters: use :func:`.get_formatter_by_name()` -and :func:`.get_formatter_for_filename()` from the :mod:`pygments.formatters` -module for this purpose. - - -Guessing lexers -=============== - -If you don't know the content of the file, or you want to highlight a file -whose extension is ambiguous, such as ``.html`` (which could contain plain HTML -or some template tags), use these functions: - -.. sourcecode:: pycon - - >>> from pygments.lexers import guess_lexer, guess_lexer_for_filename - - >>> guess_lexer('#!/usr/bin/python\nprint "Hello World!"') - - - >>> guess_lexer_for_filename('test.py', 'print "Hello World!"') - - -:func:`.guess_lexer()` passes the given content to the lexer classes' -:meth:`analyse_text()` method and returns the one for which it returns the -highest number. - -All lexers have two different filename pattern lists: the primary and the -secondary one. The :func:`.get_lexer_for_filename()` function only uses the -primary list, whose entries are supposed to be unique among all lexers. -:func:`.guess_lexer_for_filename()`, however, will first loop through all lexers -and look at the primary and secondary filename patterns if the filename matches. -If only one lexer matches, it is returned, else the guessing mechanism of -:func:`.guess_lexer()` is used with the matching lexers. - -As usual, keyword arguments to these functions are given to the created lexer -as options. - - -Command line usage -================== - -You can use Pygments from the command line, using the :program:`pygmentize` -script:: - - $ pygmentize test.py - -will highlight the Python file test.py using ANSI escape sequences -(a.k.a. terminal colors) and print the result to standard output. - -To output HTML, use the ``-f`` option:: - - $ pygmentize -f html -o test.html test.py - -to write an HTML-highlighted version of test.py to the file test.html. -Note that it will only be a snippet of HTML, if you want a full HTML document, -use the "full" option:: - - $ pygmentize -f html -O full -o test.html test.py - -This will produce a full HTML document with included stylesheet. - -A style can be selected with ``-O style=``. - -If you need a stylesheet for an existing HTML file using Pygments CSS classes, -it can be created with:: - - $ pygmentize -S default -f html > style.css - -where ``default`` is the style name. - -More options and tricks and be found in the :doc:`command line reference -`. diff --git a/doc/_build/html/_sources/docs/rstdirective.rst.txt b/doc/_build/html/_sources/docs/rstdirective.rst.txt deleted file mode 100644 index c0d503b..0000000 --- a/doc/_build/html/_sources/docs/rstdirective.rst.txt +++ /dev/null @@ -1,22 +0,0 @@ -.. -*- mode: rst -*- - -================================ -Using Pygments in ReST documents -================================ - -Many Python people use `ReST`_ for documentation their sourcecode, programs, -scripts et cetera. This also means that documentation often includes sourcecode -samples or snippets. - -You can easily enable Pygments support for your ReST texts using a custom -directive -- this is also how this documentation displays source code. - -From Pygments 0.9, the directive is shipped in the distribution as -`external/rst-directive.py`. You can copy and adapt this code to your liking. - -.. removed -- too confusing - *Loosely related note:* The ReST lexer now recognizes ``.. sourcecode::`` and - ``.. code::`` directives and highlights the contents in the specified language - if the `handlecodeblocks` option is true. - -.. _ReST: http://docutils.sf.net/rst.html diff --git a/doc/_build/html/_sources/docs/styles.rst.txt b/doc/_build/html/_sources/docs/styles.rst.txt deleted file mode 100644 index 570293a..0000000 --- a/doc/_build/html/_sources/docs/styles.rst.txt +++ /dev/null @@ -1,232 +0,0 @@ -.. -*- mode: rst -*- - -====== -Styles -====== - -Pygments comes with some builtin styles that work for both the HTML and -LaTeX formatter. - -The builtin styles can be looked up with the `get_style_by_name` function: - -.. sourcecode:: pycon - - >>> from pygments.styles import get_style_by_name - >>> get_style_by_name('colorful') - - -You can pass a instance of a `Style` class to a formatter as the `style` -option in form of a string: - -.. sourcecode:: pycon - - >>> from pygments.styles import get_style_by_name - >>> from pygments.formatters import HtmlFormatter - >>> HtmlFormatter(style='colorful').style - - -Or you can also import your own style (which must be a subclass of -`pygments.style.Style`) and pass it to the formatter: - -.. sourcecode:: pycon - - >>> from yourapp.yourmodule import YourStyle - >>> from pygments.formatters import HtmlFormatter - >>> HtmlFormatter(style=YourStyle).style - - - -Creating Own Styles -=================== - -So, how to create a style? All you have to do is to subclass `Style` and -define some styles: - -.. sourcecode:: python - - from pygments.style import Style - from pygments.token import Keyword, Name, Comment, String, Error, \ - Number, Operator, Generic - - class YourStyle(Style): - default_style = "" - styles = { - Comment: 'italic #888', - Keyword: 'bold #005', - Name: '#f00', - Name.Function: '#0f0', - Name.Class: 'bold #0f0', - String: 'bg:#eee #111' - } - -That's it. There are just a few rules. When you define a style for `Name` -the style automatically also affects `Name.Function` and so on. If you -defined ``'bold'`` and you don't want boldface for a subtoken use ``'nobold'``. - -(Philosophy: the styles aren't written in CSS syntax since this way -they can be used for a variety of formatters.) - -`default_style` is the style inherited by all token types. - -To make the style usable for Pygments, you must - -* either register it as a plugin (see :doc:`the plugin docs `) -* or drop it into the `styles` subpackage of your Pygments distribution one style - class per style, where the file name is the style name and the class name is - `StylenameClass`. For example, if your style should be called - ``"mondrian"``, name the class `MondrianStyle`, put it into the file - ``mondrian.py`` and this file into the ``pygments.styles`` subpackage - directory. - - -Style Rules -=========== - -Here a small overview of all allowed styles: - -``bold`` - render text as bold -``nobold`` - don't render text as bold (to prevent subtokens being highlighted bold) -``italic`` - render text italic -``noitalic`` - don't render text as italic -``underline`` - render text underlined -``nounderline`` - don't render text underlined -``bg:`` - transparent background -``bg:#000000`` - background color (black) -``border:`` - no border -``border:#ffffff`` - border color (white) -``#ff0000`` - text color (red) -``noinherit`` - don't inherit styles from supertoken - -Note that there may not be a space between ``bg:`` and the color value -since the style definition string is split at whitespace. -Also, using named colors is not allowed since the supported color names -vary for different formatters. - -Furthermore, not all lexers might support every style. - - -Builtin Styles -============== - -Pygments ships some builtin styles which are maintained by the Pygments team. - -To get a list of known styles you can use this snippet: - -.. sourcecode:: pycon - - >>> from pygments.styles import STYLE_MAP - >>> STYLE_MAP.keys() - ['default', 'emacs', 'friendly', 'colorful'] - - -Getting a list of available styles -================================== - -.. versionadded:: 0.6 - -Because it could be that a plugin registered a style, there is -a way to iterate over all styles: - -.. sourcecode:: pycon - - >>> from pygments.styles import get_all_styles - >>> styles = list(get_all_styles()) - - -.. _AnsiTerminalStyle: - -Terminal Styles -=============== - -.. versionadded:: 2.2 - -Custom styles used with the 256-color terminal formatter can also map colors to -use the 8 default ANSI colors. To do so, use ``ansigreen``, ``ansibrightred`` or -any other colors defined in :attr:`pygments.style.ansicolors`. Foreground ANSI -colors will be mapped to the corresponding `escape codes 30 to 37 -`_ thus respecting any -custom color mapping and themes provided by many terminal emulators. Light -variants are treated as foreground color with and an added bold flag. -``bg:ansi`` will also be respected, except the light variant will be the -same shade as their dark variant. - -See the following example where the color of the string ``"hello world"`` is -governed by the escape sequence ``\x1b[34;01m`` (Ansi bright blue, Bold, 41 being red -background) instead of an extended foreground & background color. - -.. sourcecode:: pycon - - >>> from pygments import highlight - >>> from pygments.style import Style - >>> from pygments.token import Token - >>> from pygments.lexers import Python3Lexer - >>> from pygments.formatters import Terminal256Formatter - - >>> class MyStyle(Style): - styles = { - Token.String: 'ansibrightblue bg:ansibrightred', - } - - >>> code = 'print("Hello World")' - >>> result = highlight(code, Python3Lexer(), Terminal256Formatter(style=MyStyle)) - >>> print(result.encode()) - b'\x1b[34;41;01m"\x1b[39;49;00m\x1b[34;41;01mHello World\x1b[39;49;00m\x1b[34;41;01m"\x1b[39;49;00m' - -Colors specified using ``ansi*`` are converted to a default set of RGB colors -when used with formatters other than the terminal-256 formatter. - -By definition of ANSI, the following colors are considered "light" colors, and -will be rendered by most terminals as bold: - -- "brightblack" (darkgrey), "brightred", "brightgreen", "brightyellow", "brightblue", - "brightmagenta", "brightcyan", "white" - -The following are considered "dark" colors and will be rendered as non-bold: - -- "black", "red", "green", "yellow", "blue", "magenta", "cyan", - "gray" - -Exact behavior might depends on the terminal emulator you are using, and its -settings. - -.. _new-ansi-color-names: - -.. versionchanged:: 2.4 - -The definition of the ANSI color names has changed. -New names are easier to understand and align to the colors used in other projects. - -===================== ==================== -New names Pygments up to 2.3 -===================== ==================== -``ansiblack`` ``#ansiblack`` -``ansired`` ``#ansidarkred`` -``ansigreen`` ``#ansidarkgreen`` -``ansiyellow`` ``#ansibrown`` -``ansiblue`` ``#ansidarkblue`` -``ansimagenta`` ``#ansipurple`` -``ansicyan`` ``#ansiteal`` -``ansigray`` ``#ansilightgray`` -``ansibrightblack`` ``#ansidarkgray`` -``ansibrightred`` ``#ansired`` -``ansibrightgreen`` ``#ansigreen`` -``ansibrightyellow`` ``#ansiyellow`` -``ansibrightblue`` ``#ansiblue`` -``ansibrightmagenta`` ``#ansifuchsia`` -``ansibrightcyan`` ``#ansiturquoise`` -``ansiwhite`` ``#ansiwhite`` -===================== ==================== - -Old ANSI color names are deprecated but will still work. diff --git a/doc/_build/html/_sources/docs/tokens.rst.txt b/doc/_build/html/_sources/docs/tokens.rst.txt deleted file mode 100644 index 801fc63..0000000 --- a/doc/_build/html/_sources/docs/tokens.rst.txt +++ /dev/null @@ -1,372 +0,0 @@ -.. -*- mode: rst -*- - -============== -Builtin Tokens -============== - -.. module:: pygments.token - -In the :mod:`pygments.token` module, there is a special object called `Token` -that is used to create token types. - -You can create a new token type by accessing an attribute of `Token`: - -.. sourcecode:: pycon - - >>> from pygments.token import Token - >>> Token.String - Token.String - >>> Token.String is Token.String - True - -Note that tokens are singletons so you can use the ``is`` operator for comparing -token types. - -As of Pygments 0.7 you can also use the ``in`` operator to perform set tests: - -.. sourcecode:: pycon - - >>> from pygments.token import Comment - >>> Comment.Single in Comment - True - >>> Comment in Comment.Multi - False - -This can be useful in :doc:`filters ` and if you write lexers on your -own without using the base lexers. - -You can also split a token type into a hierarchy, and get the parent of it: - -.. sourcecode:: pycon - - >>> String.split() - [Token, Token.Literal, Token.Literal.String] - >>> String.parent - Token.Literal - -In principle, you can create an unlimited number of token types but nobody can -guarantee that a style would define style rules for a token type. Because of -that, Pygments proposes some global token types defined in the -`pygments.token.STANDARD_TYPES` dict. - -For some tokens aliases are already defined: - -.. sourcecode:: pycon - - >>> from pygments.token import String - >>> String - Token.Literal.String - -Inside the :mod:`pygments.token` module the following aliases are defined: - -============= ============================ ==================================== -`Text` `Token.Text` for any type of text data -`Whitespace` `Token.Text.Whitespace` for specially highlighted whitespace -`Error` `Token.Error` represents lexer errors -`Other` `Token.Other` special token for data not - matched by a parser (e.g. HTML - markup in PHP code) -`Keyword` `Token.Keyword` any kind of keywords -`Name` `Token.Name` variable/function names -`Literal` `Token.Literal` Any literals -`String` `Token.Literal.String` string literals -`Number` `Token.Literal.Number` number literals -`Operator` `Token.Operator` operators (``+``, ``not``...) -`Punctuation` `Token.Punctuation` punctuation (``[``, ``(``...) -`Comment` `Token.Comment` any kind of comments -`Generic` `Token.Generic` generic tokens (have a look at - the explanation below) -============= ============================ ==================================== - -The `Whitespace` token type is new in Pygments 0.8. It is used only by the -`VisibleWhitespaceFilter` currently. - -Normally you just create token types using the already defined aliases. For each -of those token aliases, a number of subtypes exists (excluding the special tokens -`Token.Text`, `Token.Error` and `Token.Other`) - -The `is_token_subtype()` function in the `pygments.token` module can be used to -test if a token type is a subtype of another (such as `Name.Tag` and `Name`). -(This is the same as ``Name.Tag in Name``. The overloaded `in` operator was newly -introduced in Pygments 0.7, the function still exists for backwards -compatibility.) - -With Pygments 0.7, it's also possible to convert strings to token types (for example -if you want to supply a token from the command line): - -.. sourcecode:: pycon - - >>> from pygments.token import String, string_to_tokentype - >>> string_to_tokentype("String") - Token.Literal.String - >>> string_to_tokentype("Token.Literal.String") - Token.Literal.String - >>> string_to_tokentype(String) - Token.Literal.String - - -Keyword Tokens -============== - -`Keyword` - For any kind of keyword (especially if it doesn't match any of the - subtypes of course). - -`Keyword.Constant` - For keywords that are constants (e.g. ``None`` in future Python versions). - -`Keyword.Declaration` - For keywords used for variable declaration (e.g. ``var`` in some programming - languages like JavaScript). - -`Keyword.Namespace` - For keywords used for namespace declarations (e.g. ``import`` in Python and - Java and ``package`` in Java). - -`Keyword.Pseudo` - For keywords that aren't really keywords (e.g. ``None`` in old Python - versions). - -`Keyword.Reserved` - For reserved keywords. - -`Keyword.Type` - For builtin types that can't be used as identifiers (e.g. ``int``, - ``char`` etc. in C). - - -Name Tokens -=========== - -`Name` - For any name (variable names, function names, classes). - -`Name.Attribute` - For all attributes (e.g. in HTML tags). - -`Name.Builtin` - Builtin names; names that are available in the global namespace. - -`Name.Builtin.Pseudo` - Builtin names that are implicit (e.g. ``self`` in Ruby, ``this`` in Java). - -`Name.Class` - Class names. Because no lexer can know if a name is a class or a function - or something else this token is meant for class declarations. - -`Name.Constant` - Token type for constants. In some languages you can recognise a token by the - way it's defined (the value after a ``const`` keyword for example). In - other languages constants are uppercase by definition (Ruby). - -`Name.Decorator` - Token type for decorators. Decorators are syntactic elements in the Python - language. Similar syntax elements exist in C# and Java. - -`Name.Entity` - Token type for special entities. (e.g. `` `` in HTML). - -`Name.Exception` - Token type for exception names (e.g. ``RuntimeError`` in Python). Some languages - define exceptions in the function signature (Java). You can highlight - the name of that exception using this token then. - -`Name.Function` - Token type for function names. - -`Name.Function.Magic` - same as `Name.Function` but for special function names that have an implicit use - in a language (e.g. ``__init__`` method in Python). - -`Name.Label` - Token type for label names (e.g. in languages that support ``goto``). - -`Name.Namespace` - Token type for namespaces. (e.g. import paths in Java/Python), names following - the ``module``/``namespace`` keyword in other languages. - -`Name.Other` - Other names. Normally unused. - -`Name.Tag` - Tag names (in HTML/XML markup or configuration files). - -`Name.Variable` - Token type for variables. Some languages have prefixes for variable names - (PHP, Ruby, Perl). You can highlight them using this token. - -`Name.Variable.Class` - same as `Name.Variable` but for class variables (also static variables). - -`Name.Variable.Global` - same as `Name.Variable` but for global variables (used in Ruby, for - example). - -`Name.Variable.Instance` - same as `Name.Variable` but for instance variables. - -`Name.Variable.Magic` - same as `Name.Variable` but for special variable names that have an implicit use - in a language (e.g. ``__doc__`` in Python). - - -Literals -======== - -`Literal` - For any literal (if not further defined). - -`Literal.Date` - for date literals (e.g. ``42d`` in Boo). - - -`String` - For any string literal. - -`String.Affix` - Token type for affixes that further specify the type of the string they're - attached to (e.g. the prefixes ``r`` and ``u8`` in ``r"foo"`` and ``u8"foo"``). - -`String.Backtick` - Token type for strings enclosed in backticks. - -`String.Char` - Token type for single characters (e.g. Java, C). - -`String.Delimiter` - Token type for delimiting identifiers in "heredoc", raw and other similar - strings (e.g. the word ``END`` in Perl code ``print <<'END';``). - -`String.Doc` - Token type for documentation strings (for example Python). - -`String.Double` - Double quoted strings. - -`String.Escape` - Token type for escape sequences in strings. - -`String.Heredoc` - Token type for "heredoc" strings (e.g. in Ruby or Perl). - -`String.Interpol` - Token type for interpolated parts in strings (e.g. ``#{foo}`` in Ruby). - -`String.Other` - Token type for any other strings (for example ``%q{foo}`` string constructs - in Ruby). - -`String.Regex` - Token type for regular expression literals (e.g. ``/foo/`` in JavaScript). - -`String.Single` - Token type for single quoted strings. - -`String.Symbol` - Token type for symbols (e.g. ``:foo`` in LISP or Ruby). - - -`Number` - Token type for any number literal. - -`Number.Bin` - Token type for binary literals (e.g. ``0b101010``). - -`Number.Float` - Token type for float literals (e.g. ``42.0``). - -`Number.Hex` - Token type for hexadecimal number literals (e.g. ``0xdeadbeef``). - -`Number.Integer` - Token type for integer literals (e.g. ``42``). - -`Number.Integer.Long` - Token type for long integer literals (e.g. ``42L`` in Python). - -`Number.Oct` - Token type for octal literals. - - -Operators -========= - -`Operator` - For any punctuation operator (e.g. ``+``, ``-``). - -`Operator.Word` - For any operator that is a word (e.g. ``not``). - - -Punctuation -=========== - -.. versionadded:: 0.7 - -`Punctuation` - For any punctuation which is not an operator (e.g. ``[``, ``(``...) - - -Comments -======== - -`Comment` - Token type for any comment. - -`Comment.Hashbang` - Token type for hashbang comments (i.e. first lines of files that start with - ``#!``). - -`Comment.Multiline` - Token type for multiline comments. - -`Comment.Preproc` - Token type for preprocessor comments (also ```. - -.. versionadded:: 0.7 - The formatters now also accept an `outencoding` option which will override - the `encoding` option if given. This makes it possible to use a single - options dict with lexers and formatters, and still have different input and - output encodings. - -.. _chardet: https://chardet.github.io/ diff --git a/doc/_build/html/_sources/download.rst.txt b/doc/_build/html/_sources/download.rst.txt deleted file mode 100644 index cf32f48..0000000 --- a/doc/_build/html/_sources/download.rst.txt +++ /dev/null @@ -1,41 +0,0 @@ -Download and installation -========================= - -The current release is version |version|. - -Packaged versions ------------------ - -You can download it `from the Python Package Index -`_. For installation of packages from -PyPI, we recommend `Pip `_, which works on all -major platforms. - -Under Linux, most distributions include a package for Pygments, usually called -``pygments`` or ``python-pygments``. You can install it with the package -manager as usual. - -Development sources -------------------- - -We're using the `Mercurial `_ version control -system. You can get the development source using this command:: - - hg clone http://bitbucket.org/birkenfeld/pygments-main pygments - -Development takes place at `Bitbucket -`_, you can browse the source -online `here `_. - -The latest changes in the development source code are listed in the `changelog -`_. - -.. Documentation - ------------- - -.. XXX todo - - You can download the documentation either as - a bunch of rst files from the Mercurial repository, see above, or - as a tar.gz containing rendered HTML files:

    -

    pygmentsdocs.tar.gz

    diff --git a/doc/_build/html/_sources/faq.rst.txt b/doc/_build/html/_sources/faq.rst.txt deleted file mode 100644 index 172929e..0000000 --- a/doc/_build/html/_sources/faq.rst.txt +++ /dev/null @@ -1,139 +0,0 @@ -:orphan: - -Pygments FAQ -============= - -What is Pygments? ------------------ - -Pygments is a syntax highlighting engine written in Python. That means, it will -take source code (or other markup) in a supported language and output a -processed version (in different formats) containing syntax highlighting markup. - -Its features include: - -* a wide range of common :doc:`languages and markup formats ` is supported -* new languages and formats are added easily -* a number of output formats is available, including: - - - HTML - - ANSI sequences (console output) - - LaTeX - - RTF - -* it is usable as a command-line tool and as a library -* parsing and formatting is fast - -Pygments is licensed under the BSD license. - -Where does the name Pygments come from? ---------------------------------------- - -*Py* of course stands for Python, while *pigments* are used for coloring paint, -and in this case, source code! - -What are the system requirements? ---------------------------------- - -Pygments only needs a standard Python install, version 2.7 or higher or version -3.5 or higher for Python 3. No additional libraries are needed. - -How can I use Pygments? ------------------------ - -Pygments is usable as a command-line tool as well as a library. - -From the command-line, usage looks like this (assuming the pygmentize script is -properly installed):: - - pygmentize -f html /path/to/file.py - -This will print a HTML-highlighted version of /path/to/file.py to standard output. - -For a complete help, please run ``pygmentize -h``. - -Usage as a library is thoroughly demonstrated in the Documentation section. - -How do I make a new style? --------------------------- - -Please see the :doc:`documentation on styles `. - -How can I report a bug or suggest a feature? --------------------------------------------- - -Please report bugs and feature wishes in the tracker at Bitbucket. - -You can also e-mail the author or use IRC, see the contact details. - -I want this support for this language! --------------------------------------- - -Instead of waiting for others to include language support, why not write it -yourself? All you have to know is :doc:`outlined in the docs -`. - -Can I use Pygments for programming language processing? -------------------------------------------------------- - -The Pygments lexing machinery is quite powerful can be used to build lexers for -basically all languages. However, parsing them is not possible, though some -lexers go some steps in this direction in order to e.g. highlight function names -differently. - -Also, error reporting is not the scope of Pygments. It focuses on correctly -highlighting syntactically valid documents, not finding and compensating errors. - -Who uses Pygments? ------------------- - -This is an (incomplete) list of projects and sites known to use the Pygments highlighter. - -* `Wikipedia `_ -* `BitBucket `_, a Mercurial and Git hosting site -* `The Sphinx documentation builder `_, for embedded source examples -* `rst2pdf `_, a reStructuredText to PDF converter -* `Codecov `_, a code coverage CI service -* `Trac `_, the universal project management tool -* `AsciiDoc `_, a text-based documentation generator -* `ActiveState Code `_, the Python Cookbook successor -* `ViewVC `_, a web-based version control repository browser -* `BzrFruit `_, a Bazaar branch viewer -* `QBzr `_, a cross-platform Qt-based GUI front end for Bazaar -* `Review Board `_, a collaborative code reviewing tool -* `Diamanda `_, a Django powered wiki system with support for Pygments -* `Progopedia `_ (`English `_), - an encyclopedia of programming languages -* `Bruce `_, a reStructuredText presentation tool -* `PIDA `_, a universal IDE written in Python -* `BPython `_, a curses-based intelligent Python shell -* `PuDB `_, a console Python debugger -* `XWiki `_, a wiki-based development framework in Java, using Jython -* `roux `_, a script for running R scripts - and creating beautiful output including graphs -* `hurl `_, a web service for making HTTP requests -* `wxHTMLPygmentizer `_ is - a GUI utility, used to make code-colorization easier -* `Postmarkup `_, a BBCode to XHTML generator -* `WpPygments `_, and `WPygments - `_, highlighter plugins for WordPress -* `Siafoo `_, a tool for sharing and storing useful code and programming experience -* `D source `_, a community for the D programming language -* `dpaste.com `_, another Django pastebin -* `Django snippets `_, a pastebin for Django code -* `Fayaa `_, a Chinese pastebin -* `Incollo.com `_, a free collaborative debugging tool -* `PasteBox `_, a pastebin focused on privacy -* `hilite.me `_, a site to highlight code snippets -* `patx.me `_, a pastebin -* `Fluidic `_, an experiment in - integrating shells with a GUI -* `pygments.rb `_, a pygments wrapper for Ruby -* `Clygments `_, a pygments wrapper for - Clojure -* `PHPygments `_, a pygments wrapper for PHP - - -If you have a project or web site using Pygments, drop me a line, and I'll add a -link here. - diff --git a/doc/_build/html/_sources/index.rst.txt b/doc/_build/html/_sources/index.rst.txt deleted file mode 100644 index 2611404..0000000 --- a/doc/_build/html/_sources/index.rst.txt +++ /dev/null @@ -1,54 +0,0 @@ -Welcome! -======== - -This is the home of Pygments. It is a generic syntax highlighter suitable for -use in code hosting, forums, wikis or other applications that need to prettify -source code. Highlights are: - -* a wide range of over 300 languages and other text formats is supported -* special attention is paid to details that increase highlighting quality -* support for new languages and formats are added easily; most languages use a - simple regex-based lexing mechanism -* a number of output formats is available, among them HTML, RTF, LaTeX and ANSI - sequences -* it is usable as a command-line tool and as a library -* ... and it highlights even Perl 6! - -Read more in the :doc:`FAQ list ` or the :doc:`documentation `, -or `download the latest release `_. - -.. _contribute: - -Contribute ----------- - -Like every open-source project, we are always looking for volunteers to help us -with programming. Python knowledge is required, but don't fear: Python is a very -clear and easy to learn language. - -Development takes place on `Bitbucket -`_, where the Mercurial -repository, tickets and pull requests can be viewed. - -Our primary communication instrument is the IRC channel **#pocoo** on the -Freenode network. To join it, let your IRC client connect to -``irc.freenode.net`` and do ``/join #pocoo``. - -If you found a bug, just open a ticket in the Bitbucket tracker. Be sure to log -in to be notified when the issue is fixed -- development is not fast-paced as -the library is quite stable. You can also send an e-mail to the developers, see -below. - -The authors ------------ - -Pygments is maintained by **Georg Brandl**, e-mail address *georg*\ *@*\ *python.org*. - -Many lexers and fixes have been contributed by **Armin Ronacher**, the rest of -the `Pocoo `_ team and **Tim Hatch**. - -.. toctree:: - :maxdepth: 1 - :hidden: - - docs/index diff --git a/doc/_build/html/_sources/languages.rst.txt b/doc/_build/html/_sources/languages.rst.txt deleted file mode 100644 index b06ccc5..0000000 --- a/doc/_build/html/_sources/languages.rst.txt +++ /dev/null @@ -1,170 +0,0 @@ -:orphan: - -Supported languages -=================== - -Pygments supports an ever-growing range of languages. Watch this space... - -Programming languages ---------------------- - -* ActionScript -* Ada -* ANTLR -* AppleScript -* Assembly (various) -* Asymptote -* `Augeas `_ -* Awk -* BBC Basic -* Befunge -* `Boa `_ -* Boo -* BrainFuck -* C, C++ -* C# -* `Charm++ CI `_ -* Clojure -* CoffeeScript -* ColdFusion -* Common Lisp -* Coq -* Cryptol (incl. Literate Cryptol) -* `Crystal `_ -* `Cython `_ -* `D `_ -* Dart -* DCPU-16 -* Delphi -* Dylan -* `Elm `_ -* Erlang -* `Ezhil `_ Ezhil - A Tamil programming language -* Factor -* Fancy -* `Fennel `_ -* `FloScript `_ -* Fortran -* `FreeFEM++ `_ -* F# -* GAP -* Gherkin (Cucumber) -* GL shaders -* Groovy -* `Haskell `_ (incl. Literate Haskell) -* HLSL -* `HSpec `_ -* IDL -* Io -* Java -* JavaScript -* Lasso -* LLVM -* Logtalk -* `Lua `_ -* Matlab -* MiniD -* Modelica -* Modula-2 -* MuPad -* Nemerle -* Nimrod -* Objective-C -* Objective-J -* Octave -* OCaml -* PHP -* `Perl 5 `_ and `Perl 6 `_ -* `Pony `_ -* PovRay -* PostScript -* PowerShell -* Prolog -* `Python `_ 2.x and 3.x (incl. console sessions and tracebacks) -* `REBOL `_ -* `Red `_ -* Redcode -* `Ruby `_ (incl. irb sessions) -* Rust -* S, S-Plus, R -* Scala -* Scheme -* Scilab -* `SGF `_ -* `Slash `_ -* `Slurm `_ -* Smalltalk -* SNOBOL -* Tcl -* `Tera Term language `_ -* `TOML `_ -* Vala -* Verilog -* VHDL -* Visual Basic.NET -* Visual FoxPro -* XQuery -* Zephir - -Template languages ------------------- - -* Cheetah templates -* `Django `_ / `Jinja - `_ templates -* ERB (Ruby templating) -* `Genshi `_ (the Trac template language) -* JSP (Java Server Pages) -* `Myghty `_ (the HTML::Mason based framework) -* `Mako `_ (the Myghty successor) -* `Smarty `_ templates (PHP templating) -* Tea - -Other markup ------------- - -* Apache config files -* Bash shell scripts -* BBCode -* CMake -* CSS -* Debian control files -* Diff files -* DTD -* Gettext catalogs -* Gnuplot script -* Groff markup -* HTML -* HTTP sessions -* INI-style config files -* IRC logs (irssi style) -* Lighttpd config files -* Makefiles -* MoinMoin/Trac Wiki markup -* MySQL -* Nginx config files -* POV-Ray scenes -* Ragel -* Redcode -* ReST -* Robot Framework -* RPM spec files -* SQL, also MySQL, SQLite -* Squid configuration -* TeX -* tcsh -* Vim Script -* Windows batch files -* XML -* XSLT -* YAML - -... that's all? ---------------- - -Well, why not write your own? Contributing to Pygments is easy and fun. Take a look at the -:doc:`docs on lexer development ` and -:ref:`contact details `. - -Note: the languages listed here are supported in the development version. The -latest release may lack a few of them. diff --git a/doc/_build/html/_static/ajax-loader.gif b/doc/_build/html/_static/ajax-loader.gif deleted file mode 100644 index 61faf8cab23993bd3e1560bff0668bd628642330..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 673 zcmZ?wbhEHb6krfw_{6~Q|Nno%(3)e{?)x>&1u}A`t?OF7Z|1gRivOgXi&7IyQd1Pl zGfOfQ60;I3a`F>X^fL3(@);C=vM_KlFfb_o=k{|A33hf2a5d61U}gjg=>Rd%XaNQW zW@Cw{|b%Y*pl8F?4B9 zlo4Fz*0kZGJabY|>}Okf0}CCg{u4`zEPY^pV?j2@h+|igy0+Kz6p;@SpM4s6)XEMg z#3Y4GX>Hjlml5ftdH$4x0JGdn8~MX(U~_^d!Hi)=HU{V%g+mi8#UGbE-*ao8f#h+S z2a0-5+vc7MU$e-NhmBjLIC1v|)9+Im8x1yacJ7{^tLX(ZhYi^rpmXm0`@ku9b53aN zEXH@Y3JaztblgpxbJt{AtE1ad1Ca>{v$rwwvK(>{m~Gf_=-Ro7Fk{#;i~+{{>QtvI yb2P8Zac~?~=sRA>$6{!(^3;ZP0TPFR(G_-UDU(8Jl0?(IXu$~#4A!880|o%~Al1tN diff --git a/doc/_build/html/_static/basic.css b/doc/_build/html/_static/basic.css deleted file mode 100644 index 53acd09..0000000 --- a/doc/_build/html/_static/basic.css +++ /dev/null @@ -1,748 +0,0 @@ -/* - * basic.css - * ~~~~~~~~~ - * - * Sphinx stylesheet -- basic theme. - * - * :copyright: Copyright 2007-2019 by the Sphinx team, see AUTHORS. - * :license: BSD, see LICENSE for details. - * - */ - -/* -- main layout ----------------------------------------------------------- */ - -div.clearer { - clear: both; -} - -/* -- relbar ---------------------------------------------------------------- */ - -div.related { - width: 100%; - font-size: 90%; -} - -div.related h3 { - display: none; -} - -div.related ul { - margin: 0; - padding: 0 0 0 10px; - list-style: none; -} - -div.related li { - display: inline; -} - -div.related li.right { - float: right; - margin-right: 5px; -} - -/* -- sidebar --------------------------------------------------------------- */ - -div.sphinxsidebarwrapper { - padding: 10px 5px 0 10px; -} - -div.sphinxsidebar { - float: left; - width: 230px; - margin-left: -100%; - font-size: 90%; - word-wrap: break-word; - overflow-wrap : break-word; -} - -div.sphinxsidebar ul { - list-style: none; -} - -div.sphinxsidebar ul ul, -div.sphinxsidebar ul.want-points { - margin-left: 20px; - list-style: square; -} - -div.sphinxsidebar ul ul { - margin-top: 0; - margin-bottom: 0; -} - -div.sphinxsidebar form { - margin-top: 10px; -} - -div.sphinxsidebar input { - border: 1px solid #98dbcc; - font-family: sans-serif; - font-size: 1em; -} - -div.sphinxsidebar #searchbox form.search { - overflow: hidden; -} - -div.sphinxsidebar #searchbox input[type="text"] { - float: left; - width: 80%; - padding: 0.25em; - box-sizing: border-box; -} - -div.sphinxsidebar #searchbox input[type="submit"] { - float: left; - width: 20%; - border-left: none; - padding: 0.25em; - box-sizing: border-box; -} - - -img { - border: 0; - max-width: 100%; -} - -/* -- search page ----------------------------------------------------------- */ - -ul.search { - margin: 10px 0 0 20px; - padding: 0; -} - -ul.search li { - padding: 5px 0 5px 20px; - background-image: url(file.png); - background-repeat: no-repeat; - background-position: 0 7px; -} - -ul.search li a { - font-weight: bold; -} - -ul.search li div.context { - color: #888; - margin: 2px 0 0 30px; - text-align: left; -} - -ul.keywordmatches li.goodmatch a { - font-weight: bold; -} - -/* -- index page ------------------------------------------------------------ */ - -table.contentstable { - width: 90%; - margin-left: auto; - margin-right: auto; -} - -table.contentstable p.biglink { - line-height: 150%; -} - -a.biglink { - font-size: 1.3em; -} - -span.linkdescr { - font-style: italic; - padding-top: 5px; - font-size: 90%; -} - -/* -- general index --------------------------------------------------------- */ - -table.indextable { - width: 100%; -} - -table.indextable td { - text-align: left; - vertical-align: top; -} - -table.indextable ul { - margin-top: 0; - margin-bottom: 0; - list-style-type: none; -} - -table.indextable > tbody > tr > td > ul { - padding-left: 0em; -} - -table.indextable tr.pcap { - height: 10px; -} - -table.indextable tr.cap { - margin-top: 10px; - background-color: #f2f2f2; -} - -img.toggler { - margin-right: 3px; - margin-top: 3px; - cursor: pointer; -} - -div.modindex-jumpbox { - border-top: 1px solid #ddd; - border-bottom: 1px solid #ddd; - margin: 1em 0 1em 0; - padding: 0.4em; -} - -div.genindex-jumpbox { - border-top: 1px solid #ddd; - border-bottom: 1px solid #ddd; - margin: 1em 0 1em 0; - padding: 0.4em; -} - -/* -- domain module index --------------------------------------------------- */ - -table.modindextable td { - padding: 2px; - border-collapse: collapse; -} - -/* -- general body styles --------------------------------------------------- */ - -div.body { - min-width: 450px; - max-width: 800px; -} - -div.body p, div.body dd, div.body li, div.body blockquote { - -moz-hyphens: auto; - -ms-hyphens: auto; - -webkit-hyphens: auto; - hyphens: auto; -} - -a.headerlink { - visibility: hidden; -} - -a.brackets:before, -span.brackets > a:before{ - content: "["; -} - -a.brackets:after, -span.brackets > a:after { - content: "]"; -} - -h1:hover > a.headerlink, -h2:hover > a.headerlink, -h3:hover > a.headerlink, -h4:hover > a.headerlink, -h5:hover > a.headerlink, -h6:hover > a.headerlink, -dt:hover > a.headerlink, -caption:hover > a.headerlink, -p.caption:hover > a.headerlink, -div.code-block-caption:hover > a.headerlink { - visibility: visible; -} - -div.body p.caption { - text-align: inherit; -} - -div.body td { - text-align: left; -} - -.first { - margin-top: 0 !important; -} - -p.rubric { - margin-top: 30px; - font-weight: bold; -} - -img.align-left, .figure.align-left, object.align-left { - clear: left; - float: left; - margin-right: 1em; -} - -img.align-right, .figure.align-right, object.align-right { - clear: right; - float: right; - margin-left: 1em; -} - -img.align-center, .figure.align-center, object.align-center { - display: block; - margin-left: auto; - margin-right: auto; -} - -.align-left { - text-align: left; -} - -.align-center { - text-align: center; -} - -.align-right { - text-align: right; -} - -/* -- sidebars -------------------------------------------------------------- */ - -div.sidebar { - margin: 0 0 0.5em 1em; - border: 1px solid #ddb; - padding: 7px 7px 0 7px; - background-color: #ffe; - width: 40%; - float: right; -} - -p.sidebar-title { - font-weight: bold; -} - -/* -- topics ---------------------------------------------------------------- */ - -div.topic { - border: 1px solid #ccc; - padding: 7px 7px 0 7px; - margin: 10px 0 10px 0; -} - -p.topic-title { - font-size: 1.1em; - font-weight: bold; - margin-top: 10px; -} - -/* -- admonitions ----------------------------------------------------------- */ - -div.admonition { - margin-top: 10px; - margin-bottom: 10px; - padding: 7px; -} - -div.admonition dt { - font-weight: bold; -} - -div.admonition dl { - margin-bottom: 0; -} - -p.admonition-title { - margin: 0px 10px 5px 0px; - font-weight: bold; -} - -div.body p.centered { - text-align: center; - margin-top: 25px; -} - -/* -- tables ---------------------------------------------------------------- */ - -table.docutils { - border: 0; - border-collapse: collapse; -} - -table.align-center { - margin-left: auto; - margin-right: auto; -} - -table caption span.caption-number { - font-style: italic; -} - -table caption span.caption-text { -} - -table.docutils td, table.docutils th { - padding: 1px 8px 1px 5px; - border-top: 0; - border-left: 0; - border-right: 0; - border-bottom: 1px solid #aaa; -} - -table.footnote td, table.footnote th { - border: 0 !important; -} - -th { - text-align: left; - padding-right: 5px; -} - -table.citation { - border-left: solid 1px gray; - margin-left: 1px; -} - -table.citation td { - border-bottom: none; -} - -th > p:first-child, -td > p:first-child { - margin-top: 0px; -} - -th > p:last-child, -td > p:last-child { - margin-bottom: 0px; -} - -/* -- figures --------------------------------------------------------------- */ - -div.figure { - margin: 0.5em; - padding: 0.5em; -} - -div.figure p.caption { - padding: 0.3em; -} - -div.figure p.caption span.caption-number { - font-style: italic; -} - -div.figure p.caption span.caption-text { -} - -/* -- field list styles ----------------------------------------------------- */ - -table.field-list td, table.field-list th { - border: 0 !important; -} - -.field-list ul { - margin: 0; - padding-left: 1em; -} - -.field-list p { - margin: 0; -} - -.field-name { - -moz-hyphens: manual; - -ms-hyphens: manual; - -webkit-hyphens: manual; - hyphens: manual; -} - -/* -- hlist styles ---------------------------------------------------------- */ - -table.hlist td { - vertical-align: top; -} - - -/* -- other body styles ----------------------------------------------------- */ - -ol.arabic { - list-style: decimal; -} - -ol.loweralpha { - list-style: lower-alpha; -} - -ol.upperalpha { - list-style: upper-alpha; -} - -ol.lowerroman { - list-style: lower-roman; -} - -ol.upperroman { - list-style: upper-roman; -} - -li > p:first-child { - margin-top: 0px; -} - -li > p:last-child { - margin-bottom: 0px; -} - -dl.footnote > dt, -dl.citation > dt { - float: left; -} - -dl.footnote > dd, -dl.citation > dd { - margin-bottom: 0em; -} - -dl.footnote > dd:after, -dl.citation > dd:after { - content: ""; - clear: both; -} - -dl.field-list { - display: flex; - flex-wrap: wrap; -} - -dl.field-list > dt { - flex-basis: 20%; - font-weight: bold; - word-break: break-word; -} - -dl.field-list > dt:after { - content: ":"; -} - -dl.field-list > dd { - flex-basis: 70%; - padding-left: 1em; - margin-left: 0em; - margin-bottom: 0em; -} - -dl { - margin-bottom: 15px; -} - -dd > p:first-child { - margin-top: 0px; -} - -dd ul, dd table { - margin-bottom: 10px; -} - -dd { - margin-top: 3px; - margin-bottom: 10px; - margin-left: 30px; -} - -dt:target, span.highlighted { - background-color: #fbe54e; -} - -rect.highlighted { - fill: #fbe54e; -} - -dl.glossary dt { - font-weight: bold; - font-size: 1.1em; -} - -.optional { - font-size: 1.3em; -} - -.sig-paren { - font-size: larger; -} - -.versionmodified { - font-style: italic; -} - -.system-message { - background-color: #fda; - padding: 5px; - border: 3px solid red; -} - -.footnote:target { - background-color: #ffa; -} - -.line-block { - display: block; - margin-top: 1em; - margin-bottom: 1em; -} - -.line-block .line-block { - margin-top: 0; - margin-bottom: 0; - margin-left: 1.5em; -} - -.guilabel, .menuselection { - font-family: sans-serif; -} - -.accelerator { - text-decoration: underline; -} - -.classifier { - font-style: oblique; -} - -.classifier:before { - font-style: normal; - margin: 0.5em; - content: ":"; -} - -abbr, acronym { - border-bottom: dotted 1px; - cursor: help; -} - -/* -- code displays --------------------------------------------------------- */ - -pre { - overflow: auto; - overflow-y: hidden; /* fixes display issues on Chrome browsers */ -} - -span.pre { - -moz-hyphens: none; - -ms-hyphens: none; - -webkit-hyphens: none; - hyphens: none; -} - -td.linenos pre { - padding: 5px 0px; - border: 0; - background-color: transparent; - color: #aaa; -} - -table.highlighttable { - margin-left: 0.5em; -} - -table.highlighttable td { - padding: 0 0.5em 0 0.5em; -} - -div.code-block-caption { - padding: 2px 5px; - font-size: small; -} - -div.code-block-caption code { - background-color: transparent; -} - -div.code-block-caption + div > div.highlight > pre { - margin-top: 0; -} - -div.code-block-caption span.caption-number { - padding: 0.1em 0.3em; - font-style: italic; -} - -div.code-block-caption span.caption-text { -} - -div.literal-block-wrapper { - padding: 1em 1em 0; -} - -div.literal-block-wrapper div.highlight { - margin: 0; -} - -code.descname { - background-color: transparent; - font-weight: bold; - font-size: 1.2em; -} - -code.descclassname { - background-color: transparent; -} - -code.xref, a code { - background-color: transparent; - font-weight: bold; -} - -h1 code, h2 code, h3 code, h4 code, h5 code, h6 code { - background-color: transparent; -} - -.viewcode-link { - float: right; -} - -.viewcode-back { - float: right; - font-family: sans-serif; -} - -div.viewcode-block:target { - margin: -1px -10px; - padding: 0 10px; -} - -/* -- math display ---------------------------------------------------------- */ - -img.math { - vertical-align: middle; -} - -div.body div.math p { - text-align: center; -} - -span.eqno { - float: right; -} - -span.eqno a.headerlink { - position: relative; - left: 0px; - z-index: 1; -} - -div.math:hover a.headerlink { - visibility: visible; -} - -/* -- printout stylesheet --------------------------------------------------- */ - -@media print { - div.document, - div.documentwrapper, - div.bodywrapper { - margin: 0 !important; - width: 100%; - } - - div.sphinxsidebar, - div.related, - div.footer, - #top-link { - display: none; - } -} \ No newline at end of file diff --git a/doc/_build/html/_static/bodybg.png b/doc/_build/html/_static/bodybg.png deleted file mode 100644 index 46892b801ac1088cdb7091f230bcb0eec1bfbe85..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 51903 zcmV)#K##wPP)7IfB;EEK~#9!P2D?|o5__eV64U@ z=)r-V9t@6x9@fe+$e;&9V}Q|?MgfB!{4~dA~S*i z5pm)?_G9z=%U}Nd+wFe;efRtOulxJ`x5NGZ@3-G~znt&K-*?~t=Wma{J$^a=zWdMX z{r;Cf|9biD@XznRod5cV-wuC!{QmOSKm30E%b)-D_|NO#|MbiDx7+X6e|*cQ{dV|u z|9^kXPv7rO8 z_>@om+vEAE@A>w>{Q39mKfmWw^Ns)SfB*95U$%ccJ-_4A^Gc7uobwxhd;Gfp>mPpE z=6iqN{q6LZKmYsV^Y?du{ll;Oe|&p>=RTkFe}DXC`|BmY`>zkVkLNX?zW+~tCRgx( zfBb#-+wE_s-){N5U(UJue}2wS=Z^pN;g{|68_s|K)4#slkG~y$-~Ihh|M>L0wq5S- zpWpAtT*I%I@Bfo0@ch5S^E-~uzdil!^!$`ZuHkUjF&{ z`FYQ$_4hyh`{VN&Typ*YdHv;_Z~lGvk59jB^UR)i^!Dri`IZjPzkTW5p6~bn_rK4l z_q^uccloyb&99gLeEoI*d@H-(cmMBy^G2Wl?UZNGtG?z_e!b-H|M4yF>@R=5AOHQf z`R(@ax4w$oU;prYh=*LxU;pr*ulfJE6DU|NU?NB@gZY z{`j}Y^LDmbKF`N=$>09|l5cw6{51>Ye$NAczLfKGsXVXZ_m@1d=h8U;=k>X4x6e1FXge`5Pw zNcU`E-kO{-^)EKCg411)lAkpZnWmlMDaP*Z%19fByOT zx!bSz<6r)qb>B7ji~_&zyT1?r{%9pWciy+WrmXRQe9I+f5C8uDTx<92-`vdKPFXB@ z*w4?q|9;Ib`}?2%^5?();rTdkS&8|!-ww}y*fq?UUD+XpxBUe5RkW5E7N1@1wtw1)OD(sP~`O zEQx&MKfmWy=NaUEWcmJb{@0h>b?!J3B#|!5EWg`G{{HKw@8$XY@<#vur%hf-ZZKcc zRr#I(nbrCGdmd6=%k$-3bD7T<@Ht^AU;6yl2F?5Nxvma*jJf^XX7)_JGHWxh+fw=M z@Vv--Zt-s?`#j(L`+GkBw_5^tmRXj-_y5U-WoJBJ<1Vl6Z;w3K{M~bLee9liKK6wA ztor9|z2uSPdlS~P<9<2kQ=X6FxxAkT#>E3j9LoC7HRq0=FYuDxn19PQ$d1bHeny&G zf_WZxf4PENea3(arkxn!qy|6cQHc`gb0`PW2-MA0mUXH>W+UOXexHFx`bI`4Vz zS+|bE%y37JfPBAkE)qAw8e+F_HSY7*2T$B4M8W^SQrd6Xd(|yIBI= z@p%T%WA0yH5}BUS_?VbzyFZ`(bF**q$g>unyYZG?^9<&ng?wM0OV7!f^_W-me}Bx{ z%nnL`%1im}_WajtURr{$*Ymu*mxSoNvVVOL!m~rOhI2d5(6<){et*f0WcTHDC%olB zWQEI1@^EstW@RTJ{=O5^vf%#tJ>Q-e`p@sr&)?^J^5XNF5(M+u^3ZZl=Mm>Fb1vr5 zW*_B6{_Ww&%EHMp*1i1PZ+V$nRs63>E8)tkLNM3Os&_6!q1?QD#on|feOukBdp7G$6bj58wE&DAH7OBV4w*}wM)zUIWvyT~)oHpqWw zC+FbE&t-AV`+CWe${Wn9&0@}S%y%`N{+wl-`0|fW*{r#Pe}CNk{ZC$5)@2@hZaW#H zSDRck>nD#%`j&sspR&*Ly0b5{EAsNPmvfPM#d77GZOv)l6P|KG$^Nq|^S$}EEV?|1 zpDCi_CSf@Ln(xSC=nMFqZ_A$f$G60-Jle*l9zl6M4R5FC)j!;cqpg2xrjW!aA<~K1 zrE=@tHTY(iWvS#vCA{W-5=y&(AGw2ne$Ri;-zLIkS*i#U)}QzG)=K1&EtK${SNnXr z`z#L!TJrGJA4y;HobwvJ+8mlHi=?%AzNwxPwDXP6C~)i{aZUVFnI#*M^yWTPaQWms z-(&!J@wu-2M$^XT5s3oNjriL7=edwJ*}u8z=LMe?Vp6Jv*SwTu&H0twMoO~m+3eZ| z*LxG7W6rnk{RZJ@g7G;!KA|tGJrCp=@V;ebCU)d^QvfDzWD%(~@@kVnR(^f6~EoG#%1ma@OgO&@VU~QwaE|UBgyI$VUp?R&T@Fj;PWUFeDe)G zS0C933DTZMuAndKoZl5K?#E=;J+iJnP9Dial2GN|vZQ(_9+J2^X`jpcwOio1>$CHc zXFThG@4ezg*7t<>1ooWg&k%h|p`8elD@e|eMWZ_DQ#hyO&XP%%k%J~rFj;;6Hk&ES z$9B$9nYfcru_cnL^f13?e zWpt_Rvm5gp-SN-WnUg8Uiie}qkd#CvpMt2b;+XU;CtQMW7M#;6uP_fciy^n1;G1xm zE6px*{w2?D?;&UCJvR`RS**<-jtQU+qVDNa7C~M>7EBI@@Bfp) zn@@l4t^S?!Qf=`4f3iIDkXP2y3gehpl0!~OmgVlN79>B&5tEmb<(Qm5%i|fE zzh%c}C#PU@R6UQoZA!L8<>ofKWDohhyqPTOTwRu4kIZXwpVkB0)Hrz;c{1Iw`yQb$ zdC&QGN$Mu2P##&n$P3M$mKoEOCi<}nCi26?=AaMV<=~t48E6p zYpQw9u8=hS>qCpBlN??Bo|x0je4kdyET7Mcx!-Pi*b=EMpM=dUTRHIG9+p%dK@PNp z{p>RPr0LPQMeQyRJ8>WpseAjF<(Rw9CnvW^4AIWY_dScuufk{Y`v&N%Q#Y@qcXSow za_mgv^_BxpWn($!I7v#EV=?(gHda!^MB3bCGnsuBdj2&}H!Y-;vw0p_TuI5(vB^eD z1ZlK5w$and#u1pG&hO;V%+}47`jljI`MWG;+a;fvC$vfU&uO8}lC_=PsGFC3vdxP_ zcjLoON=as^B-|t!%qni@@cAf`J>&~>)+UxDz&{`2B`+eUX-k%?-|eOE6NcL(dxlr( zVE&Zdl!ut0-}CSC{L?M_CofN#IH&j_;Vpk^n!4-xd(IwA-mcr1g_Pe;Y1AtEm;gGJ z$aCqf#!R{p3BPLW+@}YdKWPJrKUpeSQmF{?x)RvES_P#AkQyfkMy{saw^O!I7Jr`k z^T{+yh?^oWk)+8PI6s_Ro z*?CCW{R#Ei+sQYwq_SI*D{Jj0Ksl3j2lIlGduPXI53UnY8I;}svvr!*M)qQkqCDQb ztn8>PLiKd=j62si_{g_>*hmle% zdn&tDwV!8|Z*MyI+C_0o!z%Zm2$ZLh=ORIKWK0gS7p`rM?&(JZ`+NRVvD}5#c5iz) zk8Dm?l7pq~qHR8up5FU=9#d9mU(Z?U=Ru_5 zl2?+|+f?Y3Fqy+4Kc5@S`jQQ|dOzpIJJS+XEe0(k}jh+OXti*&MHD?}JZYtqbYd3)>H=GyXM9hV!qBo*Q-kBGl zwnH9vE;IuQ4V*l>EH7=+?6Cx2eaD`}TUm3`%av5-8&d1$W)w^b@E&JAFRv`i!UQ8} zSsr;7T(XfanqzX6?tzoXp4TC1%d*Zh$lghBu657F3s6ic3iCR$kMg;R0y!F5Hh-$rekXOn5I=aR)O{mt^s_2o)!v5dPC1+pa@E!t2UnF%(vv6&iC4(jUXLuwJml{Uee=hoAzv;U$Tdm-i>uWqlw%$ z-^)9s+8te(h2%s!LWO2@JnEZb+w zc~fma&*{sISzqinhgV+ecXLydi@eQzayL^uxOuku*EIX{ z1hSdLveaOkG%8kmCm$tvv>EacxRrR>N3G+}d3mkWFPfRHVvi}N^6}|{b+mCwYLyFb zt^ShNkVlXsAulZ6qxN{+~oM|5jwfw0m=|=2M<`^saVFUoXd4o<{bi zGEyy`m!EH&3CD{@b|(nw3AQ@Pb50~`bE=!JLArAi#a^ZAuF-R8fA?D!a-Q5qb)4X3M3Tjjy_o=SN~PA-ot6zJ%;h!xJoA)a z37d%sZI!fpEfL9uJpXh|M92koR?vebAvFt4KQTKuGx{v0&g~Cv@LV!^%zn>lp8(x# z{Yx$%BB1mAtuA8g-*bX~ZaX`wn~0WItj=B42u&9gN*u{vVqINTae^b6dH=)imj( z?XC`mrvYzBc*zOdjPj6&qur6ljGWqf&f`l!HciVXcOrGv;VYWuOZUbOC?qeX0qQik zf{zKv`5fb#Tx)x2mwaNvHH1rAG;I(c5(JfV$^OA9QV{7#G}2tf16R^V@_^J)oyZjW zsn?{ndKx*rjLGvU*%;Z4Ej$l-3R$*2s_yCO|CqR+vp+LVD#+#15$slTDv`A{!7hs- zznMi1xsaEfpq;FyaFfmebIskiGg5=A^0sD<*co4+)iJ+qu%7ESq0F1;anjjs`Z@RG zCIKz~n8zfrr|Rtv*}8DKqiR||u5pfyX#_bNTJvO87SWoGC~;gOoP1pjhRq)E`% zm`;|Vd7D>}SJrODMZ2~6_|>aW#wJP46=a*FN1-=igp;z=&dYOXd#vBAh|9Mn^T+~D z4$^7HF>@ElqeP#)3LTalqS>J$L+)LFa%DkT%uaDL5!-4twnRM$jYi=c=>J% ztRqxlE;m89=}Z>VPKwx3%CNO*6|OoUeTH*Br^hW zgHF`@mpvq6=IT@(IcoBEn}q)kH`-U0gSRi$>0r_x9l`t>2&MI`o2<{DP?j7x>0MrO z6Q)bf&^$_+g5FmyH;*B!(+myj#hf|`sbo;s9uT59w|ma+WYTSJoQ$G!Te*FuWu^d- zcsUzY8wugL{-m%uI#yp#vYST?{+f{6*PV&`3F zmj$PPnJ$SGvOBN&cn(8lb!T!{OHZknXWH!tm8hF{5&m-r1>K(Kg`PC3jHlXD{Ww|u z&9RuL&@%i1L(rk|ag~DNcu|kf?Y_y)q_yEBar(if6=%0k(PdGjf&}NtfzjFjA&;pc z_p}0(J|Rr8WsyJk94wqOdp{4hXZ5?mWpO+=o$@qrw4H)VoVMqS`F(;f7+MYo!7@9= zwZpx789C5^Q4)s+WKuXsQrq+t+P>|i5PT&ygwc)SvCzsFrCAP#BKXWDZ-6I>QWC?o zRN81c<@{~vz9pFF0Brp+ZQS-dj|JGgw2W*zSa|EhQ)@|kH~Jl%!f6J%AG-;z3H9&= zsdfqjxn|>ZZ=ZEU8d+NkHtL+jp=_ari_9}sB5%459@(K;*~TIHHNemOZBNMygbA2c z9z|Y!UZbL>QQ(mVtU+#8Y|q_OUZc}~0T(5A_DmW_fK0`{wd%+Y$g712P+2F1!&lv~v>O^RdI1~?`g)@jSn=B0H0c7r?2pNk|4+VJX#NwCd>O~#!U zn(ND1oS5@-j3p&?*5)ZBNwt5IB5GICdS`5KAOFmVC!B^^v=K_$Dz_NEwXTQEU znTr3r7&bQb>+8#Jcp1QqZIr%G2knunTqCkAm6P^K_W42$p(*5dTNB*m_epk)IP+Mv zY;zk0fb9DnFAIy)#oAYu@G56W-VPp8MB`Q_W5ksQVfdU{Lx-Sc=}t#o0L|H*%)C9p ztBz(f{ZDd?AH$}cvhUO6J!EuLDoY#P(&&c&W(e8)*})kOIkIv`233F}K&=V?=K8iv zdyE0V&4dF~ex@1c0heC0(bf=M$!H|+xfD>+j$x164(0Qd7vMD4_@A#Erv@x<_Cj7A zc!MM?E77Ba#Lo*XWV2}Eq-qIXS#=&0RE7zxvX6Z~>M{%nPmxF1YC4ota@ldl$imTyTLaZT#FHId-0%aUYiaaI&alAdb5+UkUr;rA6rFSj6MbMD0yP^lS`kMrtJ2Be zE8;p!$e{TJl&omJ$Fx~Knja+l$DqioH5tWI5HD%JFT5ZVe<8lPw!9LR=nAu{0 zpIiCqq=*N&AjqJOobQ@bW?6|AkbdTQ1CIQbPt7T5gp=FqOm138Fo}8bxyMd8UksXa zm$|;|v=XP<&BzM{qs-s6WPD_?;!8C7Y{b$y_oB3>+FZVAKb1DJ14%t@i6#^kq5IKXssoLOmdwHRWu6pIw`KYa{Fm*oLI1F)80|AnNWgt z+YX7g;B*>qMK0~Nl>qNB5VAxIE4$gNSw?0oFv(3w8+}B=LTNrKW7lM0n3ES2|2%sM zc%rh;+vGB3ss@p%dJ9qbs6Cqyo)DOtG=FDuKM23QSr&X?VhIQ)?78VbW~^qgx)=8! zVIdE&?AA|NGKQki0^r(8tr-~YfFJTH3EcUa96?U(?6iEFfo}jHIf+~HoZtx!hgQX+ z*VorwP_-s+JMJ$noYFUonnA}pIkBe)|2~baLpjh7nw;ayB<Pj4FBWhb*#7l7vmkhn(YN(MAg-A?XLoQn*ivb?VIJn@F6FMeN zb)^}$PIfG;rS_2>FK21ddL8U^B_{HTumRa5$(ArDN<2H|^O}6#6rrznc!8vVZuX(uX zk7qs0Ef6kB$?D`WeJN;Iltm0$NmO11R!r&&H;|eg(0eojE&$I+ok=QD!BSu)K;|(5 zO`&KOt#nNzrBKXWPVp@7JjiA(TMWFUQBK`*Z!XEM+hW}h(&+@+na9}#^aa2&d$1?& zwf&8o{2&Wcd%Ma22N7uvrSf#KbhF?RZxQEB6q8hfb6_TBTjq#f<8QrHJy4urjO)CS zEF{>+EDJIbkP=xy`8oMRFoAnLBVsJc%2y9BuL)tgSod3RwLpz@o(wh8Z-;5ak}PO#ikLT6cxCrB89Tk&XG znMGZM(zW1`qY;xdG*^YzlB^()Ca*j@1#%9N1I92rUzfcD@uHq_5y~~XfR>~|Otb=P zp$bKLwXH-FxXn$?SacC-EmU`52ziOXwoWRlEZck@g%Zqz)(v56@1d*#$Ffa!2jlAtOZ0dq(WQv7|nEm+CP6tc4OxKk0x5VE*r+@*VG zUU5l!G_8^k#-k93AfV~U5u(8yTHM@K(VGuye&;|*qS+x=_yOOIhH|BY(Yq!#X{l9z zWBQ4W7M++Fm9?pjRZ)2g#Mc~}o|ZmgmT7Sr+ct+9RVa@XUA#vW(?#r5;eZ#dhmvjO zuqoKB5LuvpEFmfJU;nUKfKF#)=W1=TWK3Dm_pJ;7t(Uv71SU@FBfkL`yavNMi%omZ zzP#z(@QUU{JZOOcM`qEr=XUPHcn4$79ISw_@)32t6G2SG@G)R=CU{)h8 z^xhRS4=x&J>nI(XX=$b-Y zu5UAK$qQ`~O(f?!^ZhL;8EvXc)7+9psgy`%v=4dJ4K?{INo5c2-iXrUc+iH|EQ^xI zPWcUy$Wh);uPWRom({HKJ-a05M<4cu-WM=*5--(s^5>pG+a-V^+`zvS_j(2YN{`!l zL=vLfR9}I>lFk_T<~2&F$^*LCdqu_Wo9ujZ#^*s8$8_{jUZB2r%bcACQ(if}uUx(Q zBg>{!iXv;|-jp-iQNICQn z9JootHTGUbE_O)S63CXSdmwUsN2&*T7nP{|G8N0I+`|G%C7;T<4(QHhTyJfOtGMxcTRy<_CkByehH z^fkJiPF0NIl_?|!A)_IhnwRAofG(<2x&@yyWgOw0b{nU;^10V^#v)eY5vvrg!82j~ z^7C1&N?&?kGIB*V;);k`9cs6`KftXN0P4;_p+10ArgYh2P#Zl z>SVT9hR*|WG#5|BGMCq&)s`t#Y+gr&RxhitgT-Hrf<~^B*ac>lWdRwdX#&?jG_6$Q zqbEqHaT+9}I0i(ieX~gNOC447InO0~+uTo7Nv1C{(BW%$zlUM&(^GTYnN*gPbA?X? z3MsB?nzwoOHlnyY{mzf#U%45_A+f$;A|)d;iAnMf2!euZVv&tw>{MF)ZPOXrg9ItL zfwXazWO{hHeFSV_snzFAP|e?z{B#EJ#;B*@l$!AZOlyx+MEtKo4MVNRc2~94)8bYbIA&4}cfWzB+c(m@>g0u+r zSvXzFdx+n>SZruwHtibRR!6Q+Y^NbKnj-J2ncUmL=@*aGrPQ>naI!TC!3`obQ%Q|V z#uBthY)f{NHv zI1?za`#Wc+(KB*qG;h~12S8Ls-`VQ>fo3zT}Qh2*@Hdp<>OF18DO++W7C7)s))a^stgq2h3vl z1ML{SImcKr6=zx?6>0cHjsYiWP3&}8+d_J1!@x4-lZqxdV;cj+<{&8g_(c3t7GlWN zG2IIt1i4f@sdqEJF2olDx+JdoQ=WBI9&Uhb#HOA{fB`6t0Qw+ift68dGs0^$4_F_H z#AW)0zl{=sXoTWr@JIgl3s4K_=)8wKLVl+Mc{XkXrDjSck0`MiRbq4tzMZSsa zu?6f2k2(L>Y3T(;Zt|hi>Z>f`Hz$VtTt)heLMn6eYt!YsrW4+AC~fqIGKO~a<~}eh zQ}&rKBwGSNSIISsy)V$pAStU4lC83V@Jljgoetf*vu|t@48b9T2gY;~8yFv8z>|e8 zQ)Oo?ktTAH|DDPQ&)hs zWgJ21g;@hJD#T3VAG$t-DVKtGbCoOdSe_OM+{6eic}*g~^0vaRwnkE7ebF2-D5iyq zUWh{|@6%+%2hZ{O>wW`}ot>eDSs28JkrS&@P05$rq#v3;orv9tJSrI%d%nfpI~3u6 zi$*5EgDR!b1D;VK=SPRiuO{Ecyr0P{^k$ADys{I{yMLQ!FL;-pes%+4XrzuR95jf- z_Dt`v^$e^%-67Izc{q@_376)G1nLu@t04MCTuYjV(4EB~2xAHmdr~5I^i>dBF_oj% zozp(siYlx2Y&JxXwoAcZH)7F2N)V5z8kl+GSGuKSt%>a*jpsAbX)65q8QPj~KR}Gk zL$REz)5}0rPXyU?VtfHQ%!6>6W{vAi6-==sj>xv5>CA%`2*D;|C(4|Rbn`fuz(W^T zm3}mB6W}#Vz{v|YdFx|6mpsw2QhL`Ia|z8#sj0;;uzA=n2B0$I(KEWH9j(=*$qRsn zrvzk@do$An7E5>)Qu8YEP_@Bn@99hcqhx{5JVXDq$g9mi(c?NX{spIJt0A z>N%a&yiynJVGcb-9ei6pMAF7Qa8lRpBz%J+bRQ~tay3XVxtlzUbmSJ{oE|tXo-3eM zR=#uP>=N3uDAH`T&WJRvLT}kEk_}sTH`n0oN@K&mc1ZSmIiu6PBEcm-~cI+2}ij` z=R(_$C?5&59j6Br}5q*>f~C)62y5d?7WRl%CU-g4Bav}nmG zVg(zSl3`epVW}1yZI#)3lA+-Dkg0RnEF%T5b8JB4)4b&B3+(j@&{T_Q8W|}OF3+Wm zg1f`8uloa-3&{#-;UaZTxIsdBDfDNFYYwG+Tcw~b^vFy%yFk7{UGWVfote11`YIaj zu*p2lhIQFI!e|<}ZwcQ%7XTd3QpL2(3W+>W!5a;nBc<7Qmae0S3=%aB70&Q-CZhaa@qt>fC{DQ%Mwo z<}1{;DBw1>(p+x-P=Z=xXQBmFZxV8J4VH|2*_2my5i{#JNP4q*bC)nt#9~$p82VCQ zMR%d&`BKU^Ik#YZ+L|o-y$IM-X9QdMWPV|#dDy6+-V?}9U<=YCNm1bluL$5NGS#=4 z@u4l*wLn@ep(^!&Tn>1mAyqw|6Xm`vN04kX5tUW{?qAdDWTeoB&_k!cVzVdRH%%pK zU`y1p^-8tSE7x?=En7pH2Fr`MQ6~R`N_YV(ABKfl_5X7 zDWC2;)>u{!iGlS0oSfY=cclq2(nX(y4@|9_OKg6B(Cj1+ztHbI{5lREX;!_#Whp}v zmdm2KYzemKdzF!dTj__1Bp{`5&kEGu?@KYl zS9Q;lp|8GKIna&jSRQ~-XKZqX%QZdJ znK0LLB(x>8)mu+C-^pIv#~GVYF)u6Nfz%1-Yi?jF$kZW!yY_wpJhUx7M5mSY^0WKv z%op6l0~xK6s|8^0E>FljG+ld5Cv9f<9IrSjBJ|FWrP8MHqI=gF=#IF8A3&am$zDgg zSAv-BKwNPY9j=Kv?Pr$uMPj1b1W|LlvchO7_*o;n$ga*|mo4CCVa7%xCV1Ny^*3Ek z)NaGX2FB5yai%C`tj@f~_OzO;__PULcM{GZZx=90rRzhSfB=8w%0=(DUGa3gIl_N?iemloMNF1qU?) zo~>4xB94w1osO9sG!d0M0zqX)x=B?z0+DY(6?NL!8CW73)3t9fdgNSH9wsaq zqvq8%Ss7_`Lr(NW;Sl~NSuWI^FuXEuUt&lKfbnOZsf42BS7j%&~i>&kY*ypoykoRlPid$oJWK3obf}f z`Qr6tnK@EZ`f@N3q=)8RqDkxa7sqYh$I3j?YiWDuY{UfUh479urQ^v3tvc%8hG-gf zq7mFh=*b;>Gp!F^HmO{}x6p+ZD|Xp-E8>0B-NauB-CMm3`et6Mh|>PdJ?#b zA3=|ft1ceP$O0X{vz^R>!yWJtSw!cP(X#1x)*J8>5SDaRE+62S@T#|&&+fxHK98x(C219UWqe(9E=f*w9t+`$p{u13)#A&t|uq03mn4VQ zCSSYI>MYKnT~wr{R(xN5DZ+7hM6}8z-(Sinre7 z;KIDQ=ELn97Fz<)v@?F!@Z33dMS47mp@;W|hl*9Ar+z z*}%6-qYpil<@^ct$H>JoTb+(KjTr{G8gJwu7(kNBU4}UIZ2Ddt@s6pS_APf69zkH` zxpT8fS_cH`GgVlAkcg)I+07xiB5rWWDBSCd=d6|KkJ-vL6|;d0!zlaBxD~~aZ#a~# zJq^WL=Fl4(h+3O=h9?yw!7@%3*U`*8p;pK!mPM<{9{u|ac&L#rh$^5u)ow!5AYVY$ zlm@aW#-MGAq#g<78EOU-t!nq+yhgu*G(ozOM7fzCFmrNmywvh7sq&d+CF*Is3jcW; zYxLWB{LMD00MB8xK#qn-)lfUI$^t+igu#)<2O+;~Qxqd(Elrz_{F?Vj?U#K^C*oE zJ+iDxbnlWszmYS^t6gVQ@)Ag7Xrbz9ARdYaSBb9oix z4aMvdK>t(dbL*8qtXh_SRRj-=Zc=t8Bt;7%n(6^fZqT$99mal@Y|Z`);vl)U%I zBRe(8ALV0y+klcDyTSIgUk zU=FD)lAJI_L+N-xPnfKbf?pV6q|+MOpIJkYo>^H20ci;4g?7k&g70O9byAkmRv)2!*T z;4eVJCO65}X<1eYwE`_S58$p8dt_aUAviH<9mvSzCqy+52ZXz1j00b=Ug=rfP$ke! z0m(2Qq)xslF=-OD9b5-B(Z>{kdfjq*8hKH>EedW>=6W zPS=HoX^s|R(N#SD*l9o&9TdaO`FlK7VrQ!{{f|$ZoE63Q-TcE03SKl+z!1x`;WKq# zv~cq@6S35`=J800Rv2IwE|2;*FO?f8lCnmQ1}srkr&(f z<(2Cp(|00r0O;c)Qkz#v5jR~rd}9Z9p@5K?+(Y?HA82n&G`#>S37(M2fS#cH z1!q_JlhnuN1~9_;C}88^+Yzt8aT3Jld#?8Q84**2I;tD)UAkb<#99u$4x>_(=k zdGvPU@(v~@ZA_WRk|j{(--ir&WT}pXleq740v-jQ;)Wr4Qfp{Yx60o!M9fW><@`!^ zwzz`583G|#J_8_AC9&R6vFLne$<^wvYDlGG7nMj1gG~jW;Pq;A&(-HjYIO*nKd+m7 zOBQG~R(D3zG}zk^f0v*U;We~v>AUAluuQzEujF$IF~72=gCokZ=(!N7MioP*Z+sy~ z36oIzxYCj=hC=r5f7I0u>2Ac)?Ugp^N72RcDIj)RTVuh~IK=oA! zY?5=Y=GmUDL?Qz{peIO%QR!Q>cNN<&%l%Z11GD&u*Z#ffT{+ zwAI7~vSv|8vjZe$0zCF>1})e!rERtgQ0=TXq(|>-OC=oXB$0;63X~823>o$+h~6Lo zm(_#?Y)>hNvqS4YSRSOfQBm42Jq`%ko~aenNBa45Ic$>Wh!=Fw5LPvgK)E1@i$ADi z5pY`7i9X1svXfzjV79S1lkmX+X+W004Q05i(M`R<4A(nK_=iN4MAI6|7TRb&jucrQ z=b472YFsO#UUXjxrPbByWW^#m&VXbl%mPlS1BcF z8r~el)O(tKqA9@3PfF)3;}6E=j53}FPrJx8T!_x=rv7JaOglfx*jP$`&W=`O=Cj~( zTY;3wORBZdf zx`OWD@=zTPR#Wyiejmft`V1_)VB%zU6JfRV6s4)MHZ4)MkiL?;*5}{p|E`m%Yv!f= z)U$IdkAa>qK|+J!Qj=cvtn>hQ>o7dZD#qpoen6tg_u?ZGQd@Fy{=`2I8H^@Tc3y_X z(>;7gp{j}eR%nETsW`-&{GH?i8r+yzl+QH0{D3^idw1~da z?!~DXS&TioYP*{Q$uhyDw@5F@KxttmlhP4ybic)ERTN4KSl6%EOET1lBz#6vIEA3Q zv{c$;DrUlf3_w&%uSe0Ll~;P8*A3{EVJAwZl!@S4g<)Q6-F%(1`-0k7l+I570048z z9_QSuV_mg&mlbn8f*wmA%BjX?+R)Z6V^T-EHy3W+4G2za!}3Yu1$H?&#=WrwyoX1_SV8#Pyki|UKh9>%bCm^WJ9 zNhM7uy7W5Y(d*Ng2A4I11OiR-4AITN2(r*bqIxL%aYlQgiN3lYt?u4e2~XZ*)75k@ z=B^%Ec{m+}9IJK_ALKMY8B3}s_F4_$cXk`L5|aqDd-k&$Tc9dx63ikbnkBo< zS0M`tn1|N*DrD)3j{wEU^)IlmgAY=BlkpEnYwgN%WIyWo6JM}~3NCm69y1}N?#ZR& zr`aPZ1WqUIe{e}U>nV(2#1Ur?MvkcYXpbFC_6pB@TheBRtYZ&(W9yKtS;>QE zNXo6JZyv^&$ivqy)$H~G?-Wkkd2I1$oK$ww)_Iwus9xP~62qnWZRn#)n1EbT2k>H{Jw3SaK1OX~6iHF=ek!vr$J zqTfCOG9{>OrbT#Mmhi)0d##)wx}eoCbdO$Fgkn}StpgnLel#{#39(7dpk;-e$; z)VP!cB23rD;D}uaGzCG-46fAuR<+S_Smm zDp2(VtJ7B6c&3MjS3zb1+uE?kZ21n1NKNBJ5SmVWTPO*e?c{|Mi%CrArt64(!;=dO zs58FN4DNv9zEzd!TzW^fV`0n_1Q?Tf0qSLOb3HKH z?Y`sS6I32A@e52Ktv#7}URFDDH${!45d=zh!KN`OpAMg?N88+W{ooi(1Cbac9lolS zy-Ia+m#rYKZPBn`>p;fmQ6>Whg^z$!&`;zBkX>6scS)y7rI28q8qf#jjAl0qZS`eEvLBBPqK z`I82SW+ow$aTMFjS(V4O2`_O&C^o!@9^lP1IY;lta7G@XJ*xyaLE+NAnJXYP~y?&+|NRqamhPJ z6Fw#*we;HL?pmJViNI^q!Ml@P@|%WG{&O6DN#)jFPHEi7d1M#ia~aYNZ0ijkn59-H zeB}^1(z00d6u{$f9;yLWj6?1Xa*6=$vYuhgCDW=>>n9~Lp)GXWl36Z>z}z5fazPTuNUoGlX?20V|Q@S)N!2jR6Qb6 zDw2}40a)i%D7rH5CIR0u>SbLYka-z>4V%RuT$`mAw%VZg&V8t2Z6myFNL{4yw?W75 zeu`k_j3;L!M+g4GZd`ub;uVZx9;a3prY#kP9L+Pj(yn~E1vcCD`#R=d%~}9l^+x{k=M7jxpaQaLLdj?uw7Uqv&xrQj zXu+b;chf|u?J%7nB@?!K`u;3**FYOGd0^E)tiUi^Zc``%IEdAKc(nRyu`=<|A0 zV5y_ENptWRnUZABH|aJ)5Ic2E(0^#1?u*jfGKtX4D#rh$xl%pQaVOMw$6tW0aV?G~ zUB4oou3XJ>1xWJ#PD!>R0|2^i3cT{tVKyVsh)OO%$&u0zkR4(T#57%iHleG3kVUPP zMBZ2blwfSZWABE4RVaCW|AsSQ3z$2;X&$WJF+6{%V4tiUge_y0f|5ph+^8fsQwf;E z%&l(hPn2PR4qknth2p5Ak78$7r5azbs7qnT45p9xJkm}r5BXyY?;MC3uT+fwM();3 zfsM22`V3@h%>)PdL-Tu-M;O`9RZRdbl=Y6kpOc5#g5x41?KWgbJK1-N*rV9lMnI!JJX$ zI=dOt0qN!-U=)eX!I1^#DS1r|sJfJj!6?3%J39Lqt}eCZxN$ptCV?7~)}1kB&ilNc z{_P3Rl{&r`VP66|Q>!2wV{V1ssYn9;T1r2yu`5=t2OmBTH-OSbFQ!!mpj_|_eV3Fz~ao=m<#5^uZ)sMjn)B zrY-MWkE-v(*XVBIX`zF7D4TP)O$QH>M5!cJBaVbY5L2o;khH^+>`RZpL7#2h8RVf7 zF^is!cgJ6H+&AvD_;rp)lx&B~lcU49M)t3x4g*v&#>HyW*DHbZtHo1f;SOSTi8ofH zVa%aiF8O-N7%?YoD z!;S&QVnC6F9HFSUWdb)2LJt5qvqG-o`FA}4Iwz=T%_FWGGDsz1ytr6m4s@rvObGf~ zIjRK4>2!6m{Jlme(h&5Apk>gbE0i;baak ztqrHTYbG;QqCFf+1P+Iz%bJQiyRK=V(Sr*GKWI} zNy`3R{pV7iZgOgsc-E<2J@S)LXi>JEZqPOMUW*6BVITwa+^ZT=9s~MbYEIQ#tlW6&~%a)d)l;nMI zmbXy}Lvg4x?pI(6?U-_XZuDfRiBra{GgW(ELJ;ubf^C&;Ty(Jn&21G4crm`9LqPOF z8L6N&^nuO81<>=7=IKmm(WD{NJid0Z2pAZ6^oZ#XX<(b4lein?&3hj2(g!Basuo}N zi+X$&rz;PF0|_aa8514QPsc8z2t?XSMm-u^`R2rz=0it^L&rp4kZxFGV-9g*pbMrI zCzzw|XD7Q-jz9Gm`UFqt%`6pAjNmLT?hBgGsO$uNs2ydZkybSn}&kXbfVq z-<;k6&$I(o>IFgXpaL`MF_Bop%ULvZJ~<&dVmh0+=J64(PDJnml8`p>I{ozdDVpHp z61U3LgQ92Gf?WcDzzGWaT#v93(!pTw##vpPDlax$jnpQ}3HJc%V)PG6DBCZqXo$x2 z5At4;`cWFn$=*_s95frhV@0JkiDqiq`r}lwXfE|yNYGq1DvBg#i5Q*KbP)Huht&*=BNvoGIf9^l2R7x-)B zQJLqVW15d^60Ih0nSZ=>c57ZHMj3Y*1j+sptTcds3dnFESwInl_ry+i@i}!(6qBU# zV4+araDWv`WLYl9hRT$YlZ+9X&H^@(1ro~=&a+YtLHDi+psD|g5xFa4-K)cw5VCU9Ae${R+vkT=B;!mslm>~#MFN-aVS9`>;C54e0##la&aI4G?n9K8Q@4c# zm9Jmt=m1Yiy6P@iJfeftc5lmKj{-<~eb7>gwg4lX{uoB!_L0wJ<~f+B12h#=48(6^ zBDo3_1LzmEMuPJ-qCJ|;iXYuBM``p%jg9L*gVF}%QjhM#0Ju=l7G$c<`k8^m}TJ1Z^XYyh7?-5P)8!=YJ!qcRv6dKm9QT>)%309VtC%8fCaQ1ZFze4pPaP|e1CG=BsPq42*+D&nxB*azqrHu@wkhbmc7?X%w zHNv(7hB^a5FFHD=fW`HbahM0uI^`xrW(g39TN6s-TcWf*bx+fT-qYBxdf{2aRH6pP ziCmT|MaXnIGoJ&BqY*IF&=30QiAbHnpJ|_Hn8Ph)r(+_?<>fh}Tw33cLALGR=i!;4_SY4i65P5}ceq4>2gdku>RE;Kc@3i!0Z!PPntLCM<(lo+F}+GBiM77|Iu*u^Go9Jtt5lzX2nlSxxMKbb=g z{A0A+PQ2Zrj7ZBf0p^DWC7@pdP)FuZ%S?}ULq-`LV<3ljhU^9+cxW`NNI~T*b^o+5 zK}74I+s2VTIy(ldx%{^=Qf1-9+PR3C9k8VfE)ID=52Az<;<-a8f^fapD-+8@(5p7{TSmcw=7fvwDwhMV1M=!>6M!FTKxYpVAv6YmIP5Z ztT$XE)hvMm_Ng$bZU)X5i!W^!MN)?wJIV!#Gy^?(G1E73=NdMz=U7#ND|)->zcCRn zT`#5QWQAqR^1FbZ>YfjF@TOr}zF)ly`^w(%33wezYddGZ8LxoTC0@b*B~EsDd*b@R z0f}tZpoZ=S(P-fvS-p{q=z(#dcD|fN@+8sW(_n`H%C}`R@mS(&ngA~&OLq_|5=IzX zLK2v($+R?Ycf)K<8a2eJ%bFiK^-&CqMBO7a`VHlVs=O!Xtv(k=r?{~MSefAl9$#`$ zm3m${*hhE&3u0H{7hC3%@QLbQl}9&Ed2%WsvQONTsRv^e zQ%0%6>33x(_fslNu#LI*j^fXGS}kd>o|P&AlbUyuwpRCA$O~aHShqlLbt41XgC3TE*+A;k~{9L`QPo(6t@*d=-j@zo9-iK zYdVV-WQn8KHIzaPE#d9ViHk@C8C$bJ4V;oSzbjQo!|$L)qi}1jaRlDfm~kx_iX`sD z3uawaA^SSc30tB(%&?4gR`H$R0)Z!GxlmWhEl~Js7~hDQJYp)A=ThGAsqPW*4s5wh zB53azku3j!eEf_#_T}9jCs}+2K``IS2#2I;n8PMzDvc`;k1eCQS!NW5dcxO% z_%O-S2hG{M6vFMvWOxN=;23P@&a38AQz|tOf_O{MchwQK6n(@T3F?J(=u36q6`(gU z5ofxZsN)zy5s04yY+%&}_XMmQW61Q5ay%fp%;E-R0<$4F?r{<(XITKw+0*6XoB>Rj za*3Jy(WnP2o{Cq=9T#D>8R&r#JOn}Vh}B5OlLG`F@#M!cdN4iYhexUfbdp~YYN$EI zqNwN9azC%~f2$PjqN4P#1MoiTUQ#YipO9Fj@ydd{0#+;`t1JPsiv(Ked`;STckC!k zd3`J$b>L2BIxL*1j{=hsukE5axO1we1-v}yD;md{GJ>IXhOq^usTjshN$Wcb<-eNx zFBMfxf!1El4#GP;~pm!`8vjbGym544hx{QLOI%QeW zQ#zyLB@@7RHaHnSzTJOe{I?7s$x^Ynl1gfzOa)Z%t1QTbgD`$#4q`n|A6XR^5M94H zyT2~LM)gIvWGfwf3TV>_l-Um8-#T~Mb!K=Yajjds@RQ7C`b<0RAobN&*k>F`vfDgQ z(`w4$xnYBp@Q@ggFy}0*9QlDsadxPcZ_nfdNK566A|ONEQ{9j?Zp;i*gxBUfemZSr zv6u{GN0O7pB&6es-Cuqo%ETT)LldaD!x>E%gIkB)Wcsx8JAk^>W8@1qAT53vVT5xO zFD>2_dlm@5B+>ZM^4XG#RK%4i`$J-z#Os*ZoykUH5)1<3Ua5!C2Few{S91131*EDPxBjVGAyD_( z{V8g|D)MTVZ@4LZ&)R7-=X*!_|BF#mj=N$VPAj~&QvXWIp_t>nRx&V(=z}L!jo*vq zP$o4wr&sk+%3)}00F@D%`Dnb8G7YJom<=kYS5`LQSBgbi<6>P7ND}ot(x5Cz?F`xV zKyX*9OHqg(W01BaFi=PT^ST+u{GKFgah!gPS38BKCglvLi!NN+J4!dJ4kF%Ts*l(= zBMpF!AJT9aj^)dfE8%Md%(p4$mhS%Y{$}%*Z|-cO0}qljq?Vurs$6;^bmi49TZBmi z-#r{~?R+BYC5|F}!Zuq!s^_I(ZQl+wwwT_R`i$>6^yKx(0LW(1{Uv^my z7-p2@At{nV7cEXJ^qobO2TPijM>tuBTwT6zK_duV>gO37a~FlPV~Sx+osI)2byW(c zN?2lxgS$BrfFiA31KepzQS8bi<~0oHRiz)3i=B!-FN#}ud#X2jf)&?odij&F#0`au ztDJ$cH$eN3H**p*jm5}k&)1_Ph5`MUMj@H zSHT0KMPUdML1!OQx?&xXUq8ar?bCngWpHnNbz7%EaK{ z3R**)hKyDuCE6_%QapohKngs8a}z|^Qk>D+Eyen?$sO&iu_n}C+a<_A*zo^VFYwpM ztub8i8u5@IVc>z?LWAY2&m*HuzfdEEyN^L`iZfo^DCK%-sT}MJvTf|u3&Xhc888mc zvhWaD+vm|$=BhG|h}HIay~BHR(JQ=k|&n+sWlXPx&h8}%1SLzFDv<+>f|wEpAU1><;?Q? zZi9~lvD$w2KBunrUsc=NGCdaL*p#uFWsz>$EY+dvGbYb3BN$blzvK_{hk*kEX~LX- zB0&avH`ptj{+LM>LUC~Z={tIPG6kpu28?oL8X73xC}_})^aRBrgIDh85Q=gEw2@pX zA=ts&LP%CJqA#zkL1c{NHAH05H;i@K%4R>+*qJ8-^W>Fgp=(?hnF;muo|g^}{L>jo z*Oa&}g_TYKZ~V%k4whm9H^JfnZgp3=n4`!ta@F9dmhz^uV@F@PTENB#s$;6V9r}D4 zMRmaAC^N zi|QQWU`R4L{#W5p*_GvuSS>%uLd!LqFc_Ii)hrq!%jOEGR)Qs)IU;e$p%8;~NKsOY zSv-{kus3BiRMuyJOoDGBsnH_yePl52Y5AyQQVJ@OL2k;){IImbypKGi#Era0UM~3^ zoQq!7U6Y(1qkgOcy6~EVg_#Amk?*d@KK#|w^45l!5st|a8Z#s_C%a_lEd{+&wok++ z^4nQ|7`fvw9xBsUuSXURtW^N|9uOQDHLn6t9WqG?S_7)fe8-W*-6F6fJ!hXxv*x}U zQO;P}S2h3VWPRTke{1L_#`cP(BCCg;{S7Q=gll#i#96 zHgpB4xqY#s8oh!$r6fyln96N>w=H}yMFD~>>-T~Ob}e3R#{~RNwl8(E@(u?I?~7N!35@Fpu~{61Ji#1KZLIB#_Ok`^$-kU8fI}wG zN|=^{?Z1H=GmO`L%L+r^q#i78z{ByQXLdjr3{S{-g=hg&iGVWKfTsd2m3YdI=c^I& zi7q@Hj5cydRt^>x0h)j%Bg@g(J5%1I&&BL2RSZG-A9nKHTZHN%dP)nwC_FnI9WO)% zcX$Lv;5?>fdt`V?1JNDvNd#ckPf^8mvNFLu?KUJ2HdZ2=NF&S8w$4&sw$L+?eXyKk zG?OTxpO~vcm`|gp4$pT6m07T>AFC}~U|x$tIaK20X0>B5$P6kMP${c(2^T`!)Kc}E zOPtc9hyXqV3D;V-wJUj3xgt^$F36HIE;Me_hAW1W)B zV-0+nLXxM2;vpW7q{;4C+Nfq%ZP$gbaIs2coJ1s={lKaV;+AV^jJRvU7y@e$gOQZI z+*-->KiLXPnbtNhq%6fSuzAMWrXD(xA~5$KvAC_TcTX>~)WnhEm^)vf$tJQ^mwm54 zQ)cItkqj2LC6JAkjZJzh%W;DgM%<;OtE*dR>2)3G)jn?y%T{R*la0}~3JqikYRQ1|suF@IEx(KIH3r@=rCsBpIHyS*8cmbV^=?Y#q zDod35nIG8k$>m$(?JZ!`T9opVJIL--<6O6IrPJfmR!L5V)11fHQcrvAu^{z8v>gVG zAj1ywwp2u-!&jYmydApY1$o}>98|e32eezOlD*`%ro^+N{+QyS5G+T|)f` zm3+Q;fk!xwt5e$UsC@!q8@tiG(fBD<%piWR%a)shA$V%5}p*Y zGip4k&;4&Ne0I^ZnKv4*&>gI%?hYveuV!`S_jlF?(t2}ZlAe#t(cr`JbSnL-GBwgxetV`9o2zVdLGopX^_M9;7Pkne7w|LDnBce9oDK zEF$TvebS~*$FhKv)v`En>==vcv+uww!lEm<`Hk*%XZa&luL)C#$Jc_ods_oK-;K6r zLGC#HjW2hyvy+;({2Eehyva{X#h1A?!EBYvQUI>Q$9R$Cu)(FyLMpAkhS-fXX9aJb zNAvNft8Mq5VFTJ8J{#r~T2~<#z}ianZ9#Kzt7<28LkwTD#l)e3>J10*XUdstwXw5x zWMrlzG!Yo;Zm{h0uopgdS)`Az$K6ZIDUR1u4$;nOicwf-;!QwA%b;V^_GO720N45l z1{h1EA>Ak}L}oP&r8kmMteu+yU-c-OkGI0Wu1QMAHnjp!`!%olQI%gUkS4Py2_Gae zDLhDMoW1NV!=~v+9F&=A11g|-m`%n$V-e&}CX`A>)k_P-9sKTM1QpJ4Ag@K`AJ1RM zb1gljFk`X4g{_VVd@&(EDK3Z`!JH{%_dE|ez4_kdd!gqhSKzVqbMfmHY?@had0kNi zXKSpRo$yLfej(^IIC<66)m>^I9c$i=dUVZAuX9NmjC5qNGf^aws&R_(>Sa*9&-b=z zQpx0LeB5ihvZY_R*vm`VL!$@Kk(S;M#jNAX03ef+9#xZTJi=3TEPE%S%0PAsVa)KOQ}yuwHb%80uP78V(c8sdCj zD26IDU4c-kspY^xOi9V@wKrQjFG~utw{ zm|PWV{5YcC9CCzZ5$8$eB?U8vF(y~}D|}h#AZq~2NXn@I@f1kj1muZ^N4SgnD9tc# z1;*ONF`BP_Tb;$FdFH)kIc&&@;E6DCgeL7Mw%=r5)iZjsrSwSO)TgT0uVegwW(I;^@9$p^lKMa~!z|6`OZAhFO9e z1-nhlcC2Tr*lRp4sz5+wd(l1a4E2{xhSy$KqDK5^n+sq z9fFP3$&q)?nSpJkzm}jqjM6=?tyNXx&&ZXte87#ILS2>bGj+Qz^?uc{8l2K7HiDqp z(duDo@l*0aSalK-TF7Zk7h4A$3NE3Zjt;j&UOC9>sN&|A3M^>3oP!>F6_84VXSJB` ztrg@loj6eS4)}`=`y$Z*(~dsUXC#np1F$T~opg)~5V;0BxzYCa1Il`B_v6UqrSP?P z+=0Y{++h#M4u+?-b{)On_tkz+6kS^grvXM-J3j|01?7(8j_XZtJZ$g*v})&mR(-y5 zjLaj6x)f(dLmf(u>;!wrd>`;D zsUWf?!Y$a%RX%(TmxZX*~hS1{8<1Fke#3_lpL5HHMC7$IVtJ3abHnamnbdT!x|CO z3nH|j#k({|Nxz_6<#ppJTS)oW;_F&3+m}DZJ(ybtJk-)8N##LCZtE^-acj-4#N;ki( zKjTr+s>9of?2d;jdfNTC(agjLN-m0L*5c=Zom*naBQc`q){i+DpcUQygix<6>$1At z)x>}UU{B9jO2T3iN2Waw(ri1s0wIO2(2{u`;^S$NxCq)V-9fk@FbG2vDWc;BpcBA? z{bDoohejH_+kU?%?M z`!|cP3*5YPt=jGw3->&n3a3BlhMIMmd1ZChTCI(nCXlBkYQ7Y$Er4Xrqz%R@^#I9> zB7#R>@#pOQ2H|$uPk>vMNPR#WW zN<_f5u}BjlYifM1cHohd#ZN{(zmCOtohHZkaq-GZJRu>ap*zNFpWG-Q_-fA@zOa!j zB=Tgw1qmbd^l)?4AJP(`NY+yxVh}8De>wyB5!MZ@fBoyfe^n&#MzC@1aoCAg!fDcs}xcvgp}r> zG<9m4EJ%I;?rAwD=Qq}-U%Zrv9901P)Dehr6w7(o;M-^QrD`?!45wWz42PhW;vXD9Z{4R)U8N*lMRXSLg z-UAUQpl=ectm2HPupT9Ri(iXMvc^;89$v?K^)uj@5g30YR(Gm|)tDilD^)`QNp9g! z+Cbt@mWp{nHj|dKSF500T7-pnJs_frkvcBbVxXGd&yVCiJ3W|1@-nF_+Eu6+Js&p2 z{%n9vR*bW!Ve^H&>rZe27`Ez^_=1;A>4HchPLNKy{Wc*tQ>}}K(vJ0&gRZ#&892;4 zKbNq%)I%VDma-tz>dM1Mcx}&4g+~u{z_w?^mZ%OIMWt96>T z7vs1@B+MPtk;S=%-?1>I&dS47Cu-~wx7s3d6e@pVN^eS`!7!Fb%9VHb~?eTSLNvCKTxz(TK#-f#m5fRFPy1tv*%T)%S^Jx?f&$5E{^uOCrezz&} zBd00mWTqKx=nAks4BP2KbXqdDL>_H6{XeF6u!svfZX&xB{$P4d@X6S=wt4_~C9a`` zr2zDN349r%6RxAVtW;(0v9MdeUN%r^tsog69O?6SlJ`P-h|G&Ls~x#B04A6NiBx3g zWo?kUVtm7EBdO*DTr_dl+Rf4%gxbI_>J|Eh!-wn@NFwJslrRl2a3}s{+N6XaDE2Oz zo2)tMX3>*HZTH`A;uE z?{179I!Ba6fUY%iT(zE6F*+30_-G%WEF=X4DV^s+5_@@;P$iV-l#ae9db!jL@}_AS zU}tXV{m&qyXA60#shGdh#$8hDq|p(m5H!5_@d~&Qg<@`yKbhEJ)F)rYuCZwFZPIX| z?z4h)7uXAULrzJfjkJqg6)87Y1&FzX_D zLo}j%7^Ak@{y?XwA-zy>$p_VtWvGTIhV=vaqZO7{&GvRp;_?ug zIzU3#Vel&%9A?$3ZG&~#D7($!h0T*}X`m&aafi`HXfhXm`w)wVUU#c$Rztm1wLvkF1 zto}2vtGxr0-?ZmV2P%PEiC4Tzc`A8%ba6B@iJGUFLi{f4sJK6v{MPC(3=%>#q1QJy z&Q;FM^g+E1BTPzF?~Qp2iK;4V?U+~L+c3HE0wz7WdhF(DS%ht%JV-?nwS+sQbKEU) zfhwjDrvE3=WL)#J-OFRWT&W+rYFR$ejkT0%8JVb}jD+UvgyTgc8w^bSW_m;FnkN_( zwK+*!L&qevs*`M9n5^G!8&HSRGU`lKg^gQwclBtyjtw`)eB?oHAbmY5cx>1gLJjiP zVqg(RVGsnWuFyp^uFE+XM~BG8B{$gFG{8Q+rEqV!Wnf9IEaAGu}?TU1Vc zI=-cO2AObZjoZIVdV}WxRkI4?eUIX6pcs#zQoO^x%0PESWlcu)^~^(HUD^$*Ona`8tMf zBf74G=25e01g9W+ZPt-JC?2>H0xgg_suP(4xHkBF`9jAvCeNp!v9Xv3^=h(R(YcY$ z-xWH3rWwL{jQN~nwhgO26OJr~rtA-*UtV&K=VUd7n{)<9oO4-qYz%>gSDoli1&?E6 z`qAuNJ#ApK%DFL!?uXXpu7gipL3ngj>z;H?0dWR|_+iIg*P2wswXLxOrKSp;eKb-J zMbYF+J3ZX59wR7vA!&!zqPA>;Wt#5bXQVH3iY9eL!YXfmDMv5^K67uLi~5H5g*YvFFoYKNY3b9 z+0&q|_*QvFo$DTTSgOCe=hX;Ltv|W4pe*LR!40(!c7!zXD@*!;00FF!SFr-4(|%ZL zUq@rJihQ}%F>>Ru@hUJs-NqJkC|Q*Hg<*6@baYJM8N+-4Qd=`LsFxO17q(6_@GUG#LW&;`I8lJb$tbF> z1|OV_#@dj0Z0&HMvs2kHaZ%UTlkB2ewE}--eBX)t3F@zYV?__<`Y5wwVHczHW|Wec|&7u%UvOu}=2!{%GGjmT- z$k^TZ0_P_gCSWDUi-a$oC*u&RVJzYj1#0zvW8~I)x}F7C3nD;eO{bTJ>2x8kyt_>Hpo(dWs86W%pq!XcVhnpm z8JZa?Lp|5M_zTY-I>#g;F(WD){Za3sAU2l0z!{8p$B8g6UImuXFYDILlgwk4+qE@Bdb=!Yfoz zHT&<*SUGh(9PM9#L^!vVlEAWePjAUT zEaj?>Op>m>7^NUnc^SZrxcbvUdz9;YL-_C#E84PC?J|l4SVL+7$TlQNm5|RiA(v6K ziM8){yeuqE7whnV7Dx=4YW{T9anDl0g(7#swOfavW$6yAE6piv%DR1VK6xFdp-xpx zdSAn)3oFg(4t_B8)998)d-kP_edC3k;gBP%TJ3jw204m=TG@3Xijkh-bG9ZNpz<@# zsGm}K0Z{VH*IB>fxppTt(>Kj0^-3Z^nA4tc6mZMPF_OJNl+oNOI~jDG`nO?;;tZOY zl9&#-2gjDJud%{xzPa^uBa&Hpn>rsCFHnjI4@Xk~RtP-2jnL~4d6c85vb0JvmQ{qZ zW-m>(k3FYxm4e$VNHsAX|1bQLu>!_b{Hv}(%`P6&#jp&Fcslcc5R4^7n|;o33%#AI zTJkUx1U54ayf6X8A<$Xk5NZg>M{ph8)g=OxCpk_?1;gKpK*{|4 z)jkGaUSN-`zY#{*n$F<|fOe`T}1v^Q)_(6S55M zOjb;EsHu^@lGk;T`AVzNz->wUpiL_t)DgiU<9g?MW?yqfIwHC;6+(V$=iIx{?@h>&XTJmH0>Kw}d#_k&@M z+#9^RGS538i8N7RdH^Eoukk^TGy4b+m#lhsz>&T{JN@s>BU$5&2H0yA4B8$uhT*Hq z#hg@qv-J-QHPjjfku}fJR;L`!tu%+&a{Z8&X?)!ScP3DlF5uWs#kOtRsMt=v*iI@{ zv2A^^ZQHhO+m%$3y6Nej>6v@i+~084S$mzc_kN!rZD2-q>qkHw4dy6|)qy;ts2hg~ zHFVn?MXz5eu+bWPtFIXyN%arqy6|Uhy^S+4yGM6h>@Yf?+!MJzdvgj8lLvEA%%(I4 z3@=UzP+23s))&wRJ?p%#E%zls3hM=rH3^)U3@b@xcAKGtkz$rCVK+uO;VNvp2)8a1 z`&KH0;Cd)#N>bAvQ#9*B|HYOJAF%ywpe%ej!YvPmNF1GkuhZFa@=>Y@dtxospjM`) z8Spq#2{(pQhZ7@B8E(UB@&Uy(!Ig0h-zP~f?)Z+&=;RUpQV1+yk_{sB$j8h#w@#s@ z(S*X%b%Oe{mE_?}`l9BQgeMT2$9RpB$5-feL|}pqnn)Xj1^WQiHqOERC-g9iOfnV8 zb-uPCAW^eSp*GBn9Ggf9lN>fVP?#!*4OE}#O;fbck^&%F2!e)A2C^;%adEt-bTjU( zW{mgO(zPHyK9ND+7Mc!%d*l6x9_{=aaV^_woz~ec0~uW4N{ZDGVoUw&G0%DXw;*ST zPP(_>nc#HF4o)f2z|_+29nPrSi!_-{eVU;m!7Opg41jF5W@2@0l3sydUZC66~TQF^dsiv=b4OMKNkt9(xVpDCWX zhPp&{3tdtNkyiF%O&KT=8RimaG@{bLxM-*?YQi(6%Nf3*qh$C)4AlDX5t(VGEG1n9 zMhjuk7tI8;xrW?X!@BWuKkO)a`Cw{vq}6teT(yQ*F5aY`x0V6f|VI= z7zeFsi0DR1F@&n@AtgZ}(D7r}jrd!4cnE6Um;}ugZL5E&BMLD2r|@s`^LmO7VA~mFzQK^<8+m-abi+8~g~pICEreIn|RtA4gs#0WwAA+zG=% zS#n31Al*=bQ_nz}(CO;^Wzpqw2v#z?!jFW6ySw{?E5k?yZ;QNo6Ndwi4a&g!*3k_M8LA}8J=`tN$fof47k|bICnOL=RQ0= zSy7>#5J&guV}n$ z@wK!~t?042@jJ@t=Ub@4r$3bYhnw!kcc>olnqfwLUcS{cooK5oQjG$_BQuVVkzk9) z>J_T=OV7501Y(s*3~F1Y9_D!IX(Kh2R@Fo*c_Jxe}q7c z8R%70L{jeAb%prQSTiJB^*9|kN_}g@pA?uaHkA|(0@PfvdFjVwl(b89fH%FTatML- zle3v2OdM$WDBDfo!MfH zj01m(E4T7(g(@5yEI_K7)avOvd}{^~Rd7)`EcOQVK~CIB z02S%4$%Slt=ZgL2e!$SoPi~nqZ#%n@Xj_|mYy>uT+vk4nSDys&BXy%8`af&&k$h`? zp5McX&(2KO&Y&LSyOe&@MeNm6XGqZLFG%kpO?v42kiSLvw*ZAwT~&EW*?&Tvxq@9) z`fQ9j`k(|e@gr>Pw2mRyGdHzVAULALFDpgp;6wnkY4{X6vQHok5S_euO@kce9hVGFnq78{fzIjPhs!dQfA>1GP9Sq_<5AYe$S0D{AA| zt+6~I{%Z2cC?!YFBFOv$6R98wN;8Aw|E*HyQR<>sJQwYML-y=ihAf&a3isAMzcnsr zmGRy;%NZH6jb(pbe#$Y;(enxfZAu}!-LjRBt?6`d6P?V z%*+m&aGnIr-+7G%jQ0x0F3p@3`~5c7W?*0J;Lhr*+&}D)D1xa>@IYIz;C)e%pdtF?4ucL-pkBU#`yUCLj(ZBJ$%%5}QK5|N*&#M2!4WYVk10Z{hAh$j1GqL+v zKr+NKC9s>7-D9ReK*fS}L%#Rf4(1hQCFBu@&TH-FftV*2`XmZh5J?fpl}l=DJ$he7 zkdC#am6RX49xg6Oq;HXc9{$4%AyZX{_Mwucw*X^vJIUCEzwFB*=bCCQD<|fVGH2H1 zym24YpO(~Ul(IJPT{#6Ms-nI}LdwQ3qBwW347$e<{|6Pq>iqi;fUvDL>>6lt6Sln= z4t`(KK1lSy>q3qaZu(mOC52psaVmCr$Xk4$c2U9Eob;kBm`HTNR&+db40=byxrLr$ zB3LOd*QZ_b1W(E15BU^*E$v^*x=X6)cFvI zk6c+F+ah+1g)Eq=y)@kJbANQte~l6B{@o#&`$H4HL^P0EqTih;Yb?FCA{JAqkIVU^GqIihksha@oBveQClAJhU*(? zXk$h_p}p?Ay{Kgw!^^mgbq#`4VfU#{7Uc^^|Sm8 zm?8-+89Mj8gX2%x7?-Murzuk$nz|v?i#Da}vFk z6;+pPC->+VXPAD)aLPAZv;lvAj!>^Y$lRcDhBcUGrRo|?YX)y*0@iwj74LZx_%0mOj5tn1}0RI)kgAIU&c zd&cGRk@OTYcOfU^AF)%0x?2ePG(V85m zRN+a!pWGRZ1FJ@#JQUF#yz^Z%gqv*U*xiTlFRD&c@H&`3kWj1l&)7-}Trn$YM!VNMh9LyPa-_&DG48M%&7l5mn-FV$LuNWLMBXEHDqEqW<} z{ZIw~k}Z5@aEr>c;LCnVk#qgQ2>k~g+-L?6ko+Rzim8Q@%t_Wce8j2OywP{h^3i{v z^UGaTb^mUH#x{QGA;%l?IEXmD(bHyoK4WN;u*Gk+6Y5e+lwg~KrivyRb8bw1&1ZFi`t$|CfEM}>PkotD|S;X{4BW6fLs#yof}pF;&t#(3DbVX) zTM?3Ep4X5cP+^=SJ2W4si;7T>++}b%mCs3uF#0$9iOMBz${OW_1T(OH zrm-|PUe}xH?sPn37$h8i=HkTF-rpeL+4Qc_r;wxhFYQMGd{Lbkx8o?@0Z*q#DF#f;(UqK9=1?EomUycipeu*v3V>(Ql!*I5qcY z)K)G0PAbXr3^-_|LHoEIn zj^d!bIAL;Bq(Bc)h{oaLTQt4qo_#mq7bC}1PjYL$m|rgU@W+g>x~2;E&8J;<*RHb|w2kje#K{8x2~-a9%=hKK=@R*4SkdicnG7Q5TRfZ8#oOL6Dw#EKFip-CAh#h-uZwNhfnZ{*; z!ZJQkLL(uAz$y?wWl?Fp)s{%g=NRu>-XEp0NN;JTO^*uIUphf9-n*@y)qXnKuhR}b zN_;Or(y)?hWsfd8u;9^43)P%eK}evsL>>ZVg7yU5!7VeUgJ8}1I0+1kS|PV+IJ)~^ z%j!|2Jxd(Y(u!UK19RNQA-Z_Aq3=bPcUTql)f5#)DRhOTn;&WzIFGWxVC*xXPV!Tg z=0#}eBD?f-CiNksx_@Y}TogR1ph%^9+;$Eh2url&<6H(P;=?9j6B$6my~MhFpu*@(<1)M7t$ zif{F<`tgN$WV38B?&Q{{(Fup68T2g0GfxlPpiT2TY3dQW@cJXjgW*9?RCd@c{e^*x zQ{5N1Iec_f>NHY;#nbG(WNRyo_-4>6Kxcm|+&Wp%$I5(T4x0E=e$Qc%-oR`~90iyd zbn3jV$|7rI$FQAMNRccN$F|7L=`z~-OVrAIJxUt_c!W8T-m6#MRefc`qr3EBzG-Q3DR35N0N!m_yg z-L~AwsU;SK)cE7FUX&DB?N$=}MEWcfqpJ{M(AjVG#@vTJZ0S>I^70vmM$I$I2(+_- z$Y08ty^yjFBtaE9^d-X^RNB_a-JZyP#MYSe|w$ zImzq`FBbn?F+^myely$=2NRJLYI`aQ&~AIaV{5g@w8FfKu% z>;v3bYoPacVm^Nc=~!|J3a=i($5rCd@Wzmm zw8so~T3;Hu4=UWue_8TMg=esSFTnhqkkj!F|ywB zv3d9tSRTaxF52^Od%^8ls4Y?PFTDeIuz!>PlCmyRDsC=Hxem0YDE%5bvO`?J@wZP6 ztBPwO5oLm3QojJ5(rXGPnUDGlJ^_Dn4v;6fZ?UJ{7A7jxCoW)kYyiO-k`>a{<#22JajKxai(STR_T$xL5p)dYTvm%ofHx@XRodNIEV z{tvTbgn34}`+b{XPH#ujrLnod!Dv!4RUN#`N4<{20zaz1iCrvw=IiaAbl6={WH zyFDcmJs?5_?Y~hSz|KZl;cUeICMyQUSU!n8Y{^EaAS1Y_WN(okp*v*4-2cKlEb{+> zbrg{(I@LW4zqfdF0I7Hlge{UO=2|@Y!^F;^LSe84UF1|&@Pf7G7+1;^h)`&>!K`f; zm3qVL0esGAt_$S0Os(Lywb5#>cD@aooEley7HCCVIL=GcC=sL}p;1W6vZ9|ZK$1=td|sQ5Ph z@l)!V<O>&(K0^1TJ6}ro%h|oK*)8;r6Ld=7{+%-cfAIQT_C-%fDm(q3hh`U42r3fWL=mDN@T_KtB6=OpzJ(C?#SA1R;ZlB%jL`_g(NU-Rwzoh zRr1Hm%|Dh2wK+3$xafacD-p7_w>HzSCFKNlJe$6r6}|?Z2RT-)fQGbzk-YeX?9KdaK_OW#$@0_g^goXGS;XQr=eE<00Gv| z8VA5m$>W6~>SOdcEXFW{sG+~wx_88-6O^ed(awasw|!ayEa;FOB#mHzkMBK=h%dCX ziIMd*GkOE=<(9!CaL5XN+pgeBFqeRz)tsV2)|DwnO^{LsmTs69vy8O!DsRDNlu@i$Lr(Lqw5qc_aU=qJp?cKa}f zb~xdZPf5(;VSCI#R+c|lW<_ttQsS{Wv~X$R1k-KZ(-Z*1r5`^*y3n4scAxid(O3vm zuk;hpv#8*B0vP2AWQDq0Z3oc0I!GdTV6H2E%9pj%1K}Tz-(_}sYa3dAD-QAQ#X+*u zL~vk#<;L%#+2Q|Gji@d&>en67a#3dwx!`Cu95qtqx{dU=P55d38PFRrQdwNHfA@3m zwV^<+a{D{YZ=5}#gjnd9g^8NF+8brD+KMZ2xplOt>=#Ah$az~ee=3Fy;PDmxKs|7p zT%Nj`8qEZ)9>#kORs7o%|KF(&y?tVl*XZ_4kx9>=why3WVV`hGw)OIeRDVWS3lkC+ zl7f*69c!4f8#IcA%;`3KvUkj|0X;Y^CYTS1q4iBAd0G?r-ehLlN^dx-IK#d)7Op$< z|F${|E<$wKvdjM`)`7N1AHiaI@&5ISwkXAsZ;VJf#{Gbshd7f(J2L-u`jWkRzi(u! z#X2Focrj-aAf(YH*%KVJW19$fi!TX(Xz8hj*aTid5ArC2jUSozDLl%w;aUK^pr;E~ zg${!fkwgLf-quDnDx|2h67H(@S&0Ozd`wG8aCc`)8lRsr=vFsd4=#AeA3=Ka9U|#U zTN#^c+l(ULa(^1VpE}*9@cmVwJ-J~fe3s+#C(unWP{xsa+$4b6Ph}Zg8mXTA-%f{L zIOBgh9ZxF%-RZFFyNV!h$6c}ptZbW?+~Se_2KT~Qmso&aqv-~H$s;i?u#Ak0(c-PH zypns{aQ<>S`cGC5jZYSdtp|xSIaJn@vrE8_K$28ynE@HkK5pDLs+_~Fb%9O3YxK~j3$rhVTfFhk1^d-sl1iaw0Y!CzU#^I|97TC zEvx}$qcCi74%_SqM;6TH{w3K|b$paV9ROjZCW+r4w=Q0nqBGNJ*Wj(gU-}uz@3g!{ zyMGm0Z1LeM7cM(3eA(r#UIIuIeJ@(`Wf1^e@RwSOR3zy<8~uao@J9Xz)q$~)0v`5i z{TvDn1zfJ)X}P}kww=e7Keh>jK(nHZH!DHBB=A5PP^G;0KK`XUX1NcGSV+U?bd(hw zJVprHrYP4!>y3Y4_I4h@@m7S(nc#rzn08*_GYhc%ehV_*Uqf9+25^C+jpB;y)b^gW z&iBm~)%}#`X#VK$AYTit^Uitp<)!@w{7dW5Qto`=Cg%NLv<^9cJgViU0<2G*s$wAzNx!{* z;P-!P9hJt8Id{QY2meFsz)Dx{3cy`Fd9`CjV)2BWRzhzHcN`n2ZgwMTUM8;;xXk3? zgdOnKE{499Wum@9uTHTeAqa1)CiGFiL54Wy%_vh*wlLrb!K+)_kmeQ{uYQFq5rqp!9P97E9QVm_b;=9^~>zQNU0fzq}X^Q-)8#m z;B62N)*j9SHnv#Y96-y7S>UWCyODlX@PDZtIuDxz)@T40Tm_rMrP3N44PO6^U>7<8 z+nc9o?M?j#V_QT+;$MlZ7?o~sR-1zxU%xwNyVcp%B_DZE=g8uTxD4u<0hE|$V-)L? zE}i4uIpG6hEvUhEKf84$8;j%AMjm+MN_De*ENpZc{md0ogM;PzDXEBcO90vwi*Svb z{I2{em+@{4A-jWxZp37j;e!?qBhgrpARRRlP3rK*-qMi%+T*E9@^+gECZ>og5BJ22mIw7 z3$mg91yf)9f1+SgKaVJ}M!*Rs}q@a#5o{P5FmKrAZ4AhewbKhB;<8ssdya1sn{3+&f?afV9!4E&DCo?u5bpGhUMaNxCmf#!{U}(RVGr& zb@C1#a!&J18mrZeSUhiS$%R-bTu6c(A?WB{;P09owr%NIYZxR;k8(v$qRz|u!__j{ zf3t7D++Y|d&e}-BLOOd9S7)*6-eY~&8yKYLw}Q?vi2~DikF&d|pE0TMt>_`0LbIZy zoFq9yUyYOnffK_gV5MS8z(N_z^@sEdMNQ_62PQR#MS&IWrCnGLdKpRoMq7VZ(M@*q z0P9_Gcft(K;QM$6qh_tPF{777zcH--oVhRDmYh!W)7Uz>g~y2qb5 zeG?3rbA^3eTMq@HCE9`C{LzdX;Y6f>uy$r3Dwm80@`k^#LW{C zG|Y$uy`CC^K-W}iU_N*-uBb$TyJlLprElGhlJRW?hhuTlx2A?#)r zBhJV^-rMjw$6N;Cc`acoaHW2VQeAcIBcE$Q05#n5k)6v1e`w|DeS~PyMrttcvj77fu`=6_ZW>9Q(I9=1>DV=#V>xjLL&56Tl&@gUMf8tkr?g#Cb({{_oFM?;(f#O~^Xr}T#3))RI_;hylH0VDeZ*;- zKaBK6JZ{q=0?^BTDdR_(%CuZqvGA1c5Wud0^2X_lJKKiW2R|lnS}`vC)u&SJFkm_= z$_(EdHc+I+)o``-nyt&o7e+~=e(wu}laZuiZ zq|^NXy3jGjE??K1R7=g8j*0Ost;*xAuLI=I>Cb~yA~yYY8#(E7HjB*M9ix7jQY9go z&C}!wHlH*HhV^?`t6FSAf=F0CM}l`MyI^>`p!7U~5o9onCN0!I3hUHACXG^}MY!Gp zB<~zLM{CoJzQ~S;EuEP#rzqxKI5Xb2v~W!s!HRD>1&unuzE1U|y2mSVP(35(s*G$e zpe?}bxzJb^scf9TM0uq;UY=3=*|5d<*9J){GQ2Wtu|h^3oI)lTevJ;MkZb)p;WGAJ z^LxkHZ;KbL%7GPuGvHEX@n$Z0vVFz?bX0=7(pL@>`{Q;OPz}i$`d%)AZEwAz*TY`X zW%-r^9^YY+;5*AKVlJ)#Nc?aoX|OvgXv;H81zx+Qp`A%tS?S&h~Rfuv(x+3ltzWTuZ{HvHB zEFMp@{i-8Xp_hh?e7pvMrB&-ia+9nBvMHQj{DSkjEt_X&cmfBWt#_?u<|`){M##{b z{$c9j>7z3n<+us4OHHCbD)|!o*Gc(h6kUUM2#bzHn4|=@M{Hkj_-xOMp5Gn`WBbC? z(q;ydNv@}f(aemC2rHFWmNNW0FrhEYUbW!}+F7$(m6a!*y~H$#zn$S3HHKyiYa=~PA-iy1c!c*bf( zQP$kRfJsgQJNdrrda(PIexER1!E;oYDRXRLw|;aBdUOh>HfItr;NK?>~D zJr2?0`tXutit-RoxSkWwcCmgr3u-ZPc;ln{46)1d8H^!z;OP4Twzml2bc0If_kF(6 zVzko*n|CfzKv>i0ZUN?7l!~>Lh;BEP2Qs=DxX`_V*z1jx9JB; z=lWNAs7ujY8W2(W*1 zBx)p}2Qe6?0AAvbG<98J`i=2fpqBZ+$PPnp_d5vC;Y!k3!Y4G}IxbHx0@KIxqpHe4 z*uo|%wwVcQscR@)N~@sJMEzPT`SFqgi*r!HMBzwniT_vZux+Y@8)&-~oooUL?3e1L zIi+$2AFWmh32-f!{@$%N*iR@cthPs#>$FXAn@cx+-+({3fN=g`7GePs%d6tda%V;zMQJD{O`{5y*dsj5pKUz)Q>HvMGevq?4|5s!h1OLtqGi;6W}Vz$EE6KU_&|={K+Rig4zOR(Q3dv8^Wl0d)VB z;y@3ojzca)5*0p-T;gQiA3Q})`}S+%E~5-$?f!OMec%m?=Rr02q*6MVHrZgF_|sw> zy%A2MT{!cox%$g&-dsqxv`xR(59OWUC}#sKIdJ%_9?TQFIb7QRG3jm+!51 zoO(omw!u+B#SK=Ty)m5Pb{T7jL_veaZ@|Q1Q!MJKw+#^0p?LB9H4{JA<;IL|4qN!b z%RtOpQW!F3)H=oMD$2V)E(M_Pj%*V{$idJDBn3KIqSo)hWr-P;3L`)ycJaL+)8JA9 zd+_f2`MwJ*e*6E)9lY!9rj*OP*44@bv*-DVep0!XOOBGxc>i7QDCPgZjsw%hB3N- z;<(Uk~*F-M7~eX0}>#*PVTW2 zq5JFh8;bK2DZJ4K(qtA^kI_lDhngoZn>_w}@0{+*U_6){L6rjB?-;3qnH%W>!FJ)Z zI7p#J#TOrqG;vFFj04~Pqyz?ki}Rd)Ccj_pm?MeRhVhD;)#hzr5%22`6lc@%6GrbhZ4RHNc{u~0W6jx}Ca_8CqpJ+#3rNudkyb3L;(}_o#5$u}M`C~k9uBP#% zT!O!itdzW=n^?55{2NXeC0KqRdjH(%SR*0QZRqg}bu4pzPDOcO&bCq636%;zWh{ts zT*8EgMrS$1^<2VvOO~+Y{fQYVP)c6JorXoHmemEBwIPVmsUBvA@?{)KIICmFK^XlxOn!KQp@Vt3kk z(2IQD+ zGt+D3a@FsCd+q)S)(nTqvl75pXMuq3RoYk;x(2M~l=vm5k3YUb;Oo>c6V$r%)s3;N z{%A_}fyAPdOjp3f^re%9@vL09<|ic{NYxVXG`0 ztbEXtI?{7fDu4FG|&4PcV~d3^SEqaK~?Ua)Y`CVmo+z$&~WX zK*J|#4O$uk*Pp$OVH{Uf5zU6Q{C}xmj7LCBS#sw=*H8WMa?L{T|B&MhHT93FA;*HF zd1ho!ga5y`wOjgdHN0bDc+ z8K~|O6_3lxB+M9brAJS&7h><9)Olc{0PggGNNbh{3TF=Q+#`CdpWjCzb5a>iL>ci5 z&b&+RjJJF8$E44OUk|}e>{PUPloWEx&2u{`+m!#IcjyHGX;xDPAr_(HrKIgrGNWnv zMidv8kkK}az-hw)6vt%*Mqrn2TFhRTv3*2gqO(9R!IF~g<}|R zcIh0hAb|8wmNFapJBzb%?xq%UT;5<=zB6}|UP21)Z7_C)_6$skxT9c$f+83}>&H_u ztFA|upcql?_V8Kpn0WH3G_khPh9+ug>@Di#yO7t(NT74jfWm5wtx2079t4bv`qV|BUs4)6P>IXJ&91z2 z)s{mglbUK1yfku7h&sKC(FAH70P3z`acG{#&9E-&h)gZQQdU8gPy&0$KN2)rzBln^Ci_n6=^b zaat*k*~!+WZ_-)MpBEF9E#nZ)mFr~S^V(Sj9A&?A7nCcnONyrFG$qL%)&F*AwKKFe zn1O)ARcXeWt*2vtVOu<;+J|mthpgU2OoJ*L_g>h$P%8ngqG}=Bs?e)EGd;M-NlE1hX>TMzxTQbu-3E{L^;6w4ltSXf>16OXiLk8zt=8`_*X zQDE_EVl^~idp%k!uygPX2QiU(AYo#>|LnbR*(fwHRY;@Skld%xt}BX=^X^lTy2~nu z9gk)}+WAsiSX-`?>Yu8I@%KzrdIk*`+y6!N;G`$PndecFvEvzOwih?ytR9)yGYB1U z0nH_vFIP3uN zyo3;;%jCOeb_fj|P49Y|_+~k3GXe7=4vhEQ91A3Ubxza(Ka9K-39Fo+^k#+(g6JKmrJ3Y(}m}R(E^ZhY9lU>kR=V$ zG(Ny{BM8%ZH^cEskz=*`T4SgL+yb!DrO0`bVj)8dcFsMr zz%L+l}%@y2Su*%&uSh)!TTS@!e4)-HTogZw$C3)w4=0_Mi-08@qZSddjHNC^u*zYn9wF zVL5(Wk;G_~6Mm`UUDib6ZuiwmBhH`blog87;RNNX%mx&;b4Mg6^Zm$^UC;jznk6M< zen~%$t>zYe0n=ws(IY`{%!RY9n1;)9#e#|E6T?Sncg9GssR~ZE-tS#IN|nU~l!RIwPF~P@6HRbPyb_s(e>X?q)ND}>~(LRtiPe>@VzBs`q@HpQv2Cx=?=WLjRJ*cJ)O z!~gCX97A&Wt|!Dz96yD-IJ>0=#n4Gs!R3r9z>Kswo!TIsP0s5RbH#rr2@<4ayJmd@ zbd=?@??TLef|^H28VtY}(37I+psvV5538Mi_+FEJw0C-PiHiFH)x)Un^?h3RCu5A_ zU2|-;5r7tzzuWAZ_K7sDo2-g1!F69{lQlKyyV~D;+m>rWu54>)cfW)m;2ZtBW%`3u z-ee$Iy>;W8^qEg#;byFbWf@9Vno*m(pX$$krbiI^eq3Ij--_!fj(hEyh8UjfU*-rW z`Bbr&AUKKl;3XU-o(de_^w-8dlHky7O|QEOez*4*7j7-O)=hyQn`N}XBw%+7SX_VQ z73H(S#~DuF?}$?Cl*Q(^0=E$y`eQ>#>jTx zscG4ge;?cS_wY)z0J*qCHp+{V_h<^GGNHK^-ft~*|GSoTmvLh|^IzG$5^HE15Pfv1 z{+(I-sF0?!5L9j;U01xzn<*hsY@F?@W3v+-e2VqI*DFmex|1iWn2*BYzUxr*JA_mM zM(i-sVTx;O#gh3~L0<)8wGwFILvKwj>Ue$R7FEE@66AjX0a_NJh;gltsXVsxeF5fR zcdM)!nE*-SQYs&bCuH`7L<8Jm%J&)n`+hoM@DU?EkLd=I%;^+y6o)e!uN_snIjPC` zT!T?igYrMsAYI|%(jZIX`Y|M@iH$VS1xQm|^9b-g!yyD*ty3A93-KAAZtmUHBQj|~ zfPbILGn33Pg|xsC8rw^OGO`9gMoj`AW4|?zIJ72+$QKF5k#Khi(kI3LS{Fqqk4&fY zgLDaucdQ16V-aYgy!c?3)0;T+${)yZJRHu)6`1$J zmL=hVA6jzT!sVFSGLaY&$z{43Em6y;?Ows8{>2yIW39|4>5eGFB^#sh((`G6NXR6y>U`FN1Q2-r zpK}arvd}qT>#kscX7JxF)B5gNID$21;Qd#@&4jJx)TGUYQ2uG}s!0hkPcrPXa!q1aIOh1R8n{n~b4Sa1GYW9ycOOM*`grbC1P zd|{ZO?9RsjMtkIYN6F!pzE|zj(Q)&jWdz^vV4L}GQ=O~-u#GC*F!f=Rwzu)=G0w2Q zdUo9gS<+!{q;W&PG0?dFPfidUwYIF7$^9fSLEv}3V z9~xCj_{`uJMI@bnwex3&Vq1!<-bmd$K>@Lxs~C^wSiyQV(-0S8811!fDgh9R@`ll@ zNUiJlb*@7DbPycS;n9sdwh8@fmxlBLxiiY8ZCTlXf3!iBiwW(S;cwOGCwjndof&?Q z=&+G8v&|_pR)MqjPL>S+?qvH9)h5y0>xMttmKIcBG{%mjs^@gs`sA?1*RUCjwd^JR z@?K-V4c${sA$|nUwhaY%L71SYO3{uXeJE?$7*AJutO}BtE_i9w?4;B|maS@`w+Uxe z3$}ZXrTJfK-4gRM-id6iczDsHfX4j6typnN>DAL zwp3F=sHI{rwMFdvZpf(EDV+ozDIKv*MQt6eLA6D#rIupsrMb?Xd+yA9m{0Gg=O1{_ zd7j^gu4Us*h~)TsYsuRx1(|FX;^5H>_nC^q=o(31lQEBNUuj|i_sXXkE2-a7&BM{l z3T5P^y~59s-62y>=eb1n58SF@VFBD!SN^<2(=BW&Dz0O}`g8Ig8^tK*l1fb1Pe zW%pvk{%MK+CLXY5bC*c+h%^M(C}*g^vqak`gNL2N9LI!3jq2+C6RYPG>nQQTQdw^& ziNjybO*%eAieV?~@06Un`7Q=8(}q1P=Z&naXqscD$Ta*hDKz$RNc_3&H{Sll8xd!X zhM;}M7`fnh=MxwdtFlx05(9ip$y@F}tvrn`aP*m_r<5;CUUYxjFv9wMSu5|%gjx=e7FX8(M;umMifjrd<-8rNZaMw)WL{V zc7nAuug1a7ux6G1R6dmJ%17?LUucMFm|@rBKGLt!SfNF8C2yb?rS#xd5C6t|3|IZd zeC${;{RK)jt}%s$b^U+U(i-SCcDqllwJECa*J7MYk-aVL>yqJS$1XKD(7X%vE1K+4_9zDrDqAl9&DGw*$23jjm`caXV$FTD!(gK+3?*W&r@ zl03UXsQgc=2eC)^k0c`syoPF;RR-dM=YVSr-7)c2lEu-78MqP)j?W~H3a3`>Bi$5D zK1#ZgrIqC6(1i@aGU!>ObA9o$jB^LCFW+nGV8d7W7Y5WEv93Y0_W&q@W|+=hsUb)^;i~v zuf!Jpy0UvSDRnxsHY50~&h~*iOVy*r9+lXDbT0NvYIJSMj9G~<9!bNShnMOXm``$= zT3P{NYh^m?q&|#7H)SQ)GAlOQP%Zf7$%-TU5~nG_zChEZ`3PWL5Gsv&YQ2Rq7!;B1 zwW@!zQX9Z*EP{w6O7bBB&K4;Vw(Y{n@9xMEM2VV3nVtoasVxr`#Ua~ zKfvbZVq(>Ii;+hm@8QlCYlHe~hHoamO1Uf76N@flw;v(tQN2l7CncUg*opxj-2Ca=E^(`>~W zxDeRjROtU>vVkA?=l!3V{RuSL;E;gb)@8FDzV*BuWhP$f+43Y~qf1o&OUMSm%`wz} zk?{`I64bGFu%RS3Hm~d7ed}SBVuk#n$$20#)y8N6n_=)xpXce?szKBJe2W9xOwKob z4?*brS0kuiYHUpH1>ZrzC3R!pY$ADtCv}#L`k(bN+OqO@>mxrdEx0msBKES3_zV>- z_CkoB7w0*N@-59morjzj8AP!bK1I;s`CkRu$r`m3G2bdb^pv!v|8L_vS(ODoI7WBx}<=OqW_{&Xv~+)FUZ#$F!@V22;ty z$M~pYXx)B)4>UXV4+O_`R6zy(L3NuMHFd(aPMo}DxH|vKGtj880LulQCYwKvJ=^(UWa4j7;98;B= e{*SgfIX78 z$8Pzv({A~p%??+>KickCb#0FM1rYN=mBmQ&Nwp<#JXUhU;{|)}%&s>suq6lXw*~s{ zvHx}3C%<;wE5CH!BR{p5@ml9ws}y)=QN-kL2?#`S5d*6j zk`h<}j1>tD$b?4D^N9w}-k)bxXxFg>+#kme^xx#qg6FI-%iv2U{0h(Y)cs%5a|m%Pn_K3X_bDJ>EH#(Fb73Z zfUt2Q3B>N+ot3qb*DqbTZpFIn4a!#_R-}{?-~Hs=xSS6p&$sZ-k1zDdtqU`Y@`#qL z&zv-~)Q#JCU(dI)Hf;$CEnK=6CK50}q7~wdbI->?E07bJ0R;!GSQTs5Am`#;*WHjvHRvY?&$Lm-vq1a_BzocI^ULXV!lbMd%|^B#fY;XX)n<&R^L z=84u1e_3ziq;Hz-*k5~zwY3*oDKt0;bM@M@@89;@m*4RFgvvM_4;5LB!@OB@^WbVT zjl{t;a8_>od-~P4 m{5|DvB&z#xT;*OnJqG}gk~_7HcNkCr0000W zanA~u9RIXo;n7c96&U)YLgs-FGlx~*_c{Jgvesu1E5(8YEf&5wF=YFPcRe@1=MJmi zag(L*xc2r0(slpcN!vC5CUju;vHJkHc*&70_n2OZsK%O~A=!+YIw z7zLLl7~Z+~RgWOQ=MI6$#0pvpu$Q43 zP@36QAmu6!_9NPM?o<1_!+stoVRRZbW9#SPe!n;#A_6m8f}|xN1;H{`0RoXQ2LM47 zt(g;iZ6|pCb@h2xk&(}S3=EVBUO0e90m2Lp5CB<(SPIaB;n4))3JB87Or#XPOPcum z?<^(g+m9}VNn4Y&B`g8h{t_$+RB1%HKRY6fjtd-<7&EsU;vs0GM(Lmbhi%Gwcfs0FTF}T zL{_M6Go&E0Eg8FuB*(Yn+Z*RVTBE@10eIOb3El^MhO`GabDll(V0&FlJi2k^;q8af zkENdk2}x2)_KVp`5OAwXZM;dG0?M-S)xE1IKDi6BY@5%Or?#aZ9$gcX)dPZ&wA1a< z$rFXHPn|TBf`e?>Are8sKtKrKcjF$i^lp!zkL?C|y^vlHr1HXeVJd;1I~g&Ob-q)& z(fn7s-KI}G{wnKzg_U5G(V%bX6uk zIa+<@>rdmZYd!9Y=C0cuchrbIjuRB_Wq{-RXlic?flu1*_ux}x%(HDH&nT`k^xCeC ziHi1!ChH*sQ6|UqJpTTzX$aw8e(UfcS^f;6yBWd+(1-70zU(rtxtqR%j z-lsH|CKQJXqD{+F7V0OTv8@{~(wp(`oIP^ZykMWgR>&|RsklFMCnOo&Bd{le} zV5F6424Qzl;o2G%oVvmHgRDP9!=rK8fy^!yV8y*4p=??uIRrrr0?>O!(z*g5AvL2!4z0{sq%vhG*Po}`a<6%kTK5TNhtC8}rXNu&h^QH4A&Sk~Autm*s~45(H7+0bi^MraaRVzr05hQ3iK?j` zR#U@^i0WhkIHTg29u~|ypU?sXCQEQgXfObPW;+0YAF;|5XyaMAEM0sQ@4-xCZe=0e z7r$ofiAxn@O5#RodD8rh5D@nKQ;?lcf@tg4o+Wp44aMl~c47azN_(im0N)7OqdPBC zGw;353_o$DqGRDhuhU$Eaj!@m000000NkvXXu0mjfjZ7Z_ diff --git a/doc/_build/html/_static/docbg.png b/doc/_build/html/_static/docbg.png deleted file mode 100644 index 13e61f328bf3264e558d3d6b9f9e12a16114d1e3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 61296 zcmX7vb8sZz+r?wsPIhD4+>LG9n%K6rv8|15+s4M2*qLObjoy5J?;qW_s%xsNr>pP1 z=Q+>kL@6mqA|ntWfPsM_OG}BVe2wG(9cU0=XXnbJ=&u3ZQA*q8Yn1xmAu(Yq^xs8y z#~+qoP0k28APV2fY zc&eR3XiB%0QIw2^uyRndy_q0Yt_GknM9KNPEQP=CQ}4}()2z>pOYgnD1Sh|`Q(T;| z)?UQxHtCJu?tR{U&WXSn_Ry>BXW5ch<9*)jpQy;lK^rZ2M&T<;v6(LD zHX>?k29z$h4Ojj*e)(Z1f-+=}WOpE-9k2ZGnX&Ea`tHPkq2-+*Pk4Gn;}`i0(z=qn zKo=S{P>YDkV`}pVyX~;9ld~5mKW+|9@<2*pA|TZk^nNSo(4O5qbaH6hf!ucHJ_xW1 zqZN|KGygU_=HlCWDdx`CHGDE=IpJ}~|FZ5}*R3g(U`ekzqW73OKr)SV z$d_zC>*C4tb-3etn)Erk=h1wTdXOl4k9DZ(Kz^DtrJ3W$)isVDiyJeH%Xq2ML9GA& ztnF#$*}LvFdoXb@>M`;}{sd3|+o^2e1yt);y}UhmIk?c@G1GT2o!*Azppi#V9YGBK z;dZJoN-E&IV_A3X@oy*l=FsEc>>cfM_$`F*J`1jnF#>fbrO2sa0nzmP*L6J$p7}S%oOoXt0hn?u1N69 zee%rborlx})z|Hm-GpWz;7RgA z--k$|-Y)t^pG8N+DpbC$w{QvcSb5PLlCj#?2zAl5Aj<*ghV13eO!obJb2ajIG#w3C zxrA`3^Y`opwRjGD5(n|_X)k!Sy7aopUc2uwwwu2YbMbmj0fxO`2C02vSQBMGDltQ1 zP{3;$GJTf(N83Q1R@~1XzI@G$Y-66#py*L~k6f;8l+B#NS4scFQ+_^0_cDG%mxw|J;iG=nhGjD!JbqnoA(c=n~vGyjyRVRJ}+7$(Szo;zxR<8`C2 z`9Q|(!_+*89QxVxWCN4z}f+O9l8<|$l8kCDDAWPJgLnLsUhYMF_tEye%R&JdtFDNghu#bA zTkbAb{S;&i{?721wI;w$kbuZi`_M7J@yB)g)8xrgm*YxTy#pz?Q7>$F8t3ri)!$pv zxQjqdF$V%mf*tn!jx#9|QZDtQ;*pBksiUvOphiGp(Ua&}>BQp1_FeHw`IA3}lqnt? z7ic6v;vn`t+L|ZrO}#CRv2#fqH6{l0HxY8%RI-zBUi6Uvl!K3XD1S|^O+$5+ zu~4&BszxLbW;>RjC-d1QuOJ8_tfigMVJtbGsDmSJJdvD#>r~<1&q5c!6g~)M!A`j= zYrYHQj;&=kTxC2dkJf>eB|q9gW>CI)%dLM$^MdIdcp$cRoFmYf#)>jZ5O?WzCf@gwTFW0Wj4NN=gsa`}#WcWA(jJ6#ZZN)YY zEiveq(EHAw+iPyk&Uc0TKaRi5HjTjY^_@t*NA?+dHCjgUsI40cdIIV=Sy*{Ec(%Ou zZ!sgD%-3Tr5Z9fF;>O4q=(cOQ7c8x!5NN~bOv7vV0~v!(sG>1C)T=&+C0#4`56bK2XE7XG z(NJh)aAx6;s=E%O09!UutN3gQyczrt>?dMQ`!~~{KCJ4@t{(&Ye(*svFeGS}KF{TITd$G?< z2!$hPsP~4DAJ&#|G5GHouE_|kJa7D&48b;h2JfBt?;kYNq|AN;-fE4O$^Hlx<2v{W zP~Hm2Vs^7Zh35}N+{k{RY)GHGS>f|OPz$u~?UvrCpno#a(-Pp)y+wb6J)yoiadT+d zgt?yi#v4z#0H`pwV==}NA0%vHYP;mUuwS~;0>d-JGtdWLJT~X}@!(8-+){`eWiiEM zgrvV9PyxM*Hos1`z;{Sz#&Wz_D-Z1+3>qZW16b8pud5sL$0Gq0Aa_adWONCJR-CD0 zt}6}cNf;H~?Dxi}GUqJk%$UP3j#}<2f^LwIQ2ftsTZWiPyRmO3VQe4mxCYwfSp?>o z{1I)w;X7b~8+AQwj5wTNFd4<%Z)AqMRFC0U)tcl(bCdMyZs{yndYxuwFIu_3Z@A(+ zz_(Wz2^hB__#!mZNpdClpR9p~+K4W1gcW=lA1hn8xVGbpokA7<)uWvZ@#eYvZ_Jrp zu@LGwo!@3h7z%i*?k>HPUI=eIb@Vd-eJ{~c3hW%&xt~o~`;l;qP)|pq@k}2O{4I8} z8nnK54u`6XSs`K`_|9-SsW~i7s|Yn;v8~HcQfcJozfO2fbtNUT@e5gwxrKkTh-{^U zKry9DJOgvOY83YzzT~HMH`C9`Zl59G$^CuGPpQ-e8u$xOA|$*yhlm->xvKM;ZMi;| z$6txeM9C@9@am0&R0b5b7TtKh-RCd>{=wVG{dbFp|DEgvmu#3xPUNX=?kONLODUg$~*+0w$rSgWWcsT8Ogof zcu1d%5!Ku$*WS@hgVF3^1Ft&IUalio?h|XQHX_Ma+##&&8mUC9Xw&fzOh3|#0H*vI zU633I01BFA+`@e8-2KZ|5KF|$M!7#~vNKx&TOyPAy%0Nng8M{gWzYi2q;ZyL-viyS zKs>8ZEF)2?k4)I`)F#okQi)iB`M?QE-QP3h&udz6y@wam13YbkUf2drGB zG#yE0lBpL}CDKW`RpYB56D}k0J8>JtQbUJX2djB;#2N;6X{;e^8p}Hh3i+pw(o4DJ zM!HXKJC}ARsVcmbI`@3tYQ$O?WAjC$H#gtB8&zHlHP#_!BejnETq3DU=y@}wkH6XZ z_Bf>HeQc#RdwM>)i;&xdU(W09@DD`lpUHA7EczpEKY-(^ar5dfTZq|NVuVD~23rFVgXq2E!e#+8w71NNgVxtiKqH5 z-&_B{&4LwCR1JWB^SIkLXEOcHy%(qa07;)lb1F5d+wkt{yadyU0mXE9oC#l1^|AHe z?&_!NdmRXIR8ro^&aMg6P$QlWJ;8uczYCNem<>$Rb%)H80|V$@1{#Lr4Mg!M48Z}4 zHIwGScT**Yw(*L+^N85ck=w+TamY$qq)md^g}-oc*Zg!jbIb`el!D{!x}O83K92O@-KH8Gni;!g<9mU0A8Fy}fFD zEAf~Vu$k{kVt&XY6u|j4&!o(YY{@r{#_Ipq~J%jiVBG;lxfSg*~^Fny&+|y6)>Pki4A{ z*_sTGb}+Io?cd^JUX^oKmDddu?$xN!*-#r>2(QRcLZ|&Lgt^_i@9OZQrmswHO%EXc z!+7`=51D-5tc6=e3hm5F51!gO==hAtvhEqsE$)_g-hFcG@9ENxlXoY(&b}TKkG%VE zr$d_`x_zhNM5!PlV@ylP%%yAmJvOxlFq?1MUkFssoy(grh%I)akRw(giYM+)&D49v z{8E)eFRuR74iPK!D6~QKhFkP`Plprbjtu4z4tzforhlGYmOFAO`9yy0O2ZG1tO{Wh zp3F%1a>th85J8WYTUwxTEHFyWa5bFw2Ax#CY0>qQrEsu z-Nd?Errw!B#cjCF{Lib8YJe=jc4*g?KT^vWf>6FNgIXvr1Oq_MW!+Xxoe>36$oR{d4a0TeJo+lso0l<1-G!R0<8GLIr}E=ha-Tg{Dd?mSH`KMoU)%t##)UhGT9A)4xWE39$XI=nm_~YZvd5{ z)nFd8WtD6;mUpry;XGgh`GUzk8IR{#70e-U=G=Jh@CeCl+Bt4^VHF`?a_CBUHdD;k zT{d0(2G6A(F8`ht?#EgQa=2P+yV$c+cRg$Kz%Nn@&JXoBez-QDKnxy-xbmU7_#goc zjc3|JkKelWRqz)~f3qe#7vbC=IMvMyZmgSff=?O$wHgw3bNoFcM+9)MMF3s%*V4S& z_R{g&fQoqbnqUy5){NR4J(|=?ESToFepuc zN!$82or0?=j=>`YXbu}`a{pofEwk#{Fc>CilLod5488sqG~)UlQi93mSX4@^#;m9)uJOmL1Wfb_>l}7gb@PfSWP&=?as1lKivHqoedlo zDhO1m;KG*;vMUViJ^C^zobssOYS}X~ADU7akx-8?b2MQcdOzhd zHo&NVyE~uPK{sh#M>W8^eZ*WvBBA;&v<-)mh5kj!7@@U0ix@@YyhL2cJJr~m;_HD+ z>-LaBZRI1}@S7!5hoFn-Du-wQL+NVT21lw0H_j0rO1!z+zQkZz^!hmu3f}PgqJ7RJ zKCbxOYHuLphEamtG*KEtW|5VQu8temK7dvCLZL470fiYF8S z=P8mwd~^659#}vcrab#B{?wvM_(LIwW_IV;H|7w>Z?kXl zsp8H1Gm{FKIY~?74$zh-55fyNVh9iqMI=(@3_{}OGsm!XEr`Gmy9Mu~p8&so&f>th z#Wydyr-%AOz|Opi+usjcu8^=t;M~}LZ@L!a`Xh8_qyW`otO-#xf%s)F@m_9kxPakj z0X50IiXV(P_OZuU8S)TES=3Jj8Fx*tYXLvr{_3bn6*DGDrk7Sj(+3n#tB~;RO5q&b z0G?c)eo?!1X<&~BF+Bk~D>z^SEhqdXFlNiUOW^p~0gNY*^VMu}BaT7C?I?n`z-ZHxe_^BAVt4vT~~Q%xqxHi;Uzm{vF0lR=Q$a z)nDDowuR={h*a9)k|YgZ?4=o07c*f88Oz<;{#Hi8YxR)uu^RV z6%?IrV7g6+&=$ra3bgH%%_1`)9GMF+EmoVWYlh|lE(5haXkUL_X;FPebtcYFF0@^mHK`ee+-{l=_r?0Hv$N9rNHYC+ljo2{`&iLtP~ zNe8mi@-zb;(u9UT&(LUyVp0ikfV;iYJoU{XIAKn~ti0)jXI^A{^;~^jhW^56lLaAR zfMwuZk=9;eXuVmvN>rRMXX7VqnBzJG`NsfOBRsDiSs=8Wpv|=r+d8_^et9A!5Za*y zGiBE}7V#6Vl{1BSj)|oXA%q9%sro#Vf$`W9^w+$v`bXGL_1jGL+p_kj71+Lyz0MJu ztLMGye$eFr$>51OA1n1Uve^6qB9-gU05Ns4{_Mt4xZU0rO%a!Ma(O6o90g_G0rMZvAM1mv za6)}(Cz*)JEk~{*tTo#{d}o17<8A*l6nE*(8@SlvC>h!>KJZJT=brm;N}|hTt_|5z z8;ZOu7YvZ6O0C2vGTr*!sQM_zzGiTeMyR05n|a_S>!I0wM1cD66mlU={zUQ})Ja93 z*X>9MH|xLE;(DSgXt|7J2zO2B)@K-VHFKC%>8NJn&ht0g>Z++_789!^3oHk}FTY;r zUk{0wx(&JYZ!Jn`76K^{cu9>Mbd`!^puq=~yu&Gw=SMgU8_tYKM%w5fqf(l4$tkUR|^|ZLA+Xzt5M5yD5#f)~9qzv&$-r5V#^9_H1H+sQlnU zA|91S*r0b-Ag(J`ZLx)FI*AO>Eyb74PYt#^bGj<#d!$EjCG(1DzWD}wsbpimYTs&p zXXM^0+x!s{w%PzIY|CUIrxn6SV$Z~%m_Lz6?-8SW zWL_<;Mj%Ip8KH_CQb%Rk9KbrU)$#91Ga(2lp5RkBqBn>a0 z3?}D7(6G^*+BI@@*SP7tdF}RAq`h!%jRG%9(U@C-ch26at2?Jh*oW#>FI__YVVUg&_DhOG zFK;S=1!JR!UPb2;#;-v&1W&@|B(LJY-kjgs>0OF6( zht~N`l`iB@$DRGsJDnE_re#;^^;m}kwqy81+^@~U1f4R+jHqaXq80(C|KuloC6RMG zEUWGW$I~+65PXnpDCux{(|F`}j()7Mu+TDuxG*7Z`7wWmBtUz-$@RW(Knl$mfS>Hl`h^9NUyayqNpm8p%5 zy=KfekOVO)0S1ZqDz=f42xD@d1qJmlv~4R|Ag<2@3SkCIbJprw)VjCNXBTYm6q`P* zu=jkQu$>2?p1*rrgFnJ;lsN`X!UR-d(h?NrsAkF;VhohLG-?!Q#;J4o|GtL2MqfBX z=yKYCJEe&>>Suwp{a_(1Z?w0Ug_+`A-bc~)SL6N_jt)C33%6kwsb*(CxacRVHDeut z-#+!dgqO|HnJe?vg>)st$%ep&5wtb$$vAlAHHM>#-7nSYhtlxPH^d#WftROSdy9(o zxn(rw2HX-QOA2vwNJ=R92~eEejL_-jsgP&bgWrL%xfXW6tFQ9!h5v=Sf z5G?yvLnMzXk$Tr6Eqwi_F%IwzpZ3S)gz74a%f3l!356uZI;rr6elxlSWGZ9dX&u$= zrQ?I+QNfDh`whvnQcmO&q#=FUWM=$#mq~kedG?K|yrf5(--d%u)jJm2&lDELx+RDFX>4B+;fkh$^(JT4Ev#~NbdXaiH4c+0 z0=nhIx+ueFvx;#diS0csF=R7Wdvoo~ve(0sAX3#JQAUuZeVQ_1N?|8>724AbU5drT z;=fjm{eNN{)o*%EtgQz+4VxX?FWx_h&wc&A8hRm;vo{VzTU(p^5Y|j!$CUa?KSrkf z7wVp|l2j*(__D7{@W>bL$%;HqI<7`l5D~v+vnaWnJT6(|N&brI* zTiOYj!*QmdDi}zVM2L9@%UHDenMSLecrfBLMP6<6Xw5f8-(>v_i`-yNXbo zk?e{TkYgjeKTl3yR@>dlR{55jM_CibX2d&$ny^kDo#FA3o$AQC7*}4Y5Nq<07Momq z!VfMnB;;r2sLd7v%_5!0YMS9cNAMJ3^RM>P$i)$qs>WQ^p|q0rONomRu;uB$Y6=bUbOi1e-rI--TAw_(klTuB;>VD= zaXhrN#%EX+b44U1zFc8TgdM z;tE)Oh31Iyh?u_n)P!;`>7$dUNH&z^Cz8q$?FfV?mtIR{s=Uc0-u#M^2y>~%Fjh*R zMe`hbS3^N>Mol=NqLaJ*gbJsf4l-CIBVnvph|AiPmJH8CcNkV!a}sncug#&snn8e2 zi6^%=1D~+0?!P^XYl&!>I9C8y1g5=ahW@=$3Vei!bORh41A=JS4)Wr<2!0cI91%QT`^D2)K}`)*9ZB;9>$k;0@$Ql=pz91$Cl1X`Y&_ zReKN#X^5>8Ie=HKCKya&k{dc7X3=`D7gLE@IijscvoP029H7O zln=i4>{hDQa*9cKVg7;pfQ=16J>s|0!{Db9&J|40np&+ywTfNKf&X|=`7&iODq>CU zw77}kLal=>R-i@#UUt^hSbtB$h@Yk-6Jt1mnt0KUoR2G}6bQ{7rc2|ne_rxzR2ZiS zYf8fmg%^&O0=$uUG3Ur6QC3NdcEs(Q& zRju$G2Doh{bKaJ0_%nH!O73pZNU*vD!X!Oo8l4YIkM*l7LQ|WY5WdvpT;d+UI&GfJuhegYo(F&N+&80@TV;xukIB&i!6@+k?K) zQ|TT|#H+G~*7x+ERqJ(USGQ3H{}+7Xdzeq7L!+4Hf#X(On<|Ww#UH(OI>VE7!YB<4 zA@z8TkLCN9D&8aMKYvR-8HJ*F#dNg}@@F;%h_KoJXJ|G zB2)92^_90I3N4A4#98DKzdnUOQc8Eee0@tE8YSSg_OO)aGvSNOFW7x)rjkEFCAAS> z(249n>%Lzt_-r1lgzR)YQ0c29Cf+(|N_;kdI=-}Px zxO-o`?YI{T zSQSAJ>x?)ClnvkPFczFKZMMmmBzX=}ZP$xzNBmyv1 zUj@ob(Zrt!=hR#6s>Zp^QW=Of&XqrVkEc$w{WY;v_vsqde{H%>HH+El3|$spe(o{e z<=)g>zmJBjq9OY|zzJsf+`>MUzXj(%o&1^yYm&{%Lpbnd+d&-SkTzAP#+w{(bISff zBvX=hly{&-gwiEWuQyBMLnZrx^4eW(+lk#q{|^G7$#&0s(7kukgGa_S=ET$r#9R;E zoDJX3*>qQx+TKfRe)0CF-Yxv<>_7dR08FEz`uU!QNY33Du5Sk1w)@N^N>puYT-}WS z(?_^!JZfva8qT4!m{QFpWHE^fo5L~Mm@(rA2G}jQv$+G?r_*YH2)Q0}YP&#NDN(Q^$AklcCkd6hkr^|T~9+&{{u1;`2%nL zPby}8_zaVMGlPU{Ah*;$Dz!AzWFH)*u=W0h)7H-3k_M?MtE%PoSPa(}Gu3yV(O3>x zbkIXubEi6K)%qsX#w!t-rgj7NrBuOLKD3tQ9zH~W=Lu3REpAt8ug%#B%S>$_EK*KW z00qef@d6|ji27_pJCE>@@2l?0D-T9WzLQjzM2pf31mQ73;GWVCNZd&g>=TqX31(KK zWI^}Yy42yPYpIy#;#GS#Jg}tcm(rObrK?8q7kwm3c{!?0{Pnu^P-<4&M%d!eAg+AO zETE*(vmqe}A%lAowAR^Ss*RL|KQH?tWdf={tz_CXEo6_-(7E@eN13&*o0xe0_2((M zzJJd++5EtM?fAv`wIUpugKK~{i8vBdlbS&BF@jNu8c4CMcxK)G1fs#bVgQqiTCoBK z!Fat5)^4B#QFz56GgVo_N>IHN+ITdP&6O=m3ag?a{v_UlAF5mesr{KkOUKuK=BjT3 z)ha5DcX7#&hdgHe>0j#we-9>YSN&V+{?qK>NjdWcTRrAf0&Hdp$&PprGq=qm0`zqaG^2!@Rf?))kmp3j!Gaz2j;uLN{+guBDkyw&3)AA}0t`z;SP z&rOCkF1@hg`-SMCe&no~{$4myIe%NZRM=e~7Brrr8s7@)MYWK~B2$0Cks7-V{gtaU ztwCALV0i&vF{mc%1E36kgA%FqmdSig1pBIf*}tyT%(`^BE9AeY{>XDJPRklva?Bq^Hb66GVgupZq*sxaW9|5i3TbQ+A7r&Q7rcsLMYG@|)2_ZP^|RliBtxK^ zl`m~7uo~GtSq_;jkW$lHP2+{<7hRGE!ZEg`GAg$+9DpG(1WxbdYOYEDTL?3>xO?mX5Y0pjt>WX7K=c|hwz{k2h|_z% zqet5%6Mgw#vp8BGTs@Fe`e0(>HGiomZxeqwSvuN$k^!s1X3* zNQUfX%t>cU)=*?YsT?!^J-M^jjBEq3Vki6;VY|qYTRX|)X)?1gBC-{%XAj2~Vq%z1 zq0oEg{(WHt!79H5#G_}xT!*d6!%lyDZLoi(U{Xv7$qjsv6 z(0l&@<}S?Z=B_C$D5&EDs}fI@Ja1L+29}_;_kzm50}LN4qxihXk?B6*<`rNLugnM*dx0r_$#R;OKlQIjKM+S?Mwst-k^`i)x9M zGItaH?iWA_HMLYtUUZVO3YRABA}MbF(78VfL^(-M1zXVTz%Xd5ywAbM61`P%s__gZ zDaDDZFsY0Ff`Y$rSB&+`pNOf9n8jn&J$%{Z}q9#zb9^z4+-)w5Aw8JbX9`LK7nX1S0 zOf(V`V43Ae@Oo{nKQ2@2X`1NDauI88LoY1~iu8M8KM#M=rPI4SViuaXMIgf^T8RJI zhN-~~iDeNI_EC(>B|TaPZymERk@_Rn8w=%XuBoBm1{vHhUvbtX9jw5MH(WRAt3BvLDW3e_!uBNUj zbN}m5`e{A2D6!9k-b*M+J~ie0x1gm0Pd5Zgc+ALji}E7gt>&79#pLEfRiSoT?H z`fr@ejt3TC0~|jI8ThmLz}#>h*Nn!}p4XzK=<|bBmaDs?ZFZ3{xK(L@vHN)86k3zT z2vZ>YxI+>ZN*0k;f=~DLszySFoa0gZHUE{Z!W9p3uN?32i$t{}r5q$yw*s7|CUe$P ze9nag<0d^HPp6C;?nC~=>duvou_8WK;^CFR*YrtPt%XUM{;ZMd0th=Ks1lx#agT_q za&^6XG&Ov*KN#N!ndkXS8W?3Egwv-W2~2#}Xwi8NM09){oY1gYsIvX4+P}Yo;XwY6 z*Qg#ZP3^3h*#s zx=JCY>zk03&T-0|$PUJB3}K8Iy7qrhuivJWjiCf0T+G zxpe!vyHaTjjsJ3SggS})x%YkSQ8<=FBd4H&hoPx7$j?G@bn^Sb`j&*g8I^Wl6oTa& zMLeTJ&>9TH7!dx}`%7KC8{RFEptEVu^uP@?d$0JQf5T7S;!~O;}xy~I|A@aT~q18)#{S+(`zK7ciM|}LA|9Fn1ku0rkcep3^uEh z+uE#SY=dpKCdY$ei6;5dV~x%Yy6WH9(VTwIT@Bc&GCEfXf$*x8t&YB^>|-}5uSkI| zZ=6>d~9t{T}QaNGYlI5 zzhhgSsd~)hON05G`ls~lIT@YpOd6Y0qlWj@_Zcx2w{hQQJr#W->8W8M)ZK@7pT$-O z7Di&0Q!K7o_}u3aOO9O^SEM9o{rc{gf=kWV1WU-o*<2q6a@6Uil&cZX63RmJgP2GafJD1;%_3-Y9VuE zxf@DX?fKvP(W9medm-WK*5?gSX-I-J^fa5X^ZbhM7e^KX69R}%5SBwS>q&jHi!Drs zNY0V|5Q~StE@}q!`FFbi5oXu_VSjvzbFLLw4W`0~q7xxJ+VR+$kw6PJ-xt2^iH$_y z$lr~_&ZhW#Hr(xr_mz;N9@MA4mQPR+^*_=p;gtZ<#hp{>nlYEuD@(M$rSk}iY-?w@ zXR#JzW-0j!oKMxLL1hS2%n;BBjK>>h_v;|JA78Nv3F`qzJHe?lt_AI|jv-YqE;Wc2 znPit`J9jsIvqB83K7x~jpKADmIt5-b;_MkMX2K}=SE%al>SXV(?gFwki!cWuPZcw} zH6rr2xqtr%UD;bReJEj2GF5$RetgB5s&mP(CTr@6SG9sAYGv`wWgg3O5T9hkU#o2} zxRZV3o}>C(F%8}R#I-la&5WXF4f^hWr1s48yiAt&df&F1c}H)S;!&lc4t5IXu{X<1 z{YBS*R7-zavbS0|l4k&=k|b5IR1+Nu-xiz-xY!F4lr$~ZG?KFX8kO6a@%g7VwQ#pWpk7V4yJqcE2rZRJE5 zsUcH`tk}$ch7d*5#hUHe4c+5sTx;F4SxjlXV8vmei`Vxq<{1sOY@_t$u@E?nm2ZU- zx_HrnOrXe)E!){mVIu(_frLsG5r`_7*TKL+aHW(N% zsBSi_i*STlP<~Oe^Rk@2-@jTsX~xD^6cmlou&nrFgN}M}xChd!{zWwC34a;EKry(A#Tn z*Z+^)74*Mt^*p3p&Mm{o(2LbLbKmCQs8Or#`NE_*R}}18h+=Bq#QdrIhMl>AsCka% z+uO5<5$qAD|MIUt*ZOTy-`MbXY+;Hk9Z8Pa}b)LzgPK2oX* zQ~lHBO}Lv+okyzv0Y9>VL~$cy9q@2<5b8VK*sVW4{RL3Q^gGp0=-gejES#M2O}-7XHiDQ6bkWH|>)U62B1tw!$Xu zbT$$1=T@HjN=T$MO_s%Zn2xLI;A+ZyD2=BKK#!;8o&z@Kp51qs{Q8yyporZ#m@qKz z;^Vp*3vi?LKC@9DpGecU@k9)z4H-IH4TsR=2027W#3-bihKjK0OO79&_0__qY<`o~ zgeVC{{E}+onDFtp>D672*RT+o}f#GBf`9-@BI|Mp1FR1lH^YK zSZE-H_`Q#P2!!|MyqYu5H@hLJSF<(>lHZ&UNqY^l5z;0Xc^GCOESpj@r~E655kUQe zW+iroeh}=i9cTvl&-1Fp7Yr1a34@Lolai#h1EX9+1Pfmff)k?gML4ugM2`_~vhf0o zj919TSR09UOmwM<&C-sz5tXby70!jq;N zQV%0Q7z!p+D5D~P&Sl zt>s2BZLwRi+Z<;k0Npw5+TVUwc$0D&At|KLJBhB%V#<>0RrxV71flfB?XgB``k!%# z*r&J!(BG|a*>BchaU0V2fodQ&A9a=P7K4i{R*kSEHjNReKe?g?*co)Lh-&!kwVeHZ z%Nq?MUB*Qd_`i?_G=kfHaX@e?qQR9~Z7frP^U6n^_wyX5LD+jn*4ND=d^Xm*{WjI?Ey z+Un~dN^ANoF}~)$WnMDGjk@d6Q%~uRq+Wi71jSR0FxmBT=wjQ?S6 z7frbi<`yj@xp6a4tDJt}1^GgcqOUibuN^&o*X8fjzS+mbiBel^1_z2}n;#g9SXGx= z{88kM7TrZW1Rrg?cXqq+UH0sBN}0-xAeZu}NTAr(F-lUNSZ#X8bJbA~TWX-vwpbG_ zDvi(v-^?@dXkeqbaB_`PMH3(D!4C$5Zi0<{BB4Z24%zis!-Lnv(0(%_#35SGOQu|> zCI8J9mq}V-Lf8X~ugzPC@bR^2+Q%N3Ac`jGbU^e(hBakLObjVV_Le6z?nRBgUlCbb zKP~9-aFerY=SzotR@%O{)F0~pP$3oMar!UrBcSE?<)!O}2n#oJ{N24~zG`{;@Zr*u z0zYy^zJEB)7W<2J&=I>Q75h`n9`>DF_5~$pcXyH|Xq9bOW%D5GTMI&JNN~VPR5cI! zXyAd6M%ZV+s_OC)aog2w=lVu$5i||;B*>YEKVLX`x3rW8gW1T5Ki9)D=Q$yj*(>~a zNpLh4GMrP2S82T~b~1zf>%aK5KPO$)@ zrz4*8o&VtCcRB7O_WN4T!;i$z%TxXg%`n;7w59^*5DU$fC_7!laA|KWlCzeoYzftzXU$dBCU&G`A_J6LeOZh#zn?}gOZN!AxeD9p<@<1zk{Qw&Gf#W+VJrVc< z67|rsAKi>#Y(lxsU(_YxE`&!YpXcs}>1#mlSit{>jq|+JHO>jngfxaLDyU6S@5*0l z&+pADC95wJO7YiI*NVSGYvyQ&NM+hMXH%fK^e5FLioVzDqSO+2^U?9znQ#88nO%c= zB}U8DSe($0F%0?}7^oIg=^Rc!?kI=rFl9;@QuIh<4-S?`l}*Q``zC`YV(v`#6$d6| zJD2hoE(=X}}ZH0`RjHa#oIL3qz;a)frbP1y@~s$6Lu5vXXj`Vhf~D zu(8*OApkDlEc{TMR{E{fK}V`di$+#N-OnB>TnYPqDZtx*_EbZVO19z zChxfxT{QIe1f576ox^Cy%X29r*s-g{)XnO;GIF8~6{dYMn-f|wQyHU16Mp<7P3{%bO< zDaZQFx+r!-qauyo0iANhHG)*V4vOTL-Hx@cgHFD(I&l_;{FJ_g%f#Y$hCj?N^WlDL zTun+btG~d|r5ko4QolE-e+WtyiqMKsK<`5QlTR~>+WD25BD%n{={V5q)UErMbm3Hs zSusvj2jB*Z&V={;Je}GT+w^{3bqMXE%rEac5ux7QR?dlCYyxMxD88%0GWIIUS z8ofG2PNGh$;ZCP*i-1i5#@OaVVB5EnH~3FTKmVKc?!BrLmlBEO>b%luPi2hW)#=Yv zMu(u0d|?MZZ?)k(J;%oRkAf*SW^lga3Bh2NE8ewm-W6 zRvoKL{c6w8k9X}s+l5oe>p6m=6+`z<{+{EvLRM9b!yk68Jlte{&)E`$6oX`oIA^2B?YXF!f|xf z9R?0m-D}8iho8Y$^m)MK$|6*3|IZl;nc-HMw#ZOnL7UzYBcqq(q(JI!Mfp1|t#EY8PAR(&pLo!X^qA?5U z8xGw;(&|*#Xnod3#iqrzyilcR|M>k{L(9mY==Jy?5Y_M)BCXD>?LWh{Ai}2x`!Dv{ zO>fM@m`I(?s%YcB|E&4m0tYAa2&;B`$8S}iRl8a26z(`M`s3N5h9*IWc3OBZ0mTvQ zsk??(2@!Srz!B|3iK(2nS>rgIcvB<6v<(xzcR#6qB=bN~M4_o7fH+|1#dVkYiP*f- zAo*e{4?DYQ$dSM3HS3>ZWdi1_x=8Vs_X5FYwt zeXYof^vlM&BlCHeh6JzV53$kE`;LBqc8W+Uz3Iz0J0_4)RU!~PGLGQCi1$4A7^U{0 zc(klyjyC}>{T{fVDJeAz62oA#y>m}B&pk~KW|o}~oW=lCAF!ga(9R-cZV34U_tw-> z`?RPSXl3>o(Dk!&XBQR?`8d;tojCcB^Dh{C?CRQ5Mi7mu9Zz~Iqy)J6Wi5yqzoqd9 zR;%Ue-Qr_kF>tkM_#&{Zr)=+jLTgSpPMd+aB?o|2Jw`TxCW=aoCx_8gn~aUX#;)M9 zCRw?1!)z#9dcA+5OjsnZL5Vb_e9K!B0fZsi#t+!b^bB!JXKQEa)&SUi`*I6Xa{L{e z>w>}sVNAe$*VL3IL5r`Ja@o5>mu0IG{^4Nd_eL+{SG z^u2WlW)#o5aR-8M90`n=Q@F*QOZ8aNu zUXvq=l#%T}ij%vRIx%^M%ZGFFB$F=;etduen)CzI7*L0wMm(T;TG z3zQMErC`~j<%=mFA58p90E~V1JI9jJ6pDy2#Zv|!EH-`zb%3>*z#X!js5(dRMCQu* zLyJU(BQJ)GSr+~SEhhhx{Q#GN6|4=E!`Lb^wIir3%@i+z4S%t6{Zq*sqEB_W(hl5% zR~ejgTt&=@>%MfHy%i+AP|AB!N#Mt}anU-J8-OYr+}&Bc$h6OP$>f!ZrBfxAUF+BL z)t|H`Tir~T!)lXe9NJq_0$xUe_hB&JC^@q*@&HM>45sH0;T^VKn|1;k*CrnWK)|%vQ8BDCym`JABw*np>;b;KBt?{+yRx2@0!sah3fMQHv}K+rYGwK zDL3$Klk~W6iE4F|=Z=f#=4}q-lV^a~M&QG6Vh%xEHl`O0m$D!`dCigLq#^T7V-C_w z#dO(&%NvraONPvl?LV7)W40n6U47qFXmO727xmLljZMx8~9 z19`y`!I$A?{9TXY1(8M{;;dOlmu9T8It8<`WR1!7-{;><{63_ru+HPPdLS6XE`h-h zb&KVKy=eAfi)(MIAiR0~!5;{9YvREuhyg#zSQ-stKSjKm=kGG6Zr;9Q*?KqguXF@u zw{47LZ>#r>ey111J@fjA{oNrN%Lqcw1o9^hjl*E7)N|Io_TYx>rAI-Il36i2KTa+I zOjj6Mv|(R0k!#%kcW?GqO*em93hooRzYN8E!f@mrot7V_a3=`_>g!4)YEG$3v6W+nqBwPCRB5;AZK74>@S|S(XaYuQu^zgf zVM&C5&d(G@ClOltMV!P(raXGx3XfWT_zSrlVC$GUwGNAWDi`=(UO)EzM(k1@$uuc^ z6}HTnNZw-2I4k9XAJq?bvYs$(YqVrT6*ZotR4TZ2b|)jA!srZ*#E~5{$A3GM!$eCR zI;y!p@}=&}QX5kC;uK1pIib~UmK9HDgreTxAwZRHAhQ*bBYsMuTz&P|iyC53O_zU< z$;4osg5s4Cr{*+MFr6?wJg^ZI%PDDig-9QUw|C>-QLwMOkE+fsTilv(rtBt?I;;r& zflH~#np+JS&4b|pyM{@?%BgMS;;<>-;2LWe)YP!j)DcoKUBj~5x~ecPdC0qcN=p9i z@b@Gzo~{S02D~j@p`nIxr@tQ|HFYBGwDt8+4_{8|{q&;MV79S2b=Cu7SN1c&4in0l zwEURRq$Av8%dH}R+V+dvL3YTu$;rA=P&B!Q=opXHy~_tID!%hA)|`hA+n-Iph^8md7@?ozw=k1vcL-qcSGZucvlk$a`pLzDEv~5TRIdJM#T_aJ z1vyt!=gyU>p}o?Ev~6c$4tXgWwl5H@N`fVbAM(|opkRZ~ zx4lF7q}(7;`eD6N9e##|cT9QkyVWw@o~yUP7(Yz7a*_hK<= z-gXlyn~U{~ss0Upu%b~NS*ezj2a)L5upMVs|0r=(kGt8E>h>u%a6#2td=~%)LgQmC zX9wP&i8tR_97oUX00tSo;E4$`l^i6qTKeU$dV6E*Kj+IMC|y1K*0 zbQaep)PZTsf)=#HLaKaA#RZEWY7}D7pE*9ZGwdeXq8aKG#ZF;tz|%8Za^zIfsM^F;iOauiuI3*>dQZ^x&sF`=KMr1Ke%`U-(>FU`$;x@&Ep0AN}VdM z&Jaxtl|(PWBW-_GK#HllU~O*KNyR`nHD;*@^LJ^nDFr)eEr>Go#}PL^04t0iBpeqD z`%vAYdQS3QzUIcU+x0Ub*-6d@p<_xy^DKERtvAXm_OU62@m#m5_TQH92%AsG5k#kE zr0(el97_ZP|M6CmZ3H0D%oi{7=K4-+OM@hzRfEfIw}}&rT7r2=1{i$E1Pxj=}5Cc55W%#uAnATgB^(*(Mj-*lq%icsf#gbr|9MaPh zH6gq1s29FRIjtvfh;H^3!bNe}SaT0I6-SU~Q_169;-i z!FhOFHt7ASqg=Lu7lq?sh z1PKSEdiB(%5xCds)`Y%L{H9VHMMNoiGc3lNwUotetCXXD60-;rps7Qhk$a8`6;~;J zd6%}Xcj(4^1YJ;qD+&1+a9BV1jmj&kYMY(|(fvchUq!s29!7e?V8~^n1^A4Xs#rKj z^N$wbd$%V~cLnZhl8FzssSYA4(N!UyDLjm%D%`>p>CkKWn>>2-_R<6gB3^TVstbNq z{GQ9GlNIi}V|iJ7CuPbZCiUBgju<9o{X&}x*wSThKh{qV`U^r?mn`ouxj@PV61UNI>B$9SN}I9cZcYi}Br2Syv> z<*VxEb9>jPtOpaHVU4NR_uIuMr!@t~N}_H{H5DBF4y=DLt}y0Hma|OgbfQUg_)6pc z2*|JVgsA=+q{NX9j9`$t7;jxUZuLd)*oA&HG`fBVud4QG|2PKr{v^*|lQ9v!tL+ST zb*-ump1mjvWIph5iTn%VPu=;$=EzbSJVs#~zQcHW!y70IhbKpT%Fe)@3G&$NpEDkS z9ZAbUi&kTzG~K;_A`IL|Lbj4Zd!bETAUlNR6SBchtTf}MH_?eunu;bNPL;iZ`9=S} zQfYBecbrm>c@Mp4MFX9N2m+!u`q}csRWzeiI7j#QCy)dWB|B6cFn%MSpo=ikbHe&iN?{XyKdP%F<0+76b@Xy82R%~%-1VnjuNJI-xDO|x+d506?P$Z%_o zh}*98BL5=}1Zj$v2K8#6!j%hZMM~mkjJpGl-$gb}{Pu}3d-sHmRt*S;?%B$VNCxoM zHi%>p_(w?ljO<%m1~fznuIM|9WW-BEK$AG@GsS-wr_=CZ!oA~e6KA&!_khXAx*caP z%h=c34T&w|i~31Cw!S(vz>Ips$#)0=w&0fcSZ`N%Vk-O)DC}4AW+XPcb+ZoKu;y$U z;Eo{$7lzn9+(Q>Un*7a?OXzM5Fza$7BUwP2&yQD`>$5wbT&uY{7FD4OK-9%*Zo+>z z_8{45pgzO{c&UqclC9kM>}HrdVdRgjxGpxp*I0GiT^+$DgKL7tg(ZE3YbnJ|gUmUi zS;B>mNr{=HOtWRybc9?r8XQn<(_df6v!(i6E_v9MvLN1S>Ko4yDE!6StQs*#)6I?a zcBw$M)#DvXD>&6{)QmOvqecKXzyr^G9^d&;8{@Oa`acU094UiZ6fq*Uwmf$AQO84B z-Ro-gj~a_5`Akt zL`iBibHVwWw_|uR+J+Y9w1oPqyycY`B6o2g-W-S-M{WD~wG8ICc%k6-%VFWP5$;?9 zr%F(u>0P3rLPt-xgY~Qwo~%|f3`%jeget#p-5KS|_2eJc7&e%XD(bsyoUyL`HPWU{ z;AD`y@Glk=Dp-48HQ}#{Ud&o*y*-Ul9KN6ANdcGfR8GFl;-ZP^z%djy9X=9#+M#HR zjg$Mc&pP)-CE?0((ZTo&7V0#3~eu;ZzuR}k>{LTtw>2WdGnMLg(TZTUV5 z70pWa7>r6`5V@3XmPypMdATkr3N55Qpsjx_|3Tbm`fVC8Du9x+NhQMOeHuUGsk1v@ zh-}rGF*L#!v++s{v`h4ZV*k5wk54CWZ4{L~#Kqgo`Yi1FjUw zsd?~l?kJe2OVb<=!Cq zz*d`aFi{B*c-Om;L!Fp_t$IB6r(PH~kT{ zO?@pwr}r~BM{-Q^9fIkY(XpFFX}TfXQ3O7-tu2D zP~t)A*eI5st==uTEYu%EMCerl5JhDCBhYAa;&@C~*6vxyhX$wWof;NBGY&R?Q%{@Ng6I;415w>I35fX^)rf^nukXf6 zgA&cjYdVEiJbG?`c(xPY6D$XcNNCb;A-~AF(|_Z0DCgIoCfVB=hlMQUiz3tX+^xZ> zes|ezAP{??&84{i#FrCk}uYz!tAa;18mJ)zCme#koyc;(63aZQRd8 zY?=S_)NjuS7ye;dtyKUzXg^d-bn7dItA}p(vkA#Z{K+ald=uZ|R-U}Q^b^Vov3gr+ z!*ZTUoOWztus@?C0`UEX6$gq8UT<-Q;5$|MyDL@FWVBG2y%cL6@$^qiY@SYwPk21| z5z_-_)lnFwXE`bk1lAi&t)Y=HFoF|&NgOQCqPk&K@wKZ+o?Ai_bc$JsAPB}ZLL*$> zNvl083VSNeLr8pJQkVr~PTf?P=`C_k<97&Fb5{&eG7b`rKoI0JYKezU0^5*-rk&3P zD6I=rsmz9Y;Elx+*q{}(kisl2WSd+27}U=%n+^-nsdD)>^h@=Iwx5#FJ$3{FTWfZO z`p1}a#Cusq{B4+3%BSc5aKj|}Z~d4`dbcHi{b=WY(zeu_n;dGH{~+!ZiRLu`9(AWZ zwnFU)+-|G=gfazFQI_$|L9BWW+2+hhBdEQE5>+%YZk!z4^T8;J!dV^iBer=}j-yZ# z#x;nySN-{eaKi|L0a#ComSALwuP}*WUW2$D%HG}tTQ04o_?{trw@&oo(?9-fCGG$m zJTMPQhm6$btPGk)15v4Nf>j18JqdzQg4o{1RvWJfc2*1p4g%O5zTc_pSvtsqKYut? zKdi^bTfP!dhM0G(u1orozyqpAag9FLB8mBYE**ivHM>d%$bs1^q8ed@hV}5c49L+H z(f~;fZ&f%LQy4pDxD!odHL(k5&I>#ng{+OnTb}y$K^2==f3ruBiKKVd_R5hub;pNr zE_&bNgM|Y%C-MMw7-C@Hw_{~RV~buat*t*>OKKH)i&;ZulSb&q65yPHNN+ruxaqpc zp!!sq{Q_^WrO%~qcetIlTl+VC23TO5N6Rg0F=(7}V$Z~fy-Pd4H*IOFFX=Pj-f-vB z-prSmCm7;su?ikN^U~OFAaptuFE0NxKdet2cU4}`Sln9pHk)?es?kX8EKb%slqFuh zPf1z&U`)!;)QTNF;dC(>vI2~u<`V-;c-T-vV)31-*Gz|~>n5ujfP3n#q)qoaO+*vg zce4(>(aHGwRyBXJO@){~gVFU9&kDn-V#%VrQtm^ksJgxRgi=poslL}^=ZzU|ct&?SdwB6^SeQ#cs zykhObKSvG0+0El&fhoEri{0esD-Yq)q!{HCWR)XOz_TGyQ^i-lPnBZt=8}}ZXTS0V zoc#lg0~~I0s1@^y+A<2=KXs+|uRO$-2NUhYij?H3K<4hcP6seL zRR)N%+cY$Z>&9SBF*T>Z?wn~)R#m7VlmpHh?!q;*f>6Rrdz$f;6z4s)o0R{9>Cnj+V!=0N#EgE)5rRm5 zblzSZS5_oSxAY|@DD@L_e6X@K>G){90AxUUMQ9=E1qCIH-lS7Uo`JG;P_l4XZUxS@13ZzK^lv$ z0__$$zpCFmU!NNgdZp$OvsL4{X-rq-IqO~C6?NevqgrAaHl1HeC8=TZyS`oc4Pi}e z5^X;V_UMGRZn7}@#|jOk)RUrv4INHTRf{?kx^Rh;VwOq^t8n*6tkV%0OIg zw|2#VangMJYJVkRCRc<5@me9R-QZQ8+W|G&EqWp58i6pk<&aFxQ7EyYP$iDeOp&sf z|E8wpR?smyc8hlPt_Q@f`6uaOt_en=3`ZHG8AgG3YQvZkPtKtQ*lhpfMY`IJ5aS4{ z(oxU`7w>B?p$>-{TLFKhknv5<7E~nhW8atYo^r8K$=pQk#w1QAU!e@#3#HPMJRk9r zrg6MC>A=b^VsD2dEZG0bLS9Wwu17^cg@$E~Cf+4C(`6i+OaoGouZ#8W)qjxC+G!3F z0utiGRn;}SAh~M=g6oN|!%jXb4W&bS$ai_!Jk=m#KH&YEWWi9pGbh-%@Wk@UuP|}u zKE4B*cb`?coMH?xU;6Hr@&GB>?qm9OY38MCgZ`S=?gC8Wl*OtFX>D+4sbpK3adu4y z-{Lz_+X%1*eG?A1t+rgLr@~Bn+ePqeB{W~ryX?o;)9&5<(<1YJVbk+G8|df^US$DK zbK4LVkeQJDIC}AJhF{#>`Pz`mtWqQ+x4inU45jT!W7q}&Cmj~WXH4oZA#H)W?WLngkGQYx`d+H0Kjt?FgkKc%PyC4 z7TczEQDSNWAa>Q`6rI{Axc6JP^|Q(#lN2Cr;QzddDG|8j`n-AG+iw)e%LtF<*s2G< z*cAw`hwn~rY<*Gb0!bPVmJ==dZ6=o4-(9a+A)D2hUwH_}OtM$jli_8=u@4H3>ax}4 z;UVdkZqScBc?r0;wB!V5!#7>|-hJNbeddt;{ZFgJ@_7ruH%{ECxwn8}QNAr&Xj0$1 zG8%HBl762ZHtti4t%=p9nM(>FXi^^qGl0P_pqWQ~Yn-O&GB`9e8pNUlIXtRJM|t4L z{z1*PtfW4?Nk@`+a`o=lTfM-B8npG&HL865AW6Y6hM#cgL$-`Ct1UVM9;6Am6@xietG`Y%!UL*bg%sL}ie{2tL?hmW``xQKFAMJ~o`wirpUx}T&6Q8ueFsyn8L$$0Y*>;8uBw7lE)we}g2IyEDC)%hx=A4dm4#@Sd?ZWt{e zahr3RN+V66wU*QsWV=s)vtaX|!W|qZW};Ut4A|$|F&I`zGHtME14&benpib7ia-0I zIaTw%qNk4$nD}nl-`ba4ktIi7)m1fEHAlJB`f1*3@H>-F2$2^$)%9mvyCjHxwosMg z?ovqAB@z_XLmwm65l|B68OniRDp(K*Oe?WjY`=3R{+Brw;bnSAitd`Wr?2JyHZ`%n z?R$4G302&36?F+(o73)^@p8JSi15$%fOjFg&pG13zakf@dj>X)lpEihW5zWs3uc3T z<#kFYbAA;pD`c-q3x90!*8NEA=F;RjU zvoA);^<;haZI;p2fKmv?AlA?Dk{*^HSobQ7N)3ErL!> zR2H`VQ60)O32|+>{@wxo;QS;Juu^ASK2BEB6x1RVD6f0g=d zf9SsScnW>Kzs{Y_E$N~}BLz@9Hcs3VM_9Uma(whdtT(sycDAiq(tn-t(h6Z1;qpF1a+U(&Wv_g64r z`OA!lXa~nXeU91Tmi*4k8(b(6!my8kc)0K2ll_I4d*Ef%^0=yt*h6UlbMDb&YwYY$ z&?DxPx~a~*FZrY6wr=qE5Li#XpfIufm*WfO%lqWjSIuRvcEw+W4|$Iu!AZ-=KD-^A zxkIm4heOM=u-7VnHrJ$2*}KOd&KwWk&t|tdt^fG-jn4$SWB*xY35jpn*I<6jACOFCLz8?Nis$U7`VbJ2FCl zlx4u)F4q1^^Gv#Ml1tkOJ&G7>CK$T`&-H~R5#U%%SoTy3N)r*28%dc48lHBw(yW8r zFD2p2LBnMSQABQ&W@eOTw%dMkH#%0b#V~c?r{F5n#k8`dW-{+Zle=tOf3PwMo-;5?zj2NRg2xaqg}maVyiM?ay1wW)Rji74g2-VZApohk{`?JV4tq`R zH4QROG!#YziZ%|>sN6H4pfzEFD^73}Y4CKfqCv*_@lilHVz zac0L2XF`*uCs2DU2-^^^M`qG$UECsgTtn{6tNJUkU>x>8w0gu5gpMlK4Z(+HoMT!W zN~}+U&avryV5Y#qK{uUMs0fx&-#*Ly7N5I=T*W`r1x%Q(&wM&>fDd(;;6$-7LANm& zA9C-R%R6*@iMa}R2=|_WzO({S-mn5-VSI|kT+RDBZ^a3i^+xbj@Ov`{?1iXOYRiLb zGOdvB2>0&rT4h<7lB7txc!d_87UH>R@C21kp!%2q7$-6-^5`b`7Tn>jeu5@MLOX2Y zZkL?7$dvVkp^o9Pg4JMel^u-yb0FM|Qz1!fTL7LM<`D_Q)lM%dvS^7zwI_D_UZ*_= zZ9=>_Lw-Dys9ormJj776^_%LAnb%xISiPP?ctax*)#QfUpTl59&|;~W!~?SqjM~!I z%nAo5?w!?kmDW?s#ugUT(IJ+!z{yYEeN&W!Uj z8r$?L*A}_8bsH-nah=uHa!Brdm=M+wXD|Ucu$WcbN$9(nX!FZ1B}^RDv-4?Y!5$k- z`Dbq7RVolx!Qun9n^>^@O^sVuhK^6@Jf>1*hTXF)nqN5;cSF`gKKvH)9~IwTUW+1_ z2^@&7Q5M|qLr}yO;TPLJ=$(MKe>O=KlOD|0D*cp)z>D<`K!-^!&Q13vFxlVn4Vie( z05hchlk<*a%#}XbxoQjR*-&7+P5L9UBh%G%`Th^LUv^YF2X<7cN})d7;GFqH7pas& ztbPVEd@6Ijf;e9=qJ3z#d5n;<3j!w*fo7lC&%8A!6Fan= z?4Yzo1IUXyDG+_@Hk_>e+v2-D_lGZ$Y-A2rO*%-t{xu4)0#Nug2(k-Ok2Np3_a0AN zN@em*Br zNShV;VwIs`oimR3Chq~7YoFQyJ}yK&8Gq6!6ne&jUaD0gDvuY9vG~1A*ijHNo`0WW z9tZfbYGdKsfXD+qIn#D_+*fV-y6VYyi`zd;l^^NM0t_a(ADVy}vI;7jSoIPG>Md)J z^qW=_wC$pO6X0V^j%%|2bW29p)|bs!ZjjVp;*$7=D+?tp>#Z(_H2~4InadP3R zScB}b{_V5EGrMNJMaX$N=h{eJgmUzpelP$|iX?ke@~7s2;6WKD^4dk`YE@zSBTNl~ zh3wAh>9_g8ZxJ>=B;P@|WxIc9oS`^+r$nY~NNq7PORktgwaIlbF?;SHMYU$D3co2R zcqJKG{QRWbUcG_Dq)pHnK8G*-#U_99{j84t#9+1eRjE1$bM&y|0C>Sw@VT`I*NiYQ z-sRPu<7$HMj+*QPfFJgANnJ-zBSZU1x7Iw%teu?u{AZX{k4yr^pjAcbz{qzl%c)89 z-tr54Yi%BMT~Rm+Bj}s^J84Tit?p%ODH|RN8rgMVCQmk!CIQX#2F1i7&_oyGVjX0Z zP;KstU==O1wLZ_MmW2!EK0FAPq(=JKzq;R!{NQD0liyHt30y$_?tAth@yNcipPg!9 zEHY+L0rSvOs^)xKMvSCw3`bt-!e{Mtf@9CxG3uF*+eG#vBnAE6-{1*p7Gaazj=Vn9 z%X)AW)}gxdwxK5qbC+O=X$1 z@=L<5lsZVVQX3mTKZ;85M-`!ciHSqhFv_Kob%Ox7N~D@4ZB86A zCf;z{x+#!Z$&o9Th-Td7K6z}vVYb(uipma$PN%Z|Plc3;NyEv4xa?$X$4Y*a{&m~O z=Y1E5UXqmb6?Q-2O!6KDC~NAQvmP0!Lk0lm5f$jzwS$&2lTA#S@GQSwg_<8pyrB5&MKP{V*efgINUp=L%`^W(&`%GoL>RD;%fE>0jl8=^GCTSRe z`18{G?xAAn_W=sFE8Q9PKasO}cJ~^eBg&<`myjLG`06)AFK5R5_CAdE$1oJM< za~O!y{=^RfvtN{g@!c7HC-Hv+&Trms-iXoLA2o;Y554r9MW0?TKCwUG(boKjzUsG;%}+!=N<2~?hQM(wqYW)AOG(4vk(#eXz$`{qkP^P2yJNC5gwX9rYawDiEJM#NZE{Ts}yBD5ib=0u0>;pxlGjq58-3dlQ5KY`r(O#k`?+kptQQ zRr~qr0k*fNdnXZ4F?=ImWe`)<*ca^6=#Xjp)F$8P5#>b?odhVnQ71)flxuu$Ff5 z)46AfNzHK75#C4N))%%+tG>O3VsjaZRXT7S+1NrA8V4N$60e|TycodYvrYDSruf(> zO;*+RvkuCzD6lQ+D7|PDuqwNURv3K@teeSoW)#Osx}~vcB`*| zR3h#oILL+JhO_BqFqDkN2+6YYPGKT*^P+dhEtt;=yRt8mp=hBSPkSL#V+zy%jU`#` zH<#d;IJ{Xz2$c;>l3VEHN|HzehmwEsF1oAeY+PC2@Je(%I6@J|kcXW?R}sw8)p;~P z>Z2g(xB;wyW|Sw^fMGt)v_^+ZdJSKS3>~M3tO3bSIdgClkaJ+#-_!03J2wh$kae-C z66=4b_%%&hima2d^z!)F$1!^6+L#GDqiGgWW*tPg9w=y;R;|Jdzn{k3d9B?XM=R5g zc?G0dO;bzM1TptR!lIKJtJEL`I^P2Ht;Kzvu!)Xxb`%Clc6*)(FXhemF8ihN6VpJrEEVRty@~ zr1*6{8*r#6`9rx?66xtKTL+R#LO^%?p5M~jmuY9;V>>3V8T*yNV06}i0CR>4choR~ ztHcs?EQaf}wtkDrC9P~asfT$T*fB8cDj*X;5>p(kI{i%qo=t6C$|Up&ePPKii6iJB zk6ili>b6|!3tOGRze5F1T6fl&*=4+k0{q|Q(20mMP<`t?0Ynza(^&)_^-tjEW4v>=eJQ3B_a z?~EHiRt#6R5+Z8^k-b~k>XP(4S87F-<*0xPU6<$juNvTvqMM5HWu#Al=N)>N2e1(G z8Dc&1H^|z)13%-3gpt-&e)|^=3$kBa#0@4^Qge59sf&&T#T1_Eq~RVnsbrvm3bGzZ zH^qBwMrGSOa+c7HVv!+}?&1L2aHj!2v?LV+;~C5$i{*i?G_-8wCBa82t@z%G;h}!a>b(--?0^LN2EPgGOH>_M=3{ zI4m&{+?FG#t@2{SW&N{Gh=i)Qp0Yo$NN2%!lT3c^5&^bUplkr-LGWKX7CsnX; zN^SSJsQsT!81wm!7zrnh_5af~mNJhQL+n%*<{KO0x?H^eF7;o)2`rx*Xw=z)cp)yC zu&<|XVHXpwyeC0{qn#&QPPsK~nc^g27HHIDnO~C+brWo;R`ZX@os6cX`<|34YOI== z$c;+|AfyhGP)yR0dI4)XqSEkX3X=dD%)+mU-x7;%PWjg}uYDPPIQy1fc7T!dB@Zla zkgA5DmUk|xRk0^)wBq~zivhxHDP2bDwUq`>9LscvDGd$$NvcRY^PuWn8PKRG<|@eeQi7xKD~b^mMMom@r%SkD5Ew%_QeiPJ$YMT5Nfhzgy2u+f zP7v_`6rMX@M&+Mh$NSjGJAaT2d~RQDh329c{^#E4DMJ3-`{cf*Tn}GZZGTMQ<;pR= zR9#&@oP&GfY*4Fi7jT#T(=`(0fYyIC;MGuxP_A$6E8Q|KuT_S>)H!pk@B?eBC8^yi z@@n&J;D}WgwazNUW0N6yZp)P-9yz=69vBfgIbwU8<=$4OdIGeaYKsu~ zEK(NrORysnvdnDN{}M+QOfgp0Hts9Ui}%&E;g5qT$TgK`RQAqbX+q?V*3&^XTO(P3 z?LV}y0jtH(BiGpKP9GK9*65vpIe_7a`?0j47KO2e_sfxXihkTKWrPceZn)}M=`rjJ z_y4L=sJ$r!=j@ip^W)h8f$?uAN0H?yWS=xKP_r>5nH>!%hz)XMyTAX{qKzYW_Fl zEFc0zTAO=+H20pc{hI%JER{#Ds#o5&5+-woAbIt_R@Gq2il6))w07LON+Rk_Mbe72 zKMJJI)E3Lr7PJbhI>Umxmsi@+bZK8_=n4b7Uu)V4=bTh&iMUyHUDoCM&`Zfn7PWyG zb4KiVte0qf2Ov@=87Rno@8X)$==l5K#523}U9J~l8GI4;o4jQg-0X>1Ox44>+J8ND zjwR}3HgErYPD7#u$S2>HJJ#QHzLxx!zh)02VoXQEcyQv!fQZHTP+MtZpK zOAr54h&mW5sa`{C2e}WYP9d~gfLE8q(-L80`A5<5MA=OuTi%Q-P$$-2MW*ex{|I+= zMuEcJu+x9S-Dt}!{@=jbKCI@(EqB%NO&~`}sJgZk@^OdwmTw~geg0h8LuU6C)V5Q4 zWG>LPn}TaHmT$@F-H6kv6CuWh=3ohJt0zr_JUWvhdaT{~J$PEfqwB!E_^bc_iFMP% z+ph;Sdp!WF9wlOIuE)U0z`yAduhH-aR#$gnJ=Xcgo~tHE-UsNO(w1laQJP#}f_pNI zkT+I)^4@`?P20k?^;>?_VSg7`ZIcVQ+C0k^ULMm#z+=Cu*>#ZU#4WRMhlo3 zrzMyo-l4t&gl0WP{0-Bpl*<0>#9fUHXP@-GmpX@liV8mb6Yu()46wksq-Hd?Ak9Vc zc6J78HCGMz2`!J2elFDxDTD9;?l+Q=gAwDf9bXNXp0N}*&4#&PX0**8n|gCYb9OF~ zzzZA>%|P%#Eyb&=yl&Xa+A$x0qt_$f*iYjBYObtJId8B4|7;_!+&@QA!6qesZ3xsu zARg*jwc7blX4O+Mx_7}Gohz*^gakptL)HB(2;Jrf?sc>=+1D(p3%!t+0!hnArOPwd z|0!o167|i&B!QlYdQ7Up*CA>K;>S`!NR@TNx0=hXiYP& z-`=ivlf~Xch#}!$gdG~^Qnk|2NQE^4P-u8V@M{pPkUh=WWHfk^KP{xc*YkGQ+$c*2 zNMWt04;IyVrCj{Yj`q|k%!Hqmf!KU(lo20EE+Kp;@WRbS#46s39~?t(Nv1~9)J!d? z;HVRc*G_xe@tN2Bag8#eou0jUrLNoz!*4%o)2a_2y>d)W)&5d6(y+sTl4{kODI&;j z%Ai$9p3JXIuw|r7gj8+9fyx>yhlBfBzNSr+t%)nUwoAd48$Z)&5t?;5xpQK|YA52R zf@M|RiDzf41|P#Ak8tUs$Q-({LPAW-6X50rWq=(N6KB)mLW7S!Y)+K;9ol7Gzm@O+ ziLSI+1r4scp(d(1ARrF;(&8d&5wa0iA#F%Kwx_02;lvoo{wJUE4T%{}y7x8p7BAA7 z!MgsNF^}nRKm@d(Zhh3h>&h!m^(jq~kMmN!Va<}9O!^{C+`M$J23IcOoXXh{KjXaf z8uE+iIoX&egvUov`!E4j`7Hi$?HF90z&G zpFI1HFLrkVzo94CGvCZyb0+(dW?un*s@cDP+d`uDSgV zvYIHiU_HKhsk{Nbwm!G5;y-7XTcY*|zE~{2t;?_O-;fc>!~kus5m7BDdQ;BRPfswVNS*n7oIbd-AbK1%|RkHS}nk#MR_) zd^d9I)c>Fu6T|*z#yaApZnGZQj>B&tEamsQx9ycu)Is4#-`D}VI^Qt5?xgO?h5TI! zLbCqsq5ic}r^Fa-7}pnt)+*^yckZ;9gsZj^RghRQ{epy(!Bhyv099&iyE+TYruSHj z3yxB5ooN9UPeI*ydZpCr7FUD^`~7g9>c3mTg zd*|a>7t*J`2dq+s8-BkSQ?Qsr2&p6{UMjlr_V7E*M1Dc{~5lhKBsR*(As{ZcrEO7#~>3f;aUgh zz*9UG27}zE1*p(Hw9!M@=3p4FhWHcc_}C+~aZ?%gG`Y%GZ@e=clId$@n0rPUUk zIu$^Xj97_lyG`>7mMVQZ+H`#PtuoorxEy*4QV~(N=J#M0{SaKx!HA-xi+P+0HReOj zA$!&&Ii_RMn|dK`yw}Z<;qaHo;Av)cKbJj;j(iBC#V~0*j$w%&nQ9juaA=^tbC(JVeaeAj}j3?~t`eB}8omAdPyecWrSdgjTYlbQ9a(yYoV zNzr>DVJVD0yEeh5g3i!v8zTcOp1vumCGCE#>V#$WyqX4`16%XEykXjR;Msm=h35|` z|AVuPIk3q&ZXFs=4i066@(|7t%N?5TL?C^6w0xxvgz9c2m;Yo3)m(Mi!h>$QcczSa zBZqFW(I&7s!$Kn*{wGu_g!ej`7emzX1hg5+6Y$OAR_Aw>+APpudq2^()Em+xkJYbI+9AzRHWHFt#(i#*>CZh#ml+Ctg*yVJ-&P?L4q%c7OU}Ls z(WnMl4)=-1J!!Rpva6qM66Km}{JutN5ASeSP{E0ibb`B>O}vFYfh64*`nfNj&^~Cp)w1kwd{hP(UiF}5{25nR( zBXrHCMLeJK2Pbgn>HQi1)>@+|LRo0dyH?@LCH7l~Zh~HHcjJz28=+`LtX+VmkP0L5 zGzn}!N%4Br;Y5!sR4`O`!^gqfTjy{qwx|o)+7#1Qvo8nD>rJ`^z%nGcHuW~B{7pms zF}g!^z(=KyT$0=ly%?PgEIIH3qqmnyKfqjCpnqfNo~z#h*Xfu6CY%&g>@Ljj96;S! zMl_ZTnJdNFR?VO3$+hXu^Rp9`z)%L{R&nIA2Ykr1H#2K;oEm1GyyNK8!Uny|y?3{Uw`!x|eitF+!cK z@#!dLAfK3$w1yjMcP7^=WWnUy)#n|6B|dWR8d;Z$gVeqRJKjNaj4XY17VdefMLRBR zM(ioF#}uJtYSuvVbaEbNwZs=yCI2n9y0`FiG}Km%yx^8YP4`EOoel>Ory8wkDtAw= z3lhrlBzs}y$YY*7Rb&mdCwdAe7=If0^J($b_VoBY=8kyK5Ca_k6^VVzNTw|Vk0gSS zlN8cQBrVR$qeUw!IK2o+Rxhb(!y{_J4V+gnbMX+VPlC(v z{RNwtTijG<_MDUqJ^?2hGK+CTz}xoYcT6;)X;a$>MJ90ME9HNAu;C%f8`QdIKxE+i zWv`utPYT;t+G+oC@8#RNGa@#!PPk8P$8j9&5i+M z5(G>W1~zf=xn`kCmCC~pDKdXSa41JQp03SFyubi>!ha7XVF#tiS!EMTdR(v9i zlJSTx>W+VpdO4xflEXH_aU5ZAM`cz{JOM}yGAG~ZIMp=aHXe)>ONf~vHiU&;`62(lBG5R7vE1-{aQ=5hfC27Pm{-UNT;QPJ0B=WF!oUdV(q@DRvTOaWqAWUIZ~UEgh=>}v=1`G6t&$dxc&sH zLAL~H9x3HsS#$tJD)x(Pk305n+R@8U;w*E@2d$1&;qOMHjs;XhO>TQi`JE^hI|S0^ zcj?F3qUDxx#Dm5Gxc<^GDQ#Ki%dn(ntuMf8%$GQfnF8mR&+U?Hm>~xWT@g6wfwwK! zoUM>ISc2-k_qd`4D8g)|r@l_an50odac=Rb=l|*@`#1ZlyzA2(P96mD#)Byg%^cxj z_bX<4gQrOC`?7st3Da6@Fu;ZmBf@n18_u6%(tww(8WsVh-=4M!c>(|u#q~;rWGuG4k+c-dLF1{sxZb_K;+a%sMbvJ5YuyV@oRH8e8rRjVYTzE zF2t)zLM`yajvWDNZp29yo@`aQyhP>s!VaovZSW9xEcy_8nK_J{JZ3GwUE}x}d^+M^ zwrdML7OCbPk?cxxQiDzWwPNKmNl!y1-5H?PbWW@i3Dern0=^!j&lo;Zk8;UYJ|q*X zr3ZJdKy0}}oB$Nl8;?7;S6U>8NJCGSt%Deo3fEL(kx9ZYzlFrFJW)H3xurp2c19Iq=@3t=?j8LyiIO2!UWhCq3Yd zQBMue9{?2uMqEThaIOE3emVjiFPjb7Wt~3~f%TIUZdr!kvkiL!4>v~?pONf<%h0d^ zr}!`ERr*664kqW?rxF9jFxLuD^Uw}*HM1;y;RviuU`V{b01E}a5`W>Sa1J(rd#Ih6 z>_H6r)!bCL2-Gql)C2{>*n4?z1EbNs3MZ74^hpZVGYqk#`qGRUzey3gW<)T{h2U12 z7;3!S85FmqF3JbzV(sKj#uH08Fr)AFKKeJLDi{BryHEwnG0Ryv8VJC@)Bb|uAR`#_ zn-0oA$HRlzZqK2%#O@y~n?huS6e$SHqkd5|pvHgwf`pcakoOdD7vGdEtkK|;3*1q5=|32^Acas!Q%g;6r{;xo zY>BG3?rY~EnsIB;>1VKi{shiT1ZUZQ#HN;>^G5fLkPnLFT)ZVI?arXVz{0jH^S66! zg0kE`F`u1CPOYRbVou*T(SH=viKv)1HUOX`*_gK5)OPKiC}%i~{WSrkYUQu&y`)CA{*ENcJ$PfKj8}t0=>( z1&oddloB@0igZ7S$RSf6 z3086Qj}dVA$H%p}-x~_Z%jDwG)0{!jUtTV-CS1l!^LRPz@_Bnuo&N)xvT2F#JKS+g z?cT-+HCB8ky?jEL=9G*e2k+J9Z^H&;!JT|Z{HCvOWeHaCo1eHpm#Lffk7YVO_HWCS zvSd7E%yK`$Q+07z6rqny#nYtf`IihL^Z1h5{MWHcgtVS_J$#taOAyMSt{7uIbqoYA z7Ikkd-i!!rx>~(Ytnr%~KX_}MAJG@rgl*x))rf*`3z?FZ#;EM`ig`y(Jn>Ul@KL*u zfK4?K)H}tYS>dR+3foYRT4rLHz90`ICh#hO3-I;z*rp@>UOW-MXobO3zpg*dD&;vE znzA2&LYi^@#@i1{6J{$EglQiqePlaxXZS(3mG=75nI5Ym!T_UQmYRF>3DP{(DR2?M0AR(MX$fR ze|JUpOfiN;_WqsgJ$4=#Yc%AxLYA|DcPj?UP}nTbH4&%*hA&e zw<>%@$#izx2$53y(tB7N$@e>td3R^JwVT}iv}q@sT@y>Hj>iD7f+#O$jm9LWqd+sa z+FT!H#o8{fkQ-m~71PBf;SGzX7`w<3QQ&W>pI=vbYEIqlIa-|j2N+6&KYZ-i z0$$SPwxV2JXghew6PGiUy@x9JiDF>mpX(We65zMV(ym1Zjs`t?VV#>GsPiwF40@yU z7M$v#9SJra(DQQpqD1q+$CTGD(Q_^W6JUk>&9DE`L&G)gd4rNe{YRI-J==FDTN}ZT zN{n{Kl~fP{Z$iVBgrX(t7Q7qU%|1;)(Q10n>dNYU?40b336=E-L5*~WZVHD;S8K|uC3|pk->3S;qlkK zh_(yXyw_#pt#$y#xt+4+> zviB34WDKaYj=QI_kM`YII&hUUhA!9Zd-vBN)r8)=g1-Ule};U_$uS_{ya zQZs_Fj$U!;Q9Tv$UAijPQe9{muD%f!0k`w*@fX{u{_El0NZpa9dThcX_vbogOQN`g zM90z=jjEa}%a9 zm(G*QQBr+QyF^l4ABvoCw`Qy#inuVp5|JD~J(W3028yc#cSw5NW8&Z-_w(I4mtQrB!?*5f0& zUIjcDU8pmKWb0zIbyI-pyc}9*c2}!&o(xW6-XF2%QRsxPdEh$CT>_G^Z0Y}h$XF+d zP<$Kui<-Jrx2|6!Wt(v``@YVyg|I}3b2M3Xp{Mqw7t7oH@>;M4S#?ooS}sDu!UHz+ z62YAlR`)`(UV<*^YTLAAki+pY!(VW*AR1|uozxk219Is0-N3yyokae4rx?rJhbd9w zC$!x*dl4;A|9Gb;U}{&GsBne82GPiGc$jFyZiCh>ffXRjSU%0;Tq=hZ;$O1Tx+7xw zc8%-i{Z>Li{O`Jf*V5$hYJS4ieu2KL8oByZ)6|rXS8p{j{f2Agv2Ug{B%5H|+$R0X z<#lW1#p?w{_5liXyGdiZ;BHds@*}crjYsD4eM3{VW?!x#)uf2tF&o*gcmBgTWdZjO zU@if^#~4$D?sYxCDN3S;y$IG*Z>gJyCQ(do)|c%YQ8TUz^R(z^vNrQ2$?2 zs~22o<3b!5U;^&9oyyQ)toaOJxrqkA+l&hA**$jq0m8u_oEi3(yg!oHrXpQjz~Is= zCg}O20Bs}S6k>{eiTv|Oh=>Vva|i-FhRzk0)hhW%9dcCt3adfk8Ss+x`g1z1AIEuB zp9OS{c#%QwCPi;*m5TeCS_b8vB~WVrjA!5puZC_aiwUqHaf&L2kcDFO;2@62hKd;i zI}FtZ=upZq`O+B(A>;FsQ(RHS=L-?Tt>&r~s|f~#N+Ag!%$63jw{SUh}O*$94T+f4gOK2~C*%Qdex6W%dsd&kI#sBrazg<=TUH2tAu@Z*c zjs@MmIkxCPJ~D0LXV8B0m*hOiyVxbwx;(0=Ns9djZ^c6XIdpgY@TUthsSAO11Bc2& z#B}C^o@5Q?7O(|(^kHX||4^f$Uym>DlSQp(N?;U_y2Ma+2)?RKNC%i3NRJ9`Sg0{- z+LK1Al803~w0mX;A92OOEb;OGmKL941@)h$Tanw;HI%Vuj2@&J{6^+FS7yY#g^#*X z-ZJuQB(5(QM@knNdoPh{>mGm@7l;PZMN8o4l|a#k7qVy5kyR&b5P2}B^29?0K{hMZ zS28v`mgD}#hrNXFIK2y_dXNhE(Fkw(TCdKlOjxs>_9$L&Po5n~wR_AJ`5a}>W@CXI z4}W^0PIs<#Op55B4Nc?$GslDqMnS@H|)4VMYF#0(io^i)Bsa!ypgL z9Mf+M_wczgV6e9R8s-O{%hl&=$rE0DUtQqbh+cDih|f9X!310 z@=v2Ab9@j|_=c;Uc+~J-Wiql3p2)hERF*{Xv7EM6rT6U8bVx*D{Grno@?9Wa z_XY)P7^}%qW)-Bhy`o^H z_1u48*=t5rN0T;z4#1RH9^ipk`0k7)E%~B}XWiT8rCps7Aw)P;a==5Zjqp910Nwiy z#+gdBHRDP7NpayC=yey$If(g&>5=$$p5ov?EOoJLSCI6O2? z6FjJJ&i*@UF`AO*6ot5)F?NS}I_6MDlnn+3ldmtWV)__U=qvwMZM_Ps1M5F21}~P4 z9@S36&{AAU+aG&4I)NGVR2dz|YWuOK{MGL?RS?pF#^pM}3w)i=$STh!&)OcK6kc-~ zfjX?WH~6Zd)5Blt8t+r~k5!6tOCD_XS>+Lr#4<){>i+nQ8|Qh6WguY}%5a34a`-kk zkg>1xXfkh`ehXQE#!$JBDMwaHa}ZOx3L&29E?GYGcN7L~eH!@OCo>F*t`TmQtzl0( zF@j|yl6FFV_TQF*9}XC)jV;>ulntS9DlXaa5oN%GH!Zlv2EGFt(vc?G$Mn3+q_165kE8^@#J}h z81`C$u2N5&;s~bL$Xv1|`BdWR*epoVtutFSmltZsFpt{~?o!q_qr*TbSti50mP95H zI_)nj47No47O4F11J;2I)V#T$2J)x4Z{Hb+Br&;E@+m$h1DJ?9!lcV?RqJ`ZOs_l3CkT(? zcC5^$Ku4Ped4jjP+%627XmR(K?4!#tP^_Z3+TCnKfTeVP2i~b*V{{T}dUJ&;DlFsF z_KekesauqbzZ~aiqbAX%xW_JwzgNZL)KEvsvfkEIj3rev$D27Kw{5dO3d#Bmg7*2& zy3F)%@rMH$>hPK|)9ucA^tUMlrVEBiB1}Gh7_@ zJ~cRZ%L05&@4;Xht)Kir%3zOT*nYxKxq>gNnT8k$!Dd$p-^#k_-=A53LmfKmnctAW0FgFI3H=XqzL~utFIIS zI3c&2Day9*%i!!Eq5VHeN7NcGEV7k;$&j}OEPD!q^f4sJCdFbR2#i-bjgEqG<4O-_ zC=py`KB{`ccJ`p-t{@7U#{)^aogyH7AV_P&&HX-T*<-&8kw@pb7&q^oO1 z@-rpEHn6*onixutrz1x8myGFxxC++kCXmBK#slya-)_8pH5gpxQ3d)aqJp7LD`yBu z`WC>WetPId-CVi)r6tMOAudFypuzx1TS2dx*c6gggO=)yCuj#mno{z@zO47`gpRi0 zI!3A{Zo4w-ASh}jjA-k`(gki}*_dP5*7dPBjigP)NP+HTfSu6bl?}nEESsfO^l)Wa z>)66(nt{&nu}6_ti5r>I(9l-Ut?%7jZlZC@{)Bz)a`>v7SpunXpyQmG{UCjzbz{c& z6bJAb3bt$~ETbY@4L-)P`-r7iRH?rTL8g-FqU-Hrkr&+k&EM>5^g#8={I$wgbb!*klDC3uW}Yu?-FAJ z`SNG5#+u0SRySHLp-C|fpobY>Ap2?jSyBO6R;kQzIcfFo|0ic-oW zaiMA2ll-S?p?FRi7kX=fKpSCzVPv0%gq8Zse7qhTFos9orUrVRz(y1@e!Abd3ug)i zq^s@|8~a7oXf)ZRKCMO+-inH9T?3AaM^8Is2YxJcZoKV7t8y_vw7<;VI~&;G?;KR z?_u9A!~E*566ms@EE+BewuBVtsT5gNe52f) zY;g8mjpJR%)bFwsPixIZdEWQ^ACJ_<6<1!Vhg@En;=oi(vSV;l%T&`X5O5-8P9!+z zl%pM6@`|ZBdK+g2D8zt;&4e24M=2I|X?a;o6HThnyBS+!C~{?tqUugXObbi^`C3p0 z@Ia-?0p<}Zk_Rum0EOMhE=sB9PL|4+Ts=)F_6c#&Ogbn$FcRPE#9;TXMnGJ3SJm|X zVObH_JeQIwSb|6LCjgH=f8Hj$UI8ZpQ#l_EG$cwYJ@d(JBK0Txn@TMjuJ{e#u|M}c zzJlShJ9`J>`rm^(tJqV{JJxlO*+p5~gh$oS4O*DHFBluunY5J)`I*2`vs8#`3gY{h6j!m**&^vooK>#>=j4$-fwU1T09P1-?bIbc;wpU%F1LUJR} z(N6kdh}~h42PZ+#zzo&J&&>5mA(=7n`9P&qzxh!}Lz4Z35VL%)(ga7(2i75Jeno55 zY=aWe8CsJ979L{=ixjTd&#!t?;mn;|nRdPdvhh*f_2TMtnPqmK&eN{mg4&A4m8)Xb z^1Y!uPYEsWi?W+JS`m)NnhneA%`(N%F&dQ_J<=f+uw~p)_Ka0?%ECDA+RCPYaW%GL zOBA!&2W>cc6z;E0s`%J+oD3OL3Dq6`Dg-vtH;siU{2LUN?s^X)GbV?63Zqc!F>LvF zZf#8kipohbhmR>bZ&PCDpIAeRF;t(4 zd7AD>`3dNBzg7|lN~Z5_PViLZ@|u>j23t~Cs)2&h_d-`Na$V~X?VPnS_1~9v&dbS+ zQt4@!;u$b{O?d?i2IAXk)|C`N8uk7vTgkWu=JkZ~L{)KSS(->_EJ+!~CbqulQ5c&^ zN$axJC0%XCCOe}4(4;OanuB1!{L9n7yl#{dDgi|9euAMF*LnYoCUtJ_Rih5sS{I4w zGj{`ivd8wI(7spN0Pql{b^ds(>p*WcH*M&2H|sFxq%;LIbl5hg?e&jX2oL1Qy zZY2Ek>W?4;kE5VoxFj+kdQ~ygeDlV9^;#|I@+SZCvJevgQEm-z(@Rlq2>y7F&UON7 zjQ|u^@Nk40a8x4lk7<6nC8yMidPtMhoC{wUK1wDKAYSy`Pc$7t z_X@D_|LjHv>nXn6jlFIRY=-=aJ4R(eeOk*)^rc#lfOjLwOrN8v58al_<806NmC~|& zJQQ~n8#cukB6q&vC&USyoRy9o!%eU-E*t2wIaEY>x{>wyf(LV7Wa~g}AKhx1Rgmjb zbzeW&4_y4@-R1jj3tVEjNtX9z2jY44#-G7&w#06K5D@9z<_SL{C@&cC+~z^nz+Q;2 zNj;025?sEXyFG`{-8_#m$lcD5MFGw1kTw>|9KKY^$U%$|`^ux4A-M{Y*Leb#JkqW) zj<;T`vGR625&Ao5%F#tEa;Ywj2uS4&L3J)1ZVl;QlK077A=6co%}m2Q7v~+UpMfxD z&RRw@0yeXpVpB?3DpkOjWvfqAgWTYuLa_@`!T|=@XzpsjX~b6aE8gX!WxIH6hI9}% zizDbA77pJ!QAIY~k%_u_m4SJ8h^FFaxg?SP7WF|_Toz{Kqh6W=JRD;JYqlA4%K2eB zB>tLTZtc6R_E%W))T~KfW96Uipf_P{iX`BS^+5HVfrH={;E|B`E&de`MoYFa90uDo zn$L6ssP{oiR?dc|Mp<~8`USNFvPpc)f1S{ff1FSyR^_lg>q$>WEYVLMpeA!Mwp5C*spD+ z*%PHVryI|iN3%-OHoV=U1=m`9lA;+4mchoE-Rau0k#+|%G(M(@q10<*)ZI!|Da{dd z6Wk}+73yoeycDx*px!2R%$eg zDwfGr&QAsr7XaM^f?bGQlMcPo4wWzaz$*Sa>QK=l zjKP_(Grn~EZ7~{ysn}YqiuW{C-*q-?U6)^N^TqB%^M#n$EgwiUE530C76)X{yYs0& z0WuqY&@}WVWM*DRIEmFieIApeibBcu=$QTTcoHDc;zQ^dswD3tj4b#-HZ z#Ao{){F3PP=UeTI&&A6JW5(V2S&~Cli#D1f1O;=U9ZQ!&Rq+1JCxqhUzZ|I079v9% zaF^EA@=?qsZg_2vwQxvD2XNHZ)1w-1ybnHHBlh_`dMw4!CVp zFAf`X{Wg<^(NqJ*lmG2=YwvmsI(xI`I}fUAd`$l&p&1KGoTd}2H^X=Svhy-6#7bI6 zalbx{Q#<>1P=Rwf({qC=E9Vo4do==+XFBUv)A*R7p{cCgIUDiNTmji;AL`9|>&^)Gl30ad!A8z?MZ!MA4JomB>BP*xicY0R>4Sy^2)lqxJ5csOfb~~mvCQ2ug z=cDEsi@Feg?fN!8z_2iqvRsL*<`pN6#6#EX>J+((c!Td*eApXC>p?XZ^3zd&gFuQ` zysLB%WuYIW!kwLX{EI$%kJno=37g(LRGk%!fFYmUjIQWw7Dl{P8xi|8KZwJ+-oM3B z2wkZKkZ7d^{n7@%{nIne>qfUJlHy``AsbB9UvBp?CL(k)EatvZ>4*=38AILR&P`}s zfD0)IPjpUTz)TWy>6MYo-M2(>{AVSF3nBswlB$WpA@T}kCL z2u~^A7c~TxL8Vtpt`aQcszJ3mOBaxb=O$f4KIuTqo?oMvf~ZE-a8$%0$qL{w!J`)m z{CFt_Df}ePe(GoJ&tY!KN(NU}14PGnDv1c7Fa%3?*(Jc9zz&d3beffW zM+{M^KPId3Uz4>-0Vq2l%9zkYWTR0=;GTTL7(P@RWGJHzw~2^7XCoaWM;?EW*5^3*Y@ zmI^0bw9FzI0>~>+rqaigL!dZ8f8j|FkU|GA8bT$Yf_#M6$a{dC2Ztq7!o`vX*8y>5 zbv<(ki%monso91ySuh9dT?#*YrA=dzcDQ%Zi^ARrq3IDnD9;orugSIDS&($g$swXVC?96rDiL~xXz({MnW`B6q$&pH!Lrc zlFR@`%YS&(YJv*s0+?^*O{LIRSC;G2u0H|ByRWN(>@d7^7bb+%Sue|q9jEzW@1KQa z%V2YH^Jjprk6jibmVzMeyAeiYm!zm1%a=Zw=(8u$UoJBObr@qkPj!`%Xl4vdyp5=p zxC-U++|-Y%hzP@B%uoamwPRUO+3ERU7cJJVi<67R5Nkmxf659ov!-)KFMlc!gkjgT zWKFfRUVQz{6?%m5T@n&A96YLc;C?TT?-S^uWwJy$%#7K3WY`Ulc%>8z*aZjv-C-R^ z^X0z3C)5;fl$4IX3uA!;dt_3jpgwCMl1Lf6sdLD#<|L3`uMC=p*86{3ul-~ae|F6D z&`xhuP*Vs9b0tSu+QsM>7)2(f?fTqt!Z9{PZ@+7a4SaOP^fpn$$T|1M-$k3wnMf*1_RDXb1ni<87-_8F8 zyf!#szsWQW6-}M+Me5csKUp|(u)M5tKU{py zP`nthGdUjVg`(wFoaUB*TSp<<;)Hn%Uk$T~n2pP?vgTejn$dLTLqNxe59MXHe$FfG z19vI!&1pzc4<`JrEY7p`65i|5sEsBkt0Ng|nVK_4CX z&>E#lXZpLdYjPd%$SK?{_0kf}Ni1i|h4PM{=O_#pPB~F?e~%UtEHbvXq_z*hT&m@o z)W$84U8!2vA0j}#8#hmQnm62ozM&C0O8WX^ARMEBF2asCgI268^`p+!_`{YjRdo&n zQd$1@ir}E^HwW5F`MckhqFSP>(A4AMPwMv8+b5nJ1}-HyL=I)Ilh0ieZj!{U+A=4Bz}B6y3A@85qx zoqTp?W0ObBUyXxB)Z|9LQxwGgz)OassOezr`X0p{d7vFb4lc1zNYG2uy!0Y<-6h|z;^X>Ga zcx|P-?!giHpi?Ntin|t(yY{9^oCPjcqLvLpeWS@}0IdHpVB(Uf2&ZP=T2qTA3-n(Y zDIq4fx42pp$@x-eSJNoa^q}8($h5%AQ6PcFqi=HM$)C3ClnSf- z;)WN|zc0+KlRZvd@@j=S(-?oLlIEMNs$YQUsiZ%7=fJwdJx7YB^#6! zM*=m`4DALPn(#sC6V_J0JV$)iFQlaG(y!-MRJMm0RVhkn**Phl@dc#JD-+=c^f7O; zXbBDKqXbN?j^0uB$qqs{#~jVQR0_)e^V1DN=3sM`Ts(kUpo zfe}2su@+V5&I<6`+PXo8n#TmcO9TW&?=VYg=p3Tg<(ZAC1gAE~vP}A6q+mL*!$D?> z%1)C#7+6D8*+{tGp;g5?nKErn#h|d|fTBU09}HRt3#xv6x89RcZKx>_sG=|l2L)Aj zzo2T%Z3g)-m%P?4b4k%%_4{I8*iGEA+6T{f9XR5mObC;TsN}c>)9BH_{r4eJS4b^bQuFRUwdbma|OkGk$?^oUdGG;9kP#xr)A6GwTN@D11 znu}&AM5C?Pi%>^vF?Cdggu+S@mSC;;knt*tPwz)?(z6zyMgOp*DDQe{wRcPOltF75 z$`G|j*ih$Z`qB>ApWrhk*fAh2@Ag@b)-LVYO z{occPw3JyY|TAsVhUVg*;3A=X~@DI0Oi4#JA1^-+=9du66OM!+=7O>&7X@Z`<* z6gX~S%YxwZSlQ1++%YLUi$lIDiw!rgqY~Q`S6%A`zVKu`H7`^&*q+hjfxmla_73JC zLxzl~It&3;Jh=W~^ZFw2z9GHr(%UrJ1leP;f^Q2s>34n(8gSP}Y6>SG!j1Hbg~xu% zSw>i#2TFUOF8>_{zfp=<5dX^vj1%XVo-i|PG(7r2O7RK^#XCN{n!t+Ban@`vcDiA{ zx7OGtpHpT?KrD$+{S*}M^JvZ8KCnL9-YmuL6ip!?Oy}nVv~b(4S7)KngQwSEPB=&Q zMif&y=*$WS5IQ?QHo&*`oucGr1VxlBIP$hTE*-tffvXLZ49-VszxnUIbd@M}2w8*7 zrn_qEi->X}ptY*LcCKg-t5=bZH*Da=Snb|Qr<5_YPP}|4F0X=95B?bT6Ef(SdJz?B z-ep&@=~dL5#{)?>40CxdPxg($7>XKofMYFYam&0UT(+7{n8!W82Jl*6&nn`$ z_-5$4fX-yp*k75|Uk!MDH}ERwnF9VBEt)e+Eu^V?G9M4$`Mj6sdIr|_Rsf~ za{>-ykza0h;+69ib&H4srQeO$==8@GlFmQxx;*m2WsD>+wv~HFJ%}lFTkImPaF~sF z3+Ig7xLa{@?$7CSEH*eO6mLQJ0_-*(=`9S{VaC~2E(dI@Pg`f}UvVyu{pN>nRJgYK8nKG~@OmAC*J zN5h~a{MgS6Wb2_P{Fy|(ig2~GYdzZE=3@<-=jCy8!nm+PxS<2J&ue-^{5=pCz`b(7 z{0IJrTB>j2iG5T_J%mfI^x{H)-UG1NML7P;ufi%>|BGKG6U^gscaruINenUpOMAVgdOo<)r@kbb4{J>u58X>i_VoLk4PZ zI&8g1V=zCX6wory1}KHiPeA+wKI^$5K*X;*M(wN5Enq^OO^`~8 z3wZ&~gFQK_Z<^&5c|xZ^x6FJ_QuSKvR+zx7^pEyFJenrromK>R>b5BBjE=+WV#6~$dg2s&9vLAQjQK@D;n`t5}or-u|g4si%Zh+EU=i(ApO!z%R5+OOG;NC?`@6V3fibW$5gHse8Oe4R37Y(&>?gj?k z&p(z~iuN*TJ}KQS;`#J{@vp1o9Ivv?{d)nF@dsqz$<`T-&t=lMHHwmqEb5QXoE8tR zcUTeL?|Z5dE6+YA-rqN6w2Jn*CLpkjwA%1g#|8j7h_6oA8_w!kX6#r{FALEu@ZK!uV%)9!2NS>ZB~lcQR7`bw=8 zQlyT5vhcn2M|l1@CpB6A*XFc#B8^-qC+uddemagg64Cyg0vH62@w%zj6Ss-|3QzKg z9KJu#bkATe*3e%s*mZA)gugoHnnyL%gI$ji(sy0qXRRWqV&6+cmfmCgh*!1WCLn4} zz7jn`A{I{P-yG@EVpm2kA3-z)Muo88l{R#LK#J*r6>0-U{|pXASRr;c4NY~S38PpM znXTgtZ2`1vXgZ=)JJ_qrD2T)M{bWBdR3N!P1fm+<>%3McL&JY{D_lJUi20TFiiUkr zGtr!>8+2}*HHX31_DuyQ+n9%Fj5dK@A2F3=j&O-x6C^@w4RO@X>#Iw|+P?8IrNV=VcTmjm_7wGx zDp!-kA`-z{OS$52Kki$p-KoiOp<@;0v1|hfp}C z$8boHwh)9yZN@k|vn-QIPb9LJD2%IPg(3`)$wW;)w)alsX(WpW=|PFzy`(iA8;26L zO41n=l^s@o@ZDiHW6lM3sW2#mH7=lx85aa=VC-J!{RD@*yuOYlh-LBfBopxP9e}Yg zv4fKT$*$)P#%4E2CH&^CSUQ`iV@v6-rd5XdC5cT>epwmba)gM<#qFGer|*{hDVERDj}fJ1oFSkuL{N zuZDd}7C1V125BSwn!6gy?|+{(O+t#_b58GG@>lr`lj*`jVsz7qDXk-Q*;rXfREfhh zd*;FCUBncY0bPrhVywQwi;qM5R{y;zegmy6QcDR`Q7WyTq51rRsKfRHC_u6{8B4_-PH{6`5hV@XMu6&jC?aqsgVGiq%dKyCeuQNv=a6z zxQ8UCYYy?Ja*kHMfbJkYrMu?+dJDK!aYhEsV3A3u$o;15JXbcK9-qSC1rkGV=O1(l zCtYF3D++W`zp=zb{&i8a8~(be1QZgKaq5p+5vEs6$6tHia`Za4bt?xDqqEg@Bfc(t@wy;VX&-3;2F-P zdr9*5Z2(BkQPS71$)iE0Jzsn!nyf5(XXej(TpmtmcR@YFEqqR4%N!nij2F-%1E5I< zz_cV{&->`4j-d0@V~{veMnXRzD#BJ@5<2#2}sJhFx9ZDySMSIzr+--8jY7Jx-5rD`AJy0GYg_yHh-6HC(WkdpIzpo zq4>FaD<$82DqJjIu9(&eix(00I#f&7^BU%gKD^7Etvlu_?*pw9@O!ZLpbUq)Hn?rp zq|dzYxlV5u^BnoD+M&h# zvhT5lg*{+^eo3#4?j_n+OUW(2l5CKUreimckB@){9SKbk^UC=L zsoO$Y)9R=x6k6%F8%OAhb6{<@X*=u+_F=BXh`d9_KPN@fr=Z$}jx8Bj!CTeHl>GyG z!ihn;XJ2U{sN@se&_-#8W%`B^3Im+8I&Dmhf5=he^)D}O|D{R8HIi|xZf@!+S4RaPiYEfb1_K`{eN)&USvY_dL99THdu&Dl7co6JZfddD=Gy%c94X9 zazx`(fn|+S3B+j}3r%6@A_E4`1xx(_z(-d{mC@hbGU+PY4m>cw6*QmiBchGqY6VRs zS7Wx!hZo*on484lDC{5b)WuRsx35?%ucd@ENt(q#merMxEpN&MCjVx6S-aJbKV~s2 zB&?cF4f6_+?vM0!itSvOcr$XY39PZtfnO?DmY*=$UN1Hjs;>)zZocHkrnr{N+duOY z<~K5A_zT30`BmfK1f4#*k+C9#A4>#hReaW&j`L27LDS4y^dq^yB(4X1;BypI|N}Ret8Q z(oF__vJeh|8O)b4uEuLP4|*8P!9i-6!G^nzwdTyozO{5>BdM@M|1)Jw%`>M-J_r_c3r#Pl+|Hv|B3r-tt5*w>yg-trpG2MmzZ^x9TKbdL1XyXP5 z$*2-nd9@4IRxzm}g@FlIBUfg9QSVaAtVdELCh1XFyzA@E!feu#fb(1i8~D~1yh zu$qn%W?Qxu>l2)^sfikfNiIPW9ZY>;byiTUSRA2^UQjs3V(vuRdWfo$I z35cpuieP1vi99&_KXu(zP}^bmCvY5!7cExY-QC^Y9fAcbUZl9YySsaFFTvf47K*!; z5`5G5-JSXG?Ce#po=I|(oacAW`F@riIUFF9^!G{aVbIxB6-5|mEX>QgBbbCszmUvR ziCZv__V{@)t64w3{He?gfsfK>6GE7+nt>up;OI!C)jsE8Tl-2CFNqO4=7}4w!XIrN z^oK+(Cd~jI9g3VOtuXDQDgwbk;a9T0LVEFB^c#*;)FJ&)&WLxy=@nbwN2(Gv-t(R5 z1aH-2`WS|)!KhH>8SBXTO0=3IXgT+3h5i^C1QN18dm12%+GJ;$lLsdsNc+lET$B3Y z2N2D6d@j2fmY$soEQK+5(xsAmTMr%Pu#mn{BOt8SK0$1p>BC7lOe(w~d=zxNMNLS2 zFr57k(O)%4LmT~3?ab1kV4fjz&v*2CExZQL&#ae$Mb~M;D@{LW$X}*n%9?q;T^ieR zFoNd0#hq7;Ix)1*u+A5~a?(R$3pxZFMx_k9k8j&= z7&-5E5tyP9VB$`7IwZ>MxgCMsI?A31nyF@k!R9_EhL`|nCIeS$#5e?)iO4E-@ zak@NIht=`&YG^&MIAJrlx8!kJ%A>l7Al#lZO!S{Q(wv*tzM{P4|4o(RaSi;VN;Q(s z|4Ws2@PVUn!Kq~G)Pc~LxPr(Y0b?^_W5n_Lnp5;`Nk+PSM25dVkOzu81<%?kr!5f& zRqcL*I?40Is7*hE^!vzg=B5X;f8q)C-=fuJ1uBR=!Yy~S(mW459uxR`&C`1ouiY~Rr8xZ(q zqp=22Xj@HZ0WOQOndka(umSxWf}z=}wN)EQpkwV)DSmu+8QMzfUxHSYllfxgzzd)t zf1YtO1UHSJH)$UQb5+{Yb57^;xkH%|SKJN#rbWLpTxRy$8*^wDgLb0rNHd5MVZ^S4 zkw^VRPB?JWw2^rVYdaFO|Zs75Z@N6GC%}jfd7A z$6PzdkC3^$QYjizh4tvw7=~w!EhD%p{eh~i@;my&>cEXDvzO#T?)MMA!MzN>UgwT? z>cbZCX`_`}V=#s^p*s%gyzD_h9D_mb*Vy}k1q}%3*`+1t?Wt51MjshxS?46a7>e!I zvk%!gRFur5E|?HBQxfx$j(Ti1#|>!gMp#T{*6b_COH5KzN$jrO9p3ZR8ls83xlbfL z5kdGN1_(mw4R6RVynIqg{70UCd?5Hoo_<0D^nE5osbM3}q?zEl)pHz3A1I0Dt}t31 z?kF`6H4ox_QV>!N-HJz|pJHb!kQsMiN*UsC^|R+AlvkWt1|RBlW%vpP zcyai*6VNU21jtk-<4kd(#hpz3VEF|(2C>Ry5X}(SEdED$kygG*1mXv`l7%bG8>jS|z zu?GG|cnoJoqO9=`=3GXbuTvM^jg+tB>y2W1ebkza2Q$7=S)2#ViKylLxlf6;b zXk*gNZ{B=oW5?m5xX?VOIC|r;UA#crA4&N@aOxK>I@Q1ZK;Bjxy$KahY6E0*Y!H|> z6M}dN_ZTZH_p_xd0YxR!M~_jSpzek({pmofTKoI0`jCAWvcl3; zBCmtPY#5X09;^a5(Bb~yGgJMv5Bj%lF}WOYa(_b_<9n%4CrX$6+Ix&mlK9E|E$Rdr zR#yZd2&G8K0w4Sm>UM(@JTgpTp)qyQvDp^(7^GWtX3DPJFAVOMWUO5MSj3qKj>X=h zhyTDih?w+9L)0cNA?~l5ANC_4WP(4$t{n7X+BBleD#i*INkq^0Siv9hg#-7`O|`s% zv+)UK7RG=AH>QSJMGB9+ppFj6HgotfFq1A$TY8Zqc{SzOek#THE&6P2CF zfxEL!#0HTia@>vR`4)E59OY{Ai}yQDwxEJ8nW`o$`X8q&QDGTz*jv2&CqAifZ&jgM zS$dOlam=KSK;ppb&YBiEUI$o}jgpODw60ZO#4Y+hNXl(UY36PBXrgj9Jzz1!n}ULg?ev7*8U&cbH9zu zTYtqJu52v6nbWrVD-uosp_GUEh}4PjjlV_dEx?Cd@2vt$Y_|}ZvaA_WSBN$c8wouH_o^JX0atsQkkjRxn+)ojeEP z0!;G}mF3Xf002J?It#1Xg8MwCl`0Xb8Qz=AoCkQPt#1q$1w&-6UmJ}Y+eP5(7d+1; z#TWTWTMm1$IU&V31O6h+x>CEEYjPR5Z`=_p`6w z4{C;OJ!{nW>Pg|4^;x;{mCZle?u@nXG&jEux8|t3MYm~SknAuinQ1t5!0l&#+ngLt zW?n!_qZ8(H;0R;--8-LDVmq8(O!3ek^;BGs%D8P4eP{uiq4-#E5t0ft^%#6c-#(2| zk0`5^f(-R+_3642l%Z0p#3%oGzmPXvIG0tbQMJtdsL5f8jZcHX@`v}ues7kjAiq>T zd*?4S;&dSanTwaL6??a)`BZM8WZgvsZQV0j@PnE{6*NQCS^7U&y?dXIe%hh zLY$Btetodv*io{61s{J;TdFlZe|$oA&yJ34wf=7@v;N%N{M<&zAn6_L>xHIGd3=Vh z1`0C`hu50=vqE+zFU|@wHHOG&F6`Khfm`*hY#K<@w`x{Rn`gZ~E5{*h-mKd-W_bpu*o-wT^@-V+H%xNM3&OYrh|l8 zB7BIK2nhc#~WoH%VMaRBYg2r^{7P<$cuwPnCsQ;K4(B`wf&Zp)$g5a&X|6 zTN{*wc&id7sYbyfS{gH^Q1)|dY@M4T?Sh@U8n=Ez=aY@14P;+%%rS{GidysGPRWup zWW&eK)NAqmOa}Q_+o>O_hEM1G?B_Jif%a_YsSJVPQ7dEz`+zgoD8k5*VA!h58sck; z!P+c^xRyjji$qp#D;_j%{q1JB(nzQb@KUhM0j>)U?w8f z2w3`%n%23DoW>xIF#(_ns}ei&vHNZR4^)GCMyMz=Q51`+pw7h?x1#xp_4^hiT9^B! zI?agA^N`LuA~_HGxlwyzm!1v|f2WFZni#k$Ua#om zB_`;@Rw@J}XNdMdV_b;rCuRrr45_oR@{*NYqXQ?;K~u_nZA ze%GzoxK7OL_+DLI3?I}aXcD*^h6kIeG3|I3h%5g)kN%KEfd^LMGw2#7o~TsI{tJ(` zJP{f%f`f26;k7ZnrtOJ&*~oZ5D4hFZ{YkXpJ?@$SmrN`_8{Vy<%E-i9e57Y0BR8fV z84`JSNTTL8{CKP0v<{%UKpprp7zGeIQN3EjyGZmi0a>jgeYSyC1b3WED_|x+1W6xs z&`#$KAAUSm!xRf4D*a_UuVZz?NJWN+oj4m=fxOh%P-?Vv?{7s$T)O|Q@jS4L1o{Ox z<^vGB?xWq`wLEJ%!gyiL2aPR{W@%`zPx(&~`u+@OKhJ7j4%KtPbJ?;3hhx0$66hyxJOU2Bw}?=U+2K@>~V4? zPSMBkyT1ew-a6x!Ft5a-JwBF&gx)?@BpO}bHwj}xG$*&=2;EUKfhcB`y9FyC+pTo?0!g<5FU-sFvUHZ3~%l3#DH2*A9+x00|w~GFV%RiI0tjvYR zZ~d>`Z&vSA_s_KF&@IEC54^Sv#|t_mN`A}>&Rbm?Ka`&(*}vh|6?t6ZJ{0@1t&scF@c@dF7TZ@af_dGayA%JAmD3b-)cd)zfW@+x7KRV?YRE6{%T`*AaVMiao*G$CSbvx>h(>7UX) z{W|<@{M+c;O8Nyw8@2x5*+mhb_4p06zra4XyWCfNx3Sz}C%1IjDA|!|&-=?tz=6!x z*`>L^3Bx?nMMi*kr_PJbn=(N}Z)>3EntPRrRhKk~3hTMed)v?U^R?GAd;iq?chL(c4pVN$^Nkl)N6w8%u<_}w z^P@E69g1iK&C*xfzh(4qnVwyh3%+t>mojrD0lRcT04XlTVBW|!IJE}O_iyF45qgHk z=z*sWOue4sj#$gnO$qtsLqLE+p-Vn2O6~kANimO7?Su-9EzKiLqC*JkM}*~TV~7%w z2PJY16CU~CO_BtEgMTUjr9x;ae=ZSAN!CB3pb@vsgk{kIs30j%Cdhd?9KOeX;C68G zYi^SL%kG2%)A5%qJUG6OkScbF%&uUV!JouX^h1S(CT>z_|IGUlNzN5!!U!E1p_3Oj9fWTOV@W58vdei zG;5YFUeOe?4)>P;a2K{Ij@icmDsxxYCaOJy;jzxsz~O7$ATuO?q@2PQCsOB^YHUGp zM1Vw;K7~9~b%S^E_JZI!t$hA~@tgAS8HnLjCuG`uPFJda7*Wj&=ya_zRe1%vTkK)+ znX}UvhR8;-z<tI^ALzWU8|@oWPn9v@k(HU&*|wB*v@QbjF@i}; za#a&oPNguoDT4qqdMQ~71xv$4TNP`ygnwl+rY&Q+)_79MZPM*5jjGjt{z?=O%|%En zHH}(1723s$hyTo-%77@Z82xmLCxLU^sohvA+8~lnjK}4j1}8#W0uS#gNd7*5=PFJS~E2St|azMFAa@3 zvHO!U>+YW)JCixb#b~;=#Pf>4+#CD*BjZs~X-4}^H5YZBGTy44TAwXN3(Yl-ZC*Z_ z{t>^%u}K9N*NXmk> zhTYZ$vm4&>n?|lGzM|ucb?@Zml7tkr@2>*+mFL1y)NHa#GYb=`l1KXGuT159X=c{3 zZ)AB$M-bJsQEL{Wddkm<8{-@|a320jB**^Kawl9r?WL^#c;nh+F8=yc<5=w9ea(qS z;W6XgjQC%90QQXfncr|;f1UDoqtCX2Bs#*;M(A)nB|t}kabFHoj}hFR+-xlDVgJ}j zTB>6zM%WYkd7IPV;|A)KgjHj6Uos3mkAN#9=p>O=^?YJY*b2AFf{@+36w^a0FUg_6 zZ@RFIaR3ES^J_m!N28mx!kVy@hhouIv79IcR{Q{6pq%Bd?@7ph%WafFx%?FzsA}6Z{$g131nj2bd5hgQ-{?D*ERn*M)8IL zSV~h3!)?G>y-4g<#t-t!Ng5xm>Riz1?BSSYYOgCFFp9AoEzheh5WFDti9}cNSM#@Y z4HMn_`E0!|2QN#E0DoHYt(=?k;m_muzP0iMbr0>XA84*0I<4q+fed0G)Un)Eks96- z`GRWl77Rq>{lybCA-Y|O1pZFKpE+#Nzk`J}zGzRQ=i$5VdaR(fXa(VLoCiVIrT(yX zn$L&f^U5ZlYCPTpLauJ#Z1>e*A^GG(qo(2&vE4PqbYeCz#uyCL*Wtt8JGo}cfHO%) zaz6Sx_I`xrbgH~bv9zyM4u;$ezcXXJ%+6sWTOeay#E4NQH9k-<*@LjYPLhaHqAuqj zIO*(==`JXT;kqeK*;Ds`l%Ff1SF3gep%IX4rmFUDq5u&yZehWPWKGj+>?R?|t+l^N zpqUWR&ofc51w$=aKWl|pGbVa0t5MB3N{{ZQ+*CioFZP+dn*-bRC#W&A21TPT304wC zJhd?i28(l{EE{1$ZbGo=&-pux?Y}H??|4V=6f3s$qtJ=>*EEfFQ8oz)4$~MY>6k(* z-ilY?b=;PPA7!OFha2(B0?@amGm%Oh_#X2RK{&&Bsbx(E zbu^dPpE$-PwZzyF;U?u%rtYAAWZMRh5^UB7&+D}0{sf*c2nXHnWIuI(eK$CO@ii^c zE`i^*fvKzrURpB4FN}_YfjUX2CY&zV@JAbAW-S=g#mjyoRWZo~KP~0oil)wT`Y9A@ z^?Fv2EbN}oA1-1%U5SuWkI1h}U?*n4!eWzP>WU- zQEc)18TSSz@BdSa-COD3a-W@}c5^*)J<>e}1!DZF;I=k8Op;CTx__{XBar?259;LY z{Lz~(WE5cdgO%tl6dDs%t?{h5ziIaF(>m!o>Bdjv!L2JNoUt3byC!}{>c=aZ2b%l& zWbnwbuODrks8ffzPrxJofoNVXly^7jOCtR##KkijHg20fml%+4KO6t& zzE5oAV$k9CY)UqD0SW8i8TetSL>T>3qqg@t<_&2WsZ2Z87Ty~VK$Ymf3izT!*uEkC z7Ck|T4?oDqZ==4&ci+r+=@-fL-WkeH_(eedmFiWHIQjUW(qti$4&Tq_ZDlUqgC^4j zfQ=Lv|NOrYr|=QxO3!9i=9voCxBsLZO-Ki8Ps1a4-3vdpDWnN$ENPB>hHO0qFYIq1i##ajtFt!=$YoKQ58|eNW)|HEt^6dEkXo{_2~SeD%#R?eoe+rN ze5C%stxSuboL3?++3-aou%vFHSF>G(L}tu~48#KcLerkFI$5Ox+s(jJMK)Xfbg+9n zVwsA=hdW7}0r!40@cY{Qj8^E-%!zKtyzF}dL$PepfQtn{AZViGquweGiiZN|hJGT< zQ(Ld(cSZUXQf3l@H(Vx@mUo2d&*Mk25|S3t7k7u@L_~2)NjX^)8B{ldT2ja;OX3Qp z_iQ!YPBcy@wl)ZUQ2V#Ugh8lvr7+VJBChbvyxA+Gme^!HrhDtkR@B!I;ylQM*qIqi zDwszkZox<*NdD4}RN_Zp$b#U*vQf0Vus)wr`69{khgy+2NYffNbAY7RddVW-BRS{4 z9cZJT1qXa=$>I}S{mNYFF(e?Nhb0MI<@p3PsD|KaSXpUK?F`CCHNy23?5(jk`cSOC zUoPmNt2I1afhx?P!N@5si+#@4Lm9*lBJ-^E`t0s4t^-4XB1L|JF@Swp4uQXwC zl}=VXI4qPyHW3(jC%yPHmwPiJBni4rxJwj`3$ze{rzk#S5NH~*Br;;*M-tzi#U4$- zxN58#vvg~gtPUue{gU`Tq%SF$)Pd1a43?KC8CW!4GOtv46-Qu1;zcw>k49oq7!k!0)P6 zZv~1~7IFy`V}9^B_|@c~n43xJtmJH>#8Cva+`I+yfoL8l2JZd3-}qLcZeMG=&NNJT zM|wn5KRp7UNB2bQm3!U^HMXu}z0<1-6d$l9#%c1z23!`Skhae576@@2j6Lkjg}EFB zcu7;9ZnO5Kth%jqLC;k9X-OJ^V7nLm5baW*W0lV1J$7Q;PtY=kg`S0!=zk_w^PwKZ zn7R;0vvBBB2wd14k%w0tMO_4lu%qRudVA6{;;1o-D8bR9Z){R-Gec&Y zB?PN;002=FwB&qJOWi^|yhR#?a5A|$o{QERX5^^)67Ce_Et_}?p~d8!z_B=RUeSbL zNx=c~g%r*51;t%8x#+oKpNvA9d2#SY{$2yB_X}a{5kktn9X3NaB|;-YqzjCedxWrE z4C;NU$cBO>YIrLAxY^L3lj@RnBZ4v4;1Kr#j2k-kDOM;5SDa!UdvlYOQ&@StF`ee$ z68lm}dL3qFZJyg{tmpl;nTwxiFNL6B>ZNB}N%bi|%2_4Wq=QxL_4;T$)|x5IT-VhS z^)gOyxBe?r5kQFCJx07|7Cqi!9?!U&QkU^*z_#f}JfS!5Wn}hl@rGQU%1->CIzA=3 zN-K7roCb>dkzD;g9Z3ODk{{1Sg|4WyKz$EYBMrn_Sl;~ZOmz+A=!6j$kag{t#_-MZ=cV>s!WsM3Czza7Xk8^qE+pi}R@&!U} z9_gtJ2N|<78}0+ v7l!~hFEbmT02^CnuENs)b->XXU}x?9|39!ccxwwe03|Q2B2_P87W}^ev|o0l diff --git a/doc/_build/html/_static/doctools.js b/doc/_build/html/_static/doctools.js deleted file mode 100644 index b33f87f..0000000 --- a/doc/_build/html/_static/doctools.js +++ /dev/null @@ -1,314 +0,0 @@ -/* - * doctools.js - * ~~~~~~~~~~~ - * - * Sphinx JavaScript utilities for all documentation. - * - * :copyright: Copyright 2007-2019 by the Sphinx team, see AUTHORS. - * :license: BSD, see LICENSE for details. - * - */ - -/** - * select a different prefix for underscore - */ -$u = _.noConflict(); - -/** - * make the code below compatible with browsers without - * an installed firebug like debugger -if (!window.console || !console.firebug) { - var names = ["log", "debug", "info", "warn", "error", "assert", "dir", - "dirxml", "group", "groupEnd", "time", "timeEnd", "count", "trace", - "profile", "profileEnd"]; - window.console = {}; - for (var i = 0; i < names.length; ++i) - window.console[names[i]] = function() {}; -} - */ - -/** - * small helper function to urldecode strings - */ -jQuery.urldecode = function(x) { - return decodeURIComponent(x).replace(/\+/g, ' '); -}; - -/** - * small helper function to urlencode strings - */ -jQuery.urlencode = encodeURIComponent; - -/** - * This function returns the parsed url parameters of the - * current request. Multiple values per key are supported, - * it will always return arrays of strings for the value parts. - */ -jQuery.getQueryParameters = function(s) { - if (typeof s === 'undefined') - s = document.location.search; - var parts = s.substr(s.indexOf('?') + 1).split('&'); - var result = {}; - for (var i = 0; i < parts.length; i++) { - var tmp = parts[i].split('=', 2); - var key = jQuery.urldecode(tmp[0]); - var value = jQuery.urldecode(tmp[1]); - if (key in result) - result[key].push(value); - else - result[key] = [value]; - } - return result; -}; - -/** - * highlight a given string on a jquery object by wrapping it in - * span elements with the given class name. - */ -jQuery.fn.highlightText = function(text, className) { - function highlight(node, addItems) { - if (node.nodeType === 3) { - var val = node.nodeValue; - var pos = val.toLowerCase().indexOf(text); - if (pos >= 0 && - !jQuery(node.parentNode).hasClass(className) && - !jQuery(node.parentNode).hasClass("nohighlight")) { - var span; - var isInSVG = jQuery(node).closest("body, svg, foreignObject").is("svg"); - if (isInSVG) { - span = document.createElementNS("http://www.w3.org/2000/svg", "tspan"); - } else { - span = document.createElement("span"); - span.className = className; - } - span.appendChild(document.createTextNode(val.substr(pos, text.length))); - node.parentNode.insertBefore(span, node.parentNode.insertBefore( - document.createTextNode(val.substr(pos + text.length)), - node.nextSibling)); - node.nodeValue = val.substr(0, pos); - if (isInSVG) { - var rect = document.createElementNS("http://www.w3.org/2000/svg", "rect"); - var bbox = node.parentElement.getBBox(); - rect.x.baseVal.value = bbox.x; - rect.y.baseVal.value = bbox.y; - rect.width.baseVal.value = bbox.width; - rect.height.baseVal.value = bbox.height; - rect.setAttribute('class', className); - addItems.push({ - "parent": node.parentNode, - "target": rect}); - } - } - } - else if (!jQuery(node).is("button, select, textarea")) { - jQuery.each(node.childNodes, function() { - highlight(this, addItems); - }); - } - } - var addItems = []; - var result = this.each(function() { - highlight(this, addItems); - }); - for (var i = 0; i < addItems.length; ++i) { - jQuery(addItems[i].parent).before(addItems[i].target); - } - return result; -}; - -/* - * backward compatibility for jQuery.browser - * This will be supported until firefox bug is fixed. - */ -if (!jQuery.browser) { - jQuery.uaMatch = function(ua) { - ua = ua.toLowerCase(); - - var match = /(chrome)[ \/]([\w.]+)/.exec(ua) || - /(webkit)[ \/]([\w.]+)/.exec(ua) || - /(opera)(?:.*version|)[ \/]([\w.]+)/.exec(ua) || - /(msie) ([\w.]+)/.exec(ua) || - ua.indexOf("compatible") < 0 && /(mozilla)(?:.*? rv:([\w.]+)|)/.exec(ua) || - []; - - return { - browser: match[ 1 ] || "", - version: match[ 2 ] || "0" - }; - }; - jQuery.browser = {}; - jQuery.browser[jQuery.uaMatch(navigator.userAgent).browser] = true; -} - -/** - * Small JavaScript module for the documentation. - */ -var Documentation = { - - init : function() { - this.fixFirefoxAnchorBug(); - this.highlightSearchWords(); - this.initIndexTable(); - if (DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS) { - this.initOnKeyListeners(); - } - }, - - /** - * i18n support - */ - TRANSLATIONS : {}, - PLURAL_EXPR : function(n) { return n === 1 ? 0 : 1; }, - LOCALE : 'unknown', - - // gettext and ngettext don't access this so that the functions - // can safely bound to a different name (_ = Documentation.gettext) - gettext : function(string) { - var translated = Documentation.TRANSLATIONS[string]; - if (typeof translated === 'undefined') - return string; - return (typeof translated === 'string') ? translated : translated[0]; - }, - - ngettext : function(singular, plural, n) { - var translated = Documentation.TRANSLATIONS[singular]; - if (typeof translated === 'undefined') - return (n == 1) ? singular : plural; - return translated[Documentation.PLURALEXPR(n)]; - }, - - addTranslations : function(catalog) { - for (var key in catalog.messages) - this.TRANSLATIONS[key] = catalog.messages[key]; - this.PLURAL_EXPR = new Function('n', 'return +(' + catalog.plural_expr + ')'); - this.LOCALE = catalog.locale; - }, - - /** - * add context elements like header anchor links - */ - addContextElements : function() { - $('div[id] > :header:first').each(function() { - $('\u00B6'). - attr('href', '#' + this.id). - attr('title', _('Permalink to this headline')). - appendTo(this); - }); - $('dt[id]').each(function() { - $('\u00B6'). - attr('href', '#' + this.id). - attr('title', _('Permalink to this definition')). - appendTo(this); - }); - }, - - /** - * workaround a firefox stupidity - * see: https://bugzilla.mozilla.org/show_bug.cgi?id=645075 - */ - fixFirefoxAnchorBug : function() { - if (document.location.hash && $.browser.mozilla) - window.setTimeout(function() { - document.location.href += ''; - }, 10); - }, - - /** - * highlight the search words provided in the url in the text - */ - highlightSearchWords : function() { - var params = $.getQueryParameters(); - var terms = (params.highlight) ? params.highlight[0].split(/\s+/) : []; - if (terms.length) { - var body = $('div.body'); - if (!body.length) { - body = $('body'); - } - window.setTimeout(function() { - $.each(terms, function() { - body.highlightText(this.toLowerCase(), 'highlighted'); - }); - }, 10); - $('') - .appendTo($('#searchbox')); - } - }, - - /** - * init the domain index toggle buttons - */ - initIndexTable : function() { - var togglers = $('img.toggler').click(function() { - var src = $(this).attr('src'); - var idnum = $(this).attr('id').substr(7); - $('tr.cg-' + idnum).toggle(); - if (src.substr(-9) === 'minus.png') - $(this).attr('src', src.substr(0, src.length-9) + 'plus.png'); - else - $(this).attr('src', src.substr(0, src.length-8) + 'minus.png'); - }).css('display', ''); - if (DOCUMENTATION_OPTIONS.COLLAPSE_INDEX) { - togglers.click(); - } - }, - - /** - * helper function to hide the search marks again - */ - hideSearchWords : function() { - $('#searchbox .highlight-link').fadeOut(300); - $('span.highlighted').removeClass('highlighted'); - }, - - /** - * make the url absolute - */ - makeURL : function(relativeURL) { - return DOCUMENTATION_OPTIONS.URL_ROOT + '/' + relativeURL; - }, - - /** - * get the current relative url - */ - getCurrentURL : function() { - var path = document.location.pathname; - var parts = path.split(/\//); - $.each(DOCUMENTATION_OPTIONS.URL_ROOT.split(/\//), function() { - if (this === '..') - parts.pop(); - }); - var url = parts.join('/'); - return path.substring(url.lastIndexOf('/') + 1, path.length - 1); - }, - - initOnKeyListeners: function() { - $(document).keyup(function(event) { - var activeElementType = document.activeElement.tagName; - // don't navigate when in search box or textarea - if (activeElementType !== 'TEXTAREA' && activeElementType !== 'INPUT' && activeElementType !== 'SELECT') { - switch (event.keyCode) { - case 37: // left - var prevHref = $('link[rel="prev"]').prop('href'); - if (prevHref) { - window.location.href = prevHref; - return false; - } - case 39: // right - var nextHref = $('link[rel="next"]').prop('href'); - if (nextHref) { - window.location.href = nextHref; - return false; - } - } - } - }); - } -}; - -// quick alias for translations -_ = Documentation.gettext; - -$(document).ready(function() { - Documentation.init(); -}); diff --git a/doc/_build/html/_static/documentation_options.js b/doc/_build/html/_static/documentation_options.js deleted file mode 100644 index aa4ac5c..0000000 --- a/doc/_build/html/_static/documentation_options.js +++ /dev/null @@ -1,10 +0,0 @@ -var DOCUMENTATION_OPTIONS = { - URL_ROOT: document.getElementById("documentation_options").getAttribute('data-url_root'), - VERSION: '2.4.0', - LANGUAGE: 'None', - COLLAPSE_INDEX: false, - FILE_SUFFIX: '.html', - HAS_SOURCE: true, - SOURCELINK_SUFFIX: '.txt', - NAVIGATION_WITH_KEYS: false -}; \ No newline at end of file diff --git a/doc/_build/html/_static/down-pressed.png b/doc/_build/html/_static/down-pressed.png deleted file mode 100644 index 5756c8cad8854722893dc70b9eb4bb0400343a39..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 222 zcmeAS@N?(olHy`uVBq!ia0vp^0wB!61|;P_|4#%`OFdm2Ln;`PZ^+1>KjR?B@S0W7 z%OS_REiHONoJ6{+Ks@6k3590|7k9F+ddB6!zw3#&!aw#S`x}3V3&=A(a#84O-&F7T z^k3tZB;&iR9siw0|F|E|DAL<8r-F4!1H-;1{e*~yAKZN5f0|Ei6yUmR#Is)EM(Po_ zi`qJR6|P<~+)N+kSDgL7AjdIC_!O7Q?eGb+L+qOjm{~LLinM4NHn7U%HcK%uoMYO5 VJ~8zD2B3o(JYD@<);T3K0RV0%P>BEl diff --git a/doc/_build/html/_static/down.png b/doc/_build/html/_static/down.png deleted file mode 100644 index 1b3bdad2ceffae91cee61b32f3295f9bbe646e48..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 202 zcmeAS@N?(olHy`uVBq!ia0vp^0wB!60wlNoGJgf6CVIL!hEy=F?b*7pIY7kW{q%Rg zx!yQ<9v8bmJwa`TQk7YSw}WVQ()mRdQ;TC;* diff --git a/doc/_build/html/_static/favicon.ico b/doc/_build/html/_static/favicon.ico deleted file mode 100644 index 777f617dd904043a7ae5ae7469fc2b2afd18cee3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16958 zcmeI330xIb-^cHM*<}&Aa6uMDbH%3~bKez75p%&b746APGwaPB^D$FVD|^$@OmoSl zH1`(G4FP2p1i6|E;tqFmxq<~bSm1ar@9#g{;Tdm0rdYn8kH@~w+%t2Q-|zhAoHOGm zg+hrx-McIBcaY+Vo(e?+g+lQJK$apFQ2yie*n!6mJa*tOc0h^tYQnxNHQh(~|KAez zSq-#64;*CBZ_20ZlIDB87eyZFM0!*}2V6jXU<3_i&~Go`49Ew9&MB|Dd#Mhpi|VAh zAJuq}#@rEoq&_zWPlIqUKnDG83qpXW4LYa1>h7gFs4l9L>XzaLr;kh`?HWMiNMraU z7zieVd0?T8xj3H)B0zJ{%yv$BbWMIQm8CkK1ym>1O>IzHk4hX!+9^f@Ku0hZd;qq9 zgT5YZGul7#?+hQ;ju~pTIuUtafN7wg4Lbh1G&aJa?eI0nk#yXX! zcb4OtL{5G5*FuGfxK*e=RCrZCY}{$wL!MEcF9T|W=99nMgX*+X|CWQ)Mjj*c`v+J` zp7FK(7U5?p?+e0>mh#?4%gvraCobZi-C!1>QmJ-pKi+mhVfyVCXfK0y8>PRgkMbq@ z*kuK{FBPl+6F?X6cY08hcIsbRL+4@UNTY@C=WDqQ{VW1NKZAY&F>tfDzvZHrTeB+t zdV~LN{q8*I|CRLLhJL0nl>(j+6sDWJdeTfzp;o7%e#wKbI1d8#fDWht_3L4$>a^3C zh}y$^rg8)Ppxtmy=nvhbU*>_RzfXYWqLZV0m42pnl2P?rO&_99_4m|8Q^X3oy!~VoyFLfVC-%B#jz*tHi`wMshD?Jxr0+XI2=NmwO}fs zb*44&0S{|^B;6EW6lWA~L4e|~O8Y;(7jRJq3qKIrqo5fcFu4bafjjWv*Dn5huBnu| z!zfGh#J|88@CitESn{n|X}ZN%#yshlw3pz#82pSl__-Abu=vH2KiceAV5DONWaVj0s3#X_giOi(t906nN45{ z=m9!`@j#q6-DWQ}t4usRxCyPKze+pKeZTe*%2$6o4(pH}HFXMh%_elG6&>w^X zdX8vKt6Do8r!6o;J3OH0UL1ExJB~L%(H(`Uu*`K^@=t1Km$N9lA5aX91LS92>=ESJ z$kUq$(bAkv>jUj~dIB1E(yj*@AhmOs z2QK5LAP#=w6*)zS0~&WxH?&^|76N(KZfm|ty{Yu|H=~|ZK<&`H)CEv%{n=;1Uc1yM z@;2E~=YDZS$eEvq87yVce+MuEK8PNW5A-Yy!MHc6_cDhvl6I+2fyi?MI-mkIwI|L8 z{u8IdgBys0LU=&>CGFR6PFy7f?XFDTp?-tv+WRve)YKR9G}T4xXO8qqzB$}rxjh^@ zf%Q26{Z`U%^FWC9xA0FIrpf#AM=@DbyP^lWzJtt)3^P2q4i9MD<=U^{H*r~TOU>mS zTeXq5D|vn|9@Nwa(w^pBFT_05?>PTF#uivd*dPyVJ}`J8MEhRj8@h(e`ygqjXS}vp ztf~D<4|?`9YmQ#Svv36-RB0yN;71#rpgnM$*EVk@Z~tCAsHtsHyTLV#iwV5Uk2F|> zkz`0##v+}?Rc)-B} z(o4DvY})hb9CKoUph!KzyM_0^7Y}G}LwhFq8YF5D^$zDo`k46`Xb0BOcG&z7q7A2b zcU=>CTYq-kCGFC&rZExYKouBb)*hw#06Imzq+8+=&P4=m_Br0AYY%z9s*3~KGaCVY zTafl)X`vpG+*spPevC~!>6asVLE~=B<&CcG4mKdc1B#1Q`! z-p93tyzaWvj&6vlx;UUQqJAbiJ<;Ne# zS$J?3`t8U$BdAPg_&l-0xaB1ct-^cRE+yQEW+oDU!8QG zS5Sub^DBY$Jl)G41GnFIA`fV;b^;ARD=-+$1G}~Lz0Z2=j4xK0@-QFd!Gk<_kXIpt zewV9LvWqk!jk8dO-j@aeQGZ+in7Ee>7H$Ic0|qMWz%zeLaC0#Hj55c;dO+W%yMl+U z9ZiTy^1uPVyum-fXh2$$0jE7=x}`9k#$0e3dQU@t74*AYWy&qmNA&x>eq&7BD?S!( z67&NGD(tY1^E+AQ=^Stk?WBT_!AS5Fpm~I1lip>hj}I$J&jIZt$#e4lRj?Kuaq#l8 zXj2a38OX)>=R*5kK!0wz+H|VO@tMfq>Bl$NxHp2XbCaR}HRuNw60hSNSpNre;<&)H z60KI3hxWb)G-r+i?SVh2ZGVf)50vEErM(J0d$d#v&s{^2z`cZ$EI1UhfO0llDtm{N%qM>YlTeUpQJC~{D{o<_Sg zkI*|Yy&Fqw>cjA$I_PKWybb%I6W9-(fKCzUKVd`kLr`S@z-xv?UqU(g z{736w6qv76Dihj!zm)%Pyte}ZSf|=}3rE02;K4Ybq+$=f|3#Eb2J~($t$!4kb?HH^ z+FjeU;T(2+5A8od`w!559MDmMejgW9hjVyMpT1`FEd|Kezxwzs-~XgEbm(ZFhWGbr z@ZdktO-#4(HjXpk1Gqgk;Pg#*=LS?~8lbVDcsqwn)CUhj`%!2=3Z29; zJK#&sF}_~Es&|3q=%0N5Jx5 za|&G-J!tJUz&t1DIzJOSXOUhI3+8~iU|uEg=Opi=Ybv!-7jaOl_Id-OI9+-wz6E4q zY_qME9D#lb@*_u3A4ud?&6~^nCD$&0Mr-^A=kMCmJkyxZL$e@heiyol_X$6Xb-v#< zVZQN4KG;1>-q!ubL9N<#ojP#3j3i#2b4XC-WH4pUVd&4YVe`UtM9`X!@Gk$=Za?mF z?HKObzrh9S+nQ(i*{rp)E zYSr%Cr#t7IoyhC64+^>?DNJ)Dm8o;mt?_p~}eURz0lbIGiXpW>Y)sgfv-Hxw|RSg;7jvpmw#u70}v2YeVD}B3i%TBL#(_oPML^%~??pRq6H|2_(7Lb;B>6Zry7(VsQt?L(^UD_n zU1E!aFF^M>>ypN2tV=_4t;?F8WXqc$6PCBk;(HsT@5KX2JAD%m0`xvI5v)*a)M{UOzzE|#nOH`V?) zZwcrfnf5lczLS>wNmNeSAJ87DEf@_x0&(@7eDhulUQxKb*|A?&v@i*z{qwL4wx&`$ zgLbyQ(_UeHm!15eprLXPr2Q9t%b@4IE1+>-qjz!4eDa+kmwP3~mUd2jS7?850edQW z5o?{ilr@J3P14q|khCv_hUs7NJ~Lk7)LKm%%F!H2-*9?>#=sNk!GmZ=aWP3A(02!l zC-Q)vt@pv#8l^U8Lg0eSt6F83e%>a7VxGnw+7s9p9rv*fU3amsdVD8r?6sAj-F=oB zWm15&|EBkMdbVheT?I12KOJ*JXj$#Nn6ePPah}u zQ(9*OQ3pLsI-mm7_qs^-9#Fprfw#a~y~gp-)MiULj61)!Z4zQWfqmIAj;-$k?cKj) zn|f_$o5ME?TOu~_liQBJq*AK(q1(hhi&M#lWpwz4cpXbE87zB72DeXi}LLQ*A#UR?wEx# zOTch2U8z>?=o5G^@zr~)3e;XdmX59|VV;v977uqE)^OAOHE_qnm(M>Ut2NYKnUleB)Z(RWOV>$jml+>|}Uo(H%X*Un;!p0uovQ53W zvCVxqv90|!ux*j+%C|>-UbG~9j$D7NyT5zfh)ps1qxQVakJvlucJ#j2*`T;LS>*m{ ztZ%{$)+=F-(CxtcyrJ(?)s6dKr5zHh68S)0Q@m086n~-zIHtbPbdnj*JioE$c41SW zEz;PtZBc75{ws?%3|@4Xe$QafxKZ23|7rZPMSLd5==d~j3; zGwP;sr~T+(J??1ocd1qnqMHskZ|=MG=9a!2%eVAfUtT%(tINKAc4c8qn<01U*SqNB zhO8W#KW5K3e$<``<-_+*D#tufjuj_{fpmkHecX-&(JDU5$RGkOZPg>*l`nmaLbu_li>I_;NnrEq$O49x@ z7!7ETHW17N8y%b-l7c#gWCnE)&Gc^{lBspj9z^~YFdvKs^o{24c;3-$t;ybl4!E22 z%>tj;L3y-)puIWme_DY7U@~|Q(B4X79?ofBL>`e>bpQSCXHoQ_CdmWpAMF*xKyN_% z1UZyP*XSO)*9$ZTw7-r3f~;8Ql^Xzq+^LP zwjLb|l(Bj`CM#prbc|KTD(R?D#wuo4R9F@2WJR}%iaQE@fg%va6!q{QiYWri6ex&` zcN8dyo2?2IR47EmbL5HFaF2K|%7|t0msl4vZFQp!sjUhmg)&*}L+pQ#s8-Ccy007c zNiEeQ)ho3lwJY^Q>Q_}irGBG=GSY(zN=P3nD5%t1*{2GHI#z63@+DbGax37QLiDXf XNySl69UDkT$>)lCmA+Hn{ipu}r=-;_ diff --git a/doc/_build/html/_static/file.png b/doc/_build/html/_static/file.png deleted file mode 100644 index a858a410e4faa62ce324d814e4b816fff83a6fb3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 286 zcmV+(0pb3MP)s`hMrGg#P~ix$^RISR_I47Y|r1 z_CyJOe}D1){SET-^Amu_i71Lt6eYfZjRyw@I6OQAIXXHDfiX^GbOlHe=Ae4>0m)d(f|Me07*qoM6N<$f}vM^LjV8( diff --git a/doc/_build/html/_static/jquery-3.2.1.js b/doc/_build/html/_static/jquery-3.2.1.js deleted file mode 100644 index d2d8ca4..0000000 --- a/doc/_build/html/_static/jquery-3.2.1.js +++ /dev/null @@ -1,10253 +0,0 @@ -/*! - * jQuery JavaScript Library v3.2.1 - * https://jquery.com/ - * - * Includes Sizzle.js - * https://sizzlejs.com/ - * - * Copyright JS Foundation and other contributors - * Released under the MIT license - * https://jquery.org/license - * - * Date: 2017-03-20T18:59Z - */ -( function( global, factory ) { - - "use strict"; - - if ( typeof module === "object" && typeof module.exports === "object" ) { - - // For CommonJS and CommonJS-like environments where a proper `window` - // is present, execute the factory and get jQuery. - // For environments that do not have a `window` with a `document` - // (such as Node.js), expose a factory as module.exports. - // This accentuates the need for the creation of a real `window`. - // e.g. var jQuery = require("jquery")(window); - // See ticket #14549 for more info. - module.exports = global.document ? - factory( global, true ) : - function( w ) { - if ( !w.document ) { - throw new Error( "jQuery requires a window with a document" ); - } - return factory( w ); - }; - } else { - factory( global ); - } - -// Pass this if window is not defined yet -} )( typeof window !== "undefined" ? window : this, function( window, noGlobal ) { - -// Edge <= 12 - 13+, Firefox <=18 - 45+, IE 10 - 11, Safari 5.1 - 9+, iOS 6 - 9.1 -// throw exceptions when non-strict code (e.g., ASP.NET 4.5) accesses strict mode -// arguments.callee.caller (trac-13335). But as of jQuery 3.0 (2016), strict mode should be common -// enough that all such attempts are guarded in a try block. -"use strict"; - -var arr = []; - -var document = window.document; - -var getProto = Object.getPrototypeOf; - -var slice = arr.slice; - -var concat = arr.concat; - -var push = arr.push; - -var indexOf = arr.indexOf; - -var class2type = {}; - -var toString = class2type.toString; - -var hasOwn = class2type.hasOwnProperty; - -var fnToString = hasOwn.toString; - -var ObjectFunctionString = fnToString.call( Object ); - -var support = {}; - - - - function DOMEval( code, doc ) { - doc = doc || document; - - var script = doc.createElement( "script" ); - - script.text = code; - doc.head.appendChild( script ).parentNode.removeChild( script ); - } -/* global Symbol */ -// Defining this global in .eslintrc.json would create a danger of using the global -// unguarded in another place, it seems safer to define global only for this module - - - -var - version = "3.2.1", - - // Define a local copy of jQuery - jQuery = function( selector, context ) { - - // The jQuery object is actually just the init constructor 'enhanced' - // Need init if jQuery is called (just allow error to be thrown if not included) - return new jQuery.fn.init( selector, context ); - }, - - // Support: Android <=4.0 only - // Make sure we trim BOM and NBSP - rtrim = /^[\s\uFEFF\xA0]+|[\s\uFEFF\xA0]+$/g, - - // Matches dashed string for camelizing - rmsPrefix = /^-ms-/, - rdashAlpha = /-([a-z])/g, - - // Used by jQuery.camelCase as callback to replace() - fcamelCase = function( all, letter ) { - return letter.toUpperCase(); - }; - -jQuery.fn = jQuery.prototype = { - - // The current version of jQuery being used - jquery: version, - - constructor: jQuery, - - // The default length of a jQuery object is 0 - length: 0, - - toArray: function() { - return slice.call( this ); - }, - - // Get the Nth element in the matched element set OR - // Get the whole matched element set as a clean array - get: function( num ) { - - // Return all the elements in a clean array - if ( num == null ) { - return slice.call( this ); - } - - // Return just the one element from the set - return num < 0 ? this[ num + this.length ] : this[ num ]; - }, - - // Take an array of elements and push it onto the stack - // (returning the new matched element set) - pushStack: function( elems ) { - - // Build a new jQuery matched element set - var ret = jQuery.merge( this.constructor(), elems ); - - // Add the old object onto the stack (as a reference) - ret.prevObject = this; - - // Return the newly-formed element set - return ret; - }, - - // Execute a callback for every element in the matched set. - each: function( callback ) { - return jQuery.each( this, callback ); - }, - - map: function( callback ) { - return this.pushStack( jQuery.map( this, function( elem, i ) { - return callback.call( elem, i, elem ); - } ) ); - }, - - slice: function() { - return this.pushStack( slice.apply( this, arguments ) ); - }, - - first: function() { - return this.eq( 0 ); - }, - - last: function() { - return this.eq( -1 ); - }, - - eq: function( i ) { - var len = this.length, - j = +i + ( i < 0 ? len : 0 ); - return this.pushStack( j >= 0 && j < len ? [ this[ j ] ] : [] ); - }, - - end: function() { - return this.prevObject || this.constructor(); - }, - - // For internal use only. - // Behaves like an Array's method, not like a jQuery method. - push: push, - sort: arr.sort, - splice: arr.splice -}; - -jQuery.extend = jQuery.fn.extend = function() { - var options, name, src, copy, copyIsArray, clone, - target = arguments[ 0 ] || {}, - i = 1, - length = arguments.length, - deep = false; - - // Handle a deep copy situation - if ( typeof target === "boolean" ) { - deep = target; - - // Skip the boolean and the target - target = arguments[ i ] || {}; - i++; - } - - // Handle case when target is a string or something (possible in deep copy) - if ( typeof target !== "object" && !jQuery.isFunction( target ) ) { - target = {}; - } - - // Extend jQuery itself if only one argument is passed - if ( i === length ) { - target = this; - i--; - } - - for ( ; i < length; i++ ) { - - // Only deal with non-null/undefined values - if ( ( options = arguments[ i ] ) != null ) { - - // Extend the base object - for ( name in options ) { - src = target[ name ]; - copy = options[ name ]; - - // Prevent never-ending loop - if ( target === copy ) { - continue; - } - - // Recurse if we're merging plain objects or arrays - if ( deep && copy && ( jQuery.isPlainObject( copy ) || - ( copyIsArray = Array.isArray( copy ) ) ) ) { - - if ( copyIsArray ) { - copyIsArray = false; - clone = src && Array.isArray( src ) ? src : []; - - } else { - clone = src && jQuery.isPlainObject( src ) ? src : {}; - } - - // Never move original objects, clone them - target[ name ] = jQuery.extend( deep, clone, copy ); - - // Don't bring in undefined values - } else if ( copy !== undefined ) { - target[ name ] = copy; - } - } - } - } - - // Return the modified object - return target; -}; - -jQuery.extend( { - - // Unique for each copy of jQuery on the page - expando: "jQuery" + ( version + Math.random() ).replace( /\D/g, "" ), - - // Assume jQuery is ready without the ready module - isReady: true, - - error: function( msg ) { - throw new Error( msg ); - }, - - noop: function() {}, - - isFunction: function( obj ) { - return jQuery.type( obj ) === "function"; - }, - - isWindow: function( obj ) { - return obj != null && obj === obj.window; - }, - - isNumeric: function( obj ) { - - // As of jQuery 3.0, isNumeric is limited to - // strings and numbers (primitives or objects) - // that can be coerced to finite numbers (gh-2662) - var type = jQuery.type( obj ); - return ( type === "number" || type === "string" ) && - - // parseFloat NaNs numeric-cast false positives ("") - // ...but misinterprets leading-number strings, particularly hex literals ("0x...") - // subtraction forces infinities to NaN - !isNaN( obj - parseFloat( obj ) ); - }, - - isPlainObject: function( obj ) { - var proto, Ctor; - - // Detect obvious negatives - // Use toString instead of jQuery.type to catch host objects - if ( !obj || toString.call( obj ) !== "[object Object]" ) { - return false; - } - - proto = getProto( obj ); - - // Objects with no prototype (e.g., `Object.create( null )`) are plain - if ( !proto ) { - return true; - } - - // Objects with prototype are plain iff they were constructed by a global Object function - Ctor = hasOwn.call( proto, "constructor" ) && proto.constructor; - return typeof Ctor === "function" && fnToString.call( Ctor ) === ObjectFunctionString; - }, - - isEmptyObject: function( obj ) { - - /* eslint-disable no-unused-vars */ - // See https://github.com/eslint/eslint/issues/6125 - var name; - - for ( name in obj ) { - return false; - } - return true; - }, - - type: function( obj ) { - if ( obj == null ) { - return obj + ""; - } - - // Support: Android <=2.3 only (functionish RegExp) - return typeof obj === "object" || typeof obj === "function" ? - class2type[ toString.call( obj ) ] || "object" : - typeof obj; - }, - - // Evaluates a script in a global context - globalEval: function( code ) { - DOMEval( code ); - }, - - // Convert dashed to camelCase; used by the css and data modules - // Support: IE <=9 - 11, Edge 12 - 13 - // Microsoft forgot to hump their vendor prefix (#9572) - camelCase: function( string ) { - return string.replace( rmsPrefix, "ms-" ).replace( rdashAlpha, fcamelCase ); - }, - - each: function( obj, callback ) { - var length, i = 0; - - if ( isArrayLike( obj ) ) { - length = obj.length; - for ( ; i < length; i++ ) { - if ( callback.call( obj[ i ], i, obj[ i ] ) === false ) { - break; - } - } - } else { - for ( i in obj ) { - if ( callback.call( obj[ i ], i, obj[ i ] ) === false ) { - break; - } - } - } - - return obj; - }, - - // Support: Android <=4.0 only - trim: function( text ) { - return text == null ? - "" : - ( text + "" ).replace( rtrim, "" ); - }, - - // results is for internal usage only - makeArray: function( arr, results ) { - var ret = results || []; - - if ( arr != null ) { - if ( isArrayLike( Object( arr ) ) ) { - jQuery.merge( ret, - typeof arr === "string" ? - [ arr ] : arr - ); - } else { - push.call( ret, arr ); - } - } - - return ret; - }, - - inArray: function( elem, arr, i ) { - return arr == null ? -1 : indexOf.call( arr, elem, i ); - }, - - // Support: Android <=4.0 only, PhantomJS 1 only - // push.apply(_, arraylike) throws on ancient WebKit - merge: function( first, second ) { - var len = +second.length, - j = 0, - i = first.length; - - for ( ; j < len; j++ ) { - first[ i++ ] = second[ j ]; - } - - first.length = i; - - return first; - }, - - grep: function( elems, callback, invert ) { - var callbackInverse, - matches = [], - i = 0, - length = elems.length, - callbackExpect = !invert; - - // Go through the array, only saving the items - // that pass the validator function - for ( ; i < length; i++ ) { - callbackInverse = !callback( elems[ i ], i ); - if ( callbackInverse !== callbackExpect ) { - matches.push( elems[ i ] ); - } - } - - return matches; - }, - - // arg is for internal usage only - map: function( elems, callback, arg ) { - var length, value, - i = 0, - ret = []; - - // Go through the array, translating each of the items to their new values - if ( isArrayLike( elems ) ) { - length = elems.length; - for ( ; i < length; i++ ) { - value = callback( elems[ i ], i, arg ); - - if ( value != null ) { - ret.push( value ); - } - } - - // Go through every key on the object, - } else { - for ( i in elems ) { - value = callback( elems[ i ], i, arg ); - - if ( value != null ) { - ret.push( value ); - } - } - } - - // Flatten any nested arrays - return concat.apply( [], ret ); - }, - - // A global GUID counter for objects - guid: 1, - - // Bind a function to a context, optionally partially applying any - // arguments. - proxy: function( fn, context ) { - var tmp, args, proxy; - - if ( typeof context === "string" ) { - tmp = fn[ context ]; - context = fn; - fn = tmp; - } - - // Quick check to determine if target is callable, in the spec - // this throws a TypeError, but we will just return undefined. - if ( !jQuery.isFunction( fn ) ) { - return undefined; - } - - // Simulated bind - args = slice.call( arguments, 2 ); - proxy = function() { - return fn.apply( context || this, args.concat( slice.call( arguments ) ) ); - }; - - // Set the guid of unique handler to the same of original handler, so it can be removed - proxy.guid = fn.guid = fn.guid || jQuery.guid++; - - return proxy; - }, - - now: Date.now, - - // jQuery.support is not used in Core but other projects attach their - // properties to it so it needs to exist. - support: support -} ); - -if ( typeof Symbol === "function" ) { - jQuery.fn[ Symbol.iterator ] = arr[ Symbol.iterator ]; -} - -// Populate the class2type map -jQuery.each( "Boolean Number String Function Array Date RegExp Object Error Symbol".split( " " ), -function( i, name ) { - class2type[ "[object " + name + "]" ] = name.toLowerCase(); -} ); - -function isArrayLike( obj ) { - - // Support: real iOS 8.2 only (not reproducible in simulator) - // `in` check used to prevent JIT error (gh-2145) - // hasOwn isn't used here due to false negatives - // regarding Nodelist length in IE - var length = !!obj && "length" in obj && obj.length, - type = jQuery.type( obj ); - - if ( type === "function" || jQuery.isWindow( obj ) ) { - return false; - } - - return type === "array" || length === 0 || - typeof length === "number" && length > 0 && ( length - 1 ) in obj; -} -var Sizzle = -/*! - * Sizzle CSS Selector Engine v2.3.3 - * https://sizzlejs.com/ - * - * Copyright jQuery Foundation and other contributors - * Released under the MIT license - * http://jquery.org/license - * - * Date: 2016-08-08 - */ -(function( window ) { - -var i, - support, - Expr, - getText, - isXML, - tokenize, - compile, - select, - outermostContext, - sortInput, - hasDuplicate, - - // Local document vars - setDocument, - document, - docElem, - documentIsHTML, - rbuggyQSA, - rbuggyMatches, - matches, - contains, - - // Instance-specific data - expando = "sizzle" + 1 * new Date(), - preferredDoc = window.document, - dirruns = 0, - done = 0, - classCache = createCache(), - tokenCache = createCache(), - compilerCache = createCache(), - sortOrder = function( a, b ) { - if ( a === b ) { - hasDuplicate = true; - } - return 0; - }, - - // Instance methods - hasOwn = ({}).hasOwnProperty, - arr = [], - pop = arr.pop, - push_native = arr.push, - push = arr.push, - slice = arr.slice, - // Use a stripped-down indexOf as it's faster than native - // https://jsperf.com/thor-indexof-vs-for/5 - indexOf = function( list, elem ) { - var i = 0, - len = list.length; - for ( ; i < len; i++ ) { - if ( list[i] === elem ) { - return i; - } - } - return -1; - }, - - booleans = "checked|selected|async|autofocus|autoplay|controls|defer|disabled|hidden|ismap|loop|multiple|open|readonly|required|scoped", - - // Regular expressions - - // http://www.w3.org/TR/css3-selectors/#whitespace - whitespace = "[\\x20\\t\\r\\n\\f]", - - // http://www.w3.org/TR/CSS21/syndata.html#value-def-identifier - identifier = "(?:\\\\.|[\\w-]|[^\0-\\xa0])+", - - // Attribute selectors: http://www.w3.org/TR/selectors/#attribute-selectors - attributes = "\\[" + whitespace + "*(" + identifier + ")(?:" + whitespace + - // Operator (capture 2) - "*([*^$|!~]?=)" + whitespace + - // "Attribute values must be CSS identifiers [capture 5] or strings [capture 3 or capture 4]" - "*(?:'((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\"|(" + identifier + "))|)" + whitespace + - "*\\]", - - pseudos = ":(" + identifier + ")(?:\\((" + - // To reduce the number of selectors needing tokenize in the preFilter, prefer arguments: - // 1. quoted (capture 3; capture 4 or capture 5) - "('((?:\\\\.|[^\\\\'])*)'|\"((?:\\\\.|[^\\\\\"])*)\")|" + - // 2. simple (capture 6) - "((?:\\\\.|[^\\\\()[\\]]|" + attributes + ")*)|" + - // 3. anything else (capture 2) - ".*" + - ")\\)|)", - - // Leading and non-escaped trailing whitespace, capturing some non-whitespace characters preceding the latter - rwhitespace = new RegExp( whitespace + "+", "g" ), - rtrim = new RegExp( "^" + whitespace + "+|((?:^|[^\\\\])(?:\\\\.)*)" + whitespace + "+$", "g" ), - - rcomma = new RegExp( "^" + whitespace + "*," + whitespace + "*" ), - rcombinators = new RegExp( "^" + whitespace + "*([>+~]|" + whitespace + ")" + whitespace + "*" ), - - rattributeQuotes = new RegExp( "=" + whitespace + "*([^\\]'\"]*?)" + whitespace + "*\\]", "g" ), - - rpseudo = new RegExp( pseudos ), - ridentifier = new RegExp( "^" + identifier + "$" ), - - matchExpr = { - "ID": new RegExp( "^#(" + identifier + ")" ), - "CLASS": new RegExp( "^\\.(" + identifier + ")" ), - "TAG": new RegExp( "^(" + identifier + "|[*])" ), - "ATTR": new RegExp( "^" + attributes ), - "PSEUDO": new RegExp( "^" + pseudos ), - "CHILD": new RegExp( "^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\(" + whitespace + - "*(even|odd|(([+-]|)(\\d*)n|)" + whitespace + "*(?:([+-]|)" + whitespace + - "*(\\d+)|))" + whitespace + "*\\)|)", "i" ), - "bool": new RegExp( "^(?:" + booleans + ")$", "i" ), - // For use in libraries implementing .is() - // We use this for POS matching in `select` - "needsContext": new RegExp( "^" + whitespace + "*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\(" + - whitespace + "*((?:-\\d)?\\d*)" + whitespace + "*\\)|)(?=[^-]|$)", "i" ) - }, - - rinputs = /^(?:input|select|textarea|button)$/i, - rheader = /^h\d$/i, - - rnative = /^[^{]+\{\s*\[native \w/, - - // Easily-parseable/retrievable ID or TAG or CLASS selectors - rquickExpr = /^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/, - - rsibling = /[+~]/, - - // CSS escapes - // http://www.w3.org/TR/CSS21/syndata.html#escaped-characters - runescape = new RegExp( "\\\\([\\da-f]{1,6}" + whitespace + "?|(" + whitespace + ")|.)", "ig" ), - funescape = function( _, escaped, escapedWhitespace ) { - var high = "0x" + escaped - 0x10000; - // NaN means non-codepoint - // Support: Firefox<24 - // Workaround erroneous numeric interpretation of +"0x" - return high !== high || escapedWhitespace ? - escaped : - high < 0 ? - // BMP codepoint - String.fromCharCode( high + 0x10000 ) : - // Supplemental Plane codepoint (surrogate pair) - String.fromCharCode( high >> 10 | 0xD800, high & 0x3FF | 0xDC00 ); - }, - - // CSS string/identifier serialization - // https://drafts.csswg.org/cssom/#common-serializing-idioms - rcssescape = /([\0-\x1f\x7f]|^-?\d)|^-$|[^\0-\x1f\x7f-\uFFFF\w-]/g, - fcssescape = function( ch, asCodePoint ) { - if ( asCodePoint ) { - - // U+0000 NULL becomes U+FFFD REPLACEMENT CHARACTER - if ( ch === "\0" ) { - return "\uFFFD"; - } - - // Control characters and (dependent upon position) numbers get escaped as code points - return ch.slice( 0, -1 ) + "\\" + ch.charCodeAt( ch.length - 1 ).toString( 16 ) + " "; - } - - // Other potentially-special ASCII characters get backslash-escaped - return "\\" + ch; - }, - - // Used for iframes - // See setDocument() - // Removing the function wrapper causes a "Permission Denied" - // error in IE - unloadHandler = function() { - setDocument(); - }, - - disabledAncestor = addCombinator( - function( elem ) { - return elem.disabled === true && ("form" in elem || "label" in elem); - }, - { dir: "parentNode", next: "legend" } - ); - -// Optimize for push.apply( _, NodeList ) -try { - push.apply( - (arr = slice.call( preferredDoc.childNodes )), - preferredDoc.childNodes - ); - // Support: Android<4.0 - // Detect silently failing push.apply - arr[ preferredDoc.childNodes.length ].nodeType; -} catch ( e ) { - push = { apply: arr.length ? - - // Leverage slice if possible - function( target, els ) { - push_native.apply( target, slice.call(els) ); - } : - - // Support: IE<9 - // Otherwise append directly - function( target, els ) { - var j = target.length, - i = 0; - // Can't trust NodeList.length - while ( (target[j++] = els[i++]) ) {} - target.length = j - 1; - } - }; -} - -function Sizzle( selector, context, results, seed ) { - var m, i, elem, nid, match, groups, newSelector, - newContext = context && context.ownerDocument, - - // nodeType defaults to 9, since context defaults to document - nodeType = context ? context.nodeType : 9; - - results = results || []; - - // Return early from calls with invalid selector or context - if ( typeof selector !== "string" || !selector || - nodeType !== 1 && nodeType !== 9 && nodeType !== 11 ) { - - return results; - } - - // Try to shortcut find operations (as opposed to filters) in HTML documents - if ( !seed ) { - - if ( ( context ? context.ownerDocument || context : preferredDoc ) !== document ) { - setDocument( context ); - } - context = context || document; - - if ( documentIsHTML ) { - - // If the selector is sufficiently simple, try using a "get*By*" DOM method - // (excepting DocumentFragment context, where the methods don't exist) - if ( nodeType !== 11 && (match = rquickExpr.exec( selector )) ) { - - // ID selector - if ( (m = match[1]) ) { - - // Document context - if ( nodeType === 9 ) { - if ( (elem = context.getElementById( m )) ) { - - // Support: IE, Opera, Webkit - // TODO: identify versions - // getElementById can match elements by name instead of ID - if ( elem.id === m ) { - results.push( elem ); - return results; - } - } else { - return results; - } - - // Element context - } else { - - // Support: IE, Opera, Webkit - // TODO: identify versions - // getElementById can match elements by name instead of ID - if ( newContext && (elem = newContext.getElementById( m )) && - contains( context, elem ) && - elem.id === m ) { - - results.push( elem ); - return results; - } - } - - // Type selector - } else if ( match[2] ) { - push.apply( results, context.getElementsByTagName( selector ) ); - return results; - - // Class selector - } else if ( (m = match[3]) && support.getElementsByClassName && - context.getElementsByClassName ) { - - push.apply( results, context.getElementsByClassName( m ) ); - return results; - } - } - - // Take advantage of querySelectorAll - if ( support.qsa && - !compilerCache[ selector + " " ] && - (!rbuggyQSA || !rbuggyQSA.test( selector )) ) { - - if ( nodeType !== 1 ) { - newContext = context; - newSelector = selector; - - // qSA looks outside Element context, which is not what we want - // Thanks to Andrew Dupont for this workaround technique - // Support: IE <=8 - // Exclude object elements - } else if ( context.nodeName.toLowerCase() !== "object" ) { - - // Capture the context ID, setting it first if necessary - if ( (nid = context.getAttribute( "id" )) ) { - nid = nid.replace( rcssescape, fcssescape ); - } else { - context.setAttribute( "id", (nid = expando) ); - } - - // Prefix every selector in the list - groups = tokenize( selector ); - i = groups.length; - while ( i-- ) { - groups[i] = "#" + nid + " " + toSelector( groups[i] ); - } - newSelector = groups.join( "," ); - - // Expand context for sibling selectors - newContext = rsibling.test( selector ) && testContext( context.parentNode ) || - context; - } - - if ( newSelector ) { - try { - push.apply( results, - newContext.querySelectorAll( newSelector ) - ); - return results; - } catch ( qsaError ) { - } finally { - if ( nid === expando ) { - context.removeAttribute( "id" ); - } - } - } - } - } - } - - // All others - return select( selector.replace( rtrim, "$1" ), context, results, seed ); -} - -/** - * Create key-value caches of limited size - * @returns {function(string, object)} Returns the Object data after storing it on itself with - * property name the (space-suffixed) string and (if the cache is larger than Expr.cacheLength) - * deleting the oldest entry - */ -function createCache() { - var keys = []; - - function cache( key, value ) { - // Use (key + " ") to avoid collision with native prototype properties (see Issue #157) - if ( keys.push( key + " " ) > Expr.cacheLength ) { - // Only keep the most recent entries - delete cache[ keys.shift() ]; - } - return (cache[ key + " " ] = value); - } - return cache; -} - -/** - * Mark a function for special use by Sizzle - * @param {Function} fn The function to mark - */ -function markFunction( fn ) { - fn[ expando ] = true; - return fn; -} - -/** - * Support testing using an element - * @param {Function} fn Passed the created element and returns a boolean result - */ -function assert( fn ) { - var el = document.createElement("fieldset"); - - try { - return !!fn( el ); - } catch (e) { - return false; - } finally { - // Remove from its parent by default - if ( el.parentNode ) { - el.parentNode.removeChild( el ); - } - // release memory in IE - el = null; - } -} - -/** - * Adds the same handler for all of the specified attrs - * @param {String} attrs Pipe-separated list of attributes - * @param {Function} handler The method that will be applied - */ -function addHandle( attrs, handler ) { - var arr = attrs.split("|"), - i = arr.length; - - while ( i-- ) { - Expr.attrHandle[ arr[i] ] = handler; - } -} - -/** - * Checks document order of two siblings - * @param {Element} a - * @param {Element} b - * @returns {Number} Returns less than 0 if a precedes b, greater than 0 if a follows b - */ -function siblingCheck( a, b ) { - var cur = b && a, - diff = cur && a.nodeType === 1 && b.nodeType === 1 && - a.sourceIndex - b.sourceIndex; - - // Use IE sourceIndex if available on both nodes - if ( diff ) { - return diff; - } - - // Check if b follows a - if ( cur ) { - while ( (cur = cur.nextSibling) ) { - if ( cur === b ) { - return -1; - } - } - } - - return a ? 1 : -1; -} - -/** - * Returns a function to use in pseudos for input types - * @param {String} type - */ -function createInputPseudo( type ) { - return function( elem ) { - var name = elem.nodeName.toLowerCase(); - return name === "input" && elem.type === type; - }; -} - -/** - * Returns a function to use in pseudos for buttons - * @param {String} type - */ -function createButtonPseudo( type ) { - return function( elem ) { - var name = elem.nodeName.toLowerCase(); - return (name === "input" || name === "button") && elem.type === type; - }; -} - -/** - * Returns a function to use in pseudos for :enabled/:disabled - * @param {Boolean} disabled true for :disabled; false for :enabled - */ -function createDisabledPseudo( disabled ) { - - // Known :disabled false positives: fieldset[disabled] > legend:nth-of-type(n+2) :can-disable - return function( elem ) { - - // Only certain elements can match :enabled or :disabled - // https://html.spec.whatwg.org/multipage/scripting.html#selector-enabled - // https://html.spec.whatwg.org/multipage/scripting.html#selector-disabled - if ( "form" in elem ) { - - // Check for inherited disabledness on relevant non-disabled elements: - // * listed form-associated elements in a disabled fieldset - // https://html.spec.whatwg.org/multipage/forms.html#category-listed - // https://html.spec.whatwg.org/multipage/forms.html#concept-fe-disabled - // * option elements in a disabled optgroup - // https://html.spec.whatwg.org/multipage/forms.html#concept-option-disabled - // All such elements have a "form" property. - if ( elem.parentNode && elem.disabled === false ) { - - // Option elements defer to a parent optgroup if present - if ( "label" in elem ) { - if ( "label" in elem.parentNode ) { - return elem.parentNode.disabled === disabled; - } else { - return elem.disabled === disabled; - } - } - - // Support: IE 6 - 11 - // Use the isDisabled shortcut property to check for disabled fieldset ancestors - return elem.isDisabled === disabled || - - // Where there is no isDisabled, check manually - /* jshint -W018 */ - elem.isDisabled !== !disabled && - disabledAncestor( elem ) === disabled; - } - - return elem.disabled === disabled; - - // Try to winnow out elements that can't be disabled before trusting the disabled property. - // Some victims get caught in our net (label, legend, menu, track), but it shouldn't - // even exist on them, let alone have a boolean value. - } else if ( "label" in elem ) { - return elem.disabled === disabled; - } - - // Remaining elements are neither :enabled nor :disabled - return false; - }; -} - -/** - * Returns a function to use in pseudos for positionals - * @param {Function} fn - */ -function createPositionalPseudo( fn ) { - return markFunction(function( argument ) { - argument = +argument; - return markFunction(function( seed, matches ) { - var j, - matchIndexes = fn( [], seed.length, argument ), - i = matchIndexes.length; - - // Match elements found at the specified indexes - while ( i-- ) { - if ( seed[ (j = matchIndexes[i]) ] ) { - seed[j] = !(matches[j] = seed[j]); - } - } - }); - }); -} - -/** - * Checks a node for validity as a Sizzle context - * @param {Element|Object=} context - * @returns {Element|Object|Boolean} The input node if acceptable, otherwise a falsy value - */ -function testContext( context ) { - return context && typeof context.getElementsByTagName !== "undefined" && context; -} - -// Expose support vars for convenience -support = Sizzle.support = {}; - -/** - * Detects XML nodes - * @param {Element|Object} elem An element or a document - * @returns {Boolean} True iff elem is a non-HTML XML node - */ -isXML = Sizzle.isXML = function( elem ) { - // documentElement is verified for cases where it doesn't yet exist - // (such as loading iframes in IE - #4833) - var documentElement = elem && (elem.ownerDocument || elem).documentElement; - return documentElement ? documentElement.nodeName !== "HTML" : false; -}; - -/** - * Sets document-related variables once based on the current document - * @param {Element|Object} [doc] An element or document object to use to set the document - * @returns {Object} Returns the current document - */ -setDocument = Sizzle.setDocument = function( node ) { - var hasCompare, subWindow, - doc = node ? node.ownerDocument || node : preferredDoc; - - // Return early if doc is invalid or already selected - if ( doc === document || doc.nodeType !== 9 || !doc.documentElement ) { - return document; - } - - // Update global variables - document = doc; - docElem = document.documentElement; - documentIsHTML = !isXML( document ); - - // Support: IE 9-11, Edge - // Accessing iframe documents after unload throws "permission denied" errors (jQuery #13936) - if ( preferredDoc !== document && - (subWindow = document.defaultView) && subWindow.top !== subWindow ) { - - // Support: IE 11, Edge - if ( subWindow.addEventListener ) { - subWindow.addEventListener( "unload", unloadHandler, false ); - - // Support: IE 9 - 10 only - } else if ( subWindow.attachEvent ) { - subWindow.attachEvent( "onunload", unloadHandler ); - } - } - - /* Attributes - ---------------------------------------------------------------------- */ - - // Support: IE<8 - // Verify that getAttribute really returns attributes and not properties - // (excepting IE8 booleans) - support.attributes = assert(function( el ) { - el.className = "i"; - return !el.getAttribute("className"); - }); - - /* getElement(s)By* - ---------------------------------------------------------------------- */ - - // Check if getElementsByTagName("*") returns only elements - support.getElementsByTagName = assert(function( el ) { - el.appendChild( document.createComment("") ); - return !el.getElementsByTagName("*").length; - }); - - // Support: IE<9 - support.getElementsByClassName = rnative.test( document.getElementsByClassName ); - - // Support: IE<10 - // Check if getElementById returns elements by name - // The broken getElementById methods don't pick up programmatically-set names, - // so use a roundabout getElementsByName test - support.getById = assert(function( el ) { - docElem.appendChild( el ).id = expando; - return !document.getElementsByName || !document.getElementsByName( expando ).length; - }); - - // ID filter and find - if ( support.getById ) { - Expr.filter["ID"] = function( id ) { - var attrId = id.replace( runescape, funescape ); - return function( elem ) { - return elem.getAttribute("id") === attrId; - }; - }; - Expr.find["ID"] = function( id, context ) { - if ( typeof context.getElementById !== "undefined" && documentIsHTML ) { - var elem = context.getElementById( id ); - return elem ? [ elem ] : []; - } - }; - } else { - Expr.filter["ID"] = function( id ) { - var attrId = id.replace( runescape, funescape ); - return function( elem ) { - var node = typeof elem.getAttributeNode !== "undefined" && - elem.getAttributeNode("id"); - return node && node.value === attrId; - }; - }; - - // Support: IE 6 - 7 only - // getElementById is not reliable as a find shortcut - Expr.find["ID"] = function( id, context ) { - if ( typeof context.getElementById !== "undefined" && documentIsHTML ) { - var node, i, elems, - elem = context.getElementById( id ); - - if ( elem ) { - - // Verify the id attribute - node = elem.getAttributeNode("id"); - if ( node && node.value === id ) { - return [ elem ]; - } - - // Fall back on getElementsByName - elems = context.getElementsByName( id ); - i = 0; - while ( (elem = elems[i++]) ) { - node = elem.getAttributeNode("id"); - if ( node && node.value === id ) { - return [ elem ]; - } - } - } - - return []; - } - }; - } - - // Tag - Expr.find["TAG"] = support.getElementsByTagName ? - function( tag, context ) { - if ( typeof context.getElementsByTagName !== "undefined" ) { - return context.getElementsByTagName( tag ); - - // DocumentFragment nodes don't have gEBTN - } else if ( support.qsa ) { - return context.querySelectorAll( tag ); - } - } : - - function( tag, context ) { - var elem, - tmp = [], - i = 0, - // By happy coincidence, a (broken) gEBTN appears on DocumentFragment nodes too - results = context.getElementsByTagName( tag ); - - // Filter out possible comments - if ( tag === "*" ) { - while ( (elem = results[i++]) ) { - if ( elem.nodeType === 1 ) { - tmp.push( elem ); - } - } - - return tmp; - } - return results; - }; - - // Class - Expr.find["CLASS"] = support.getElementsByClassName && function( className, context ) { - if ( typeof context.getElementsByClassName !== "undefined" && documentIsHTML ) { - return context.getElementsByClassName( className ); - } - }; - - /* QSA/matchesSelector - ---------------------------------------------------------------------- */ - - // QSA and matchesSelector support - - // matchesSelector(:active) reports false when true (IE9/Opera 11.5) - rbuggyMatches = []; - - // qSa(:focus) reports false when true (Chrome 21) - // We allow this because of a bug in IE8/9 that throws an error - // whenever `document.activeElement` is accessed on an iframe - // So, we allow :focus to pass through QSA all the time to avoid the IE error - // See https://bugs.jquery.com/ticket/13378 - rbuggyQSA = []; - - if ( (support.qsa = rnative.test( document.querySelectorAll )) ) { - // Build QSA regex - // Regex strategy adopted from Diego Perini - assert(function( el ) { - // Select is set to empty string on purpose - // This is to test IE's treatment of not explicitly - // setting a boolean content attribute, - // since its presence should be enough - // https://bugs.jquery.com/ticket/12359 - docElem.appendChild( el ).innerHTML = "" + - ""; - - // Support: IE8, Opera 11-12.16 - // Nothing should be selected when empty strings follow ^= or $= or *= - // The test attribute must be unknown in Opera but "safe" for WinRT - // https://msdn.microsoft.com/en-us/library/ie/hh465388.aspx#attribute_section - if ( el.querySelectorAll("[msallowcapture^='']").length ) { - rbuggyQSA.push( "[*^$]=" + whitespace + "*(?:''|\"\")" ); - } - - // Support: IE8 - // Boolean attributes and "value" are not treated correctly - if ( !el.querySelectorAll("[selected]").length ) { - rbuggyQSA.push( "\\[" + whitespace + "*(?:value|" + booleans + ")" ); - } - - // Support: Chrome<29, Android<4.4, Safari<7.0+, iOS<7.0+, PhantomJS<1.9.8+ - if ( !el.querySelectorAll( "[id~=" + expando + "-]" ).length ) { - rbuggyQSA.push("~="); - } - - // Webkit/Opera - :checked should return selected option elements - // http://www.w3.org/TR/2011/REC-css3-selectors-20110929/#checked - // IE8 throws error here and will not see later tests - if ( !el.querySelectorAll(":checked").length ) { - rbuggyQSA.push(":checked"); - } - - // Support: Safari 8+, iOS 8+ - // https://bugs.webkit.org/show_bug.cgi?id=136851 - // In-page `selector#id sibling-combinator selector` fails - if ( !el.querySelectorAll( "a#" + expando + "+*" ).length ) { - rbuggyQSA.push(".#.+[+~]"); - } - }); - - assert(function( el ) { - el.innerHTML = "" + - ""; - - // Support: Windows 8 Native Apps - // The type and name attributes are restricted during .innerHTML assignment - var input = document.createElement("input"); - input.setAttribute( "type", "hidden" ); - el.appendChild( input ).setAttribute( "name", "D" ); - - // Support: IE8 - // Enforce case-sensitivity of name attribute - if ( el.querySelectorAll("[name=d]").length ) { - rbuggyQSA.push( "name" + whitespace + "*[*^$|!~]?=" ); - } - - // FF 3.5 - :enabled/:disabled and hidden elements (hidden elements are still enabled) - // IE8 throws error here and will not see later tests - if ( el.querySelectorAll(":enabled").length !== 2 ) { - rbuggyQSA.push( ":enabled", ":disabled" ); - } - - // Support: IE9-11+ - // IE's :disabled selector does not pick up the children of disabled fieldsets - docElem.appendChild( el ).disabled = true; - if ( el.querySelectorAll(":disabled").length !== 2 ) { - rbuggyQSA.push( ":enabled", ":disabled" ); - } - - // Opera 10-11 does not throw on post-comma invalid pseudos - el.querySelectorAll("*,:x"); - rbuggyQSA.push(",.*:"); - }); - } - - if ( (support.matchesSelector = rnative.test( (matches = docElem.matches || - docElem.webkitMatchesSelector || - docElem.mozMatchesSelector || - docElem.oMatchesSelector || - docElem.msMatchesSelector) )) ) { - - assert(function( el ) { - // Check to see if it's possible to do matchesSelector - // on a disconnected node (IE 9) - support.disconnectedMatch = matches.call( el, "*" ); - - // This should fail with an exception - // Gecko does not error, returns false instead - matches.call( el, "[s!='']:x" ); - rbuggyMatches.push( "!=", pseudos ); - }); - } - - rbuggyQSA = rbuggyQSA.length && new RegExp( rbuggyQSA.join("|") ); - rbuggyMatches = rbuggyMatches.length && new RegExp( rbuggyMatches.join("|") ); - - /* Contains - ---------------------------------------------------------------------- */ - hasCompare = rnative.test( docElem.compareDocumentPosition ); - - // Element contains another - // Purposefully self-exclusive - // As in, an element does not contain itself - contains = hasCompare || rnative.test( docElem.contains ) ? - function( a, b ) { - var adown = a.nodeType === 9 ? a.documentElement : a, - bup = b && b.parentNode; - return a === bup || !!( bup && bup.nodeType === 1 && ( - adown.contains ? - adown.contains( bup ) : - a.compareDocumentPosition && a.compareDocumentPosition( bup ) & 16 - )); - } : - function( a, b ) { - if ( b ) { - while ( (b = b.parentNode) ) { - if ( b === a ) { - return true; - } - } - } - return false; - }; - - /* Sorting - ---------------------------------------------------------------------- */ - - // Document order sorting - sortOrder = hasCompare ? - function( a, b ) { - - // Flag for duplicate removal - if ( a === b ) { - hasDuplicate = true; - return 0; - } - - // Sort on method existence if only one input has compareDocumentPosition - var compare = !a.compareDocumentPosition - !b.compareDocumentPosition; - if ( compare ) { - return compare; - } - - // Calculate position if both inputs belong to the same document - compare = ( a.ownerDocument || a ) === ( b.ownerDocument || b ) ? - a.compareDocumentPosition( b ) : - - // Otherwise we know they are disconnected - 1; - - // Disconnected nodes - if ( compare & 1 || - (!support.sortDetached && b.compareDocumentPosition( a ) === compare) ) { - - // Choose the first element that is related to our preferred document - if ( a === document || a.ownerDocument === preferredDoc && contains(preferredDoc, a) ) { - return -1; - } - if ( b === document || b.ownerDocument === preferredDoc && contains(preferredDoc, b) ) { - return 1; - } - - // Maintain original order - return sortInput ? - ( indexOf( sortInput, a ) - indexOf( sortInput, b ) ) : - 0; - } - - return compare & 4 ? -1 : 1; - } : - function( a, b ) { - // Exit early if the nodes are identical - if ( a === b ) { - hasDuplicate = true; - return 0; - } - - var cur, - i = 0, - aup = a.parentNode, - bup = b.parentNode, - ap = [ a ], - bp = [ b ]; - - // Parentless nodes are either documents or disconnected - if ( !aup || !bup ) { - return a === document ? -1 : - b === document ? 1 : - aup ? -1 : - bup ? 1 : - sortInput ? - ( indexOf( sortInput, a ) - indexOf( sortInput, b ) ) : - 0; - - // If the nodes are siblings, we can do a quick check - } else if ( aup === bup ) { - return siblingCheck( a, b ); - } - - // Otherwise we need full lists of their ancestors for comparison - cur = a; - while ( (cur = cur.parentNode) ) { - ap.unshift( cur ); - } - cur = b; - while ( (cur = cur.parentNode) ) { - bp.unshift( cur ); - } - - // Walk down the tree looking for a discrepancy - while ( ap[i] === bp[i] ) { - i++; - } - - return i ? - // Do a sibling check if the nodes have a common ancestor - siblingCheck( ap[i], bp[i] ) : - - // Otherwise nodes in our document sort first - ap[i] === preferredDoc ? -1 : - bp[i] === preferredDoc ? 1 : - 0; - }; - - return document; -}; - -Sizzle.matches = function( expr, elements ) { - return Sizzle( expr, null, null, elements ); -}; - -Sizzle.matchesSelector = function( elem, expr ) { - // Set document vars if needed - if ( ( elem.ownerDocument || elem ) !== document ) { - setDocument( elem ); - } - - // Make sure that attribute selectors are quoted - expr = expr.replace( rattributeQuotes, "='$1']" ); - - if ( support.matchesSelector && documentIsHTML && - !compilerCache[ expr + " " ] && - ( !rbuggyMatches || !rbuggyMatches.test( expr ) ) && - ( !rbuggyQSA || !rbuggyQSA.test( expr ) ) ) { - - try { - var ret = matches.call( elem, expr ); - - // IE 9's matchesSelector returns false on disconnected nodes - if ( ret || support.disconnectedMatch || - // As well, disconnected nodes are said to be in a document - // fragment in IE 9 - elem.document && elem.document.nodeType !== 11 ) { - return ret; - } - } catch (e) {} - } - - return Sizzle( expr, document, null, [ elem ] ).length > 0; -}; - -Sizzle.contains = function( context, elem ) { - // Set document vars if needed - if ( ( context.ownerDocument || context ) !== document ) { - setDocument( context ); - } - return contains( context, elem ); -}; - -Sizzle.attr = function( elem, name ) { - // Set document vars if needed - if ( ( elem.ownerDocument || elem ) !== document ) { - setDocument( elem ); - } - - var fn = Expr.attrHandle[ name.toLowerCase() ], - // Don't get fooled by Object.prototype properties (jQuery #13807) - val = fn && hasOwn.call( Expr.attrHandle, name.toLowerCase() ) ? - fn( elem, name, !documentIsHTML ) : - undefined; - - return val !== undefined ? - val : - support.attributes || !documentIsHTML ? - elem.getAttribute( name ) : - (val = elem.getAttributeNode(name)) && val.specified ? - val.value : - null; -}; - -Sizzle.escape = function( sel ) { - return (sel + "").replace( rcssescape, fcssescape ); -}; - -Sizzle.error = function( msg ) { - throw new Error( "Syntax error, unrecognized expression: " + msg ); -}; - -/** - * Document sorting and removing duplicates - * @param {ArrayLike} results - */ -Sizzle.uniqueSort = function( results ) { - var elem, - duplicates = [], - j = 0, - i = 0; - - // Unless we *know* we can detect duplicates, assume their presence - hasDuplicate = !support.detectDuplicates; - sortInput = !support.sortStable && results.slice( 0 ); - results.sort( sortOrder ); - - if ( hasDuplicate ) { - while ( (elem = results[i++]) ) { - if ( elem === results[ i ] ) { - j = duplicates.push( i ); - } - } - while ( j-- ) { - results.splice( duplicates[ j ], 1 ); - } - } - - // Clear input after sorting to release objects - // See https://github.com/jquery/sizzle/pull/225 - sortInput = null; - - return results; -}; - -/** - * Utility function for retrieving the text value of an array of DOM nodes - * @param {Array|Element} elem - */ -getText = Sizzle.getText = function( elem ) { - var node, - ret = "", - i = 0, - nodeType = elem.nodeType; - - if ( !nodeType ) { - // If no nodeType, this is expected to be an array - while ( (node = elem[i++]) ) { - // Do not traverse comment nodes - ret += getText( node ); - } - } else if ( nodeType === 1 || nodeType === 9 || nodeType === 11 ) { - // Use textContent for elements - // innerText usage removed for consistency of new lines (jQuery #11153) - if ( typeof elem.textContent === "string" ) { - return elem.textContent; - } else { - // Traverse its children - for ( elem = elem.firstChild; elem; elem = elem.nextSibling ) { - ret += getText( elem ); - } - } - } else if ( nodeType === 3 || nodeType === 4 ) { - return elem.nodeValue; - } - // Do not include comment or processing instruction nodes - - return ret; -}; - -Expr = Sizzle.selectors = { - - // Can be adjusted by the user - cacheLength: 50, - - createPseudo: markFunction, - - match: matchExpr, - - attrHandle: {}, - - find: {}, - - relative: { - ">": { dir: "parentNode", first: true }, - " ": { dir: "parentNode" }, - "+": { dir: "previousSibling", first: true }, - "~": { dir: "previousSibling" } - }, - - preFilter: { - "ATTR": function( match ) { - match[1] = match[1].replace( runescape, funescape ); - - // Move the given value to match[3] whether quoted or unquoted - match[3] = ( match[3] || match[4] || match[5] || "" ).replace( runescape, funescape ); - - if ( match[2] === "~=" ) { - match[3] = " " + match[3] + " "; - } - - return match.slice( 0, 4 ); - }, - - "CHILD": function( match ) { - /* matches from matchExpr["CHILD"] - 1 type (only|nth|...) - 2 what (child|of-type) - 3 argument (even|odd|\d*|\d*n([+-]\d+)?|...) - 4 xn-component of xn+y argument ([+-]?\d*n|) - 5 sign of xn-component - 6 x of xn-component - 7 sign of y-component - 8 y of y-component - */ - match[1] = match[1].toLowerCase(); - - if ( match[1].slice( 0, 3 ) === "nth" ) { - // nth-* requires argument - if ( !match[3] ) { - Sizzle.error( match[0] ); - } - - // numeric x and y parameters for Expr.filter.CHILD - // remember that false/true cast respectively to 0/1 - match[4] = +( match[4] ? match[5] + (match[6] || 1) : 2 * ( match[3] === "even" || match[3] === "odd" ) ); - match[5] = +( ( match[7] + match[8] ) || match[3] === "odd" ); - - // other types prohibit arguments - } else if ( match[3] ) { - Sizzle.error( match[0] ); - } - - return match; - }, - - "PSEUDO": function( match ) { - var excess, - unquoted = !match[6] && match[2]; - - if ( matchExpr["CHILD"].test( match[0] ) ) { - return null; - } - - // Accept quoted arguments as-is - if ( match[3] ) { - match[2] = match[4] || match[5] || ""; - - // Strip excess characters from unquoted arguments - } else if ( unquoted && rpseudo.test( unquoted ) && - // Get excess from tokenize (recursively) - (excess = tokenize( unquoted, true )) && - // advance to the next closing parenthesis - (excess = unquoted.indexOf( ")", unquoted.length - excess ) - unquoted.length) ) { - - // excess is a negative index - match[0] = match[0].slice( 0, excess ); - match[2] = unquoted.slice( 0, excess ); - } - - // Return only captures needed by the pseudo filter method (type and argument) - return match.slice( 0, 3 ); - } - }, - - filter: { - - "TAG": function( nodeNameSelector ) { - var nodeName = nodeNameSelector.replace( runescape, funescape ).toLowerCase(); - return nodeNameSelector === "*" ? - function() { return true; } : - function( elem ) { - return elem.nodeName && elem.nodeName.toLowerCase() === nodeName; - }; - }, - - "CLASS": function( className ) { - var pattern = classCache[ className + " " ]; - - return pattern || - (pattern = new RegExp( "(^|" + whitespace + ")" + className + "(" + whitespace + "|$)" )) && - classCache( className, function( elem ) { - return pattern.test( typeof elem.className === "string" && elem.className || typeof elem.getAttribute !== "undefined" && elem.getAttribute("class") || "" ); - }); - }, - - "ATTR": function( name, operator, check ) { - return function( elem ) { - var result = Sizzle.attr( elem, name ); - - if ( result == null ) { - return operator === "!="; - } - if ( !operator ) { - return true; - } - - result += ""; - - return operator === "=" ? result === check : - operator === "!=" ? result !== check : - operator === "^=" ? check && result.indexOf( check ) === 0 : - operator === "*=" ? check && result.indexOf( check ) > -1 : - operator === "$=" ? check && result.slice( -check.length ) === check : - operator === "~=" ? ( " " + result.replace( rwhitespace, " " ) + " " ).indexOf( check ) > -1 : - operator === "|=" ? result === check || result.slice( 0, check.length + 1 ) === check + "-" : - false; - }; - }, - - "CHILD": function( type, what, argument, first, last ) { - var simple = type.slice( 0, 3 ) !== "nth", - forward = type.slice( -4 ) !== "last", - ofType = what === "of-type"; - - return first === 1 && last === 0 ? - - // Shortcut for :nth-*(n) - function( elem ) { - return !!elem.parentNode; - } : - - function( elem, context, xml ) { - var cache, uniqueCache, outerCache, node, nodeIndex, start, - dir = simple !== forward ? "nextSibling" : "previousSibling", - parent = elem.parentNode, - name = ofType && elem.nodeName.toLowerCase(), - useCache = !xml && !ofType, - diff = false; - - if ( parent ) { - - // :(first|last|only)-(child|of-type) - if ( simple ) { - while ( dir ) { - node = elem; - while ( (node = node[ dir ]) ) { - if ( ofType ? - node.nodeName.toLowerCase() === name : - node.nodeType === 1 ) { - - return false; - } - } - // Reverse direction for :only-* (if we haven't yet done so) - start = dir = type === "only" && !start && "nextSibling"; - } - return true; - } - - start = [ forward ? parent.firstChild : parent.lastChild ]; - - // non-xml :nth-child(...) stores cache data on `parent` - if ( forward && useCache ) { - - // Seek `elem` from a previously-cached index - - // ...in a gzip-friendly way - node = parent; - outerCache = node[ expando ] || (node[ expando ] = {}); - - // Support: IE <9 only - // Defend against cloned attroperties (jQuery gh-1709) - uniqueCache = outerCache[ node.uniqueID ] || - (outerCache[ node.uniqueID ] = {}); - - cache = uniqueCache[ type ] || []; - nodeIndex = cache[ 0 ] === dirruns && cache[ 1 ]; - diff = nodeIndex && cache[ 2 ]; - node = nodeIndex && parent.childNodes[ nodeIndex ]; - - while ( (node = ++nodeIndex && node && node[ dir ] || - - // Fallback to seeking `elem` from the start - (diff = nodeIndex = 0) || start.pop()) ) { - - // When found, cache indexes on `parent` and break - if ( node.nodeType === 1 && ++diff && node === elem ) { - uniqueCache[ type ] = [ dirruns, nodeIndex, diff ]; - break; - } - } - - } else { - // Use previously-cached element index if available - if ( useCache ) { - // ...in a gzip-friendly way - node = elem; - outerCache = node[ expando ] || (node[ expando ] = {}); - - // Support: IE <9 only - // Defend against cloned attroperties (jQuery gh-1709) - uniqueCache = outerCache[ node.uniqueID ] || - (outerCache[ node.uniqueID ] = {}); - - cache = uniqueCache[ type ] || []; - nodeIndex = cache[ 0 ] === dirruns && cache[ 1 ]; - diff = nodeIndex; - } - - // xml :nth-child(...) - // or :nth-last-child(...) or :nth(-last)?-of-type(...) - if ( diff === false ) { - // Use the same loop as above to seek `elem` from the start - while ( (node = ++nodeIndex && node && node[ dir ] || - (diff = nodeIndex = 0) || start.pop()) ) { - - if ( ( ofType ? - node.nodeName.toLowerCase() === name : - node.nodeType === 1 ) && - ++diff ) { - - // Cache the index of each encountered element - if ( useCache ) { - outerCache = node[ expando ] || (node[ expando ] = {}); - - // Support: IE <9 only - // Defend against cloned attroperties (jQuery gh-1709) - uniqueCache = outerCache[ node.uniqueID ] || - (outerCache[ node.uniqueID ] = {}); - - uniqueCache[ type ] = [ dirruns, diff ]; - } - - if ( node === elem ) { - break; - } - } - } - } - } - - // Incorporate the offset, then check against cycle size - diff -= last; - return diff === first || ( diff % first === 0 && diff / first >= 0 ); - } - }; - }, - - "PSEUDO": function( pseudo, argument ) { - // pseudo-class names are case-insensitive - // http://www.w3.org/TR/selectors/#pseudo-classes - // Prioritize by case sensitivity in case custom pseudos are added with uppercase letters - // Remember that setFilters inherits from pseudos - var args, - fn = Expr.pseudos[ pseudo ] || Expr.setFilters[ pseudo.toLowerCase() ] || - Sizzle.error( "unsupported pseudo: " + pseudo ); - - // The user may use createPseudo to indicate that - // arguments are needed to create the filter function - // just as Sizzle does - if ( fn[ expando ] ) { - return fn( argument ); - } - - // But maintain support for old signatures - if ( fn.length > 1 ) { - args = [ pseudo, pseudo, "", argument ]; - return Expr.setFilters.hasOwnProperty( pseudo.toLowerCase() ) ? - markFunction(function( seed, matches ) { - var idx, - matched = fn( seed, argument ), - i = matched.length; - while ( i-- ) { - idx = indexOf( seed, matched[i] ); - seed[ idx ] = !( matches[ idx ] = matched[i] ); - } - }) : - function( elem ) { - return fn( elem, 0, args ); - }; - } - - return fn; - } - }, - - pseudos: { - // Potentially complex pseudos - "not": markFunction(function( selector ) { - // Trim the selector passed to compile - // to avoid treating leading and trailing - // spaces as combinators - var input = [], - results = [], - matcher = compile( selector.replace( rtrim, "$1" ) ); - - return matcher[ expando ] ? - markFunction(function( seed, matches, context, xml ) { - var elem, - unmatched = matcher( seed, null, xml, [] ), - i = seed.length; - - // Match elements unmatched by `matcher` - while ( i-- ) { - if ( (elem = unmatched[i]) ) { - seed[i] = !(matches[i] = elem); - } - } - }) : - function( elem, context, xml ) { - input[0] = elem; - matcher( input, null, xml, results ); - // Don't keep the element (issue #299) - input[0] = null; - return !results.pop(); - }; - }), - - "has": markFunction(function( selector ) { - return function( elem ) { - return Sizzle( selector, elem ).length > 0; - }; - }), - - "contains": markFunction(function( text ) { - text = text.replace( runescape, funescape ); - return function( elem ) { - return ( elem.textContent || elem.innerText || getText( elem ) ).indexOf( text ) > -1; - }; - }), - - // "Whether an element is represented by a :lang() selector - // is based solely on the element's language value - // being equal to the identifier C, - // or beginning with the identifier C immediately followed by "-". - // The matching of C against the element's language value is performed case-insensitively. - // The identifier C does not have to be a valid language name." - // http://www.w3.org/TR/selectors/#lang-pseudo - "lang": markFunction( function( lang ) { - // lang value must be a valid identifier - if ( !ridentifier.test(lang || "") ) { - Sizzle.error( "unsupported lang: " + lang ); - } - lang = lang.replace( runescape, funescape ).toLowerCase(); - return function( elem ) { - var elemLang; - do { - if ( (elemLang = documentIsHTML ? - elem.lang : - elem.getAttribute("xml:lang") || elem.getAttribute("lang")) ) { - - elemLang = elemLang.toLowerCase(); - return elemLang === lang || elemLang.indexOf( lang + "-" ) === 0; - } - } while ( (elem = elem.parentNode) && elem.nodeType === 1 ); - return false; - }; - }), - - // Miscellaneous - "target": function( elem ) { - var hash = window.location && window.location.hash; - return hash && hash.slice( 1 ) === elem.id; - }, - - "root": function( elem ) { - return elem === docElem; - }, - - "focus": function( elem ) { - return elem === document.activeElement && (!document.hasFocus || document.hasFocus()) && !!(elem.type || elem.href || ~elem.tabIndex); - }, - - // Boolean properties - "enabled": createDisabledPseudo( false ), - "disabled": createDisabledPseudo( true ), - - "checked": function( elem ) { - // In CSS3, :checked should return both checked and selected elements - // http://www.w3.org/TR/2011/REC-css3-selectors-20110929/#checked - var nodeName = elem.nodeName.toLowerCase(); - return (nodeName === "input" && !!elem.checked) || (nodeName === "option" && !!elem.selected); - }, - - "selected": function( elem ) { - // Accessing this property makes selected-by-default - // options in Safari work properly - if ( elem.parentNode ) { - elem.parentNode.selectedIndex; - } - - return elem.selected === true; - }, - - // Contents - "empty": function( elem ) { - // http://www.w3.org/TR/selectors/#empty-pseudo - // :empty is negated by element (1) or content nodes (text: 3; cdata: 4; entity ref: 5), - // but not by others (comment: 8; processing instruction: 7; etc.) - // nodeType < 6 works because attributes (2) do not appear as children - for ( elem = elem.firstChild; elem; elem = elem.nextSibling ) { - if ( elem.nodeType < 6 ) { - return false; - } - } - return true; - }, - - "parent": function( elem ) { - return !Expr.pseudos["empty"]( elem ); - }, - - // Element/input types - "header": function( elem ) { - return rheader.test( elem.nodeName ); - }, - - "input": function( elem ) { - return rinputs.test( elem.nodeName ); - }, - - "button": function( elem ) { - var name = elem.nodeName.toLowerCase(); - return name === "input" && elem.type === "button" || name === "button"; - }, - - "text": function( elem ) { - var attr; - return elem.nodeName.toLowerCase() === "input" && - elem.type === "text" && - - // Support: IE<8 - // New HTML5 attribute values (e.g., "search") appear with elem.type === "text" - ( (attr = elem.getAttribute("type")) == null || attr.toLowerCase() === "text" ); - }, - - // Position-in-collection - "first": createPositionalPseudo(function() { - return [ 0 ]; - }), - - "last": createPositionalPseudo(function( matchIndexes, length ) { - return [ length - 1 ]; - }), - - "eq": createPositionalPseudo(function( matchIndexes, length, argument ) { - return [ argument < 0 ? argument + length : argument ]; - }), - - "even": createPositionalPseudo(function( matchIndexes, length ) { - var i = 0; - for ( ; i < length; i += 2 ) { - matchIndexes.push( i ); - } - return matchIndexes; - }), - - "odd": createPositionalPseudo(function( matchIndexes, length ) { - var i = 1; - for ( ; i < length; i += 2 ) { - matchIndexes.push( i ); - } - return matchIndexes; - }), - - "lt": createPositionalPseudo(function( matchIndexes, length, argument ) { - var i = argument < 0 ? argument + length : argument; - for ( ; --i >= 0; ) { - matchIndexes.push( i ); - } - return matchIndexes; - }), - - "gt": createPositionalPseudo(function( matchIndexes, length, argument ) { - var i = argument < 0 ? argument + length : argument; - for ( ; ++i < length; ) { - matchIndexes.push( i ); - } - return matchIndexes; - }) - } -}; - -Expr.pseudos["nth"] = Expr.pseudos["eq"]; - -// Add button/input type pseudos -for ( i in { radio: true, checkbox: true, file: true, password: true, image: true } ) { - Expr.pseudos[ i ] = createInputPseudo( i ); -} -for ( i in { submit: true, reset: true } ) { - Expr.pseudos[ i ] = createButtonPseudo( i ); -} - -// Easy API for creating new setFilters -function setFilters() {} -setFilters.prototype = Expr.filters = Expr.pseudos; -Expr.setFilters = new setFilters(); - -tokenize = Sizzle.tokenize = function( selector, parseOnly ) { - var matched, match, tokens, type, - soFar, groups, preFilters, - cached = tokenCache[ selector + " " ]; - - if ( cached ) { - return parseOnly ? 0 : cached.slice( 0 ); - } - - soFar = selector; - groups = []; - preFilters = Expr.preFilter; - - while ( soFar ) { - - // Comma and first run - if ( !matched || (match = rcomma.exec( soFar )) ) { - if ( match ) { - // Don't consume trailing commas as valid - soFar = soFar.slice( match[0].length ) || soFar; - } - groups.push( (tokens = []) ); - } - - matched = false; - - // Combinators - if ( (match = rcombinators.exec( soFar )) ) { - matched = match.shift(); - tokens.push({ - value: matched, - // Cast descendant combinators to space - type: match[0].replace( rtrim, " " ) - }); - soFar = soFar.slice( matched.length ); - } - - // Filters - for ( type in Expr.filter ) { - if ( (match = matchExpr[ type ].exec( soFar )) && (!preFilters[ type ] || - (match = preFilters[ type ]( match ))) ) { - matched = match.shift(); - tokens.push({ - value: matched, - type: type, - matches: match - }); - soFar = soFar.slice( matched.length ); - } - } - - if ( !matched ) { - break; - } - } - - // Return the length of the invalid excess - // if we're just parsing - // Otherwise, throw an error or return tokens - return parseOnly ? - soFar.length : - soFar ? - Sizzle.error( selector ) : - // Cache the tokens - tokenCache( selector, groups ).slice( 0 ); -}; - -function toSelector( tokens ) { - var i = 0, - len = tokens.length, - selector = ""; - for ( ; i < len; i++ ) { - selector += tokens[i].value; - } - return selector; -} - -function addCombinator( matcher, combinator, base ) { - var dir = combinator.dir, - skip = combinator.next, - key = skip || dir, - checkNonElements = base && key === "parentNode", - doneName = done++; - - return combinator.first ? - // Check against closest ancestor/preceding element - function( elem, context, xml ) { - while ( (elem = elem[ dir ]) ) { - if ( elem.nodeType === 1 || checkNonElements ) { - return matcher( elem, context, xml ); - } - } - return false; - } : - - // Check against all ancestor/preceding elements - function( elem, context, xml ) { - var oldCache, uniqueCache, outerCache, - newCache = [ dirruns, doneName ]; - - // We can't set arbitrary data on XML nodes, so they don't benefit from combinator caching - if ( xml ) { - while ( (elem = elem[ dir ]) ) { - if ( elem.nodeType === 1 || checkNonElements ) { - if ( matcher( elem, context, xml ) ) { - return true; - } - } - } - } else { - while ( (elem = elem[ dir ]) ) { - if ( elem.nodeType === 1 || checkNonElements ) { - outerCache = elem[ expando ] || (elem[ expando ] = {}); - - // Support: IE <9 only - // Defend against cloned attroperties (jQuery gh-1709) - uniqueCache = outerCache[ elem.uniqueID ] || (outerCache[ elem.uniqueID ] = {}); - - if ( skip && skip === elem.nodeName.toLowerCase() ) { - elem = elem[ dir ] || elem; - } else if ( (oldCache = uniqueCache[ key ]) && - oldCache[ 0 ] === dirruns && oldCache[ 1 ] === doneName ) { - - // Assign to newCache so results back-propagate to previous elements - return (newCache[ 2 ] = oldCache[ 2 ]); - } else { - // Reuse newcache so results back-propagate to previous elements - uniqueCache[ key ] = newCache; - - // A match means we're done; a fail means we have to keep checking - if ( (newCache[ 2 ] = matcher( elem, context, xml )) ) { - return true; - } - } - } - } - } - return false; - }; -} - -function elementMatcher( matchers ) { - return matchers.length > 1 ? - function( elem, context, xml ) { - var i = matchers.length; - while ( i-- ) { - if ( !matchers[i]( elem, context, xml ) ) { - return false; - } - } - return true; - } : - matchers[0]; -} - -function multipleContexts( selector, contexts, results ) { - var i = 0, - len = contexts.length; - for ( ; i < len; i++ ) { - Sizzle( selector, contexts[i], results ); - } - return results; -} - -function condense( unmatched, map, filter, context, xml ) { - var elem, - newUnmatched = [], - i = 0, - len = unmatched.length, - mapped = map != null; - - for ( ; i < len; i++ ) { - if ( (elem = unmatched[i]) ) { - if ( !filter || filter( elem, context, xml ) ) { - newUnmatched.push( elem ); - if ( mapped ) { - map.push( i ); - } - } - } - } - - return newUnmatched; -} - -function setMatcher( preFilter, selector, matcher, postFilter, postFinder, postSelector ) { - if ( postFilter && !postFilter[ expando ] ) { - postFilter = setMatcher( postFilter ); - } - if ( postFinder && !postFinder[ expando ] ) { - postFinder = setMatcher( postFinder, postSelector ); - } - return markFunction(function( seed, results, context, xml ) { - var temp, i, elem, - preMap = [], - postMap = [], - preexisting = results.length, - - // Get initial elements from seed or context - elems = seed || multipleContexts( selector || "*", context.nodeType ? [ context ] : context, [] ), - - // Prefilter to get matcher input, preserving a map for seed-results synchronization - matcherIn = preFilter && ( seed || !selector ) ? - condense( elems, preMap, preFilter, context, xml ) : - elems, - - matcherOut = matcher ? - // If we have a postFinder, or filtered seed, or non-seed postFilter or preexisting results, - postFinder || ( seed ? preFilter : preexisting || postFilter ) ? - - // ...intermediate processing is necessary - [] : - - // ...otherwise use results directly - results : - matcherIn; - - // Find primary matches - if ( matcher ) { - matcher( matcherIn, matcherOut, context, xml ); - } - - // Apply postFilter - if ( postFilter ) { - temp = condense( matcherOut, postMap ); - postFilter( temp, [], context, xml ); - - // Un-match failing elements by moving them back to matcherIn - i = temp.length; - while ( i-- ) { - if ( (elem = temp[i]) ) { - matcherOut[ postMap[i] ] = !(matcherIn[ postMap[i] ] = elem); - } - } - } - - if ( seed ) { - if ( postFinder || preFilter ) { - if ( postFinder ) { - // Get the final matcherOut by condensing this intermediate into postFinder contexts - temp = []; - i = matcherOut.length; - while ( i-- ) { - if ( (elem = matcherOut[i]) ) { - // Restore matcherIn since elem is not yet a final match - temp.push( (matcherIn[i] = elem) ); - } - } - postFinder( null, (matcherOut = []), temp, xml ); - } - - // Move matched elements from seed to results to keep them synchronized - i = matcherOut.length; - while ( i-- ) { - if ( (elem = matcherOut[i]) && - (temp = postFinder ? indexOf( seed, elem ) : preMap[i]) > -1 ) { - - seed[temp] = !(results[temp] = elem); - } - } - } - - // Add elements to results, through postFinder if defined - } else { - matcherOut = condense( - matcherOut === results ? - matcherOut.splice( preexisting, matcherOut.length ) : - matcherOut - ); - if ( postFinder ) { - postFinder( null, results, matcherOut, xml ); - } else { - push.apply( results, matcherOut ); - } - } - }); -} - -function matcherFromTokens( tokens ) { - var checkContext, matcher, j, - len = tokens.length, - leadingRelative = Expr.relative[ tokens[0].type ], - implicitRelative = leadingRelative || Expr.relative[" "], - i = leadingRelative ? 1 : 0, - - // The foundational matcher ensures that elements are reachable from top-level context(s) - matchContext = addCombinator( function( elem ) { - return elem === checkContext; - }, implicitRelative, true ), - matchAnyContext = addCombinator( function( elem ) { - return indexOf( checkContext, elem ) > -1; - }, implicitRelative, true ), - matchers = [ function( elem, context, xml ) { - var ret = ( !leadingRelative && ( xml || context !== outermostContext ) ) || ( - (checkContext = context).nodeType ? - matchContext( elem, context, xml ) : - matchAnyContext( elem, context, xml ) ); - // Avoid hanging onto element (issue #299) - checkContext = null; - return ret; - } ]; - - for ( ; i < len; i++ ) { - if ( (matcher = Expr.relative[ tokens[i].type ]) ) { - matchers = [ addCombinator(elementMatcher( matchers ), matcher) ]; - } else { - matcher = Expr.filter[ tokens[i].type ].apply( null, tokens[i].matches ); - - // Return special upon seeing a positional matcher - if ( matcher[ expando ] ) { - // Find the next relative operator (if any) for proper handling - j = ++i; - for ( ; j < len; j++ ) { - if ( Expr.relative[ tokens[j].type ] ) { - break; - } - } - return setMatcher( - i > 1 && elementMatcher( matchers ), - i > 1 && toSelector( - // If the preceding token was a descendant combinator, insert an implicit any-element `*` - tokens.slice( 0, i - 1 ).concat({ value: tokens[ i - 2 ].type === " " ? "*" : "" }) - ).replace( rtrim, "$1" ), - matcher, - i < j && matcherFromTokens( tokens.slice( i, j ) ), - j < len && matcherFromTokens( (tokens = tokens.slice( j )) ), - j < len && toSelector( tokens ) - ); - } - matchers.push( matcher ); - } - } - - return elementMatcher( matchers ); -} - -function matcherFromGroupMatchers( elementMatchers, setMatchers ) { - var bySet = setMatchers.length > 0, - byElement = elementMatchers.length > 0, - superMatcher = function( seed, context, xml, results, outermost ) { - var elem, j, matcher, - matchedCount = 0, - i = "0", - unmatched = seed && [], - setMatched = [], - contextBackup = outermostContext, - // We must always have either seed elements or outermost context - elems = seed || byElement && Expr.find["TAG"]( "*", outermost ), - // Use integer dirruns iff this is the outermost matcher - dirrunsUnique = (dirruns += contextBackup == null ? 1 : Math.random() || 0.1), - len = elems.length; - - if ( outermost ) { - outermostContext = context === document || context || outermost; - } - - // Add elements passing elementMatchers directly to results - // Support: IE<9, Safari - // Tolerate NodeList properties (IE: "length"; Safari: ) matching elements by id - for ( ; i !== len && (elem = elems[i]) != null; i++ ) { - if ( byElement && elem ) { - j = 0; - if ( !context && elem.ownerDocument !== document ) { - setDocument( elem ); - xml = !documentIsHTML; - } - while ( (matcher = elementMatchers[j++]) ) { - if ( matcher( elem, context || document, xml) ) { - results.push( elem ); - break; - } - } - if ( outermost ) { - dirruns = dirrunsUnique; - } - } - - // Track unmatched elements for set filters - if ( bySet ) { - // They will have gone through all possible matchers - if ( (elem = !matcher && elem) ) { - matchedCount--; - } - - // Lengthen the array for every element, matched or not - if ( seed ) { - unmatched.push( elem ); - } - } - } - - // `i` is now the count of elements visited above, and adding it to `matchedCount` - // makes the latter nonnegative. - matchedCount += i; - - // Apply set filters to unmatched elements - // NOTE: This can be skipped if there are no unmatched elements (i.e., `matchedCount` - // equals `i`), unless we didn't visit _any_ elements in the above loop because we have - // no element matchers and no seed. - // Incrementing an initially-string "0" `i` allows `i` to remain a string only in that - // case, which will result in a "00" `matchedCount` that differs from `i` but is also - // numerically zero. - if ( bySet && i !== matchedCount ) { - j = 0; - while ( (matcher = setMatchers[j++]) ) { - matcher( unmatched, setMatched, context, xml ); - } - - if ( seed ) { - // Reintegrate element matches to eliminate the need for sorting - if ( matchedCount > 0 ) { - while ( i-- ) { - if ( !(unmatched[i] || setMatched[i]) ) { - setMatched[i] = pop.call( results ); - } - } - } - - // Discard index placeholder values to get only actual matches - setMatched = condense( setMatched ); - } - - // Add matches to results - push.apply( results, setMatched ); - - // Seedless set matches succeeding multiple successful matchers stipulate sorting - if ( outermost && !seed && setMatched.length > 0 && - ( matchedCount + setMatchers.length ) > 1 ) { - - Sizzle.uniqueSort( results ); - } - } - - // Override manipulation of globals by nested matchers - if ( outermost ) { - dirruns = dirrunsUnique; - outermostContext = contextBackup; - } - - return unmatched; - }; - - return bySet ? - markFunction( superMatcher ) : - superMatcher; -} - -compile = Sizzle.compile = function( selector, match /* Internal Use Only */ ) { - var i, - setMatchers = [], - elementMatchers = [], - cached = compilerCache[ selector + " " ]; - - if ( !cached ) { - // Generate a function of recursive functions that can be used to check each element - if ( !match ) { - match = tokenize( selector ); - } - i = match.length; - while ( i-- ) { - cached = matcherFromTokens( match[i] ); - if ( cached[ expando ] ) { - setMatchers.push( cached ); - } else { - elementMatchers.push( cached ); - } - } - - // Cache the compiled function - cached = compilerCache( selector, matcherFromGroupMatchers( elementMatchers, setMatchers ) ); - - // Save selector and tokenization - cached.selector = selector; - } - return cached; -}; - -/** - * A low-level selection function that works with Sizzle's compiled - * selector functions - * @param {String|Function} selector A selector or a pre-compiled - * selector function built with Sizzle.compile - * @param {Element} context - * @param {Array} [results] - * @param {Array} [seed] A set of elements to match against - */ -select = Sizzle.select = function( selector, context, results, seed ) { - var i, tokens, token, type, find, - compiled = typeof selector === "function" && selector, - match = !seed && tokenize( (selector = compiled.selector || selector) ); - - results = results || []; - - // Try to minimize operations if there is only one selector in the list and no seed - // (the latter of which guarantees us context) - if ( match.length === 1 ) { - - // Reduce context if the leading compound selector is an ID - tokens = match[0] = match[0].slice( 0 ); - if ( tokens.length > 2 && (token = tokens[0]).type === "ID" && - context.nodeType === 9 && documentIsHTML && Expr.relative[ tokens[1].type ] ) { - - context = ( Expr.find["ID"]( token.matches[0].replace(runescape, funescape), context ) || [] )[0]; - if ( !context ) { - return results; - - // Precompiled matchers will still verify ancestry, so step up a level - } else if ( compiled ) { - context = context.parentNode; - } - - selector = selector.slice( tokens.shift().value.length ); - } - - // Fetch a seed set for right-to-left matching - i = matchExpr["needsContext"].test( selector ) ? 0 : tokens.length; - while ( i-- ) { - token = tokens[i]; - - // Abort if we hit a combinator - if ( Expr.relative[ (type = token.type) ] ) { - break; - } - if ( (find = Expr.find[ type ]) ) { - // Search, expanding context for leading sibling combinators - if ( (seed = find( - token.matches[0].replace( runescape, funescape ), - rsibling.test( tokens[0].type ) && testContext( context.parentNode ) || context - )) ) { - - // If seed is empty or no tokens remain, we can return early - tokens.splice( i, 1 ); - selector = seed.length && toSelector( tokens ); - if ( !selector ) { - push.apply( results, seed ); - return results; - } - - break; - } - } - } - } - - // Compile and execute a filtering function if one is not provided - // Provide `match` to avoid retokenization if we modified the selector above - ( compiled || compile( selector, match ) )( - seed, - context, - !documentIsHTML, - results, - !context || rsibling.test( selector ) && testContext( context.parentNode ) || context - ); - return results; -}; - -// One-time assignments - -// Sort stability -support.sortStable = expando.split("").sort( sortOrder ).join("") === expando; - -// Support: Chrome 14-35+ -// Always assume duplicates if they aren't passed to the comparison function -support.detectDuplicates = !!hasDuplicate; - -// Initialize against the default document -setDocument(); - -// Support: Webkit<537.32 - Safari 6.0.3/Chrome 25 (fixed in Chrome 27) -// Detached nodes confoundingly follow *each other* -support.sortDetached = assert(function( el ) { - // Should return 1, but returns 4 (following) - return el.compareDocumentPosition( document.createElement("fieldset") ) & 1; -}); - -// Support: IE<8 -// Prevent attribute/property "interpolation" -// https://msdn.microsoft.com/en-us/library/ms536429%28VS.85%29.aspx -if ( !assert(function( el ) { - el.innerHTML = ""; - return el.firstChild.getAttribute("href") === "#" ; -}) ) { - addHandle( "type|href|height|width", function( elem, name, isXML ) { - if ( !isXML ) { - return elem.getAttribute( name, name.toLowerCase() === "type" ? 1 : 2 ); - } - }); -} - -// Support: IE<9 -// Use defaultValue in place of getAttribute("value") -if ( !support.attributes || !assert(function( el ) { - el.innerHTML = ""; - el.firstChild.setAttribute( "value", "" ); - return el.firstChild.getAttribute( "value" ) === ""; -}) ) { - addHandle( "value", function( elem, name, isXML ) { - if ( !isXML && elem.nodeName.toLowerCase() === "input" ) { - return elem.defaultValue; - } - }); -} - -// Support: IE<9 -// Use getAttributeNode to fetch booleans when getAttribute lies -if ( !assert(function( el ) { - return el.getAttribute("disabled") == null; -}) ) { - addHandle( booleans, function( elem, name, isXML ) { - var val; - if ( !isXML ) { - return elem[ name ] === true ? name.toLowerCase() : - (val = elem.getAttributeNode( name )) && val.specified ? - val.value : - null; - } - }); -} - -return Sizzle; - -})( window ); - - - -jQuery.find = Sizzle; -jQuery.expr = Sizzle.selectors; - -// Deprecated -jQuery.expr[ ":" ] = jQuery.expr.pseudos; -jQuery.uniqueSort = jQuery.unique = Sizzle.uniqueSort; -jQuery.text = Sizzle.getText; -jQuery.isXMLDoc = Sizzle.isXML; -jQuery.contains = Sizzle.contains; -jQuery.escapeSelector = Sizzle.escape; - - - - -var dir = function( elem, dir, until ) { - var matched = [], - truncate = until !== undefined; - - while ( ( elem = elem[ dir ] ) && elem.nodeType !== 9 ) { - if ( elem.nodeType === 1 ) { - if ( truncate && jQuery( elem ).is( until ) ) { - break; - } - matched.push( elem ); - } - } - return matched; -}; - - -var siblings = function( n, elem ) { - var matched = []; - - for ( ; n; n = n.nextSibling ) { - if ( n.nodeType === 1 && n !== elem ) { - matched.push( n ); - } - } - - return matched; -}; - - -var rneedsContext = jQuery.expr.match.needsContext; - - - -function nodeName( elem, name ) { - - return elem.nodeName && elem.nodeName.toLowerCase() === name.toLowerCase(); - -}; -var rsingleTag = ( /^<([a-z][^\/\0>:\x20\t\r\n\f]*)[\x20\t\r\n\f]*\/?>(?:<\/\1>|)$/i ); - - - -var risSimple = /^.[^:#\[\.,]*$/; - -// Implement the identical functionality for filter and not -function winnow( elements, qualifier, not ) { - if ( jQuery.isFunction( qualifier ) ) { - return jQuery.grep( elements, function( elem, i ) { - return !!qualifier.call( elem, i, elem ) !== not; - } ); - } - - // Single element - if ( qualifier.nodeType ) { - return jQuery.grep( elements, function( elem ) { - return ( elem === qualifier ) !== not; - } ); - } - - // Arraylike of elements (jQuery, arguments, Array) - if ( typeof qualifier !== "string" ) { - return jQuery.grep( elements, function( elem ) { - return ( indexOf.call( qualifier, elem ) > -1 ) !== not; - } ); - } - - // Simple selector that can be filtered directly, removing non-Elements - if ( risSimple.test( qualifier ) ) { - return jQuery.filter( qualifier, elements, not ); - } - - // Complex selector, compare the two sets, removing non-Elements - qualifier = jQuery.filter( qualifier, elements ); - return jQuery.grep( elements, function( elem ) { - return ( indexOf.call( qualifier, elem ) > -1 ) !== not && elem.nodeType === 1; - } ); -} - -jQuery.filter = function( expr, elems, not ) { - var elem = elems[ 0 ]; - - if ( not ) { - expr = ":not(" + expr + ")"; - } - - if ( elems.length === 1 && elem.nodeType === 1 ) { - return jQuery.find.matchesSelector( elem, expr ) ? [ elem ] : []; - } - - return jQuery.find.matches( expr, jQuery.grep( elems, function( elem ) { - return elem.nodeType === 1; - } ) ); -}; - -jQuery.fn.extend( { - find: function( selector ) { - var i, ret, - len = this.length, - self = this; - - if ( typeof selector !== "string" ) { - return this.pushStack( jQuery( selector ).filter( function() { - for ( i = 0; i < len; i++ ) { - if ( jQuery.contains( self[ i ], this ) ) { - return true; - } - } - } ) ); - } - - ret = this.pushStack( [] ); - - for ( i = 0; i < len; i++ ) { - jQuery.find( selector, self[ i ], ret ); - } - - return len > 1 ? jQuery.uniqueSort( ret ) : ret; - }, - filter: function( selector ) { - return this.pushStack( winnow( this, selector || [], false ) ); - }, - not: function( selector ) { - return this.pushStack( winnow( this, selector || [], true ) ); - }, - is: function( selector ) { - return !!winnow( - this, - - // If this is a positional/relative selector, check membership in the returned set - // so $("p:first").is("p:last") won't return true for a doc with two "p". - typeof selector === "string" && rneedsContext.test( selector ) ? - jQuery( selector ) : - selector || [], - false - ).length; - } -} ); - - -// Initialize a jQuery object - - -// A central reference to the root jQuery(document) -var rootjQuery, - - // A simple way to check for HTML strings - // Prioritize #id over to avoid XSS via location.hash (#9521) - // Strict HTML recognition (#11290: must start with <) - // Shortcut simple #id case for speed - rquickExpr = /^(?:\s*(<[\w\W]+>)[^>]*|#([\w-]+))$/, - - init = jQuery.fn.init = function( selector, context, root ) { - var match, elem; - - // HANDLE: $(""), $(null), $(undefined), $(false) - if ( !selector ) { - return this; - } - - // Method init() accepts an alternate rootjQuery - // so migrate can support jQuery.sub (gh-2101) - root = root || rootjQuery; - - // Handle HTML strings - if ( typeof selector === "string" ) { - if ( selector[ 0 ] === "<" && - selector[ selector.length - 1 ] === ">" && - selector.length >= 3 ) { - - // Assume that strings that start and end with <> are HTML and skip the regex check - match = [ null, selector, null ]; - - } else { - match = rquickExpr.exec( selector ); - } - - // Match html or make sure no context is specified for #id - if ( match && ( match[ 1 ] || !context ) ) { - - // HANDLE: $(html) -> $(array) - if ( match[ 1 ] ) { - context = context instanceof jQuery ? context[ 0 ] : context; - - // Option to run scripts is true for back-compat - // Intentionally let the error be thrown if parseHTML is not present - jQuery.merge( this, jQuery.parseHTML( - match[ 1 ], - context && context.nodeType ? context.ownerDocument || context : document, - true - ) ); - - // HANDLE: $(html, props) - if ( rsingleTag.test( match[ 1 ] ) && jQuery.isPlainObject( context ) ) { - for ( match in context ) { - - // Properties of context are called as methods if possible - if ( jQuery.isFunction( this[ match ] ) ) { - this[ match ]( context[ match ] ); - - // ...and otherwise set as attributes - } else { - this.attr( match, context[ match ] ); - } - } - } - - return this; - - // HANDLE: $(#id) - } else { - elem = document.getElementById( match[ 2 ] ); - - if ( elem ) { - - // Inject the element directly into the jQuery object - this[ 0 ] = elem; - this.length = 1; - } - return this; - } - - // HANDLE: $(expr, $(...)) - } else if ( !context || context.jquery ) { - return ( context || root ).find( selector ); - - // HANDLE: $(expr, context) - // (which is just equivalent to: $(context).find(expr) - } else { - return this.constructor( context ).find( selector ); - } - - // HANDLE: $(DOMElement) - } else if ( selector.nodeType ) { - this[ 0 ] = selector; - this.length = 1; - return this; - - // HANDLE: $(function) - // Shortcut for document ready - } else if ( jQuery.isFunction( selector ) ) { - return root.ready !== undefined ? - root.ready( selector ) : - - // Execute immediately if ready is not present - selector( jQuery ); - } - - return jQuery.makeArray( selector, this ); - }; - -// Give the init function the jQuery prototype for later instantiation -init.prototype = jQuery.fn; - -// Initialize central reference -rootjQuery = jQuery( document ); - - -var rparentsprev = /^(?:parents|prev(?:Until|All))/, - - // Methods guaranteed to produce a unique set when starting from a unique set - guaranteedUnique = { - children: true, - contents: true, - next: true, - prev: true - }; - -jQuery.fn.extend( { - has: function( target ) { - var targets = jQuery( target, this ), - l = targets.length; - - return this.filter( function() { - var i = 0; - for ( ; i < l; i++ ) { - if ( jQuery.contains( this, targets[ i ] ) ) { - return true; - } - } - } ); - }, - - closest: function( selectors, context ) { - var cur, - i = 0, - l = this.length, - matched = [], - targets = typeof selectors !== "string" && jQuery( selectors ); - - // Positional selectors never match, since there's no _selection_ context - if ( !rneedsContext.test( selectors ) ) { - for ( ; i < l; i++ ) { - for ( cur = this[ i ]; cur && cur !== context; cur = cur.parentNode ) { - - // Always skip document fragments - if ( cur.nodeType < 11 && ( targets ? - targets.index( cur ) > -1 : - - // Don't pass non-elements to Sizzle - cur.nodeType === 1 && - jQuery.find.matchesSelector( cur, selectors ) ) ) { - - matched.push( cur ); - break; - } - } - } - } - - return this.pushStack( matched.length > 1 ? jQuery.uniqueSort( matched ) : matched ); - }, - - // Determine the position of an element within the set - index: function( elem ) { - - // No argument, return index in parent - if ( !elem ) { - return ( this[ 0 ] && this[ 0 ].parentNode ) ? this.first().prevAll().length : -1; - } - - // Index in selector - if ( typeof elem === "string" ) { - return indexOf.call( jQuery( elem ), this[ 0 ] ); - } - - // Locate the position of the desired element - return indexOf.call( this, - - // If it receives a jQuery object, the first element is used - elem.jquery ? elem[ 0 ] : elem - ); - }, - - add: function( selector, context ) { - return this.pushStack( - jQuery.uniqueSort( - jQuery.merge( this.get(), jQuery( selector, context ) ) - ) - ); - }, - - addBack: function( selector ) { - return this.add( selector == null ? - this.prevObject : this.prevObject.filter( selector ) - ); - } -} ); - -function sibling( cur, dir ) { - while ( ( cur = cur[ dir ] ) && cur.nodeType !== 1 ) {} - return cur; -} - -jQuery.each( { - parent: function( elem ) { - var parent = elem.parentNode; - return parent && parent.nodeType !== 11 ? parent : null; - }, - parents: function( elem ) { - return dir( elem, "parentNode" ); - }, - parentsUntil: function( elem, i, until ) { - return dir( elem, "parentNode", until ); - }, - next: function( elem ) { - return sibling( elem, "nextSibling" ); - }, - prev: function( elem ) { - return sibling( elem, "previousSibling" ); - }, - nextAll: function( elem ) { - return dir( elem, "nextSibling" ); - }, - prevAll: function( elem ) { - return dir( elem, "previousSibling" ); - }, - nextUntil: function( elem, i, until ) { - return dir( elem, "nextSibling", until ); - }, - prevUntil: function( elem, i, until ) { - return dir( elem, "previousSibling", until ); - }, - siblings: function( elem ) { - return siblings( ( elem.parentNode || {} ).firstChild, elem ); - }, - children: function( elem ) { - return siblings( elem.firstChild ); - }, - contents: function( elem ) { - if ( nodeName( elem, "iframe" ) ) { - return elem.contentDocument; - } - - // Support: IE 9 - 11 only, iOS 7 only, Android Browser <=4.3 only - // Treat the template element as a regular one in browsers that - // don't support it. - if ( nodeName( elem, "template" ) ) { - elem = elem.content || elem; - } - - return jQuery.merge( [], elem.childNodes ); - } -}, function( name, fn ) { - jQuery.fn[ name ] = function( until, selector ) { - var matched = jQuery.map( this, fn, until ); - - if ( name.slice( -5 ) !== "Until" ) { - selector = until; - } - - if ( selector && typeof selector === "string" ) { - matched = jQuery.filter( selector, matched ); - } - - if ( this.length > 1 ) { - - // Remove duplicates - if ( !guaranteedUnique[ name ] ) { - jQuery.uniqueSort( matched ); - } - - // Reverse order for parents* and prev-derivatives - if ( rparentsprev.test( name ) ) { - matched.reverse(); - } - } - - return this.pushStack( matched ); - }; -} ); -var rnothtmlwhite = ( /[^\x20\t\r\n\f]+/g ); - - - -// Convert String-formatted options into Object-formatted ones -function createOptions( options ) { - var object = {}; - jQuery.each( options.match( rnothtmlwhite ) || [], function( _, flag ) { - object[ flag ] = true; - } ); - return object; -} - -/* - * Create a callback list using the following parameters: - * - * options: an optional list of space-separated options that will change how - * the callback list behaves or a more traditional option object - * - * By default a callback list will act like an event callback list and can be - * "fired" multiple times. - * - * Possible options: - * - * once: will ensure the callback list can only be fired once (like a Deferred) - * - * memory: will keep track of previous values and will call any callback added - * after the list has been fired right away with the latest "memorized" - * values (like a Deferred) - * - * unique: will ensure a callback can only be added once (no duplicate in the list) - * - * stopOnFalse: interrupt callings when a callback returns false - * - */ -jQuery.Callbacks = function( options ) { - - // Convert options from String-formatted to Object-formatted if needed - // (we check in cache first) - options = typeof options === "string" ? - createOptions( options ) : - jQuery.extend( {}, options ); - - var // Flag to know if list is currently firing - firing, - - // Last fire value for non-forgettable lists - memory, - - // Flag to know if list was already fired - fired, - - // Flag to prevent firing - locked, - - // Actual callback list - list = [], - - // Queue of execution data for repeatable lists - queue = [], - - // Index of currently firing callback (modified by add/remove as needed) - firingIndex = -1, - - // Fire callbacks - fire = function() { - - // Enforce single-firing - locked = locked || options.once; - - // Execute callbacks for all pending executions, - // respecting firingIndex overrides and runtime changes - fired = firing = true; - for ( ; queue.length; firingIndex = -1 ) { - memory = queue.shift(); - while ( ++firingIndex < list.length ) { - - // Run callback and check for early termination - if ( list[ firingIndex ].apply( memory[ 0 ], memory[ 1 ] ) === false && - options.stopOnFalse ) { - - // Jump to end and forget the data so .add doesn't re-fire - firingIndex = list.length; - memory = false; - } - } - } - - // Forget the data if we're done with it - if ( !options.memory ) { - memory = false; - } - - firing = false; - - // Clean up if we're done firing for good - if ( locked ) { - - // Keep an empty list if we have data for future add calls - if ( memory ) { - list = []; - - // Otherwise, this object is spent - } else { - list = ""; - } - } - }, - - // Actual Callbacks object - self = { - - // Add a callback or a collection of callbacks to the list - add: function() { - if ( list ) { - - // If we have memory from a past run, we should fire after adding - if ( memory && !firing ) { - firingIndex = list.length - 1; - queue.push( memory ); - } - - ( function add( args ) { - jQuery.each( args, function( _, arg ) { - if ( jQuery.isFunction( arg ) ) { - if ( !options.unique || !self.has( arg ) ) { - list.push( arg ); - } - } else if ( arg && arg.length && jQuery.type( arg ) !== "string" ) { - - // Inspect recursively - add( arg ); - } - } ); - } )( arguments ); - - if ( memory && !firing ) { - fire(); - } - } - return this; - }, - - // Remove a callback from the list - remove: function() { - jQuery.each( arguments, function( _, arg ) { - var index; - while ( ( index = jQuery.inArray( arg, list, index ) ) > -1 ) { - list.splice( index, 1 ); - - // Handle firing indexes - if ( index <= firingIndex ) { - firingIndex--; - } - } - } ); - return this; - }, - - // Check if a given callback is in the list. - // If no argument is given, return whether or not list has callbacks attached. - has: function( fn ) { - return fn ? - jQuery.inArray( fn, list ) > -1 : - list.length > 0; - }, - - // Remove all callbacks from the list - empty: function() { - if ( list ) { - list = []; - } - return this; - }, - - // Disable .fire and .add - // Abort any current/pending executions - // Clear all callbacks and values - disable: function() { - locked = queue = []; - list = memory = ""; - return this; - }, - disabled: function() { - return !list; - }, - - // Disable .fire - // Also disable .add unless we have memory (since it would have no effect) - // Abort any pending executions - lock: function() { - locked = queue = []; - if ( !memory && !firing ) { - list = memory = ""; - } - return this; - }, - locked: function() { - return !!locked; - }, - - // Call all callbacks with the given context and arguments - fireWith: function( context, args ) { - if ( !locked ) { - args = args || []; - args = [ context, args.slice ? args.slice() : args ]; - queue.push( args ); - if ( !firing ) { - fire(); - } - } - return this; - }, - - // Call all the callbacks with the given arguments - fire: function() { - self.fireWith( this, arguments ); - return this; - }, - - // To know if the callbacks have already been called at least once - fired: function() { - return !!fired; - } - }; - - return self; -}; - - -function Identity( v ) { - return v; -} -function Thrower( ex ) { - throw ex; -} - -function adoptValue( value, resolve, reject, noValue ) { - var method; - - try { - - // Check for promise aspect first to privilege synchronous behavior - if ( value && jQuery.isFunction( ( method = value.promise ) ) ) { - method.call( value ).done( resolve ).fail( reject ); - - // Other thenables - } else if ( value && jQuery.isFunction( ( method = value.then ) ) ) { - method.call( value, resolve, reject ); - - // Other non-thenables - } else { - - // Control `resolve` arguments by letting Array#slice cast boolean `noValue` to integer: - // * false: [ value ].slice( 0 ) => resolve( value ) - // * true: [ value ].slice( 1 ) => resolve() - resolve.apply( undefined, [ value ].slice( noValue ) ); - } - - // For Promises/A+, convert exceptions into rejections - // Since jQuery.when doesn't unwrap thenables, we can skip the extra checks appearing in - // Deferred#then to conditionally suppress rejection. - } catch ( value ) { - - // Support: Android 4.0 only - // Strict mode functions invoked without .call/.apply get global-object context - reject.apply( undefined, [ value ] ); - } -} - -jQuery.extend( { - - Deferred: function( func ) { - var tuples = [ - - // action, add listener, callbacks, - // ... .then handlers, argument index, [final state] - [ "notify", "progress", jQuery.Callbacks( "memory" ), - jQuery.Callbacks( "memory" ), 2 ], - [ "resolve", "done", jQuery.Callbacks( "once memory" ), - jQuery.Callbacks( "once memory" ), 0, "resolved" ], - [ "reject", "fail", jQuery.Callbacks( "once memory" ), - jQuery.Callbacks( "once memory" ), 1, "rejected" ] - ], - state = "pending", - promise = { - state: function() { - return state; - }, - always: function() { - deferred.done( arguments ).fail( arguments ); - return this; - }, - "catch": function( fn ) { - return promise.then( null, fn ); - }, - - // Keep pipe for back-compat - pipe: function( /* fnDone, fnFail, fnProgress */ ) { - var fns = arguments; - - return jQuery.Deferred( function( newDefer ) { - jQuery.each( tuples, function( i, tuple ) { - - // Map tuples (progress, done, fail) to arguments (done, fail, progress) - var fn = jQuery.isFunction( fns[ tuple[ 4 ] ] ) && fns[ tuple[ 4 ] ]; - - // deferred.progress(function() { bind to newDefer or newDefer.notify }) - // deferred.done(function() { bind to newDefer or newDefer.resolve }) - // deferred.fail(function() { bind to newDefer or newDefer.reject }) - deferred[ tuple[ 1 ] ]( function() { - var returned = fn && fn.apply( this, arguments ); - if ( returned && jQuery.isFunction( returned.promise ) ) { - returned.promise() - .progress( newDefer.notify ) - .done( newDefer.resolve ) - .fail( newDefer.reject ); - } else { - newDefer[ tuple[ 0 ] + "With" ]( - this, - fn ? [ returned ] : arguments - ); - } - } ); - } ); - fns = null; - } ).promise(); - }, - then: function( onFulfilled, onRejected, onProgress ) { - var maxDepth = 0; - function resolve( depth, deferred, handler, special ) { - return function() { - var that = this, - args = arguments, - mightThrow = function() { - var returned, then; - - // Support: Promises/A+ section 2.3.3.3.3 - // https://promisesaplus.com/#point-59 - // Ignore double-resolution attempts - if ( depth < maxDepth ) { - return; - } - - returned = handler.apply( that, args ); - - // Support: Promises/A+ section 2.3.1 - // https://promisesaplus.com/#point-48 - if ( returned === deferred.promise() ) { - throw new TypeError( "Thenable self-resolution" ); - } - - // Support: Promises/A+ sections 2.3.3.1, 3.5 - // https://promisesaplus.com/#point-54 - // https://promisesaplus.com/#point-75 - // Retrieve `then` only once - then = returned && - - // Support: Promises/A+ section 2.3.4 - // https://promisesaplus.com/#point-64 - // Only check objects and functions for thenability - ( typeof returned === "object" || - typeof returned === "function" ) && - returned.then; - - // Handle a returned thenable - if ( jQuery.isFunction( then ) ) { - - // Special processors (notify) just wait for resolution - if ( special ) { - then.call( - returned, - resolve( maxDepth, deferred, Identity, special ), - resolve( maxDepth, deferred, Thrower, special ) - ); - - // Normal processors (resolve) also hook into progress - } else { - - // ...and disregard older resolution values - maxDepth++; - - then.call( - returned, - resolve( maxDepth, deferred, Identity, special ), - resolve( maxDepth, deferred, Thrower, special ), - resolve( maxDepth, deferred, Identity, - deferred.notifyWith ) - ); - } - - // Handle all other returned values - } else { - - // Only substitute handlers pass on context - // and multiple values (non-spec behavior) - if ( handler !== Identity ) { - that = undefined; - args = [ returned ]; - } - - // Process the value(s) - // Default process is resolve - ( special || deferred.resolveWith )( that, args ); - } - }, - - // Only normal processors (resolve) catch and reject exceptions - process = special ? - mightThrow : - function() { - try { - mightThrow(); - } catch ( e ) { - - if ( jQuery.Deferred.exceptionHook ) { - jQuery.Deferred.exceptionHook( e, - process.stackTrace ); - } - - // Support: Promises/A+ section 2.3.3.3.4.1 - // https://promisesaplus.com/#point-61 - // Ignore post-resolution exceptions - if ( depth + 1 >= maxDepth ) { - - // Only substitute handlers pass on context - // and multiple values (non-spec behavior) - if ( handler !== Thrower ) { - that = undefined; - args = [ e ]; - } - - deferred.rejectWith( that, args ); - } - } - }; - - // Support: Promises/A+ section 2.3.3.3.1 - // https://promisesaplus.com/#point-57 - // Re-resolve promises immediately to dodge false rejection from - // subsequent errors - if ( depth ) { - process(); - } else { - - // Call an optional hook to record the stack, in case of exception - // since it's otherwise lost when execution goes async - if ( jQuery.Deferred.getStackHook ) { - process.stackTrace = jQuery.Deferred.getStackHook(); - } - window.setTimeout( process ); - } - }; - } - - return jQuery.Deferred( function( newDefer ) { - - // progress_handlers.add( ... ) - tuples[ 0 ][ 3 ].add( - resolve( - 0, - newDefer, - jQuery.isFunction( onProgress ) ? - onProgress : - Identity, - newDefer.notifyWith - ) - ); - - // fulfilled_handlers.add( ... ) - tuples[ 1 ][ 3 ].add( - resolve( - 0, - newDefer, - jQuery.isFunction( onFulfilled ) ? - onFulfilled : - Identity - ) - ); - - // rejected_handlers.add( ... ) - tuples[ 2 ][ 3 ].add( - resolve( - 0, - newDefer, - jQuery.isFunction( onRejected ) ? - onRejected : - Thrower - ) - ); - } ).promise(); - }, - - // Get a promise for this deferred - // If obj is provided, the promise aspect is added to the object - promise: function( obj ) { - return obj != null ? jQuery.extend( obj, promise ) : promise; - } - }, - deferred = {}; - - // Add list-specific methods - jQuery.each( tuples, function( i, tuple ) { - var list = tuple[ 2 ], - stateString = tuple[ 5 ]; - - // promise.progress = list.add - // promise.done = list.add - // promise.fail = list.add - promise[ tuple[ 1 ] ] = list.add; - - // Handle state - if ( stateString ) { - list.add( - function() { - - // state = "resolved" (i.e., fulfilled) - // state = "rejected" - state = stateString; - }, - - // rejected_callbacks.disable - // fulfilled_callbacks.disable - tuples[ 3 - i ][ 2 ].disable, - - // progress_callbacks.lock - tuples[ 0 ][ 2 ].lock - ); - } - - // progress_handlers.fire - // fulfilled_handlers.fire - // rejected_handlers.fire - list.add( tuple[ 3 ].fire ); - - // deferred.notify = function() { deferred.notifyWith(...) } - // deferred.resolve = function() { deferred.resolveWith(...) } - // deferred.reject = function() { deferred.rejectWith(...) } - deferred[ tuple[ 0 ] ] = function() { - deferred[ tuple[ 0 ] + "With" ]( this === deferred ? undefined : this, arguments ); - return this; - }; - - // deferred.notifyWith = list.fireWith - // deferred.resolveWith = list.fireWith - // deferred.rejectWith = list.fireWith - deferred[ tuple[ 0 ] + "With" ] = list.fireWith; - } ); - - // Make the deferred a promise - promise.promise( deferred ); - - // Call given func if any - if ( func ) { - func.call( deferred, deferred ); - } - - // All done! - return deferred; - }, - - // Deferred helper - when: function( singleValue ) { - var - - // count of uncompleted subordinates - remaining = arguments.length, - - // count of unprocessed arguments - i = remaining, - - // subordinate fulfillment data - resolveContexts = Array( i ), - resolveValues = slice.call( arguments ), - - // the master Deferred - master = jQuery.Deferred(), - - // subordinate callback factory - updateFunc = function( i ) { - return function( value ) { - resolveContexts[ i ] = this; - resolveValues[ i ] = arguments.length > 1 ? slice.call( arguments ) : value; - if ( !( --remaining ) ) { - master.resolveWith( resolveContexts, resolveValues ); - } - }; - }; - - // Single- and empty arguments are adopted like Promise.resolve - if ( remaining <= 1 ) { - adoptValue( singleValue, master.done( updateFunc( i ) ).resolve, master.reject, - !remaining ); - - // Use .then() to unwrap secondary thenables (cf. gh-3000) - if ( master.state() === "pending" || - jQuery.isFunction( resolveValues[ i ] && resolveValues[ i ].then ) ) { - - return master.then(); - } - } - - // Multiple arguments are aggregated like Promise.all array elements - while ( i-- ) { - adoptValue( resolveValues[ i ], updateFunc( i ), master.reject ); - } - - return master.promise(); - } -} ); - - -// These usually indicate a programmer mistake during development, -// warn about them ASAP rather than swallowing them by default. -var rerrorNames = /^(Eval|Internal|Range|Reference|Syntax|Type|URI)Error$/; - -jQuery.Deferred.exceptionHook = function( error, stack ) { - - // Support: IE 8 - 9 only - // Console exists when dev tools are open, which can happen at any time - if ( window.console && window.console.warn && error && rerrorNames.test( error.name ) ) { - window.console.warn( "jQuery.Deferred exception: " + error.message, error.stack, stack ); - } -}; - - - - -jQuery.readyException = function( error ) { - window.setTimeout( function() { - throw error; - } ); -}; - - - - -// The deferred used on DOM ready -var readyList = jQuery.Deferred(); - -jQuery.fn.ready = function( fn ) { - - readyList - .then( fn ) - - // Wrap jQuery.readyException in a function so that the lookup - // happens at the time of error handling instead of callback - // registration. - .catch( function( error ) { - jQuery.readyException( error ); - } ); - - return this; -}; - -jQuery.extend( { - - // Is the DOM ready to be used? Set to true once it occurs. - isReady: false, - - // A counter to track how many items to wait for before - // the ready event fires. See #6781 - readyWait: 1, - - // Handle when the DOM is ready - ready: function( wait ) { - - // Abort if there are pending holds or we're already ready - if ( wait === true ? --jQuery.readyWait : jQuery.isReady ) { - return; - } - - // Remember that the DOM is ready - jQuery.isReady = true; - - // If a normal DOM Ready event fired, decrement, and wait if need be - if ( wait !== true && --jQuery.readyWait > 0 ) { - return; - } - - // If there are functions bound, to execute - readyList.resolveWith( document, [ jQuery ] ); - } -} ); - -jQuery.ready.then = readyList.then; - -// The ready event handler and self cleanup method -function completed() { - document.removeEventListener( "DOMContentLoaded", completed ); - window.removeEventListener( "load", completed ); - jQuery.ready(); -} - -// Catch cases where $(document).ready() is called -// after the browser event has already occurred. -// Support: IE <=9 - 10 only -// Older IE sometimes signals "interactive" too soon -if ( document.readyState === "complete" || - ( document.readyState !== "loading" && !document.documentElement.doScroll ) ) { - - // Handle it asynchronously to allow scripts the opportunity to delay ready - window.setTimeout( jQuery.ready ); - -} else { - - // Use the handy event callback - document.addEventListener( "DOMContentLoaded", completed ); - - // A fallback to window.onload, that will always work - window.addEventListener( "load", completed ); -} - - - - -// Multifunctional method to get and set values of a collection -// The value/s can optionally be executed if it's a function -var access = function( elems, fn, key, value, chainable, emptyGet, raw ) { - var i = 0, - len = elems.length, - bulk = key == null; - - // Sets many values - if ( jQuery.type( key ) === "object" ) { - chainable = true; - for ( i in key ) { - access( elems, fn, i, key[ i ], true, emptyGet, raw ); - } - - // Sets one value - } else if ( value !== undefined ) { - chainable = true; - - if ( !jQuery.isFunction( value ) ) { - raw = true; - } - - if ( bulk ) { - - // Bulk operations run against the entire set - if ( raw ) { - fn.call( elems, value ); - fn = null; - - // ...except when executing function values - } else { - bulk = fn; - fn = function( elem, key, value ) { - return bulk.call( jQuery( elem ), value ); - }; - } - } - - if ( fn ) { - for ( ; i < len; i++ ) { - fn( - elems[ i ], key, raw ? - value : - value.call( elems[ i ], i, fn( elems[ i ], key ) ) - ); - } - } - } - - if ( chainable ) { - return elems; - } - - // Gets - if ( bulk ) { - return fn.call( elems ); - } - - return len ? fn( elems[ 0 ], key ) : emptyGet; -}; -var acceptData = function( owner ) { - - // Accepts only: - // - Node - // - Node.ELEMENT_NODE - // - Node.DOCUMENT_NODE - // - Object - // - Any - return owner.nodeType === 1 || owner.nodeType === 9 || !( +owner.nodeType ); -}; - - - - -function Data() { - this.expando = jQuery.expando + Data.uid++; -} - -Data.uid = 1; - -Data.prototype = { - - cache: function( owner ) { - - // Check if the owner object already has a cache - var value = owner[ this.expando ]; - - // If not, create one - if ( !value ) { - value = {}; - - // We can accept data for non-element nodes in modern browsers, - // but we should not, see #8335. - // Always return an empty object. - if ( acceptData( owner ) ) { - - // If it is a node unlikely to be stringify-ed or looped over - // use plain assignment - if ( owner.nodeType ) { - owner[ this.expando ] = value; - - // Otherwise secure it in a non-enumerable property - // configurable must be true to allow the property to be - // deleted when data is removed - } else { - Object.defineProperty( owner, this.expando, { - value: value, - configurable: true - } ); - } - } - } - - return value; - }, - set: function( owner, data, value ) { - var prop, - cache = this.cache( owner ); - - // Handle: [ owner, key, value ] args - // Always use camelCase key (gh-2257) - if ( typeof data === "string" ) { - cache[ jQuery.camelCase( data ) ] = value; - - // Handle: [ owner, { properties } ] args - } else { - - // Copy the properties one-by-one to the cache object - for ( prop in data ) { - cache[ jQuery.camelCase( prop ) ] = data[ prop ]; - } - } - return cache; - }, - get: function( owner, key ) { - return key === undefined ? - this.cache( owner ) : - - // Always use camelCase key (gh-2257) - owner[ this.expando ] && owner[ this.expando ][ jQuery.camelCase( key ) ]; - }, - access: function( owner, key, value ) { - - // In cases where either: - // - // 1. No key was specified - // 2. A string key was specified, but no value provided - // - // Take the "read" path and allow the get method to determine - // which value to return, respectively either: - // - // 1. The entire cache object - // 2. The data stored at the key - // - if ( key === undefined || - ( ( key && typeof key === "string" ) && value === undefined ) ) { - - return this.get( owner, key ); - } - - // When the key is not a string, or both a key and value - // are specified, set or extend (existing objects) with either: - // - // 1. An object of properties - // 2. A key and value - // - this.set( owner, key, value ); - - // Since the "set" path can have two possible entry points - // return the expected data based on which path was taken[*] - return value !== undefined ? value : key; - }, - remove: function( owner, key ) { - var i, - cache = owner[ this.expando ]; - - if ( cache === undefined ) { - return; - } - - if ( key !== undefined ) { - - // Support array or space separated string of keys - if ( Array.isArray( key ) ) { - - // If key is an array of keys... - // We always set camelCase keys, so remove that. - key = key.map( jQuery.camelCase ); - } else { - key = jQuery.camelCase( key ); - - // If a key with the spaces exists, use it. - // Otherwise, create an array by matching non-whitespace - key = key in cache ? - [ key ] : - ( key.match( rnothtmlwhite ) || [] ); - } - - i = key.length; - - while ( i-- ) { - delete cache[ key[ i ] ]; - } - } - - // Remove the expando if there's no more data - if ( key === undefined || jQuery.isEmptyObject( cache ) ) { - - // Support: Chrome <=35 - 45 - // Webkit & Blink performance suffers when deleting properties - // from DOM nodes, so set to undefined instead - // https://bugs.chromium.org/p/chromium/issues/detail?id=378607 (bug restricted) - if ( owner.nodeType ) { - owner[ this.expando ] = undefined; - } else { - delete owner[ this.expando ]; - } - } - }, - hasData: function( owner ) { - var cache = owner[ this.expando ]; - return cache !== undefined && !jQuery.isEmptyObject( cache ); - } -}; -var dataPriv = new Data(); - -var dataUser = new Data(); - - - -// Implementation Summary -// -// 1. Enforce API surface and semantic compatibility with 1.9.x branch -// 2. Improve the module's maintainability by reducing the storage -// paths to a single mechanism. -// 3. Use the same single mechanism to support "private" and "user" data. -// 4. _Never_ expose "private" data to user code (TODO: Drop _data, _removeData) -// 5. Avoid exposing implementation details on user objects (eg. expando properties) -// 6. Provide a clear path for implementation upgrade to WeakMap in 2014 - -var rbrace = /^(?:\{[\w\W]*\}|\[[\w\W]*\])$/, - rmultiDash = /[A-Z]/g; - -function getData( data ) { - if ( data === "true" ) { - return true; - } - - if ( data === "false" ) { - return false; - } - - if ( data === "null" ) { - return null; - } - - // Only convert to a number if it doesn't change the string - if ( data === +data + "" ) { - return +data; - } - - if ( rbrace.test( data ) ) { - return JSON.parse( data ); - } - - return data; -} - -function dataAttr( elem, key, data ) { - var name; - - // If nothing was found internally, try to fetch any - // data from the HTML5 data-* attribute - if ( data === undefined && elem.nodeType === 1 ) { - name = "data-" + key.replace( rmultiDash, "-$&" ).toLowerCase(); - data = elem.getAttribute( name ); - - if ( typeof data === "string" ) { - try { - data = getData( data ); - } catch ( e ) {} - - // Make sure we set the data so it isn't changed later - dataUser.set( elem, key, data ); - } else { - data = undefined; - } - } - return data; -} - -jQuery.extend( { - hasData: function( elem ) { - return dataUser.hasData( elem ) || dataPriv.hasData( elem ); - }, - - data: function( elem, name, data ) { - return dataUser.access( elem, name, data ); - }, - - removeData: function( elem, name ) { - dataUser.remove( elem, name ); - }, - - // TODO: Now that all calls to _data and _removeData have been replaced - // with direct calls to dataPriv methods, these can be deprecated. - _data: function( elem, name, data ) { - return dataPriv.access( elem, name, data ); - }, - - _removeData: function( elem, name ) { - dataPriv.remove( elem, name ); - } -} ); - -jQuery.fn.extend( { - data: function( key, value ) { - var i, name, data, - elem = this[ 0 ], - attrs = elem && elem.attributes; - - // Gets all values - if ( key === undefined ) { - if ( this.length ) { - data = dataUser.get( elem ); - - if ( elem.nodeType === 1 && !dataPriv.get( elem, "hasDataAttrs" ) ) { - i = attrs.length; - while ( i-- ) { - - // Support: IE 11 only - // The attrs elements can be null (#14894) - if ( attrs[ i ] ) { - name = attrs[ i ].name; - if ( name.indexOf( "data-" ) === 0 ) { - name = jQuery.camelCase( name.slice( 5 ) ); - dataAttr( elem, name, data[ name ] ); - } - } - } - dataPriv.set( elem, "hasDataAttrs", true ); - } - } - - return data; - } - - // Sets multiple values - if ( typeof key === "object" ) { - return this.each( function() { - dataUser.set( this, key ); - } ); - } - - return access( this, function( value ) { - var data; - - // The calling jQuery object (element matches) is not empty - // (and therefore has an element appears at this[ 0 ]) and the - // `value` parameter was not undefined. An empty jQuery object - // will result in `undefined` for elem = this[ 0 ] which will - // throw an exception if an attempt to read a data cache is made. - if ( elem && value === undefined ) { - - // Attempt to get data from the cache - // The key will always be camelCased in Data - data = dataUser.get( elem, key ); - if ( data !== undefined ) { - return data; - } - - // Attempt to "discover" the data in - // HTML5 custom data-* attrs - data = dataAttr( elem, key ); - if ( data !== undefined ) { - return data; - } - - // We tried really hard, but the data doesn't exist. - return; - } - - // Set the data... - this.each( function() { - - // We always store the camelCased key - dataUser.set( this, key, value ); - } ); - }, null, value, arguments.length > 1, null, true ); - }, - - removeData: function( key ) { - return this.each( function() { - dataUser.remove( this, key ); - } ); - } -} ); - - -jQuery.extend( { - queue: function( elem, type, data ) { - var queue; - - if ( elem ) { - type = ( type || "fx" ) + "queue"; - queue = dataPriv.get( elem, type ); - - // Speed up dequeue by getting out quickly if this is just a lookup - if ( data ) { - if ( !queue || Array.isArray( data ) ) { - queue = dataPriv.access( elem, type, jQuery.makeArray( data ) ); - } else { - queue.push( data ); - } - } - return queue || []; - } - }, - - dequeue: function( elem, type ) { - type = type || "fx"; - - var queue = jQuery.queue( elem, type ), - startLength = queue.length, - fn = queue.shift(), - hooks = jQuery._queueHooks( elem, type ), - next = function() { - jQuery.dequeue( elem, type ); - }; - - // If the fx queue is dequeued, always remove the progress sentinel - if ( fn === "inprogress" ) { - fn = queue.shift(); - startLength--; - } - - if ( fn ) { - - // Add a progress sentinel to prevent the fx queue from being - // automatically dequeued - if ( type === "fx" ) { - queue.unshift( "inprogress" ); - } - - // Clear up the last queue stop function - delete hooks.stop; - fn.call( elem, next, hooks ); - } - - if ( !startLength && hooks ) { - hooks.empty.fire(); - } - }, - - // Not public - generate a queueHooks object, or return the current one - _queueHooks: function( elem, type ) { - var key = type + "queueHooks"; - return dataPriv.get( elem, key ) || dataPriv.access( elem, key, { - empty: jQuery.Callbacks( "once memory" ).add( function() { - dataPriv.remove( elem, [ type + "queue", key ] ); - } ) - } ); - } -} ); - -jQuery.fn.extend( { - queue: function( type, data ) { - var setter = 2; - - if ( typeof type !== "string" ) { - data = type; - type = "fx"; - setter--; - } - - if ( arguments.length < setter ) { - return jQuery.queue( this[ 0 ], type ); - } - - return data === undefined ? - this : - this.each( function() { - var queue = jQuery.queue( this, type, data ); - - // Ensure a hooks for this queue - jQuery._queueHooks( this, type ); - - if ( type === "fx" && queue[ 0 ] !== "inprogress" ) { - jQuery.dequeue( this, type ); - } - } ); - }, - dequeue: function( type ) { - return this.each( function() { - jQuery.dequeue( this, type ); - } ); - }, - clearQueue: function( type ) { - return this.queue( type || "fx", [] ); - }, - - // Get a promise resolved when queues of a certain type - // are emptied (fx is the type by default) - promise: function( type, obj ) { - var tmp, - count = 1, - defer = jQuery.Deferred(), - elements = this, - i = this.length, - resolve = function() { - if ( !( --count ) ) { - defer.resolveWith( elements, [ elements ] ); - } - }; - - if ( typeof type !== "string" ) { - obj = type; - type = undefined; - } - type = type || "fx"; - - while ( i-- ) { - tmp = dataPriv.get( elements[ i ], type + "queueHooks" ); - if ( tmp && tmp.empty ) { - count++; - tmp.empty.add( resolve ); - } - } - resolve(); - return defer.promise( obj ); - } -} ); -var pnum = ( /[+-]?(?:\d*\.|)\d+(?:[eE][+-]?\d+|)/ ).source; - -var rcssNum = new RegExp( "^(?:([+-])=|)(" + pnum + ")([a-z%]*)$", "i" ); - - -var cssExpand = [ "Top", "Right", "Bottom", "Left" ]; - -var isHiddenWithinTree = function( elem, el ) { - - // isHiddenWithinTree might be called from jQuery#filter function; - // in that case, element will be second argument - elem = el || elem; - - // Inline style trumps all - return elem.style.display === "none" || - elem.style.display === "" && - - // Otherwise, check computed style - // Support: Firefox <=43 - 45 - // Disconnected elements can have computed display: none, so first confirm that elem is - // in the document. - jQuery.contains( elem.ownerDocument, elem ) && - - jQuery.css( elem, "display" ) === "none"; - }; - -var swap = function( elem, options, callback, args ) { - var ret, name, - old = {}; - - // Remember the old values, and insert the new ones - for ( name in options ) { - old[ name ] = elem.style[ name ]; - elem.style[ name ] = options[ name ]; - } - - ret = callback.apply( elem, args || [] ); - - // Revert the old values - for ( name in options ) { - elem.style[ name ] = old[ name ]; - } - - return ret; -}; - - - - -function adjustCSS( elem, prop, valueParts, tween ) { - var adjusted, - scale = 1, - maxIterations = 20, - currentValue = tween ? - function() { - return tween.cur(); - } : - function() { - return jQuery.css( elem, prop, "" ); - }, - initial = currentValue(), - unit = valueParts && valueParts[ 3 ] || ( jQuery.cssNumber[ prop ] ? "" : "px" ), - - // Starting value computation is required for potential unit mismatches - initialInUnit = ( jQuery.cssNumber[ prop ] || unit !== "px" && +initial ) && - rcssNum.exec( jQuery.css( elem, prop ) ); - - if ( initialInUnit && initialInUnit[ 3 ] !== unit ) { - - // Trust units reported by jQuery.css - unit = unit || initialInUnit[ 3 ]; - - // Make sure we update the tween properties later on - valueParts = valueParts || []; - - // Iteratively approximate from a nonzero starting point - initialInUnit = +initial || 1; - - do { - - // If previous iteration zeroed out, double until we get *something*. - // Use string for doubling so we don't accidentally see scale as unchanged below - scale = scale || ".5"; - - // Adjust and apply - initialInUnit = initialInUnit / scale; - jQuery.style( elem, prop, initialInUnit + unit ); - - // Update scale, tolerating zero or NaN from tween.cur() - // Break the loop if scale is unchanged or perfect, or if we've just had enough. - } while ( - scale !== ( scale = currentValue() / initial ) && scale !== 1 && --maxIterations - ); - } - - if ( valueParts ) { - initialInUnit = +initialInUnit || +initial || 0; - - // Apply relative offset (+=/-=) if specified - adjusted = valueParts[ 1 ] ? - initialInUnit + ( valueParts[ 1 ] + 1 ) * valueParts[ 2 ] : - +valueParts[ 2 ]; - if ( tween ) { - tween.unit = unit; - tween.start = initialInUnit; - tween.end = adjusted; - } - } - return adjusted; -} - - -var defaultDisplayMap = {}; - -function getDefaultDisplay( elem ) { - var temp, - doc = elem.ownerDocument, - nodeName = elem.nodeName, - display = defaultDisplayMap[ nodeName ]; - - if ( display ) { - return display; - } - - temp = doc.body.appendChild( doc.createElement( nodeName ) ); - display = jQuery.css( temp, "display" ); - - temp.parentNode.removeChild( temp ); - - if ( display === "none" ) { - display = "block"; - } - defaultDisplayMap[ nodeName ] = display; - - return display; -} - -function showHide( elements, show ) { - var display, elem, - values = [], - index = 0, - length = elements.length; - - // Determine new display value for elements that need to change - for ( ; index < length; index++ ) { - elem = elements[ index ]; - if ( !elem.style ) { - continue; - } - - display = elem.style.display; - if ( show ) { - - // Since we force visibility upon cascade-hidden elements, an immediate (and slow) - // check is required in this first loop unless we have a nonempty display value (either - // inline or about-to-be-restored) - if ( display === "none" ) { - values[ index ] = dataPriv.get( elem, "display" ) || null; - if ( !values[ index ] ) { - elem.style.display = ""; - } - } - if ( elem.style.display === "" && isHiddenWithinTree( elem ) ) { - values[ index ] = getDefaultDisplay( elem ); - } - } else { - if ( display !== "none" ) { - values[ index ] = "none"; - - // Remember what we're overwriting - dataPriv.set( elem, "display", display ); - } - } - } - - // Set the display of the elements in a second loop to avoid constant reflow - for ( index = 0; index < length; index++ ) { - if ( values[ index ] != null ) { - elements[ index ].style.display = values[ index ]; - } - } - - return elements; -} - -jQuery.fn.extend( { - show: function() { - return showHide( this, true ); - }, - hide: function() { - return showHide( this ); - }, - toggle: function( state ) { - if ( typeof state === "boolean" ) { - return state ? this.show() : this.hide(); - } - - return this.each( function() { - if ( isHiddenWithinTree( this ) ) { - jQuery( this ).show(); - } else { - jQuery( this ).hide(); - } - } ); - } -} ); -var rcheckableType = ( /^(?:checkbox|radio)$/i ); - -var rtagName = ( /<([a-z][^\/\0>\x20\t\r\n\f]+)/i ); - -var rscriptType = ( /^$|\/(?:java|ecma)script/i ); - - - -// We have to close these tags to support XHTML (#13200) -var wrapMap = { - - // Support: IE <=9 only - option: [ 1, "" ], - - // XHTML parsers do not magically insert elements in the - // same way that tag soup parsers do. So we cannot shorten - // this by omitting or other required elements. - thead: [ 1, "", "
    " ], - col: [ 2, "", "
    " ], - tr: [ 2, "", "
    " ], - td: [ 3, "", "
    " ], - - _default: [ 0, "", "" ] -}; - -// Support: IE <=9 only -wrapMap.optgroup = wrapMap.option; - -wrapMap.tbody = wrapMap.tfoot = wrapMap.colgroup = wrapMap.caption = wrapMap.thead; -wrapMap.th = wrapMap.td; - - -function getAll( context, tag ) { - - // Support: IE <=9 - 11 only - // Use typeof to avoid zero-argument method invocation on host objects (#15151) - var ret; - - if ( typeof context.getElementsByTagName !== "undefined" ) { - ret = context.getElementsByTagName( tag || "*" ); - - } else if ( typeof context.querySelectorAll !== "undefined" ) { - ret = context.querySelectorAll( tag || "*" ); - - } else { - ret = []; - } - - if ( tag === undefined || tag && nodeName( context, tag ) ) { - return jQuery.merge( [ context ], ret ); - } - - return ret; -} - - -// Mark scripts as having already been evaluated -function setGlobalEval( elems, refElements ) { - var i = 0, - l = elems.length; - - for ( ; i < l; i++ ) { - dataPriv.set( - elems[ i ], - "globalEval", - !refElements || dataPriv.get( refElements[ i ], "globalEval" ) - ); - } -} - - -var rhtml = /<|&#?\w+;/; - -function buildFragment( elems, context, scripts, selection, ignored ) { - var elem, tmp, tag, wrap, contains, j, - fragment = context.createDocumentFragment(), - nodes = [], - i = 0, - l = elems.length; - - for ( ; i < l; i++ ) { - elem = elems[ i ]; - - if ( elem || elem === 0 ) { - - // Add nodes directly - if ( jQuery.type( elem ) === "object" ) { - - // Support: Android <=4.0 only, PhantomJS 1 only - // push.apply(_, arraylike) throws on ancient WebKit - jQuery.merge( nodes, elem.nodeType ? [ elem ] : elem ); - - // Convert non-html into a text node - } else if ( !rhtml.test( elem ) ) { - nodes.push( context.createTextNode( elem ) ); - - // Convert html into DOM nodes - } else { - tmp = tmp || fragment.appendChild( context.createElement( "div" ) ); - - // Deserialize a standard representation - tag = ( rtagName.exec( elem ) || [ "", "" ] )[ 1 ].toLowerCase(); - wrap = wrapMap[ tag ] || wrapMap._default; - tmp.innerHTML = wrap[ 1 ] + jQuery.htmlPrefilter( elem ) + wrap[ 2 ]; - - // Descend through wrappers to the right content - j = wrap[ 0 ]; - while ( j-- ) { - tmp = tmp.lastChild; - } - - // Support: Android <=4.0 only, PhantomJS 1 only - // push.apply(_, arraylike) throws on ancient WebKit - jQuery.merge( nodes, tmp.childNodes ); - - // Remember the top-level container - tmp = fragment.firstChild; - - // Ensure the created nodes are orphaned (#12392) - tmp.textContent = ""; - } - } - } - - // Remove wrapper from fragment - fragment.textContent = ""; - - i = 0; - while ( ( elem = nodes[ i++ ] ) ) { - - // Skip elements already in the context collection (trac-4087) - if ( selection && jQuery.inArray( elem, selection ) > -1 ) { - if ( ignored ) { - ignored.push( elem ); - } - continue; - } - - contains = jQuery.contains( elem.ownerDocument, elem ); - - // Append to fragment - tmp = getAll( fragment.appendChild( elem ), "script" ); - - // Preserve script evaluation history - if ( contains ) { - setGlobalEval( tmp ); - } - - // Capture executables - if ( scripts ) { - j = 0; - while ( ( elem = tmp[ j++ ] ) ) { - if ( rscriptType.test( elem.type || "" ) ) { - scripts.push( elem ); - } - } - } - } - - return fragment; -} - - -( function() { - var fragment = document.createDocumentFragment(), - div = fragment.appendChild( document.createElement( "div" ) ), - input = document.createElement( "input" ); - - // Support: Android 4.0 - 4.3 only - // Check state lost if the name is set (#11217) - // Support: Windows Web Apps (WWA) - // `name` and `type` must use .setAttribute for WWA (#14901) - input.setAttribute( "type", "radio" ); - input.setAttribute( "checked", "checked" ); - input.setAttribute( "name", "t" ); - - div.appendChild( input ); - - // Support: Android <=4.1 only - // Older WebKit doesn't clone checked state correctly in fragments - support.checkClone = div.cloneNode( true ).cloneNode( true ).lastChild.checked; - - // Support: IE <=11 only - // Make sure textarea (and checkbox) defaultValue is properly cloned - div.innerHTML = ""; - support.noCloneChecked = !!div.cloneNode( true ).lastChild.defaultValue; -} )(); -var documentElement = document.documentElement; - - - -var - rkeyEvent = /^key/, - rmouseEvent = /^(?:mouse|pointer|contextmenu|drag|drop)|click/, - rtypenamespace = /^([^.]*)(?:\.(.+)|)/; - -function returnTrue() { - return true; -} - -function returnFalse() { - return false; -} - -// Support: IE <=9 only -// See #13393 for more info -function safeActiveElement() { - try { - return document.activeElement; - } catch ( err ) { } -} - -function on( elem, types, selector, data, fn, one ) { - var origFn, type; - - // Types can be a map of types/handlers - if ( typeof types === "object" ) { - - // ( types-Object, selector, data ) - if ( typeof selector !== "string" ) { - - // ( types-Object, data ) - data = data || selector; - selector = undefined; - } - for ( type in types ) { - on( elem, type, selector, data, types[ type ], one ); - } - return elem; - } - - if ( data == null && fn == null ) { - - // ( types, fn ) - fn = selector; - data = selector = undefined; - } else if ( fn == null ) { - if ( typeof selector === "string" ) { - - // ( types, selector, fn ) - fn = data; - data = undefined; - } else { - - // ( types, data, fn ) - fn = data; - data = selector; - selector = undefined; - } - } - if ( fn === false ) { - fn = returnFalse; - } else if ( !fn ) { - return elem; - } - - if ( one === 1 ) { - origFn = fn; - fn = function( event ) { - - // Can use an empty set, since event contains the info - jQuery().off( event ); - return origFn.apply( this, arguments ); - }; - - // Use same guid so caller can remove using origFn - fn.guid = origFn.guid || ( origFn.guid = jQuery.guid++ ); - } - return elem.each( function() { - jQuery.event.add( this, types, fn, data, selector ); - } ); -} - -/* - * Helper functions for managing events -- not part of the public interface. - * Props to Dean Edwards' addEvent library for many of the ideas. - */ -jQuery.event = { - - global: {}, - - add: function( elem, types, handler, data, selector ) { - - var handleObjIn, eventHandle, tmp, - events, t, handleObj, - special, handlers, type, namespaces, origType, - elemData = dataPriv.get( elem ); - - // Don't attach events to noData or text/comment nodes (but allow plain objects) - if ( !elemData ) { - return; - } - - // Caller can pass in an object of custom data in lieu of the handler - if ( handler.handler ) { - handleObjIn = handler; - handler = handleObjIn.handler; - selector = handleObjIn.selector; - } - - // Ensure that invalid selectors throw exceptions at attach time - // Evaluate against documentElement in case elem is a non-element node (e.g., document) - if ( selector ) { - jQuery.find.matchesSelector( documentElement, selector ); - } - - // Make sure that the handler has a unique ID, used to find/remove it later - if ( !handler.guid ) { - handler.guid = jQuery.guid++; - } - - // Init the element's event structure and main handler, if this is the first - if ( !( events = elemData.events ) ) { - events = elemData.events = {}; - } - if ( !( eventHandle = elemData.handle ) ) { - eventHandle = elemData.handle = function( e ) { - - // Discard the second event of a jQuery.event.trigger() and - // when an event is called after a page has unloaded - return typeof jQuery !== "undefined" && jQuery.event.triggered !== e.type ? - jQuery.event.dispatch.apply( elem, arguments ) : undefined; - }; - } - - // Handle multiple events separated by a space - types = ( types || "" ).match( rnothtmlwhite ) || [ "" ]; - t = types.length; - while ( t-- ) { - tmp = rtypenamespace.exec( types[ t ] ) || []; - type = origType = tmp[ 1 ]; - namespaces = ( tmp[ 2 ] || "" ).split( "." ).sort(); - - // There *must* be a type, no attaching namespace-only handlers - if ( !type ) { - continue; - } - - // If event changes its type, use the special event handlers for the changed type - special = jQuery.event.special[ type ] || {}; - - // If selector defined, determine special event api type, otherwise given type - type = ( selector ? special.delegateType : special.bindType ) || type; - - // Update special based on newly reset type - special = jQuery.event.special[ type ] || {}; - - // handleObj is passed to all event handlers - handleObj = jQuery.extend( { - type: type, - origType: origType, - data: data, - handler: handler, - guid: handler.guid, - selector: selector, - needsContext: selector && jQuery.expr.match.needsContext.test( selector ), - namespace: namespaces.join( "." ) - }, handleObjIn ); - - // Init the event handler queue if we're the first - if ( !( handlers = events[ type ] ) ) { - handlers = events[ type ] = []; - handlers.delegateCount = 0; - - // Only use addEventListener if the special events handler returns false - if ( !special.setup || - special.setup.call( elem, data, namespaces, eventHandle ) === false ) { - - if ( elem.addEventListener ) { - elem.addEventListener( type, eventHandle ); - } - } - } - - if ( special.add ) { - special.add.call( elem, handleObj ); - - if ( !handleObj.handler.guid ) { - handleObj.handler.guid = handler.guid; - } - } - - // Add to the element's handler list, delegates in front - if ( selector ) { - handlers.splice( handlers.delegateCount++, 0, handleObj ); - } else { - handlers.push( handleObj ); - } - - // Keep track of which events have ever been used, for event optimization - jQuery.event.global[ type ] = true; - } - - }, - - // Detach an event or set of events from an element - remove: function( elem, types, handler, selector, mappedTypes ) { - - var j, origCount, tmp, - events, t, handleObj, - special, handlers, type, namespaces, origType, - elemData = dataPriv.hasData( elem ) && dataPriv.get( elem ); - - if ( !elemData || !( events = elemData.events ) ) { - return; - } - - // Once for each type.namespace in types; type may be omitted - types = ( types || "" ).match( rnothtmlwhite ) || [ "" ]; - t = types.length; - while ( t-- ) { - tmp = rtypenamespace.exec( types[ t ] ) || []; - type = origType = tmp[ 1 ]; - namespaces = ( tmp[ 2 ] || "" ).split( "." ).sort(); - - // Unbind all events (on this namespace, if provided) for the element - if ( !type ) { - for ( type in events ) { - jQuery.event.remove( elem, type + types[ t ], handler, selector, true ); - } - continue; - } - - special = jQuery.event.special[ type ] || {}; - type = ( selector ? special.delegateType : special.bindType ) || type; - handlers = events[ type ] || []; - tmp = tmp[ 2 ] && - new RegExp( "(^|\\.)" + namespaces.join( "\\.(?:.*\\.|)" ) + "(\\.|$)" ); - - // Remove matching events - origCount = j = handlers.length; - while ( j-- ) { - handleObj = handlers[ j ]; - - if ( ( mappedTypes || origType === handleObj.origType ) && - ( !handler || handler.guid === handleObj.guid ) && - ( !tmp || tmp.test( handleObj.namespace ) ) && - ( !selector || selector === handleObj.selector || - selector === "**" && handleObj.selector ) ) { - handlers.splice( j, 1 ); - - if ( handleObj.selector ) { - handlers.delegateCount--; - } - if ( special.remove ) { - special.remove.call( elem, handleObj ); - } - } - } - - // Remove generic event handler if we removed something and no more handlers exist - // (avoids potential for endless recursion during removal of special event handlers) - if ( origCount && !handlers.length ) { - if ( !special.teardown || - special.teardown.call( elem, namespaces, elemData.handle ) === false ) { - - jQuery.removeEvent( elem, type, elemData.handle ); - } - - delete events[ type ]; - } - } - - // Remove data and the expando if it's no longer used - if ( jQuery.isEmptyObject( events ) ) { - dataPriv.remove( elem, "handle events" ); - } - }, - - dispatch: function( nativeEvent ) { - - // Make a writable jQuery.Event from the native event object - var event = jQuery.event.fix( nativeEvent ); - - var i, j, ret, matched, handleObj, handlerQueue, - args = new Array( arguments.length ), - handlers = ( dataPriv.get( this, "events" ) || {} )[ event.type ] || [], - special = jQuery.event.special[ event.type ] || {}; - - // Use the fix-ed jQuery.Event rather than the (read-only) native event - args[ 0 ] = event; - - for ( i = 1; i < arguments.length; i++ ) { - args[ i ] = arguments[ i ]; - } - - event.delegateTarget = this; - - // Call the preDispatch hook for the mapped type, and let it bail if desired - if ( special.preDispatch && special.preDispatch.call( this, event ) === false ) { - return; - } - - // Determine handlers - handlerQueue = jQuery.event.handlers.call( this, event, handlers ); - - // Run delegates first; they may want to stop propagation beneath us - i = 0; - while ( ( matched = handlerQueue[ i++ ] ) && !event.isPropagationStopped() ) { - event.currentTarget = matched.elem; - - j = 0; - while ( ( handleObj = matched.handlers[ j++ ] ) && - !event.isImmediatePropagationStopped() ) { - - // Triggered event must either 1) have no namespace, or 2) have namespace(s) - // a subset or equal to those in the bound event (both can have no namespace). - if ( !event.rnamespace || event.rnamespace.test( handleObj.namespace ) ) { - - event.handleObj = handleObj; - event.data = handleObj.data; - - ret = ( ( jQuery.event.special[ handleObj.origType ] || {} ).handle || - handleObj.handler ).apply( matched.elem, args ); - - if ( ret !== undefined ) { - if ( ( event.result = ret ) === false ) { - event.preventDefault(); - event.stopPropagation(); - } - } - } - } - } - - // Call the postDispatch hook for the mapped type - if ( special.postDispatch ) { - special.postDispatch.call( this, event ); - } - - return event.result; - }, - - handlers: function( event, handlers ) { - var i, handleObj, sel, matchedHandlers, matchedSelectors, - handlerQueue = [], - delegateCount = handlers.delegateCount, - cur = event.target; - - // Find delegate handlers - if ( delegateCount && - - // Support: IE <=9 - // Black-hole SVG instance trees (trac-13180) - cur.nodeType && - - // Support: Firefox <=42 - // Suppress spec-violating clicks indicating a non-primary pointer button (trac-3861) - // https://www.w3.org/TR/DOM-Level-3-Events/#event-type-click - // Support: IE 11 only - // ...but not arrow key "clicks" of radio inputs, which can have `button` -1 (gh-2343) - !( event.type === "click" && event.button >= 1 ) ) { - - for ( ; cur !== this; cur = cur.parentNode || this ) { - - // Don't check non-elements (#13208) - // Don't process clicks on disabled elements (#6911, #8165, #11382, #11764) - if ( cur.nodeType === 1 && !( event.type === "click" && cur.disabled === true ) ) { - matchedHandlers = []; - matchedSelectors = {}; - for ( i = 0; i < delegateCount; i++ ) { - handleObj = handlers[ i ]; - - // Don't conflict with Object.prototype properties (#13203) - sel = handleObj.selector + " "; - - if ( matchedSelectors[ sel ] === undefined ) { - matchedSelectors[ sel ] = handleObj.needsContext ? - jQuery( sel, this ).index( cur ) > -1 : - jQuery.find( sel, this, null, [ cur ] ).length; - } - if ( matchedSelectors[ sel ] ) { - matchedHandlers.push( handleObj ); - } - } - if ( matchedHandlers.length ) { - handlerQueue.push( { elem: cur, handlers: matchedHandlers } ); - } - } - } - } - - // Add the remaining (directly-bound) handlers - cur = this; - if ( delegateCount < handlers.length ) { - handlerQueue.push( { elem: cur, handlers: handlers.slice( delegateCount ) } ); - } - - return handlerQueue; - }, - - addProp: function( name, hook ) { - Object.defineProperty( jQuery.Event.prototype, name, { - enumerable: true, - configurable: true, - - get: jQuery.isFunction( hook ) ? - function() { - if ( this.originalEvent ) { - return hook( this.originalEvent ); - } - } : - function() { - if ( this.originalEvent ) { - return this.originalEvent[ name ]; - } - }, - - set: function( value ) { - Object.defineProperty( this, name, { - enumerable: true, - configurable: true, - writable: true, - value: value - } ); - } - } ); - }, - - fix: function( originalEvent ) { - return originalEvent[ jQuery.expando ] ? - originalEvent : - new jQuery.Event( originalEvent ); - }, - - special: { - load: { - - // Prevent triggered image.load events from bubbling to window.load - noBubble: true - }, - focus: { - - // Fire native event if possible so blur/focus sequence is correct - trigger: function() { - if ( this !== safeActiveElement() && this.focus ) { - this.focus(); - return false; - } - }, - delegateType: "focusin" - }, - blur: { - trigger: function() { - if ( this === safeActiveElement() && this.blur ) { - this.blur(); - return false; - } - }, - delegateType: "focusout" - }, - click: { - - // For checkbox, fire native event so checked state will be right - trigger: function() { - if ( this.type === "checkbox" && this.click && nodeName( this, "input" ) ) { - this.click(); - return false; - } - }, - - // For cross-browser consistency, don't fire native .click() on links - _default: function( event ) { - return nodeName( event.target, "a" ); - } - }, - - beforeunload: { - postDispatch: function( event ) { - - // Support: Firefox 20+ - // Firefox doesn't alert if the returnValue field is not set. - if ( event.result !== undefined && event.originalEvent ) { - event.originalEvent.returnValue = event.result; - } - } - } - } -}; - -jQuery.removeEvent = function( elem, type, handle ) { - - // This "if" is needed for plain objects - if ( elem.removeEventListener ) { - elem.removeEventListener( type, handle ); - } -}; - -jQuery.Event = function( src, props ) { - - // Allow instantiation without the 'new' keyword - if ( !( this instanceof jQuery.Event ) ) { - return new jQuery.Event( src, props ); - } - - // Event object - if ( src && src.type ) { - this.originalEvent = src; - this.type = src.type; - - // Events bubbling up the document may have been marked as prevented - // by a handler lower down the tree; reflect the correct value. - this.isDefaultPrevented = src.defaultPrevented || - src.defaultPrevented === undefined && - - // Support: Android <=2.3 only - src.returnValue === false ? - returnTrue : - returnFalse; - - // Create target properties - // Support: Safari <=6 - 7 only - // Target should not be a text node (#504, #13143) - this.target = ( src.target && src.target.nodeType === 3 ) ? - src.target.parentNode : - src.target; - - this.currentTarget = src.currentTarget; - this.relatedTarget = src.relatedTarget; - - // Event type - } else { - this.type = src; - } - - // Put explicitly provided properties onto the event object - if ( props ) { - jQuery.extend( this, props ); - } - - // Create a timestamp if incoming event doesn't have one - this.timeStamp = src && src.timeStamp || jQuery.now(); - - // Mark it as fixed - this[ jQuery.expando ] = true; -}; - -// jQuery.Event is based on DOM3 Events as specified by the ECMAScript Language Binding -// https://www.w3.org/TR/2003/WD-DOM-Level-3-Events-20030331/ecma-script-binding.html -jQuery.Event.prototype = { - constructor: jQuery.Event, - isDefaultPrevented: returnFalse, - isPropagationStopped: returnFalse, - isImmediatePropagationStopped: returnFalse, - isSimulated: false, - - preventDefault: function() { - var e = this.originalEvent; - - this.isDefaultPrevented = returnTrue; - - if ( e && !this.isSimulated ) { - e.preventDefault(); - } - }, - stopPropagation: function() { - var e = this.originalEvent; - - this.isPropagationStopped = returnTrue; - - if ( e && !this.isSimulated ) { - e.stopPropagation(); - } - }, - stopImmediatePropagation: function() { - var e = this.originalEvent; - - this.isImmediatePropagationStopped = returnTrue; - - if ( e && !this.isSimulated ) { - e.stopImmediatePropagation(); - } - - this.stopPropagation(); - } -}; - -// Includes all common event props including KeyEvent and MouseEvent specific props -jQuery.each( { - altKey: true, - bubbles: true, - cancelable: true, - changedTouches: true, - ctrlKey: true, - detail: true, - eventPhase: true, - metaKey: true, - pageX: true, - pageY: true, - shiftKey: true, - view: true, - "char": true, - charCode: true, - key: true, - keyCode: true, - button: true, - buttons: true, - clientX: true, - clientY: true, - offsetX: true, - offsetY: true, - pointerId: true, - pointerType: true, - screenX: true, - screenY: true, - targetTouches: true, - toElement: true, - touches: true, - - which: function( event ) { - var button = event.button; - - // Add which for key events - if ( event.which == null && rkeyEvent.test( event.type ) ) { - return event.charCode != null ? event.charCode : event.keyCode; - } - - // Add which for click: 1 === left; 2 === middle; 3 === right - if ( !event.which && button !== undefined && rmouseEvent.test( event.type ) ) { - if ( button & 1 ) { - return 1; - } - - if ( button & 2 ) { - return 3; - } - - if ( button & 4 ) { - return 2; - } - - return 0; - } - - return event.which; - } -}, jQuery.event.addProp ); - -// Create mouseenter/leave events using mouseover/out and event-time checks -// so that event delegation works in jQuery. -// Do the same for pointerenter/pointerleave and pointerover/pointerout -// -// Support: Safari 7 only -// Safari sends mouseenter too often; see: -// https://bugs.chromium.org/p/chromium/issues/detail?id=470258 -// for the description of the bug (it existed in older Chrome versions as well). -jQuery.each( { - mouseenter: "mouseover", - mouseleave: "mouseout", - pointerenter: "pointerover", - pointerleave: "pointerout" -}, function( orig, fix ) { - jQuery.event.special[ orig ] = { - delegateType: fix, - bindType: fix, - - handle: function( event ) { - var ret, - target = this, - related = event.relatedTarget, - handleObj = event.handleObj; - - // For mouseenter/leave call the handler if related is outside the target. - // NB: No relatedTarget if the mouse left/entered the browser window - if ( !related || ( related !== target && !jQuery.contains( target, related ) ) ) { - event.type = handleObj.origType; - ret = handleObj.handler.apply( this, arguments ); - event.type = fix; - } - return ret; - } - }; -} ); - -jQuery.fn.extend( { - - on: function( types, selector, data, fn ) { - return on( this, types, selector, data, fn ); - }, - one: function( types, selector, data, fn ) { - return on( this, types, selector, data, fn, 1 ); - }, - off: function( types, selector, fn ) { - var handleObj, type; - if ( types && types.preventDefault && types.handleObj ) { - - // ( event ) dispatched jQuery.Event - handleObj = types.handleObj; - jQuery( types.delegateTarget ).off( - handleObj.namespace ? - handleObj.origType + "." + handleObj.namespace : - handleObj.origType, - handleObj.selector, - handleObj.handler - ); - return this; - } - if ( typeof types === "object" ) { - - // ( types-object [, selector] ) - for ( type in types ) { - this.off( type, selector, types[ type ] ); - } - return this; - } - if ( selector === false || typeof selector === "function" ) { - - // ( types [, fn] ) - fn = selector; - selector = undefined; - } - if ( fn === false ) { - fn = returnFalse; - } - return this.each( function() { - jQuery.event.remove( this, types, fn, selector ); - } ); - } -} ); - - -var - - /* eslint-disable max-len */ - - // See https://github.com/eslint/eslint/issues/3229 - rxhtmlTag = /<(?!area|br|col|embed|hr|img|input|link|meta|param)(([a-z][^\/\0>\x20\t\r\n\f]*)[^>]*)\/>/gi, - - /* eslint-enable */ - - // Support: IE <=10 - 11, Edge 12 - 13 - // In IE/Edge using regex groups here causes severe slowdowns. - // See https://connect.microsoft.com/IE/feedback/details/1736512/ - rnoInnerhtml = /\s*$/g; - -// Prefer a tbody over its parent table for containing new rows -function manipulationTarget( elem, content ) { - if ( nodeName( elem, "table" ) && - nodeName( content.nodeType !== 11 ? content : content.firstChild, "tr" ) ) { - - return jQuery( ">tbody", elem )[ 0 ] || elem; - } - - return elem; -} - -// Replace/restore the type attribute of script elements for safe DOM manipulation -function disableScript( elem ) { - elem.type = ( elem.getAttribute( "type" ) !== null ) + "/" + elem.type; - return elem; -} -function restoreScript( elem ) { - var match = rscriptTypeMasked.exec( elem.type ); - - if ( match ) { - elem.type = match[ 1 ]; - } else { - elem.removeAttribute( "type" ); - } - - return elem; -} - -function cloneCopyEvent( src, dest ) { - var i, l, type, pdataOld, pdataCur, udataOld, udataCur, events; - - if ( dest.nodeType !== 1 ) { - return; - } - - // 1. Copy private data: events, handlers, etc. - if ( dataPriv.hasData( src ) ) { - pdataOld = dataPriv.access( src ); - pdataCur = dataPriv.set( dest, pdataOld ); - events = pdataOld.events; - - if ( events ) { - delete pdataCur.handle; - pdataCur.events = {}; - - for ( type in events ) { - for ( i = 0, l = events[ type ].length; i < l; i++ ) { - jQuery.event.add( dest, type, events[ type ][ i ] ); - } - } - } - } - - // 2. Copy user data - if ( dataUser.hasData( src ) ) { - udataOld = dataUser.access( src ); - udataCur = jQuery.extend( {}, udataOld ); - - dataUser.set( dest, udataCur ); - } -} - -// Fix IE bugs, see support tests -function fixInput( src, dest ) { - var nodeName = dest.nodeName.toLowerCase(); - - // Fails to persist the checked state of a cloned checkbox or radio button. - if ( nodeName === "input" && rcheckableType.test( src.type ) ) { - dest.checked = src.checked; - - // Fails to return the selected option to the default selected state when cloning options - } else if ( nodeName === "input" || nodeName === "textarea" ) { - dest.defaultValue = src.defaultValue; - } -} - -function domManip( collection, args, callback, ignored ) { - - // Flatten any nested arrays - args = concat.apply( [], args ); - - var fragment, first, scripts, hasScripts, node, doc, - i = 0, - l = collection.length, - iNoClone = l - 1, - value = args[ 0 ], - isFunction = jQuery.isFunction( value ); - - // We can't cloneNode fragments that contain checked, in WebKit - if ( isFunction || - ( l > 1 && typeof value === "string" && - !support.checkClone && rchecked.test( value ) ) ) { - return collection.each( function( index ) { - var self = collection.eq( index ); - if ( isFunction ) { - args[ 0 ] = value.call( this, index, self.html() ); - } - domManip( self, args, callback, ignored ); - } ); - } - - if ( l ) { - fragment = buildFragment( args, collection[ 0 ].ownerDocument, false, collection, ignored ); - first = fragment.firstChild; - - if ( fragment.childNodes.length === 1 ) { - fragment = first; - } - - // Require either new content or an interest in ignored elements to invoke the callback - if ( first || ignored ) { - scripts = jQuery.map( getAll( fragment, "script" ), disableScript ); - hasScripts = scripts.length; - - // Use the original fragment for the last item - // instead of the first because it can end up - // being emptied incorrectly in certain situations (#8070). - for ( ; i < l; i++ ) { - node = fragment; - - if ( i !== iNoClone ) { - node = jQuery.clone( node, true, true ); - - // Keep references to cloned scripts for later restoration - if ( hasScripts ) { - - // Support: Android <=4.0 only, PhantomJS 1 only - // push.apply(_, arraylike) throws on ancient WebKit - jQuery.merge( scripts, getAll( node, "script" ) ); - } - } - - callback.call( collection[ i ], node, i ); - } - - if ( hasScripts ) { - doc = scripts[ scripts.length - 1 ].ownerDocument; - - // Reenable scripts - jQuery.map( scripts, restoreScript ); - - // Evaluate executable scripts on first document insertion - for ( i = 0; i < hasScripts; i++ ) { - node = scripts[ i ]; - if ( rscriptType.test( node.type || "" ) && - !dataPriv.access( node, "globalEval" ) && - jQuery.contains( doc, node ) ) { - - if ( node.src ) { - - // Optional AJAX dependency, but won't run scripts if not present - if ( jQuery._evalUrl ) { - jQuery._evalUrl( node.src ); - } - } else { - DOMEval( node.textContent.replace( rcleanScript, "" ), doc ); - } - } - } - } - } - } - - return collection; -} - -function remove( elem, selector, keepData ) { - var node, - nodes = selector ? jQuery.filter( selector, elem ) : elem, - i = 0; - - for ( ; ( node = nodes[ i ] ) != null; i++ ) { - if ( !keepData && node.nodeType === 1 ) { - jQuery.cleanData( getAll( node ) ); - } - - if ( node.parentNode ) { - if ( keepData && jQuery.contains( node.ownerDocument, node ) ) { - setGlobalEval( getAll( node, "script" ) ); - } - node.parentNode.removeChild( node ); - } - } - - return elem; -} - -jQuery.extend( { - htmlPrefilter: function( html ) { - return html.replace( rxhtmlTag, "<$1>" ); - }, - - clone: function( elem, dataAndEvents, deepDataAndEvents ) { - var i, l, srcElements, destElements, - clone = elem.cloneNode( true ), - inPage = jQuery.contains( elem.ownerDocument, elem ); - - // Fix IE cloning issues - if ( !support.noCloneChecked && ( elem.nodeType === 1 || elem.nodeType === 11 ) && - !jQuery.isXMLDoc( elem ) ) { - - // We eschew Sizzle here for performance reasons: https://jsperf.com/getall-vs-sizzle/2 - destElements = getAll( clone ); - srcElements = getAll( elem ); - - for ( i = 0, l = srcElements.length; i < l; i++ ) { - fixInput( srcElements[ i ], destElements[ i ] ); - } - } - - // Copy the events from the original to the clone - if ( dataAndEvents ) { - if ( deepDataAndEvents ) { - srcElements = srcElements || getAll( elem ); - destElements = destElements || getAll( clone ); - - for ( i = 0, l = srcElements.length; i < l; i++ ) { - cloneCopyEvent( srcElements[ i ], destElements[ i ] ); - } - } else { - cloneCopyEvent( elem, clone ); - } - } - - // Preserve script evaluation history - destElements = getAll( clone, "script" ); - if ( destElements.length > 0 ) { - setGlobalEval( destElements, !inPage && getAll( elem, "script" ) ); - } - - // Return the cloned set - return clone; - }, - - cleanData: function( elems ) { - var data, elem, type, - special = jQuery.event.special, - i = 0; - - for ( ; ( elem = elems[ i ] ) !== undefined; i++ ) { - if ( acceptData( elem ) ) { - if ( ( data = elem[ dataPriv.expando ] ) ) { - if ( data.events ) { - for ( type in data.events ) { - if ( special[ type ] ) { - jQuery.event.remove( elem, type ); - - // This is a shortcut to avoid jQuery.event.remove's overhead - } else { - jQuery.removeEvent( elem, type, data.handle ); - } - } - } - - // Support: Chrome <=35 - 45+ - // Assign undefined instead of using delete, see Data#remove - elem[ dataPriv.expando ] = undefined; - } - if ( elem[ dataUser.expando ] ) { - - // Support: Chrome <=35 - 45+ - // Assign undefined instead of using delete, see Data#remove - elem[ dataUser.expando ] = undefined; - } - } - } - } -} ); - -jQuery.fn.extend( { - detach: function( selector ) { - return remove( this, selector, true ); - }, - - remove: function( selector ) { - return remove( this, selector ); - }, - - text: function( value ) { - return access( this, function( value ) { - return value === undefined ? - jQuery.text( this ) : - this.empty().each( function() { - if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { - this.textContent = value; - } - } ); - }, null, value, arguments.length ); - }, - - append: function() { - return domManip( this, arguments, function( elem ) { - if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { - var target = manipulationTarget( this, elem ); - target.appendChild( elem ); - } - } ); - }, - - prepend: function() { - return domManip( this, arguments, function( elem ) { - if ( this.nodeType === 1 || this.nodeType === 11 || this.nodeType === 9 ) { - var target = manipulationTarget( this, elem ); - target.insertBefore( elem, target.firstChild ); - } - } ); - }, - - before: function() { - return domManip( this, arguments, function( elem ) { - if ( this.parentNode ) { - this.parentNode.insertBefore( elem, this ); - } - } ); - }, - - after: function() { - return domManip( this, arguments, function( elem ) { - if ( this.parentNode ) { - this.parentNode.insertBefore( elem, this.nextSibling ); - } - } ); - }, - - empty: function() { - var elem, - i = 0; - - for ( ; ( elem = this[ i ] ) != null; i++ ) { - if ( elem.nodeType === 1 ) { - - // Prevent memory leaks - jQuery.cleanData( getAll( elem, false ) ); - - // Remove any remaining nodes - elem.textContent = ""; - } - } - - return this; - }, - - clone: function( dataAndEvents, deepDataAndEvents ) { - dataAndEvents = dataAndEvents == null ? false : dataAndEvents; - deepDataAndEvents = deepDataAndEvents == null ? dataAndEvents : deepDataAndEvents; - - return this.map( function() { - return jQuery.clone( this, dataAndEvents, deepDataAndEvents ); - } ); - }, - - html: function( value ) { - return access( this, function( value ) { - var elem = this[ 0 ] || {}, - i = 0, - l = this.length; - - if ( value === undefined && elem.nodeType === 1 ) { - return elem.innerHTML; - } - - // See if we can take a shortcut and just use innerHTML - if ( typeof value === "string" && !rnoInnerhtml.test( value ) && - !wrapMap[ ( rtagName.exec( value ) || [ "", "" ] )[ 1 ].toLowerCase() ] ) { - - value = jQuery.htmlPrefilter( value ); - - try { - for ( ; i < l; i++ ) { - elem = this[ i ] || {}; - - // Remove element nodes and prevent memory leaks - if ( elem.nodeType === 1 ) { - jQuery.cleanData( getAll( elem, false ) ); - elem.innerHTML = value; - } - } - - elem = 0; - - // If using innerHTML throws an exception, use the fallback method - } catch ( e ) {} - } - - if ( elem ) { - this.empty().append( value ); - } - }, null, value, arguments.length ); - }, - - replaceWith: function() { - var ignored = []; - - // Make the changes, replacing each non-ignored context element with the new content - return domManip( this, arguments, function( elem ) { - var parent = this.parentNode; - - if ( jQuery.inArray( this, ignored ) < 0 ) { - jQuery.cleanData( getAll( this ) ); - if ( parent ) { - parent.replaceChild( elem, this ); - } - } - - // Force callback invocation - }, ignored ); - } -} ); - -jQuery.each( { - appendTo: "append", - prependTo: "prepend", - insertBefore: "before", - insertAfter: "after", - replaceAll: "replaceWith" -}, function( name, original ) { - jQuery.fn[ name ] = function( selector ) { - var elems, - ret = [], - insert = jQuery( selector ), - last = insert.length - 1, - i = 0; - - for ( ; i <= last; i++ ) { - elems = i === last ? this : this.clone( true ); - jQuery( insert[ i ] )[ original ]( elems ); - - // Support: Android <=4.0 only, PhantomJS 1 only - // .get() because push.apply(_, arraylike) throws on ancient WebKit - push.apply( ret, elems.get() ); - } - - return this.pushStack( ret ); - }; -} ); -var rmargin = ( /^margin/ ); - -var rnumnonpx = new RegExp( "^(" + pnum + ")(?!px)[a-z%]+$", "i" ); - -var getStyles = function( elem ) { - - // Support: IE <=11 only, Firefox <=30 (#15098, #14150) - // IE throws on elements created in popups - // FF meanwhile throws on frame elements through "defaultView.getComputedStyle" - var view = elem.ownerDocument.defaultView; - - if ( !view || !view.opener ) { - view = window; - } - - return view.getComputedStyle( elem ); - }; - - - -( function() { - - // Executing both pixelPosition & boxSizingReliable tests require only one layout - // so they're executed at the same time to save the second computation. - function computeStyleTests() { - - // This is a singleton, we need to execute it only once - if ( !div ) { - return; - } - - div.style.cssText = - "box-sizing:border-box;" + - "position:relative;display:block;" + - "margin:auto;border:1px;padding:1px;" + - "top:1%;width:50%"; - div.innerHTML = ""; - documentElement.appendChild( container ); - - var divStyle = window.getComputedStyle( div ); - pixelPositionVal = divStyle.top !== "1%"; - - // Support: Android 4.0 - 4.3 only, Firefox <=3 - 44 - reliableMarginLeftVal = divStyle.marginLeft === "2px"; - boxSizingReliableVal = divStyle.width === "4px"; - - // Support: Android 4.0 - 4.3 only - // Some styles come back with percentage values, even though they shouldn't - div.style.marginRight = "50%"; - pixelMarginRightVal = divStyle.marginRight === "4px"; - - documentElement.removeChild( container ); - - // Nullify the div so it wouldn't be stored in the memory and - // it will also be a sign that checks already performed - div = null; - } - - var pixelPositionVal, boxSizingReliableVal, pixelMarginRightVal, reliableMarginLeftVal, - container = document.createElement( "div" ), - div = document.createElement( "div" ); - - // Finish early in limited (non-browser) environments - if ( !div.style ) { - return; - } - - // Support: IE <=9 - 11 only - // Style of cloned element affects source element cloned (#8908) - div.style.backgroundClip = "content-box"; - div.cloneNode( true ).style.backgroundClip = ""; - support.clearCloneStyle = div.style.backgroundClip === "content-box"; - - container.style.cssText = "border:0;width:8px;height:0;top:0;left:-9999px;" + - "padding:0;margin-top:1px;position:absolute"; - container.appendChild( div ); - - jQuery.extend( support, { - pixelPosition: function() { - computeStyleTests(); - return pixelPositionVal; - }, - boxSizingReliable: function() { - computeStyleTests(); - return boxSizingReliableVal; - }, - pixelMarginRight: function() { - computeStyleTests(); - return pixelMarginRightVal; - }, - reliableMarginLeft: function() { - computeStyleTests(); - return reliableMarginLeftVal; - } - } ); -} )(); - - -function curCSS( elem, name, computed ) { - var width, minWidth, maxWidth, ret, - - // Support: Firefox 51+ - // Retrieving style before computed somehow - // fixes an issue with getting wrong values - // on detached elements - style = elem.style; - - computed = computed || getStyles( elem ); - - // getPropertyValue is needed for: - // .css('filter') (IE 9 only, #12537) - // .css('--customProperty) (#3144) - if ( computed ) { - ret = computed.getPropertyValue( name ) || computed[ name ]; - - if ( ret === "" && !jQuery.contains( elem.ownerDocument, elem ) ) { - ret = jQuery.style( elem, name ); - } - - // A tribute to the "awesome hack by Dean Edwards" - // Android Browser returns percentage for some values, - // but width seems to be reliably pixels. - // This is against the CSSOM draft spec: - // https://drafts.csswg.org/cssom/#resolved-values - if ( !support.pixelMarginRight() && rnumnonpx.test( ret ) && rmargin.test( name ) ) { - - // Remember the original values - width = style.width; - minWidth = style.minWidth; - maxWidth = style.maxWidth; - - // Put in the new values to get a computed value out - style.minWidth = style.maxWidth = style.width = ret; - ret = computed.width; - - // Revert the changed values - style.width = width; - style.minWidth = minWidth; - style.maxWidth = maxWidth; - } - } - - return ret !== undefined ? - - // Support: IE <=9 - 11 only - // IE returns zIndex value as an integer. - ret + "" : - ret; -} - - -function addGetHookIf( conditionFn, hookFn ) { - - // Define the hook, we'll check on the first run if it's really needed. - return { - get: function() { - if ( conditionFn() ) { - - // Hook not needed (or it's not possible to use it due - // to missing dependency), remove it. - delete this.get; - return; - } - - // Hook needed; redefine it so that the support test is not executed again. - return ( this.get = hookFn ).apply( this, arguments ); - } - }; -} - - -var - - // Swappable if display is none or starts with table - // except "table", "table-cell", or "table-caption" - // See here for display values: https://developer.mozilla.org/en-US/docs/CSS/display - rdisplayswap = /^(none|table(?!-c[ea]).+)/, - rcustomProp = /^--/, - cssShow = { position: "absolute", visibility: "hidden", display: "block" }, - cssNormalTransform = { - letterSpacing: "0", - fontWeight: "400" - }, - - cssPrefixes = [ "Webkit", "Moz", "ms" ], - emptyStyle = document.createElement( "div" ).style; - -// Return a css property mapped to a potentially vendor prefixed property -function vendorPropName( name ) { - - // Shortcut for names that are not vendor prefixed - if ( name in emptyStyle ) { - return name; - } - - // Check for vendor prefixed names - var capName = name[ 0 ].toUpperCase() + name.slice( 1 ), - i = cssPrefixes.length; - - while ( i-- ) { - name = cssPrefixes[ i ] + capName; - if ( name in emptyStyle ) { - return name; - } - } -} - -// Return a property mapped along what jQuery.cssProps suggests or to -// a vendor prefixed property. -function finalPropName( name ) { - var ret = jQuery.cssProps[ name ]; - if ( !ret ) { - ret = jQuery.cssProps[ name ] = vendorPropName( name ) || name; - } - return ret; -} - -function setPositiveNumber( elem, value, subtract ) { - - // Any relative (+/-) values have already been - // normalized at this point - var matches = rcssNum.exec( value ); - return matches ? - - // Guard against undefined "subtract", e.g., when used as in cssHooks - Math.max( 0, matches[ 2 ] - ( subtract || 0 ) ) + ( matches[ 3 ] || "px" ) : - value; -} - -function augmentWidthOrHeight( elem, name, extra, isBorderBox, styles ) { - var i, - val = 0; - - // If we already have the right measurement, avoid augmentation - if ( extra === ( isBorderBox ? "border" : "content" ) ) { - i = 4; - - // Otherwise initialize for horizontal or vertical properties - } else { - i = name === "width" ? 1 : 0; - } - - for ( ; i < 4; i += 2 ) { - - // Both box models exclude margin, so add it if we want it - if ( extra === "margin" ) { - val += jQuery.css( elem, extra + cssExpand[ i ], true, styles ); - } - - if ( isBorderBox ) { - - // border-box includes padding, so remove it if we want content - if ( extra === "content" ) { - val -= jQuery.css( elem, "padding" + cssExpand[ i ], true, styles ); - } - - // At this point, extra isn't border nor margin, so remove border - if ( extra !== "margin" ) { - val -= jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); - } - } else { - - // At this point, extra isn't content, so add padding - val += jQuery.css( elem, "padding" + cssExpand[ i ], true, styles ); - - // At this point, extra isn't content nor padding, so add border - if ( extra !== "padding" ) { - val += jQuery.css( elem, "border" + cssExpand[ i ] + "Width", true, styles ); - } - } - } - - return val; -} - -function getWidthOrHeight( elem, name, extra ) { - - // Start with computed style - var valueIsBorderBox, - styles = getStyles( elem ), - val = curCSS( elem, name, styles ), - isBorderBox = jQuery.css( elem, "boxSizing", false, styles ) === "border-box"; - - // Computed unit is not pixels. Stop here and return. - if ( rnumnonpx.test( val ) ) { - return val; - } - - // Check for style in case a browser which returns unreliable values - // for getComputedStyle silently falls back to the reliable elem.style - valueIsBorderBox = isBorderBox && - ( support.boxSizingReliable() || val === elem.style[ name ] ); - - // Fall back to offsetWidth/Height when value is "auto" - // This happens for inline elements with no explicit setting (gh-3571) - if ( val === "auto" ) { - val = elem[ "offset" + name[ 0 ].toUpperCase() + name.slice( 1 ) ]; - } - - // Normalize "", auto, and prepare for extra - val = parseFloat( val ) || 0; - - // Use the active box-sizing model to add/subtract irrelevant styles - return ( val + - augmentWidthOrHeight( - elem, - name, - extra || ( isBorderBox ? "border" : "content" ), - valueIsBorderBox, - styles - ) - ) + "px"; -} - -jQuery.extend( { - - // Add in style property hooks for overriding the default - // behavior of getting and setting a style property - cssHooks: { - opacity: { - get: function( elem, computed ) { - if ( computed ) { - - // We should always get a number back from opacity - var ret = curCSS( elem, "opacity" ); - return ret === "" ? "1" : ret; - } - } - } - }, - - // Don't automatically add "px" to these possibly-unitless properties - cssNumber: { - "animationIterationCount": true, - "columnCount": true, - "fillOpacity": true, - "flexGrow": true, - "flexShrink": true, - "fontWeight": true, - "lineHeight": true, - "opacity": true, - "order": true, - "orphans": true, - "widows": true, - "zIndex": true, - "zoom": true - }, - - // Add in properties whose names you wish to fix before - // setting or getting the value - cssProps: { - "float": "cssFloat" - }, - - // Get and set the style property on a DOM Node - style: function( elem, name, value, extra ) { - - // Don't set styles on text and comment nodes - if ( !elem || elem.nodeType === 3 || elem.nodeType === 8 || !elem.style ) { - return; - } - - // Make sure that we're working with the right name - var ret, type, hooks, - origName = jQuery.camelCase( name ), - isCustomProp = rcustomProp.test( name ), - style = elem.style; - - // Make sure that we're working with the right name. We don't - // want to query the value if it is a CSS custom property - // since they are user-defined. - if ( !isCustomProp ) { - name = finalPropName( origName ); - } - - // Gets hook for the prefixed version, then unprefixed version - hooks = jQuery.cssHooks[ name ] || jQuery.cssHooks[ origName ]; - - // Check if we're setting a value - if ( value !== undefined ) { - type = typeof value; - - // Convert "+=" or "-=" to relative numbers (#7345) - if ( type === "string" && ( ret = rcssNum.exec( value ) ) && ret[ 1 ] ) { - value = adjustCSS( elem, name, ret ); - - // Fixes bug #9237 - type = "number"; - } - - // Make sure that null and NaN values aren't set (#7116) - if ( value == null || value !== value ) { - return; - } - - // If a number was passed in, add the unit (except for certain CSS properties) - if ( type === "number" ) { - value += ret && ret[ 3 ] || ( jQuery.cssNumber[ origName ] ? "" : "px" ); - } - - // background-* props affect original clone's values - if ( !support.clearCloneStyle && value === "" && name.indexOf( "background" ) === 0 ) { - style[ name ] = "inherit"; - } - - // If a hook was provided, use that value, otherwise just set the specified value - if ( !hooks || !( "set" in hooks ) || - ( value = hooks.set( elem, value, extra ) ) !== undefined ) { - - if ( isCustomProp ) { - style.setProperty( name, value ); - } else { - style[ name ] = value; - } - } - - } else { - - // If a hook was provided get the non-computed value from there - if ( hooks && "get" in hooks && - ( ret = hooks.get( elem, false, extra ) ) !== undefined ) { - - return ret; - } - - // Otherwise just get the value from the style object - return style[ name ]; - } - }, - - css: function( elem, name, extra, styles ) { - var val, num, hooks, - origName = jQuery.camelCase( name ), - isCustomProp = rcustomProp.test( name ); - - // Make sure that we're working with the right name. We don't - // want to modify the value if it is a CSS custom property - // since they are user-defined. - if ( !isCustomProp ) { - name = finalPropName( origName ); - } - - // Try prefixed name followed by the unprefixed name - hooks = jQuery.cssHooks[ name ] || jQuery.cssHooks[ origName ]; - - // If a hook was provided get the computed value from there - if ( hooks && "get" in hooks ) { - val = hooks.get( elem, true, extra ); - } - - // Otherwise, if a way to get the computed value exists, use that - if ( val === undefined ) { - val = curCSS( elem, name, styles ); - } - - // Convert "normal" to computed value - if ( val === "normal" && name in cssNormalTransform ) { - val = cssNormalTransform[ name ]; - } - - // Make numeric if forced or a qualifier was provided and val looks numeric - if ( extra === "" || extra ) { - num = parseFloat( val ); - return extra === true || isFinite( num ) ? num || 0 : val; - } - - return val; - } -} ); - -jQuery.each( [ "height", "width" ], function( i, name ) { - jQuery.cssHooks[ name ] = { - get: function( elem, computed, extra ) { - if ( computed ) { - - // Certain elements can have dimension info if we invisibly show them - // but it must have a current display style that would benefit - return rdisplayswap.test( jQuery.css( elem, "display" ) ) && - - // Support: Safari 8+ - // Table columns in Safari have non-zero offsetWidth & zero - // getBoundingClientRect().width unless display is changed. - // Support: IE <=11 only - // Running getBoundingClientRect on a disconnected node - // in IE throws an error. - ( !elem.getClientRects().length || !elem.getBoundingClientRect().width ) ? - swap( elem, cssShow, function() { - return getWidthOrHeight( elem, name, extra ); - } ) : - getWidthOrHeight( elem, name, extra ); - } - }, - - set: function( elem, value, extra ) { - var matches, - styles = extra && getStyles( elem ), - subtract = extra && augmentWidthOrHeight( - elem, - name, - extra, - jQuery.css( elem, "boxSizing", false, styles ) === "border-box", - styles - ); - - // Convert to pixels if value adjustment is needed - if ( subtract && ( matches = rcssNum.exec( value ) ) && - ( matches[ 3 ] || "px" ) !== "px" ) { - - elem.style[ name ] = value; - value = jQuery.css( elem, name ); - } - - return setPositiveNumber( elem, value, subtract ); - } - }; -} ); - -jQuery.cssHooks.marginLeft = addGetHookIf( support.reliableMarginLeft, - function( elem, computed ) { - if ( computed ) { - return ( parseFloat( curCSS( elem, "marginLeft" ) ) || - elem.getBoundingClientRect().left - - swap( elem, { marginLeft: 0 }, function() { - return elem.getBoundingClientRect().left; - } ) - ) + "px"; - } - } -); - -// These hooks are used by animate to expand properties -jQuery.each( { - margin: "", - padding: "", - border: "Width" -}, function( prefix, suffix ) { - jQuery.cssHooks[ prefix + suffix ] = { - expand: function( value ) { - var i = 0, - expanded = {}, - - // Assumes a single number if not a string - parts = typeof value === "string" ? value.split( " " ) : [ value ]; - - for ( ; i < 4; i++ ) { - expanded[ prefix + cssExpand[ i ] + suffix ] = - parts[ i ] || parts[ i - 2 ] || parts[ 0 ]; - } - - return expanded; - } - }; - - if ( !rmargin.test( prefix ) ) { - jQuery.cssHooks[ prefix + suffix ].set = setPositiveNumber; - } -} ); - -jQuery.fn.extend( { - css: function( name, value ) { - return access( this, function( elem, name, value ) { - var styles, len, - map = {}, - i = 0; - - if ( Array.isArray( name ) ) { - styles = getStyles( elem ); - len = name.length; - - for ( ; i < len; i++ ) { - map[ name[ i ] ] = jQuery.css( elem, name[ i ], false, styles ); - } - - return map; - } - - return value !== undefined ? - jQuery.style( elem, name, value ) : - jQuery.css( elem, name ); - }, name, value, arguments.length > 1 ); - } -} ); - - -function Tween( elem, options, prop, end, easing ) { - return new Tween.prototype.init( elem, options, prop, end, easing ); -} -jQuery.Tween = Tween; - -Tween.prototype = { - constructor: Tween, - init: function( elem, options, prop, end, easing, unit ) { - this.elem = elem; - this.prop = prop; - this.easing = easing || jQuery.easing._default; - this.options = options; - this.start = this.now = this.cur(); - this.end = end; - this.unit = unit || ( jQuery.cssNumber[ prop ] ? "" : "px" ); - }, - cur: function() { - var hooks = Tween.propHooks[ this.prop ]; - - return hooks && hooks.get ? - hooks.get( this ) : - Tween.propHooks._default.get( this ); - }, - run: function( percent ) { - var eased, - hooks = Tween.propHooks[ this.prop ]; - - if ( this.options.duration ) { - this.pos = eased = jQuery.easing[ this.easing ]( - percent, this.options.duration * percent, 0, 1, this.options.duration - ); - } else { - this.pos = eased = percent; - } - this.now = ( this.end - this.start ) * eased + this.start; - - if ( this.options.step ) { - this.options.step.call( this.elem, this.now, this ); - } - - if ( hooks && hooks.set ) { - hooks.set( this ); - } else { - Tween.propHooks._default.set( this ); - } - return this; - } -}; - -Tween.prototype.init.prototype = Tween.prototype; - -Tween.propHooks = { - _default: { - get: function( tween ) { - var result; - - // Use a property on the element directly when it is not a DOM element, - // or when there is no matching style property that exists. - if ( tween.elem.nodeType !== 1 || - tween.elem[ tween.prop ] != null && tween.elem.style[ tween.prop ] == null ) { - return tween.elem[ tween.prop ]; - } - - // Passing an empty string as a 3rd parameter to .css will automatically - // attempt a parseFloat and fallback to a string if the parse fails. - // Simple values such as "10px" are parsed to Float; - // complex values such as "rotate(1rad)" are returned as-is. - result = jQuery.css( tween.elem, tween.prop, "" ); - - // Empty strings, null, undefined and "auto" are converted to 0. - return !result || result === "auto" ? 0 : result; - }, - set: function( tween ) { - - // Use step hook for back compat. - // Use cssHook if its there. - // Use .style if available and use plain properties where available. - if ( jQuery.fx.step[ tween.prop ] ) { - jQuery.fx.step[ tween.prop ]( tween ); - } else if ( tween.elem.nodeType === 1 && - ( tween.elem.style[ jQuery.cssProps[ tween.prop ] ] != null || - jQuery.cssHooks[ tween.prop ] ) ) { - jQuery.style( tween.elem, tween.prop, tween.now + tween.unit ); - } else { - tween.elem[ tween.prop ] = tween.now; - } - } - } -}; - -// Support: IE <=9 only -// Panic based approach to setting things on disconnected nodes -Tween.propHooks.scrollTop = Tween.propHooks.scrollLeft = { - set: function( tween ) { - if ( tween.elem.nodeType && tween.elem.parentNode ) { - tween.elem[ tween.prop ] = tween.now; - } - } -}; - -jQuery.easing = { - linear: function( p ) { - return p; - }, - swing: function( p ) { - return 0.5 - Math.cos( p * Math.PI ) / 2; - }, - _default: "swing" -}; - -jQuery.fx = Tween.prototype.init; - -// Back compat <1.8 extension point -jQuery.fx.step = {}; - - - - -var - fxNow, inProgress, - rfxtypes = /^(?:toggle|show|hide)$/, - rrun = /queueHooks$/; - -function schedule() { - if ( inProgress ) { - if ( document.hidden === false && window.requestAnimationFrame ) { - window.requestAnimationFrame( schedule ); - } else { - window.setTimeout( schedule, jQuery.fx.interval ); - } - - jQuery.fx.tick(); - } -} - -// Animations created synchronously will run synchronously -function createFxNow() { - window.setTimeout( function() { - fxNow = undefined; - } ); - return ( fxNow = jQuery.now() ); -} - -// Generate parameters to create a standard animation -function genFx( type, includeWidth ) { - var which, - i = 0, - attrs = { height: type }; - - // If we include width, step value is 1 to do all cssExpand values, - // otherwise step value is 2 to skip over Left and Right - includeWidth = includeWidth ? 1 : 0; - for ( ; i < 4; i += 2 - includeWidth ) { - which = cssExpand[ i ]; - attrs[ "margin" + which ] = attrs[ "padding" + which ] = type; - } - - if ( includeWidth ) { - attrs.opacity = attrs.width = type; - } - - return attrs; -} - -function createTween( value, prop, animation ) { - var tween, - collection = ( Animation.tweeners[ prop ] || [] ).concat( Animation.tweeners[ "*" ] ), - index = 0, - length = collection.length; - for ( ; index < length; index++ ) { - if ( ( tween = collection[ index ].call( animation, prop, value ) ) ) { - - // We're done with this property - return tween; - } - } -} - -function defaultPrefilter( elem, props, opts ) { - var prop, value, toggle, hooks, oldfire, propTween, restoreDisplay, display, - isBox = "width" in props || "height" in props, - anim = this, - orig = {}, - style = elem.style, - hidden = elem.nodeType && isHiddenWithinTree( elem ), - dataShow = dataPriv.get( elem, "fxshow" ); - - // Queue-skipping animations hijack the fx hooks - if ( !opts.queue ) { - hooks = jQuery._queueHooks( elem, "fx" ); - if ( hooks.unqueued == null ) { - hooks.unqueued = 0; - oldfire = hooks.empty.fire; - hooks.empty.fire = function() { - if ( !hooks.unqueued ) { - oldfire(); - } - }; - } - hooks.unqueued++; - - anim.always( function() { - - // Ensure the complete handler is called before this completes - anim.always( function() { - hooks.unqueued--; - if ( !jQuery.queue( elem, "fx" ).length ) { - hooks.empty.fire(); - } - } ); - } ); - } - - // Detect show/hide animations - for ( prop in props ) { - value = props[ prop ]; - if ( rfxtypes.test( value ) ) { - delete props[ prop ]; - toggle = toggle || value === "toggle"; - if ( value === ( hidden ? "hide" : "show" ) ) { - - // Pretend to be hidden if this is a "show" and - // there is still data from a stopped show/hide - if ( value === "show" && dataShow && dataShow[ prop ] !== undefined ) { - hidden = true; - - // Ignore all other no-op show/hide data - } else { - continue; - } - } - orig[ prop ] = dataShow && dataShow[ prop ] || jQuery.style( elem, prop ); - } - } - - // Bail out if this is a no-op like .hide().hide() - propTween = !jQuery.isEmptyObject( props ); - if ( !propTween && jQuery.isEmptyObject( orig ) ) { - return; - } - - // Restrict "overflow" and "display" styles during box animations - if ( isBox && elem.nodeType === 1 ) { - - // Support: IE <=9 - 11, Edge 12 - 13 - // Record all 3 overflow attributes because IE does not infer the shorthand - // from identically-valued overflowX and overflowY - opts.overflow = [ style.overflow, style.overflowX, style.overflowY ]; - - // Identify a display type, preferring old show/hide data over the CSS cascade - restoreDisplay = dataShow && dataShow.display; - if ( restoreDisplay == null ) { - restoreDisplay = dataPriv.get( elem, "display" ); - } - display = jQuery.css( elem, "display" ); - if ( display === "none" ) { - if ( restoreDisplay ) { - display = restoreDisplay; - } else { - - // Get nonempty value(s) by temporarily forcing visibility - showHide( [ elem ], true ); - restoreDisplay = elem.style.display || restoreDisplay; - display = jQuery.css( elem, "display" ); - showHide( [ elem ] ); - } - } - - // Animate inline elements as inline-block - if ( display === "inline" || display === "inline-block" && restoreDisplay != null ) { - if ( jQuery.css( elem, "float" ) === "none" ) { - - // Restore the original display value at the end of pure show/hide animations - if ( !propTween ) { - anim.done( function() { - style.display = restoreDisplay; - } ); - if ( restoreDisplay == null ) { - display = style.display; - restoreDisplay = display === "none" ? "" : display; - } - } - style.display = "inline-block"; - } - } - } - - if ( opts.overflow ) { - style.overflow = "hidden"; - anim.always( function() { - style.overflow = opts.overflow[ 0 ]; - style.overflowX = opts.overflow[ 1 ]; - style.overflowY = opts.overflow[ 2 ]; - } ); - } - - // Implement show/hide animations - propTween = false; - for ( prop in orig ) { - - // General show/hide setup for this element animation - if ( !propTween ) { - if ( dataShow ) { - if ( "hidden" in dataShow ) { - hidden = dataShow.hidden; - } - } else { - dataShow = dataPriv.access( elem, "fxshow", { display: restoreDisplay } ); - } - - // Store hidden/visible for toggle so `.stop().toggle()` "reverses" - if ( toggle ) { - dataShow.hidden = !hidden; - } - - // Show elements before animating them - if ( hidden ) { - showHide( [ elem ], true ); - } - - /* eslint-disable no-loop-func */ - - anim.done( function() { - - /* eslint-enable no-loop-func */ - - // The final step of a "hide" animation is actually hiding the element - if ( !hidden ) { - showHide( [ elem ] ); - } - dataPriv.remove( elem, "fxshow" ); - for ( prop in orig ) { - jQuery.style( elem, prop, orig[ prop ] ); - } - } ); - } - - // Per-property setup - propTween = createTween( hidden ? dataShow[ prop ] : 0, prop, anim ); - if ( !( prop in dataShow ) ) { - dataShow[ prop ] = propTween.start; - if ( hidden ) { - propTween.end = propTween.start; - propTween.start = 0; - } - } - } -} - -function propFilter( props, specialEasing ) { - var index, name, easing, value, hooks; - - // camelCase, specialEasing and expand cssHook pass - for ( index in props ) { - name = jQuery.camelCase( index ); - easing = specialEasing[ name ]; - value = props[ index ]; - if ( Array.isArray( value ) ) { - easing = value[ 1 ]; - value = props[ index ] = value[ 0 ]; - } - - if ( index !== name ) { - props[ name ] = value; - delete props[ index ]; - } - - hooks = jQuery.cssHooks[ name ]; - if ( hooks && "expand" in hooks ) { - value = hooks.expand( value ); - delete props[ name ]; - - // Not quite $.extend, this won't overwrite existing keys. - // Reusing 'index' because we have the correct "name" - for ( index in value ) { - if ( !( index in props ) ) { - props[ index ] = value[ index ]; - specialEasing[ index ] = easing; - } - } - } else { - specialEasing[ name ] = easing; - } - } -} - -function Animation( elem, properties, options ) { - var result, - stopped, - index = 0, - length = Animation.prefilters.length, - deferred = jQuery.Deferred().always( function() { - - // Don't match elem in the :animated selector - delete tick.elem; - } ), - tick = function() { - if ( stopped ) { - return false; - } - var currentTime = fxNow || createFxNow(), - remaining = Math.max( 0, animation.startTime + animation.duration - currentTime ), - - // Support: Android 2.3 only - // Archaic crash bug won't allow us to use `1 - ( 0.5 || 0 )` (#12497) - temp = remaining / animation.duration || 0, - percent = 1 - temp, - index = 0, - length = animation.tweens.length; - - for ( ; index < length; index++ ) { - animation.tweens[ index ].run( percent ); - } - - deferred.notifyWith( elem, [ animation, percent, remaining ] ); - - // If there's more to do, yield - if ( percent < 1 && length ) { - return remaining; - } - - // If this was an empty animation, synthesize a final progress notification - if ( !length ) { - deferred.notifyWith( elem, [ animation, 1, 0 ] ); - } - - // Resolve the animation and report its conclusion - deferred.resolveWith( elem, [ animation ] ); - return false; - }, - animation = deferred.promise( { - elem: elem, - props: jQuery.extend( {}, properties ), - opts: jQuery.extend( true, { - specialEasing: {}, - easing: jQuery.easing._default - }, options ), - originalProperties: properties, - originalOptions: options, - startTime: fxNow || createFxNow(), - duration: options.duration, - tweens: [], - createTween: function( prop, end ) { - var tween = jQuery.Tween( elem, animation.opts, prop, end, - animation.opts.specialEasing[ prop ] || animation.opts.easing ); - animation.tweens.push( tween ); - return tween; - }, - stop: function( gotoEnd ) { - var index = 0, - - // If we are going to the end, we want to run all the tweens - // otherwise we skip this part - length = gotoEnd ? animation.tweens.length : 0; - if ( stopped ) { - return this; - } - stopped = true; - for ( ; index < length; index++ ) { - animation.tweens[ index ].run( 1 ); - } - - // Resolve when we played the last frame; otherwise, reject - if ( gotoEnd ) { - deferred.notifyWith( elem, [ animation, 1, 0 ] ); - deferred.resolveWith( elem, [ animation, gotoEnd ] ); - } else { - deferred.rejectWith( elem, [ animation, gotoEnd ] ); - } - return this; - } - } ), - props = animation.props; - - propFilter( props, animation.opts.specialEasing ); - - for ( ; index < length; index++ ) { - result = Animation.prefilters[ index ].call( animation, elem, props, animation.opts ); - if ( result ) { - if ( jQuery.isFunction( result.stop ) ) { - jQuery._queueHooks( animation.elem, animation.opts.queue ).stop = - jQuery.proxy( result.stop, result ); - } - return result; - } - } - - jQuery.map( props, createTween, animation ); - - if ( jQuery.isFunction( animation.opts.start ) ) { - animation.opts.start.call( elem, animation ); - } - - // Attach callbacks from options - animation - .progress( animation.opts.progress ) - .done( animation.opts.done, animation.opts.complete ) - .fail( animation.opts.fail ) - .always( animation.opts.always ); - - jQuery.fx.timer( - jQuery.extend( tick, { - elem: elem, - anim: animation, - queue: animation.opts.queue - } ) - ); - - return animation; -} - -jQuery.Animation = jQuery.extend( Animation, { - - tweeners: { - "*": [ function( prop, value ) { - var tween = this.createTween( prop, value ); - adjustCSS( tween.elem, prop, rcssNum.exec( value ), tween ); - return tween; - } ] - }, - - tweener: function( props, callback ) { - if ( jQuery.isFunction( props ) ) { - callback = props; - props = [ "*" ]; - } else { - props = props.match( rnothtmlwhite ); - } - - var prop, - index = 0, - length = props.length; - - for ( ; index < length; index++ ) { - prop = props[ index ]; - Animation.tweeners[ prop ] = Animation.tweeners[ prop ] || []; - Animation.tweeners[ prop ].unshift( callback ); - } - }, - - prefilters: [ defaultPrefilter ], - - prefilter: function( callback, prepend ) { - if ( prepend ) { - Animation.prefilters.unshift( callback ); - } else { - Animation.prefilters.push( callback ); - } - } -} ); - -jQuery.speed = function( speed, easing, fn ) { - var opt = speed && typeof speed === "object" ? jQuery.extend( {}, speed ) : { - complete: fn || !fn && easing || - jQuery.isFunction( speed ) && speed, - duration: speed, - easing: fn && easing || easing && !jQuery.isFunction( easing ) && easing - }; - - // Go to the end state if fx are off - if ( jQuery.fx.off ) { - opt.duration = 0; - - } else { - if ( typeof opt.duration !== "number" ) { - if ( opt.duration in jQuery.fx.speeds ) { - opt.duration = jQuery.fx.speeds[ opt.duration ]; - - } else { - opt.duration = jQuery.fx.speeds._default; - } - } - } - - // Normalize opt.queue - true/undefined/null -> "fx" - if ( opt.queue == null || opt.queue === true ) { - opt.queue = "fx"; - } - - // Queueing - opt.old = opt.complete; - - opt.complete = function() { - if ( jQuery.isFunction( opt.old ) ) { - opt.old.call( this ); - } - - if ( opt.queue ) { - jQuery.dequeue( this, opt.queue ); - } - }; - - return opt; -}; - -jQuery.fn.extend( { - fadeTo: function( speed, to, easing, callback ) { - - // Show any hidden elements after setting opacity to 0 - return this.filter( isHiddenWithinTree ).css( "opacity", 0 ).show() - - // Animate to the value specified - .end().animate( { opacity: to }, speed, easing, callback ); - }, - animate: function( prop, speed, easing, callback ) { - var empty = jQuery.isEmptyObject( prop ), - optall = jQuery.speed( speed, easing, callback ), - doAnimation = function() { - - // Operate on a copy of prop so per-property easing won't be lost - var anim = Animation( this, jQuery.extend( {}, prop ), optall ); - - // Empty animations, or finishing resolves immediately - if ( empty || dataPriv.get( this, "finish" ) ) { - anim.stop( true ); - } - }; - doAnimation.finish = doAnimation; - - return empty || optall.queue === false ? - this.each( doAnimation ) : - this.queue( optall.queue, doAnimation ); - }, - stop: function( type, clearQueue, gotoEnd ) { - var stopQueue = function( hooks ) { - var stop = hooks.stop; - delete hooks.stop; - stop( gotoEnd ); - }; - - if ( typeof type !== "string" ) { - gotoEnd = clearQueue; - clearQueue = type; - type = undefined; - } - if ( clearQueue && type !== false ) { - this.queue( type || "fx", [] ); - } - - return this.each( function() { - var dequeue = true, - index = type != null && type + "queueHooks", - timers = jQuery.timers, - data = dataPriv.get( this ); - - if ( index ) { - if ( data[ index ] && data[ index ].stop ) { - stopQueue( data[ index ] ); - } - } else { - for ( index in data ) { - if ( data[ index ] && data[ index ].stop && rrun.test( index ) ) { - stopQueue( data[ index ] ); - } - } - } - - for ( index = timers.length; index--; ) { - if ( timers[ index ].elem === this && - ( type == null || timers[ index ].queue === type ) ) { - - timers[ index ].anim.stop( gotoEnd ); - dequeue = false; - timers.splice( index, 1 ); - } - } - - // Start the next in the queue if the last step wasn't forced. - // Timers currently will call their complete callbacks, which - // will dequeue but only if they were gotoEnd. - if ( dequeue || !gotoEnd ) { - jQuery.dequeue( this, type ); - } - } ); - }, - finish: function( type ) { - if ( type !== false ) { - type = type || "fx"; - } - return this.each( function() { - var index, - data = dataPriv.get( this ), - queue = data[ type + "queue" ], - hooks = data[ type + "queueHooks" ], - timers = jQuery.timers, - length = queue ? queue.length : 0; - - // Enable finishing flag on private data - data.finish = true; - - // Empty the queue first - jQuery.queue( this, type, [] ); - - if ( hooks && hooks.stop ) { - hooks.stop.call( this, true ); - } - - // Look for any active animations, and finish them - for ( index = timers.length; index--; ) { - if ( timers[ index ].elem === this && timers[ index ].queue === type ) { - timers[ index ].anim.stop( true ); - timers.splice( index, 1 ); - } - } - - // Look for any animations in the old queue and finish them - for ( index = 0; index < length; index++ ) { - if ( queue[ index ] && queue[ index ].finish ) { - queue[ index ].finish.call( this ); - } - } - - // Turn off finishing flag - delete data.finish; - } ); - } -} ); - -jQuery.each( [ "toggle", "show", "hide" ], function( i, name ) { - var cssFn = jQuery.fn[ name ]; - jQuery.fn[ name ] = function( speed, easing, callback ) { - return speed == null || typeof speed === "boolean" ? - cssFn.apply( this, arguments ) : - this.animate( genFx( name, true ), speed, easing, callback ); - }; -} ); - -// Generate shortcuts for custom animations -jQuery.each( { - slideDown: genFx( "show" ), - slideUp: genFx( "hide" ), - slideToggle: genFx( "toggle" ), - fadeIn: { opacity: "show" }, - fadeOut: { opacity: "hide" }, - fadeToggle: { opacity: "toggle" } -}, function( name, props ) { - jQuery.fn[ name ] = function( speed, easing, callback ) { - return this.animate( props, speed, easing, callback ); - }; -} ); - -jQuery.timers = []; -jQuery.fx.tick = function() { - var timer, - i = 0, - timers = jQuery.timers; - - fxNow = jQuery.now(); - - for ( ; i < timers.length; i++ ) { - timer = timers[ i ]; - - // Run the timer and safely remove it when done (allowing for external removal) - if ( !timer() && timers[ i ] === timer ) { - timers.splice( i--, 1 ); - } - } - - if ( !timers.length ) { - jQuery.fx.stop(); - } - fxNow = undefined; -}; - -jQuery.fx.timer = function( timer ) { - jQuery.timers.push( timer ); - jQuery.fx.start(); -}; - -jQuery.fx.interval = 13; -jQuery.fx.start = function() { - if ( inProgress ) { - return; - } - - inProgress = true; - schedule(); -}; - -jQuery.fx.stop = function() { - inProgress = null; -}; - -jQuery.fx.speeds = { - slow: 600, - fast: 200, - - // Default speed - _default: 400 -}; - - -// Based off of the plugin by Clint Helfers, with permission. -// https://web.archive.org/web/20100324014747/http://blindsignals.com/index.php/2009/07/jquery-delay/ -jQuery.fn.delay = function( time, type ) { - time = jQuery.fx ? jQuery.fx.speeds[ time ] || time : time; - type = type || "fx"; - - return this.queue( type, function( next, hooks ) { - var timeout = window.setTimeout( next, time ); - hooks.stop = function() { - window.clearTimeout( timeout ); - }; - } ); -}; - - -( function() { - var input = document.createElement( "input" ), - select = document.createElement( "select" ), - opt = select.appendChild( document.createElement( "option" ) ); - - input.type = "checkbox"; - - // Support: Android <=4.3 only - // Default value for a checkbox should be "on" - support.checkOn = input.value !== ""; - - // Support: IE <=11 only - // Must access selectedIndex to make default options select - support.optSelected = opt.selected; - - // Support: IE <=11 only - // An input loses its value after becoming a radio - input = document.createElement( "input" ); - input.value = "t"; - input.type = "radio"; - support.radioValue = input.value === "t"; -} )(); - - -var boolHook, - attrHandle = jQuery.expr.attrHandle; - -jQuery.fn.extend( { - attr: function( name, value ) { - return access( this, jQuery.attr, name, value, arguments.length > 1 ); - }, - - removeAttr: function( name ) { - return this.each( function() { - jQuery.removeAttr( this, name ); - } ); - } -} ); - -jQuery.extend( { - attr: function( elem, name, value ) { - var ret, hooks, - nType = elem.nodeType; - - // Don't get/set attributes on text, comment and attribute nodes - if ( nType === 3 || nType === 8 || nType === 2 ) { - return; - } - - // Fallback to prop when attributes are not supported - if ( typeof elem.getAttribute === "undefined" ) { - return jQuery.prop( elem, name, value ); - } - - // Attribute hooks are determined by the lowercase version - // Grab necessary hook if one is defined - if ( nType !== 1 || !jQuery.isXMLDoc( elem ) ) { - hooks = jQuery.attrHooks[ name.toLowerCase() ] || - ( jQuery.expr.match.bool.test( name ) ? boolHook : undefined ); - } - - if ( value !== undefined ) { - if ( value === null ) { - jQuery.removeAttr( elem, name ); - return; - } - - if ( hooks && "set" in hooks && - ( ret = hooks.set( elem, value, name ) ) !== undefined ) { - return ret; - } - - elem.setAttribute( name, value + "" ); - return value; - } - - if ( hooks && "get" in hooks && ( ret = hooks.get( elem, name ) ) !== null ) { - return ret; - } - - ret = jQuery.find.attr( elem, name ); - - // Non-existent attributes return null, we normalize to undefined - return ret == null ? undefined : ret; - }, - - attrHooks: { - type: { - set: function( elem, value ) { - if ( !support.radioValue && value === "radio" && - nodeName( elem, "input" ) ) { - var val = elem.value; - elem.setAttribute( "type", value ); - if ( val ) { - elem.value = val; - } - return value; - } - } - } - }, - - removeAttr: function( elem, value ) { - var name, - i = 0, - - // Attribute names can contain non-HTML whitespace characters - // https://html.spec.whatwg.org/multipage/syntax.html#attributes-2 - attrNames = value && value.match( rnothtmlwhite ); - - if ( attrNames && elem.nodeType === 1 ) { - while ( ( name = attrNames[ i++ ] ) ) { - elem.removeAttribute( name ); - } - } - } -} ); - -// Hooks for boolean attributes -boolHook = { - set: function( elem, value, name ) { - if ( value === false ) { - - // Remove boolean attributes when set to false - jQuery.removeAttr( elem, name ); - } else { - elem.setAttribute( name, name ); - } - return name; - } -}; - -jQuery.each( jQuery.expr.match.bool.source.match( /\w+/g ), function( i, name ) { - var getter = attrHandle[ name ] || jQuery.find.attr; - - attrHandle[ name ] = function( elem, name, isXML ) { - var ret, handle, - lowercaseName = name.toLowerCase(); - - if ( !isXML ) { - - // Avoid an infinite loop by temporarily removing this function from the getter - handle = attrHandle[ lowercaseName ]; - attrHandle[ lowercaseName ] = ret; - ret = getter( elem, name, isXML ) != null ? - lowercaseName : - null; - attrHandle[ lowercaseName ] = handle; - } - return ret; - }; -} ); - - - - -var rfocusable = /^(?:input|select|textarea|button)$/i, - rclickable = /^(?:a|area)$/i; - -jQuery.fn.extend( { - prop: function( name, value ) { - return access( this, jQuery.prop, name, value, arguments.length > 1 ); - }, - - removeProp: function( name ) { - return this.each( function() { - delete this[ jQuery.propFix[ name ] || name ]; - } ); - } -} ); - -jQuery.extend( { - prop: function( elem, name, value ) { - var ret, hooks, - nType = elem.nodeType; - - // Don't get/set properties on text, comment and attribute nodes - if ( nType === 3 || nType === 8 || nType === 2 ) { - return; - } - - if ( nType !== 1 || !jQuery.isXMLDoc( elem ) ) { - - // Fix name and attach hooks - name = jQuery.propFix[ name ] || name; - hooks = jQuery.propHooks[ name ]; - } - - if ( value !== undefined ) { - if ( hooks && "set" in hooks && - ( ret = hooks.set( elem, value, name ) ) !== undefined ) { - return ret; - } - - return ( elem[ name ] = value ); - } - - if ( hooks && "get" in hooks && ( ret = hooks.get( elem, name ) ) !== null ) { - return ret; - } - - return elem[ name ]; - }, - - propHooks: { - tabIndex: { - get: function( elem ) { - - // Support: IE <=9 - 11 only - // elem.tabIndex doesn't always return the - // correct value when it hasn't been explicitly set - // https://web.archive.org/web/20141116233347/http://fluidproject.org/blog/2008/01/09/getting-setting-and-removing-tabindex-values-with-javascript/ - // Use proper attribute retrieval(#12072) - var tabindex = jQuery.find.attr( elem, "tabindex" ); - - if ( tabindex ) { - return parseInt( tabindex, 10 ); - } - - if ( - rfocusable.test( elem.nodeName ) || - rclickable.test( elem.nodeName ) && - elem.href - ) { - return 0; - } - - return -1; - } - } - }, - - propFix: { - "for": "htmlFor", - "class": "className" - } -} ); - -// Support: IE <=11 only -// Accessing the selectedIndex property -// forces the browser to respect setting selected -// on the option -// The getter ensures a default option is selected -// when in an optgroup -// eslint rule "no-unused-expressions" is disabled for this code -// since it considers such accessions noop -if ( !support.optSelected ) { - jQuery.propHooks.selected = { - get: function( elem ) { - - /* eslint no-unused-expressions: "off" */ - - var parent = elem.parentNode; - if ( parent && parent.parentNode ) { - parent.parentNode.selectedIndex; - } - return null; - }, - set: function( elem ) { - - /* eslint no-unused-expressions: "off" */ - - var parent = elem.parentNode; - if ( parent ) { - parent.selectedIndex; - - if ( parent.parentNode ) { - parent.parentNode.selectedIndex; - } - } - } - }; -} - -jQuery.each( [ - "tabIndex", - "readOnly", - "maxLength", - "cellSpacing", - "cellPadding", - "rowSpan", - "colSpan", - "useMap", - "frameBorder", - "contentEditable" -], function() { - jQuery.propFix[ this.toLowerCase() ] = this; -} ); - - - - - // Strip and collapse whitespace according to HTML spec - // https://html.spec.whatwg.org/multipage/infrastructure.html#strip-and-collapse-whitespace - function stripAndCollapse( value ) { - var tokens = value.match( rnothtmlwhite ) || []; - return tokens.join( " " ); - } - - -function getClass( elem ) { - return elem.getAttribute && elem.getAttribute( "class" ) || ""; -} - -jQuery.fn.extend( { - addClass: function( value ) { - var classes, elem, cur, curValue, clazz, j, finalValue, - i = 0; - - if ( jQuery.isFunction( value ) ) { - return this.each( function( j ) { - jQuery( this ).addClass( value.call( this, j, getClass( this ) ) ); - } ); - } - - if ( typeof value === "string" && value ) { - classes = value.match( rnothtmlwhite ) || []; - - while ( ( elem = this[ i++ ] ) ) { - curValue = getClass( elem ); - cur = elem.nodeType === 1 && ( " " + stripAndCollapse( curValue ) + " " ); - - if ( cur ) { - j = 0; - while ( ( clazz = classes[ j++ ] ) ) { - if ( cur.indexOf( " " + clazz + " " ) < 0 ) { - cur += clazz + " "; - } - } - - // Only assign if different to avoid unneeded rendering. - finalValue = stripAndCollapse( cur ); - if ( curValue !== finalValue ) { - elem.setAttribute( "class", finalValue ); - } - } - } - } - - return this; - }, - - removeClass: function( value ) { - var classes, elem, cur, curValue, clazz, j, finalValue, - i = 0; - - if ( jQuery.isFunction( value ) ) { - return this.each( function( j ) { - jQuery( this ).removeClass( value.call( this, j, getClass( this ) ) ); - } ); - } - - if ( !arguments.length ) { - return this.attr( "class", "" ); - } - - if ( typeof value === "string" && value ) { - classes = value.match( rnothtmlwhite ) || []; - - while ( ( elem = this[ i++ ] ) ) { - curValue = getClass( elem ); - - // This expression is here for better compressibility (see addClass) - cur = elem.nodeType === 1 && ( " " + stripAndCollapse( curValue ) + " " ); - - if ( cur ) { - j = 0; - while ( ( clazz = classes[ j++ ] ) ) { - - // Remove *all* instances - while ( cur.indexOf( " " + clazz + " " ) > -1 ) { - cur = cur.replace( " " + clazz + " ", " " ); - } - } - - // Only assign if different to avoid unneeded rendering. - finalValue = stripAndCollapse( cur ); - if ( curValue !== finalValue ) { - elem.setAttribute( "class", finalValue ); - } - } - } - } - - return this; - }, - - toggleClass: function( value, stateVal ) { - var type = typeof value; - - if ( typeof stateVal === "boolean" && type === "string" ) { - return stateVal ? this.addClass( value ) : this.removeClass( value ); - } - - if ( jQuery.isFunction( value ) ) { - return this.each( function( i ) { - jQuery( this ).toggleClass( - value.call( this, i, getClass( this ), stateVal ), - stateVal - ); - } ); - } - - return this.each( function() { - var className, i, self, classNames; - - if ( type === "string" ) { - - // Toggle individual class names - i = 0; - self = jQuery( this ); - classNames = value.match( rnothtmlwhite ) || []; - - while ( ( className = classNames[ i++ ] ) ) { - - // Check each className given, space separated list - if ( self.hasClass( className ) ) { - self.removeClass( className ); - } else { - self.addClass( className ); - } - } - - // Toggle whole class name - } else if ( value === undefined || type === "boolean" ) { - className = getClass( this ); - if ( className ) { - - // Store className if set - dataPriv.set( this, "__className__", className ); - } - - // If the element has a class name or if we're passed `false`, - // then remove the whole classname (if there was one, the above saved it). - // Otherwise bring back whatever was previously saved (if anything), - // falling back to the empty string if nothing was stored. - if ( this.setAttribute ) { - this.setAttribute( "class", - className || value === false ? - "" : - dataPriv.get( this, "__className__" ) || "" - ); - } - } - } ); - }, - - hasClass: function( selector ) { - var className, elem, - i = 0; - - className = " " + selector + " "; - while ( ( elem = this[ i++ ] ) ) { - if ( elem.nodeType === 1 && - ( " " + stripAndCollapse( getClass( elem ) ) + " " ).indexOf( className ) > -1 ) { - return true; - } - } - - return false; - } -} ); - - - - -var rreturn = /\r/g; - -jQuery.fn.extend( { - val: function( value ) { - var hooks, ret, isFunction, - elem = this[ 0 ]; - - if ( !arguments.length ) { - if ( elem ) { - hooks = jQuery.valHooks[ elem.type ] || - jQuery.valHooks[ elem.nodeName.toLowerCase() ]; - - if ( hooks && - "get" in hooks && - ( ret = hooks.get( elem, "value" ) ) !== undefined - ) { - return ret; - } - - ret = elem.value; - - // Handle most common string cases - if ( typeof ret === "string" ) { - return ret.replace( rreturn, "" ); - } - - // Handle cases where value is null/undef or number - return ret == null ? "" : ret; - } - - return; - } - - isFunction = jQuery.isFunction( value ); - - return this.each( function( i ) { - var val; - - if ( this.nodeType !== 1 ) { - return; - } - - if ( isFunction ) { - val = value.call( this, i, jQuery( this ).val() ); - } else { - val = value; - } - - // Treat null/undefined as ""; convert numbers to string - if ( val == null ) { - val = ""; - - } else if ( typeof val === "number" ) { - val += ""; - - } else if ( Array.isArray( val ) ) { - val = jQuery.map( val, function( value ) { - return value == null ? "" : value + ""; - } ); - } - - hooks = jQuery.valHooks[ this.type ] || jQuery.valHooks[ this.nodeName.toLowerCase() ]; - - // If set returns undefined, fall back to normal setting - if ( !hooks || !( "set" in hooks ) || hooks.set( this, val, "value" ) === undefined ) { - this.value = val; - } - } ); - } -} ); - -jQuery.extend( { - valHooks: { - option: { - get: function( elem ) { - - var val = jQuery.find.attr( elem, "value" ); - return val != null ? - val : - - // Support: IE <=10 - 11 only - // option.text throws exceptions (#14686, #14858) - // Strip and collapse whitespace - // https://html.spec.whatwg.org/#strip-and-collapse-whitespace - stripAndCollapse( jQuery.text( elem ) ); - } - }, - select: { - get: function( elem ) { - var value, option, i, - options = elem.options, - index = elem.selectedIndex, - one = elem.type === "select-one", - values = one ? null : [], - max = one ? index + 1 : options.length; - - if ( index < 0 ) { - i = max; - - } else { - i = one ? index : 0; - } - - // Loop through all the selected options - for ( ; i < max; i++ ) { - option = options[ i ]; - - // Support: IE <=9 only - // IE8-9 doesn't update selected after form reset (#2551) - if ( ( option.selected || i === index ) && - - // Don't return options that are disabled or in a disabled optgroup - !option.disabled && - ( !option.parentNode.disabled || - !nodeName( option.parentNode, "optgroup" ) ) ) { - - // Get the specific value for the option - value = jQuery( option ).val(); - - // We don't need an array for one selects - if ( one ) { - return value; - } - - // Multi-Selects return an array - values.push( value ); - } - } - - return values; - }, - - set: function( elem, value ) { - var optionSet, option, - options = elem.options, - values = jQuery.makeArray( value ), - i = options.length; - - while ( i-- ) { - option = options[ i ]; - - /* eslint-disable no-cond-assign */ - - if ( option.selected = - jQuery.inArray( jQuery.valHooks.option.get( option ), values ) > -1 - ) { - optionSet = true; - } - - /* eslint-enable no-cond-assign */ - } - - // Force browsers to behave consistently when non-matching value is set - if ( !optionSet ) { - elem.selectedIndex = -1; - } - return values; - } - } - } -} ); - -// Radios and checkboxes getter/setter -jQuery.each( [ "radio", "checkbox" ], function() { - jQuery.valHooks[ this ] = { - set: function( elem, value ) { - if ( Array.isArray( value ) ) { - return ( elem.checked = jQuery.inArray( jQuery( elem ).val(), value ) > -1 ); - } - } - }; - if ( !support.checkOn ) { - jQuery.valHooks[ this ].get = function( elem ) { - return elem.getAttribute( "value" ) === null ? "on" : elem.value; - }; - } -} ); - - - - -// Return jQuery for attributes-only inclusion - - -var rfocusMorph = /^(?:focusinfocus|focusoutblur)$/; - -jQuery.extend( jQuery.event, { - - trigger: function( event, data, elem, onlyHandlers ) { - - var i, cur, tmp, bubbleType, ontype, handle, special, - eventPath = [ elem || document ], - type = hasOwn.call( event, "type" ) ? event.type : event, - namespaces = hasOwn.call( event, "namespace" ) ? event.namespace.split( "." ) : []; - - cur = tmp = elem = elem || document; - - // Don't do events on text and comment nodes - if ( elem.nodeType === 3 || elem.nodeType === 8 ) { - return; - } - - // focus/blur morphs to focusin/out; ensure we're not firing them right now - if ( rfocusMorph.test( type + jQuery.event.triggered ) ) { - return; - } - - if ( type.indexOf( "." ) > -1 ) { - - // Namespaced trigger; create a regexp to match event type in handle() - namespaces = type.split( "." ); - type = namespaces.shift(); - namespaces.sort(); - } - ontype = type.indexOf( ":" ) < 0 && "on" + type; - - // Caller can pass in a jQuery.Event object, Object, or just an event type string - event = event[ jQuery.expando ] ? - event : - new jQuery.Event( type, typeof event === "object" && event ); - - // Trigger bitmask: & 1 for native handlers; & 2 for jQuery (always true) - event.isTrigger = onlyHandlers ? 2 : 3; - event.namespace = namespaces.join( "." ); - event.rnamespace = event.namespace ? - new RegExp( "(^|\\.)" + namespaces.join( "\\.(?:.*\\.|)" ) + "(\\.|$)" ) : - null; - - // Clean up the event in case it is being reused - event.result = undefined; - if ( !event.target ) { - event.target = elem; - } - - // Clone any incoming data and prepend the event, creating the handler arg list - data = data == null ? - [ event ] : - jQuery.makeArray( data, [ event ] ); - - // Allow special events to draw outside the lines - special = jQuery.event.special[ type ] || {}; - if ( !onlyHandlers && special.trigger && special.trigger.apply( elem, data ) === false ) { - return; - } - - // Determine event propagation path in advance, per W3C events spec (#9951) - // Bubble up to document, then to window; watch for a global ownerDocument var (#9724) - if ( !onlyHandlers && !special.noBubble && !jQuery.isWindow( elem ) ) { - - bubbleType = special.delegateType || type; - if ( !rfocusMorph.test( bubbleType + type ) ) { - cur = cur.parentNode; - } - for ( ; cur; cur = cur.parentNode ) { - eventPath.push( cur ); - tmp = cur; - } - - // Only add window if we got to document (e.g., not plain obj or detached DOM) - if ( tmp === ( elem.ownerDocument || document ) ) { - eventPath.push( tmp.defaultView || tmp.parentWindow || window ); - } - } - - // Fire handlers on the event path - i = 0; - while ( ( cur = eventPath[ i++ ] ) && !event.isPropagationStopped() ) { - - event.type = i > 1 ? - bubbleType : - special.bindType || type; - - // jQuery handler - handle = ( dataPriv.get( cur, "events" ) || {} )[ event.type ] && - dataPriv.get( cur, "handle" ); - if ( handle ) { - handle.apply( cur, data ); - } - - // Native handler - handle = ontype && cur[ ontype ]; - if ( handle && handle.apply && acceptData( cur ) ) { - event.result = handle.apply( cur, data ); - if ( event.result === false ) { - event.preventDefault(); - } - } - } - event.type = type; - - // If nobody prevented the default action, do it now - if ( !onlyHandlers && !event.isDefaultPrevented() ) { - - if ( ( !special._default || - special._default.apply( eventPath.pop(), data ) === false ) && - acceptData( elem ) ) { - - // Call a native DOM method on the target with the same name as the event. - // Don't do default actions on window, that's where global variables be (#6170) - if ( ontype && jQuery.isFunction( elem[ type ] ) && !jQuery.isWindow( elem ) ) { - - // Don't re-trigger an onFOO event when we call its FOO() method - tmp = elem[ ontype ]; - - if ( tmp ) { - elem[ ontype ] = null; - } - - // Prevent re-triggering of the same event, since we already bubbled it above - jQuery.event.triggered = type; - elem[ type ](); - jQuery.event.triggered = undefined; - - if ( tmp ) { - elem[ ontype ] = tmp; - } - } - } - } - - return event.result; - }, - - // Piggyback on a donor event to simulate a different one - // Used only for `focus(in | out)` events - simulate: function( type, elem, event ) { - var e = jQuery.extend( - new jQuery.Event(), - event, - { - type: type, - isSimulated: true - } - ); - - jQuery.event.trigger( e, null, elem ); - } - -} ); - -jQuery.fn.extend( { - - trigger: function( type, data ) { - return this.each( function() { - jQuery.event.trigger( type, data, this ); - } ); - }, - triggerHandler: function( type, data ) { - var elem = this[ 0 ]; - if ( elem ) { - return jQuery.event.trigger( type, data, elem, true ); - } - } -} ); - - -jQuery.each( ( "blur focus focusin focusout resize scroll click dblclick " + - "mousedown mouseup mousemove mouseover mouseout mouseenter mouseleave " + - "change select submit keydown keypress keyup contextmenu" ).split( " " ), - function( i, name ) { - - // Handle event binding - jQuery.fn[ name ] = function( data, fn ) { - return arguments.length > 0 ? - this.on( name, null, data, fn ) : - this.trigger( name ); - }; -} ); - -jQuery.fn.extend( { - hover: function( fnOver, fnOut ) { - return this.mouseenter( fnOver ).mouseleave( fnOut || fnOver ); - } -} ); - - - - -support.focusin = "onfocusin" in window; - - -// Support: Firefox <=44 -// Firefox doesn't have focus(in | out) events -// Related ticket - https://bugzilla.mozilla.org/show_bug.cgi?id=687787 -// -// Support: Chrome <=48 - 49, Safari <=9.0 - 9.1 -// focus(in | out) events fire after focus & blur events, -// which is spec violation - http://www.w3.org/TR/DOM-Level-3-Events/#events-focusevent-event-order -// Related ticket - https://bugs.chromium.org/p/chromium/issues/detail?id=449857 -if ( !support.focusin ) { - jQuery.each( { focus: "focusin", blur: "focusout" }, function( orig, fix ) { - - // Attach a single capturing handler on the document while someone wants focusin/focusout - var handler = function( event ) { - jQuery.event.simulate( fix, event.target, jQuery.event.fix( event ) ); - }; - - jQuery.event.special[ fix ] = { - setup: function() { - var doc = this.ownerDocument || this, - attaches = dataPriv.access( doc, fix ); - - if ( !attaches ) { - doc.addEventListener( orig, handler, true ); - } - dataPriv.access( doc, fix, ( attaches || 0 ) + 1 ); - }, - teardown: function() { - var doc = this.ownerDocument || this, - attaches = dataPriv.access( doc, fix ) - 1; - - if ( !attaches ) { - doc.removeEventListener( orig, handler, true ); - dataPriv.remove( doc, fix ); - - } else { - dataPriv.access( doc, fix, attaches ); - } - } - }; - } ); -} -var location = window.location; - -var nonce = jQuery.now(); - -var rquery = ( /\?/ ); - - - -// Cross-browser xml parsing -jQuery.parseXML = function( data ) { - var xml; - if ( !data || typeof data !== "string" ) { - return null; - } - - // Support: IE 9 - 11 only - // IE throws on parseFromString with invalid input. - try { - xml = ( new window.DOMParser() ).parseFromString( data, "text/xml" ); - } catch ( e ) { - xml = undefined; - } - - if ( !xml || xml.getElementsByTagName( "parsererror" ).length ) { - jQuery.error( "Invalid XML: " + data ); - } - return xml; -}; - - -var - rbracket = /\[\]$/, - rCRLF = /\r?\n/g, - rsubmitterTypes = /^(?:submit|button|image|reset|file)$/i, - rsubmittable = /^(?:input|select|textarea|keygen)/i; - -function buildParams( prefix, obj, traditional, add ) { - var name; - - if ( Array.isArray( obj ) ) { - - // Serialize array item. - jQuery.each( obj, function( i, v ) { - if ( traditional || rbracket.test( prefix ) ) { - - // Treat each array item as a scalar. - add( prefix, v ); - - } else { - - // Item is non-scalar (array or object), encode its numeric index. - buildParams( - prefix + "[" + ( typeof v === "object" && v != null ? i : "" ) + "]", - v, - traditional, - add - ); - } - } ); - - } else if ( !traditional && jQuery.type( obj ) === "object" ) { - - // Serialize object item. - for ( name in obj ) { - buildParams( prefix + "[" + name + "]", obj[ name ], traditional, add ); - } - - } else { - - // Serialize scalar item. - add( prefix, obj ); - } -} - -// Serialize an array of form elements or a set of -// key/values into a query string -jQuery.param = function( a, traditional ) { - var prefix, - s = [], - add = function( key, valueOrFunction ) { - - // If value is a function, invoke it and use its return value - var value = jQuery.isFunction( valueOrFunction ) ? - valueOrFunction() : - valueOrFunction; - - s[ s.length ] = encodeURIComponent( key ) + "=" + - encodeURIComponent( value == null ? "" : value ); - }; - - // If an array was passed in, assume that it is an array of form elements. - if ( Array.isArray( a ) || ( a.jquery && !jQuery.isPlainObject( a ) ) ) { - - // Serialize the form elements - jQuery.each( a, function() { - add( this.name, this.value ); - } ); - - } else { - - // If traditional, encode the "old" way (the way 1.3.2 or older - // did it), otherwise encode params recursively. - for ( prefix in a ) { - buildParams( prefix, a[ prefix ], traditional, add ); - } - } - - // Return the resulting serialization - return s.join( "&" ); -}; - -jQuery.fn.extend( { - serialize: function() { - return jQuery.param( this.serializeArray() ); - }, - serializeArray: function() { - return this.map( function() { - - // Can add propHook for "elements" to filter or add form elements - var elements = jQuery.prop( this, "elements" ); - return elements ? jQuery.makeArray( elements ) : this; - } ) - .filter( function() { - var type = this.type; - - // Use .is( ":disabled" ) so that fieldset[disabled] works - return this.name && !jQuery( this ).is( ":disabled" ) && - rsubmittable.test( this.nodeName ) && !rsubmitterTypes.test( type ) && - ( this.checked || !rcheckableType.test( type ) ); - } ) - .map( function( i, elem ) { - var val = jQuery( this ).val(); - - if ( val == null ) { - return null; - } - - if ( Array.isArray( val ) ) { - return jQuery.map( val, function( val ) { - return { name: elem.name, value: val.replace( rCRLF, "\r\n" ) }; - } ); - } - - return { name: elem.name, value: val.replace( rCRLF, "\r\n" ) }; - } ).get(); - } -} ); - - -var - r20 = /%20/g, - rhash = /#.*$/, - rantiCache = /([?&])_=[^&]*/, - rheaders = /^(.*?):[ \t]*([^\r\n]*)$/mg, - - // #7653, #8125, #8152: local protocol detection - rlocalProtocol = /^(?:about|app|app-storage|.+-extension|file|res|widget):$/, - rnoContent = /^(?:GET|HEAD)$/, - rprotocol = /^\/\//, - - /* Prefilters - * 1) They are useful to introduce custom dataTypes (see ajax/jsonp.js for an example) - * 2) These are called: - * - BEFORE asking for a transport - * - AFTER param serialization (s.data is a string if s.processData is true) - * 3) key is the dataType - * 4) the catchall symbol "*" can be used - * 5) execution will start with transport dataType and THEN continue down to "*" if needed - */ - prefilters = {}, - - /* Transports bindings - * 1) key is the dataType - * 2) the catchall symbol "*" can be used - * 3) selection will start with transport dataType and THEN go to "*" if needed - */ - transports = {}, - - // Avoid comment-prolog char sequence (#10098); must appease lint and evade compression - allTypes = "*/".concat( "*" ), - - // Anchor tag for parsing the document origin - originAnchor = document.createElement( "a" ); - originAnchor.href = location.href; - -// Base "constructor" for jQuery.ajaxPrefilter and jQuery.ajaxTransport -function addToPrefiltersOrTransports( structure ) { - - // dataTypeExpression is optional and defaults to "*" - return function( dataTypeExpression, func ) { - - if ( typeof dataTypeExpression !== "string" ) { - func = dataTypeExpression; - dataTypeExpression = "*"; - } - - var dataType, - i = 0, - dataTypes = dataTypeExpression.toLowerCase().match( rnothtmlwhite ) || []; - - if ( jQuery.isFunction( func ) ) { - - // For each dataType in the dataTypeExpression - while ( ( dataType = dataTypes[ i++ ] ) ) { - - // Prepend if requested - if ( dataType[ 0 ] === "+" ) { - dataType = dataType.slice( 1 ) || "*"; - ( structure[ dataType ] = structure[ dataType ] || [] ).unshift( func ); - - // Otherwise append - } else { - ( structure[ dataType ] = structure[ dataType ] || [] ).push( func ); - } - } - } - }; -} - -// Base inspection function for prefilters and transports -function inspectPrefiltersOrTransports( structure, options, originalOptions, jqXHR ) { - - var inspected = {}, - seekingTransport = ( structure === transports ); - - function inspect( dataType ) { - var selected; - inspected[ dataType ] = true; - jQuery.each( structure[ dataType ] || [], function( _, prefilterOrFactory ) { - var dataTypeOrTransport = prefilterOrFactory( options, originalOptions, jqXHR ); - if ( typeof dataTypeOrTransport === "string" && - !seekingTransport && !inspected[ dataTypeOrTransport ] ) { - - options.dataTypes.unshift( dataTypeOrTransport ); - inspect( dataTypeOrTransport ); - return false; - } else if ( seekingTransport ) { - return !( selected = dataTypeOrTransport ); - } - } ); - return selected; - } - - return inspect( options.dataTypes[ 0 ] ) || !inspected[ "*" ] && inspect( "*" ); -} - -// A special extend for ajax options -// that takes "flat" options (not to be deep extended) -// Fixes #9887 -function ajaxExtend( target, src ) { - var key, deep, - flatOptions = jQuery.ajaxSettings.flatOptions || {}; - - for ( key in src ) { - if ( src[ key ] !== undefined ) { - ( flatOptions[ key ] ? target : ( deep || ( deep = {} ) ) )[ key ] = src[ key ]; - } - } - if ( deep ) { - jQuery.extend( true, target, deep ); - } - - return target; -} - -/* Handles responses to an ajax request: - * - finds the right dataType (mediates between content-type and expected dataType) - * - returns the corresponding response - */ -function ajaxHandleResponses( s, jqXHR, responses ) { - - var ct, type, finalDataType, firstDataType, - contents = s.contents, - dataTypes = s.dataTypes; - - // Remove auto dataType and get content-type in the process - while ( dataTypes[ 0 ] === "*" ) { - dataTypes.shift(); - if ( ct === undefined ) { - ct = s.mimeType || jqXHR.getResponseHeader( "Content-Type" ); - } - } - - // Check if we're dealing with a known content-type - if ( ct ) { - for ( type in contents ) { - if ( contents[ type ] && contents[ type ].test( ct ) ) { - dataTypes.unshift( type ); - break; - } - } - } - - // Check to see if we have a response for the expected dataType - if ( dataTypes[ 0 ] in responses ) { - finalDataType = dataTypes[ 0 ]; - } else { - - // Try convertible dataTypes - for ( type in responses ) { - if ( !dataTypes[ 0 ] || s.converters[ type + " " + dataTypes[ 0 ] ] ) { - finalDataType = type; - break; - } - if ( !firstDataType ) { - firstDataType = type; - } - } - - // Or just use first one - finalDataType = finalDataType || firstDataType; - } - - // If we found a dataType - // We add the dataType to the list if needed - // and return the corresponding response - if ( finalDataType ) { - if ( finalDataType !== dataTypes[ 0 ] ) { - dataTypes.unshift( finalDataType ); - } - return responses[ finalDataType ]; - } -} - -/* Chain conversions given the request and the original response - * Also sets the responseXXX fields on the jqXHR instance - */ -function ajaxConvert( s, response, jqXHR, isSuccess ) { - var conv2, current, conv, tmp, prev, - converters = {}, - - // Work with a copy of dataTypes in case we need to modify it for conversion - dataTypes = s.dataTypes.slice(); - - // Create converters map with lowercased keys - if ( dataTypes[ 1 ] ) { - for ( conv in s.converters ) { - converters[ conv.toLowerCase() ] = s.converters[ conv ]; - } - } - - current = dataTypes.shift(); - - // Convert to each sequential dataType - while ( current ) { - - if ( s.responseFields[ current ] ) { - jqXHR[ s.responseFields[ current ] ] = response; - } - - // Apply the dataFilter if provided - if ( !prev && isSuccess && s.dataFilter ) { - response = s.dataFilter( response, s.dataType ); - } - - prev = current; - current = dataTypes.shift(); - - if ( current ) { - - // There's only work to do if current dataType is non-auto - if ( current === "*" ) { - - current = prev; - - // Convert response if prev dataType is non-auto and differs from current - } else if ( prev !== "*" && prev !== current ) { - - // Seek a direct converter - conv = converters[ prev + " " + current ] || converters[ "* " + current ]; - - // If none found, seek a pair - if ( !conv ) { - for ( conv2 in converters ) { - - // If conv2 outputs current - tmp = conv2.split( " " ); - if ( tmp[ 1 ] === current ) { - - // If prev can be converted to accepted input - conv = converters[ prev + " " + tmp[ 0 ] ] || - converters[ "* " + tmp[ 0 ] ]; - if ( conv ) { - - // Condense equivalence converters - if ( conv === true ) { - conv = converters[ conv2 ]; - - // Otherwise, insert the intermediate dataType - } else if ( converters[ conv2 ] !== true ) { - current = tmp[ 0 ]; - dataTypes.unshift( tmp[ 1 ] ); - } - break; - } - } - } - } - - // Apply converter (if not an equivalence) - if ( conv !== true ) { - - // Unless errors are allowed to bubble, catch and return them - if ( conv && s.throws ) { - response = conv( response ); - } else { - try { - response = conv( response ); - } catch ( e ) { - return { - state: "parsererror", - error: conv ? e : "No conversion from " + prev + " to " + current - }; - } - } - } - } - } - } - - return { state: "success", data: response }; -} - -jQuery.extend( { - - // Counter for holding the number of active queries - active: 0, - - // Last-Modified header cache for next request - lastModified: {}, - etag: {}, - - ajaxSettings: { - url: location.href, - type: "GET", - isLocal: rlocalProtocol.test( location.protocol ), - global: true, - processData: true, - async: true, - contentType: "application/x-www-form-urlencoded; charset=UTF-8", - - /* - timeout: 0, - data: null, - dataType: null, - username: null, - password: null, - cache: null, - throws: false, - traditional: false, - headers: {}, - */ - - accepts: { - "*": allTypes, - text: "text/plain", - html: "text/html", - xml: "application/xml, text/xml", - json: "application/json, text/javascript" - }, - - contents: { - xml: /\bxml\b/, - html: /\bhtml/, - json: /\bjson\b/ - }, - - responseFields: { - xml: "responseXML", - text: "responseText", - json: "responseJSON" - }, - - // Data converters - // Keys separate source (or catchall "*") and destination types with a single space - converters: { - - // Convert anything to text - "* text": String, - - // Text to html (true = no transformation) - "text html": true, - - // Evaluate text as a json expression - "text json": JSON.parse, - - // Parse text as xml - "text xml": jQuery.parseXML - }, - - // For options that shouldn't be deep extended: - // you can add your own custom options here if - // and when you create one that shouldn't be - // deep extended (see ajaxExtend) - flatOptions: { - url: true, - context: true - } - }, - - // Creates a full fledged settings object into target - // with both ajaxSettings and settings fields. - // If target is omitted, writes into ajaxSettings. - ajaxSetup: function( target, settings ) { - return settings ? - - // Building a settings object - ajaxExtend( ajaxExtend( target, jQuery.ajaxSettings ), settings ) : - - // Extending ajaxSettings - ajaxExtend( jQuery.ajaxSettings, target ); - }, - - ajaxPrefilter: addToPrefiltersOrTransports( prefilters ), - ajaxTransport: addToPrefiltersOrTransports( transports ), - - // Main method - ajax: function( url, options ) { - - // If url is an object, simulate pre-1.5 signature - if ( typeof url === "object" ) { - options = url; - url = undefined; - } - - // Force options to be an object - options = options || {}; - - var transport, - - // URL without anti-cache param - cacheURL, - - // Response headers - responseHeadersString, - responseHeaders, - - // timeout handle - timeoutTimer, - - // Url cleanup var - urlAnchor, - - // Request state (becomes false upon send and true upon completion) - completed, - - // To know if global events are to be dispatched - fireGlobals, - - // Loop variable - i, - - // uncached part of the url - uncached, - - // Create the final options object - s = jQuery.ajaxSetup( {}, options ), - - // Callbacks context - callbackContext = s.context || s, - - // Context for global events is callbackContext if it is a DOM node or jQuery collection - globalEventContext = s.context && - ( callbackContext.nodeType || callbackContext.jquery ) ? - jQuery( callbackContext ) : - jQuery.event, - - // Deferreds - deferred = jQuery.Deferred(), - completeDeferred = jQuery.Callbacks( "once memory" ), - - // Status-dependent callbacks - statusCode = s.statusCode || {}, - - // Headers (they are sent all at once) - requestHeaders = {}, - requestHeadersNames = {}, - - // Default abort message - strAbort = "canceled", - - // Fake xhr - jqXHR = { - readyState: 0, - - // Builds headers hashtable if needed - getResponseHeader: function( key ) { - var match; - if ( completed ) { - if ( !responseHeaders ) { - responseHeaders = {}; - while ( ( match = rheaders.exec( responseHeadersString ) ) ) { - responseHeaders[ match[ 1 ].toLowerCase() ] = match[ 2 ]; - } - } - match = responseHeaders[ key.toLowerCase() ]; - } - return match == null ? null : match; - }, - - // Raw string - getAllResponseHeaders: function() { - return completed ? responseHeadersString : null; - }, - - // Caches the header - setRequestHeader: function( name, value ) { - if ( completed == null ) { - name = requestHeadersNames[ name.toLowerCase() ] = - requestHeadersNames[ name.toLowerCase() ] || name; - requestHeaders[ name ] = value; - } - return this; - }, - - // Overrides response content-type header - overrideMimeType: function( type ) { - if ( completed == null ) { - s.mimeType = type; - } - return this; - }, - - // Status-dependent callbacks - statusCode: function( map ) { - var code; - if ( map ) { - if ( completed ) { - - // Execute the appropriate callbacks - jqXHR.always( map[ jqXHR.status ] ); - } else { - - // Lazy-add the new callbacks in a way that preserves old ones - for ( code in map ) { - statusCode[ code ] = [ statusCode[ code ], map[ code ] ]; - } - } - } - return this; - }, - - // Cancel the request - abort: function( statusText ) { - var finalText = statusText || strAbort; - if ( transport ) { - transport.abort( finalText ); - } - done( 0, finalText ); - return this; - } - }; - - // Attach deferreds - deferred.promise( jqXHR ); - - // Add protocol if not provided (prefilters might expect it) - // Handle falsy url in the settings object (#10093: consistency with old signature) - // We also use the url parameter if available - s.url = ( ( url || s.url || location.href ) + "" ) - .replace( rprotocol, location.protocol + "//" ); - - // Alias method option to type as per ticket #12004 - s.type = options.method || options.type || s.method || s.type; - - // Extract dataTypes list - s.dataTypes = ( s.dataType || "*" ).toLowerCase().match( rnothtmlwhite ) || [ "" ]; - - // A cross-domain request is in order when the origin doesn't match the current origin. - if ( s.crossDomain == null ) { - urlAnchor = document.createElement( "a" ); - - // Support: IE <=8 - 11, Edge 12 - 13 - // IE throws exception on accessing the href property if url is malformed, - // e.g. http://example.com:80x/ - try { - urlAnchor.href = s.url; - - // Support: IE <=8 - 11 only - // Anchor's host property isn't correctly set when s.url is relative - urlAnchor.href = urlAnchor.href; - s.crossDomain = originAnchor.protocol + "//" + originAnchor.host !== - urlAnchor.protocol + "//" + urlAnchor.host; - } catch ( e ) { - - // If there is an error parsing the URL, assume it is crossDomain, - // it can be rejected by the transport if it is invalid - s.crossDomain = true; - } - } - - // Convert data if not already a string - if ( s.data && s.processData && typeof s.data !== "string" ) { - s.data = jQuery.param( s.data, s.traditional ); - } - - // Apply prefilters - inspectPrefiltersOrTransports( prefilters, s, options, jqXHR ); - - // If request was aborted inside a prefilter, stop there - if ( completed ) { - return jqXHR; - } - - // We can fire global events as of now if asked to - // Don't fire events if jQuery.event is undefined in an AMD-usage scenario (#15118) - fireGlobals = jQuery.event && s.global; - - // Watch for a new set of requests - if ( fireGlobals && jQuery.active++ === 0 ) { - jQuery.event.trigger( "ajaxStart" ); - } - - // Uppercase the type - s.type = s.type.toUpperCase(); - - // Determine if request has content - s.hasContent = !rnoContent.test( s.type ); - - // Save the URL in case we're toying with the If-Modified-Since - // and/or If-None-Match header later on - // Remove hash to simplify url manipulation - cacheURL = s.url.replace( rhash, "" ); - - // More options handling for requests with no content - if ( !s.hasContent ) { - - // Remember the hash so we can put it back - uncached = s.url.slice( cacheURL.length ); - - // If data is available, append data to url - if ( s.data ) { - cacheURL += ( rquery.test( cacheURL ) ? "&" : "?" ) + s.data; - - // #9682: remove data so that it's not used in an eventual retry - delete s.data; - } - - // Add or update anti-cache param if needed - if ( s.cache === false ) { - cacheURL = cacheURL.replace( rantiCache, "$1" ); - uncached = ( rquery.test( cacheURL ) ? "&" : "?" ) + "_=" + ( nonce++ ) + uncached; - } - - // Put hash and anti-cache on the URL that will be requested (gh-1732) - s.url = cacheURL + uncached; - - // Change '%20' to '+' if this is encoded form body content (gh-2658) - } else if ( s.data && s.processData && - ( s.contentType || "" ).indexOf( "application/x-www-form-urlencoded" ) === 0 ) { - s.data = s.data.replace( r20, "+" ); - } - - // Set the If-Modified-Since and/or If-None-Match header, if in ifModified mode. - if ( s.ifModified ) { - if ( jQuery.lastModified[ cacheURL ] ) { - jqXHR.setRequestHeader( "If-Modified-Since", jQuery.lastModified[ cacheURL ] ); - } - if ( jQuery.etag[ cacheURL ] ) { - jqXHR.setRequestHeader( "If-None-Match", jQuery.etag[ cacheURL ] ); - } - } - - // Set the correct header, if data is being sent - if ( s.data && s.hasContent && s.contentType !== false || options.contentType ) { - jqXHR.setRequestHeader( "Content-Type", s.contentType ); - } - - // Set the Accepts header for the server, depending on the dataType - jqXHR.setRequestHeader( - "Accept", - s.dataTypes[ 0 ] && s.accepts[ s.dataTypes[ 0 ] ] ? - s.accepts[ s.dataTypes[ 0 ] ] + - ( s.dataTypes[ 0 ] !== "*" ? ", " + allTypes + "; q=0.01" : "" ) : - s.accepts[ "*" ] - ); - - // Check for headers option - for ( i in s.headers ) { - jqXHR.setRequestHeader( i, s.headers[ i ] ); - } - - // Allow custom headers/mimetypes and early abort - if ( s.beforeSend && - ( s.beforeSend.call( callbackContext, jqXHR, s ) === false || completed ) ) { - - // Abort if not done already and return - return jqXHR.abort(); - } - - // Aborting is no longer a cancellation - strAbort = "abort"; - - // Install callbacks on deferreds - completeDeferred.add( s.complete ); - jqXHR.done( s.success ); - jqXHR.fail( s.error ); - - // Get transport - transport = inspectPrefiltersOrTransports( transports, s, options, jqXHR ); - - // If no transport, we auto-abort - if ( !transport ) { - done( -1, "No Transport" ); - } else { - jqXHR.readyState = 1; - - // Send global event - if ( fireGlobals ) { - globalEventContext.trigger( "ajaxSend", [ jqXHR, s ] ); - } - - // If request was aborted inside ajaxSend, stop there - if ( completed ) { - return jqXHR; - } - - // Timeout - if ( s.async && s.timeout > 0 ) { - timeoutTimer = window.setTimeout( function() { - jqXHR.abort( "timeout" ); - }, s.timeout ); - } - - try { - completed = false; - transport.send( requestHeaders, done ); - } catch ( e ) { - - // Rethrow post-completion exceptions - if ( completed ) { - throw e; - } - - // Propagate others as results - done( -1, e ); - } - } - - // Callback for when everything is done - function done( status, nativeStatusText, responses, headers ) { - var isSuccess, success, error, response, modified, - statusText = nativeStatusText; - - // Ignore repeat invocations - if ( completed ) { - return; - } - - completed = true; - - // Clear timeout if it exists - if ( timeoutTimer ) { - window.clearTimeout( timeoutTimer ); - } - - // Dereference transport for early garbage collection - // (no matter how long the jqXHR object will be used) - transport = undefined; - - // Cache response headers - responseHeadersString = headers || ""; - - // Set readyState - jqXHR.readyState = status > 0 ? 4 : 0; - - // Determine if successful - isSuccess = status >= 200 && status < 300 || status === 304; - - // Get response data - if ( responses ) { - response = ajaxHandleResponses( s, jqXHR, responses ); - } - - // Convert no matter what (that way responseXXX fields are always set) - response = ajaxConvert( s, response, jqXHR, isSuccess ); - - // If successful, handle type chaining - if ( isSuccess ) { - - // Set the If-Modified-Since and/or If-None-Match header, if in ifModified mode. - if ( s.ifModified ) { - modified = jqXHR.getResponseHeader( "Last-Modified" ); - if ( modified ) { - jQuery.lastModified[ cacheURL ] = modified; - } - modified = jqXHR.getResponseHeader( "etag" ); - if ( modified ) { - jQuery.etag[ cacheURL ] = modified; - } - } - - // if no content - if ( status === 204 || s.type === "HEAD" ) { - statusText = "nocontent"; - - // if not modified - } else if ( status === 304 ) { - statusText = "notmodified"; - - // If we have data, let's convert it - } else { - statusText = response.state; - success = response.data; - error = response.error; - isSuccess = !error; - } - } else { - - // Extract error from statusText and normalize for non-aborts - error = statusText; - if ( status || !statusText ) { - statusText = "error"; - if ( status < 0 ) { - status = 0; - } - } - } - - // Set data for the fake xhr object - jqXHR.status = status; - jqXHR.statusText = ( nativeStatusText || statusText ) + ""; - - // Success/Error - if ( isSuccess ) { - deferred.resolveWith( callbackContext, [ success, statusText, jqXHR ] ); - } else { - deferred.rejectWith( callbackContext, [ jqXHR, statusText, error ] ); - } - - // Status-dependent callbacks - jqXHR.statusCode( statusCode ); - statusCode = undefined; - - if ( fireGlobals ) { - globalEventContext.trigger( isSuccess ? "ajaxSuccess" : "ajaxError", - [ jqXHR, s, isSuccess ? success : error ] ); - } - - // Complete - completeDeferred.fireWith( callbackContext, [ jqXHR, statusText ] ); - - if ( fireGlobals ) { - globalEventContext.trigger( "ajaxComplete", [ jqXHR, s ] ); - - // Handle the global AJAX counter - if ( !( --jQuery.active ) ) { - jQuery.event.trigger( "ajaxStop" ); - } - } - } - - return jqXHR; - }, - - getJSON: function( url, data, callback ) { - return jQuery.get( url, data, callback, "json" ); - }, - - getScript: function( url, callback ) { - return jQuery.get( url, undefined, callback, "script" ); - } -} ); - -jQuery.each( [ "get", "post" ], function( i, method ) { - jQuery[ method ] = function( url, data, callback, type ) { - - // Shift arguments if data argument was omitted - if ( jQuery.isFunction( data ) ) { - type = type || callback; - callback = data; - data = undefined; - } - - // The url can be an options object (which then must have .url) - return jQuery.ajax( jQuery.extend( { - url: url, - type: method, - dataType: type, - data: data, - success: callback - }, jQuery.isPlainObject( url ) && url ) ); - }; -} ); - - -jQuery._evalUrl = function( url ) { - return jQuery.ajax( { - url: url, - - // Make this explicit, since user can override this through ajaxSetup (#11264) - type: "GET", - dataType: "script", - cache: true, - async: false, - global: false, - "throws": true - } ); -}; - - -jQuery.fn.extend( { - wrapAll: function( html ) { - var wrap; - - if ( this[ 0 ] ) { - if ( jQuery.isFunction( html ) ) { - html = html.call( this[ 0 ] ); - } - - // The elements to wrap the target around - wrap = jQuery( html, this[ 0 ].ownerDocument ).eq( 0 ).clone( true ); - - if ( this[ 0 ].parentNode ) { - wrap.insertBefore( this[ 0 ] ); - } - - wrap.map( function() { - var elem = this; - - while ( elem.firstElementChild ) { - elem = elem.firstElementChild; - } - - return elem; - } ).append( this ); - } - - return this; - }, - - wrapInner: function( html ) { - if ( jQuery.isFunction( html ) ) { - return this.each( function( i ) { - jQuery( this ).wrapInner( html.call( this, i ) ); - } ); - } - - return this.each( function() { - var self = jQuery( this ), - contents = self.contents(); - - if ( contents.length ) { - contents.wrapAll( html ); - - } else { - self.append( html ); - } - } ); - }, - - wrap: function( html ) { - var isFunction = jQuery.isFunction( html ); - - return this.each( function( i ) { - jQuery( this ).wrapAll( isFunction ? html.call( this, i ) : html ); - } ); - }, - - unwrap: function( selector ) { - this.parent( selector ).not( "body" ).each( function() { - jQuery( this ).replaceWith( this.childNodes ); - } ); - return this; - } -} ); - - -jQuery.expr.pseudos.hidden = function( elem ) { - return !jQuery.expr.pseudos.visible( elem ); -}; -jQuery.expr.pseudos.visible = function( elem ) { - return !!( elem.offsetWidth || elem.offsetHeight || elem.getClientRects().length ); -}; - - - - -jQuery.ajaxSettings.xhr = function() { - try { - return new window.XMLHttpRequest(); - } catch ( e ) {} -}; - -var xhrSuccessStatus = { - - // File protocol always yields status code 0, assume 200 - 0: 200, - - // Support: IE <=9 only - // #1450: sometimes IE returns 1223 when it should be 204 - 1223: 204 - }, - xhrSupported = jQuery.ajaxSettings.xhr(); - -support.cors = !!xhrSupported && ( "withCredentials" in xhrSupported ); -support.ajax = xhrSupported = !!xhrSupported; - -jQuery.ajaxTransport( function( options ) { - var callback, errorCallback; - - // Cross domain only allowed if supported through XMLHttpRequest - if ( support.cors || xhrSupported && !options.crossDomain ) { - return { - send: function( headers, complete ) { - var i, - xhr = options.xhr(); - - xhr.open( - options.type, - options.url, - options.async, - options.username, - options.password - ); - - // Apply custom fields if provided - if ( options.xhrFields ) { - for ( i in options.xhrFields ) { - xhr[ i ] = options.xhrFields[ i ]; - } - } - - // Override mime type if needed - if ( options.mimeType && xhr.overrideMimeType ) { - xhr.overrideMimeType( options.mimeType ); - } - - // X-Requested-With header - // For cross-domain requests, seeing as conditions for a preflight are - // akin to a jigsaw puzzle, we simply never set it to be sure. - // (it can always be set on a per-request basis or even using ajaxSetup) - // For same-domain requests, won't change header if already provided. - if ( !options.crossDomain && !headers[ "X-Requested-With" ] ) { - headers[ "X-Requested-With" ] = "XMLHttpRequest"; - } - - // Set headers - for ( i in headers ) { - xhr.setRequestHeader( i, headers[ i ] ); - } - - // Callback - callback = function( type ) { - return function() { - if ( callback ) { - callback = errorCallback = xhr.onload = - xhr.onerror = xhr.onabort = xhr.onreadystatechange = null; - - if ( type === "abort" ) { - xhr.abort(); - } else if ( type === "error" ) { - - // Support: IE <=9 only - // On a manual native abort, IE9 throws - // errors on any property access that is not readyState - if ( typeof xhr.status !== "number" ) { - complete( 0, "error" ); - } else { - complete( - - // File: protocol always yields status 0; see #8605, #14207 - xhr.status, - xhr.statusText - ); - } - } else { - complete( - xhrSuccessStatus[ xhr.status ] || xhr.status, - xhr.statusText, - - // Support: IE <=9 only - // IE9 has no XHR2 but throws on binary (trac-11426) - // For XHR2 non-text, let the caller handle it (gh-2498) - ( xhr.responseType || "text" ) !== "text" || - typeof xhr.responseText !== "string" ? - { binary: xhr.response } : - { text: xhr.responseText }, - xhr.getAllResponseHeaders() - ); - } - } - }; - }; - - // Listen to events - xhr.onload = callback(); - errorCallback = xhr.onerror = callback( "error" ); - - // Support: IE 9 only - // Use onreadystatechange to replace onabort - // to handle uncaught aborts - if ( xhr.onabort !== undefined ) { - xhr.onabort = errorCallback; - } else { - xhr.onreadystatechange = function() { - - // Check readyState before timeout as it changes - if ( xhr.readyState === 4 ) { - - // Allow onerror to be called first, - // but that will not handle a native abort - // Also, save errorCallback to a variable - // as xhr.onerror cannot be accessed - window.setTimeout( function() { - if ( callback ) { - errorCallback(); - } - } ); - } - }; - } - - // Create the abort callback - callback = callback( "abort" ); - - try { - - // Do send the request (this may raise an exception) - xhr.send( options.hasContent && options.data || null ); - } catch ( e ) { - - // #14683: Only rethrow if this hasn't been notified as an error yet - if ( callback ) { - throw e; - } - } - }, - - abort: function() { - if ( callback ) { - callback(); - } - } - }; - } -} ); - - - - -// Prevent auto-execution of scripts when no explicit dataType was provided (See gh-2432) -jQuery.ajaxPrefilter( function( s ) { - if ( s.crossDomain ) { - s.contents.script = false; - } -} ); - -// Install script dataType -jQuery.ajaxSetup( { - accepts: { - script: "text/javascript, application/javascript, " + - "application/ecmascript, application/x-ecmascript" - }, - contents: { - script: /\b(?:java|ecma)script\b/ - }, - converters: { - "text script": function( text ) { - jQuery.globalEval( text ); - return text; - } - } -} ); - -// Handle cache's special case and crossDomain -jQuery.ajaxPrefilter( "script", function( s ) { - if ( s.cache === undefined ) { - s.cache = false; - } - if ( s.crossDomain ) { - s.type = "GET"; - } -} ); - -// Bind script tag hack transport -jQuery.ajaxTransport( "script", function( s ) { - - // This transport only deals with cross domain requests - if ( s.crossDomain ) { - var script, callback; - return { - send: function( _, complete ) { - script = jQuery( " - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    The full Pygments API¶

    -

    This page describes the Pygments API.

    -
    -

    High-level API¶

    -

    Functions from the pygments module:

    -
    -
    -pygments.lex(code, lexer)¶
    -

    Lex code with the lexer (must be a Lexer instance) -and return an iterable of tokens. Currently, this only calls -lexer.get_tokens().

    -
    - -
    -
    -pygments.format(tokens, formatter, outfile=None)¶
    -

    Format a token stream (iterable of tokens) tokens with the -formatter (must be a Formatter instance). The result is -written to outfile, or if that is None, returned as a -string.

    -
    - -
    -
    -pygments.highlight(code, lexer, formatter, outfile=None)¶
    -

    This is the most high-level highlighting function. -It combines lex and format in one function.

    -
    - -

    Functions from pygments.lexers:

    -
    -
    -pygments.lexers.get_lexer_by_name(alias, **options)¶
    -

    Return an instance of a Lexer subclass that has alias in its -aliases list. The lexer is given the options at its -instantiation.

    -

    Will raise pygments.util.ClassNotFound if no lexer with that alias is -found.

    -
    - -
    -
    -pygments.lexers.get_lexer_for_filename(fn, **options)¶
    -

    Return a Lexer subclass instance that has a filename pattern -matching fn. The lexer is given the options at its -instantiation.

    -

    Will raise pygments.util.ClassNotFound if no lexer for that filename -is found.

    -
    - -
    -
    -pygments.lexers.get_lexer_for_mimetype(mime, **options)¶
    -

    Return a Lexer subclass instance that has mime in its mimetype -list. The lexer is given the options at its instantiation.

    -

    Will raise pygments.util.ClassNotFound if not lexer for that mimetype -is found.

    -
    - -
    -
    -pygments.lexers.load_lexer_from_file(filename, lexername="CustomLexer", **options)¶
    -

    Return a Lexer subclass instance loaded from the provided file, relative -to the current directory. The file is expected to contain a Lexer class -named lexername (by default, CustomLexer). Users should be very careful with -the input, because this method is equivalent to running eval on the input file. -The lexer is given the options at its instantiation.

    -

    ClassNotFound is raised if there are any errors loading the Lexer

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -pygments.lexers.guess_lexer(text, **options)¶
    -

    Return a Lexer subclass instance that’s guessed from the text in -text. For that, the analyse_text() method of every known lexer -class is called with the text as argument, and the lexer which returned the -highest value will be instantiated and returned.

    -

    pygments.util.ClassNotFound is raised if no lexer thinks it can -handle the content.

    -
    - -
    -
    -pygments.lexers.guess_lexer_for_filename(filename, text, **options)¶
    -

    As guess_lexer(), but only lexers which have a pattern in filenames -or alias_filenames that matches filename are taken into consideration.

    -

    pygments.util.ClassNotFound is raised if no lexer thinks it can -handle the content.

    -
    - -
    -
    -pygments.lexers.get_all_lexers()¶
    -

    Return an iterable over all registered lexers, yielding tuples in the -format:

    -
    (longname, tuple of aliases, tuple of filename patterns, tuple of mimetypes)
    -
    -
    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -pygments.lexers.find_lexer_class_by_name(alias)¶
    -

    Return the Lexer subclass that has alias in its aliases list, without -instantiating it.

    -

    Will raise pygments.util.ClassNotFound if no lexer with that alias is -found.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -pygments.lexers.find_lexer_class(name)¶
    -

    Return the Lexer subclass that with the name attribute as given by -the name argument.

    -
    - -

    Functions from pygments.formatters:

    -
    -
    -pygments.formatters.get_formatter_by_name(alias, **options)¶
    -

    Return an instance of a Formatter subclass that has alias in its -aliases list. The formatter is given the options at its instantiation.

    -

    Will raise pygments.util.ClassNotFound if no formatter with that -alias is found.

    -
    - -
    -
    -pygments.formatters.get_formatter_for_filename(fn, **options)¶
    -

    Return a Formatter subclass instance that has a filename pattern -matching fn. The formatter is given the options at its instantiation.

    -

    Will raise pygments.util.ClassNotFound if no formatter for that filename -is found.

    -
    - -
    -
    -pygments.formatters.load_formatter_from_file(filename, formattername="CustomFormatter", **options)¶
    -

    Return a Formatter subclass instance loaded from the provided file, relative -to the current directory. The file is expected to contain a Formatter class -named formattername (by default, CustomFormatter). Users should be very -careful with the input, because this method is equivalent to running eval -on the input file. The formatter is given the options at its instantiation.

    -

    ClassNotFound is raised if there are any errors loading the Formatter

    -
    -

    New in version 2.2.

    -
    -
    - -

    Functions from pygments.styles:

    -
    -
    -pygments.styles.get_style_by_name(name)¶
    -

    Return a style class by its short name. The names of the builtin styles -are listed in pygments.styles.STYLE_MAP.

    -

    Will raise pygments.util.ClassNotFound if no style of that name is -found.

    -
    - -
    -
    -pygments.styles.get_all_styles()¶
    -

    Return an iterable over all registered styles, yielding their names.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -

    Lexers¶

    -

    The base lexer class from which all lexers are derived is:

    -
    -
    -class pygments.lexer.Lexer(**options)¶
    -

    The constructor takes a **keywords dictionary of options. -Every subclass must first process its own options and then call -the Lexer constructor, since it processes the stripnl, -stripall and tabsize options.

    -

    An example looks like this:

    -
    def __init__(self, **options):
    -    self.compress = options.get('compress', '')
    -    Lexer.__init__(self, **options)
    -
    -
    -

    As these options must all be specifiable as strings (due to the -command line usage), there are various utility functions -available to help with that, see Option processing.

    -
    -
    -get_tokens(text)¶
    -

    This method is the basic interface of a lexer. It is called by -the highlight() function. It must process the text and return an -iterable of (tokentype, value) pairs from text.

    -

    Normally, you don’t need to override this method. The default -implementation processes the stripnl, stripall and tabsize -options and then yields all tokens from get_tokens_unprocessed(), -with the index dropped.

    -
    - -
    -
    -get_tokens_unprocessed(text)¶
    -

    This method should process the text and return an iterable of -(index, tokentype, value) tuples where index is the starting -position of the token within the input text.

    -

    This method must be overridden by subclasses.

    -
    - -
    -
    -static analyse_text(text)¶
    -

    A static method which is called for lexer guessing. It should analyse -the text and return a float in the range from 0.0 to 1.0. -If it returns 0.0, the lexer will not be selected as the most -probable one, if it returns 1.0, it will be selected immediately.

    -
    -

    Note

    -

    You don’t have to add @staticmethod to the definition of -this method, this will be taken care of by the Lexer’s metaclass.

    -
    -
    - -

    For a list of known tokens have a look at the Builtin Tokens page.

    -

    A lexer also can have the following attributes (in fact, they are mandatory -except alias_filenames) that are used by the builtin lookup mechanism.

    -
    -
    -name¶
    -

    Full name for the lexer, in human-readable form.

    -
    - -
    -
    -aliases¶
    -

    A list of short, unique identifiers that can be used to lookup -the lexer from a list, e.g. using get_lexer_by_name().

    -
    - -
    -
    -filenames¶
    -

    A list of fnmatch patterns that match filenames which contain -content for this lexer. The patterns in this list should be unique among -all lexers.

    -
    - -
    -
    -alias_filenames¶
    -

    A list of fnmatch patterns that match filenames which may or may not -contain content for this lexer. This list is used by the -guess_lexer_for_filename() function, to determine which lexers -are then included in guessing the correct one. That means that -e.g. every lexer for HTML and a template language should include -\*.html in this list.

    -
    - -
    -
    -mimetypes¶
    -

    A list of MIME types for content that can be lexed with this -lexer.

    -
    - -
    - -
    -
    -

    Formatters¶

    -

    A formatter is derived from this class:

    -
    -
    -class pygments.formatter.Formatter(**options)¶
    -

    As with lexers, this constructor processes options and then must call the -base class __init__().

    -

    The Formatter class recognizes the options style, full and -title. It is up to the formatter class whether it uses them.

    -
    -
    -get_style_defs(arg='')¶
    -

    This method must return statements or declarations suitable to define -the current style for subsequent highlighted text (e.g. CSS classes -in the HTMLFormatter).

    -

    The optional argument arg can be used to modify the generation and -is formatter dependent (it is standardized because it can be given on -the command line).

    -

    This method is called by the -S command-line option, -the arg is then given by the -a option.

    -
    - -
    -
    -format(tokensource, outfile)¶
    -

    This method must format the tokens from the tokensource iterable and -write the formatted version to the file object outfile.

    -

    Formatter options can control how exactly the tokens are converted.

    -
    - -
    -

    New in version 0.7: A formatter must have the following attributes that are used by the -builtin lookup mechanism.

    -
    -
    -
    -name¶
    -

    Full name for the formatter, in human-readable form.

    -
    - -
    -
    -aliases¶
    -

    A list of short, unique identifiers that can be used to lookup -the formatter from a list, e.g. using get_formatter_by_name().

    -
    - -
    -
    -filenames¶
    -

    A list of fnmatch patterns that match filenames for which this -formatter can produce output. The patterns in this list should be unique -among all formatters.

    -
    - -
    - -
    -
    -

    Option processing¶

    -

    The pygments.util module has some utility functions usable for option -processing:

    -
    -
    -exception pygments.util.OptionError¶
    -

    This exception will be raised by all option processing functions if -the type or value of the argument is not correct.

    -
    - -
    -
    -pygments.util.get_bool_opt(options, optname, default=None)¶
    -

    Interpret the key optname from the dictionary options as a boolean and -return it. Return default if optname is not in options.

    -

    The valid string values for True are 1, yes, true and -on, the ones for False are 0, no, false and off -(matched case-insensitively).

    -
    - -
    -
    -pygments.util.get_int_opt(options, optname, default=None)¶
    -

    As get_bool_opt(), but interpret the value as an integer.

    -
    - -
    -
    -pygments.util.get_list_opt(options, optname, default=None)¶
    -

    If the key optname from the dictionary options is a string, -split it at whitespace and return it. If it is already a list -or a tuple, it is returned as a list.

    -
    - -
    -
    -pygments.util.get_choice_opt(options, optname, allowed, default=None)¶
    -

    If the key optname from the dictionary is not in the sequence -allowed, raise an error, otherwise return it.

    -
    -

    New in version 0.8.

    -
    -
    - -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/authors.html b/doc/_build/html/docs/authors.html deleted file mode 100644 index 531cee5..0000000 --- a/doc/_build/html/docs/authors.html +++ /dev/null @@ -1,331 +0,0 @@ - - - - - - - Full contributor list — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Full contributor list¶

    -

    Pygments is written and maintained by Georg Brandl <georg@python.org>.

    -

    Major developers are Tim Hatch <tim@timhatch.com> and Armin Ronacher -<armin.ronacher@active-4.com>.

    -

    Other contributors, listed alphabetically, are:

    -
      -
    • Sam Aaron – Ioke lexer

    • -
    • Ali Afshar – image formatter

    • -
    • Thomas Aglassinger – Easytrieve, JCL, Rexx, Transact-SQL and VBScript -lexers

    • -
    • Muthiah Annamalai – Ezhil lexer

    • -
    • Kumar Appaiah – Debian control lexer

    • -
    • Andreas Amann – AppleScript lexer

    • -
    • Timothy Armstrong – Dart lexer fixes

    • -
    • Jeffrey Arnold – R/S, Rd, BUGS, Jags, and Stan lexers

    • -
    • Jeremy Ashkenas – CoffeeScript lexer

    • -
    • José Joaquín Atria – Praat lexer

    • -
    • Stefan Matthias Aust – Smalltalk lexer

    • -
    • Lucas Bajolet – Nit lexer

    • -
    • Ben Bangert – Mako lexers

    • -
    • Max Battcher – Darcs patch lexer

    • -
    • Thomas Baruchel – APL lexer

    • -
    • Tim Baumann – (Literate) Agda lexer

    • -
    • Paul Baumgart, 280 North, Inc. – Objective-J lexer

    • -
    • Michael Bayer – Myghty lexers

    • -
    • Thomas Beale – Archetype lexers

    • -
    • John Benediktsson – Factor lexer

    • -
    • Trevor Bergeron – mIRC formatter

    • -
    • Vincent Bernat – LessCSS lexer

    • -
    • Christopher Bertels – Fancy lexer

    • -
    • Sébastien Bigaret – QVT Operational lexer

    • -
    • Jarrett Billingsley – MiniD lexer

    • -
    • Adam Blinkinsop – Haskell, Redcode lexers

    • -
    • Stéphane Blondon – SGF lexer

    • -
    • Frits van Bommel – assembler lexers

    • -
    • Pierre Bourdon – bugfixes

    • -
    • Matthias Bussonnier – ANSI style handling for terminal-256 formatter

    • -
    • chebee7i – Python traceback lexer improvements

    • -
    • Hiram Chirino – Scaml and Jade lexers

    • -
    • Mauricio Caceres – SAS and Stata lexers.

    • -
    • Ian Cooper – VGL lexer

    • -
    • David Corbett – Inform, Jasmin, JSGF, Snowball, and TADS 3 lexers

    • -
    • Leaf Corcoran – MoonScript lexer

    • -
    • Christopher Creutzig – MuPAD lexer

    • -
    • Daniël W. Crompton – Pike lexer

    • -
    • Pete Curry – bugfixes

    • -
    • Bryan Davis – EBNF lexer

    • -
    • Bruno Deferrari – Shen lexer

    • -
    • Giedrius Dubinskas – HTML formatter improvements

    • -
    • Owen Durni – Haxe lexer

    • -
    • Alexander Dutton, Oxford University Computing Services – SPARQL lexer

    • -
    • James Edwards – Terraform lexer

    • -
    • Nick Efford – Python 3 lexer

    • -
    • Sven Efftinge – Xtend lexer

    • -
    • Artem Egorkine – terminal256 formatter

    • -
    • Matthew Fernandez – CAmkES lexer

    • -
    • Michael Ficarra – CPSA lexer

    • -
    • James H. Fisher – PostScript lexer

    • -
    • William S. Fulton – SWIG lexer

    • -
    • Carlos Galdino – Elixir and Elixir Console lexers

    • -
    • Michael Galloy – IDL lexer

    • -
    • Naveen Garg – Autohotkey lexer

    • -
    • Laurent Gautier – R/S lexer

    • -
    • Alex Gaynor – PyPy log lexer

    • -
    • Richard Gerkin – Igor Pro lexer

    • -
    • Alain Gilbert – TypeScript lexer

    • -
    • Alex Gilding – BlitzBasic lexer

    • -
    • Bertrand Goetzmann – Groovy lexer

    • -
    • Krzysiek Goj – Scala lexer

    • -
    • Andrey Golovizin – BibTeX lexers

    • -
    • Matt Good – Genshi, Cheetah lexers

    • -
    • Michał Górny – vim modeline support

    • -
    • Alex Gosse – TrafficScript lexer

    • -
    • Patrick Gotthardt – PHP namespaces support

    • -
    • Olivier Guibe – Asymptote lexer

    • -
    • Phil Hagelberg – Fennel lexer

    • -
    • Florian Hahn – Boogie lexer

    • -
    • Martin Harriman – SNOBOL lexer

    • -
    • Matthew Harrison – SVG formatter

    • -
    • Steven Hazel – Tcl lexer

    • -
    • Dan Michael Heggø – Turtle lexer

    • -
    • Aslak Hellesøy – Gherkin lexer

    • -
    • Greg Hendershott – Racket lexer

    • -
    • Justin Hendrick – ParaSail lexer

    • -
    • Jordi Gutiérrez Hermoso – Octave lexer

    • -
    • David Hess, Fish Software, Inc. – Objective-J lexer

    • -
    • Varun Hiremath – Debian control lexer

    • -
    • Rob Hoelz – Perl 6 lexer

    • -
    • Doug Hogan – Mscgen lexer

    • -
    • Ben Hollis – Mason lexer

    • -
    • Max Horn – GAP lexer

    • -
    • Alastair Houghton – Lexer inheritance facility

    • -
    • Tim Howard – BlitzMax lexer

    • -
    • Dustin Howett – Logos lexer

    • -
    • Ivan Inozemtsev – Fantom lexer

    • -
    • Hiroaki Itoh – Shell console rewrite, Lexers for PowerShell session, -MSDOS session, BC, WDiff

    • -
    • Brian R. Jackson – Tea lexer

    • -
    • Christian Jann – ShellSession lexer

    • -
    • Dennis Kaarsemaker – sources.list lexer

    • -
    • Dmitri Kabak – Inferno Limbo lexer

    • -
    • Igor Kalnitsky – vhdl lexer

    • -
    • Alexander Kit – MaskJS lexer

    • -
    • Pekka Klärck – Robot Framework lexer

    • -
    • Gerwin Klein – Isabelle lexer

    • -
    • Eric Knibbe – Lasso lexer

    • -
    • Stepan Koltsov – Clay lexer

    • -
    • Adam Koprowski – Opa lexer

    • -
    • Benjamin Kowarsch – Modula-2 lexer

    • -
    • Domen Kožar – Nix lexer

    • -
    • Oleh Krekel – Emacs Lisp lexer

    • -
    • Alexander Kriegisch – Kconfig and AspectJ lexers

    • -
    • Marek Kubica – Scheme lexer

    • -
    • Jochen Kupperschmidt – Markdown processor

    • -
    • Gerd Kurzbach – Modelica lexer

    • -
    • Jon Larimer, Google Inc. – Smali lexer

    • -
    • Olov Lassus – Dart lexer

    • -
    • Matt Layman – TAP lexer

    • -
    • Kristian Lyngstøl – Varnish lexers

    • -
    • Sylvestre Ledru – Scilab lexer

    • -
    • Chee Sing Lee – Flatline lexer

    • -
    • Mark Lee – Vala lexer

    • -
    • Valentin Lorentz – C++ lexer improvements

    • -
    • Ben Mabey – Gherkin lexer

    • -
    • Angus MacArthur – QML lexer

    • -
    • Louis Mandel – X10 lexer

    • -
    • Louis Marchand – Eiffel lexer

    • -
    • Simone Margaritelli – Hybris lexer

    • -
    • Kirk McDonald – D lexer

    • -
    • Gordon McGregor – SystemVerilog lexer

    • -
    • Stephen McKamey – Duel/JBST lexer

    • -
    • Brian McKenna – F# lexer

    • -
    • Charles McLaughlin – Puppet lexer

    • -
    • Kurt McKee – Tera Term macro lexer

    • -
    • Lukas Meuser – BBCode formatter, Lua lexer

    • -
    • Cat Miller – Pig lexer

    • -
    • Paul Miller – LiveScript lexer

    • -
    • Hong Minhee – HTTP lexer

    • -
    • Michael Mior – Awk lexer

    • -
    • Bruce Mitchener – Dylan lexer rewrite

    • -
    • Reuben Morais – SourcePawn lexer

    • -
    • Jon Morton – Rust lexer

    • -
    • Paulo Moura – Logtalk lexer

    • -
    • Mher Movsisyan – DTD lexer

    • -
    • Dejan Muhamedagic – Crmsh lexer

    • -
    • Ana Nelson – Ragel, ANTLR, R console lexers

    • -
    • Kurt Neufeld – Markdown lexer

    • -
    • Nam T. Nguyen – Monokai style

    • -
    • Jesper Noehr – HTML formatter “anchorlinenos”

    • -
    • Mike Nolta – Julia lexer

    • -
    • Jonas Obrist – BBCode lexer

    • -
    • Edward O’Callaghan – Cryptol lexer

    • -
    • David Oliva – Rebol lexer

    • -
    • Pat Pannuto – nesC lexer

    • -
    • Jon Parise – Protocol buffers and Thrift lexers

    • -
    • Benjamin Peterson – Test suite refactoring

    • -
    • Ronny Pfannschmidt – BBCode lexer

    • -
    • Dominik Picheta – Nimrod lexer

    • -
    • Andrew Pinkham – RTF Formatter Refactoring

    • -
    • Clément Prévost – UrbiScript lexer

    • -
    • Tanner Prynn – cmdline -x option and loading lexers from files

    • -
    • Oleh Prypin – Crystal lexer (based on Ruby lexer)

    • -
    • Elias Rabel – Fortran fixed form lexer

    • -
    • raichoo – Idris lexer

    • -
    • Kashif Rasul – CUDA lexer

    • -
    • Nathan Reed – HLSL lexer

    • -
    • Justin Reidy – MXML lexer

    • -
    • Norman Richards – JSON lexer

    • -
    • Corey Richardson – Rust lexer updates

    • -
    • Lubomir Rintel – GoodData MAQL and CL lexers

    • -
    • Andre Roberge – Tango style

    • -
    • Georg Rollinger – HSAIL lexer

    • -
    • Michiel Roos – TypoScript lexer

    • -
    • Konrad Rudolph – LaTeX formatter enhancements

    • -
    • Mario Ruggier – Evoque lexers

    • -
    • Miikka Salminen – Lovelace style, Hexdump lexer, lexer enhancements

    • -
    • Stou Sandalski – NumPy, FORTRAN, tcsh and XSLT lexers

    • -
    • Matteo Sasso – Common Lisp lexer

    • -
    • Joe Schafer – Ada lexer

    • -
    • Ken Schutte – Matlab lexers

    • -
    • René Schwaiger – Rainbow Dash style

    • -
    • Sebastian Schweizer – Whiley lexer

    • -
    • Tassilo Schweyer – Io, MOOCode lexers

    • -
    • Ted Shaw – AutoIt lexer

    • -
    • Joerg Sieker – ABAP lexer

    • -
    • Robert Simmons – Standard ML lexer

    • -
    • Kirill Simonov – YAML lexer

    • -
    • Corbin Simpson – Monte lexer

    • -
    • Alexander Smishlajev – Visual FoxPro lexer

    • -
    • Steve Spigarelli – XQuery lexer

    • -
    • Jerome St-Louis – eC lexer

    • -
    • Camil Staps – Clean and NuSMV lexers; Solarized style

    • -
    • James Strachan – Kotlin lexer

    • -
    • Tom Stuart – Treetop lexer

    • -
    • Colin Sullivan – SuperCollider lexer

    • -
    • Ben Swift – Extempore lexer

    • -
    • Edoardo Tenani – Arduino lexer

    • -
    • Tiberius Teng – default style overhaul

    • -
    • Jeremy Thurgood – Erlang, Squid config lexers

    • -
    • Brian Tiffin – OpenCOBOL lexer

    • -
    • Bob Tolbert – Hy lexer

    • -
    • Matthias Trute – Forth lexer

    • -
    • Erick Tryzelaar – Felix lexer

    • -
    • Alexander Udalov – Kotlin lexer improvements

    • -
    • Thomas Van Doren – Chapel lexer

    • -
    • Daniele Varrazzo – PostgreSQL lexers

    • -
    • Abe Voelker – OpenEdge ABL lexer

    • -
    • Pepijn de Vos – HTML formatter CTags support

    • -
    • Matthias Vallentin – Bro lexer

    • -
    • Benoît Vinot – AMPL lexer

    • -
    • Linh Vu Hong – RSL lexer

    • -
    • Nathan Weizenbaum – Haml and Sass lexers

    • -
    • Nathan Whetsell – Csound lexers

    • -
    • Dietmar Winkler – Modelica lexer

    • -
    • Nils Winter – Smalltalk lexer

    • -
    • Davy Wybiral – Clojure lexer

    • -
    • Whitney Young – ObjectiveC lexer

    • -
    • Diego Zamboni – CFengine3 lexer

    • -
    • Enrique Zamudio – Ceylon lexer

    • -
    • Alex Zimin – Nemerle lexer

    • -
    • Rob Zimmerman – Kal lexer

    • -
    • Vincent Zurczak – Roboconf lexer

    • -
    • Rostyslav Golda – FloScript lexer

    • -
    • GitHub, Inc – DASM16, Augeas, TOML, and Slash lexers

    • -
    • Simon Garnotel – FreeFem++ lexer

    • -
    -

    Many thanks for all contributions!

    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/changelog.html b/doc/_build/html/docs/changelog.html deleted file mode 100644 index 508bd81..0000000 --- a/doc/_build/html/docs/changelog.html +++ /dev/null @@ -1,1174 +0,0 @@ - - - - - - - Pygments changelog — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Pygments changelog¶

    -

    Issue numbers refer to the tracker at -<https://bitbucket.org/birkenfeld/pygments-main/issues>, -pull request numbers to the requests at -<https://bitbucket.org/birkenfeld/pygments-main/pull-requests/merged>.

    -
    -

    Version 2.4.0¶

    -

    (released May 8, 2019)

    -
      -
    • Added lexers:

      -
        -
      • Augeas (PR#807)

      • -
      • BBC Basic (PR#806)

      • -
      • Boa (PR#756)

      • -
      • Charm++ CI (PR#788)

      • -
      • DASM16 (PR#807)

      • -
      • FloScript (PR#750)

      • -
      • FreeFem++ (PR#785)

      • -
      • Hspec (PR#790)

      • -
      • Pony (PR#627)

      • -
      • SGF (PR#780)

      • -
      • Slash (PR#807)

      • -
      • Slurm (PR#760)

      • -
      • Tera Term Language (PR#749)

      • -
      • TOML (PR#807)

      • -
      • Unicon (PR#731)

      • -
      • VBScript (PR#673)

      • -
      -
    • -
    • Updated lexers:

      -
        -
      • Apache2 (PR#766)

      • -
      • Cypher (PR#746)

      • -
      • LLVM (PR#792)

      • -
      • Makefiles (PR#766)

      • -
      • PHP (#1482)

      • -
      • Rust

      • -
      • SQL (PR#672)

      • -
      • Stan (PR#774)

      • -
      • Stata (PR#800)

      • -
      • Terraform (PR#787)

      • -
      • YAML

      • -
      -
    • -
    • Add solarized style (PR#708)

    • -
    • Add support for Markdown reference-style links (PR#753)

    • -
    • Add license information to generated HTML/CSS files (#1496)

    • -
    • Change ANSI color names (PR#777)

    • -
    • Fix catastrophic backtracking in the bash lexer (#1494)

    • -
    • Fix documentation failing to build using Sphinx 2.0 (#1501)

    • -
    • Fix incorrect links in the Lisp and R lexer documentation (PR#775)

    • -
    • Fix rare unicode errors on Python 2.7 (PR#798, #1492)

    • -
    • Fix lexers popping from an empty stack (#1506)

    • -
    • TypoScript uses .typoscript now (#1498)

    • -
    • Updated Trove classifiers and pip requirements (PR#799)

    • -
    -
    -
    -

    Version 2.3.1¶

    -

    (released Dec 16, 2018)

    -
      -
    • Updated lexers:

      -
        -
      • ASM (PR#784)

      • -
      • Chapel (PR#735)

      • -
      • Clean (PR#621)

      • -
      • CSound (PR#684)

      • -
      • Elm (PR#744)

      • -
      • Fortran (PR#747)

      • -
      • GLSL (PR#740)

      • -
      • Haskell (PR#745)

      • -
      • Hy (PR#754)

      • -
      • Igor Pro (PR#764)

      • -
      • PowerShell (PR#705)

      • -
      • Python (PR#720, #1299, PR#715)

      • -
      • SLexer (PR#680)

      • -
      • YAML (PR#762, PR#724)

      • -
      -
    • -
    • Fix invalid string escape sequences

    • -
    • Fix FutureWarning introduced by regex changes in Python 3.7

    • -
    -
    -
    -

    Version 2.3.0¶

    -

    (released Nov 25, 2018)

    -
      -
    • Added lexers:

      -
        -
      • Fennel (PR#783)

      • -
      • HLSL (PR#675)

      • -
      -
    • -
    • Updated lexers:

      -
        -
      • Dockerfile (PR#714)

      • -
      -
    • -
    • Minimum Python versions changed to 2.7 and 3.5

    • -
    • Added support for Python 3.7 generator changes (PR#772)

    • -
    • Fix incorrect token type in SCSS for single-quote strings (#1322)

    • -
    • Use terminal256 formatter if TERM contains 256 (PR#666)

    • -
    • Fix incorrect handling of GitHub style fences in Markdown (PR#741, #1389)

    • -
    • Fix %a not being highlighted in Python3 strings (PR#727)

    • -
    -
    -
    -

    Version 2.2.0¶

    -

    (released Jan 22, 2017)

    -
      -
    • Added lexers:

      -
        -
      • AMPL

      • -
      • TypoScript (#1173)

      • -
      • Varnish config (PR#554)

      • -
      • Clean (PR#503)

      • -
      • WDiff (PR#513)

      • -
      • Flatline (PR#551)

      • -
      • Silver (PR#537)

      • -
      • HSAIL (PR#518)

      • -
      • JSGF (PR#546)

      • -
      • NCAR command language (PR#536)

      • -
      • Extempore (PR#530)

      • -
      • Cap’n Proto (PR#595)

      • -
      • Whiley (PR#573)

      • -
      • Monte (PR#592)

      • -
      • Crystal (PR#576)

      • -
      • Snowball (PR#589)

      • -
      • CapDL (PR#579)

      • -
      • NuSMV (PR#564)

      • -
      • SAS, Stata (PR#593)

      • -
      -
    • -
    • Added the ability to load lexer and formatter classes directly from files -with the -x command line option and the lexers.load_lexer_from_file() -and formatters.load_formatter_from_file() functions. (PR#559)

    • -
    • Added lexers.find_lexer_class_by_name(). (#1203)

    • -
    • Added new token types and lexing for magic methods and variables in Python -and PHP.

    • -
    • Added a new token type for string affixes and lexing for them in Python, C++ -and Postgresql lexers.

    • -
    • Added a new token type for heredoc (and similar) string delimiters and -lexing for them in C++, Perl, PHP, Postgresql and Ruby lexers.

    • -
    • Styles can now define colors with ANSI colors for use in the 256-color -terminal formatter. (PR#531)

    • -
    • Improved the CSS lexer. (#1083, #1130)

    • -
    • Added “Rainbow Dash” style. (PR#623)

    • -
    • Delay loading pkg_resources, which takes a long while to import. (PR#690)

    • -
    -
    -
    -

    Version 2.1.3¶

    -

    (released Mar 2, 2016)

    -
      -
    • Fixed regression in Bash lexer (PR#563)

    • -
    -
    -
    -

    Version 2.1.2¶

    -

    (released Feb 29, 2016)

    -
      -
    • Fixed Python 3 regression in image formatter (#1215)

    • -
    • Fixed regression in Bash lexer (PR#562)

    • -
    -
    -
    -

    Version 2.1.1¶

    -

    (relased Feb 14, 2016)

    -
      -
    • Fixed Jython compatibility (#1205)

    • -
    • Fixed HTML formatter output with leading empty lines (#1111)

    • -
    • Added a mapping table for LaTeX encodings and added utf8 (#1152)

    • -
    • Fixed image formatter font searching on Macs (#1188)

    • -
    • Fixed deepcopy-ing of Token instances (#1168)

    • -
    • Fixed Julia string interpolation (#1170)

    • -
    • Fixed statefulness of HttpLexer between get_tokens calls

    • -
    • Many smaller fixes to various lexers

    • -
    -
    -
    -

    Version 2.1¶

    -

    (released Jan 17, 2016)

    -
      -
    • Added lexers:

      -
        -
      • Emacs Lisp (PR#431)

      • -
      • Arduino (PR#442)

      • -
      • Modula-2 with multi-dialect support (#1090)

      • -
      • Fortran fixed format (PR#213)

      • -
      • Archetype Definition language (PR#483)

      • -
      • Terraform (PR#432)

      • -
      • Jcl, Easytrieve (PR#208)

      • -
      • ParaSail (PR#381)

      • -
      • Boogie (PR#420)

      • -
      • Turtle (PR#425)

      • -
      • Fish Shell (PR#422)

      • -
      • Roboconf (PR#449)

      • -
      • Test Anything Protocol (PR#428)

      • -
      • Shen (PR#385)

      • -
      • Component Pascal (PR#437)

      • -
      • SuperCollider (PR#472)

      • -
      • Shell consoles (Tcsh, PowerShell, MSDOS) (PR#479)

      • -
      • Elm and J (PR#452)

      • -
      • Crmsh (PR#440)

      • -
      • Praat (PR#492)

      • -
      • CSound (PR#494)

      • -
      • Ezhil (PR#443)

      • -
      • Thrift (PR#469)

      • -
      • QVT Operational (PR#204)

      • -
      • Hexdump (PR#508)

      • -
      • CAmkES Configuration (PR#462)

      • -
      -
    • -
    • Added styles:

      -
        -
      • Lovelace (PR#456)

      • -
      • Algol and Algol-nu (#1090)

      • -
      -
    • -
    • Added formatters:

      -
        -
      • IRC (PR#458)

      • -
      • True color (24-bit) terminal ANSI sequences (#1142) -(formatter alias: “16m”)

      • -
      -
    • -
    • New “filename” option for HTML formatter (PR#527).

    • -
    • Improved performance of the HTML formatter for long lines (PR#504).

    • -
    • Updated autopygmentize script (PR#445).

    • -
    • Fixed style inheritance for non-standard token types in HTML output.

    • -
    • Added support for async/await to Python 3 lexer.

    • -
    • Rewrote linenos option for TerminalFormatter (it’s better, but slightly -different output than before) (#1147).

    • -
    • Javascript lexer now supports most of ES6 (#1100).

    • -
    • Cocoa builtins updated for iOS 8.1 (PR#433).

    • -
    • Combined BashSessionLexer and ShellSessionLexer, new version should support -the prompt styles of either.

    • -
    • Added option to pygmentize to show a full traceback on exceptions.

    • -
    • Fixed incomplete output on Windows and Python 3 (e.g. when using iPython -Notebook) (#1153).

    • -
    • Allowed more traceback styles in Python console lexer (PR#253).

    • -
    • Added decorators to TypeScript (PR#509).

    • -
    • Fix highlighting of certain IRC logs formats (#1076).

    • -
    -
    -
    -

    Version 2.0.2¶

    -

    (released Jan 20, 2015)

    -
      -
    • Fix Python tracebacks getting duplicated in the console lexer (#1068).

    • -
    • Backquote-delimited identifiers are now recognized in F# (#1062).

    • -
    -
    -
    -

    Version 2.0.1¶

    -

    (released Nov 10, 2014)

    -
      -
    • Fix an encoding issue when using pygmentize with the -o option.

    • -
    -
    -
    -

    Version 2.0¶

    -

    (released Nov 9, 2014)

    -
      -
    • Default lexer encoding is now “guess”, i.e. UTF-8 / Locale / Latin1 is -tried in that order.

    • -
    • Major update to Swift lexer (PR#410).

    • -
    • Multiple fixes to lexer guessing in conflicting cases:

      -
        -
      • recognize HTML5 by doctype

      • -
      • recognize XML by XML declaration

      • -
      • don’t recognize C/C++ as SystemVerilog

      • -
      -
    • -
    • Simplified regexes and builtin lists.

    • -
    -
    -
    -

    Version 2.0rc1¶

    -

    (released Oct 16, 2014)

    -
      -
    • Dropped Python 2.4 and 2.5 compatibility. This is in favor of single-source -compatibility between Python 2.6, 2.7 and 3.3+.

    • -
    • New website and documentation based on Sphinx (finally!)

    • -
    • Lexers added:

      -
        -
      • APL (#969)

      • -
      • Agda and Literate Agda (PR#203)

      • -
      • Alloy (PR#355)

      • -
      • AmbientTalk

      • -
      • BlitzBasic (PR#197)

      • -
      • ChaiScript (PR#24)

      • -
      • Chapel (PR#256)

      • -
      • Cirru (PR#275)

      • -
      • Clay (PR#184)

      • -
      • ColdFusion CFC (PR#283)

      • -
      • Cryptol and Literate Cryptol (PR#344)

      • -
      • Cypher (PR#257)

      • -
      • Docker config files

      • -
      • EBNF (PR#193)

      • -
      • Eiffel (PR#273)

      • -
      • GAP (PR#311)

      • -
      • Golo (PR#309)

      • -
      • Handlebars (PR#186)

      • -
      • Hy (PR#238)

      • -
      • Idris and Literate Idris (PR#210)

      • -
      • Igor Pro (PR#172)

      • -
      • Inform 6/7 (PR#281)

      • -
      • Intel objdump (PR#279)

      • -
      • Isabelle (PR#386)

      • -
      • Jasmin (PR#349)

      • -
      • JSON-LD (PR#289)

      • -
      • Kal (PR#233)

      • -
      • Lean (PR#399)

      • -
      • LSL (PR#296)

      • -
      • Limbo (PR#291)

      • -
      • Liquid (#977)

      • -
      • MQL (PR#285)

      • -
      • MaskJS (PR#280)

      • -
      • Mozilla preprocessors

      • -
      • Mathematica (PR#245)

      • -
      • NesC (PR#166)

      • -
      • Nit (PR#375)

      • -
      • Nix (PR#267)

      • -
      • Pan

      • -
      • Pawn (PR#211)

      • -
      • Perl 6 (PR#181)

      • -
      • Pig (PR#304)

      • -
      • Pike (PR#237)

      • -
      • QBasic (PR#182)

      • -
      • Red (PR#341)

      • -
      • ResourceBundle (#1038)

      • -
      • Rexx (PR#199)

      • -
      • Rql (PR#251)

      • -
      • Rsl

      • -
      • SPARQL (PR#78)

      • -
      • Slim (PR#366)

      • -
      • Swift (PR#371)

      • -
      • Swig (PR#168)

      • -
      • TADS 3 (PR#407)

      • -
      • Todo.txt todo lists

      • -
      • Twig (PR#404)

      • -
      -
    • -
    • Added a helper to “optimize” regular expressions that match one of many -literal words; this can save 20% and more lexing time with lexers that -highlight many keywords or builtins.

    • -
    • New styles: “xcode” and “igor”, similar to the default highlighting of -the respective IDEs.

    • -
    • The command-line “pygmentize” tool now tries a little harder to find the -correct encoding for files and the terminal (#979).

    • -
    • Added “inencoding” option for lexers to override “encoding” analogous -to “outencoding” (#800).

    • -
    • Added line-by-line “streaming” mode for pygmentize with the “-s” option. -(PR#165) Only fully works for lexers that have no constructs spanning -lines!

    • -
    • Added an “envname” option to the LaTeX formatter to select a replacement -verbatim environment (PR#235).

    • -
    • Updated the Makefile lexer to yield a little more useful highlighting.

    • -
    • Lexer aliases passed to get_lexer_by_name() are now case-insensitive.

    • -
    • File name matching in lexers and formatters will now use a regex cache -for speed (PR#205).

    • -
    • Pygments will now recognize “vim” modelines when guessing the lexer for -a file based on content (PR#118).

    • -
    • Major restructure of the pygments.lexers module namespace. There are now -many more modules with less lexers per module. Old modules are still around -and re-export the lexers they previously contained.

    • -
    • The NameHighlightFilter now works with any Name.* token type (#790).

    • -
    • Python 3 lexer: add new exceptions from PEP 3151.

    • -
    • Opa lexer: add new keywords (PR#170).

    • -
    • Julia lexer: add keywords and underscore-separated number -literals (PR#176).

    • -
    • Lasso lexer: fix method highlighting, update builtins. Fix -guessing so that plain XML isn’t always taken as Lasso (PR#163).

    • -
    • Objective C/C++ lexers: allow “@” prefixing any expression (#871).

    • -
    • Ruby lexer: fix lexing of Name::Space tokens (#860) and of symbols -in hashes (#873).

    • -
    • Stan lexer: update for version 2.4.0 of the language (PR#162, PR#255, PR#377).

    • -
    • JavaScript lexer: add the “yield” keyword (PR#196).

    • -
    • HTTP lexer: support for PATCH method (PR#190).

    • -
    • Koka lexer: update to newest language spec (PR#201).

    • -
    • Haxe lexer: rewrite and support for Haxe 3 (PR#174).

    • -
    • Prolog lexer: add different kinds of numeric literals (#864).

    • -
    • F# lexer: rewrite with newest spec for F# 3.0 (#842), fix a bug with -dotted chains (#948).

    • -
    • Kotlin lexer: general update (PR#271).

    • -
    • Rebol lexer: fix comment detection and analyse_text (PR#261).

    • -
    • LLVM lexer: update keywords to v3.4 (PR#258).

    • -
    • PHP lexer: add new keywords and binary literals (PR#222).

    • -
    • external/markdown-processor.py updated to newest python-markdown (PR#221).

    • -
    • CSS lexer: some highlighting order fixes (PR#231).

    • -
    • Ceylon lexer: fix parsing of nested multiline comments (#915).

    • -
    • C family lexers: fix parsing of indented preprocessor directives (#944).

    • -
    • Rust lexer: update to 0.9 language version (PR#270, PR#388).

    • -
    • Elixir lexer: update to 0.15 language version (PR#392).

    • -
    • Fix swallowing incomplete tracebacks in Python console lexer (#874).

    • -
    -
    -
    -

    Version 1.6¶

    -

    (released Feb 3, 2013)

    -
      -
    • Lexers added:

      -
        -
      • Dylan console (PR#149)

      • -
      • Logos (PR#150)

      • -
      • Shell sessions (PR#158)

      • -
      -
    • -
    • Fix guessed lexers not receiving lexer options (#838).

    • -
    • Fix unquoted HTML attribute lexing in Opa (#841).

    • -
    • Fixes to the Dart lexer (PR#160).

    • -
    -
    -
    -

    Version 1.6rc1¶

    -

    (released Jan 9, 2013)

    -
      -
    • Lexers added:

      -
        -
      • AspectJ (PR#90)

      • -
      • AutoIt (PR#122)

      • -
      • BUGS-like languages (PR#89)

      • -
      • Ceylon (PR#86)

      • -
      • Croc (new name for MiniD)

      • -
      • CUDA (PR#75)

      • -
      • Dg (PR#116)

      • -
      • IDL (PR#115)

      • -
      • Jags (PR#89)

      • -
      • Julia (PR#61)

      • -
      • Kconfig (#711)

      • -
      • Lasso (PR#95, PR#113)

      • -
      • LiveScript (PR#84)

      • -
      • Monkey (PR#117)

      • -
      • Mscgen (PR#80)

      • -
      • NSIS scripts (PR#136)

      • -
      • OpenCOBOL (PR#72)

      • -
      • QML (PR#123)

      • -
      • Puppet (PR#133)

      • -
      • Racket (PR#94)

      • -
      • Rdoc (PR#99)

      • -
      • Robot Framework (PR#137)

      • -
      • RPM spec files (PR#124)

      • -
      • Rust (PR#67)

      • -
      • Smali (Dalvik assembly)

      • -
      • SourcePawn (PR#39)

      • -
      • Stan (PR#89)

      • -
      • Treetop (PR#125)

      • -
      • TypeScript (PR#114)

      • -
      • VGL (PR#12)

      • -
      • Visual FoxPro (#762)

      • -
      • Windows Registry (#819)

      • -
      • Xtend (PR#68)

      • -
      -
    • -
    • The HTML formatter now supports linking to tags using CTags files, when the -python-ctags package is installed (PR#87).

    • -
    • The HTML formatter now has a “linespans” option that wraps every line in a -<span> tag with a specific id (PR#82).

    • -
    • When deriving a lexer from another lexer with token definitions, definitions -for states not in the child lexer are now inherited. If you override a state -in the child lexer, an “inherit” keyword has been added to insert the base -state at that position (PR#141).

    • -
    • The C family lexers now inherit token definitions from a common base class, -removing code duplication (PR#141).

    • -
    • Use “colorama” on Windows for console color output (PR#142).

    • -
    • Fix Template Haskell highlighting (PR#63).

    • -
    • Fix some S/R lexer errors (PR#91).

    • -
    • Fix a bug in the Prolog lexer with names that start with ‘is’ (#810).

    • -
    • Rewrite Dylan lexer, add Dylan LID lexer (PR#147).

    • -
    • Add a Java quickstart document (PR#146).

    • -
    • Add a “external/autopygmentize” file that can be used as .lessfilter (#802).

    • -
    -
    -
    -

    Version 1.5¶

    -

    (codename Zeitdilatation, released Mar 10, 2012)

    -
      -
    • Lexers added:

      -
        -
      • Awk (#630)

      • -
      • Fancy (#633)

      • -
      • PyPy Log

      • -
      • eC

      • -
      • Nimrod

      • -
      • Nemerle (#667)

      • -
      • F# (#353)

      • -
      • Groovy (#501)

      • -
      • PostgreSQL (#660)

      • -
      • DTD

      • -
      • Gosu (#634)

      • -
      • Octave (PR#22)

      • -
      • Standard ML (PR#14)

      • -
      • CFengine3 (#601)

      • -
      • Opa (PR#37)

      • -
      • HTTP sessions (PR#42)

      • -
      • JSON (PR#31)

      • -
      • SNOBOL (PR#30)

      • -
      • MoonScript (PR#43)

      • -
      • ECL (PR#29)

      • -
      • Urbiscript (PR#17)

      • -
      • OpenEdge ABL (PR#27)

      • -
      • SystemVerilog (PR#35)

      • -
      • Coq (#734)

      • -
      • PowerShell (#654)

      • -
      • Dart (#715)

      • -
      • Fantom (PR#36)

      • -
      • Bro (PR#5)

      • -
      • NewLISP (PR#26)

      • -
      • VHDL (PR#45)

      • -
      • Scilab (#740)

      • -
      • Elixir (PR#57)

      • -
      • Tea (PR#56)

      • -
      • Kotlin (PR#58)

      • -
      -
    • -
    • Fix Python 3 terminal highlighting with pygmentize (#691).

    • -
    • In the LaTeX formatter, escape special &, < and > chars (#648).

    • -
    • In the LaTeX formatter, fix display problems for styles with token -background colors (#670).

    • -
    • Enhancements to the Squid conf lexer (#664).

    • -
    • Several fixes to the reStructuredText lexer (#636).

    • -
    • Recognize methods in the ObjC lexer (#638).

    • -
    • Fix Lua “class” highlighting: it does not have classes (#665).

    • -
    • Fix degenerate regex in Scala lexer (#671) and highlighting bugs (#713, 708).

    • -
    • Fix number pattern order in Ocaml lexer (#647).

    • -
    • Fix generic type highlighting in ActionScript 3 (#666).

    • -
    • Fixes to the Clojure lexer (PR#9).

    • -
    • Fix degenerate regex in Nemerle lexer (#706).

    • -
    • Fix infinite looping in CoffeeScript lexer (#729).

    • -
    • Fix crashes and analysis with ObjectiveC lexer (#693, #696).

    • -
    • Add some Fortran 2003 keywords.

    • -
    • Fix Boo string regexes (#679).

    • -
    • Add “rrt” style (#727).

    • -
    • Fix infinite looping in Darcs Patch lexer.

    • -
    • Lots of misc fixes to character-eating bugs and ordering problems in many -different lexers.

    • -
    -
    -
    -

    Version 1.4¶

    -

    (codename Unschärfe, released Jan 03, 2011)

    -
      -
    • Lexers added:

      -
        -
      • Factor (#520)

      • -
      • PostScript (#486)

      • -
      • Verilog (#491)

      • -
      • BlitzMax Basic (#478)

      • -
      • Ioke (#465)

      • -
      • Java properties, split out of the INI lexer (#445)

      • -
      • Scss (#509)

      • -
      • Duel/JBST

      • -
      • XQuery (#617)

      • -
      • Mason (#615)

      • -
      • GoodData (#609)

      • -
      • SSP (#473)

      • -
      • Autohotkey (#417)

      • -
      • Google Protocol Buffers

      • -
      • Hybris (#506)

      • -
      -
    • -
    • Do not fail in analyse_text methods (#618).

    • -
    • Performance improvements in the HTML formatter (#523).

    • -
    • With the noclasses option in the HTML formatter, some styles -present in the stylesheet were not added as inline styles.

    • -
    • Four fixes to the Lua lexer (#480, #481, #482, #497).

    • -
    • More context-sensitive Gherkin lexer with support for more i18n translations.

    • -
    • Support new OO keywords in Matlab lexer (#521).

    • -
    • Small fix in the CoffeeScript lexer (#519).

    • -
    • A bugfix for backslashes in ocaml strings (#499).

    • -
    • Fix unicode/raw docstrings in the Python lexer (#489).

    • -
    • Allow PIL to work without PIL.pth (#502).

    • -
    • Allow seconds as a unit in CSS (#496).

    • -
    • Support application/javascript as a JavaScript mime type (#504).

    • -
    • Support Offload C++ Extensions as -keywords in the C++ lexer (#484).

    • -
    • Escape more characters in LaTeX output (#505).

    • -
    • Update Haml/Sass lexers to version 3 (#509).

    • -
    • Small PHP lexer string escaping fix (#515).

    • -
    • Support comments before preprocessor directives, and unsigned/ -long long literals in C/C++ (#613, #616).

    • -
    • Support line continuations in the INI lexer (#494).

    • -
    • Fix lexing of Dylan string and char literals (#628).

    • -
    • Fix class/procedure name highlighting in VB.NET lexer (#624).

    • -
    -
    -
    -

    Version 1.3.1¶

    -

    (bugfix release, released Mar 05, 2010)

    -
      -
    • The pygmentize script was missing from the distribution.

    • -
    -
    -
    -

    Version 1.3¶

    -

    (codename Schneeglöckchen, released Mar 01, 2010)

    -
      -
    • Added the ensurenl lexer option, which can be used to suppress the -automatic addition of a newline to the lexer input.

    • -
    • Lexers added:

      -
        -
      • Ada

      • -
      • Coldfusion

      • -
      • Modula-2

      • -
      • Haxe

      • -
      • R console

      • -
      • Objective-J

      • -
      • Haml and Sass

      • -
      • CoffeeScript

      • -
      -
    • -
    • Enhanced reStructuredText highlighting.

    • -
    • Added support for PHP 5.3 namespaces in the PHP lexer.

    • -
    • Added a bash completion script for pygmentize, to the external/ -directory (#466).

    • -
    • Fixed a bug in do_insertions() used for multi-lexer languages.

    • -
    • Fixed a Ruby regex highlighting bug (#476).

    • -
    • Fixed regex highlighting bugs in Perl lexer (#258).

    • -
    • Add small enhancements to the C lexer (#467) and Bash lexer (#469).

    • -
    • Small fixes for the Tcl, Debian control file, Nginx config, -Smalltalk, Objective-C, Clojure, Lua lexers.

    • -
    • Gherkin lexer: Fixed single apostrophe bug and added new i18n keywords.

    • -
    -
    -
    -

    Version 1.2.2¶

    -

    (bugfix release, released Jan 02, 2010)

    -
      -
    • Removed a backwards incompatibility in the LaTeX formatter that caused -Sphinx to produce invalid commands when writing LaTeX output (#463).

    • -
    • Fixed a forever-backtracking regex in the BashLexer (#462).

    • -
    -
    -
    -

    Version 1.2.1¶

    -

    (bugfix release, released Jan 02, 2010)

    -
      -
    • Fixed mishandling of an ellipsis in place of the frames in a Python -console traceback, resulting in clobbered output.

    • -
    -
    -
    -

    Version 1.2¶

    -

    (codename Neujahr, released Jan 01, 2010)

    -
      -
    • Dropped Python 2.3 compatibility.

    • -
    • Lexers added:

      -
        -
      • Asymptote

      • -
      • Go

      • -
      • Gherkin (Cucumber)

      • -
      • CMake

      • -
      • Ooc

      • -
      • Coldfusion

      • -
      • Haxe

      • -
      • R console

      • -
      -
    • -
    • Added options for rendering LaTeX in source code comments in the -LaTeX formatter (#461).

    • -
    • Updated the Logtalk lexer.

    • -
    • Added line_number_start option to image formatter (#456).

    • -
    • Added hl_lines and hl_color options to image formatter (#457).

    • -
    • Fixed the HtmlFormatter’s handling of noclasses=True to not output any -classes (#427).

    • -
    • Added the Monokai style (#453).

    • -
    • Fixed LLVM lexer identifier syntax and added new keywords (#442).

    • -
    • Fixed the PythonTracebackLexer to handle non-traceback data in header or -trailer, and support more partial tracebacks that start on line 2 (#437).

    • -
    • Fixed the CLexer to not highlight ternary statements as labels.

    • -
    • Fixed lexing of some Ruby quoting peculiarities (#460).

    • -
    • A few ASM lexer fixes (#450).

    • -
    -
    -
    -

    Version 1.1.1¶

    -

    (bugfix release, released Sep 15, 2009)

    -
      -
    • Fixed the BBCode lexer (#435).

    • -
    • Added support for new Jinja2 keywords.

    • -
    • Fixed test suite failures.

    • -
    • Added Gentoo-specific suffixes to Bash lexer.

    • -
    -
    -
    -

    Version 1.1¶

    -

    (codename Brillouin, released Sep 11, 2009)

    -
      -
    • Ported Pygments to Python 3. This needed a few changes in the way -encodings are handled; they may affect corner cases when used with -Python 2 as well.

    • -
    • Lexers added:

      -
        -
      • Antlr/Ragel, thanks to Ana Nelson

      • -
      • (Ba)sh shell

      • -
      • Erlang shell

      • -
      • GLSL

      • -
      • Prolog

      • -
      • Evoque

      • -
      • Modelica

      • -
      • Rebol

      • -
      • MXML

      • -
      • Cython

      • -
      • ABAP

      • -
      • ASP.net (VB/C#)

      • -
      • Vala

      • -
      • Newspeak

      • -
      -
    • -
    • Fixed the LaTeX formatter’s output so that output generated for one style -can be used with the style definitions of another (#384).

    • -
    • Added “anchorlinenos” and “noclobber_cssfile” (#396) options to HTML -formatter.

    • -
    • Support multiline strings in Lua lexer.

    • -
    • Rewrite of the JavaScript lexer by Pumbaa80 to better support regular -expression literals (#403).

    • -
    • When pygmentize is asked to highlight a file for which multiple lexers -match the filename, use the analyse_text guessing engine to determine the -winner (#355).

    • -
    • Fixed minor bugs in the JavaScript lexer (#383), the Matlab lexer (#378), -the Scala lexer (#392), the INI lexer (#391), the Clojure lexer (#387) -and the AS3 lexer (#389).

    • -
    • Fixed three Perl heredoc lexing bugs (#379, #400, #422).

    • -
    • Fixed a bug in the image formatter which misdetected lines (#380).

    • -
    • Fixed bugs lexing extended Ruby strings and regexes.

    • -
    • Fixed a bug when lexing git diffs.

    • -
    • Fixed a bug lexing the empty commit in the PHP lexer (#405).

    • -
    • Fixed a bug causing Python numbers to be mishighlighted as floats (#397).

    • -
    • Fixed a bug when backslashes are used in odd locations in Python (#395).

    • -
    • Fixed various bugs in Matlab and S-Plus lexers, thanks to Winston Chang (#410, -#411, #413, #414) and fmarc (#419).

    • -
    • Fixed a bug in Haskell single-line comment detection (#426).

    • -
    • Added new-style reStructuredText directive for docutils 0.5+ (#428).

    • -
    -
    -
    -

    Version 1.0¶

    -

    (codename Dreiundzwanzig, released Nov 23, 2008)

    -
      -
    • Don’t use join(splitlines()) when converting newlines to \n, -because that doesn’t keep all newlines at the end when the -stripnl lexer option is False.

    • -
    • Added -N option to command-line interface to get a lexer name -for a given filename.

    • -
    • Added Tango style, written by Andre Roberge for the Crunchy project.

    • -
    • Added Python3TracebackLexer and python3 option to -PythonConsoleLexer.

    • -
    • Fixed a few bugs in the Haskell lexer.

    • -
    • Fixed PythonTracebackLexer to be able to recognize SyntaxError and -KeyboardInterrupt (#360).

    • -
    • Provide one formatter class per image format, so that surprises like:

      -
      pygmentize -f gif -o foo.gif foo.py
      -
      -
      -

      creating a PNG file are avoided.

      -
    • -
    • Actually use the font_size option of the image formatter.

    • -
    • Fixed numpy lexer that it doesn’t listen for *.py any longer.

    • -
    • Fixed HTML formatter so that text options can be Unicode -strings (#371).

    • -
    • Unified Diff lexer supports the “udiff” alias now.

    • -
    • Fixed a few issues in Scala lexer (#367).

    • -
    • RubyConsoleLexer now supports simple prompt mode (#363).

    • -
    • JavascriptLexer is smarter about what constitutes a regex (#356).

    • -
    • Add Applescript lexer, thanks to Andreas Amann (#330).

    • -
    • Make the codetags more strict about matching words (#368).

    • -
    • NginxConfLexer is a little more accurate on mimetypes and -variables (#370).

    • -
    -
    -
    -

    Version 0.11.1¶

    -

    (released Aug 24, 2008)

    -
      -
    • Fixed a Jython compatibility issue in pygments.unistring (#358).

    • -
    -
    -
    -

    Version 0.11¶

    -

    (codename Straußenei, released Aug 23, 2008)

    -

    Many thanks go to Tim Hatch for writing or integrating most of the bug -fixes and new features.

    -
      -
    • Lexers added:

      -
        -
      • Nasm-style assembly language, thanks to delroth

      • -
      • YAML, thanks to Kirill Simonov

      • -
      • ActionScript 3, thanks to Pierre Bourdon

      • -
      • Cheetah/Spitfire templates, thanks to Matt Good

      • -
      • Lighttpd config files

      • -
      • Nginx config files

      • -
      • Gnuplot plotting scripts

      • -
      • Clojure

      • -
      • POV-Ray scene files

      • -
      • Sqlite3 interactive console sessions

      • -
      • Scala source files, thanks to Krzysiek Goj

      • -
      -
    • -
    • Lexers improved:

      -
        -
      • C lexer highlights standard library functions now and supports C99 -types.

      • -
      • Bash lexer now correctly highlights heredocs without preceding -whitespace.

      • -
      • Vim lexer now highlights hex colors properly and knows a couple -more keywords.

      • -
      • Irc logs lexer now handles xchat’s default time format (#340) and -correctly highlights lines ending in >.

      • -
      • Support more delimiters for perl regular expressions (#258).

      • -
      • ObjectiveC lexer now supports 2.0 features.

      • -
      -
    • -
    • Added “Visual Studio” style.

    • -
    • Updated markdown processor to Markdown 1.7.

    • -
    • Support roman/sans/mono style defs and use them in the LaTeX -formatter.

    • -
    • The RawTokenFormatter is no longer registered to *.raw and it’s -documented that tokenization with this lexer may raise exceptions.

    • -
    • New option hl_lines to HTML formatter, to highlight certain -lines.

    • -
    • New option prestyles to HTML formatter.

    • -
    • New option -g to pygmentize, to allow lexer guessing based on -filetext (can be slowish, so file extensions are still checked -first).

    • -
    • guess_lexer() now makes its decision much faster due to a cache -of whether data is xml-like (a check which is used in several -versions of analyse_text(). Several lexers also have more -accurate analyse_text() now.

    • -
    -
    -
    -

    Version 0.10¶

    -

    (codename Malzeug, released May 06, 2008)

    -
      -
    • Lexers added:

      -
        -
      • Io

      • -
      • Smalltalk

      • -
      • Darcs patches

      • -
      • Tcl

      • -
      • Matlab

      • -
      • Matlab sessions

      • -
      • FORTRAN

      • -
      • XSLT

      • -
      • tcsh

      • -
      • NumPy

      • -
      • Python 3

      • -
      • S, S-plus, R statistics languages

      • -
      • Logtalk

      • -
      -
    • -
    • In the LatexFormatter, the commandprefix option is now by default -‘PY’ instead of ‘C’, since the latter resulted in several collisions -with other packages. Also, the special meaning of the arg -argument to get_style_defs() was removed.

    • -
    • Added ImageFormatter, to format code as PNG, JPG, GIF or BMP. -(Needs the Python Imaging Library.)

    • -
    • Support doc comments in the PHP lexer.

    • -
    • Handle format specifications in the Perl lexer.

    • -
    • Fix comment handling in the Batch lexer.

    • -
    • Add more file name extensions for the C++, INI and XML lexers.

    • -
    • Fixes in the IRC and MuPad lexers.

    • -
    • Fix function and interface name highlighting in the Java lexer.

    • -
    • Fix at-rule handling in the CSS lexer.

    • -
    • Handle KeyboardInterrupts gracefully in pygmentize.

    • -
    • Added BlackWhiteStyle.

    • -
    • Bash lexer now correctly highlights math, does not require -whitespace after semicolons, and correctly highlights boolean -operators.

    • -
    • Makefile lexer is now capable of handling BSD and GNU make syntax.

    • -
    -
    -
    -

    Version 0.9¶

    -

    (codename Herbstzeitlose, released Oct 14, 2007)

    -
      -
    • Lexers added:

      -
        -
      • Erlang

      • -
      • ActionScript

      • -
      • Literate Haskell

      • -
      • Common Lisp

      • -
      • Various assembly languages

      • -
      • Gettext catalogs

      • -
      • Squid configuration

      • -
      • Debian control files

      • -
      • MySQL-style SQL

      • -
      • MOOCode

      • -
      -
    • -
    • Lexers improved:

      -
        -
      • Greatly improved the Haskell and OCaml lexers.

      • -
      • Improved the Bash lexer’s handling of nested constructs.

      • -
      • The C# and Java lexers exhibited abysmal performance with some -input code; this should now be fixed.

      • -
      • The IRC logs lexer is now able to colorize weechat logs too.

      • -
      • The Lua lexer now recognizes multi-line comments.

      • -
      • Fixed bugs in the D and MiniD lexer.

      • -
      -
    • -
    • The encoding handling of the command line mode (pygmentize) was -enhanced. You shouldn’t get UnicodeErrors from it anymore if you -don’t give an encoding option.

    • -
    • Added a -P option to the command line mode which can be used to -give options whose values contain commas or equals signs.

    • -
    • Added 256-color terminal formatter.

    • -
    • Added an experimental SVG formatter.

    • -
    • Added the lineanchors option to the HTML formatter, thanks to -Ian Charnas for the idea.

    • -
    • Gave the line numbers table a CSS class in the HTML formatter.

    • -
    • Added a Vim 7-like style.

    • -
    -
    -
    -

    Version 0.8.1¶

    -

    (released Jun 27, 2007)

    -
      -
    • Fixed POD highlighting in the Ruby lexer.

    • -
    • Fixed Unicode class and namespace name highlighting in the C# lexer.

    • -
    • Fixed Unicode string prefix highlighting in the Python lexer.

    • -
    • Fixed a bug in the D and MiniD lexers.

    • -
    • Fixed the included MoinMoin parser.

    • -
    -
    -
    -

    Version 0.8¶

    -

    (codename Maikäfer, released May 30, 2007)

    -
      -
    • Lexers added:

      -
        -
      • Haskell, thanks to Adam Blinkinsop

      • -
      • Redcode, thanks to Adam Blinkinsop

      • -
      • D, thanks to Kirk McDonald

      • -
      • MuPad, thanks to Christopher Creutzig

      • -
      • MiniD, thanks to Jarrett Billingsley

      • -
      • Vim Script, by Tim Hatch

      • -
      -
    • -
    • The HTML formatter now has a second line-numbers mode in which it -will just integrate the numbers in the same <pre> tag as the -code.

    • -
    • The CSharpLexer now is Unicode-aware, which means that it has an -option that can be set so that it correctly lexes Unicode -identifiers allowed by the C# specs.

    • -
    • Added a RaiseOnErrorTokenFilter that raises an exception when the -lexer generates an error token, and a VisibleWhitespaceFilter that -converts whitespace (spaces, tabs, newlines) into visible -characters.

    • -
    • Fixed the do_insertions() helper function to yield correct -indices.

    • -
    • The ReST lexer now automatically highlights source code blocks in -“.. sourcecode:: language” and “.. code:: language” directive -blocks.

    • -
    • Improved the default style (thanks to Tiberius Teng). The old -default is still available as the “emacs” style (which was an alias -before).

    • -
    • The get_style_defs method of HTML formatters now uses the -cssclass option as the default selector if it was given.

    • -
    • Improved the ReST and Bash lexers a bit.

    • -
    • Fixed a few bugs in the Makefile and Bash lexers, thanks to Tim -Hatch.

    • -
    • Fixed a bug in the command line code that disallowed -O options -when using the -S option.

    • -
    • Fixed a bug in the RawTokenFormatter.

    • -
    -
    -
    -

    Version 0.7.1¶

    -

    (released Feb 15, 2007)

    -
      -
    • Fixed little highlighting bugs in the Python, Java, Scheme and -Apache Config lexers.

    • -
    • Updated the included manpage.

    • -
    • Included a built version of the documentation in the source tarball.

    • -
    -
    -
    -

    Version 0.7¶

    -

    (codename Faschingskrapfn, released Feb 14, 2007)

    -
      -
    • Added a MoinMoin parser that uses Pygments. With it, you get -Pygments highlighting in Moin Wiki pages.

    • -
    • Changed the exception raised if no suitable lexer, formatter etc. is -found in one of the get_*_by_* functions to a custom exception, -pygments.util.ClassNotFound. It is, however, a subclass of -ValueError in order to retain backwards compatibility.

    • -
    • Added a -H command line option which can be used to get the -docstring of a lexer, formatter or filter.

    • -
    • Made the handling of lexers and formatters more consistent. The -aliases and filename patterns of formatters are now attributes on -them.

    • -
    • Added an OCaml lexer, thanks to Adam Blinkinsop.

    • -
    • Made the HTML formatter more flexible, and easily subclassable in -order to make it easy to implement custom wrappers, e.g. alternate -line number markup. See the documentation.

    • -
    • Added an outencoding option to all formatters, making it possible -to override the encoding (which is used by lexers and formatters) -when using the command line interface. Also, if using the terminal -formatter and the output file is a terminal and has an encoding -attribute, use it if no encoding is given.

    • -
    • Made it possible to just drop style modules into the styles -subpackage of the Pygments installation.

    • -
    • Added a “state” keyword argument to the using helper.

    • -
    • Added a commandprefix option to the LatexFormatter which allows -to control how the command names are constructed.

    • -
    • Added quite a few new lexers, thanks to Tim Hatch:

      -
        -
      • Java Server Pages

      • -
      • Windows batch files

      • -
      • Trac Wiki markup

      • -
      • Python tracebacks

      • -
      • ReStructuredText

      • -
      • Dylan

      • -
      • and the Befunge esoteric programming language (yay!)

      • -
      -
    • -
    • Added Mako lexers by Ben Bangert.

    • -
    • Added “fruity” style, another dark background originally vim-based -theme.

    • -
    • Added sources.list lexer by Dennis Kaarsemaker.

    • -
    • Added token stream filters, and a pygmentize option to use them.

    • -
    • Changed behavior of in Operator for tokens.

    • -
    • Added mimetypes for all lexers.

    • -
    • Fixed some problems lexing Python strings.

    • -
    • Fixed tickets: #167, #178, #179, #180, #185, #201.

    • -
    -
    -
    -

    Version 0.6¶

    -

    (codename Zimtstern, released Dec 20, 2006)

    -
      -
    • Added option for the HTML formatter to write the CSS to an external -file in “full document” mode.

    • -
    • Added RTF formatter.

    • -
    • Added Bash and Apache configuration lexers (thanks to Tim Hatch).

    • -
    • Improved guessing methods for various lexers.

    • -
    • Added @media support to CSS lexer (thanks to Tim Hatch).

    • -
    • Added a Groff lexer (thanks to Tim Hatch).

    • -
    • License change to BSD.

    • -
    • Added lexers for the Myghty template language.

    • -
    • Added a Scheme lexer (thanks to Marek Kubica).

    • -
    • Added some functions to iterate over existing lexers, formatters and -lexers.

    • -
    • The HtmlFormatter’s get_style_defs() can now take a list as an -argument to generate CSS with multiple prefixes.

    • -
    • Support for guessing input encoding added.

    • -
    • Encoding support added: all processing is now done with Unicode -strings, input and output are converted from and optionally to byte -strings (see the encoding option of lexers and formatters).

    • -
    • Some improvements in the C(++) lexers handling comments and line -continuations.

    • -
    -
    -
    -

    Version 0.5.1¶

    -

    (released Oct 30, 2006)

    -
      -
    • Fixed traceback in pygmentize -L (thanks to Piotr Ozarowski).

    • -
    -
    -
    -

    Version 0.5¶

    -

    (codename PyKleur, released Oct 30, 2006)

    -
      -
    • Initial public release.

    • -
    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/cmdline.html b/doc/_build/html/docs/cmdline.html deleted file mode 100644 index 887ae8e..0000000 --- a/doc/_build/html/docs/cmdline.html +++ /dev/null @@ -1,248 +0,0 @@ - - - - - - - Command Line Interface — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Command Line Interface¶

    -

    You can use Pygments from the shell, provided you installed the -pygmentize script:

    -
    $ pygmentize test.py
    -print "Hello World"
    -
    -
    -

    will print the file test.py to standard output, using the Python lexer -(inferred from the file name extension) and the terminal formatter (because -you didn’t give an explicit formatter name).

    -

    If you want HTML output:

    -
    $ pygmentize -f html -l python -o test.html test.py
    -
    -
    -

    As you can see, the -l option explicitly selects a lexer. As seen above, if you -give an input file name and it has an extension that Pygments recognizes, you can -omit this option.

    -

    The -o option gives an output file name. If it is not given, output is -written to stdout.

    -

    The -f option selects a formatter (as with -l, it can also be omitted -if an output file name is given and has a supported extension). -If no output file name is given and -f is omitted, the -TerminalFormatter is used.

    -

    The above command could therefore also be given as:

    -
    $ pygmentize -o test.html test.py
    -
    -
    -

    To create a full HTML document, including line numbers and stylesheet (using the -“emacs” style), highlighting the Python file test.py to test.html:

    -
    $ pygmentize -O full,style=emacs -o test.html test.py
    -
    -
    -
    -

    Options and filters¶

    -

    Lexer and formatter options can be given using the -O option:

    -
    $ pygmentize -f html -O style=colorful,linenos=1 -l python test.py
    -
    -
    -

    Be sure to enclose the option string in quotes if it contains any special shell -characters, such as spaces or expansion wildcards like *. If an option -expects a list value, separate the list entries with spaces (you’ll have to -quote the option value in this case too, so that the shell doesn’t split it).

    -

    Since the -O option argument is split at commas and expects the split values -to be of the form name=value, you can’t give an option value that contains -commas or equals signs. Therefore, an option -P is provided (as of Pygments -0.9) that works like -O but can only pass one option per -P. Its value -can then contain all characters:

    -
    $ pygmentize -P "heading=Pygments, the Python highlighter" ...
    -
    -
    -

    Filters are added to the token stream using the -F option:

    -
    $ pygmentize -f html -l pascal -F keywordcase:case=upper main.pas
    -
    -
    -

    As you see, options for the filter are given after a colon. As for -O, the -filter name and options must be one shell word, so there may not be any spaces -around the colon.

    -
    -
    -

    Generating styles¶

    -

    Formatters normally don’t output full style information. For example, the HTML -formatter by default only outputs <span> tags with class attributes. -Therefore, there’s a special -S option for generating style definitions. -Usage is as follows:

    -
    $ pygmentize -f html -S colorful -a .syntax
    -
    -
    -

    generates a CSS style sheet (because you selected the HTML formatter) for -the “colorful” style prepending a “.syntax” selector to all style rules.

    -

    For an explanation what -a means for a particular formatter, look for the arg argument for the formatter’s -get_style_defs() method.

    -
    -
    -

    Getting lexer names¶

    -
    -

    New in version 1.0.

    -
    -

    The -N option guesses a lexer name for a given filename, so that

    -
    $ pygmentize -N setup.py
    -
    -
    -

    will print out python. It won’t highlight anything yet. If no specific -lexer is known for that filename, text is printed.

    -
    -
    -

    Custom Lexers and Formatters¶

    -
    -

    New in version 2.2.

    -
    -

    The -x flag enables custom lexers and formatters to be loaded -from files relative to the current directory. Create a file with a class named -CustomLexer or CustomFormatter, then specify it on the command line:

    -
    $ pygmentize -l your_lexer.py -f your_formatter.py -x
    -
    -
    -

    You can also specify the name of your class with a colon:

    -
    $ pygmentize -l your_lexer.py:SomeLexer -x
    -
    -
    -

    For more information, see the Pygments documentation on Lexer development.

    -
    -
    -

    Getting help¶

    -

    The -L option lists lexers, formatters, along with their short -names and supported file name extensions, styles and filters. If you want to see -only one category, give it as an argument:

    -
    $ pygmentize -L filters
    -
    -
    -

    will list only all installed filters.

    -

    The -H option will give you detailed information (the same that can be found -in this documentation) about a lexer, formatter or filter. Usage is as follows:

    -
    $ pygmentize -H formatter html
    -
    -
    -

    will print the help for the HTML formatter, while

    -
    $ pygmentize -H lexer python
    -
    -
    -

    will print the help for the Python lexer, etc.

    -
    -
    -

    A note on encodings¶

    -
    -

    New in version 0.9.

    -
    -

    Pygments tries to be smart regarding encodings in the formatting process:

    -
      -
    • If you give an encoding option, it will be used as the input and -output encoding.

    • -
    • If you give an outencoding option, it will override encoding -as the output encoding.

    • -
    • If you give an inencoding option, it will override encoding -as the input encoding.

    • -
    • If you don’t give an encoding and have given an output file, the default -encoding for lexer and formatter is the terminal encoding or the default -locale encoding of the system. As a last resort, latin1 is used (which -will pass through all non-ASCII characters).

    • -
    • If you don’t give an encoding and haven’t given an output file (that means -output is written to the console), the default encoding for lexer and -formatter is the terminal encoding (sys.stdout.encoding).

    • -
    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/filterdevelopment.html b/doc/_build/html/docs/filterdevelopment.html deleted file mode 100644 index d2989ac..0000000 --- a/doc/_build/html/docs/filterdevelopment.html +++ /dev/null @@ -1,164 +0,0 @@ - - - - - - - Write your own filter — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Write your own filter¶

    -
    -

    New in version 0.7.

    -
    -

    Writing own filters is very easy. All you have to do is to subclass -the Filter class and override the filter method. Additionally a -filter is instantiated with some keyword arguments you can use to -adjust the behavior of your filter.

    -
    -

    Subclassing Filters¶

    -

    As an example, we write a filter that converts all Name.Function tokens -to normal Name tokens to make the output less colorful.

    -
    from pygments.util import get_bool_opt
    -from pygments.token import Name
    -from pygments.filter import Filter
    -
    -class UncolorFilter(Filter):
    -
    -    def __init__(self, **options):
    -        Filter.__init__(self, **options)
    -        self.class_too = get_bool_opt(options, 'classtoo')
    -
    -    def filter(self, lexer, stream):
    -        for ttype, value in stream:
    -            if ttype is Name.Function or (self.class_too and
    -                                          ttype is Name.Class):
    -                ttype = Name
    -            yield ttype, value
    -
    -
    -

    Some notes on the lexer argument: that can be quite confusing since it doesn’t -need to be a lexer instance. If a filter was added by using the add_filter() -function of lexers, that lexer is registered for the filter. In that case -lexer will refer to the lexer that has registered the filter. It can be used -to access options passed to a lexer. Because it could be None you always have -to check for that case if you access it.

    -
    -
    -

    Using a decorator¶

    -

    You can also use the simplefilter decorator from the pygments.filter module:

    -
    from pygments.util import get_bool_opt
    -from pygments.token import Name
    -from pygments.filter import simplefilter
    -
    -
    -@simplefilter
    -def uncolor(self, lexer, stream, options):
    -    class_too = get_bool_opt(options, 'classtoo')
    -    for ttype, value in stream:
    -        if ttype is Name.Function or (class_too and
    -                                      ttype is Name.Class):
    -            ttype = Name
    -        yield ttype, value
    -
    -
    -

    The decorator automatically subclasses an internal filter class and uses the -decorated function as a method for filtering. (That’s why there is a self -argument that you probably won’t end up using in the method.)

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/filters.html b/doc/_build/html/docs/filters.html deleted file mode 100644 index bce3064..0000000 --- a/doc/_build/html/docs/filters.html +++ /dev/null @@ -1,295 +0,0 @@ - - - - - - - Filters — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Filters¶

    -
    -

    New in version 0.7.

    -
    -

    You can filter token streams coming from lexers to improve or annotate the -output. For example, you can highlight special words in comments, convert -keywords to upper or lowercase to enforce a style guide etc.

    -

    To apply a filter, you can use the add_filter() method of a lexer:

    -
    >>> from pygments.lexers import PythonLexer
    ->>> l = PythonLexer()
    ->>> # add a filter given by a string and options
    ->>> l.add_filter('codetagify', case='lower')
    ->>> l.filters
    -[<pygments.filters.CodeTagFilter object at 0xb785decc>]
    ->>> from pygments.filters import KeywordCaseFilter
    ->>> # or give an instance
    ->>> l.add_filter(KeywordCaseFilter(case='lower'))
    -
    -
    -

    The add_filter() method takes keyword arguments which are forwarded to -the constructor of the filter.

    -

    To get a list of all registered filters by name, you can use the -get_all_filters() function from the pygments.filters module that returns an -iterable for all known filters.

    -

    If you want to write your own filter, have a look at Write your own filter.

    -
    -

    Builtin Filters¶

    -
    -
    -class CodeTagFilter¶
    -
    -
    Name
    -

    codetagify

    -
    -
    -

    Highlight special code tags in comments and docstrings.

    -

    Options accepted:

    -
    -
    codetagslist of strings

    A list of strings that are flagged as code tags. The default is to -highlight XXX, TODO, BUG and NOTE.

    -
    -
    -
    - -
    -
    -class KeywordCaseFilter¶
    -
    -
    Name
    -

    keywordcase

    -
    -
    -

    Convert keywords to lowercase or uppercase or capitalize them, which -means first letter uppercase, rest lowercase.

    -

    This can be useful e.g. if you highlight Pascal code and want to adapt the -code to your styleguide.

    -

    Options accepted:

    -
    -
    casestring

    The casing to convert keywords to. Must be one of 'lower', -'upper' or 'capitalize'. The default is 'lower'.

    -
    -
    -
    - -
    -
    -class NameHighlightFilter¶
    -
    -
    Name
    -

    highlight

    -
    -
    -

    Highlight a normal Name (and Name.*) token with a different token type.

    -

    Example:

    -
    filter = NameHighlightFilter(
    -    names=['foo', 'bar', 'baz'],
    -    tokentype=Name.Function,
    -)
    -
    -
    -

    This would highlight the names “foo”, “bar” and “baz” -as functions. Name.Function is the default token type.

    -

    Options accepted:

    -
    -
    nameslist of strings

    A list of names that should be given the different token type. -There is no default.

    -
    -
    tokentypeTokenType or string

    A token type or a string containing a token type name that is -used for highlighting the strings in names. The default is -Name.Function.

    -
    -
    -
    - -
    -
    -class RaiseOnErrorTokenFilter¶
    -
    -
    Name
    -

    raiseonerror

    -
    -
    -

    Raise an exception when the lexer generates an error token.

    -

    Options accepted:

    -
    -
    excclassException class

    The exception class to raise. -The default is pygments.filters.ErrorToken.

    -
    -
    -
    -

    New in version 0.8.

    -
    -
    - -
    -
    -class VisibleWhitespaceFilter¶
    -
    -
    Name
    -

    whitespace

    -
    -
    -

    Convert tabs, newlines and/or spaces to visible characters.

    -

    Options accepted:

    -
    -
    spacesstring or bool

    If this is a one-character string, spaces will be replaces by this string. -If it is another true value, spaces will be replaced by · (unicode -MIDDLE DOT). If it is a false value, spaces will not be replaced. The -default is False.

    -
    -
    tabsstring or bool

    The same as for spaces, but the default replacement character is » -(unicode RIGHT-POINTING DOUBLE ANGLE QUOTATION MARK). The default value -is False. Note: this will not work if the tabsize option for the -lexer is nonzero, as tabs will already have been expanded then.

    -
    -
    tabsizeint

    If tabs are to be replaced by this filter (see the tabs option), this -is the total number of characters that a tab should be expanded to. -The default is 8.

    -
    -
    newlinesstring or bool

    The same as for spaces, but the default replacement character is ¶ -(unicode PILCROW SIGN). The default value is False.

    -
    -
    wstokentypebool

    If true, give whitespace the special Whitespace token type. This allows -styling the visible whitespace differently (e.g. greyed out), but it can -disrupt background colors. The default is True.

    -
    -
    -
    -

    New in version 0.8.

    -
    -
    - -
    -
    -class GobbleFilter¶
    -
    -
    Name
    -

    gobble

    -
    -
    -

    Gobbles source code lines (eats initial characters).

    -

    This filter drops the first n characters off every line of code. This -may be useful when the source code fed to the lexer is indented by a fixed -amount of space that isn’t desired in the output.

    -

    Options accepted:

    -
    -
    nint

    The number of characters to gobble.

    -
    -
    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    -class TokenMergeFilter¶
    -
    -
    Name
    -

    tokenmerge

    -
    -
    -

    Merges consecutive tokens with the same token type in the output -stream of a lexer.

    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/formatterdevelopment.html b/doc/_build/html/docs/formatterdevelopment.html deleted file mode 100644 index f40f454..0000000 --- a/doc/_build/html/docs/formatterdevelopment.html +++ /dev/null @@ -1,249 +0,0 @@ - - - - - - - Write your own formatter — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Write your own formatter¶

    -

    As well as creating your own lexer, writing a new -formatter for Pygments is easy and straightforward.

    -

    A formatter is a class that is initialized with some keyword arguments (the -formatter options) and that must provides a format() method. -Additionally a formatter should provide a get_style_defs() method that -returns the style definitions from the style in a form usable for the -formatter’s output format.

    -
    -

    Quickstart¶

    -

    The most basic formatter shipped with Pygments is the NullFormatter. It just -sends the value of a token to the output stream:

    -
    from pygments.formatter import Formatter
    -
    -class NullFormatter(Formatter):
    -    def format(self, tokensource, outfile):
    -        for ttype, value in tokensource:
    -            outfile.write(value)
    -
    -
    -

    As you can see, the format() method is passed two parameters: tokensource -and outfile. The first is an iterable of (token_type, value) tuples, -the latter a file like object with a write() method.

    -

    Because the formatter is that basic it doesn’t overwrite the get_style_defs() -method.

    -
    -
    -

    Styles¶

    -

    Styles aren’t instantiated but their metaclass provides some class functions -so that you can access the style definitions easily.

    -

    Styles are iterable and yield tuples in the form (ttype, d) where ttype -is a token and d is a dict with the following keys:

    -
    -
    'color'

    Hexadecimal color value (eg: 'ff0000' for red) or None if not -defined.

    -
    -
    'bold'

    True if the value should be bold

    -
    -
    'italic'

    True if the value should be italic

    -
    -
    'underline'

    True if the value should be underlined

    -
    -
    'bgcolor'

    Hexadecimal color value for the background (eg: 'eeeeeee' for light -gray) or None if not defined.

    -
    -
    'border'

    Hexadecimal color value for the border (eg: '0000aa' for a dark -blue) or None for no border.

    -
    -
    -

    Additional keys might appear in the future, formatters should ignore all keys -they don’t support.

    -
    -
    -

    HTML 3.2 Formatter¶

    -

    For an more complex example, let’s implement a HTML 3.2 Formatter. We don’t -use CSS but inline markup (<u>, <font>, etc). Because this isn’t good -style this formatter isn’t in the standard library ;-)

    -
    from pygments.formatter import Formatter
    -
    -class OldHtmlFormatter(Formatter):
    -
    -    def __init__(self, **options):
    -        Formatter.__init__(self, **options)
    -
    -        # create a dict of (start, end) tuples that wrap the
    -        # value of a token so that we can use it in the format
    -        # method later
    -        self.styles = {}
    -
    -        # we iterate over the `_styles` attribute of a style item
    -        # that contains the parsed style values.
    -        for token, style in self.style:
    -            start = end = ''
    -            # a style item is a tuple in the following form:
    -            # colors are readily specified in hex: 'RRGGBB'
    -            if style['color']:
    -                start += '<font color="#%s">' % style['color']
    -                end = '</font>' + end
    -            if style['bold']:
    -                start += '<b>'
    -                end = '</b>' + end
    -            if style['italic']:
    -                start += '<i>'
    -                end = '</i>' + end
    -            if style['underline']:
    -                start += '<u>'
    -                end = '</u>' + end
    -            self.styles[token] = (start, end)
    -
    -    def format(self, tokensource, outfile):
    -        # lastval is a string we use for caching
    -        # because it's possible that an lexer yields a number
    -        # of consecutive tokens with the same token type.
    -        # to minimize the size of the generated html markup we
    -        # try to join the values of same-type tokens here
    -        lastval = ''
    -        lasttype = None
    -
    -        # wrap the whole output with <pre>
    -        outfile.write('<pre>')
    -
    -        for ttype, value in tokensource:
    -            # if the token type doesn't exist in the stylemap
    -            # we try it with the parent of the token type
    -            # eg: parent of Token.Literal.String.Double is
    -            # Token.Literal.String
    -            while ttype not in self.styles:
    -                ttype = ttype.parent
    -            if ttype == lasttype:
    -                # the current token type is the same of the last
    -                # iteration. cache it
    -                lastval += value
    -            else:
    -                # not the same token as last iteration, but we
    -                # have some data in the buffer. wrap it with the
    -                # defined style and write it to the output file
    -                if lastval:
    -                    stylebegin, styleend = self.styles[lasttype]
    -                    outfile.write(stylebegin + lastval + styleend)
    -                # set lastval/lasttype to current values
    -                lastval = value
    -                lasttype = ttype
    -
    -        # if something is left in the buffer, write it to the
    -        # output file, then close the opened <pre> tag
    -        if lastval:
    -            stylebegin, styleend = self.styles[lasttype]
    -            outfile.write(stylebegin + lastval + styleend)
    -        outfile.write('</pre>\n')
    -
    -
    -

    The comments should explain it. Again, this formatter doesn’t override the -get_style_defs() method. If we would have used CSS classes instead of -inline HTML markup, we would need to generate the CSS first. For that -purpose the get_style_defs() method exists:

    -
    -
    -

    Generating Style Definitions¶

    -

    Some formatters like the LatexFormatter and the HtmlFormatter don’t -output inline markup but reference either macros or css classes. Because -the definitions of those are not part of the output, the get_style_defs() -method exists. It is passed one parameter (if it’s used and how it’s used -is up to the formatter) and has to return a string or None.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/formatters.html b/doc/_build/html/docs/formatters.html deleted file mode 100644 index 6ae7047..0000000 --- a/doc/_build/html/docs/formatters.html +++ /dev/null @@ -1,943 +0,0 @@ - - - - - - - Available formatters — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Available formatters¶

    -

    This page lists all builtin formatters.

    -
    -

    Common options¶

    -

    All formatters support these options:

    -
    -
    encoding

    If given, must be an encoding name (such as "utf-8"). This will -be used to convert the token strings (which are Unicode strings) -to byte strings in the output (default: None). -It will also be written in an encoding declaration suitable for the -document format if the full option is given (e.g. a meta -content-type directive in HTML or an invocation of the inputenc -package in LaTeX).

    -

    If this is "" or None, Unicode strings will be written -to the output file, which most file-like objects do not support. -For example, pygments.highlight() will return a Unicode string if -called with no outfile argument and a formatter that has encoding -set to None because it uses a StringIO.StringIO object that -supports Unicode arguments to write(). Using a regular file object -wouldn’t work.

    -
    -

    New in version 0.6.

    -
    -
    -
    outencoding

    When using Pygments from the command line, any encoding option given is -passed to the lexer and the formatter. This is sometimes not desirable, -for example if you want to set the input encoding to "guess". -Therefore, outencoding has been introduced which overrides encoding -for the formatter if given.

    -
    -

    New in version 0.7.

    -
    -
    -
    -
    -
    -

    Formatter classes¶

    -

    All these classes are importable from pygments.formatters.

    -
    -
    -class BBCodeFormatter¶
    -
    -
    Short names
    -

    bbcode, bb

    -
    -
    Filenames
    -

    None

    -
    -
    -

    Format tokens with BBcodes. These formatting codes are used by many -bulletin boards, so you can highlight your sourcecode with pygments before -posting it there.

    -

    This formatter has no support for background colors and borders, as there -are no common BBcode tags for that.

    -

    Some board systems (e.g. phpBB) don’t support colors in their [code] tag, -so you can’t use the highlighting together with that tag. -Text in a [code] tag usually is shown with a monospace font (which this -formatter can do with the monofont option) and no spaces (which you -need for indentation) are removed.

    -

    Additional options accepted:

    -
    -
    style

    The style to use, can be a string or a Style subclass (default: -'default').

    -
    -
    codetag

    If set to true, put the output into [code] tags (default: -false)

    -
    -
    monofont

    If set to true, add a tag to show the code with a monospace font -(default: false).

    -
    -
    -
    - -
    -
    -class BmpImageFormatter¶
    -
    -
    Short names
    -

    bmp, bitmap

    -
    -
    Filenames
    -

    *.bmp

    -
    -
    -

    Create a bitmap image from source code. This uses the Python Imaging Library to -generate a pixmap from the source code.

    -
    -

    New in version 1.0.

    -
    -
    - -
    -
    -class GifImageFormatter¶
    -
    -
    Short names
    -

    gif

    -
    -
    Filenames
    -

    *.gif

    -
    -
    -

    Create a GIF image from source code. This uses the Python Imaging Library to -generate a pixmap from the source code.

    -
    -

    New in version 1.0.

    -
    -
    - -
    -
    -class HtmlFormatter¶
    -
    -
    Short names
    -

    html

    -
    -
    Filenames
    -

    *.html, *.htm

    -
    -
    -

    Format tokens as HTML 4 <span> tags within a <pre> tag, wrapped -in a <div> tag. The <div>’s CSS class can be set by the cssclass -option.

    -

    If the linenos option is set to "table", the <pre> is -additionally wrapped inside a <table> which has one row and two -cells: one containing the line numbers and one containing the code. -Example:

    -
    <div class="highlight" >
    -<table><tr>
    -  <td class="linenos" title="click to toggle"
    -    onclick="with (this.firstChild.style)
    -             { display = (display == '') ? 'none' : '' }">
    -    <pre>1
    -    2</pre>
    -  </td>
    -  <td class="code">
    -    <pre><span class="Ke">def </span><span class="NaFu">foo</span>(bar):
    -      <span class="Ke">pass</span>
    -    </pre>
    -  </td>
    -</tr></table></div>
    -
    -
    -

    (whitespace added to improve clarity).

    -

    Wrapping can be disabled using the nowrap option.

    -

    A list of lines can be specified using the hl_lines option to make these -lines highlighted (as of Pygments 0.11).

    -

    With the full option, a complete HTML 4 document is output, including -the style definitions inside a <style> tag, or in a separate file if -the cssfile option is given.

    -

    When tagsfile is set to the path of a ctags index file, it is used to -generate hyperlinks from names to their definition. You must enable -lineanchors and run ctags with the -n option for this to work. The -python-ctags module from PyPI must be installed to use this feature; -otherwise a RuntimeError will be raised.

    -

    The get_style_defs(arg=’‘) method of a HtmlFormatter returns a string -containing CSS rules for the CSS classes used by the formatter. The -argument arg can be used to specify additional CSS selectors that -are prepended to the classes. A call fmter.get_style_defs(‘td .code’) -would result in the following CSS classes:

    -
    td .code .kw { font-weight: bold; color: #00FF00 }
    -td .code .cm { color: #999999 }
    -...
    -
    -
    -

    If you have Pygments 0.6 or higher, you can also pass a list or tuple to the -get_style_defs() method to request multiple prefixes for the tokens:

    -
    formatter.get_style_defs(['div.syntax pre', 'pre.syntax'])
    -
    -
    -

    The output would then look like this:

    -
    div.syntax pre .kw,
    -pre.syntax .kw { font-weight: bold; color: #00FF00 }
    -div.syntax pre .cm,
    -pre.syntax .cm { color: #999999 }
    -...
    -
    -
    -

    Additional options accepted:

    -
    -
    nowrap

    If set to True, don’t wrap the tokens at all, not even inside a <pre> -tag. This disables most other options (default: False).

    -
    -
    full

    Tells the formatter to output a “full” document, i.e. a complete -self-contained document (default: False).

    -
    -
    title

    If full is true, the title that should be used to caption the -document (default: '').

    -
    -
    style

    The style to use, can be a string or a Style subclass (default: -'default'). This option has no effect if the cssfile -and noclobber_cssfile option are given and the file specified in -cssfile exists.

    -
    -
    noclasses

    If set to true, token <span> tags will not use CSS classes, but -inline styles. This is not recommended for larger pieces of code since -it increases output size by quite a bit (default: False).

    -
    -
    classprefix

    Since the token types use relatively short class names, they may clash -with some of your own class names. In this case you can use the -classprefix option to give a string to prepend to all Pygments-generated -CSS class names for token types. -Note that this option also affects the output of get_style_defs().

    -
    -
    cssclass

    CSS class for the wrapping <div> tag (default: 'highlight'). -If you set this option, the default selector for get_style_defs() -will be this class.

    -
    -

    New in version 0.9: If you select the 'table' line numbers, the wrapping table will -have a CSS class of this string plus 'table', the default is -accordingly 'highlighttable'.

    -
    -
    -
    cssstyles

    Inline CSS styles for the wrapping <div> tag (default: '').

    -
    -
    prestyles

    Inline CSS styles for the <pre> tag (default: '').

    -
    -

    New in version 0.11.

    -
    -
    -
    cssfile

    If the full option is true and this option is given, it must be the -name of an external file. If the filename does not include an absolute -path, the file’s path will be assumed to be relative to the main output -file’s path, if the latter can be found. The stylesheet is then written -to this file instead of the HTML file.

    -
    -

    New in version 0.6.

    -
    -
    -
    noclobber_cssfile

    If cssfile is given and the specified file exists, the css file will -not be overwritten. This allows the use of the full option in -combination with a user specified css file. Default is False.

    -
    -

    New in version 1.1.

    -
    -
    -
    linenos

    If set to 'table', output line numbers as a table with two cells, -one containing the line numbers, the other the whole code. This is -copy-and-paste-friendly, but may cause alignment problems with some -browsers or fonts. If set to 'inline', the line numbers will be -integrated in the <pre> tag that contains the code (that setting -is new in Pygments 0.8).

    -

    For compatibility with Pygments 0.7 and earlier, every true value -except 'inline' means the same as 'table' (in particular, that -means also True).

    -

    The default value is False, which means no line numbers at all.

    -

    Note: with the default (“table”) line number mechanism, the line -numbers and code can have different line heights in Internet Explorer -unless you give the enclosing <pre> tags an explicit line-height -CSS property (you get the default line spacing with line-height: -125%).

    -
    -
    hl_lines

    Specify a list of lines to be highlighted.

    -
    -

    New in version 0.11.

    -
    -
    -
    linenostart

    The line number for the first line (default: 1).

    -
    -
    linenostep

    If set to a number n > 1, only every nth line number is printed.

    -
    -
    linenospecial

    If set to a number n > 0, every nth line number is given the CSS -class "special" (default: 0).

    -
    -
    nobackground

    If set to True, the formatter won’t output the background color -for the wrapping element (this automatically defaults to False -when there is no wrapping element [eg: no argument for the -get_syntax_defs method given]) (default: False).

    -
    -

    New in version 0.6.

    -
    -
    -
    lineseparator

    This string is output between lines of code. It defaults to "\n", -which is enough to break a line inside <pre> tags, but you can -e.g. set it to "<br>" to get HTML line breaks.

    -
    -

    New in version 0.7.

    -
    -
    -
    lineanchors

    If set to a nonempty string, e.g. foo, the formatter will wrap each -output line in an anchor tag with a name of foo-linenumber. -This allows easy linking to certain lines.

    -
    -

    New in version 0.9.

    -
    -
    -
    linespans

    If set to a nonempty string, e.g. foo, the formatter will wrap each -output line in a span tag with an id of foo-linenumber. -This allows easy access to lines via javascript.

    -
    -

    New in version 1.6.

    -
    -
    -
    anchorlinenos

    If set to True, will wrap line numbers in <a> tags. Used in -combination with linenos and lineanchors.

    -
    -
    tagsfile

    If set to the path of a ctags file, wrap names in anchor tags that -link to their definitions. lineanchors should be used, and the -tags file should specify line numbers (see the -n option to ctags).

    -
    -

    New in version 1.6.

    -
    -
    -
    tagurlformat

    A string formatting pattern used to generate links to ctags definitions. -Available variables are %(path)s, %(fname)s and %(fext)s. -Defaults to an empty string, resulting in just #prefix-number links.

    -
    -

    New in version 1.6.

    -
    -
    -
    filename

    A string used to generate a filename when rendering <pre> blocks, -for example if displaying source code.

    -
    -

    New in version 2.1.

    -
    -
    -
    wrapcode

    Wrap the code inside <pre> blocks using <code>, as recommended -by the HTML5 specification.

    -
    -

    New in version 2.4.

    -
    -
    -
    -

    Subclassing the HTML formatter

    -
    -

    New in version 0.7.

    -
    -

    The HTML formatter is now built in a way that allows easy subclassing, thus -customizing the output HTML code. The format() method calls -self._format_lines() which returns a generator that yields tuples of (1, -line), where the 1 indicates that the line is a line of the -formatted source code.

    -

    If the nowrap option is set, the generator is the iterated over and the -resulting HTML is output.

    -

    Otherwise, format() calls self.wrap(), which wraps the generator with -other generators. These may add some HTML code to the one generated by -_format_lines(), either by modifying the lines generated by the latter, -then yielding them again with (1, line), and/or by yielding other HTML -code before or after the lines, with (0, html). The distinction between -source lines and other code makes it possible to wrap the generator multiple -times.

    -

    The default wrap() implementation adds a <div> and a <pre> tag.

    -

    A custom HtmlFormatter subclass could look like this:

    -
    class CodeHtmlFormatter(HtmlFormatter):
    -
    -    def wrap(self, source, outfile):
    -        return self._wrap_code(source)
    -
    -    def _wrap_code(self, source):
    -        yield 0, '<code>'
    -        for i, t in source:
    -            if i == 1:
    -                # it's a line of formatted code
    -                t += '<br>'
    -            yield i, t
    -        yield 0, '</code>'
    -
    -
    -

    This results in wrapping the formatted lines with a <code> tag, where the -source lines are broken using <br> tags.

    -

    After calling wrap(), the format() method also adds the “line numbers” -and/or “full document” wrappers if the respective options are set. Then, all -HTML yielded by the wrapped generator is output.

    -
    - -
    -
    -class IRCFormatter¶
    -
    -
    Short names
    -

    irc, IRC

    -
    -
    Filenames
    -

    None

    -
    -
    -

    Format tokens with IRC color sequences

    -

    The get_style_defs() method doesn’t do anything special since there is -no support for common styles.

    -

    Options accepted:

    -
    -
    bg

    Set to "light" or "dark" depending on the terminal’s background -(default: "light").

    -
    -
    colorscheme

    A dictionary mapping token types to (lightbg, darkbg) color names or -None (default: None = use builtin colorscheme).

    -
    -
    linenos

    Set to True to have line numbers in the output as well -(default: False = no line numbers).

    -
    -
    -
    - -
    -
    -class ImageFormatter¶
    -
    -
    Short names
    -

    img, IMG, png

    -
    -
    Filenames
    -

    *.png

    -
    -
    -

    Create a PNG image from source code. This uses the Python Imaging Library to -generate a pixmap from the source code.

    -
    -

    New in version 0.10.

    -
    -

    Additional options accepted:

    -
    -
    image_format

    An image format to output to that is recognised by PIL, these include:

    -
      -
    • “PNG” (default)

    • -
    • “JPEG”

    • -
    • “BMP”

    • -
    • “GIF”

    • -
    -
    -
    line_pad

    The extra spacing (in pixels) between each line of text.

    -

    Default: 2

    -
    -
    font_name

    The font name to be used as the base font from which others, such as -bold and italic fonts will be generated. This really should be a -monospace font to look sane.

    -

    Default: “Bitstream Vera Sans Mono” on Windows, Courier New on *nix

    -
    -
    font_size

    The font size in points to be used.

    -

    Default: 14

    -
    -
    image_pad

    The padding, in pixels to be used at each edge of the resulting image.

    -

    Default: 10

    -
    -
    line_numbers

    Whether line numbers should be shown: True/False

    -

    Default: True

    -
    -
    line_number_start

    The line number of the first line.

    -

    Default: 1

    -
    -
    line_number_step

    The step used when printing line numbers.

    -

    Default: 1

    -
    -
    line_number_bg

    The background colour (in “#123456” format) of the line number bar, or -None to use the style background color.

    -

    Default: “#eed”

    -
    -
    line_number_fg

    The text color of the line numbers (in “#123456”-like format).

    -

    Default: “#886”

    -
    -
    line_number_chars

    The number of columns of line numbers allowable in the line number -margin.

    -

    Default: 2

    -
    -
    line_number_bold

    Whether line numbers will be bold: True/False

    -

    Default: False

    -
    -
    line_number_italic

    Whether line numbers will be italicized: True/False

    -

    Default: False

    -
    -
    line_number_separator

    Whether a line will be drawn between the line number area and the -source code area: True/False

    -

    Default: True

    -
    -
    line_number_pad

    The horizontal padding (in pixels) between the line number margin, and -the source code area.

    -

    Default: 6

    -
    -
    hl_lines

    Specify a list of lines to be highlighted.

    -
    -

    New in version 1.2.

    -
    -

    Default: empty list

    -
    -
    hl_color

    Specify the color for highlighting lines.

    -
    -

    New in version 1.2.

    -
    -

    Default: highlight color of the selected style

    -
    -
    -
    - -
    -
    -class JpgImageFormatter¶
    -
    -
    Short names
    -

    jpg, jpeg

    -
    -
    Filenames
    -

    *.jpg

    -
    -
    -

    Create a JPEG image from source code. This uses the Python Imaging Library to -generate a pixmap from the source code.

    -
    -

    New in version 1.0.

    -
    -
    - -
    -
    -class LatexFormatter¶
    -
    -
    Short names
    -

    latex, tex

    -
    -
    Filenames
    -

    *.tex

    -
    -
    -

    Format tokens as LaTeX code. This needs the fancyvrb and color -standard packages.

    -

    Without the full option, code is formatted as one Verbatim -environment, like this:

    -
    \begin{Verbatim}[commandchars=\\\{\}]
    -\PY{k}{def }\PY{n+nf}{foo}(\PY{n}{bar}):
    -    \PY{k}{pass}
    -\end{Verbatim}
    -
    -
    -

    The special command used here (\PY) and all the other macros it needs -are output by the get_style_defs method.

    -

    With the full option, a complete LaTeX document is output, including -the command definitions in the preamble.

    -

    The get_style_defs() method of a LatexFormatter returns a string -containing \def commands defining the macros needed inside the -Verbatim environments.

    -

    Additional options accepted:

    -
    -
    style

    The style to use, can be a string or a Style subclass (default: -'default').

    -
    -
    full

    Tells the formatter to output a “full” document, i.e. a complete -self-contained document (default: False).

    -
    -
    title

    If full is true, the title that should be used to caption the -document (default: '').

    -
    -
    docclass

    If the full option is enabled, this is the document class to use -(default: 'article').

    -
    -
    preamble

    If the full option is enabled, this can be further preamble commands, -e.g. \usepackage (default: '').

    -
    -
    linenos

    If set to True, output line numbers (default: False).

    -
    -
    linenostart

    The line number for the first line (default: 1).

    -
    -
    linenostep

    If set to a number n > 1, only every nth line number is printed.

    -
    -
    verboptions

    Additional options given to the Verbatim environment (see the fancyvrb -docs for possible values) (default: '').

    -
    -
    commandprefix

    The LaTeX commands used to produce colored output are constructed -using this prefix and some letters (default: 'PY').

    -
    -

    New in version 0.7.

    -
    -
    -

    Changed in version 0.10: The default is now 'PY' instead of 'C'.

    -
    -
    -
    texcomments

    If set to True, enables LaTeX comment lines. That is, LaTex markup -in comment tokens is not escaped so that LaTeX can render it (default: -False).

    -
    -

    New in version 1.2.

    -
    -
    -
    mathescape

    If set to True, enables LaTeX math mode escape in comments. That -is, '$...$' inside a comment will trigger math mode (default: -False).

    -
    -

    New in version 1.2.

    -
    -
    -
    escapeinside

    If set to a string of length 2, enables escaping to LaTeX. Text -delimited by these 2 characters is read as LaTeX code and -typeset accordingly. It has no effect in string literals. It has -no effect in comments if texcomments or mathescape is -set. (default: '').

    -
    -

    New in version 2.0.

    -
    -
    -
    envname

    Allows you to pick an alternative environment name replacing Verbatim. -The alternate environment still has to support Verbatim’s option syntax. -(default: 'Verbatim').

    -
    -

    New in version 2.0.

    -
    -
    -
    -
    - -
    -
    -class NullFormatter¶
    -
    -
    Short names
    -

    text, null

    -
    -
    Filenames
    -

    *.txt

    -
    -
    -

    Output the text unchanged without any formatting.

    -
    - -
    -
    -class RawTokenFormatter¶
    -
    -
    Short names
    -

    raw, tokens

    -
    -
    Filenames
    -

    *.raw

    -
    -
    -

    Format tokens as a raw representation for storing token streams.

    -

    The format is tokentype<TAB>repr(tokenstring)\n. The output can later -be converted to a token stream with the RawTokenLexer, described in the -lexer list.

    -

    Only two options are accepted:

    -
    -
    compress

    If set to 'gz' or 'bz2', compress the output with the given -compression algorithm after encoding (default: '').

    -
    -
    error_color

    If set to a color name, highlight error tokens using that color. If -set but with no value, defaults to 'red'.

    -
    -

    New in version 0.11.

    -
    -
    -
    -
    - -
    -
    -class RtfFormatter¶
    -
    -
    Short names
    -

    rtf

    -
    -
    Filenames
    -

    *.rtf

    -
    -
    -

    Format tokens as RTF markup. This formatter automatically outputs full RTF -documents with color information and other useful stuff. Perfect for Copy and -Paste into Microsoft(R) Word(R) documents.

    -

    Please note that encoding and outencoding options are ignored. -The RTF format is ASCII natively, but handles unicode characters correctly -thanks to escape sequences.

    -
    -

    New in version 0.6.

    -
    -

    Additional options accepted:

    -
    -
    style

    The style to use, can be a string or a Style subclass (default: -'default').

    -
    -
    fontface

    The used font famliy, for example Bitstream Vera Sans. Defaults to -some generic font which is supposed to have fixed width.

    -
    -
    fontsize

    Size of the font used. Size is specified in half points. The -default is 24 half-points, giving a size 12 font.

    -
    -

    New in version 2.0.

    -
    -
    -
    -
    - -
    -
    -class SvgFormatter¶
    -
    -
    Short names
    -

    svg

    -
    -
    Filenames
    -

    *.svg

    -
    -
    -

    Format tokens as an SVG graphics file. This formatter is still experimental. -Each line of code is a <text> element with explicit x and y -coordinates containing <tspan> elements with the individual token styles.

    -

    By default, this formatter outputs a full SVG document including doctype -declaration and the <svg> root element.

    -
    -

    New in version 0.9.

    -
    -

    Additional options accepted:

    -
    -
    nowrap

    Don’t wrap the SVG <text> elements in <svg><g> elements and -don’t add a XML declaration and a doctype. If true, the fontfamily -and fontsize options are ignored. Defaults to False.

    -
    -
    fontfamily

    The value to give the wrapping <g> element’s font-family -attribute, defaults to "monospace".

    -
    -
    fontsize

    The value to give the wrapping <g> element’s font-size -attribute, defaults to "14px".

    -
    -
    xoffset

    Starting offset in X direction, defaults to 0.

    -
    -
    yoffset

    Starting offset in Y direction, defaults to the font size if it is given -in pixels, or 20 else. (This is necessary since text coordinates -refer to the text baseline, not the top edge.)

    -
    -
    ystep

    Offset to add to the Y coordinate for each subsequent line. This should -roughly be the text size plus 5. It defaults to that value if the text -size is given in pixels, or 25 else.

    -
    -
    spacehack

    Convert spaces in the source to &#160;, which are non-breaking -spaces. SVG provides the xml:space attribute to control how -whitespace inside tags is handled, in theory, the preserve value -could be used to keep all whitespace as-is. However, many current SVG -viewers don’t obey that rule, so this option is provided as a workaround -and defaults to True.

    -
    -
    -
    - -
    -
    -class Terminal256Formatter¶
    -
    -
    Short names
    -

    terminal256, console256, 256

    -
    -
    Filenames
    -

    None

    -
    -
    -

    Format tokens with ANSI color sequences, for output in a 256-color -terminal or console. Like in TerminalFormatter color sequences -are terminated at newlines, so that paging the output works correctly.

    -

    The formatter takes colors from a style defined by the style option -and converts them to nearest ANSI 256-color escape sequences. Bold and -underline attributes from the style are preserved (and displayed).

    -
    -

    New in version 0.9.

    -
    -
    -

    Changed in version 2.2: If the used style defines foreground colors in the form #ansi*, then -Terminal256Formatter will map these to non extended foreground color. -See Terminal Styles for more information.

    -
    -
    -

    Changed in version 2.4: The ANSI color names have been updated with names that are easier to -understand and align with colornames of other projects and terminals. -See this table for more information.

    -
    -

    Options accepted:

    -
    -
    style

    The style to use, can be a string or a Style subclass (default: -'default').

    -
    -
    -
    - -
    -
    -class TerminalFormatter¶
    -
    -
    Short names
    -

    terminal, console

    -
    -
    Filenames
    -

    None

    -
    -
    -

    Format tokens with ANSI color sequences, for output in a text console. -Color sequences are terminated at newlines, so that paging the output -works correctly.

    -

    The get_style_defs() method doesn’t do anything special since there is -no support for common styles.

    -

    Options accepted:

    -
    -
    bg

    Set to "light" or "dark" depending on the terminal’s background -(default: "light").

    -
    -
    colorscheme

    A dictionary mapping token types to (lightbg, darkbg) color names or -None (default: None = use builtin colorscheme).

    -
    -
    linenos

    Set to True to have line numbers on the terminal output as well -(default: False = no line numbers).

    -
    -
    -
    - -
    -
    -class TerminalTrueColorFormatter¶
    -
    -
    Short names
    -

    terminal16m, console16m, 16m

    -
    -
    Filenames
    -

    None

    -
    -
    -

    Format tokens with ANSI color sequences, for output in a true-color -terminal or console. Like in TerminalFormatter color sequences -are terminated at newlines, so that paging the output works correctly.

    -
    -

    New in version 2.1.

    -
    -

    Options accepted:

    -
    -
    style

    The style to use, can be a string or a Style subclass (default: -'default').

    -
    -
    -
    - -
    -
    -class TestcaseFormatter¶
    -
    -
    Short names
    -

    testcase

    -
    -
    Filenames
    -

    None

    -
    -
    -

    Format tokens as appropriate for a new testcase.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/index.html b/doc/_build/html/docs/index.html deleted file mode 100644 index d1ee4ca..0000000 --- a/doc/_build/html/docs/index.html +++ /dev/null @@ -1,156 +0,0 @@ - - - - - - - Pygments documentation — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Pygments documentation¶

    -

    Starting with Pygments

    - -

    Builtin components

    - -

    Reference

    - -

    Hacking for Pygments

    - -

    Hints and tricks

    - -

    About Pygments

    - -

    If you find bugs or have suggestions for the documentation, please look -here for info on how to contact the team.

    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/integrate.html b/doc/_build/html/docs/integrate.html deleted file mode 100644 index 2f7a4b2..0000000 --- a/doc/_build/html/docs/integrate.html +++ /dev/null @@ -1,134 +0,0 @@ - - - - - - - Using Pygments in various scenarios — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Using Pygments in various scenarios¶

    -
    -

    Markdown¶

    -

    Since Pygments 0.9, the distribution ships Markdown preprocessor sample code -that uses Pygments to render source code in -external/markdown-processor.py. You can copy and adapt it to your -liking.

    -
    -
    -

    TextMate¶

    -

    Antonio Cangiano has created a Pygments bundle for TextMate that allows to -colorize code via a simple menu option. It can be found here.

    -
    -
    -

    Bash completion¶

    -

    The source distribution contains a file external/pygments.bashcomp that -sets up completion for the pygmentize command in bash.

    -
    -
    -

    Wrappers for other languages¶

    -

    These libraries provide Pygments highlighting for users of other languages -than Python:

    - -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/java.html b/doc/_build/html/docs/java.html deleted file mode 100644 index 39ad1ea..0000000 --- a/doc/_build/html/docs/java.html +++ /dev/null @@ -1,163 +0,0 @@ - - - - - - - Use Pygments in Java — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Use Pygments in Java¶

    -

    Thanks to Jython it is possible to use Pygments in -Java.

    -

    This page is a simple tutorial to get an idea of how this works. You can -then look at the Jython documentation for more -advanced uses.

    -

    Since version 1.5, Pygments is deployed on Maven Central as a JAR, as is Jython -which makes it a lot easier to create a Java project.

    -

    Here is an example of a Maven pom.xml file for a -project running Pygments:

    -
    <?xml version="1.0" encoding="UTF-8"?>
    -
    -<project xmlns="http://maven.apache.org/POM/4.0.0"
    -         xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
    -         xsi:schemaLocation="http://maven.apache.org/POM/4.0.0
    -                             http://maven.apache.org/maven-v4_0_0.xsd">
    -  <modelVersion>4.0.0</modelVersion>
    -  <groupId>example</groupId>
    -  <artifactId>example</artifactId>
    -  <version>1.0-SNAPSHOT</version>
    -  <dependencies>
    -    <dependency>
    -      <groupId>org.python</groupId>
    -      <artifactId>jython-standalone</artifactId>
    -      <version>2.5.3</version>
    -    </dependency>
    -    <dependency>
    -      <groupId>org.pygments</groupId>
    -      <artifactId>pygments</artifactId>
    -      <version>1.5</version>
    -      <scope>runtime</scope>
    -    </dependency>
    -  </dependencies>
    -</project>
    -
    -
    -

    The following Java example:

    -
    PythonInterpreter interpreter = new PythonInterpreter();
    -
    -// Set a variable with the content you want to work with
    -interpreter.set("code", code);
    -
    -// Simple use Pygments as you would in Python
    -interpreter.exec("from pygments import highlight\n"
    -    + "from pygments.lexers import PythonLexer\n"
    -    + "from pygments.formatters import HtmlFormatter\n"
    -    + "\nresult = highlight(code, PythonLexer(), HtmlFormatter())");
    -
    -// Get the result that has been set in a variable
    -System.out.println(interpreter.get("result", String.class));
    -
    -
    -

    will print something like:

    -
    <div class="highlight">
    -<pre><span class="k">print</span> <span class="s">&quot;Hello World&quot;</span></pre>
    -</div>
    -
    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/lexerdevelopment.html b/doc/_build/html/docs/lexerdevelopment.html deleted file mode 100644 index e485bcb..0000000 --- a/doc/_build/html/docs/lexerdevelopment.html +++ /dev/null @@ -1,733 +0,0 @@ - - - - - - - Write your own lexer — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Write your own lexer¶

    -

    If a lexer for your favorite language is missing in the Pygments package, you -can easily write your own and extend Pygments.

    -

    All you need can be found inside the pygments.lexer module. As you can -read in the API documentation, a lexer is a class that is -initialized with some keyword arguments (the lexer options) and that provides a -get_tokens_unprocessed() method which is given a string or unicode -object with the data to lex.

    -

    The get_tokens_unprocessed() method must return an iterator or iterable -containing tuples in the form (index, token, value). Normally you don’t -need to do this since there are base lexers that do most of the work and that -you can subclass.

    -
    -

    RegexLexer¶

    -

    The lexer base class used by almost all of Pygments’ lexers is the -RegexLexer. This class allows you to define lexing rules in terms of -regular expressions for different states.

    -

    States are groups of regular expressions that are matched against the input -string at the current position. If one of these expressions matches, a -corresponding action is performed (such as yielding a token with a specific -type, or changing state), the current position is set to where the last match -ended and the matching process continues with the first regex of the current -state.

    -

    Lexer states are kept on a stack: each time a new state is entered, the new -state is pushed onto the stack. The most basic lexers (like the DiffLexer) -just need one state.

    -

    Each state is defined as a list of tuples in the form (regex, action, -new_state) where the last item is optional. In the most basic form, action -is a token type (like Name.Builtin). That means: When regex matches, emit a -token with the match text and type tokentype and push new_state on the state -stack. If the new state is '#pop', the topmost state is popped from the -stack instead. To pop more than one state, use '#pop:2' and so on. -'#push' is a synonym for pushing the current state on the stack.

    -

    The following example shows the DiffLexer from the builtin lexers. Note that -it contains some additional attributes name, aliases and filenames which -aren’t required for a lexer. They are used by the builtin lexer lookup -functions.

    -
    from pygments.lexer import RegexLexer
    -from pygments.token import *
    -
    -class DiffLexer(RegexLexer):
    -    name = 'Diff'
    -    aliases = ['diff']
    -    filenames = ['*.diff']
    -
    -    tokens = {
    -        'root': [
    -            (r' .*\n', Text),
    -            (r'\+.*\n', Generic.Inserted),
    -            (r'-.*\n', Generic.Deleted),
    -            (r'@.*\n', Generic.Subheading),
    -            (r'Index.*\n', Generic.Heading),
    -            (r'=.*\n', Generic.Heading),
    -            (r'.*\n', Text),
    -        ]
    -    }
    -
    -
    -

    As you can see this lexer only uses one state. When the lexer starts scanning -the text, it first checks if the current character is a space. If this is true -it scans everything until newline and returns the data as a Text token (which -is the “no special highlighting” token).

    -

    If this rule doesn’t match, it checks if the current char is a plus sign. And -so on.

    -

    If no rule matches at the current position, the current char is emitted as an -Error token that indicates a lexing error, and the position is increased by -one.

    -
    -
    -

    Adding and testing a new lexer¶

    -

    The easiest way to use a new lexer is to use Pygments’ support for loading -the lexer from a file relative to your current directory.

    -

    First, change the name of your lexer class to CustomLexer:

    -
    from pygments.lexer import RegexLexer
    -from pygments.token import *
    -
    -class CustomLexer(RegexLexer):
    -    """All your lexer code goes here!"""
    -
    -
    -

    Then you can load the lexer from the command line with the additional -flag -x:

    -
    $ pygmentize -l your_lexer_file.py -x
    -
    -
    -

    To specify a class name other than CustomLexer, append it with a colon:

    -
    $ pygmentize -l your_lexer.py:SomeLexer -x
    -
    -
    -

    Or, using the Python API:

    -
    # For a lexer named CustomLexer
    -your_lexer = load_lexer_from_file(filename, **options)
    -
    -# For a lexer named MyNewLexer
    -your_named_lexer = load_lexer_from_file(filename, "MyNewLexer", **options)
    -
    -
    -

    When loading custom lexers and formatters, be extremely careful to use only -trusted files; Pygments will perform the equivalent of eval on them.

    -

    If you only want to use your lexer with the Pygments API, you can import and -instantiate the lexer yourself, then pass it to pygments.highlight().

    -

    To prepare your new lexer for inclusion in the Pygments distribution, so that it -will be found when passing filenames or lexer aliases from the command line, you -have to perform the following steps.

    -

    First, change to the current directory containing the Pygments source code. You -will need to have either an unpacked source tarball, or (preferably) a copy -cloned from BitBucket.

    -
    $ cd .../pygments-main
    -
    -
    -

    Select a matching module under pygments/lexers, or create a new module for -your lexer class.

    -

    Next, make sure the lexer is known from outside of the module. All modules in -the pygments.lexers package specify __all__. For example, -esoteric.py sets:

    -
    __all__ = ['BrainfuckLexer', 'BefungeLexer', ...]
    -
    -
    -

    Add the name of your lexer class to this list (or create the list if your lexer -is the only class in the module).

    -

    Finally the lexer can be made publicly known by rebuilding the lexer mapping:

    -
    $ make mapfiles
    -
    -
    -

    To test the new lexer, store an example file with the proper extension in -tests/examplefiles. For example, to test your DiffLexer, add a -tests/examplefiles/example.diff containing a sample diff output.

    -

    Now you can use pygmentize to render your example to HTML:

    -
    $ ./pygmentize -O full -f html -o /tmp/example.html tests/examplefiles/example.diff
    -
    -
    -

    Note that this explicitly calls the pygmentize in the current directory -by preceding it with ./. This ensures your modifications are used. -Otherwise a possibly already installed, unmodified version without your new -lexer would have been called from the system search path ($PATH).

    -

    To view the result, open /tmp/example.html in your browser.

    -

    Once the example renders as expected, you should run the complete test suite:

    -
    $ make test
    -
    -
    -

    It also tests that your lexer fulfills the lexer API and certain invariants, -such as that the concatenation of all token text is the same as the input text.

    -
    -
    -

    Regex Flags¶

    -

    You can either define regex flags locally in the regex (r'(?x)foo bar') or -globally by adding a flags attribute to your lexer class. If no attribute is -defined, it defaults to re.MULTILINE. For more information about regular -expression flags see the page about regular expressions in the Python -documentation.

    -
    -
    -

    Scanning multiple tokens at once¶

    -

    So far, the action element in the rule tuple of regex, action and state has -been a single token type. Now we look at the first of several other possible -values.

    -

    Here is a more complex lexer that highlights INI files. INI files consist of -sections, comments and key = value pairs:

    -
    from pygments.lexer import RegexLexer, bygroups
    -from pygments.token import *
    -
    -class IniLexer(RegexLexer):
    -    name = 'INI'
    -    aliases = ['ini', 'cfg']
    -    filenames = ['*.ini', '*.cfg']
    -
    -    tokens = {
    -        'root': [
    -            (r'\s+', Text),
    -            (r';.*?$', Comment),
    -            (r'\[.*?\]$', Keyword),
    -            (r'(.*?)(\s*)(=)(\s*)(.*?)$',
    -             bygroups(Name.Attribute, Text, Operator, Text, String))
    -        ]
    -    }
    -
    -
    -

    The lexer first looks for whitespace, comments and section names. Later it -looks for a line that looks like a key, value pair, separated by an '=' -sign, and optional whitespace.

    -

    The bygroups helper yields each capturing group in the regex with a different -token type. First the Name.Attribute token, then a Text token for the -optional whitespace, after that a Operator token for the equals sign. Then a -Text token for the whitespace again. The rest of the line is returned as -String.

    -

    Note that for this to work, every part of the match must be inside a capturing -group (a (...)), and there must not be any nested capturing groups. If you -nevertheless need a group, use a non-capturing group defined using this syntax: -(?:some|words|here) (note the ?: after the beginning parenthesis).

    -

    If you find yourself needing a capturing group inside the regex which shouldn’t -be part of the output but is used in the regular expressions for backreferencing -(eg: r'(<(foo|bar)>)(.*?)(</\2>)'), you can pass None to the bygroups -function and that group will be skipped in the output.

    -
    -
    -

    Changing states¶

    -

    Many lexers need multiple states to work as expected. For example, some -languages allow multiline comments to be nested. Since this is a recursive -pattern it’s impossible to lex just using regular expressions.

    -

    Here is a lexer that recognizes C++ style comments (multi-line with /* */ -and single-line with // until end of line):

    -
    from pygments.lexer import RegexLexer
    -from pygments.token import *
    -
    -class CppCommentLexer(RegexLexer):
    -    name = 'Example Lexer with states'
    -
    -    tokens = {
    -        'root': [
    -            (r'[^/]+', Text),
    -            (r'/\*', Comment.Multiline, 'comment'),
    -            (r'//.*?$', Comment.Singleline),
    -            (r'/', Text)
    -        ],
    -        'comment': [
    -            (r'[^*/]', Comment.Multiline),
    -            (r'/\*', Comment.Multiline, '#push'),
    -            (r'\*/', Comment.Multiline, '#pop'),
    -            (r'[*/]', Comment.Multiline)
    -        ]
    -    }
    -
    -
    -

    This lexer starts lexing in the 'root' state. It tries to match as much as -possible until it finds a slash ('/'). If the next character after the slash -is an asterisk ('*') the RegexLexer sends those two characters to the -output stream marked as Comment.Multiline and continues lexing with the rules -defined in the 'comment' state.

    -

    If there wasn’t an asterisk after the slash, the RegexLexer checks if it’s a -Singleline comment (i.e. followed by a second slash). If this also wasn’t the -case it must be a single slash, which is not a comment starter (the separate -regex for a single slash must also be given, else the slash would be marked as -an error token).

    -

    Inside the 'comment' state, we do the same thing again. Scan until the -lexer finds a star or slash. If it’s the opening of a multiline comment, push -the 'comment' state on the stack and continue scanning, again in the -'comment' state. Else, check if it’s the end of the multiline comment. If -yes, pop one state from the stack.

    -

    Note: If you pop from an empty stack you’ll get an IndexError. (There is an -easy way to prevent this from happening: don’t '#pop' in the root state).

    -

    If the RegexLexer encounters a newline that is flagged as an error token, the -stack is emptied and the lexer continues scanning in the 'root' state. This -can help producing error-tolerant highlighting for erroneous input, e.g. when a -single-line string is not closed.

    -
    -
    -

    Advanced state tricks¶

    -

    There are a few more things you can do with states:

    -
      -
    • You can push multiple states onto the stack if you give a tuple instead of a -simple string as the third item in a rule tuple. For example, if you want to -match a comment containing a directive, something like:

      -
      /* <processing directive>    rest of comment */
      -
      -
      -

      you can use this rule:

      -
      tokens = {
      -    'root': [
      -        (r'/\* <', Comment, ('comment', 'directive')),
      -        ...
      -    ],
      -    'directive': [
      -        (r'[^>]*', Comment.Directive),
      -        (r'>', Comment, '#pop'),
      -    ],
      -    'comment': [
      -        (r'[^*]+', Comment),
      -        (r'\*/', Comment, '#pop'),
      -        (r'\*', Comment),
      -    ]
      -}
      -
      -
      -

      When this encounters the above sample, first 'comment' and 'directive' -are pushed onto the stack, then the lexer continues in the directive state -until it finds the closing >, then it continues in the comment state until -the closing */. Then, both states are popped from the stack again and -lexing continues in the root state.

      -
      -

      New in version 0.9: The tuple can contain the special '#push' and '#pop' (but not -'#pop:n') directives.

      -
      -
    • -
    • You can include the rules of a state in the definition of another. This is -done by using include from pygments.lexer:

      -
      from pygments.lexer import RegexLexer, bygroups, include
      -from pygments.token import *
      -
      -class ExampleLexer(RegexLexer):
      -    tokens = {
      -        'comments': [
      -            (r'/\*.*?\*/', Comment),
      -            (r'//.*?\n', Comment),
      -        ],
      -        'root': [
      -            include('comments'),
      -            (r'(function )(\w+)( {)',
      -             bygroups(Keyword, Name, Keyword), 'function'),
      -            (r'.', Text),
      -        ],
      -        'function': [
      -            (r'[^}/]+', Text),
      -            include('comments'),
      -            (r'/', Text),
      -            (r'\}', Keyword, '#pop'),
      -        ]
      -    }
      -
      -
      -

      This is a hypothetical lexer for a language that consist of functions and -comments. Because comments can occur at toplevel and in functions, we need -rules for comments in both states. As you can see, the include helper saves -repeating rules that occur more than once (in this example, the state -'comment' will never be entered by the lexer, as it’s only there to be -included in 'root' and 'function').

      -
    • -
    • Sometimes, you may want to “combine” a state from existing ones. This is -possible with the combined helper from pygments.lexer.

      -

      If you, instead of a new state, write combined('state1', 'state2') as the -third item of a rule tuple, a new anonymous state will be formed from state1 -and state2 and if the rule matches, the lexer will enter this state.

      -

      This is not used very often, but can be helpful in some cases, such as the -PythonLexer’s string literal processing.

      -
    • -
    • If you want your lexer to start lexing in a different state you can modify the -stack by overriding the get_tokens_unprocessed() method:

      -
      from pygments.lexer import RegexLexer
      -
      -class ExampleLexer(RegexLexer):
      -    tokens = {...}
      -
      -    def get_tokens_unprocessed(self, text, stack=('root', 'otherstate')):
      -        for item in RegexLexer.get_tokens_unprocessed(self, text, stack):
      -            yield item
      -
      -
      -

      Some lexers like the PhpLexer use this to make the leading <?php -preprocessor comments optional. Note that you can crash the lexer easily by -putting values into the stack that don’t exist in the token map. Also -removing 'root' from the stack can result in strange errors!

      -
    • -
    • In some lexers, a state should be popped if anything is encountered that isn’t -matched by a rule in the state. You could use an empty regex at the end of -the state list, but Pygments provides a more obvious way of spelling that: -default('#pop') is equivalent to ('', Text, '#pop').

      -
      -

      New in version 2.0.

      -
      -
    • -
    -
    -
    -

    Subclassing lexers derived from RegexLexer¶

    -
    -

    New in version 1.6.

    -
    -

    Sometimes multiple languages are very similar, but should still be lexed by -different lexer classes.

    -

    When subclassing a lexer derived from RegexLexer, the tokens dictionaries -defined in the parent and child class are merged. For example:

    -
    from pygments.lexer import RegexLexer, inherit
    -from pygments.token import *
    -
    -class BaseLexer(RegexLexer):
    -    tokens = {
    -        'root': [
    -            ('[a-z]+', Name),
    -            (r'/\*', Comment, 'comment'),
    -            ('"', String, 'string'),
    -            ('\s+', Text),
    -        ],
    -        'string': [
    -            ('[^"]+', String),
    -            ('"', String, '#pop'),
    -        ],
    -        'comment': [
    -            ...
    -        ],
    -    }
    -
    -class DerivedLexer(BaseLexer):
    -    tokens = {
    -        'root': [
    -            ('[0-9]+', Number),
    -            inherit,
    -        ],
    -        'string': [
    -            (r'[^"\\]+', String),
    -            (r'\\.', String.Escape),
    -            ('"', String, '#pop'),
    -        ],
    -    }
    -
    -
    -

    The BaseLexer defines two states, lexing names and strings. The -DerivedLexer defines its own tokens dictionary, which extends the definitions -of the base lexer:

    -
      -
    • The “root” state has an additional rule and then the special object inherit, -which tells Pygments to insert the token definitions of the parent class at -that point.

    • -
    • The “string” state is replaced entirely, since there is not inherit rule.

    • -
    • The “comment” state is inherited entirely.

    • -
    -
    -
    -

    Using multiple lexers¶

    -

    Using multiple lexers for the same input can be tricky. One of the easiest -combination techniques is shown here: You can replace the action entry in a rule -tuple with a lexer class. The matched text will then be lexed with that lexer, -and the resulting tokens will be yielded.

    -

    For example, look at this stripped-down HTML lexer:

    -
    from pygments.lexer import RegexLexer, bygroups, using
    -from pygments.token import *
    -from pygments.lexers.javascript import JavascriptLexer
    -
    -class HtmlLexer(RegexLexer):
    -    name = 'HTML'
    -    aliases = ['html']
    -    filenames = ['*.html', '*.htm']
    -
    -    flags = re.IGNORECASE | re.DOTALL
    -    tokens = {
    -        'root': [
    -            ('[^<&]+', Text),
    -            ('&.*?;', Name.Entity),
    -            (r'<\s*script\s*', Name.Tag, ('script-content', 'tag')),
    -            (r'<\s*[a-zA-Z0-9:]+', Name.Tag, 'tag'),
    -            (r'<\s*/\s*[a-zA-Z0-9:]+\s*>', Name.Tag),
    -        ],
    -        'script-content': [
    -            (r'(.+?)(<\s*/\s*script\s*>)',
    -             bygroups(using(JavascriptLexer), Name.Tag),
    -             '#pop'),
    -        ]
    -    }
    -
    -
    -

    Here the content of a <script> tag is passed to a newly created instance of -a JavascriptLexer and not processed by the HtmlLexer. This is done using -the using helper that takes the other lexer class as its parameter.

    -

    Note the combination of bygroups and using. This makes sure that the -content up to the </script> end tag is processed by the JavascriptLexer, -while the end tag is yielded as a normal token with the Name.Tag type.

    -

    Also note the (r'<\s*script\s*', Name.Tag, ('script-content', 'tag')) rule. -Here, two states are pushed onto the state stack, 'script-content' and -'tag'. That means that first 'tag' is processed, which will lex -attributes and the closing >, then the 'tag' state is popped and the -next state on top of the stack will be 'script-content'.

    -

    Since you cannot refer to the class currently being defined, use this -(imported from pygments.lexer) to refer to the current lexer class, i.e. -using(this). This construct may seem unnecessary, but this is often the -most obvious way of lexing arbitrary syntax between fixed delimiters without -introducing deeply nested states.

    -

    The using() helper has a special keyword argument, state, which works as -follows: if given, the lexer to use initially is not in the "root" state, -but in the state given by this argument. This does not work with advanced -RegexLexer subclasses such as ExtendedRegexLexer (see below).

    -

    Any other keywords arguments passed to using() are added to the keyword -arguments used to create the lexer.

    -
    -
    -

    Delegating Lexer¶

    -

    Another approach for nested lexers is the DelegatingLexer which is for example -used for the template engine lexers. It takes two lexers as arguments on -initialisation: a root_lexer and a language_lexer.

    -

    The input is processed as follows: First, the whole text is lexed with the -language_lexer. All tokens yielded with the special type of Other are -then concatenated and given to the root_lexer. The language tokens of the -language_lexer are then inserted into the root_lexer’s token stream at the -appropriate positions.

    -
    from pygments.lexer import DelegatingLexer
    -from pygments.lexers.web import HtmlLexer, PhpLexer
    -
    -class HtmlPhpLexer(DelegatingLexer):
    -    def __init__(self, **options):
    -        super(HtmlPhpLexer, self).__init__(HtmlLexer, PhpLexer, **options)
    -
    -
    -

    This procedure ensures that e.g. HTML with template tags in it is highlighted -correctly even if the template tags are put into HTML tags or attributes.

    -

    If you want to change the needle token Other to something else, you can give -the lexer another token type as the third parameter:

    -
    DelegatingLexer.__init__(MyLexer, OtherLexer, Text, **options)
    -
    -
    -
    -
    -

    Callbacks¶

    -

    Sometimes the grammar of a language is so complex that a lexer would be unable -to process it just by using regular expressions and stacks.

    -

    For this, the RegexLexer allows callbacks to be given in rule tuples, instead -of token types (bygroups and using are nothing else but preimplemented -callbacks). The callback must be a function taking two arguments:

    -
      -
    • the lexer itself

    • -
    • the match object for the last matched rule

    • -
    -

    The callback must then return an iterable of (or simply yield) (index, -tokentype, value) tuples, which are then just passed through by -get_tokens_unprocessed(). The index here is the position of the token in -the input string, tokentype is the normal token type (like Name.Builtin), -and value the associated part of the input string.

    -

    You can see an example here:

    -
    from pygments.lexer import RegexLexer
    -from pygments.token import Generic
    -
    -class HypotheticLexer(RegexLexer):
    -
    -    def headline_callback(lexer, match):
    -        equal_signs = match.group(1)
    -        text = match.group(2)
    -        yield match.start(), Generic.Headline, equal_signs + text + equal_signs
    -
    -    tokens = {
    -        'root': [
    -            (r'(=+)(.*?)(\1)', headline_callback)
    -        ]
    -    }
    -
    -
    -

    If the regex for the headline_callback matches, the function is called with -the match object. Note that after the callback is done, processing continues -normally, that is, after the end of the previous match. The callback has no -possibility to influence the position.

    -

    There are not really any simple examples for lexer callbacks, but you can see -them in action e.g. in the SMLLexer class in ml.py.

    -
    -
    -

    The ExtendedRegexLexer class¶

    -

    The RegexLexer, even with callbacks, unfortunately isn’t powerful enough for -the funky syntax rules of languages such as Ruby.

    -

    But fear not; even then you don’t have to abandon the regular expression -approach: Pygments has a subclass of RegexLexer, the ExtendedRegexLexer. -All features known from RegexLexers are available here too, and the tokens are -specified in exactly the same way, except for one detail:

    -

    The get_tokens_unprocessed() method holds its internal state data not as local -variables, but in an instance of the pygments.lexer.LexerContext class, and -that instance is passed to callbacks as a third argument. This means that you -can modify the lexer state in callbacks.

    -

    The LexerContext class has the following members:

    -
      -
    • text – the input text

    • -
    • pos – the current starting position that is used for matching regexes

    • -
    • stack – a list containing the state stack

    • -
    • end – the maximum position to which regexes are matched, this defaults to -the length of text

    • -
    -

    Additionally, the get_tokens_unprocessed() method can be given a -LexerContext instead of a string and will then process this context instead of -creating a new one for the string argument.

    -

    Note that because you can set the current position to anything in the callback, -it won’t be automatically be set by the caller after the callback is finished. -For example, this is how the hypothetical lexer above would be written with the -ExtendedRegexLexer:

    -
    from pygments.lexer import ExtendedRegexLexer
    -from pygments.token import Generic
    -
    -class ExHypotheticLexer(ExtendedRegexLexer):
    -
    -    def headline_callback(lexer, match, ctx):
    -        equal_signs = match.group(1)
    -        text = match.group(2)
    -        yield match.start(), Generic.Headline, equal_signs + text + equal_signs
    -        ctx.pos = match.end()
    -
    -    tokens = {
    -        'root': [
    -            (r'(=+)(.*?)(\1)', headline_callback)
    -        ]
    -    }
    -
    -
    -

    This might sound confusing (and it can really be). But it is needed, and for an -example look at the Ruby lexer in ruby.py.

    -
    -
    -

    Handling Lists of Keywords¶

    -

    For a relatively short list (hundreds) you can construct an optimized regular -expression directly using words() (longer lists, see next section). This -function handles a few things for you automatically, including escaping -metacharacters and Python’s first-match rather than longest-match in -alternations. Feel free to put the lists themselves in -pygments/lexers/_$lang_builtins.py (see examples there), and generated by -code if possible.

    -

    An example of using words() is something like:

    -
    from pygments.lexer import RegexLexer, words, Name
    -
    -class MyLexer(RegexLexer):
    -
    -    tokens = {
    -        'root': [
    -            (words(('else', 'elseif'), suffix=r'\b'), Name.Builtin),
    -            (r'\w+', Name),
    -        ],
    -    }
    -
    -
    -

    As you can see, you can add prefix and suffix parts to the constructed -regex.

    -
    -
    -

    Modifying Token Streams¶

    -

    Some languages ship a lot of builtin functions (for example PHP). The total -amount of those functions differs from system to system because not everybody -has every extension installed. In the case of PHP there are over 3000 builtin -functions. That’s an incredibly huge amount of functions, much more than you -want to put into a regular expression.

    -

    But because only Name tokens can be function names this is solvable by -overriding the get_tokens_unprocessed() method. The following lexer -subclasses the PythonLexer so that it highlights some additional names as -pseudo keywords:

    -
    from pygments.lexers.python import PythonLexer
    -from pygments.token import Name, Keyword
    -
    -class MyPythonLexer(PythonLexer):
    -    EXTRA_KEYWORDS = set(('foo', 'bar', 'foobar', 'barfoo', 'spam', 'eggs'))
    -
    -    def get_tokens_unprocessed(self, text):
    -        for index, token, value in PythonLexer.get_tokens_unprocessed(self, text):
    -            if token is Name and value in self.EXTRA_KEYWORDS:
    -                yield index, Keyword.Pseudo, value
    -            else:
    -                yield index, token, value
    -
    -
    -

    The PhpLexer and LuaLexer use this method to resolve builtin functions.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/lexers.html b/doc/_build/html/docs/lexers.html deleted file mode 100644 index 6a9c0c1..0000000 --- a/doc/_build/html/docs/lexers.html +++ /dev/null @@ -1,9981 +0,0 @@ - - - - - - - Available lexers — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Available lexers¶

    -

    This page lists all available builtin lexers and the options they take.

    -

    Currently, all lexers support these options:

    -
    -
    stripnl

    Strip leading and trailing newlines from the input (default: True)

    -
    -
    stripall

    Strip all leading and trailing whitespace from the input (default: -False).

    -
    -
    ensurenl

    Make sure that the input ends with a newline (default: True). This -is required for some lexers that consume input linewise.

    -
    -

    New in version 1.3.

    -
    -
    -
    tabsize

    If given and greater than 0, expand tabs in the input (default: 0).

    -
    -
    encoding

    If given, must be an encoding name (such as "utf-8"). This encoding -will be used to convert the input string to Unicode (if it is not already -a Unicode string). The default is "guess".

    -

    If this option is set to "guess", a simple UTF-8 vs. Latin-1 -detection is used, if it is set to "chardet", the -chardet library is used to -guess the encoding of the input.

    -
    -

    New in version 0.6.

    -
    -
    -
    -

    The “Short Names” field lists the identifiers that can be used with the -get_lexer_by_name() function.

    -

    These lexers are builtin and can be imported from pygments.lexers:

    -
    -

    Lexers for ActionScript and MXML¶

    -
    -
    -class pygments.lexers.actionscript.ActionScript3Lexer¶
    -
    -
    Short names
    -

    as3, actionscript3

    -
    -
    Filenames
    -

    *.as

    -
    -
    MIME types
    -

    application/x-actionscript3, text/x-actionscript3, text/actionscript3

    -
    -
    -

    For ActionScript 3 source code.

    -
    -

    New in version 0.11.

    -
    -
    - -
    -
    -class pygments.lexers.actionscript.ActionScriptLexer¶
    -
    -
    Short names
    -

    as, actionscript

    -
    -
    Filenames
    -

    *.as

    -
    -
    MIME types
    -

    application/x-actionscript, text/x-actionscript, text/actionscript

    -
    -
    -

    For ActionScript source code.

    -
    -

    New in version 0.9.

    -
    -
    - -
    -
    -class pygments.lexers.actionscript.MxmlLexer¶
    -
    -
    Short names
    -

    mxml

    -
    -
    Filenames
    -

    *.mxml

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For MXML markup. -Nested AS3 in <script> tags is highlighted by the appropriate lexer.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -

    Lexers for computer algebra systems¶

    -
    -
    -class pygments.lexers.algebra.BCLexer¶
    -
    -
    Short names
    -

    bc

    -
    -
    Filenames
    -

    *.bc

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A BC lexer.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.algebra.GAPLexer¶
    -
    -
    Short names
    -

    gap

    -
    -
    Filenames
    -

    *.g, *.gd, *.gi, *.gap

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For GAP source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.algebra.MathematicaLexer¶
    -
    -
    Short names
    -

    mathematica, mma, nb

    -
    -
    Filenames
    -

    *.nb, *.cdf, *.nbp, *.ma

    -
    -
    MIME types
    -

    application/mathematica, application/vnd.wolfram.mathematica, application/vnd.wolfram.mathematica.package, application/vnd.wolfram.cdf

    -
    -
    -

    Lexer for Mathematica source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.algebra.MuPADLexer¶
    -
    -
    Short names
    -

    mupad

    -
    -
    Filenames
    -

    *.mu

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A MuPAD lexer. -Contributed by Christopher Creutzig <christopher@creutzig.de>.

    -
    -

    New in version 0.8.

    -
    -
    - -
    -
    -

    Lexers for AmbientTalk language¶

    -
    -
    -class pygments.lexers.ambient.AmbientTalkLexer¶
    -
    -
    Short names
    -

    at, ambienttalk, ambienttalk/2

    -
    -
    Filenames
    -

    *.at

    -
    -
    MIME types
    -

    text/x-ambienttalk

    -
    -
    -

    Lexer for AmbientTalk source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexers for the AMPL language¶

    -
    -
    -class pygments.lexers.ampl.AmplLexer¶
    -
    -
    Short names
    -

    ampl

    -
    -
    Filenames
    -

    *.run

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For AMPL source code.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for APL¶

    -
    -
    -class pygments.lexers.apl.APLLexer¶
    -
    -
    Short names
    -

    apl

    -
    -
    Filenames
    -

    *.apl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A simple APL lexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    - -
    -

    Lexers for assembly languages¶

    -
    -
    -class pygments.lexers.asm.CObjdumpLexer¶
    -
    -
    Short names
    -

    c-objdump

    -
    -
    Filenames
    -

    *.c-objdump

    -
    -
    MIME types
    -

    text/x-c-objdump

    -
    -
    -

    For the output of ‘objdump -Sr on compiled C files’

    -
    - -
    -
    -class pygments.lexers.asm.Ca65Lexer¶
    -
    -
    Short names
    -

    ca65

    -
    -
    Filenames
    -

    *.s

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For ca65 assembler sources.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.asm.CppObjdumpLexer¶
    -
    -
    Short names
    -

    cpp-objdump, c++-objdumb, cxx-objdump

    -
    -
    Filenames
    -

    *.cpp-objdump, *.c++-objdump, *.cxx-objdump

    -
    -
    MIME types
    -

    text/x-cpp-objdump

    -
    -
    -

    For the output of ‘objdump -Sr on compiled C++ files’

    -
    - -
    -
    -class pygments.lexers.asm.DObjdumpLexer¶
    -
    -
    Short names
    -

    d-objdump

    -
    -
    Filenames
    -

    *.d-objdump

    -
    -
    MIME types
    -

    text/x-d-objdump

    -
    -
    -

    For the output of ‘objdump -Sr on compiled D files’

    -
    - -
    -
    -class pygments.lexers.asm.Dasm16Lexer¶
    -
    -
    Short names
    -

    dasm16

    -
    -
    Filenames
    -

    *.dasm16, *.dasm

    -
    -
    MIME types
    -

    text/x-dasm16

    -
    -
    -

    Simple lexer for DCPU-16 Assembly

    -

    Check http://0x10c.com/doc/dcpu-16.txt

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -class pygments.lexers.asm.GasLexer¶
    -
    -
    Short names
    -

    gas, asm

    -
    -
    Filenames
    -

    *.s, *.S

    -
    -
    MIME types
    -

    text/x-gas

    -
    -
    -

    For Gas (AT&T) assembly code.

    -
    - -
    -
    -class pygments.lexers.asm.HsailLexer¶
    -
    -
    Short names
    -

    hsail, hsa

    -
    -
    Filenames
    -

    *.hsail

    -
    -
    MIME types
    -

    text/x-hsail

    -
    -
    -

    For HSAIL assembly code.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.asm.LlvmLexer¶
    -
    -
    Short names
    -

    llvm

    -
    -
    Filenames
    -

    *.ll

    -
    -
    MIME types
    -

    text/x-llvm

    -
    -
    -

    For LLVM assembly code.

    -
    - -
    -
    -class pygments.lexers.asm.NasmLexer¶
    -
    -
    Short names
    -

    nasm

    -
    -
    Filenames
    -

    *.asm, *.ASM

    -
    -
    MIME types
    -

    text/x-nasm

    -
    -
    -

    For Nasm (Intel) assembly code.

    -
    - -
    -
    -class pygments.lexers.asm.NasmObjdumpLexer¶
    -
    -
    Short names
    -

    objdump-nasm

    -
    -
    Filenames
    -

    *.objdump-intel

    -
    -
    MIME types
    -

    text/x-nasm-objdump

    -
    -
    -

    For the output of ‘objdump -d -M intel’.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.asm.ObjdumpLexer¶
    -
    -
    Short names
    -

    objdump

    -
    -
    Filenames
    -

    *.objdump

    -
    -
    MIME types
    -

    text/x-objdump

    -
    -
    -

    For the output of ‘objdump -dr’

    -
    - -
    -
    -class pygments.lexers.asm.TasmLexer¶
    -
    -
    Short names
    -

    tasm

    -
    -
    Filenames
    -

    *.asm, *.ASM, *.tasm

    -
    -
    MIME types
    -

    text/x-tasm

    -
    -
    -

    For Tasm (Turbo Assembler) assembly code.

    -
    - -
    -
    -

    Lexers for automation scripting languages¶

    -
    -
    -class pygments.lexers.automation.AutoItLexer¶
    -
    -
    Short names
    -

    autoit

    -
    -
    Filenames
    -

    *.au3

    -
    -
    MIME types
    -

    text/x-autoit

    -
    -
    -

    For AutoIt files.

    -

    AutoIt is a freeware BASIC-like scripting language -designed for automating the Windows GUI and general scripting

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.automation.AutohotkeyLexer¶
    -
    -
    Short names
    -

    ahk, autohotkey

    -
    -
    Filenames
    -

    *.ahk, *.ahkl

    -
    -
    MIME types
    -

    text/x-autohotkey

    -
    -
    -

    For autohotkey source code.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -

    Lexers for BASIC like languages (other than VB.net)¶

    -
    -
    -class pygments.lexers.basic.BBCBasicLexer¶
    -
    -
    Short names
    -

    bbcbasic

    -
    -
    Filenames
    -

    *.bbc

    -
    -
    MIME types
    -

    None

    -
    -
    -

    BBC Basic was supplied on the BBC Micro, and later Acorn RISC OS. -It is also used by BBC Basic For Windows.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -class pygments.lexers.basic.BlitzBasicLexer¶
    -
    -
    Short names
    -

    blitzbasic, b3d, bplus

    -
    -
    Filenames
    -

    *.bb, *.decls

    -
    -
    MIME types
    -

    text/x-bb

    -
    -
    -

    For BlitzBasic source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.basic.BlitzMaxLexer¶
    -
    -
    Short names
    -

    blitzmax, bmax

    -
    -
    Filenames
    -

    *.bmx

    -
    -
    MIME types
    -

    text/x-bmx

    -
    -
    -

    For BlitzMax source code.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.basic.CbmBasicV2Lexer¶
    -
    -
    Short names
    -

    cbmbas

    -
    -
    Filenames
    -

    *.bas

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For CBM BASIC V2 sources.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.basic.MonkeyLexer¶
    -
    -
    Short names
    -

    monkey

    -
    -
    Filenames
    -

    *.monkey

    -
    -
    MIME types
    -

    text/x-monkey

    -
    -
    -

    For -Monkey -source code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.basic.QBasicLexer¶
    -
    -
    Short names
    -

    qbasic, basic

    -
    -
    Filenames
    -

    *.BAS, *.bas

    -
    -
    MIME types
    -

    text/basic

    -
    -
    -

    For -QBasic -source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.basic.VBScriptLexer¶
    -
    -
    Short names
    -

    None

    -
    -
    Filenames
    -

    *.vbs, *.VBS

    -
    -
    MIME types
    -

    None

    -
    -
    -

    VBScript is scripting language that is modeled on Visual Basic.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -

    Lexers for BibTeX bibliography data and styles¶

    -
    -
    -class pygments.lexers.bibtex.BSTLexer¶
    -
    -
    Short names
    -

    bst, bst-pybtex

    -
    -
    Filenames
    -

    *.bst

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for BibTeX bibliography styles.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.bibtex.BibTeXLexer¶
    -
    -
    Short names
    -

    bib, bibtex

    -
    -
    Filenames
    -

    *.bib

    -
    -
    MIME types
    -

    text/x-bibtex

    -
    -
    -

    A lexer for BibTeX bibliography data format.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for the Boa language¶

    -
    -
    -class pygments.lexers.boa.BoaLexer¶
    -
    -
    Short names
    -

    boa

    -
    -
    Filenames
    -

    *.boa

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for the Boa language.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -

    Lexers for “business-oriented” languages¶

    -
    -
    -class pygments.lexers.business.ABAPLexer¶
    -
    -
    Short names
    -

    abap

    -
    -
    Filenames
    -

    *.abap, *.ABAP

    -
    -
    MIME types
    -

    text/x-abap

    -
    -
    -

    Lexer for ABAP, SAP’s integrated language.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.business.CobolFreeformatLexer¶
    -
    -
    Short names
    -

    cobolfree

    -
    -
    Filenames
    -

    *.cbl, *.CBL

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Free format OpenCOBOL code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.business.CobolLexer¶
    -
    -
    Short names
    -

    cobol

    -
    -
    Filenames
    -

    *.cob, *.COB, *.cpy, *.CPY

    -
    -
    MIME types
    -

    text/x-cobol

    -
    -
    -

    Lexer for OpenCOBOL code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.business.GoodDataCLLexer¶
    -
    -
    Short names
    -

    gooddata-cl

    -
    -
    Filenames
    -

    *.gdc

    -
    -
    MIME types
    -

    text/x-gooddata-cl

    -
    -
    -

    Lexer for GoodData-CL -script files.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.business.MaqlLexer¶
    -
    -
    Short names
    -

    maql

    -
    -
    Filenames
    -

    *.maql

    -
    -
    MIME types
    -

    text/x-gooddata-maql, application/x-gooddata-maql

    -
    -
    -

    Lexer for GoodData MAQL -scripts.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.business.OpenEdgeLexer¶
    -
    -
    Short names
    -

    openedge, abl, progress

    -
    -
    Filenames
    -

    *.p, *.cls

    -
    -
    MIME types
    -

    text/x-openedge, application/x-openedge

    -
    -
    -

    Lexer for OpenEdge ABL (formerly Progress) source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Lexers for C/C++ languages¶

    -
    -
    -class pygments.lexers.c_cpp.CLexer¶
    -
    -
    Short names
    -

    c

    -
    -
    Filenames
    -

    *.c, *.h, *.idc

    -
    -
    MIME types
    -

    text/x-chdr, text/x-csrc

    -
    -
    -

    For C source code with preprocessor directives.

    -
    - -
    -
    -class pygments.lexers.c_cpp.CppLexer¶
    -
    -
    Short names
    -

    cpp, c++

    -
    -
    Filenames
    -

    *.cpp, *.hpp, *.c++, *.h++, *.cc, *.hh, *.cxx, *.hxx, *.C, *.H, *.cp, *.CPP

    -
    -
    MIME types
    -

    text/x-c++hdr, text/x-c++src

    -
    -
    -

    For C++ source code with preprocessor directives.

    -
    - -
    -
    -

    Lexers for other C-like languages¶

    -
    -
    -class pygments.lexers.c_like.ArduinoLexer¶
    -
    -
    Short names
    -

    arduino

    -
    -
    Filenames
    -

    *.ino

    -
    -
    MIME types
    -

    text/x-arduino

    -
    -
    -

    For Arduino(tm) source.

    -

    This is an extension of the CppLexer, as the Arduino® Language is a superset -of C++

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.CharmciLexer¶
    -
    -
    Short names
    -

    charmci

    -
    -
    Filenames
    -

    *.ci

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Charm++ interface files (.ci).

    -
    - -
    -
    -class pygments.lexers.c_like.ClayLexer¶
    -
    -
    Short names
    -

    clay

    -
    -
    Filenames
    -

    *.clay

    -
    -
    MIME types
    -

    text/x-clay

    -
    -
    -

    For Clay source.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.CudaLexer¶
    -
    -
    Short names
    -

    cuda, cu

    -
    -
    Filenames
    -

    *.cu, *.cuh

    -
    -
    MIME types
    -

    text/x-cuda

    -
    -
    -

    For NVIDIA CUDA™ -source.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.ECLexer¶
    -
    -
    Short names
    -

    ec

    -
    -
    Filenames
    -

    *.ec, *.eh

    -
    -
    MIME types
    -

    text/x-echdr, text/x-ecsrc

    -
    -
    -

    For eC source code with preprocessor directives.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.MqlLexer¶
    -
    -
    Short names
    -

    mql, mq4, mq5, mql4, mql5

    -
    -
    Filenames
    -

    *.mq4, *.mq5, *.mqh

    -
    -
    MIME types
    -

    text/x-mql

    -
    -
    -

    For MQL4 and -MQL5 source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.NesCLexer¶
    -
    -
    Short names
    -

    nesc

    -
    -
    Filenames
    -

    *.nc

    -
    -
    MIME types
    -

    text/x-nescsrc

    -
    -
    -

    For nesC source code with preprocessor -directives.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.PikeLexer¶
    -
    -
    Short names
    -

    pike

    -
    -
    Filenames
    -

    *.pike, *.pmod

    -
    -
    MIME types
    -

    text/x-pike

    -
    -
    -

    For Pike source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.SwigLexer¶
    -
    -
    Short names
    -

    swig

    -
    -
    Filenames
    -

    *.swg, *.i

    -
    -
    MIME types
    -

    text/swig

    -
    -
    -

    For SWIG source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.c_like.ValaLexer¶
    -
    -
    Short names
    -

    vala, vapi

    -
    -
    Filenames
    -

    *.vala, *.vapi

    -
    -
    MIME types
    -

    text/x-vala

    -
    -
    -

    For Vala source code with preprocessor directives.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -

    Lexers for the Cap’n Proto schema language¶

    -
    -
    -class pygments.lexers.capnproto.CapnProtoLexer¶
    -
    -
    Short names
    -

    capnp

    -
    -
    Filenames
    -

    *.capnp

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Cap’n Proto source.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexer for the Chapel language¶

    -
    -
    -class pygments.lexers.chapel.ChapelLexer¶
    -
    -
    Short names
    -

    chapel, chpl

    -
    -
    Filenames
    -

    *.chpl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Chapel source.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexer for the Clean language¶

    -
    -
    -class pygments.lexers.clean.CleanLexer¶
    -
    -
    Short names
    -

    clean

    -
    -
    Filenames
    -

    *.icl, *.dcl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for the general purpose, state-of-the-art, pure and lazy functional -programming language Clean (http://clean.cs.ru.nl/Clean).

    -
    - -
    -
    -

    Lexers for configuration file formats¶

    -
    -
    -class pygments.lexers.configs.ApacheConfLexer¶
    -
    -
    Short names
    -

    apacheconf, aconf, apache

    -
    -
    Filenames
    -

    .htaccess, apache.conf, apache2.conf

    -
    -
    MIME types
    -

    text/x-apacheconf

    -
    -
    -

    Lexer for configuration files following the Apache config file -format.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.configs.AugeasLexer¶
    -
    -
    Short names
    -

    augeas

    -
    -
    Filenames
    -

    *.aug

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Augeas.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -class pygments.lexers.configs.Cfengine3Lexer¶
    -
    -
    Short names
    -

    cfengine3, cf3

    -
    -
    Filenames
    -

    *.cf

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for CFEngine3 policy files.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.configs.DockerLexer¶
    -
    -
    Short names
    -

    docker, dockerfile

    -
    -
    Filenames
    -

    Dockerfile, *.docker

    -
    -
    MIME types
    -

    text/x-dockerfile-config

    -
    -
    -

    Lexer for Docker configuration files.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.configs.IniLexer¶
    -
    -
    Short names
    -

    ini, cfg, dosini

    -
    -
    Filenames
    -

    *.ini, *.cfg, *.inf

    -
    -
    MIME types
    -

    text/x-ini, text/inf

    -
    -
    -

    Lexer for configuration files in INI style.

    -
    - -
    -
    -class pygments.lexers.configs.KconfigLexer¶
    -
    -
    Short names
    -

    kconfig, menuconfig, linux-config, kernel-config

    -
    -
    Filenames
    -

    Kconfig, *Config.in*, external.in*, standard-modules.in

    -
    -
    MIME types
    -

    text/x-kconfig

    -
    -
    -

    For Linux-style Kconfig files.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.configs.LighttpdConfLexer¶
    -
    -
    Short names
    -

    lighty, lighttpd

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/x-lighttpd-conf

    -
    -
    -

    Lexer for Lighttpd configuration files.

    -
    -

    New in version 0.11.

    -
    -
    - -
    -
    -class pygments.lexers.configs.NginxConfLexer¶
    -
    -
    Short names
    -

    nginx

    -
    -
    Filenames
    -

    nginx.conf

    -
    -
    MIME types
    -

    text/x-nginx-conf

    -
    -
    -

    Lexer for Nginx configuration files.

    -
    -

    New in version 0.11.

    -
    -
    - -
    -
    -class pygments.lexers.configs.PacmanConfLexer¶
    -
    -
    Short names
    -

    pacmanconf

    -
    -
    Filenames
    -

    pacman.conf

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for pacman.conf.

    -

    Actually, IniLexer works almost fine for this format, -but it yield error token. It is because pacman.conf has -a form without assignment like:

    -
    -

    UseSyslog -Color -TotalDownload -CheckSpace -VerbosePkgLists

    -
    -

    These are flags to switch on.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.configs.PkgConfigLexer¶
    -
    -
    Short names
    -

    pkgconfig

    -
    -
    Filenames
    -

    *.pc

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for pkg-config -(see also manual page).

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.configs.PropertiesLexer¶
    -
    -
    Short names
    -

    properties, jproperties

    -
    -
    Filenames
    -

    *.properties

    -
    -
    MIME types
    -

    text/x-java-properties

    -
    -
    -

    Lexer for configuration files in Java’s properties format.

    -

    Note: trailing whitespace counts as part of the value as per spec

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.configs.RegeditLexer¶
    -
    -
    Short names
    -

    registry

    -
    -
    Filenames
    -

    *.reg

    -
    -
    MIME types
    -

    text/x-windows-registry

    -
    -
    -

    Lexer for Windows Registry files produced -by regedit.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.configs.SquidConfLexer¶
    -
    -
    Short names
    -

    squidconf, squid.conf, squid

    -
    -
    Filenames
    -

    squid.conf

    -
    -
    MIME types
    -

    text/x-squidconf

    -
    -
    -

    Lexer for squid configuration files.

    -
    -

    New in version 0.9.

    -
    -
    - -
    -
    -class pygments.lexers.configs.TOMLLexer¶
    -
    -
    Short names
    -

    toml

    -
    -
    Filenames
    -

    *.toml

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for TOML, a simple language -for config files.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -class pygments.lexers.configs.TermcapLexer¶
    -
    -
    Short names
    -

    termcap

    -
    -
    Filenames
    -

    termcap, termcap.src

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for termcap database source.

    -

    This is very simple and minimal.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.configs.TerminfoLexer¶
    -
    -
    Short names
    -

    terminfo

    -
    -
    Filenames
    -

    terminfo, terminfo.src

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for terminfo database source.

    -

    This is very simple and minimal.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.configs.TerraformLexer¶
    -
    -
    Short names
    -

    terraform, tf

    -
    -
    Filenames
    -

    *.tf

    -
    -
    MIME types
    -

    application/x-tf, application/x-terraform

    -
    -
    -

    Lexer for terraformi .tf files.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexers for misc console output¶

    -
    -
    -class pygments.lexers.console.PyPyLogLexer¶
    -
    -
    Short names
    -

    pypylog, pypy

    -
    -
    Filenames
    -

    *.pypylog

    -
    -
    MIME types
    -

    application/x-pypylog

    -
    -
    -

    Lexer for PyPy log files.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.console.VCTreeStatusLexer¶
    -
    -
    Short names
    -

    vctreestatus

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For colorizing output of version control status commands, like “hg -status” or “svn status”.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexer for Crystal¶

    -
    -
    -class pygments.lexers.crystal.CrystalLexer¶
    -
    -
    Short names
    -

    cr, crystal

    -
    -
    Filenames
    -

    *.cr

    -
    -
    MIME types
    -

    text/x-crystal

    -
    -
    -

    For Crystal source code.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for Csound languages¶

    -
    -
    -class pygments.lexers.csound.CsoundDocumentLexer¶
    -
    -
    Short names
    -

    csound-document, csound-csd

    -
    -
    Filenames
    -

    *.csd

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Csound documents.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.csound.CsoundOrchestraLexer¶
    -
    -
    Short names
    -

    csound, csound-orc

    -
    -
    Filenames
    -

    *.orc, *.udo

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Csound orchestras.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.csound.CsoundScoreLexer¶
    -
    -
    Short names
    -

    csound-score, csound-sco

    -
    -
    Filenames
    -

    *.sco

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Csound scores.

    -
    -

    New in version 2.1.

    -
    -
    - -
    - -
    -

    Lexers for D languages¶

    -
    -
    -class pygments.lexers.d.CrocLexer¶
    -
    -
    Short names
    -

    croc

    -
    -
    Filenames
    -

    *.croc

    -
    -
    MIME types
    -

    text/x-crocsrc

    -
    -
    -

    For Croc source.

    -
    - -
    -
    -class pygments.lexers.d.DLexer¶
    -
    -
    Short names
    -

    d

    -
    -
    Filenames
    -

    *.d, *.di

    -
    -
    MIME types
    -

    text/x-dsrc

    -
    -
    -

    For D source.

    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    -class pygments.lexers.d.MiniDLexer¶
    -
    -
    Short names
    -

    minid

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/x-minidsrc

    -
    -
    -

    For MiniD source. MiniD is now known as Croc.

    -
    - -
    - -
    -

    Lexers for data file format¶

    -
    -
    -class pygments.lexers.data.JsonBareObjectLexer¶
    -
    -
    Short names
    -

    json-object

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/json-object

    -
    -
    -

    For JSON data structures (with missing object curly braces).

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.data.JsonLdLexer¶
    -
    -
    Short names
    -

    jsonld, json-ld

    -
    -
    Filenames
    -

    *.jsonld

    -
    -
    MIME types
    -

    application/ld+json

    -
    -
    -

    For JSON-LD linked data.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.data.JsonLexer¶
    -
    -
    Short names
    -

    json

    -
    -
    Filenames
    -

    *.json

    -
    -
    MIME types
    -

    application/json

    -
    -
    -

    For JSON data structures.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.data.YamlLexer¶
    -
    -
    Short names
    -

    yaml

    -
    -
    Filenames
    -

    *.yaml, *.yml

    -
    -
    MIME types
    -

    text/x-yaml

    -
    -
    -

    Lexer for YAML, a human-friendly data serialization -language.

    -
    -

    New in version 0.11.

    -
    -
    - -
    -
    -

    Lexers for diff/patch formats¶

    -
    -
    -class pygments.lexers.diff.DarcsPatchLexer¶
    -
    -
    Short names
    -

    dpatch

    -
    -
    Filenames
    -

    *.dpatch, *.darcspatch

    -
    -
    MIME types
    -

    None

    -
    -
    -

    DarcsPatchLexer is a lexer for the various versions of the darcs patch -format. Examples of this format are derived by commands such as -darcs annotate --patch and darcs send.

    -
    -

    New in version 0.10.

    -
    -
    - -
    -
    -class pygments.lexers.diff.DiffLexer¶
    -
    -
    Short names
    -

    diff, udiff

    -
    -
    Filenames
    -

    *.diff, *.patch

    -
    -
    MIME types
    -

    text/x-diff, text/x-patch

    -
    -
    -

    Lexer for unified or context-style diffs or patches.

    -
    - -
    -
    -class pygments.lexers.diff.WDiffLexer¶
    -
    -
    Short names
    -

    wdiff

    -
    -
    Filenames
    -

    *.wdiff

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A wdiff lexer.

    -

    Note that:

    -
      -
    • only to normal output (without option like -l).

    • -
    • if target files of wdiff contain “[-“, “-]”, “{+”, “+}”, -especially they are unbalanced, this lexer will get confusing.

    • -
    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for .net languages¶

    -
    -
    -class pygments.lexers.dotnet.BooLexer¶
    -
    -
    Short names
    -

    boo

    -
    -
    Filenames
    -

    *.boo

    -
    -
    MIME types
    -

    text/x-boo

    -
    -
    -

    For Boo source code.

    -
    - -
    -
    -class pygments.lexers.dotnet.CSharpAspxLexer¶
    -
    -
    Short names
    -

    aspx-cs

    -
    -
    Filenames
    -

    *.aspx, *.asax, *.ascx, *.ashx, *.asmx, *.axd

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for highlighting C# within ASP.NET pages.

    -
    - -
    -
    -class pygments.lexers.dotnet.CSharpLexer¶
    -
    -
    Short names
    -

    csharp, c#

    -
    -
    Filenames
    -

    *.cs

    -
    -
    MIME types
    -

    text/x-csharp

    -
    -
    -

    For C# -source code.

    -

    Additional options accepted:

    -
    -
    unicodelevel

    Determines which Unicode characters this lexer allows for identifiers. -The possible values are:

    -
      -
    • none – only the ASCII letters and numbers are allowed. This -is the fastest selection.

    • -
    • basic – all Unicode characters from the specification except -category Lo are allowed.

    • -
    • full – all Unicode characters as specified in the C# specs -are allowed. Note that this means a considerable slowdown since the -Lo category has more than 40,000 characters in it!

    • -
    -

    The default value is basic.

    -
    -

    New in version 0.8.

    -
    -
    -
    -
    - -
    -
    -class pygments.lexers.dotnet.FSharpLexer¶
    -
    -
    Short names
    -

    fsharp

    -
    -
    Filenames
    -

    *.fs, *.fsi

    -
    -
    MIME types
    -

    text/x-fsharp

    -
    -
    -

    For the F# language (version 3.0).

    -

    AAAAACK Strings -http://research.microsoft.com/en-us/um/cambridge/projects/fsharp/manual/spec.html#_Toc335818775

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.dotnet.NemerleLexer¶
    -
    -
    Short names
    -

    nemerle

    -
    -
    Filenames
    -

    *.n

    -
    -
    MIME types
    -

    text/x-nemerle

    -
    -
    -

    For Nemerle source code.

    -

    Additional options accepted:

    -
    -
    unicodelevel

    Determines which Unicode characters this lexer allows for identifiers. -The possible values are:

    -
      -
    • none – only the ASCII letters and numbers are allowed. This -is the fastest selection.

    • -
    • basic – all Unicode characters from the specification except -category Lo are allowed.

    • -
    • full – all Unicode characters as specified in the C# specs -are allowed. Note that this means a considerable slowdown since the -Lo category has more than 40,000 characters in it!

    • -
    -

    The default value is basic.

    -
    -
    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.dotnet.VbNetAspxLexer¶
    -
    -
    Short names
    -

    aspx-vb

    -
    -
    Filenames
    -

    *.aspx, *.asax, *.ascx, *.ashx, *.asmx, *.axd

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for highlighting Visual Basic.net within ASP.NET pages.

    -
    - -
    -
    -class pygments.lexers.dotnet.VbNetLexer¶
    -
    -
    Short names
    -

    vb.net, vbnet

    -
    -
    Filenames
    -

    *.vb, *.bas

    -
    -
    MIME types
    -

    text/x-vbnet, text/x-vba

    -
    -
    -

    For -Visual Basic.NET -source code.

    -
    - -
    -
    -

    Lexers for various domain-specific languages¶

    -
    -
    -class pygments.lexers.dsls.AlloyLexer¶
    -
    -
    Short names
    -

    alloy

    -
    -
    Filenames
    -

    *.als

    -
    -
    MIME types
    -

    text/x-alloy

    -
    -
    -

    For Alloy source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.BroLexer¶
    -
    -
    Short names
    -

    bro

    -
    -
    Filenames
    -

    *.bro

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Bro scripts.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.CrmshLexer¶
    -
    -
    Short names
    -

    crmsh, pcmk

    -
    -
    Filenames
    -

    *.crmsh, *.pcmk

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for crmsh configuration files -for Pacemaker clusters.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.FlatlineLexer¶
    -
    -
    Short names
    -

    flatline

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/x-flatline

    -
    -
    -

    Lexer for Flatline expressions.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.MscgenLexer¶
    -
    -
    Short names
    -

    mscgen, msc

    -
    -
    Filenames
    -

    *.msc

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Mscgen files.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.PanLexer¶
    -
    -
    Short names
    -

    pan

    -
    -
    Filenames
    -

    *.pan

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for pan source files.

    -

    Based on tcsh lexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.ProtoBufLexer¶
    -
    -
    Short names
    -

    protobuf, proto

    -
    -
    Filenames
    -

    *.proto

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Protocol Buffer -definition files.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.PuppetLexer¶
    -
    -
    Short names
    -

    puppet

    -
    -
    Filenames
    -

    *.pp

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Puppet configuration DSL.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.RslLexer¶
    -
    -
    Short names
    -

    rsl

    -
    -
    Filenames
    -

    *.rsl

    -
    -
    MIME types
    -

    text/rsl

    -
    -
    -

    RSL is the formal specification -language used in RAISE (Rigorous Approach to Industrial Software Engineering) -method.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.SnowballLexer¶
    -
    -
    Short names
    -

    snowball

    -
    -
    Filenames
    -

    *.sbl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Snowball source code.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.ThriftLexer¶
    -
    -
    Short names
    -

    thrift

    -
    -
    Filenames
    -

    *.thrift

    -
    -
    MIME types
    -

    application/x-thrift

    -
    -
    -

    For Thrift interface definitions.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.dsls.VGLLexer¶
    -
    -
    Short names
    -

    vgl

    -
    -
    Filenames
    -

    *.rpf

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For SampleManager VGL -source code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Lexers for the Dylan language¶

    -
    -
    -class pygments.lexers.dylan.DylanConsoleLexer¶
    -
    -
    Short names
    -

    dylan-console, dylan-repl

    -
    -
    Filenames
    -

    *.dylan-console

    -
    -
    MIME types
    -

    text/x-dylan-console

    -
    -
    -

    For Dylan interactive console output like:

    -
    ? let a = 1;
    -=> 1
    -? a
    -=> 1
    -
    -
    -

    This is based on a copy of the RubyConsoleLexer.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.dylan.DylanLexer¶
    -
    -
    Short names
    -

    dylan

    -
    -
    Filenames
    -

    *.dylan, *.dyl, *.intr

    -
    -
    MIME types
    -

    text/x-dylan

    -
    -
    -

    For the Dylan language.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.dylan.DylanLidLexer¶
    -
    -
    Short names
    -

    dylan-lid, lid

    -
    -
    Filenames
    -

    *.lid, *.hdp

    -
    -
    MIME types
    -

    text/x-dylan-lid

    -
    -
    -

    For Dylan LID (Library Interchange Definition) files.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Lexers for the ECL language¶

    -
    -
    -class pygments.lexers.ecl.ECLLexer¶
    -
    -
    Short names
    -

    ecl

    -
    -
    Filenames
    -

    *.ecl

    -
    -
    MIME types
    -

    application/x-ecl

    -
    -
    -

    Lexer for the declarative big-data ECL -language.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Lexer for the Eiffel language¶

    -
    -
    -class pygments.lexers.eiffel.EiffelLexer¶
    -
    -
    Short names
    -

    eiffel

    -
    -
    Filenames
    -

    *.e

    -
    -
    MIME types
    -

    text/x-eiffel

    -
    -
    -

    For Eiffel source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexer for the Elm programming language¶

    -
    -
    -class pygments.lexers.elm.ElmLexer¶
    -
    -
    Short names
    -

    elm

    -
    -
    Filenames
    -

    *.elm

    -
    -
    MIME types
    -

    text/x-elm

    -
    -
    -

    For Elm source code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexers for Erlang¶

    -
    -
    -class pygments.lexers.erlang.ElixirConsoleLexer¶
    -
    -
    Short names
    -

    iex

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/x-elixir-shellsession

    -
    -
    -

    For Elixir interactive console (iex) output like:

    -
    iex> [head | tail] = [1,2,3]
    -[1,2,3]
    -iex> head
    -1
    -iex> tail
    -[2,3]
    -iex> [head | tail]
    -[1,2,3]
    -iex> length [head | tail]
    -3
    -
    -
    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.erlang.ElixirLexer¶
    -
    -
    Short names
    -

    elixir, ex, exs

    -
    -
    Filenames
    -

    *.ex, *.exs

    -
    -
    MIME types
    -

    text/x-elixir

    -
    -
    -

    For the Elixir language.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.erlang.ErlangLexer¶
    -
    -
    Short names
    -

    erlang

    -
    -
    Filenames
    -

    *.erl, *.hrl, *.es, *.escript

    -
    -
    MIME types
    -

    text/x-erlang

    -
    -
    -

    For the Erlang functional programming language.

    -

    Blame Jeremy Thurgood (http://jerith.za.net/).

    -
    -

    New in version 0.9.

    -
    -
    - -
    -
    -class pygments.lexers.erlang.ErlangShellLexer¶
    -
    -
    Short names
    -

    erl

    -
    -
    Filenames
    -

    *.erl-sh

    -
    -
    MIME types
    -

    text/x-erl-shellsession

    -
    -
    -

    Shell sessions in erl (for Erlang code).

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -

    Lexers for esoteric languages¶

    -
    -
    -class pygments.lexers.esoteric.AheuiLexer¶
    -
    -
    Short names
    -

    aheui

    -
    -
    Filenames
    -

    *.aheui

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Aheui Lexer.

    -

    Aheui is esoteric language based on Korean alphabets.

    -
    - -
    -
    -class pygments.lexers.esoteric.BefungeLexer¶
    -
    -
    Short names
    -

    befunge

    -
    -
    Filenames
    -

    *.befunge

    -
    -
    MIME types
    -

    application/x-befunge

    -
    -
    -

    Lexer for the esoteric Befunge -language.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.esoteric.BrainfuckLexer¶
    -
    -
    Short names
    -

    brainfuck, bf

    -
    -
    Filenames
    -

    *.bf, *.b

    -
    -
    MIME types
    -

    application/x-brainfuck

    -
    -
    -

    Lexer for the esoteric BrainFuck -language.

    -
    - -
    -
    -class pygments.lexers.esoteric.CAmkESLexer¶
    -
    -
    Short names
    -

    camkes, idl4

    -
    -
    Filenames
    -

    *.camkes, *.idl4

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Basic lexer for the input language for the -CAmkES component platform.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.esoteric.CapDLLexer¶
    -
    -
    Short names
    -

    capdl

    -
    -
    Filenames
    -

    *.cdl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Basic lexer for -CapDL.

    -

    The source of the primary tool that reads such specifications is available -at https://github.com/seL4/capdl/tree/master/capDL-tool. Note that this -lexer only supports a subset of the grammar. For example, identifiers can -shadow type names, but these instances are currently incorrectly -highlighted as types. Supporting this would need a stateful lexer that is -considered unnecessarily complex for now.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.esoteric.RedcodeLexer¶
    -
    -
    Short names
    -

    redcode

    -
    -
    Filenames
    -

    *.cw

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A simple Redcode lexer based on ICWS‘94. -Contributed by Adam Blinkinsop <blinks@acm.org>.

    -
    -

    New in version 0.8.

    -
    -
    - -
    -
    -

    Pygments lexers for Ezhil language¶

    -
    -
    -class pygments.lexers.ezhil.EzhilLexer¶
    -
    -
    Short names
    -

    ezhil

    -
    -
    Filenames
    -

    *.n

    -
    -
    MIME types
    -

    text/x-ezhil

    -
    -
    -

    Lexer for Ezhil, a Tamil script-based programming language

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexers for the Factor language¶

    -
    -
    -class pygments.lexers.factor.FactorLexer¶
    -
    -
    Short names
    -

    factor

    -
    -
    Filenames
    -

    *.factor

    -
    -
    MIME types
    -

    text/x-factor

    -
    -
    -

    Lexer for the Factor language.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -

    Lexer for the Fantom language¶

    -
    -
    -class pygments.lexers.fantom.FantomLexer¶
    -
    -
    Short names
    -

    fan

    -
    -
    Filenames
    -

    *.fan

    -
    -
    MIME types
    -

    application/x-fantom

    -
    -
    -

    For Fantom source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Lexer for the Felix language¶

    -
    -
    -class pygments.lexers.felix.FelixLexer¶
    -
    -
    Short names
    -

    felix, flx

    -
    -
    Filenames
    -

    *.flx, *.flxh

    -
    -
    MIME types
    -

    text/x-felix

    -
    -
    -

    For Felix source code.

    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    -

    Lexer for FloScript¶

    -
    -
    -class pygments.lexers.floscript.FloScriptLexer¶
    -
    -
    Short names
    -

    floscript, flo

    -
    -
    Filenames
    -

    *.flo

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For FloScript configuration language source code.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -

    Lexer for the Forth language¶

    -
    -
    -class pygments.lexers.forth.ForthLexer¶
    -
    -
    Short names
    -

    forth

    -
    -
    Filenames
    -

    *.frt, *.fs

    -
    -
    MIME types
    -

    application/x-forth

    -
    -
    -

    Lexer for Forth files.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for Fortran languages¶

    -
    -
    -class pygments.lexers.fortran.FortranFixedLexer¶
    -
    -
    Short names
    -

    fortranfixed

    -
    -
    Filenames
    -

    *.f, *.F

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for fixed format Fortran.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.fortran.FortranLexer¶
    -
    -
    Short names
    -

    fortran

    -
    -
    Filenames
    -

    *.f03, *.f90, *.F03, *.F90

    -
    -
    MIME types
    -

    text/x-fortran

    -
    -
    -

    Lexer for FORTRAN 90 code.

    -
    -

    New in version 0.10.

    -
    -
    - -
    -
    -

    Simple lexer for Microsoft Visual FoxPro source code¶

    -
    -
    -class pygments.lexers.foxpro.FoxProLexer¶
    -
    -
    Short names
    -

    foxpro, vfp, clipper, xbase

    -
    -
    Filenames
    -

    *.PRG, *.prg

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Microsoft Visual FoxPro language.

    -

    FoxPro syntax allows to shorten all keywords and function names -to 4 characters. Shortened forms are not recognized by this lexer.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Lexer for FreeFem++ language¶

    -
    -
    -class pygments.lexers.freefem.FreeFemLexer¶
    -
    -
    Short names
    -

    freefem

    -
    -
    Filenames
    -

    *.edp

    -
    -
    MIME types
    -

    text/x-freefem

    -
    -
    -

    For FreeFem++ source.

    -

    This is an extension of the CppLexer, as the FreeFem Language is a superset -of C++

    -
    - -
    -
    -

    Lexers for the Google Go language¶

    -
    -
    -class pygments.lexers.go.GoLexer¶
    -
    -
    Short names
    -

    go

    -
    -
    Filenames
    -

    *.go

    -
    -
    MIME types
    -

    text/x-gosrc

    -
    -
    -

    For Go source.

    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    -

    Lexers for grammer notations like BNF¶

    -
    -
    -class pygments.lexers.grammar_notation.AbnfLexer¶
    -
    -
    Short names
    -

    abnf

    -
    -
    Filenames
    -

    *.abnf

    -
    -
    MIME types
    -

    text/x-abnf

    -
    -
    -

    Lexer for IETF 7405 ABNF -(Updates 5234) -grammars.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.grammar_notation.BnfLexer¶
    -
    -
    Short names
    -

    bnf

    -
    -
    Filenames
    -

    *.bnf

    -
    -
    MIME types
    -

    text/x-bnf

    -
    -
    -

    This lexer is for grammer notations which are similar to -original BNF.

    -

    In order to maximize a number of targets of this lexer, -let’s decide some designs:

    -
      -
    • We don’t distinguish Terminal Symbol.

    • -
    • We do assume that NonTerminal Symbol are always enclosed -with arrow brackets.

    • -
    • We do assume that NonTerminal Symbol may include -any printable characters except arrow brackets and ASCII 0x20. -This assumption is for RBNF.

    • -
    • We do assume that target notation doesn’t support comment.

    • -
    • We don’t distinguish any operators and punctuation except -::=.

    • -
    -

    Though these desision making might cause too minimal highlighting -and you might be disappointed, but it is reasonable for us.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.grammar_notation.JsgfLexer¶
    -
    -
    Short names
    -

    jsgf

    -
    -
    Filenames
    -

    *.jsgf

    -
    -
    MIME types
    -

    application/jsgf, application/x-jsgf, text/jsgf

    -
    -
    -

    For JSpeech Grammar Format -grammars.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for graph query languages¶

    -
    -
    -class pygments.lexers.graph.CypherLexer¶
    -
    -
    Short names
    -

    cypher

    -
    -
    Filenames
    -

    *.cyp, *.cypher

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Cypher Query Language

    -

    For the Cypher version in Neo4j 3.3

    -
    -

    New in version 2.0.

    -
    -
    - -
    - - - -
    -

    Lexers for hardware descriptor languages¶

    -
    -
    -class pygments.lexers.hdl.SystemVerilogLexer¶
    -
    -
    Short names
    -

    systemverilog, sv

    -
    -
    Filenames
    -

    *.sv, *.svh

    -
    -
    MIME types
    -

    text/x-systemverilog

    -
    -
    -

    Extends verilog lexer to recognise all SystemVerilog keywords from IEEE -1800-2009 standard.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.hdl.VerilogLexer¶
    -
    -
    Short names
    -

    verilog, v

    -
    -
    Filenames
    -

    *.v

    -
    -
    MIME types
    -

    text/x-verilog

    -
    -
    -

    For verilog source code with preprocessor directives.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.hdl.VhdlLexer¶
    -
    -
    Short names
    -

    vhdl

    -
    -
    Filenames
    -

    *.vhdl, *.vhd

    -
    -
    MIME types
    -

    text/x-vhdl

    -
    -
    -

    For VHDL source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Lexers for hexadecimal dumps¶

    -
    -
    -class pygments.lexers.hexdump.HexdumpLexer¶
    -
    -
    Short names
    -

    hexdump

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For typical hex dump output formats by the UNIX and GNU/Linux tools hexdump, -hd, hexcat, od and xxd, and the DOS tool DEBUG. For example:

    -
    00000000  7f 45 4c 46 02 01 01 00  00 00 00 00 00 00 00 00  |.ELF............|
    -00000010  02 00 3e 00 01 00 00 00  c5 48 40 00 00 00 00 00  |..>......H@.....|
    -
    -
    -

    The specific supported formats are the outputs of:

    -
      -
    • hexdump FILE

    • -
    • hexdump -C FILE – the canonical format used in the example.

    • -
    • hd FILE – same as hexdump -C FILE.

    • -
    • hexcat FILE

    • -
    • od -t x1z FILE

    • -
    • xxd FILE

    • -
    • DEBUG.EXE FILE.COM and entering d to the prompt.

    • -
    -
    -

    New in version 2.1.

    -
    -
    - -
    - -
    -

    Lexers for IDL¶

    -
    -
    -class pygments.lexers.idl.IDLLexer¶
    -
    -
    Short names
    -

    idl

    -
    -
    Filenames
    -

    *.pro

    -
    -
    MIME types
    -

    text/idl

    -
    -
    -

    Pygments Lexer for IDL (Interactive Data Language).

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Lexers for Igor Pro¶

    -
    -
    -class pygments.lexers.igor.IgorLexer¶
    -
    -
    Short names
    -

    igor, igorpro

    -
    -
    Filenames
    -

    *.ipf

    -
    -
    MIME types
    -

    text/ipf

    -
    -
    -

    Pygments Lexer for Igor Pro procedure files (.ipf). -See http://www.wavemetrics.com/ and http://www.igorexchange.com/.

    -
    -

    New in version 2.0.

    -
    -
    - -
    - -
    -

    Lexers for installer/packager DSLs and formats¶

    -
    -
    -class pygments.lexers.installers.DebianControlLexer¶
    -
    -
    Short names
    -

    control, debcontrol

    -
    -
    Filenames
    -

    control

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Debian control files and apt-cache show <pkg> outputs.

    -
    -

    New in version 0.9.

    -
    -
    - -
    -
    -class pygments.lexers.installers.NSISLexer¶
    -
    -
    Short names
    -

    nsis, nsi, nsh

    -
    -
    Filenames
    -

    *.nsi, *.nsh

    -
    -
    MIME types
    -

    text/x-nsis

    -
    -
    -

    For NSIS scripts.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.installers.RPMSpecLexer¶
    -
    -
    Short names
    -

    spec

    -
    -
    Filenames
    -

    *.spec

    -
    -
    MIME types
    -

    text/x-rpm-spec

    -
    -
    -

    For RPM .spec files.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.installers.SourcesListLexer¶
    -
    -
    Short names
    -

    sourceslist, sources.list, debsources

    -
    -
    Filenames
    -

    sources.list

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer that highlights debian sources.list files.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -

    Lexers for interactive fiction languages¶

    -
    -
    -class pygments.lexers.int_fiction.Inform6Lexer¶
    -
    -
    Short names
    -

    inform6, i6

    -
    -
    Filenames
    -

    *.inf

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Inform 6 source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.int_fiction.Inform6TemplateLexer¶
    -
    -
    Short names
    -

    i6t

    -
    -
    Filenames
    -

    *.i6t

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Inform 6 template code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.int_fiction.Inform7Lexer¶
    -
    -
    Short names
    -

    inform7, i7

    -
    -
    Filenames
    -

    *.ni, *.i7x

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Inform 7 source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.int_fiction.Tads3Lexer¶
    -
    -
    Short names
    -

    tads3

    -
    -
    Filenames
    -

    *.t

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For TADS 3 source code.

    -
    - -
    -
    -

    Lexers for the Io language¶

    -
    -
    -class pygments.lexers.iolang.IoLexer¶
    -
    -
    Short names
    -

    io

    -
    -
    Filenames
    -

    *.io

    -
    -
    MIME types
    -

    text/x-iosrc

    -
    -
    -

    For Io (a small, prototype-based -programming language) source.

    -
    -

    New in version 0.10.

    -
    -
    - -
    -
    -

    Lexer for the J programming language¶

    -
    -
    -class pygments.lexers.j.JLexer¶
    -
    -
    Short names
    -

    j

    -
    -
    Filenames
    -

    *.ijs

    -
    -
    MIME types
    -

    text/x-j

    -
    -
    -

    For J source code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    - -
    -

    Lexers for the Julia language¶

    -
    -
    -class pygments.lexers.julia.JuliaConsoleLexer¶
    -
    -
    Short names
    -

    jlcon

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Julia console sessions. Modeled after MatlabSessionLexer.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.julia.JuliaLexer¶
    -
    -
    Short names
    -

    julia, jl

    -
    -
    Filenames
    -

    *.jl

    -
    -
    MIME types
    -

    text/x-julia, application/x-julia

    -
    -
    -

    For Julia source code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Pygments lexers for JVM languages¶

    -
    -
    -class pygments.lexers.jvm.AspectJLexer¶
    -
    -
    Short names
    -

    aspectj

    -
    -
    Filenames
    -

    *.aj

    -
    -
    MIME types
    -

    text/x-aspectj

    -
    -
    -

    For AspectJ source code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.CeylonLexer¶
    -
    -
    Short names
    -

    ceylon

    -
    -
    Filenames
    -

    *.ceylon

    -
    -
    MIME types
    -

    text/x-ceylon

    -
    -
    -

    For Ceylon source code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.ClojureLexer¶
    -
    -
    Short names
    -

    clojure, clj

    -
    -
    Filenames
    -

    *.clj

    -
    -
    MIME types
    -

    text/x-clojure, application/x-clojure

    -
    -
    -

    Lexer for Clojure source code.

    -
    -

    New in version 0.11.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.ClojureScriptLexer¶
    -
    -
    Short names
    -

    clojurescript, cljs

    -
    -
    Filenames
    -

    *.cljs

    -
    -
    MIME types
    -

    text/x-clojurescript, application/x-clojurescript

    -
    -
    -

    Lexer for ClojureScript -source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.GoloLexer¶
    -
    -
    Short names
    -

    golo

    -
    -
    Filenames
    -

    *.golo

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Golo source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.GosuLexer¶
    -
    -
    Short names
    -

    gosu

    -
    -
    Filenames
    -

    *.gs, *.gsx, *.gsp, *.vark

    -
    -
    MIME types
    -

    text/x-gosu

    -
    -
    -

    For Gosu source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.GosuTemplateLexer¶
    -
    -
    Short names
    -

    gst

    -
    -
    Filenames
    -

    *.gst

    -
    -
    MIME types
    -

    text/x-gosu-template

    -
    -
    -

    For Gosu templates.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.GroovyLexer¶
    -
    -
    Short names
    -

    groovy

    -
    -
    Filenames
    -

    *.groovy, *.gradle

    -
    -
    MIME types
    -

    text/x-groovy

    -
    -
    -

    For Groovy source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.IokeLexer¶
    -
    -
    Short names
    -

    ioke, ik

    -
    -
    Filenames
    -

    *.ik

    -
    -
    MIME types
    -

    text/x-iokesrc

    -
    -
    -

    For Ioke (a strongly typed, dynamic, -prototype based programming language) source.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.JasminLexer¶
    -
    -
    Short names
    -

    jasmin, jasminxt

    -
    -
    Filenames
    -

    *.j

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Jasmin assembly code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.JavaLexer¶
    -
    -
    Short names
    -

    java

    -
    -
    Filenames
    -

    *.java

    -
    -
    MIME types
    -

    text/x-java

    -
    -
    -

    For Java source code.

    -
    - -
    -
    -class pygments.lexers.jvm.KotlinLexer¶
    -
    -
    Short names
    -

    kotlin

    -
    -
    Filenames
    -

    *.kt

    -
    -
    MIME types
    -

    text/x-kotlin

    -
    -
    -

    For Kotlin -source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.PigLexer¶
    -
    -
    Short names
    -

    pig

    -
    -
    Filenames
    -

    *.pig

    -
    -
    MIME types
    -

    text/x-pig

    -
    -
    -

    For Pig Latin source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.jvm.SarlLexer¶
    -
    -
    Short names
    -

    sarl

    -
    -
    Filenames
    -

    *.sarl

    -
    -
    MIME types
    -

    text/x-sarl

    -
    -
    -
    - -

    For SARL source code.

    -

    - .. versionadded:: 2.4

    -

    -
    -
    -class pygments.lexers.jvm.ScalaLexer¶
    -
    -
    Short names
    -

    scala

    -
    -
    Filenames
    -

    *.scala

    -
    -
    MIME types
    -

    text/x-scala

    -
    -
    -

    For Scala source code.

    -
    - -
    -
    -class pygments.lexers.jvm.XtendLexer¶
    -
    -
    Short names
    -

    xtend

    -
    -
    Filenames
    -

    *.xtend

    -
    -
    MIME types
    -

    text/x-xtend

    -
    -
    -

    For Xtend source code.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Lexers for Lispy languages¶

    -
    -
    -class pygments.lexers.lisp.CPSALexer¶
    -
    -
    Short names
    -

    cpsa

    -
    -
    Filenames
    -

    *.cpsa

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A CPSA lexer based on the CPSA language as of version 2.2.12

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.CommonLispLexer¶
    -
    -
    Short names
    -

    common-lisp, cl, lisp

    -
    -
    Filenames
    -

    *.cl, *.lisp

    -
    -
    MIME types
    -

    text/x-common-lisp

    -
    -
    -

    A Common Lisp lexer.

    -
    -

    New in version 0.9.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.EmacsLispLexer¶
    -
    -
    Short names
    -

    emacs, elisp, emacs-lisp

    -
    -
    Filenames
    -

    *.el

    -
    -
    MIME types
    -

    text/x-elisp, application/x-elisp

    -
    -
    -

    An ELisp lexer, parsing a stream and outputting the tokens -needed to highlight elisp code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.FennelLexer¶
    -
    -
    Short names
    -

    fennel, fnl

    -
    -
    Filenames
    -

    *.fnl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for the Fennel programming language.

    -

    Fennel compiles to Lua, so all the Lua builtins are recognized as well -as the special forms that are particular to the Fennel compiler.

    -
    -

    New in version 2.3.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.HyLexer¶
    -
    -
    Short names
    -

    hylang

    -
    -
    Filenames
    -

    *.hy

    -
    -
    MIME types
    -

    text/x-hy, application/x-hy

    -
    -
    -

    Lexer for Hy source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.NewLispLexer¶
    -
    -
    Short names
    -

    newlisp

    -
    -
    Filenames
    -

    *.lsp, *.nl, *.kif

    -
    -
    MIME types
    -

    text/x-newlisp, application/x-newlisp

    -
    -
    -

    For newLISP. source code (version 10.3.0).

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.RacketLexer¶
    -
    -
    Short names
    -

    racket, rkt

    -
    -
    Filenames
    -

    *.rkt, *.rktd, *.rktl

    -
    -
    MIME types
    -

    text/x-racket, application/x-racket

    -
    -
    -

    Lexer for Racket source code (formerly -known as PLT Scheme).

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.SchemeLexer¶
    -
    -
    Short names
    -

    scheme, scm

    -
    -
    Filenames
    -

    *.scm, *.ss

    -
    -
    MIME types
    -

    text/x-scheme, application/x-scheme

    -
    -
    -

    A Scheme lexer, parsing a stream and outputting the tokens -needed to highlight scheme code. -This lexer could be most probably easily subclassed to parse -other LISP-Dialects like Common Lisp, Emacs Lisp or AutoLisp.

    -

    This parser is checked with pastes from the LISP pastebin -at http://paste.lisp.org/ to cover as much syntax as possible.

    -

    It supports the full Scheme syntax as defined in R5RS.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.ShenLexer¶
    -
    -
    Short names
    -

    shen

    -
    -
    Filenames
    -

    *.shen

    -
    -
    MIME types
    -

    text/x-shen, application/x-shen

    -
    -
    -

    Lexer for Shen source code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.lisp.XtlangLexer¶
    -
    -
    Short names
    -

    extempore

    -
    -
    Filenames
    -

    *.xtm

    -
    -
    MIME types
    -

    None

    -
    -
    -

    An xtlang lexer for the Extempore programming environment.

    -

    This is a mixture of Scheme and xtlang, really. Keyword lists are -taken from the Extempore Emacs mode -(https://github.com/extemporelang/extempore-emacs-mode)

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for Makefiles and similar¶

    -
    -
    -class pygments.lexers.make.BaseMakefileLexer¶
    -
    -
    Short names
    -

    basemake

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for simple Makefiles (no preprocessing).

    -
    -

    New in version 0.10.

    -
    -
    - -
    -
    -class pygments.lexers.make.CMakeLexer¶
    -
    -
    Short names
    -

    cmake

    -
    -
    Filenames
    -

    *.cmake, CMakeLists.txt

    -
    -
    MIME types
    -

    text/x-cmake

    -
    -
    -

    Lexer for CMake files.

    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    -class pygments.lexers.make.MakefileLexer¶
    -
    -
    Short names
    -

    make, makefile, mf, bsdmake

    -
    -
    Filenames
    -

    *.mak, *.mk, Makefile, makefile, Makefile.*, GNUmakefile

    -
    -
    MIME types
    -

    text/x-makefile

    -
    -
    -

    Lexer for BSD and GNU make extensions (lenient enough to handle both in -the same file even).

    -

    Rewritten in Pygments 0.10.

    -
    - -
    -
    -

    Lexers for non-HTML markup languages¶

    -
    -
    -class pygments.lexers.markup.BBCodeLexer¶
    -
    -
    Short names
    -

    bbcode

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/x-bbcode

    -
    -
    -

    A lexer that highlights BBCode(-like) syntax.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.markup.GroffLexer¶
    -
    -
    Short names
    -

    groff, nroff, man

    -
    -
    Filenames
    -

    *.[1234567], *.man

    -
    -
    MIME types
    -

    application/x-troff, text/troff

    -
    -
    -

    Lexer for the (g)roff typesetting language, supporting groff -extensions. Mainly useful for highlighting manpage sources.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.markup.MarkdownLexer¶
    -
    -
    Short names
    -

    md

    -
    -
    Filenames
    -

    *.md

    -
    -
    MIME types
    -

    text/x-markdown

    -
    -
    -

    For Markdown markup.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.markup.MoinWikiLexer¶
    -
    -
    Short names
    -

    trac-wiki, moin

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/x-trac-wiki

    -
    -
    -

    For MoinMoin (and Trac) Wiki markup.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.markup.MozPreprocCssLexer¶
    -
    -
    Short names
    -

    css+mozpreproc

    -
    -
    Filenames
    -

    *.css.in

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Subclass of the MozPreprocHashLexer that highlights unlexed data with the -CssLexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.markup.MozPreprocHashLexer¶
    -
    -
    Short names
    -

    mozhashpreproc

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Mozilla Preprocessor files (with ‘#’ as the marker).

    -

    Other data is left untouched.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.markup.MozPreprocJavascriptLexer¶
    -
    -
    Short names
    -

    javascript+mozpreproc

    -
    -
    Filenames
    -

    *.js.in

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Subclass of the MozPreprocHashLexer that highlights unlexed data with the -JavascriptLexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.markup.MozPreprocPercentLexer¶
    -
    -
    Short names
    -

    mozpercentpreproc

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Mozilla Preprocessor files (with ‘%’ as the marker).

    -

    Other data is left untouched.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.markup.MozPreprocXulLexer¶
    -
    -
    Short names
    -

    xul+mozpreproc

    -
    -
    Filenames
    -

    *.xul.in

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Subclass of the MozPreprocHashLexer that highlights unlexed data with the -XmlLexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.markup.RstLexer¶
    -
    -
    Short names
    -

    rst, rest, restructuredtext

    -
    -
    Filenames
    -

    *.rst, *.rest

    -
    -
    MIME types
    -

    text/x-rst, text/prs.fallenstein.rst

    -
    -
    -

    For reStructuredText markup.

    -
    -

    New in version 0.7.

    -
    -

    Additional options accepted:

    -
    -
    handlecodeblocks

    Highlight the contents of .. sourcecode:: language, -.. code:: language and .. code-block:: language -directives with a lexer for the given language (default: -True).

    -
    -

    New in version 0.8.

    -
    -
    -
    -
    - -
    -
    -class pygments.lexers.markup.TexLexer¶
    -
    -
    Short names
    -

    tex, latex

    -
    -
    Filenames
    -

    *.tex, *.aux, *.toc

    -
    -
    MIME types
    -

    text/x-tex, text/x-latex

    -
    -
    -

    Lexer for the TeX and LaTeX typesetting languages.

    -
    - -
    - -
    -

    Lexers for ML family languages¶

    -
    -
    -class pygments.lexers.ml.OcamlLexer¶
    -
    -
    Short names
    -

    ocaml

    -
    -
    Filenames
    -

    *.ml, *.mli, *.mll, *.mly

    -
    -
    MIME types
    -

    text/x-ocaml

    -
    -
    -

    For the OCaml language.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.ml.OpaLexer¶
    -
    -
    Short names
    -

    opa

    -
    -
    Filenames
    -

    *.opa

    -
    -
    MIME types
    -

    text/x-opa

    -
    -
    -

    Lexer for the Opa language (http://opalang.org).

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.ml.SMLLexer¶
    -
    -
    Short names
    -

    sml

    -
    -
    Filenames
    -

    *.sml, *.sig, *.fun

    -
    -
    MIME types
    -

    text/x-standardml, application/x-standardml

    -
    -
    -

    For the Standard ML language.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Lexers for modeling languages¶

    -
    -
    -class pygments.lexers.modeling.BugsLexer¶
    -
    -
    Short names
    -

    bugs, winbugs, openbugs

    -
    -
    Filenames
    -

    *.bug

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Pygments Lexer for OpenBugs and WinBugs -models.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.modeling.JagsLexer¶
    -
    -
    Short names
    -

    jags

    -
    -
    Filenames
    -

    *.jag, *.bug

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Pygments Lexer for JAGS.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.modeling.ModelicaLexer¶
    -
    -
    Short names
    -

    modelica

    -
    -
    Filenames
    -

    *.mo

    -
    -
    MIME types
    -

    text/x-modelica

    -
    -
    -

    For Modelica source code.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.modeling.StanLexer¶
    -
    -
    Short names
    -

    stan

    -
    -
    Filenames
    -

    *.stan

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Pygments Lexer for Stan models.

    -

    The Stan modeling language is specified in the Stan Modeling Language -User’s Guide and Reference Manual, v2.17.0, -pdf.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Multi-Dialect Lexer for Modula-2¶

    -
    -
    -class pygments.lexers.modula2.Modula2Lexer¶
    -
    -
    Short names
    -

    modula2, m2

    -
    -
    Filenames
    -

    *.def, *.mod

    -
    -
    MIME types
    -

    text/x-modula2

    -
    -
    -

    For Modula-2 source code.

    -

    The Modula-2 lexer supports several dialects. By default, it operates in -fallback mode, recognising the combined literals, punctuation symbols -and operators of all supported dialects, and the combined reserved words -and builtins of PIM Modula-2, ISO Modula-2 and Modula-2 R10, while not -differentiating between library defined identifiers.

    -

    To select a specific dialect, a dialect option may be passed -or a dialect tag may be embedded into a source file.

    -

    Dialect Options:

    -
    -
    m2pim

    Select PIM Modula-2 dialect.

    -
    -
    m2iso

    Select ISO Modula-2 dialect.

    -
    -
    m2r10

    Select Modula-2 R10 dialect.

    -
    -
    objm2

    Select Objective Modula-2 dialect.

    -
    -
    -

    The PIM and ISO dialect options may be qualified with a language extension.

    -

    Language Extensions:

    -
    -
    +aglet

    Select Aglet Modula-2 extensions, available with m2iso.

    -
    -
    +gm2

    Select GNU Modula-2 extensions, available with m2pim.

    -
    -
    +p1

    Select p1 Modula-2 extensions, available with m2iso.

    -
    -
    +xds

    Select XDS Modula-2 extensions, available with m2iso.

    -
    -
    -

    Passing a Dialect Option via Unix Commandline Interface

    -

    Dialect options may be passed to the lexer using the dialect key. -Only one such option should be passed. If multiple dialect options are -passed, the first valid option is used, any subsequent options are ignored.

    -

    Examples:

    -
    -
    $ pygmentize -O full,dialect=m2iso -f html -o /path/to/output /path/to/input

    Use ISO dialect to render input to HTML output

    -
    -
    $ pygmentize -O full,dialect=m2iso+p1 -f rtf -o /path/to/output /path/to/input

    Use ISO dialect with p1 extensions to render input to RTF output

    -
    -
    -

    Embedding a Dialect Option within a source file

    -

    A dialect option may be embedded in a source file in form of a dialect -tag, a specially formatted comment that specifies a dialect option.

    -

    Dialect Tag EBNF:

    -
    dialectTag :
    -    OpeningCommentDelim Prefix dialectOption ClosingCommentDelim ;
    -
    -dialectOption :
    -    'm2pim' | 'm2iso' | 'm2r10' | 'objm2' |
    -    'm2iso+aglet' | 'm2pim+gm2' | 'm2iso+p1' | 'm2iso+xds' ;
    -
    -Prefix : '!' ;
    -
    -OpeningCommentDelim : '(*' ;
    -
    -ClosingCommentDelim : '*)' ;
    -
    -
    -

    No whitespace is permitted between the tokens of a dialect tag.

    -

    In the event that a source file contains multiple dialect tags, the first -tag that contains a valid dialect option will be used and any subsequent -dialect tags will be ignored. Ideally, a dialect tag should be placed -at the beginning of a source file.

    -

    An embedded dialect tag overrides a dialect option set via command line.

    -

    Examples:

    -
    -
    (*!m2r10*) DEFINITION MODULE Foobar; ...

    Use Modula2 R10 dialect to render this source file.

    -
    -
    (*!m2pim+gm2*) DEFINITION MODULE Bazbam; ...

    Use PIM dialect with GNU extensions to render this source file.

    -
    -
    -

    Algol Publication Mode:

    -

    In Algol publication mode, source text is rendered for publication of -algorithms in scientific papers and academic texts, following the format -of the Revised Algol-60 Language Report. It is activated by passing -one of two corresponding styles as an option:

    -
    -
    algol

    render reserved words lowercase underline boldface -and builtins lowercase boldface italic

    -
    -
    algol_nu

    render reserved words lowercase boldface (no underlining) -and builtins lowercase boldface italic

    -
    -
    -

    The lexer automatically performs the required lowercase conversion when -this mode is activated.

    -

    Example:

    -
    -
    $ pygmentize -O full,style=algol -f latex -o /path/to/output /path/to/input

    Render input file in Algol publication mode to LaTeX output.

    -
    -
    -

    Rendering Mode of First Class ADT Identifiers:

    -

    The rendering of standard library first class ADT identifiers is controlled -by option flag “treat_stdlib_adts_as_builtins”.

    -

    When this option is turned on, standard library ADT identifiers are rendered -as builtins. When it is turned off, they are rendered as ordinary library -identifiers.

    -

    treat_stdlib_adts_as_builtins (default: On)

    -

    The option is useful for dialects that support ADTs as first class objects -and provide ADTs in the standard library that would otherwise be built-in.

    -

    At present, only Modula-2 R10 supports library ADTs as first class objects -and therefore, no ADT identifiers are defined for any other dialects.

    -

    Example:

    -
    -
    $ pygmentize -O full,dialect=m2r10,treat_stdlib_adts_as_builtins=Off ...

    Render standard library ADTs as ordinary library types.

    -
    -
    -
    -

    New in version 1.3.

    -
    -
    -

    Changed in version 2.1: Added multi-dialect support.

    -
    -
    - -
    -
    -

    Lexer for the Monte programming language¶

    -
    -
    -class pygments.lexers.monte.MonteLexer¶
    -
    -
    Short names
    -

    monte

    -
    -
    Filenames
    -

    *.mt

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for the Monte programming language.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for NCAR Command Language¶

    -
    -
    -class pygments.lexers.ncl.NCLLexer¶
    -
    -
    Short names
    -

    ncl

    -
    -
    Filenames
    -

    *.ncl

    -
    -
    MIME types
    -

    text/ncl

    -
    -
    -

    Lexer for NCL code.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexer for the Nim language (formerly known as Nimrod)¶

    -
    -
    -class pygments.lexers.nimrod.NimrodLexer¶
    -
    -
    Short names
    -

    nim, nimrod

    -
    -
    Filenames
    -

    *.nim, *.nimrod

    -
    -
    MIME types
    -

    text/x-nim

    -
    -
    -

    For Nim source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Lexer for the Nit language¶

    -
    -
    -class pygments.lexers.nit.NitLexer¶
    -
    -
    Short names
    -

    nit

    -
    -
    Filenames
    -

    *.nit

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For nit source.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexers for the NixOS Nix language¶

    -
    -
    -class pygments.lexers.nix.NixLexer¶
    -
    -
    Short names
    -

    nixos, nix

    -
    -
    Filenames
    -

    *.nix

    -
    -
    MIME types
    -

    text/x-nix

    -
    -
    -

    For the Nix language.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexers for Oberon family languages¶

    -
    -
    -class pygments.lexers.oberon.ComponentPascalLexer¶
    -
    -
    Short names
    -

    componentpascal, cp

    -
    -
    Filenames
    -

    *.cp, *.cps

    -
    -
    MIME types
    -

    text/x-component-pascal

    -
    -
    -

    For Component Pascal source code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexers for Objective-C family languages¶

    -
    -
    -class pygments.lexers.objective.LogosLexer¶
    -
    -
    Short names
    -

    logos

    -
    -
    Filenames
    -

    *.x, *.xi, *.xm, *.xmi

    -
    -
    MIME types
    -

    text/x-logos

    -
    -
    -

    For Logos + Objective-C source code with preprocessor directives.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.objective.ObjectiveCLexer¶
    -
    -
    Short names
    -

    objective-c, objectivec, obj-c, objc

    -
    -
    Filenames
    -

    *.m, *.h

    -
    -
    MIME types
    -

    text/x-objective-c

    -
    -
    -

    For Objective-C source code with preprocessor directives.

    -
    - -
    -
    -class pygments.lexers.objective.ObjectiveCppLexer¶
    -
    -
    Short names
    -

    objective-c++, objectivec++, obj-c++, objc++

    -
    -
    Filenames
    -

    *.mm, *.hh

    -
    -
    MIME types
    -

    text/x-objective-c++

    -
    -
    -

    For Objective-C++ source code with preprocessor directives.

    -
    - -
    -
    -class pygments.lexers.objective.SwiftLexer¶
    -
    -
    Short names
    -

    swift

    -
    -
    Filenames
    -

    *.swift

    -
    -
    MIME types
    -

    text/x-swift

    -
    -
    -

    For Swift source.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexers for the Ooc language¶

    -
    -
    -class pygments.lexers.ooc.OocLexer¶
    -
    -
    Short names
    -

    ooc

    -
    -
    Filenames
    -

    *.ooc

    -
    -
    MIME types
    -

    text/x-ooc

    -
    -
    -

    For Ooc source code

    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    -

    Lexer for ParaSail¶

    -
    -
    -class pygments.lexers.parasail.ParaSailLexer¶
    -
    -
    Short names
    -

    parasail

    -
    -
    Filenames
    -

    *.psi, *.psl

    -
    -
    MIME types
    -

    text/x-parasail

    -
    -
    -

    For ParaSail source code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexers for parser generators¶

    -
    -
    -class pygments.lexers.parsers.AntlrActionScriptLexer¶
    -
    -
    Short names
    -

    antlr-as, antlr-actionscript

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with ActionScript Target

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrCSharpLexer¶
    -
    -
    Short names
    -

    antlr-csharp, antlr-c#

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with C# Target

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrCppLexer¶
    -
    -
    Short names
    -

    antlr-cpp

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with CPP Target

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrJavaLexer¶
    -
    -
    Short names
    -

    antlr-java

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with Java Target

    -
    -

    New in version 1..

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrLexer¶
    -
    -
    Short names
    -

    antlr

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Generic ANTLR Lexer. -Should not be called directly, instead -use DelegatingLexer for your target language.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrObjectiveCLexer¶
    -
    -
    Short names
    -

    antlr-objc

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with Objective-C Target

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrPerlLexer¶
    -
    -
    Short names
    -

    antlr-perl

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with Perl Target

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrPythonLexer¶
    -
    -
    Short names
    -

    antlr-python

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with Python Target

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.AntlrRubyLexer¶
    -
    -
    Short names
    -

    antlr-ruby, antlr-rb

    -
    -
    Filenames
    -

    *.G, *.g

    -
    -
    MIME types
    -

    None

    -
    -
    -

    ANTLR with Ruby Target

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.EbnfLexer¶
    -
    -
    Short names
    -

    ebnf

    -
    -
    Filenames
    -

    *.ebnf

    -
    -
    MIME types
    -

    text/x-ebnf

    -
    -
    -

    Lexer for ISO/IEC 14977 EBNF -grammars.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelCLexer¶
    -
    -
    Short names
    -

    ragel-c

    -
    -
    Filenames
    -

    *.rl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Ragel in a C host file.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelCppLexer¶
    -
    -
    Short names
    -

    ragel-cpp

    -
    -
    Filenames
    -

    *.rl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Ragel in a CPP host file.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelDLexer¶
    -
    -
    Short names
    -

    ragel-d

    -
    -
    Filenames
    -

    *.rl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Ragel in a D host file.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelEmbeddedLexer¶
    -
    -
    Short names
    -

    ragel-em

    -
    -
    Filenames
    -

    *.rl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Ragel embedded in a host language file.

    -

    This will only highlight Ragel statements. If you want host language -highlighting then call the language-specific Ragel lexer.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelJavaLexer¶
    -
    -
    Short names
    -

    ragel-java

    -
    -
    Filenames
    -

    *.rl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Ragel in a Java host file.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelLexer¶
    -
    -
    Short names
    -

    ragel

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A pure Ragel lexer. Use this for -fragments of Ragel. For .rl files, use RagelEmbeddedLexer instead -(or one of the language-specific subclasses).

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelObjectiveCLexer¶
    -
    -
    Short names
    -

    ragel-objc

    -
    -
    Filenames
    -

    *.rl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Ragel in an Objective C host file.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.RagelRubyLexer¶
    -
    -
    Short names
    -

    ragel-ruby, ragel-rb

    -
    -
    Filenames
    -

    *.rl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Ragel in a Ruby host file.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.parsers.TreetopLexer¶
    -
    -
    Short names
    -

    treetop

    -
    -
    Filenames
    -

    *.treetop, *.tt

    -
    -
    MIME types
    -

    None

    -
    -
    -

    A lexer for Treetop grammars.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Lexers for Pascal family languages¶

    -
    -
    -class pygments.lexers.pascal.AdaLexer¶
    -
    -
    Short names
    -

    ada, ada95, ada2005

    -
    -
    Filenames
    -

    *.adb, *.ads, *.ada

    -
    -
    MIME types
    -

    text/x-ada

    -
    -
    -

    For Ada source code.

    -
    -

    New in version 1.3.

    -
    -
    - -
    -
    -class pygments.lexers.pascal.DelphiLexer¶
    -
    -
    Short names
    -

    delphi, pas, pascal, objectpascal

    -
    -
    Filenames
    -

    *.pas, *.dpr

    -
    -
    MIME types
    -

    text/x-pascal

    -
    -
    -

    For Delphi (Borland Object Pascal), -Turbo Pascal and Free Pascal source code.

    -

    Additional options accepted:

    -
    -
    turbopascal

    Highlight Turbo Pascal specific keywords (default: True).

    -
    -
    delphi

    Highlight Borland Delphi specific keywords (default: True).

    -
    -
    freepascal

    Highlight Free Pascal specific keywords (default: True).

    -
    -
    units

    A list of units that should be considered builtin, supported are -System, SysUtils, Classes and Math. -Default is to consider all of them builtin.

    -
    -
    -
    - -
    -
    -

    Lexers for the Pawn languages¶

    -
    -
    -class pygments.lexers.pawn.PawnLexer¶
    -
    -
    Short names
    -

    pawn

    -
    -
    Filenames
    -

    *.p, *.pwn, *.inc

    -
    -
    MIME types
    -

    text/x-pawn

    -
    -
    -

    For Pawn source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.pawn.SourcePawnLexer¶
    -
    -
    Short names
    -

    sp

    -
    -
    Filenames
    -

    *.sp

    -
    -
    MIME types
    -

    text/x-sourcepawn

    -
    -
    -

    For SourcePawn source code with preprocessor directives.

    -
    -

    New in version 1.6.

    -
    -
    - -
    - - - -
    -

    Lexer for Praat¶

    -
    -
    -class pygments.lexers.praat.PraatLexer¶
    -
    -
    Short names
    -

    praat

    -
    -
    Filenames
    -

    *.praat, *.proc, *.psc

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Praat scripts.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexers for Prolog and Prolog-like languages¶

    -
    -
    -class pygments.lexers.prolog.LogtalkLexer¶
    -
    -
    Short names
    -

    logtalk

    -
    -
    Filenames
    -

    *.lgt, *.logtalk

    -
    -
    MIME types
    -

    text/x-logtalk

    -
    -
    -

    For Logtalk source code.

    -
    -

    New in version 0.10.

    -
    -
    - -
    -
    -class pygments.lexers.prolog.PrologLexer¶
    -
    -
    Short names
    -

    prolog

    -
    -
    Filenames
    -

    *.ecl, *.prolog, *.pro, *.pl

    -
    -
    MIME types
    -

    text/x-prolog

    -
    -
    -

    Lexer for Prolog files.

    -
    - -
    - -
    -

    Lexer for QVT Operational language¶

    -
    -
    -class pygments.lexers.qvt.QVToLexer¶
    -
    -
    Short names
    -

    qvto, qvt

    -
    -
    Filenames
    -

    *.qvto

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For the QVT Operational Mapping language.

    -

    Reference for implementing this: «Meta Object Facility (MOF) 2.0 -Query/View/Transformation Specification», Version 1.1 - January 2011 -(http://www.omg.org/spec/QVT/1.1/), see §8.4, «Concrete Syntax» in -particular.

    -

    Notable tokens assignments:

    -
      -
    • Name.Class is assigned to the identifier following any of the following -keywords: metamodel, class, exception, primitive, enum, transformation -or library

    • -
    • Name.Function is assigned to the names of mappings and queries

    • -
    • Name.Builtin.Pseudo is assigned to the pre-defined variables ‘this’, -‘self’ and ‘result’.

    • -
    -
    - -
    -
    -

    Lexers for the R/S languages¶

    -
    -
    -class pygments.lexers.r.RConsoleLexer¶
    -
    -
    Short names
    -

    rconsole, rout

    -
    -
    Filenames
    -

    *.Rout

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For R console transcripts or R CMD BATCH output files.

    -
    - -
    -
    -class pygments.lexers.r.RdLexer¶
    -
    -
    Short names
    -

    rd

    -
    -
    Filenames
    -

    *.Rd

    -
    -
    MIME types
    -

    text/x-r-doc

    -
    -
    -

    Pygments Lexer for R documentation (Rd) files

    -

    This is a very minimal implementation, highlighting little more -than the macros. A description of Rd syntax is found in Writing R -Extensions -and Parsing Rd files.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.r.SLexer¶
    -
    -
    Short names
    -

    splus, s, r

    -
    -
    Filenames
    -

    *.S, *.R, .Rhistory, .Rprofile, .Renviron

    -
    -
    MIME types
    -

    text/S-plus, text/S, text/x-r-source, text/x-r, text/x-R, text/x-r-history, text/x-r-profile

    -
    -
    -

    For S, S-plus, and R source code.

    -
    -

    New in version 0.10.

    -
    -
    - -
    -
    -

    Lexers for semantic web and RDF query languages and markup¶

    -
    -
    -class pygments.lexers.rdf.SparqlLexer¶
    -
    -
    Short names
    -

    sparql

    -
    -
    Filenames
    -

    *.rq, *.sparql

    -
    -
    MIME types
    -

    application/sparql-query

    -
    -
    -

    Lexer for SPARQL query language.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.rdf.TurtleLexer¶
    -
    -
    Short names
    -

    turtle

    -
    -
    Filenames
    -

    *.ttl

    -
    -
    MIME types
    -

    text/turtle, application/x-turtle

    -
    -
    -

    Lexer for Turtle data language.

    -
    -

    New in version 2.1.

    -
    -
    - -
    - -
    -

    Lexer for resource definition files¶

    -
    -
    -class pygments.lexers.resource.ResourceLexer¶
    -
    -
    Short names
    -

    resource, resourcebundle

    -
    -
    Filenames
    -

    *.txt

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for ICU Resource bundles.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexer for Relax-NG Compact syntax¶

    -
    -
    -class pygments.lexers.rnc.RNCCompactLexer¶
    -
    -
    Short names
    -

    rnc, rng-compact

    -
    -
    Filenames
    -

    *.rnc

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For RelaxNG-compact syntax.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for Roboconf DSL¶

    -
    -
    -class pygments.lexers.roboconf.RoboconfGraphLexer¶
    -
    -
    Short names
    -

    roboconf-graph

    -
    -
    Filenames
    -

    *.graph

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Roboconf graph files.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.roboconf.RoboconfInstancesLexer¶
    -
    -
    Short names
    -

    roboconf-instances

    -
    -
    Filenames
    -

    *.instances

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Roboconf instances files.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexer for Robot Framework¶

    -
    -
    -class pygments.lexers.robotframework.RobotFrameworkLexer¶
    -
    -
    Short names
    -

    robotframework

    -
    -
    Filenames
    -

    *.txt, *.robot

    -
    -
    MIME types
    -

    text/x-robotframework

    -
    -
    -

    For Robot Framework test data.

    -

    Supports both space and pipe separated plain text formats.

    -
    -

    New in version 1.6.

    -
    -
    - -
    - -
    -

    Lexers for the Rust language¶

    -
    -
    -class pygments.lexers.rust.RustLexer¶
    -
    -
    Short names
    -

    rust, rs

    -
    -
    Filenames
    -

    *.rs, *.rs.in

    -
    -
    MIME types
    -

    text/rust

    -
    -
    -

    Lexer for the Rust programming language (version 1.10).

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -

    Lexer for SAS¶

    -
    -
    -class pygments.lexers.sas.SASLexer¶
    -
    -
    Short names
    -

    sas

    -
    -
    Filenames
    -

    *.SAS, *.sas

    -
    -
    MIME types
    -

    text/x-sas, text/sas, application/x-sas

    -
    -
    -

    For SAS files.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexer for scripting and embedded languages¶

    -
    -
    -class pygments.lexers.scripting.AppleScriptLexer¶
    -
    -
    Short names
    -

    applescript

    -
    -
    Filenames
    -

    *.applescript

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For AppleScript source code, -including AppleScript Studio. -Contributed by Andreas Amann <aamann@mac.com>.

    -
    -

    New in version 1.0.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.ChaiscriptLexer¶
    -
    -
    Short names
    -

    chai, chaiscript

    -
    -
    Filenames
    -

    *.chai

    -
    -
    MIME types
    -

    text/x-chaiscript, application/x-chaiscript

    -
    -
    -

    For ChaiScript source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.EasytrieveLexer¶
    -
    -
    Short names
    -

    easytrieve

    -
    -
    Filenames
    -

    *.ezt, *.mac

    -
    -
    MIME types
    -

    text/x-easytrieve

    -
    -
    -

    Easytrieve Plus is a programming language for extracting, filtering and -converting sequential data. Furthermore it can layout data for reports. -It is mainly used on mainframe platforms and can access several of the -mainframe’s native file formats. It is somewhat comparable to awk.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.HybrisLexer¶
    -
    -
    Short names
    -

    hybris, hy

    -
    -
    Filenames
    -

    *.hy, *.hyb

    -
    -
    MIME types
    -

    text/x-hybris, application/x-hybris

    -
    -
    -

    For Hybris source code.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.JclLexer¶
    -
    -
    Short names
    -

    jcl

    -
    -
    Filenames
    -

    *.jcl

    -
    -
    MIME types
    -

    text/x-jcl

    -
    -
    -

    Job Control Language (JCL) -is a scripting language used on mainframe platforms to instruct the system -on how to run a batch job or start a subsystem. It is somewhat -comparable to MS DOS batch and Unix shell scripts.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.LSLLexer¶
    -
    -
    Short names
    -

    lsl

    -
    -
    Filenames
    -

    *.lsl

    -
    -
    MIME types
    -

    text/x-lsl

    -
    -
    -

    For Second Life’s Linden Scripting Language source code.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.LuaLexer¶
    -
    -
    Short names
    -

    lua

    -
    -
    Filenames
    -

    *.lua, *.wlua

    -
    -
    MIME types
    -

    text/x-lua, application/x-lua

    -
    -
    -

    For Lua source code.

    -

    Additional options accepted:

    -
    -
    func_name_highlighting

    If given and True, highlight builtin function names -(default: True).

    -
    -
    disabled_modules

    If given, must be a list of module names whose function names -should not be highlighted. By default all modules are highlighted.

    -

    To get a list of allowed modules have a look into the -_lua_builtins module:

    -
    >>> from pygments.lexers._lua_builtins import MODULES
    ->>> MODULES.keys()
    -['string', 'coroutine', 'modules', 'io', 'basic', ...]
    -
    -
    -
    -
    -
    - -
    -
    -class pygments.lexers.scripting.MOOCodeLexer¶
    -
    -
    Short names
    -

    moocode, moo

    -
    -
    Filenames
    -

    *.moo

    -
    -
    MIME types
    -

    text/x-moocode

    -
    -
    -

    For MOOCode (the MOO scripting -language).

    -
    -

    New in version 0.9.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.MoonScriptLexer¶
    -
    -
    Short names
    -

    moon, moonscript

    -
    -
    Filenames
    -

    *.moon

    -
    -
    MIME types
    -

    text/x-moonscript, application/x-moonscript

    -
    -
    -

    For MoonScript source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.scripting.RexxLexer¶
    -
    -
    Short names
    -

    rexx, arexx

    -
    -
    Filenames
    -

    *.rexx, *.rex, *.rx, *.arexx

    -
    -
    MIME types
    -

    text/x-rexx

    -
    -
    -

    Rexx is a scripting language available for -a wide range of different platforms with its roots found on mainframe -systems. It is popular for I/O- and data based tasks and can act as glue -language to bind different applications together.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexer for Smart Game Format (sgf) file format¶

    -
    -
    -class pygments.lexers.sgf.SmartGameFormatLexer¶
    -
    -
    Short names
    -

    sgf

    -
    -
    Filenames
    -

    *.sgf

    -
    -
    MIME types
    -

    None

    -
    -
    -

    None

    -
    - -
    -
    -

    Lexers for various shells¶

    -
    -
    -class pygments.lexers.shell.BashLexer¶
    -
    -
    Short names
    -

    bash, sh, ksh, zsh, shell

    -
    -
    Filenames
    -

    *.sh, *.ksh, *.bash, *.ebuild, *.eclass, *.exheres-0, *.exlib, *.zsh, .bashrc, bashrc, .bash\*, bash\*, zshrc, .zshrc, PKGBUILD

    -
    -
    MIME types
    -

    application/x-sh, application/x-shellscript, text/x-shellscript

    -
    -
    -

    Lexer for (ba|k|z|)sh shell scripts.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.shell.BashSessionLexer¶
    -
    -
    Short names
    -

    console, shell-session

    -
    -
    Filenames
    -

    *.sh-session, *.shell-session

    -
    -
    MIME types
    -

    application/x-shell-session, application/x-sh-session

    -
    -
    -

    Lexer for simplistic shell sessions.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.shell.BatchLexer¶
    -
    -
    Short names
    -

    bat, batch, dosbatch, winbatch

    -
    -
    Filenames
    -

    *.bat, *.cmd

    -
    -
    MIME types
    -

    application/x-dos-batch

    -
    -
    -

    Lexer for the DOS/Windows Batch file format.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.shell.FishShellLexer¶
    -
    -
    Short names
    -

    fish, fishshell

    -
    -
    Filenames
    -

    *.fish, *.load

    -
    -
    MIME types
    -

    application/x-fish

    -
    -
    -

    Lexer for Fish shell scripts.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.shell.MSDOSSessionLexer¶
    -
    -
    Short names
    -

    doscon

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for simplistic MSDOS sessions.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.shell.PowerShellLexer¶
    -
    -
    Short names
    -

    powershell, posh, ps1, psm1

    -
    -
    Filenames
    -

    *.ps1, *.psm1

    -
    -
    MIME types
    -

    text/x-powershell

    -
    -
    -

    For Windows PowerShell code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.shell.PowerShellSessionLexer¶
    -
    -
    Short names
    -

    ps1con

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for simplistic Windows PowerShell sessions.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.shell.SlurmBashLexer¶
    -
    -
    Short names
    -

    slurm, sbatch

    -
    -
    Filenames
    -

    *.sl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for (ba|k|z|)sh Slurm scripts.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -class pygments.lexers.shell.TcshLexer¶
    -
    -
    Short names
    -

    tcsh, csh

    -
    -
    Filenames
    -

    *.tcsh, *.csh

    -
    -
    MIME types
    -

    application/x-csh

    -
    -
    -

    Lexer for tcsh scripts.

    -
    -

    New in version 0.10.

    -
    -
    - -
    -
    -class pygments.lexers.shell.TcshSessionLexer¶
    -
    -
    Short names
    -

    tcshcon

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Tcsh sessions.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexer for the Slash programming¶

    -
    -
    -class pygments.lexers.slash.SlashLexer¶
    -
    -
    Short names
    -

    slash

    -
    -
    Filenames
    -

    *.sl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for the Slash programming language.

    -
    -

    New in version 2.4.

    -
    -
    - -
    - -
    -

    Lexers for the SMV languages¶

    -
    -
    -class pygments.lexers.smv.NuSMVLexer¶
    -
    -
    Short names
    -

    nusmv

    -
    -
    Filenames
    -

    *.smv

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for the NuSMV language.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for the SNOBOL language¶

    -
    -
    -class pygments.lexers.snobol.SnobolLexer¶
    -
    -
    Short names
    -

    snobol

    -
    -
    Filenames
    -

    *.snobol

    -
    -
    MIME types
    -

    text/x-snobol

    -
    -
    -

    Lexer for the SNOBOL4 programming language.

    -

    Recognizes the common ASCII equivalents of the original SNOBOL4 operators. -Does not require spaces around binary operators.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Special lexers¶

    -
    -
    -class pygments.lexers.special.RawTokenLexer¶
    -
    -
    Short names
    -

    raw

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-pygments-tokens

    -
    -
    -

    Recreate a token stream formatted with the RawTokenFormatter. This -lexer raises exceptions during parsing if the token stream in the -file is malformed.

    -

    Additional options accepted:

    -
    -
    compress

    If set to "gz" or "bz2", decompress the token stream with -the given compression algorithm before lexing (default: "").

    -
    -
    -
    - -
    -
    -class pygments.lexers.special.TextLexer¶
    -
    -
    Short names
    -

    text

    -
    -
    Filenames
    -

    *.txt

    -
    -
    MIME types
    -

    text/plain

    -
    -
    -

    “Null” lexer, doesn’t highlight anything.

    -
    - -
    - -
    -

    Lexer for Stata¶

    -
    -
    -class pygments.lexers.stata.StataLexer¶
    -
    -
    Short names
    -

    stata, do

    -
    -
    Filenames
    -

    *.do, *.ado

    -
    -
    MIME types
    -

    text/x-stata, text/stata, application/x-stata

    -
    -
    -

    For Stata do files.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexer for SuperCollider¶

    -
    -
    -class pygments.lexers.supercollider.SuperColliderLexer¶
    -
    -
    Short names
    -

    sc, supercollider

    -
    -
    Filenames
    -

    *.sc, *.scd

    -
    -
    MIME types
    -

    application/supercollider, text/supercollider

    -
    -
    -

    For SuperCollider source code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    - -
    -

    Lexers for various template engines’ markup¶

    -
    -
    -class pygments.lexers.templates.Angular2HtmlLexer¶
    -
    -
    Short names
    -

    html+ng2

    -
    -
    Filenames
    -

    *.ng2

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Subclass of the Angular2Lexer that highlights unlexed data with the -HtmlLexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.templates.Angular2Lexer¶
    -
    -
    Short names
    -

    ng2

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Generic -angular2 -template lexer.

    -

    Highlights only the Angular template tags (stuff between {{ and }} and -special attributes: ‘(event)=’, ‘[property]=’, ‘[(twoWayBinding)]=’). -Everything else is left for a delegating lexer.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.templates.CheetahHtmlLexer¶
    -
    -
    Short names
    -

    html+cheetah, html+spitfire, htmlcheetah

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+cheetah, text/html+spitfire

    -
    -
    -

    Subclass of the CheetahLexer that highlights unlexed data -with the HtmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.CheetahJavascriptLexer¶
    -
    -
    Short names
    -

    js+cheetah, javascript+cheetah, js+spitfire, javascript+spitfire

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+cheetah, text/x-javascript+cheetah, text/javascript+cheetah, application/x-javascript+spitfire, text/x-javascript+spitfire, text/javascript+spitfire

    -
    -
    -

    Subclass of the CheetahLexer that highlights unlexed data -with the JavascriptLexer.

    -
    - -
    -
    -class pygments.lexers.templates.CheetahLexer¶
    -
    -
    Short names
    -

    cheetah, spitfire

    -
    -
    Filenames
    -

    *.tmpl, *.spt

    -
    -
    MIME types
    -

    application/x-cheetah, application/x-spitfire

    -
    -
    -

    Generic cheetah templates lexer. Code that isn’t Cheetah -markup is yielded as Token.Other. This also works for -spitfire templates which use the same syntax.

    -
    - -
    -
    -class pygments.lexers.templates.CheetahXmlLexer¶
    -
    -
    Short names
    -

    xml+cheetah, xml+spitfire

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+cheetah, application/xml+spitfire

    -
    -
    -

    Subclass of the CheetahLexer that highlights unlexed data -with the XmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.ColdfusionCFCLexer¶
    -
    -
    Short names
    -

    cfc

    -
    -
    Filenames
    -

    *.cfc

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Coldfusion markup/script components

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.templates.ColdfusionHtmlLexer¶
    -
    -
    Short names
    -

    cfm

    -
    -
    Filenames
    -

    *.cfm, *.cfml

    -
    -
    MIME types
    -

    application/x-coldfusion

    -
    -
    -

    Coldfusion markup in html

    -
    - -
    -
    -class pygments.lexers.templates.ColdfusionLexer¶
    -
    -
    Short names
    -

    cfs

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Coldfusion statements

    -
    - -
    -
    -class pygments.lexers.templates.CssDjangoLexer¶
    -
    -
    Short names
    -

    css+django, css+jinja

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+django, text/css+jinja

    -
    -
    -

    Subclass of the DjangoLexer that highlights unlexed data with the -CssLexer.

    -
    - -
    -
    -class pygments.lexers.templates.CssErbLexer¶
    -
    -
    Short names
    -

    css+erb, css+ruby

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+ruby

    -
    -
    -

    Subclass of ErbLexer which highlights unlexed data with the CssLexer.

    -
    - -
    -
    -class pygments.lexers.templates.CssGenshiLexer¶
    -
    -
    Short names
    -

    css+genshitext, css+genshi

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+genshi

    -
    -
    -

    A lexer that highlights CSS definitions in genshi text templates.

    -
    - -
    -
    -class pygments.lexers.templates.CssPhpLexer¶
    -
    -
    Short names
    -

    css+php

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+php

    -
    -
    -

    Subclass of PhpLexer which highlights unmatched data with the CssLexer.

    -
    - -
    -
    -class pygments.lexers.templates.CssSmartyLexer¶
    -
    -
    Short names
    -

    css+smarty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+smarty

    -
    -
    -

    Subclass of the SmartyLexer that highlights unlexed data with the -CssLexer.

    -
    - -
    -
    -class pygments.lexers.templates.DjangoLexer¶
    -
    -
    Short names
    -

    django, jinja

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-django-templating, application/x-jinja

    -
    -
    -

    Generic django -and jinja template lexer.

    -

    It just highlights django/jinja code between the preprocessor directives, -other data is left untouched by the lexer.

    -
    - -
    -
    -class pygments.lexers.templates.ErbLexer¶
    -
    -
    Short names
    -

    erb

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-ruby-templating

    -
    -
    -

    Generic ERB (Ruby Templating) -lexer.

    -

    Just highlights ruby code between the preprocessor directives, other data -is left untouched by the lexer.

    -

    All options are also forwarded to the RubyLexer.

    -
    - -
    -
    -class pygments.lexers.templates.EvoqueHtmlLexer¶
    -
    -
    Short names
    -

    html+evoque

    -
    -
    Filenames
    -

    *.html

    -
    -
    MIME types
    -

    text/html+evoque

    -
    -
    -

    Subclass of the EvoqueLexer that highlights unlexed data with the -HtmlLexer.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.templates.EvoqueLexer¶
    -
    -
    Short names
    -

    evoque

    -
    -
    Filenames
    -

    *.evoque

    -
    -
    MIME types
    -

    application/x-evoque

    -
    -
    -

    For files using the Evoque templating system.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.templates.EvoqueXmlLexer¶
    -
    -
    Short names
    -

    xml+evoque

    -
    -
    Filenames
    -

    *.xml

    -
    -
    MIME types
    -

    application/xml+evoque

    -
    -
    -

    Subclass of the EvoqueLexer that highlights unlexed data with the -XmlLexer.

    -
    -

    New in version 1.1.

    -
    -
    - -
    -
    -class pygments.lexers.templates.GenshiLexer¶
    -
    -
    Short names
    -

    genshi, kid, xml+genshi, xml+kid

    -
    -
    Filenames
    -

    *.kid

    -
    -
    MIME types
    -

    application/x-genshi, application/x-kid

    -
    -
    -

    A lexer that highlights genshi and -kid kid XML templates.

    -
    - -
    -
    -class pygments.lexers.templates.GenshiTextLexer¶
    -
    -
    Short names
    -

    genshitext

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-genshi-text, text/x-genshi

    -
    -
    -

    A lexer that highlights genshi text -templates.

    -
    - -
    -
    -class pygments.lexers.templates.HandlebarsHtmlLexer¶
    -
    -
    Short names
    -

    html+handlebars

    -
    -
    Filenames
    -

    *.handlebars, *.hbs

    -
    -
    MIME types
    -

    text/html+handlebars, text/x-handlebars-template

    -
    -
    -

    Subclass of the HandlebarsLexer that highlights unlexed data with the -HtmlLexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.templates.HandlebarsLexer¶
    -
    -
    Short names
    -

    handlebars

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Generic handlebars <http://handlebarsjs.com/> template lexer.

    -

    Highlights only the Handlebars template tags (stuff between {{ and }}). -Everything else is left for a delegating lexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.templates.HtmlDjangoLexer¶
    -
    -
    Short names
    -

    html+django, html+jinja, htmldjango

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+django, text/html+jinja

    -
    -
    -

    Subclass of the DjangoLexer that highlights unlexed data with the -HtmlLexer.

    -

    Nested Javascript and CSS is highlighted too.

    -
    - -
    -
    -class pygments.lexers.templates.HtmlGenshiLexer¶
    -
    -
    Short names
    -

    html+genshi, html+kid

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+genshi

    -
    -
    -

    A lexer that highlights genshi and -kid kid HTML templates.

    -
    - -
    -
    -class pygments.lexers.templates.HtmlPhpLexer¶
    -
    -
    Short names
    -

    html+php

    -
    -
    Filenames
    -

    *.phtml

    -
    -
    MIME types
    -

    application/x-php, application/x-httpd-php, application/x-httpd-php3, application/x-httpd-php4, application/x-httpd-php5

    -
    -
    -

    Subclass of PhpLexer that highlights unhandled data with the HtmlLexer.

    -

    Nested Javascript and CSS is highlighted too.

    -
    - -
    -
    -class pygments.lexers.templates.HtmlSmartyLexer¶
    -
    -
    Short names
    -

    html+smarty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+smarty

    -
    -
    -

    Subclass of the SmartyLexer that highlights unlexed data with the -HtmlLexer.

    -

    Nested Javascript and CSS is highlighted too.

    -
    - -
    -
    -class pygments.lexers.templates.JavascriptDjangoLexer¶
    -
    -
    Short names
    -

    js+django, javascript+django, js+jinja, javascript+jinja

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+django, application/x-javascript+jinja, text/x-javascript+django, text/x-javascript+jinja, text/javascript+django, text/javascript+jinja

    -
    -
    -

    Subclass of the DjangoLexer that highlights unlexed data with the -JavascriptLexer.

    -
    - -
    -
    -class pygments.lexers.templates.JavascriptErbLexer¶
    -
    -
    Short names
    -

    js+erb, javascript+erb, js+ruby, javascript+ruby

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+ruby, text/x-javascript+ruby, text/javascript+ruby

    -
    -
    -

    Subclass of ErbLexer which highlights unlexed data with the -JavascriptLexer.

    -
    - -
    -
    -class pygments.lexers.templates.JavascriptGenshiLexer¶
    -
    -
    Short names
    -

    js+genshitext, js+genshi, javascript+genshitext, javascript+genshi

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+genshi, text/x-javascript+genshi, text/javascript+genshi

    -
    -
    -

    A lexer that highlights javascript code in genshi text templates.

    -
    - -
    -
    -class pygments.lexers.templates.JavascriptPhpLexer¶
    -
    -
    Short names
    -

    js+php, javascript+php

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+php, text/x-javascript+php, text/javascript+php

    -
    -
    -

    Subclass of PhpLexer which highlights unmatched data with the -JavascriptLexer.

    -
    - -
    -
    -class pygments.lexers.templates.JavascriptSmartyLexer¶
    -
    -
    Short names
    -

    js+smarty, javascript+smarty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+smarty, text/x-javascript+smarty, text/javascript+smarty

    -
    -
    -

    Subclass of the SmartyLexer that highlights unlexed data with the -JavascriptLexer.

    -
    - -
    -
    -class pygments.lexers.templates.JspLexer¶
    -
    -
    Short names
    -

    jsp

    -
    -
    Filenames
    -

    *.jsp

    -
    -
    MIME types
    -

    application/x-jsp

    -
    -
    -

    Lexer for Java Server Pages.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.templates.LassoCssLexer¶
    -
    -
    Short names
    -

    css+lasso

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+lasso

    -
    -
    -

    Subclass of the LassoLexer which highlights unhandled data with the -CssLexer.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.LassoHtmlLexer¶
    -
    -
    Short names
    -

    html+lasso

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+lasso, application/x-httpd-lasso, application/x-httpd-lasso[89]

    -
    -
    -

    Subclass of the LassoLexer which highlights unhandled data with the -HtmlLexer.

    -

    Nested JavaScript and CSS is also highlighted.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.LassoJavascriptLexer¶
    -
    -
    Short names
    -

    js+lasso, javascript+lasso

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+lasso, text/x-javascript+lasso, text/javascript+lasso

    -
    -
    -

    Subclass of the LassoLexer which highlights unhandled data with the -JavascriptLexer.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.LassoXmlLexer¶
    -
    -
    Short names
    -

    xml+lasso

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+lasso

    -
    -
    -

    Subclass of the LassoLexer which highlights unhandled data with the -XmlLexer.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.LiquidLexer¶
    -
    -
    Short names
    -

    liquid

    -
    -
    Filenames
    -

    *.liquid

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Liquid templates.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MakoCssLexer¶
    -
    -
    Short names
    -

    css+mako

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+mako

    -
    -
    -

    Subclass of the MakoLexer that highlights unlexed data -with the CssLexer.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MakoHtmlLexer¶
    -
    -
    Short names
    -

    html+mako

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+mako

    -
    -
    -

    Subclass of the MakoLexer that highlights unlexed data -with the HtmlLexer.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MakoJavascriptLexer¶
    -
    -
    Short names
    -

    js+mako, javascript+mako

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+mako, text/x-javascript+mako, text/javascript+mako

    -
    -
    -

    Subclass of the MakoLexer that highlights unlexed data -with the JavascriptLexer.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MakoLexer¶
    -
    -
    Short names
    -

    mako

    -
    -
    Filenames
    -

    *.mao

    -
    -
    MIME types
    -

    application/x-mako

    -
    -
    -

    Generic mako templates lexer. Code that isn’t Mako -markup is yielded as Token.Other.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MakoXmlLexer¶
    -
    -
    Short names
    -

    xml+mako

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+mako

    -
    -
    -

    Subclass of the MakoLexer that highlights unlexed data -with the XmlLexer.

    -
    -

    New in version 0.7.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MasonLexer¶
    -
    -
    Short names
    -

    mason

    -
    -
    Filenames
    -

    *.m, *.mhtml, *.mc, *.mi, autohandler, dhandler

    -
    -
    MIME types
    -

    application/x-mason

    -
    -
    -

    Generic mason templates lexer. Stolen from Myghty lexer. Code that isn’t -Mason markup is HTML.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MyghtyCssLexer¶
    -
    -
    Short names
    -

    css+myghty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/css+myghty

    -
    -
    -

    Subclass of the MyghtyLexer that highlights unlexed data -with the CssLexer.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MyghtyHtmlLexer¶
    -
    -
    Short names
    -

    html+myghty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+myghty

    -
    -
    -

    Subclass of the MyghtyLexer that highlights unlexed data -with the HtmlLexer.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MyghtyJavascriptLexer¶
    -
    -
    Short names
    -

    js+myghty, javascript+myghty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-javascript+myghty, text/x-javascript+myghty, text/javascript+mygthy

    -
    -
    -

    Subclass of the MyghtyLexer that highlights unlexed data -with the JavascriptLexer.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MyghtyLexer¶
    -
    -
    Short names
    -

    myghty

    -
    -
    Filenames
    -

    *.myt, autodelegate

    -
    -
    MIME types
    -

    application/x-myghty

    -
    -
    -

    Generic myghty templates lexer. Code that isn’t Myghty -markup is yielded as Token.Other.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.MyghtyXmlLexer¶
    -
    -
    Short names
    -

    xml+myghty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+myghty

    -
    -
    -

    Subclass of the MyghtyLexer that highlights unlexed data -with the XmlLexer.

    -
    -

    New in version 0.6.

    -
    -
    - -
    -
    -class pygments.lexers.templates.RhtmlLexer¶
    -
    -
    Short names
    -

    rhtml, html+erb, html+ruby

    -
    -
    Filenames
    -

    *.rhtml

    -
    -
    MIME types
    -

    text/html+ruby

    -
    -
    -

    Subclass of the ERB lexer that highlights the unlexed data with the -html lexer.

    -

    Nested Javascript and CSS is highlighted too.

    -
    - -
    -
    -class pygments.lexers.templates.SmartyLexer¶
    -
    -
    Short names
    -

    smarty

    -
    -
    Filenames
    -

    *.tpl

    -
    -
    MIME types
    -

    application/x-smarty

    -
    -
    -

    Generic Smarty template lexer.

    -

    Just highlights smarty code between the preprocessor directives, other -data is left untouched by the lexer.

    -
    - -
    -
    -class pygments.lexers.templates.SspLexer¶
    -
    -
    Short names
    -

    ssp

    -
    -
    Filenames
    -

    *.ssp

    -
    -
    MIME types
    -

    application/x-ssp

    -
    -
    -

    Lexer for Scalate Server Pages.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.templates.TeaTemplateLexer¶
    -
    -
    Short names
    -

    tea

    -
    -
    Filenames
    -

    *.tea

    -
    -
    MIME types
    -

    text/x-tea

    -
    -
    -

    Lexer for Tea Templates.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.templates.TwigHtmlLexer¶
    -
    -
    Short names
    -

    html+twig

    -
    -
    Filenames
    -

    *.twig

    -
    -
    MIME types
    -

    text/html+twig

    -
    -
    -

    Subclass of the TwigLexer that highlights unlexed data with the -HtmlLexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.templates.TwigLexer¶
    -
    -
    Short names
    -

    twig

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/x-twig

    -
    -
    -

    Twig template lexer.

    -

    It just highlights Twig code between the preprocessor directives, -other data is left untouched by the lexer.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.templates.VelocityHtmlLexer¶
    -
    -
    Short names
    -

    html+velocity

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/html+velocity

    -
    -
    -

    Subclass of the VelocityLexer that highlights unlexed data -with the HtmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.VelocityLexer¶
    -
    -
    Short names
    -

    velocity

    -
    -
    Filenames
    -

    *.vm, *.fhtml

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Generic Velocity template lexer.

    -

    Just highlights velocity directives and variable references, other -data is left untouched by the lexer.

    -
    - -
    -
    -class pygments.lexers.templates.VelocityXmlLexer¶
    -
    -
    Short names
    -

    xml+velocity

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+velocity

    -
    -
    -

    Subclass of the VelocityLexer that highlights unlexed data -with the XmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.XmlDjangoLexer¶
    -
    -
    Short names
    -

    xml+django, xml+jinja

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+django, application/xml+jinja

    -
    -
    -

    Subclass of the DjangoLexer that highlights unlexed data with the -XmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.XmlErbLexer¶
    -
    -
    Short names
    -

    xml+erb, xml+ruby

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+ruby

    -
    -
    -

    Subclass of ErbLexer which highlights data outside preprocessor -directives with the XmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.XmlPhpLexer¶
    -
    -
    Short names
    -

    xml+php

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+php

    -
    -
    -

    Subclass of PhpLexer that highlights unhandled data with the XmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.XmlSmartyLexer¶
    -
    -
    Short names
    -

    xml+smarty

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    application/xml+smarty

    -
    -
    -

    Subclass of the SmartyLexer that highlights unlexed data with the -XmlLexer.

    -
    - -
    -
    -class pygments.lexers.templates.YamlJinjaLexer¶
    -
    -
    Short names
    -

    yaml+jinja, salt, sls

    -
    -
    Filenames
    -

    *.sls

    -
    -
    MIME types
    -

    text/x-yaml+jinja, text/x-sls

    -
    -
    -

    Subclass of the DjangoLexer that highlights unlexed data with the -YamlLexer.

    -

    Commonly used in Saltstack salt states.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexer for Tera Term macro files¶

    -
    -
    -class pygments.lexers.teraterm.TeraTermLexer¶
    -
    -
    Short names
    -

    ttl, teraterm, teratermmacro

    -
    -
    Filenames
    -

    *.ttl

    -
    -
    MIME types
    -

    text/x-teratermmacro

    -
    -
    -

    For Tera Term macro source code.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -

    Lexers for testing languages¶

    -
    -
    -class pygments.lexers.testing.GherkinLexer¶
    -
    -
    Short names
    -

    cucumber, gherkin

    -
    -
    Filenames
    -

    *.feature

    -
    -
    MIME types
    -

    text/x-gherkin

    -
    -
    -

    For Gherkin <http://github.com/aslakhellesoy/gherkin/> syntax.

    -
    -

    New in version 1.2.

    -
    -
    - -
    -
    -class pygments.lexers.testing.TAPLexer¶
    -
    -
    Short names
    -

    tap

    -
    -
    Filenames
    -

    *.tap

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Test Anything Protocol (TAP) output.

    -
    -

    New in version 2.1.

    -
    -
    - -
    - -
    -

    Lexers for various text formats¶

    -
    -
    -class pygments.lexers.textfmts.GettextLexer¶
    -
    -
    Short names
    -

    pot, po

    -
    -
    Filenames
    -

    *.pot, *.po

    -
    -
    MIME types
    -

    application/x-gettext, text/x-gettext, text/gettext

    -
    -
    -

    Lexer for Gettext catalog files.

    -
    -

    New in version 0.9.

    -
    -
    - -
    -
    -class pygments.lexers.textfmts.HttpLexer¶
    -
    -
    Short names
    -

    http

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for HTTP sessions.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.textfmts.IrcLogsLexer¶
    -
    -
    Short names
    -

    irc

    -
    -
    Filenames
    -

    *.weechatlog

    -
    -
    MIME types
    -

    text/x-irclog

    -
    -
    -

    Lexer for IRC logs in irssi, xchat or weechat style.

    -
    - -
    -
    -class pygments.lexers.textfmts.TodotxtLexer¶
    -
    -
    Short names
    -

    todotxt

    -
    -
    Filenames
    -

    todo.txt, *.todotxt

    -
    -
    MIME types
    -

    text/x-todo

    -
    -
    -

    Lexer for Todo.txt todo list format.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexers for theorem-proving languages¶

    -
    -
    -class pygments.lexers.theorem.CoqLexer¶
    -
    -
    Short names
    -

    coq

    -
    -
    Filenames
    -

    *.v

    -
    -
    MIME types
    -

    text/x-coq

    -
    -
    -

    For the Coq theorem prover.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -class pygments.lexers.theorem.IsabelleLexer¶
    -
    -
    Short names
    -

    isabelle

    -
    -
    Filenames
    -

    *.thy

    -
    -
    MIME types
    -

    text/x-isabelle

    -
    -
    -

    For the Isabelle proof assistant.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.theorem.LeanLexer¶
    -
    -
    Short names
    -

    lean

    -
    -
    Filenames
    -

    *.lean

    -
    -
    MIME types
    -

    text/x-lean

    -
    -
    -

    For the Lean -theorem prover.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -

    Lexer for RiverBed’s TrafficScript (RTS) language¶

    -
    -
    -class pygments.lexers.trafficscript.RtsLexer¶
    -
    -
    Short names
    -

    rts, trafficscript

    -
    -
    Filenames
    -

    *.rts

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Riverbed Stingray Traffic Manager

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -

    Lexers for TypoScript¶

    -
    -
    -class pygments.lexers.typoscript.TypoScriptCssDataLexer¶
    -
    -
    Short names
    -

    typoscriptcssdata

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer that highlights markers, constants and registers within css blocks.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.typoscript.TypoScriptHtmlDataLexer¶
    -
    -
    Short names
    -

    typoscripthtmldata

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer that highlights markers, constants and registers within html tags.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.typoscript.TypoScriptLexer¶
    -
    -
    Short names
    -

    typoscript

    -
    -
    Filenames
    -

    *.typoscript

    -
    -
    MIME types
    -

    text/x-typoscript

    -
    -
    -

    Lexer for TypoScript code.

    -

    http://docs.typo3.org/typo3cms/TyposcriptReference/

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for the Icon and Unicon languages, including ucode VM¶

    -
    -
    -class pygments.lexers.unicon.IconLexer¶
    -
    -
    Short names
    -

    icon

    -
    -
    Filenames
    -

    *.icon, *.ICON

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Icon

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.unicon.UcodeLexer¶
    -
    -
    Short names
    -

    ucode

    -
    -
    Filenames
    -

    *.u, *.u1, *.u2

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for Icon ucode files

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -class pygments.lexers.unicon.UniconLexer¶
    -
    -
    Short names
    -

    unicon

    -
    -
    Filenames
    -

    *.icn

    -
    -
    MIME types
    -

    text/unicon

    -
    -
    -

    For Unicon source code.

    -
    -

    New in version 2.4.

    -
    -
    - -
    -
    -

    Lexers for UrbiScript language¶

    -
    -
    -class pygments.lexers.urbi.UrbiscriptLexer¶
    -
    -
    Short names
    -

    urbiscript

    -
    -
    Filenames
    -

    *.u

    -
    -
    MIME types
    -

    application/x-urbiscript

    -
    -
    -

    For UrbiScript source code.

    -
    -

    New in version 1.5.

    -
    -
    - -
    -
    -

    Lexers for Varnish configuration¶

    -
    -
    -class pygments.lexers.varnish.VCLLexer¶
    -
    -
    Short names
    -

    vcl

    -
    -
    Filenames
    -

    *.vcl

    -
    -
    MIME types
    -

    text/x-vclsrc

    -
    -
    -

    For Varnish Configuration Language (VCL).

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -class pygments.lexers.varnish.VCLSnippetLexer¶
    -
    -
    Short names
    -

    vclsnippets, vclsnippet

    -
    -
    Filenames
    -

    None

    -
    -
    MIME types
    -

    text/x-vclsnippet

    -
    -
    -

    For Varnish Configuration Language snippets.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexer for Intermediate Verification Languages (IVLs)¶

    -
    -
    -class pygments.lexers.verification.BoogieLexer¶
    -
    -
    Short names
    -

    boogie

    -
    -
    Filenames
    -

    *.bpl

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Boogie source code.

    -
    -

    New in version 2.1.

    -
    -
    - -
    -
    -class pygments.lexers.verification.SilverLexer¶
    -
    -
    Short names
    -

    silver

    -
    -
    Filenames
    -

    *.sil, *.vpr

    -
    -
    MIME types
    -

    None

    -
    -
    -

    For Silver source code.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for misc. web stuff¶

    -
    -
    -class pygments.lexers.webmisc.CirruLexer¶
    -
    -
    Short names
    -

    cirru

    -
    -
    Filenames
    -

    *.cirru

    -
    -
    MIME types
    -

    text/x-cirru

    -
    -
    -

    Syntax rules of Cirru can be found at: -http://cirru.org/

    -
      -
    • using () for expressions, but restricted in a same line

    • -
    • using "" for strings, with \ for escaping chars

    • -
    • using $ as folding operator

    • -
    • using , as unfolding operator

    • -
    • using indentations for nested blocks

    • -
    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.webmisc.DuelLexer¶
    -
    -
    Short names
    -

    duel, jbst, jsonml+bst

    -
    -
    Filenames
    -

    *.duel, *.jbst

    -
    -
    MIME types
    -

    text/x-duel, text/x-jbst

    -
    -
    -

    Lexer for Duel Views Engine (formerly JBST) markup with JavaScript code blocks. -See http://duelengine.org/. -See http://jsonml.org/jbst/.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -class pygments.lexers.webmisc.QmlLexer¶
    -
    -
    Short names
    -

    qml, qbs

    -
    -
    Filenames
    -

    *.qml, *.qbs

    -
    -
    MIME types
    -

    application/x-qml, application/x-qt.qbs+qml

    -
    -
    -

    For QML files. See http://doc.qt.digia.com/4.7/qdeclarativeintroduction.html.

    -
    -

    New in version 1.6.

    -
    -
    - -
    -
    -class pygments.lexers.webmisc.SlimLexer¶
    -
    -
    Short names
    -

    slim

    -
    -
    Filenames
    -

    *.slim

    -
    -
    MIME types
    -

    text/x-slim

    -
    -
    -

    For Slim markup.

    -
    -

    New in version 2.0.

    -
    -
    - -
    -
    -class pygments.lexers.webmisc.XQueryLexer¶
    -
    -
    Short names
    -

    xquery, xqy, xq, xql, xqm

    -
    -
    Filenames
    -

    *.xqy, *.xquery, *.xq, *.xql, *.xqm

    -
    -
    MIME types
    -

    text/xquery, application/xquery

    -
    -
    -

    An XQuery lexer, parsing a stream and outputting the tokens needed to -highlight xquery code.

    -
    -

    New in version 1.4.

    -
    -
    - -
    -
    -

    Lexers for the Whiley language¶

    -
    -
    -class pygments.lexers.whiley.WhileyLexer¶
    -
    -
    Short names
    -

    whiley

    -
    -
    Filenames
    -

    *.whiley

    -
    -
    MIME types
    -

    text/x-whiley

    -
    -
    -

    Lexer for the Whiley programming language.

    -
    -

    New in version 2.2.

    -
    -
    - -
    -
    -

    Lexers for the X10 programming language¶

    -
    -
    -class pygments.lexers.x10.X10Lexer¶
    -
    -
    Short names
    -

    x10, xten

    -
    -
    Filenames
    -

    *.x10

    -
    -
    MIME types
    -

    text/x-x10

    -
    -
    -

    For the X10 language.

    -
    -

    New in version 0.1.

    -
    -
    - -
    -
    -

    Lexers for Xorg configs¶

    -
    -
    -class pygments.lexers.xorg.XorgLexer¶
    -
    -
    Short names
    -

    xorg.conf

    -
    -
    Filenames
    -

    xorg.conf

    -
    -
    MIME types
    -

    None

    -
    -
    -

    Lexer for xorg.conf file.

    -
    - -
    -
    -

    Iterating over all lexers¶

    -
    -

    New in version 0.6.

    -
    -

    To get all lexers (both the builtin and the plugin ones), you can -use the get_all_lexers() function from the pygments.lexers -module:

    -
    >>> from pygments.lexers import get_all_lexers
    ->>> i = get_all_lexers()
    ->>> i.next()
    -('Diff', ('diff',), ('*.diff', '*.patch'), ('text/x-diff', 'text/x-patch'))
    ->>> i.next()
    -('Delphi', ('delphi', 'objectpascal', 'pas', 'pascal'), ('*.pas',), ('text/x-pascal',))
    ->>> i.next()
    -('XML+Ruby', ('xml+erb', 'xml+ruby'), (), ())
    -
    -
    -

    As you can see, the return value is an iterator which yields tuples -in the form (name, aliases, filetypes, mimetypes).

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/moinmoin.html b/doc/_build/html/docs/moinmoin.html deleted file mode 100644 index 9a3c3c5..0000000 --- a/doc/_build/html/docs/moinmoin.html +++ /dev/null @@ -1,133 +0,0 @@ - - - - - - - Using Pygments with MoinMoin — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Using Pygments with MoinMoin¶

    -

    From Pygments 0.7, the source distribution ships a Moin parser plugin that -can be used to get Pygments highlighting in Moin wiki pages.

    -

    To use it, copy the file external/moin-parser.py from the Pygments -distribution to the data/plugin/parser subdirectory of your Moin instance. -Edit the options at the top of the file (currently ATTACHMENTS and -INLINESTYLES) and rename the file to the name that the parser directive -should have. For example, if you name the file code.py, you can get a -highlighted Python code sample with this Wiki markup:

    -
    {{{
    -#!code python
    -[...]
    -}}}
    -
    -
    -

    where python is the Pygments name of the lexer to use.

    -

    Additionally, if you set the ATTACHMENTS option to True, Pygments will also -be called for all attachments for whose filenames there is no other parser -registered.

    -

    You are responsible for including CSS rules that will map the Pygments CSS -classes to colors. You can output a stylesheet file with pygmentize, put it -into the htdocs directory of your Moin instance and then include it in the -stylesheets configuration option in the Moin config, e.g.:

    -
    stylesheets = [('screen', '/htdocs/pygments.css')]
    -
    -
    -

    If you do not want to do that and are willing to accept larger HTML output, you -can set the INLINESTYLES option to True.

    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/plugins.html b/doc/_build/html/docs/plugins.html deleted file mode 100644 index f13c5af..0000000 --- a/doc/_build/html/docs/plugins.html +++ /dev/null @@ -1,175 +0,0 @@ - - - - - - - Register Plugins — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Register Plugins¶

    -

    If you want to extend Pygments without hacking the sources, but want to -use the lexer/formatter/style/filter lookup functions (lexers.get_lexer_by_name -et al.), you can use setuptools entrypoints to add new lexers, formatters -or styles as if they were in the Pygments core.

    -

    That means you can use your highlighter modules with the pygmentize script, -which relies on the mentioned functions.

    -
    -

    Entrypoints¶

    -

    Here is a list of setuptools entrypoints that Pygments understands:

    -

    pygments.lexers

    -
    -

    This entrypoint is used for adding new lexers to the Pygments core. -The name of the entrypoint values doesn’t really matter, Pygments extracts -required metadata from the class definition:

    -
    [pygments.lexers]
    -yourlexer = yourmodule:YourLexer
    -
    -
    -

    Note that you have to define name, aliases and filename -attributes so that you can use the highlighter from the command line:

    -
    class YourLexer(...):
    -    name = 'Name Of Your Lexer'
    -    aliases = ['alias']
    -    filenames = ['*.ext']
    -
    -
    -
    -

    pygments.formatters

    -
    -

    You can use this entrypoint to add new formatters to Pygments. The -name of an entrypoint item is the name of the formatter. If you -prefix the name with a slash it’s used as a filename pattern:

    -
    [pygments.formatters]
    -yourformatter = yourmodule:YourFormatter
    -/.ext = yourmodule:YourFormatter
    -
    -
    -
    -

    pygments.styles

    -
    -

    To add a new style you can use this entrypoint. The name of the entrypoint -is the name of the style:

    -
    [pygments.styles]
    -yourstyle = yourmodule:YourStyle
    -
    -
    -
    -

    pygments.filters

    -
    -

    Use this entrypoint to register a new filter. The name of the -entrypoint is the name of the filter:

    -
    [pygments.filters]
    -yourfilter = yourmodule:YourFilter
    -
    -
    -
    -
    -
    -

    How To Use Entrypoints¶

    -

    This documentation doesn’t explain how to use those entrypoints because this is -covered in the setuptools documentation. That page should cover everything -you need to write a plugin.

    -
    -
    -

    Extending The Core¶

    -

    If you have written a Pygments plugin that is open source, please inform us -about that. There is a high chance that we’ll add it to the Pygments -distribution.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/quickstart.html b/doc/_build/html/docs/quickstart.html deleted file mode 100644 index 8047d10..0000000 --- a/doc/_build/html/docs/quickstart.html +++ /dev/null @@ -1,268 +0,0 @@ - - - - - - - Introduction and Quickstart — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Introduction and Quickstart¶

    -

    Welcome to Pygments! This document explains the basic concepts and terms and -gives a few examples of how to use the library.

    -
    -

    Architecture¶

    -

    There are four types of components that work together highlighting a piece of -code:

    -
      -
    • A lexer splits the source into tokens, fragments of the source that -have a token type that determines what the text represents semantically -(e.g., keyword, string, or comment). There is a lexer for every language -or markup format that Pygments supports.

    • -
    • The token stream can be piped through filters, which usually modify -the token types or text fragments, e.g. uppercasing all keywords.

    • -
    • A formatter then takes the token stream and writes it to an output -file, in a format such as HTML, LaTeX or RTF.

    • -
    • While writing the output, a style determines how to highlight all the -different token types. It maps them to attributes like “red and bold”.

    • -
    -
    -
    -

    Example¶

    -

    Here is a small example for highlighting Python code:

    -
    from pygments import highlight
    -from pygments.lexers import PythonLexer
    -from pygments.formatters import HtmlFormatter
    -
    -code = 'print "Hello World"'
    -print(highlight(code, PythonLexer(), HtmlFormatter()))
    -
    -
    -

    which prints something like this:

    -
    <div class="highlight">
    -<pre><span class="k">print</span> <span class="s">&quot;Hello World&quot;</span></pre>
    -</div>
    -
    -
    -

    As you can see, Pygments uses CSS classes (by default, but you can change that) -instead of inline styles in order to avoid outputting redundant style information over -and over. A CSS stylesheet that contains all CSS classes possibly used in the output -can be produced by:

    -
    print(HtmlFormatter().get_style_defs('.highlight'))
    -
    -
    -

    The argument to get_style_defs() is used as an additional CSS selector: -the output may look like this:

    -
    .highlight .k { color: #AA22FF; font-weight: bold }
    -.highlight .s { color: #BB4444 }
    -...
    -
    -
    -
    -
    -

    Options¶

    -

    The highlight() function supports a fourth argument called outfile, it -must be a file object if given. The formatted output will then be written to -this file instead of being returned as a string.

    -

    Lexers and formatters both support options. They are given to them as keyword -arguments either to the class or to the lookup method:

    -
    from pygments import highlight
    -from pygments.lexers import get_lexer_by_name
    -from pygments.formatters import HtmlFormatter
    -
    -lexer = get_lexer_by_name("python", stripall=True)
    -formatter = HtmlFormatter(linenos=True, cssclass="source")
    -result = highlight(code, lexer, formatter)
    -
    -
    -

    This makes the lexer strip all leading and trailing whitespace from the input -(stripall option), lets the formatter output line numbers (linenos option), -and sets the wrapping <div>’s class to source (instead of -highlight).

    -

    Important options include:

    -
    -
    encodingfor lexers and formatters

    Since Pygments uses Unicode strings internally, this determines which -encoding will be used to convert to or from byte strings.

    -
    -
    stylefor formatters

    The name of the style to use when writing the output.

    -
    -
    -

    For an overview of builtin lexers and formatters and their options, visit the -lexer and formatters lists.

    -

    For a documentation on filters, see this page.

    -
    -
    -

    Lexer and formatter lookup¶

    -

    If you want to lookup a built-in lexer by its alias or a filename, you can use -one of the following methods:

    -
    >>> from pygments.lexers import (get_lexer_by_name,
    -...     get_lexer_for_filename, get_lexer_for_mimetype)
    -
    ->>> get_lexer_by_name('python')
    -<pygments.lexers.PythonLexer>
    -
    ->>> get_lexer_for_filename('spam.rb')
    -<pygments.lexers.RubyLexer>
    -
    ->>> get_lexer_for_mimetype('text/x-perl')
    -<pygments.lexers.PerlLexer>
    -
    -
    -

    All these functions accept keyword arguments; they will be passed to the lexer -as options.

    -

    A similar API is available for formatters: use get_formatter_by_name() -and get_formatter_for_filename() from the pygments.formatters -module for this purpose.

    -
    -
    -

    Guessing lexers¶

    -

    If you don’t know the content of the file, or you want to highlight a file -whose extension is ambiguous, such as .html (which could contain plain HTML -or some template tags), use these functions:

    -
    >>> from pygments.lexers import guess_lexer, guess_lexer_for_filename
    -
    ->>> guess_lexer('#!/usr/bin/python\nprint "Hello World!"')
    -<pygments.lexers.PythonLexer>
    -
    ->>> guess_lexer_for_filename('test.py', 'print "Hello World!"')
    -<pygments.lexers.PythonLexer>
    -
    -
    -

    guess_lexer() passes the given content to the lexer classes’ -analyse_text() method and returns the one for which it returns the -highest number.

    -

    All lexers have two different filename pattern lists: the primary and the -secondary one. The get_lexer_for_filename() function only uses the -primary list, whose entries are supposed to be unique among all lexers. -guess_lexer_for_filename(), however, will first loop through all lexers -and look at the primary and secondary filename patterns if the filename matches. -If only one lexer matches, it is returned, else the guessing mechanism of -guess_lexer() is used with the matching lexers.

    -

    As usual, keyword arguments to these functions are given to the created lexer -as options.

    -
    -
    -

    Command line usage¶

    -

    You can use Pygments from the command line, using the pygmentize -script:

    -
    $ pygmentize test.py
    -
    -
    -

    will highlight the Python file test.py using ANSI escape sequences -(a.k.a. terminal colors) and print the result to standard output.

    -

    To output HTML, use the -f option:

    -
    $ pygmentize -f html -o test.html test.py
    -
    -
    -

    to write an HTML-highlighted version of test.py to the file test.html. -Note that it will only be a snippet of HTML, if you want a full HTML document, -use the “full” option:

    -
    $ pygmentize -f html -O full -o test.html test.py
    -
    -
    -

    This will produce a full HTML document with included stylesheet.

    -

    A style can be selected with -O style=<name>.

    -

    If you need a stylesheet for an existing HTML file using Pygments CSS classes, -it can be created with:

    -
    $ pygmentize -S default -f html > style.css
    -
    -
    -

    where default is the style name.

    -

    More options and tricks and be found in the command line reference.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/rstdirective.html b/doc/_build/html/docs/rstdirective.html deleted file mode 100644 index c1b2788..0000000 --- a/doc/_build/html/docs/rstdirective.html +++ /dev/null @@ -1,113 +0,0 @@ - - - - - - - Using Pygments in ReST documents — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Using Pygments in ReST documents¶

    -

    Many Python people use ReST for documentation their sourcecode, programs, -scripts et cetera. This also means that documentation often includes sourcecode -samples or snippets.

    -

    You can easily enable Pygments support for your ReST texts using a custom -directive – this is also how this documentation displays source code.

    -

    From Pygments 0.9, the directive is shipped in the distribution as -external/rst-directive.py. You can copy and adapt this code to your liking.

    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/styles.html b/doc/_build/html/docs/styles.html deleted file mode 100644 index 7c2078b..0000000 --- a/doc/_build/html/docs/styles.html +++ /dev/null @@ -1,340 +0,0 @@ - - - - - - - Styles — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Styles¶

    -

    Pygments comes with some builtin styles that work for both the HTML and -LaTeX formatter.

    -

    The builtin styles can be looked up with the get_style_by_name function:

    -
    >>> from pygments.styles import get_style_by_name
    ->>> get_style_by_name('colorful')
    -<class 'pygments.styles.colorful.ColorfulStyle'>
    -
    -
    -

    You can pass a instance of a Style class to a formatter as the style -option in form of a string:

    -
    >>> from pygments.styles import get_style_by_name
    ->>> from pygments.formatters import HtmlFormatter
    ->>> HtmlFormatter(style='colorful').style
    -<class 'pygments.styles.colorful.ColorfulStyle'>
    -
    -
    -

    Or you can also import your own style (which must be a subclass of -pygments.style.Style) and pass it to the formatter:

    -
    >>> from yourapp.yourmodule import YourStyle
    ->>> from pygments.formatters import HtmlFormatter
    ->>> HtmlFormatter(style=YourStyle).style
    -<class 'yourapp.yourmodule.YourStyle'>
    -
    -
    -
    -

    Creating Own Styles¶

    -

    So, how to create a style? All you have to do is to subclass Style and -define some styles:

    -
    from pygments.style import Style
    -from pygments.token import Keyword, Name, Comment, String, Error, \
    -     Number, Operator, Generic
    -
    -class YourStyle(Style):
    -    default_style = ""
    -    styles = {
    -        Comment:                'italic #888',
    -        Keyword:                'bold #005',
    -        Name:                   '#f00',
    -        Name.Function:          '#0f0',
    -        Name.Class:             'bold #0f0',
    -        String:                 'bg:#eee #111'
    -    }
    -
    -
    -

    That’s it. There are just a few rules. When you define a style for Name -the style automatically also affects Name.Function and so on. If you -defined 'bold' and you don’t want boldface for a subtoken use 'nobold'.

    -

    (Philosophy: the styles aren’t written in CSS syntax since this way -they can be used for a variety of formatters.)

    -

    default_style is the style inherited by all token types.

    -

    To make the style usable for Pygments, you must

    -
      -
    • either register it as a plugin (see the plugin docs)

    • -
    • or drop it into the styles subpackage of your Pygments distribution one style -class per style, where the file name is the style name and the class name is -StylenameClass. For example, if your style should be called -"mondrian", name the class MondrianStyle, put it into the file -mondrian.py and this file into the pygments.styles subpackage -directory.

    • -
    -
    -
    -

    Style Rules¶

    -

    Here a small overview of all allowed styles:

    -
    -
    bold

    render text as bold

    -
    -
    nobold

    don’t render text as bold (to prevent subtokens being highlighted bold)

    -
    -
    italic

    render text italic

    -
    -
    noitalic

    don’t render text as italic

    -
    -
    underline

    render text underlined

    -
    -
    nounderline

    don’t render text underlined

    -
    -
    bg:

    transparent background

    -
    -
    bg:#000000

    background color (black)

    -
    -
    border:

    no border

    -
    -
    border:#ffffff

    border color (white)

    -
    -
    #ff0000

    text color (red)

    -
    -
    noinherit

    don’t inherit styles from supertoken

    -
    -
    -

    Note that there may not be a space between bg: and the color value -since the style definition string is split at whitespace. -Also, using named colors is not allowed since the supported color names -vary for different formatters.

    -

    Furthermore, not all lexers might support every style.

    -
    -
    -

    Builtin Styles¶

    -

    Pygments ships some builtin styles which are maintained by the Pygments team.

    -

    To get a list of known styles you can use this snippet:

    -
    >>> from pygments.styles import STYLE_MAP
    ->>> STYLE_MAP.keys()
    -['default', 'emacs', 'friendly', 'colorful']
    -
    -
    -
    -
    -

    Getting a list of available styles¶

    -
    -

    New in version 0.6.

    -
    -

    Because it could be that a plugin registered a style, there is -a way to iterate over all styles:

    -
    >>> from pygments.styles import get_all_styles
    ->>> styles = list(get_all_styles())
    -
    -
    -
    -
    -

    Terminal Styles¶

    -
    -

    New in version 2.2.

    -
    -

    Custom styles used with the 256-color terminal formatter can also map colors to -use the 8 default ANSI colors. To do so, use ansigreen, ansibrightred or -any other colors defined in pygments.style.ansicolors. Foreground ANSI -colors will be mapped to the corresponding escape codes 30 to 37 thus respecting any -custom color mapping and themes provided by many terminal emulators. Light -variants are treated as foreground color with and an added bold flag. -bg:ansi<color> will also be respected, except the light variant will be the -same shade as their dark variant.

    -

    See the following example where the color of the string "hello world" is -governed by the escape sequence \x1b[34;01m (Ansi bright blue, Bold, 41 being red -background) instead of an extended foreground & background color.

    -
    >>> from pygments import highlight
    ->>> from pygments.style import Style
    ->>> from pygments.token import Token
    ->>> from pygments.lexers import Python3Lexer
    ->>> from pygments.formatters import Terminal256Formatter
    -
    ->>> class MyStyle(Style):
    -        styles = {
    -            Token.String:     'ansibrightblue bg:ansibrightred',
    -        }
    -
    ->>> code = 'print("Hello World")'
    ->>> result = highlight(code, Python3Lexer(), Terminal256Formatter(style=MyStyle))
    ->>> print(result.encode())
    -b'\x1b[34;41;01m"\x1b[39;49;00m\x1b[34;41;01mHello World\x1b[39;49;00m\x1b[34;41;01m"\x1b[39;49;00m'
    -
    -
    -

    Colors specified using ansi* are converted to a default set of RGB colors -when used with formatters other than the terminal-256 formatter.

    -

    By definition of ANSI, the following colors are considered “light” colors, and -will be rendered by most terminals as bold:

    -
      -
    • “brightblack” (darkgrey), “brightred”, “brightgreen”, “brightyellow”, “brightblue”, -“brightmagenta”, “brightcyan”, “white”

    • -
    -

    The following are considered “dark” colors and will be rendered as non-bold:

    -
      -
    • “black”, “red”, “green”, “yellow”, “blue”, “magenta”, “cyan”, -“gray”

    • -
    -

    Exact behavior might depends on the terminal emulator you are using, and its -settings.

    -
    -

    Changed in version 2.4.

    -
    -

    The definition of the ANSI color names has changed. -New names are easier to understand and align to the colors used in other projects.

    - ---- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

    New names

    Pygments up to 2.3

    ansiblack

    #ansiblack

    ansired

    #ansidarkred

    ansigreen

    #ansidarkgreen

    ansiyellow

    #ansibrown

    ansiblue

    #ansidarkblue

    ansimagenta

    #ansipurple

    ansicyan

    #ansiteal

    ansigray

    #ansilightgray

    ansibrightblack

    #ansidarkgray

    ansibrightred

    #ansired

    ansibrightgreen

    #ansigreen

    ansibrightyellow

    #ansiyellow

    ansibrightblue

    #ansiblue

    ansibrightmagenta

    #ansifuchsia

    ansibrightcyan

    #ansiturquoise

    ansiwhite

    #ansiwhite

    -

    Old ANSI color names are deprecated but will still work.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/tokens.html b/doc/_build/html/docs/tokens.html deleted file mode 100644 index 75a1967..0000000 --- a/doc/_build/html/docs/tokens.html +++ /dev/null @@ -1,434 +0,0 @@ - - - - - - - Builtin Tokens — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Builtin Tokens¶

    -

    In the pygments.token module, there is a special object called Token -that is used to create token types.

    -

    You can create a new token type by accessing an attribute of Token:

    -
    >>> from pygments.token import Token
    ->>> Token.String
    -Token.String
    ->>> Token.String is Token.String
    -True
    -
    -
    -

    Note that tokens are singletons so you can use the is operator for comparing -token types.

    -

    As of Pygments 0.7 you can also use the in operator to perform set tests:

    -
    >>> from pygments.token import Comment
    ->>> Comment.Single in Comment
    -True
    ->>> Comment in Comment.Multi
    -False
    -
    -
    -

    This can be useful in filters and if you write lexers on your -own without using the base lexers.

    -

    You can also split a token type into a hierarchy, and get the parent of it:

    -
    >>> String.split()
    -[Token, Token.Literal, Token.Literal.String]
    ->>> String.parent
    -Token.Literal
    -
    -
    -

    In principle, you can create an unlimited number of token types but nobody can -guarantee that a style would define style rules for a token type. Because of -that, Pygments proposes some global token types defined in the -pygments.token.STANDARD_TYPES dict.

    -

    For some tokens aliases are already defined:

    -
    >>> from pygments.token import String
    ->>> String
    -Token.Literal.String
    -
    -
    -

    Inside the pygments.token module the following aliases are defined:

    - ----- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

    Text

    Token.Text

    for any type of text data

    Whitespace

    Token.Text.Whitespace

    for specially highlighted whitespace

    Error

    Token.Error

    represents lexer errors

    Other

    Token.Other

    special token for data not -matched by a parser (e.g. HTML -markup in PHP code)

    Keyword

    Token.Keyword

    any kind of keywords

    Name

    Token.Name

    variable/function names

    Literal

    Token.Literal

    Any literals

    String

    Token.Literal.String

    string literals

    Number

    Token.Literal.Number

    number literals

    Operator

    Token.Operator

    operators (+, not…)

    Punctuation

    Token.Punctuation

    punctuation ([, (…)

    Comment

    Token.Comment

    any kind of comments

    Generic

    Token.Generic

    generic tokens (have a look at -the explanation below)

    -

    The Whitespace token type is new in Pygments 0.8. It is used only by the -VisibleWhitespaceFilter currently.

    -

    Normally you just create token types using the already defined aliases. For each -of those token aliases, a number of subtypes exists (excluding the special tokens -Token.Text, Token.Error and Token.Other)

    -

    The is_token_subtype() function in the pygments.token module can be used to -test if a token type is a subtype of another (such as Name.Tag and Name). -(This is the same as Name.Tag in Name. The overloaded in operator was newly -introduced in Pygments 0.7, the function still exists for backwards -compatibility.)

    -

    With Pygments 0.7, it’s also possible to convert strings to token types (for example -if you want to supply a token from the command line):

    -
    >>> from pygments.token import String, string_to_tokentype
    ->>> string_to_tokentype("String")
    -Token.Literal.String
    ->>> string_to_tokentype("Token.Literal.String")
    -Token.Literal.String
    ->>> string_to_tokentype(String)
    -Token.Literal.String
    -
    -
    -
    -

    Keyword Tokens¶

    -
    -
    Keyword

    For any kind of keyword (especially if it doesn’t match any of the -subtypes of course).

    -
    -
    Keyword.Constant

    For keywords that are constants (e.g. None in future Python versions).

    -
    -
    Keyword.Declaration

    For keywords used for variable declaration (e.g. var in some programming -languages like JavaScript).

    -
    -
    Keyword.Namespace

    For keywords used for namespace declarations (e.g. import in Python and -Java and package in Java).

    -
    -
    Keyword.Pseudo

    For keywords that aren’t really keywords (e.g. None in old Python -versions).

    -
    -
    Keyword.Reserved

    For reserved keywords.

    -
    -
    Keyword.Type

    For builtin types that can’t be used as identifiers (e.g. int, -char etc. in C).

    -
    -
    -
    -
    -

    Name Tokens¶

    -
    -
    Name

    For any name (variable names, function names, classes).

    -
    -
    Name.Attribute

    For all attributes (e.g. in HTML tags).

    -
    -
    Name.Builtin

    Builtin names; names that are available in the global namespace.

    -
    -
    Name.Builtin.Pseudo

    Builtin names that are implicit (e.g. self in Ruby, this in Java).

    -
    -
    Name.Class

    Class names. Because no lexer can know if a name is a class or a function -or something else this token is meant for class declarations.

    -
    -
    Name.Constant

    Token type for constants. In some languages you can recognise a token by the -way it’s defined (the value after a const keyword for example). In -other languages constants are uppercase by definition (Ruby).

    -
    -
    Name.Decorator

    Token type for decorators. Decorators are syntactic elements in the Python -language. Similar syntax elements exist in C# and Java.

    -
    -
    Name.Entity

    Token type for special entities. (e.g. &nbsp; in HTML).

    -
    -
    Name.Exception

    Token type for exception names (e.g. RuntimeError in Python). Some languages -define exceptions in the function signature (Java). You can highlight -the name of that exception using this token then.

    -
    -
    Name.Function

    Token type for function names.

    -
    -
    Name.Function.Magic

    same as Name.Function but for special function names that have an implicit use -in a language (e.g. __init__ method in Python).

    -
    -
    Name.Label

    Token type for label names (e.g. in languages that support goto).

    -
    -
    Name.Namespace

    Token type for namespaces. (e.g. import paths in Java/Python), names following -the module/namespace keyword in other languages.

    -
    -
    Name.Other

    Other names. Normally unused.

    -
    -
    Name.Tag

    Tag names (in HTML/XML markup or configuration files).

    -
    -
    Name.Variable

    Token type for variables. Some languages have prefixes for variable names -(PHP, Ruby, Perl). You can highlight them using this token.

    -
    -
    Name.Variable.Class

    same as Name.Variable but for class variables (also static variables).

    -
    -
    Name.Variable.Global

    same as Name.Variable but for global variables (used in Ruby, for -example).

    -
    -
    Name.Variable.Instance

    same as Name.Variable but for instance variables.

    -
    -
    Name.Variable.Magic

    same as Name.Variable but for special variable names that have an implicit use -in a language (e.g. __doc__ in Python).

    -
    -
    -
    -
    -

    Literals¶

    -
    -
    Literal

    For any literal (if not further defined).

    -
    -
    Literal.Date

    for date literals (e.g. 42d in Boo).

    -
    -
    String

    For any string literal.

    -
    -
    String.Affix

    Token type for affixes that further specify the type of the string they’re -attached to (e.g. the prefixes r and u8 in r"foo" and u8"foo").

    -
    -
    String.Backtick

    Token type for strings enclosed in backticks.

    -
    -
    String.Char

    Token type for single characters (e.g. Java, C).

    -
    -
    String.Delimiter

    Token type for delimiting identifiers in “heredoc”, raw and other similar -strings (e.g. the word END in Perl code print <<'END';).

    -
    -
    String.Doc

    Token type for documentation strings (for example Python).

    -
    -
    String.Double

    Double quoted strings.

    -
    -
    String.Escape

    Token type for escape sequences in strings.

    -
    -
    String.Heredoc

    Token type for “heredoc” strings (e.g. in Ruby or Perl).

    -
    -
    String.Interpol

    Token type for interpolated parts in strings (e.g. #{foo} in Ruby).

    -
    -
    String.Other

    Token type for any other strings (for example %q{foo} string constructs -in Ruby).

    -
    -
    String.Regex

    Token type for regular expression literals (e.g. /foo/ in JavaScript).

    -
    -
    String.Single

    Token type for single quoted strings.

    -
    -
    String.Symbol

    Token type for symbols (e.g. :foo in LISP or Ruby).

    -
    -
    Number

    Token type for any number literal.

    -
    -
    Number.Bin

    Token type for binary literals (e.g. 0b101010).

    -
    -
    Number.Float

    Token type for float literals (e.g. 42.0).

    -
    -
    Number.Hex

    Token type for hexadecimal number literals (e.g. 0xdeadbeef).

    -
    -
    Number.Integer

    Token type for integer literals (e.g. 42).

    -
    -
    Number.Integer.Long

    Token type for long integer literals (e.g. 42L in Python).

    -
    -
    Number.Oct

    Token type for octal literals.

    -
    -
    -
    -
    -

    Operators¶

    -
    -
    Operator

    For any punctuation operator (e.g. +, -).

    -
    -
    Operator.Word

    For any operator that is a word (e.g. not).

    -
    -
    -
    -
    -

    Punctuation¶

    -
    -

    New in version 0.7.

    -
    -
    -
    Punctuation

    For any punctuation which is not an operator (e.g. [, (…)

    -
    -
    -
    -
    -

    Comments¶

    -
    -
    Comment

    Token type for any comment.

    -
    -
    Comment.Hashbang
    -
    Token type for hashbang comments (i.e. first lines of files that start with

    #!).

    -
    -
    -
    -
    Comment.Multiline

    Token type for multiline comments.

    -
    -
    Comment.Preproc

    Token type for preprocessor comments (also <?php/<% constructs).

    -
    -
    Comment.Single

    Token type for comments that end at the end of a line (e.g. # foo).

    -
    -
    Comment.Special

    Special data in comments. For example code tags, author and license -information, etc.

    -
    -
    -
    -
    -

    Generic Tokens¶

    -

    Generic tokens are for special lexers like the DiffLexer that doesn’t really -highlight a programming language but a patch file.

    -
    -
    Generic

    A generic, unstyled token. Normally you don’t use this token type.

    -
    -
    Generic.Deleted

    Marks the token value as deleted.

    -
    -
    Generic.Emph

    Marks the token value as emphasized.

    -
    -
    Generic.Error

    Marks the token value as an error message.

    -
    -
    Generic.Heading

    Marks the token value as headline.

    -
    -
    Generic.Inserted

    Marks the token value as inserted.

    -
    -
    Generic.Output

    Marks the token value as program output (e.g. for python cli lexer).

    -
    -
    Generic.Prompt

    Marks the token value as command prompt (e.g. bash lexer).

    -
    -
    Generic.Strong

    Marks the token value as bold (e.g. for rst lexer).

    -
    -
    Generic.Subheading

    Marks the token value as subheadline.

    -
    -
    Generic.Traceback

    Marks the token value as a part of an error traceback.

    -
    -
    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/docs/unicode.html b/doc/_build/html/docs/unicode.html deleted file mode 100644 index 718cb26..0000000 --- a/doc/_build/html/docs/unicode.html +++ /dev/null @@ -1,149 +0,0 @@ - - - - - - - Unicode and Encodings — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Unicode and Encodings¶

    -

    Since Pygments 0.6, all lexers use unicode strings internally. Because of that -you might encounter the occasional UnicodeDecodeError if you pass strings -with the wrong encoding.

    -

    Per default all lexers have their input encoding set to guess. This means -that the following encodings are tried:

    -
      -
    • UTF-8 (including BOM handling)

    • -
    • The locale encoding (i.e. the result of locale.getpreferredencoding())

    • -
    • As a last resort, latin1

    • -
    -

    If you pass a lexer a byte string object (not unicode), it tries to decode the -data using this encoding.

    -

    You can override the encoding using the encoding or inencoding lexer -options. If you have the chardet library installed and set the encoding to -chardet if will analyse the text and use the encoding it thinks is the -right one automatically:

    -
    from pygments.lexers import PythonLexer
    -lexer = PythonLexer(encoding='chardet')
    -
    -
    -

    The best way is to pass Pygments unicode objects. In that case you can’t get -unexpected output.

    -

    The formatters now send Unicode objects to the stream if you don’t set the -output encoding. You can do so by passing the formatters an encoding option:

    -
    from pygments.formatters import HtmlFormatter
    -f = HtmlFormatter(encoding='utf-8')
    -
    -
    -

    You will have to set this option if you have non-ASCII characters in the -source and the output stream does not accept Unicode written to it! -This is the case for all regular files and for terminals.

    -

    Note: The Terminal formatter tries to be smart: if its output stream has an -encoding attribute, and you haven’t set the option, it will encode any -Unicode string with this encoding before writing it. This is the case for -sys.stdout, for example. The other formatters don’t have that behavior.

    -

    Another note: If you call Pygments via the command line (pygmentize), -encoding is handled differently, see the command line docs.

    -
    -

    New in version 0.7: The formatters now also accept an outencoding option which will override -the encoding option if given. This makes it possible to use a single -options dict with lexers and formatters, and still have different input and -output encodings.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/download.html b/doc/_build/html/download.html deleted file mode 100644 index b4f8f73..0000000 --- a/doc/_build/html/download.html +++ /dev/null @@ -1,157 +0,0 @@ - - - - - - - Download and installation — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Download and installation¶

    -

    The current release is version 2.4.0.

    -
    -

    Packaged versions¶

    -

    You can download it from the Python Package Index. For installation of packages from -PyPI, we recommend Pip, which works on all -major platforms.

    -

    Under Linux, most distributions include a package for Pygments, usually called -pygments or python-pygments. You can install it with the package -manager as usual.

    -
    -
    -

    Development sources¶

    -

    We’re using the Mercurial version control -system. You can get the development source using this command:

    -
    hg clone http://bitbucket.org/birkenfeld/pygments-main pygments
    -
    -
    -

    Development takes place at Bitbucket, you can browse the source -online here.

    -

    The latest changes in the development source code are listed in the changelog.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/faq.html b/doc/_build/html/faq.html deleted file mode 100644 index de4cd5f..0000000 --- a/doc/_build/html/faq.html +++ /dev/null @@ -1,252 +0,0 @@ - - - - - - - Pygments FAQ — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Pygments FAQ¶

    -
    -

    What is Pygments?¶

    -

    Pygments is a syntax highlighting engine written in Python. That means, it will -take source code (or other markup) in a supported language and output a -processed version (in different formats) containing syntax highlighting markup.

    -

    Its features include:

    -
      -
    • a wide range of common languages and markup formats is supported

    • -
    • new languages and formats are added easily

    • -
    • a number of output formats is available, including:

      -
        -
      • HTML

      • -
      • ANSI sequences (console output)

      • -
      • LaTeX

      • -
      • RTF

      • -
      -
    • -
    • it is usable as a command-line tool and as a library

    • -
    • parsing and formatting is fast

    • -
    -

    Pygments is licensed under the BSD license.

    -
    -
    -

    Where does the name Pygments come from?¶

    -

    Py of course stands for Python, while pigments are used for coloring paint, -and in this case, source code!

    -
    -
    -

    What are the system requirements?¶

    -

    Pygments only needs a standard Python install, version 2.7 or higher or version -3.5 or higher for Python 3. No additional libraries are needed.

    -
    -
    -

    How can I use Pygments?¶

    -

    Pygments is usable as a command-line tool as well as a library.

    -

    From the command-line, usage looks like this (assuming the pygmentize script is -properly installed):

    -
    pygmentize -f html /path/to/file.py
    -
    -
    -

    This will print a HTML-highlighted version of /path/to/file.py to standard output.

    -

    For a complete help, please run pygmentize -h.

    -

    Usage as a library is thoroughly demonstrated in the Documentation section.

    -
    -
    -

    How do I make a new style?¶

    -

    Please see the documentation on styles.

    -
    -
    -

    How can I report a bug or suggest a feature?¶

    -

    Please report bugs and feature wishes in the tracker at Bitbucket.

    -

    You can also e-mail the author or use IRC, see the contact details.

    -
    -
    -

    I want this support for this language!¶

    -

    Instead of waiting for others to include language support, why not write it -yourself? All you have to know is outlined in the docs.

    -
    -
    -

    Can I use Pygments for programming language processing?¶

    -

    The Pygments lexing machinery is quite powerful can be used to build lexers for -basically all languages. However, parsing them is not possible, though some -lexers go some steps in this direction in order to e.g. highlight function names -differently.

    -

    Also, error reporting is not the scope of Pygments. It focuses on correctly -highlighting syntactically valid documents, not finding and compensating errors.

    -
    -
    -

    Who uses Pygments?¶

    -

    This is an (incomplete) list of projects and sites known to use the Pygments highlighter.

    -
      -
    • Wikipedia

    • -
    • BitBucket, a Mercurial and Git hosting site

    • -
    • The Sphinx documentation builder, for embedded source examples

    • -
    • rst2pdf, a reStructuredText to PDF converter

    • -
    • Codecov, a code coverage CI service

    • -
    • Trac, the universal project management tool

    • -
    • AsciiDoc, a text-based documentation generator

    • -
    • ActiveState Code, the Python Cookbook successor

    • -
    • ViewVC, a web-based version control repository browser

    • -
    • BzrFruit, a Bazaar branch viewer

    • -
    • QBzr, a cross-platform Qt-based GUI front end for Bazaar

    • -
    • Review Board, a collaborative code reviewing tool

    • -
    • Diamanda, a Django powered wiki system with support for Pygments

    • -
    • Progopedia (English), -an encyclopedia of programming languages

    • -
    • Bruce, a reStructuredText presentation tool

    • -
    • PIDA, a universal IDE written in Python

    • -
    • BPython, a curses-based intelligent Python shell

    • -
    • PuDB, a console Python debugger

    • -
    • XWiki, a wiki-based development framework in Java, using Jython

    • -
    • roux, a script for running R scripts -and creating beautiful output including graphs

    • -
    • hurl, a web service for making HTTP requests

    • -
    • wxHTMLPygmentizer is -a GUI utility, used to make code-colorization easier

    • -
    • Postmarkup, a BBCode to XHTML generator

    • -
    • WpPygments, and WPygments, highlighter plugins for WordPress

    • -
    • Siafoo, a tool for sharing and storing useful code and programming experience

    • -
    • D source, a community for the D programming language

    • -
    • dpaste.com, another Django pastebin

    • -
    • Django snippets, a pastebin for Django code

    • -
    • Fayaa, a Chinese pastebin

    • -
    • Incollo.com, a free collaborative debugging tool

    • -
    • PasteBox, a pastebin focused on privacy

    • -
    • hilite.me, a site to highlight code snippets

    • -
    • patx.me, a pastebin

    • -
    • Fluidic, an experiment in -integrating shells with a GUI

    • -
    • pygments.rb, a pygments wrapper for Ruby

    • -
    • Clygments, a pygments wrapper for -Clojure

    • -
    • PHPygments, a pygments wrapper for PHP

    • -
    -

    If you have a project or web site using Pygments, drop me a line, and I’ll add a -link here.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/genindex.html b/doc/_build/html/genindex.html deleted file mode 100644 index 9f66ea7..0000000 --- a/doc/_build/html/genindex.html +++ /dev/null @@ -1,1656 +0,0 @@ - - - - - - - - Index — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - - -

    Index

    - -
    - A - | B - | C - | D - | E - | F - | G - | H - | I - | J - | K - | L - | M - | N - | O - | P - | Q - | R - | S - | T - | U - | V - | W - | X - | Y - | Z - -
    -

    A

    - - - -
    - -

    B

    - - - -
    - -

    C

    - - - -
    - -

    D

    - - - -
    - -

    E

    - - - -
    - -

    F

    - - - -
    - -

    G

    - - - -
    - -

    H

    - - - -
    - -

    I

    - - - -
    - -

    J

    - - - -
    - -

    K

    - - - -
    - -

    L

    - - - -
    - -

    M

    - - - -
    - -

    N

    - - - -
    - -

    O

    - - - -
    - -

    P

    - - - -
    - -

    Q

    - - - -
    - -

    R

    - - - -
    - -

    S

    - - - -
    - -

    T

    - - - -
    - -

    U

    - - - -
    - -

    V

    - - - -
    - -

    W

    - - - -
    - -

    X

    - - - -
    - -

    Y

    - - - -
    - -

    Z

    - - -
    - - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/index.html b/doc/_build/html/index.html deleted file mode 100644 index b4ff258..0000000 --- a/doc/_build/html/index.html +++ /dev/null @@ -1,160 +0,0 @@ - - - - - - - Welcome! — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Welcome!¶

    -

    This is the home of Pygments. It is a generic syntax highlighter suitable for -use in code hosting, forums, wikis or other applications that need to prettify -source code. Highlights are:

    -
      -
    • a wide range of over 300 languages and other text formats is supported

    • -
    • special attention is paid to details that increase highlighting quality

    • -
    • support for new languages and formats are added easily; most languages use a -simple regex-based lexing mechanism

    • -
    • a number of output formats is available, among them HTML, RTF, LaTeX and ANSI -sequences

    • -
    • it is usable as a command-line tool and as a library

    • -
    • … and it highlights even Perl 6!

    • -
    -

    Read more in the FAQ list or the documentation, -or download the latest release.

    -
    -

    Contribute¶

    -

    Like every open-source project, we are always looking for volunteers to help us -with programming. Python knowledge is required, but don’t fear: Python is a very -clear and easy to learn language.

    -

    Development takes place on Bitbucket, where the Mercurial -repository, tickets and pull requests can be viewed.

    -

    Our primary communication instrument is the IRC channel #pocoo on the -Freenode network. To join it, let your IRC client connect to -irc.freenode.net and do /join #pocoo.

    -

    If you found a bug, just open a ticket in the Bitbucket tracker. Be sure to log -in to be notified when the issue is fixed – development is not fast-paced as -the library is quite stable. You can also send an e-mail to the developers, see -below.

    -
    -
    -

    The authors¶

    -

    Pygments is maintained by Georg Brandl, e-mail address georg@python.org.

    -

    Many lexers and fixes have been contributed by Armin Ronacher, the rest of -the Pocoo team and Tim Hatch.

    -
    -
    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/languages.html b/doc/_build/html/languages.html deleted file mode 100644 index 248e850..0000000 --- a/doc/_build/html/languages.html +++ /dev/null @@ -1,295 +0,0 @@ - - - - - - - Supported languages — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -
    -

    Supported languages¶

    -

    Pygments supports an ever-growing range of languages. Watch this space…

    -
    -

    Programming languages¶

    -
      -
    • ActionScript

    • -
    • Ada

    • -
    • ANTLR

    • -
    • AppleScript

    • -
    • Assembly (various)

    • -
    • Asymptote

    • -
    • Augeas

    • -
    • Awk

    • -
    • BBC Basic

    • -
    • Befunge

    • -
    • Boa

    • -
    • Boo

    • -
    • BrainFuck

    • -
    • C, C++

    • -
    • C#

    • -
    • Charm++ CI

    • -
    • Clojure

    • -
    • CoffeeScript

    • -
    • ColdFusion

    • -
    • Common Lisp

    • -
    • Coq

    • -
    • Cryptol (incl. Literate Cryptol)

    • -
    • Crystal

    • -
    • Cython

    • -
    • D

    • -
    • Dart

    • -
    • DCPU-16

    • -
    • Delphi

    • -
    • Dylan

    • -
    • Elm

    • -
    • Erlang

    • -
    • Ezhil Ezhil - A Tamil programming language

    • -
    • Factor

    • -
    • Fancy

    • -
    • Fennel

    • -
    • FloScript

    • -
    • Fortran

    • -
    • FreeFEM++

    • -
    • F#

    • -
    • GAP

    • -
    • Gherkin (Cucumber)

    • -
    • GL shaders

    • -
    • Groovy

    • -
    • Haskell (incl. Literate Haskell)

    • -
    • HLSL

    • -
    • HSpec

    • -
    • IDL

    • -
    • Io

    • -
    • Java

    • -
    • JavaScript

    • -
    • Lasso

    • -
    • LLVM

    • -
    • Logtalk

    • -
    • Lua

    • -
    • Matlab

    • -
    • MiniD

    • -
    • Modelica

    • -
    • Modula-2

    • -
    • MuPad

    • -
    • Nemerle

    • -
    • Nimrod

    • -
    • Objective-C

    • -
    • Objective-J

    • -
    • Octave

    • -
    • OCaml

    • -
    • PHP

    • -
    • Perl 5 and Perl 6

    • -
    • Pony

    • -
    • PovRay

    • -
    • PostScript

    • -
    • PowerShell

    • -
    • Prolog

    • -
    • Python 2.x and 3.x (incl. console sessions and tracebacks)

    • -
    • REBOL

    • -
    • Red

    • -
    • Redcode

    • -
    • Ruby (incl. irb sessions)

    • -
    • Rust

    • -
    • S, S-Plus, R

    • -
    • Scala

    • -
    • Scheme

    • -
    • Scilab

    • -
    • SGF

    • -
    • Slash

    • -
    • Slurm

    • -
    • Smalltalk

    • -
    • SNOBOL

    • -
    • Tcl

    • -
    • Tera Term language

    • -
    • TOML

    • -
    • Vala

    • -
    • Verilog

    • -
    • VHDL

    • -
    • Visual Basic.NET

    • -
    • Visual FoxPro

    • -
    • XQuery

    • -
    • Zephir

    • -
    -
    -
    -

    Template languages¶

    -
      -
    • Cheetah templates

    • -
    • Django / Jinja templates

    • -
    • ERB (Ruby templating)

    • -
    • Genshi (the Trac template language)

    • -
    • JSP (Java Server Pages)

    • -
    • Myghty (the HTML::Mason based framework)

    • -
    • Mako (the Myghty successor)

    • -
    • Smarty templates (PHP templating)

    • -
    • Tea

    • -
    -
    -
    -

    Other markup¶

    -
      -
    • Apache config files

    • -
    • Bash shell scripts

    • -
    • BBCode

    • -
    • CMake

    • -
    • CSS

    • -
    • Debian control files

    • -
    • Diff files

    • -
    • DTD

    • -
    • Gettext catalogs

    • -
    • Gnuplot script

    • -
    • Groff markup

    • -
    • HTML

    • -
    • HTTP sessions

    • -
    • INI-style config files

    • -
    • IRC logs (irssi style)

    • -
    • Lighttpd config files

    • -
    • Makefiles

    • -
    • MoinMoin/Trac Wiki markup

    • -
    • MySQL

    • -
    • Nginx config files

    • -
    • POV-Ray scenes

    • -
    • Ragel

    • -
    • Redcode

    • -
    • ReST

    • -
    • Robot Framework

    • -
    • RPM spec files

    • -
    • SQL, also MySQL, SQLite

    • -
    • Squid configuration

    • -
    • TeX

    • -
    • tcsh

    • -
    • Vim Script

    • -
    • Windows batch files

    • -
    • XML

    • -
    • XSLT

    • -
    • YAML

    • -
    -
    -
    -

    … that’s all?¶

    -

    Well, why not write your own? Contributing to Pygments is easy and fun. Take a look at the -docs on lexer development and -contact details.

    -

    Note: the languages listed here are supported in the development version. The -latest release may lack a few of them.

    -
    -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/objects.inv b/doc/_build/html/objects.inv deleted file mode 100644 index aede0a3560ed114ec566b65374c69286a74484be..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4793 zcmV;q5=QMKAX9K?X>NERX>N99Zgg*Qc_4OWa&u{KZXhxWBOp+6Z)#;@bUGkVd1q~9 zZgg`BBOq2~a&u{KZaN?`E;KGM3L_v?Xk{RBWo=<;Ze(S0Aa78b#rNMXCQiPX<{x4c-pOD+o!hyLe?Lzy6kHyLFLeP>eP#Qt(s@G7hl4+%mhCRH@<1zg$BR<9kNv2fheli& z&2!2Pdz9B&E4@%_CO>LwPPg&=%gou;WrB25TqamBU2#dpZ~yuX6T(yr-LOOnr7x8m zoB51%naW4}l(Rw_oR0i;4E}?~qmod;U0Q^&x&xXo8_O=IxHx3em0mRG z5Qi1BrOGcS6wJODyBF*wXDfpt`S3u3W1#72g7b%;FU$Q)=fj0L>MhCby>v+g!U;w5 zQ=V2;0Dc@+cGvZfO9b0pbn?X|DQL)~Q+}WXohV|-d4c5oGYVYoF2j4nUfiuVM69vY z^6Voy{*;0ZgU@W%U!Ol*-riTgG_uwzW5%!?LaMk^>}zm1E-djuY9M*e5E`5dhKt_VwKl`Cf=?=q4mR0cM={F2zsw6H=$TxIsJdH4Q$t7Rf*c^mqjUXqZbb&EX zMtr$o&p?ENS7Du&j1;iexNHoUu1y8E-U7&Q1g!!e5Q$nUaibZFoe6!=kc?ZU@-ekE znIJ(^`V;HRW6k7sUN9IYd&%k=oXSB>5`<}ABZA5WUo(X3UQUKOZ@5$#7f4c4U1c1{ z5Oj|NG&w~9t`n4e3eCu^{(%{s12gx90;F4Bpa4%)K$C#hz8A|Xcy{gxu7Og^ncoAt zQqr2io4Qf%i-g+%JrIn-E2u&3ij(^Wnpbkg3;3O{)staOU3)iizfgX-`fTVx%(A-QG#JEa$Zkn``Lb? z3X~J%DOtG6eQK#~pxI6DjirdYR@9RuZh=l1)yN#~Ly(gsY}}Q$@&95xX;F{9+tpZ2 zdtjxR($Gp4qA{8IW}7i!!(`$bN+>`|QR)n;hG!?2WLg0`Uve^r3a4ChsF;ckU&8|& ze<p$qR=74O0x>mN!6X7OqbRlSRT(ua45F!POa>xz zBM|CTs_l{r3}R7gzQTmy=@!@u%l87&FBH^d?7x%P?m&1EId}jE-r`vXZ|gX%@9PB` zcDF@D?_iXZ>#zZOAmAfFoR(Y*V3XP1fv7Ctwu9W6=R2-ZIq($;hX|V<5NXMv7Zqld zWg77WzXOnA$r_Gxf{fUzks28j5*6Ql|!TD&ypi9 z8D34U{Wr|~ie^@6u>B|}H(>+yKw4EHJn(Yof!_cMh!aP|9_<1~YdiielSVg@Z>M*?jA z(7Tv!j>hE}c#bF(xdrBgLer|E`b8>>8*KR&M21V~L$EW{s5jCVQ@~MmiHV)MB+0%m zq0giPfoBHif&-AD*;lo7wI!S*>H zMZ;Xh;V|Xzvg^oEi@UCs)T~`o37p|tJsB3z(Ow-P(R-I+1rBl-w@8SoS%yR0=b9TF z;;ULCV|2n@V~JXZPn(X^OB!{sUecf@ph?1KNlJ1RV z)st&d3+R{$kHG^<=p3$+?fm2wcq~LcxrpFD0)sy?xB5Pyg+mwc`>;!pdCkCu9%kaHcYE`SM6%4pf30UQR3Y> z>mT-_Ly$3B^6>n{YUpk4g!Yi$r)bE0Hci3xj}uO+8l5pS;Rc$+rFHen4Ao#fVUT<2 zhX;N}JJW#{tf~ctws6jY|APlDXl{T*nz=$f&53ey4=hzbz=nU2FF=!YLy=vdM+8aK zYQ-3)O?LttQ;mmQQ!Q>abi(2Z=*wXjk7}%iKIJ>Xe1(okJz-3oOyMbf(^sNTU5f$@ ziR0{?Q|LlL7W*0(v_^3F=)s_N`Ne&so(-RHfPf9W2is}M&^PAo7qD@Xu)Tl|T?~4m zel*ZQ$|nF+UT~OOB@{+&8k`z;yABks)MgLY->Wsk`WI>{E;0HIyau1mj zI9{B(TNy%~fu|vQ`Lksb*f@x`ah@H8ahld0Me{v6~h2Z=s?dPf41M?yEZ7dJcNeuKqAYp2Ur^9( zFdnpslo`X7M3EjdOE(OKNHY!(54=yg63%&WSGrf%6s%356PaCrn5);q`@K2<88wB$ z`QU2kXv8$^K$pfmU{VVmb{3+F{)UN9ulE7*Tc{J#A}SkR?N|GPwiP8}GJ?~R2k2x3 z$t$hkGi0NjJcJF@1B)yh9k?Im5u4kXka0ct8>5zM)nt>He6xngXfnW#b;q#MWH$fQ3KbakvFE8T+UYbgbvmf^JI5jp|ArkeU?Lc!KM0GYX+6ujW5 z!x+q?&sI-{(h7}V9QzdxGd1@$sP2OT?{9mB=>dT)hOc0*?IHTW>}?6HE58EGo8=yd zxdx9R?g(>QFp>1Zz~;O_8TY`ru9hs%p)(j>q3{JEV0u9GRS*IUpYi-6KURTL+*cG3 z7h*3b!<=HwlQ9!@$)Sj?MEJjz?q0T)aNF$&6$Hdx3gH28V&X5RpW(&{;$mFFJ0YEx z%*q;UnEW4enFe}iQ*saY+=Qo(QIK~3fi zyo7oOfF>C;p%#M(Km<4!;ttRc3GW$kS~7n~d>{@+A{k#3Y@d5e>y6rjL*Cp(`gCh3hyzlICm&eDY%o_}#{7{lcu;-nz^0 z4dN{>ro_yi4r)KJszg{1deq>D4g+4{Gy-n8^8>!{R)kE`@nhOUXl825G}@aZr3aT% z(dY`B*ozFW$A!h63|}K7s9j9JW1*r#_zo1f3mw6aOEop4YosS(iDv=t)G^ft5tt2m zXwvBu(6m&T)>oAKRXoA%FF!qlBRbMsJ(<((!oPnR<%J&$2fY#eM1^gvO{H;7X}B*Iv+{dT`!Qq|T3u{vrZXi3 z&l$WQ?G=Tj{#Vleg1Kc8ti^%D698k%Ix_y?7R>H&1EmpxE!nKaNR-LF94 zC#SC{q4BuKg8GT$9wPX9qkcB5k31Mj{ZM)+t^H)1NP$-lkEA;)bHSEWn?54@NJEp{ zDgER|PwFSuJ*kJ%>M{Kk*W+ESpQt+WdMLt2SU<6UYY}bJmU3rpjYQn* zY@!N-q%2gfEI2qNQyTHsi&jq(^%La)xi@sl1oSaNN0~6_OmM5|YQ;0;5{s`WWNx8p zyRWhDxj7>I(s=EgZhSu__%X-3#LyAaFA|1oB+n4z^rM{IXVB(A3-|;6o~@1$Hb8`4 za(EOtG5N!NVVVpZswGDZcxd(H3A(7j2_Tgm{Y(V zz&zOf`U}{+(~{?3e*qd+8eXaPV)E?1F0^gzUcC2E`mhk(dp4u!@M5kb<73Oooyf!P zD)S5H z^JQb-NV&|}t`jAPldd`+!rAV}!A$4ZU{SKcsu5x^Yr{1d&hA@XV@svYxi%h;`Wvr3 zxaE@E$41m=C6xv9GdSN84*x{P!SvyH3#fAczVLH-JRZe<$xkZt!Ni|=FJ1huXx4^& z#fAHwvyEfc-ozQ|?2HKgu3bV6_NvhXwUvW-)+y8c1*wab_@h;X;m-f$$U7&!?@M>$ z8@TyvWb4#$L;Xr=F54adiI9+e&h*Djbxa}mN8|TGJ)#p^R`|Y>cL41_5%P!e9U%u4 zmjhST;;M0;XH5Ft)*Zhn_ZiF|>^STd#dlI)9WUH}7t3!?csGW_Nhd(_KISY|+r2j-vEt-PMa~Im<5i@6nD|PNpHj782^k>7fwXsy6$HVcj z2)Os}GGxN+u?-B(vHA&yV}xQ+UcKvmDF>VE)Kb;b+Ek^zQvtf0=w(p$qno5 z^ppG8VAQyR=d6X1sjV!uit=->+vBan?MXiD*V64e|Gj=I{bI1MweeWgjk`0vuzeXw zEE_BO^Hk=3z9~vK!*5pwlgF}mxSDrIyR>n;S7$}^a2Wy TVm#Km7yq9*zpnltrh1E diff --git a/doc/_build/html/py-modindex.html b/doc/_build/html/py-modindex.html deleted file mode 100644 index e0979e0..0000000 --- a/doc/_build/html/py-modindex.html +++ /dev/null @@ -1,801 +0,0 @@ - - - - - - - Python Module Index — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - - -

    Python Module Index

    - -
    - p -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
     
    - p
    - pygments -
    - pygments -
        - pygments.formatter -
        - pygments.formatters -
        - pygments.lexer -
        - pygments.lexers -
        - pygments.lexers.actionscript -
        - pygments.lexers.algebra -
        - pygments.lexers.ambient -
        - pygments.lexers.ampl -
        - pygments.lexers.apl -
        - pygments.lexers.archetype -
        - pygments.lexers.asm -
        - pygments.lexers.automation -
        - pygments.lexers.basic -
        - pygments.lexers.bibtex -
        - pygments.lexers.boa -
        - pygments.lexers.business -
        - pygments.lexers.c_cpp -
        - pygments.lexers.c_like -
        - pygments.lexers.capnproto -
        - pygments.lexers.chapel -
        - pygments.lexers.clean -
        - pygments.lexers.configs -
        - pygments.lexers.console -
        - pygments.lexers.crystal -
        - pygments.lexers.csound -
        - pygments.lexers.css -
        - pygments.lexers.d -
        - pygments.lexers.dalvik -
        - pygments.lexers.data -
        - pygments.lexers.diff -
        - pygments.lexers.dotnet -
        - pygments.lexers.dsls -
        - pygments.lexers.dylan -
        - pygments.lexers.ecl -
        - pygments.lexers.eiffel -
        - pygments.lexers.elm -
        - pygments.lexers.erlang -
        - pygments.lexers.esoteric -
        - pygments.lexers.ezhil -
        - pygments.lexers.factor -
        - pygments.lexers.fantom -
        - pygments.lexers.felix -
        - pygments.lexers.floscript -
        - pygments.lexers.forth -
        - pygments.lexers.fortran -
        - pygments.lexers.foxpro -
        - pygments.lexers.freefem -
        - pygments.lexers.go -
        - pygments.lexers.grammar_notation -
        - pygments.lexers.graph -
        - pygments.lexers.graphics -
        - pygments.lexers.haskell -
        - pygments.lexers.haxe -
        - pygments.lexers.hdl -
        - pygments.lexers.hexdump -
        - pygments.lexers.html -
        - pygments.lexers.idl -
        - pygments.lexers.igor -
        - pygments.lexers.inferno -
        - pygments.lexers.installers -
        - pygments.lexers.int_fiction -
        - pygments.lexers.iolang -
        - pygments.lexers.j -
        - pygments.lexers.javascript -
        - pygments.lexers.julia -
        - pygments.lexers.jvm -
        - pygments.lexers.lisp -
        - pygments.lexers.make -
        - pygments.lexers.markup -
        - pygments.lexers.matlab -
        - pygments.lexers.ml -
        - pygments.lexers.modeling -
        - pygments.lexers.modula2 -
        - pygments.lexers.monte -
        - pygments.lexers.ncl -
        - pygments.lexers.nimrod -
        - pygments.lexers.nit -
        - pygments.lexers.nix -
        - pygments.lexers.oberon -
        - pygments.lexers.objective -
        - pygments.lexers.ooc -
        - pygments.lexers.parasail -
        - pygments.lexers.parsers -
        - pygments.lexers.pascal -
        - pygments.lexers.pawn -
        - pygments.lexers.perl -
        - pygments.lexers.php -
        - pygments.lexers.pony -
        - pygments.lexers.praat -
        - pygments.lexers.prolog -
        - pygments.lexers.python -
        - pygments.lexers.qvt -
        - pygments.lexers.r -
        - pygments.lexers.rdf -
        - pygments.lexers.rebol -
        - pygments.lexers.resource -
        - pygments.lexers.rnc -
        - pygments.lexers.roboconf -
        - pygments.lexers.robotframework -
        - pygments.lexers.ruby -
        - pygments.lexers.rust -
        - pygments.lexers.sas -
        - pygments.lexers.scripting -
        - pygments.lexers.sgf -
        - pygments.lexers.shell -
        - pygments.lexers.slash -
        - pygments.lexers.smalltalk -
        - pygments.lexers.smv -
        - pygments.lexers.snobol -
        - pygments.lexers.special -
        - pygments.lexers.sql -
        - pygments.lexers.stata -
        - pygments.lexers.supercollider -
        - pygments.lexers.tcl -
        - pygments.lexers.templates -
        - pygments.lexers.teraterm -
        - pygments.lexers.testing -
        - pygments.lexers.textedit -
        - pygments.lexers.textfmts -
        - pygments.lexers.theorem -
        - pygments.lexers.trafficscript -
        - pygments.lexers.typoscript -
        - pygments.lexers.unicon -
        - pygments.lexers.urbi -
        - pygments.lexers.varnish -
        - pygments.lexers.verification -
        - pygments.lexers.webmisc -
        - pygments.lexers.whiley -
        - pygments.lexers.x10 -
        - pygments.lexers.xorg -
        - pygments.styles -
        - pygments.token -
        - pygments.util -
    - - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/search.html b/doc/_build/html/search.html deleted file mode 100644 index b765255..0000000 --- a/doc/_build/html/search.html +++ /dev/null @@ -1,126 +0,0 @@ - - - - - - - Search — Pygments 2.4.0 documentation - - - - - - - - - - - - - - - - - - - - - -
    - - - - -
    -
    -
    -
    - -

    Search

    -
    - -

    - Please activate JavaScript to enable the search - functionality. -

    -
    -

    - From here you can search these documents. Enter your search - words into the box below and click "search". Note that the search - function will automatically search for all of the words. Pages - containing fewer words won't appear in the result list. -

    -
    - - - -
    - -
    - -
    - -
    -
    -
    -
    -
    - -
    - - - \ No newline at end of file diff --git a/doc/_build/html/searchindex.js b/doc/_build/html/searchindex.js deleted file mode 100644 index 79030d6..0000000 --- a/doc/_build/html/searchindex.js +++ /dev/null @@ -1 +0,0 @@ -Search.setIndex({docnames:["docs/api","docs/authors","docs/changelog","docs/cmdline","docs/filterdevelopment","docs/filters","docs/formatterdevelopment","docs/formatters","docs/index","docs/integrate","docs/java","docs/lexerdevelopment","docs/lexers","docs/moinmoin","docs/plugins","docs/quickstart","docs/rstdirective","docs/styles","docs/tokens","docs/unicode","download","faq","index","languages"],envversion:{"sphinx.domains.c":1,"sphinx.domains.changeset":1,"sphinx.domains.cpp":1,"sphinx.domains.javascript":1,"sphinx.domains.math":2,"sphinx.domains.python":1,"sphinx.domains.rst":1,"sphinx.domains.std":1,"sphinx.ext.intersphinx":1,sphinx:56},filenames:["docs/api.rst","docs/authors.rst","docs/changelog.rst","docs/cmdline.rst","docs/filterdevelopment.rst","docs/filters.rst","docs/formatterdevelopment.rst","docs/formatters.rst","docs/index.rst","docs/integrate.rst","docs/java.rst","docs/lexerdevelopment.rst","docs/lexers.rst","docs/moinmoin.rst","docs/plugins.rst","docs/quickstart.rst","docs/rstdirective.rst","docs/styles.rst","docs/tokens.rst","docs/unicode.rst","download.rst","faq.rst","index.rst","languages.rst"],objects:{"":{BBCodeFormatter:[7,0,1,""],BmpImageFormatter:[7,0,1,""],CodeTagFilter:[5,0,1,""],GifImageFormatter:[7,0,1,""],GobbleFilter:[5,0,1,""],HtmlFormatter:[7,0,1,""],IRCFormatter:[7,0,1,""],ImageFormatter:[7,0,1,""],JpgImageFormatter:[7,0,1,""],KeywordCaseFilter:[5,0,1,""],LatexFormatter:[7,0,1,""],NameHighlightFilter:[5,0,1,""],NullFormatter:[7,0,1,""],RaiseOnErrorTokenFilter:[5,0,1,""],RawTokenFormatter:[7,0,1,""],RtfFormatter:[7,0,1,""],SvgFormatter:[7,0,1,""],Terminal256Formatter:[7,0,1,""],TerminalFormatter:[7,0,1,""],TerminalTrueColorFormatter:[7,0,1,""],TestcaseFormatter:[7,0,1,""],TokenMergeFilter:[5,0,1,""],VisibleWhitespaceFilter:[5,0,1,""],pygments:[0,1,0,"-"]},"pygments.formatter":{Formatter:[0,0,1,""]},"pygments.formatter.Formatter":{aliases:[0,3,1,""],filenames:[0,3,1,""],format:[0,4,1,""],get_style_defs:[0,4,1,""],name:[0,3,1,""]},"pygments.formatters":{get_formatter_by_name:[0,2,1,""],get_formatter_for_filename:[0,2,1,""],load_formatter_from_file:[0,2,1,""]},"pygments.lexer":{Lexer:[0,0,1,""]},"pygments.lexer.Lexer":{alias_filenames:[0,3,1,""],aliases:[0,3,1,""],analyse_text:[0,5,1,""],filenames:[0,3,1,""],get_tokens:[0,4,1,""],get_tokens_unprocessed:[0,4,1,""],mimetypes:[0,3,1,""],name:[0,3,1,""]},"pygments.lexers":{actionscript:[12,1,0,"-"],algebra:[12,1,0,"-"],ambient:[12,1,0,"-"],ampl:[12,1,0,"-"],apl:[12,1,0,"-"],archetype:[12,1,0,"-"],asm:[12,1,0,"-"],automation:[12,1,0,"-"],basic:[12,1,0,"-"],bibtex:[12,1,0,"-"],boa:[12,1,0,"-"],business:[12,1,0,"-"],c_cpp:[12,1,0,"-"],c_like:[12,1,0,"-"],capnproto:[12,1,0,"-"],chapel:[12,1,0,"-"],clean:[12,1,0,"-"],configs:[12,1,0,"-"],console:[12,1,0,"-"],crystal:[12,1,0,"-"],csound:[12,1,0,"-"],css:[12,1,0,"-"],d:[12,1,0,"-"],dalvik:[12,1,0,"-"],data:[12,1,0,"-"],diff:[12,1,0,"-"],dotnet:[12,1,0,"-"],dsls:[12,1,0,"-"],dylan:[12,1,0,"-"],ecl:[12,1,0,"-"],eiffel:[12,1,0,"-"],elm:[12,1,0,"-"],erlang:[12,1,0,"-"],esoteric:[12,1,0,"-"],ezhil:[12,1,0,"-"],factor:[12,1,0,"-"],fantom:[12,1,0,"-"],felix:[12,1,0,"-"],find_lexer_class:[0,2,1,""],find_lexer_class_by_name:[0,2,1,""],floscript:[12,1,0,"-"],forth:[12,1,0,"-"],fortran:[12,1,0,"-"],foxpro:[12,1,0,"-"],freefem:[12,1,0,"-"],get_all_lexers:[0,2,1,""],get_lexer_by_name:[0,2,1,""],get_lexer_for_filename:[0,2,1,""],get_lexer_for_mimetype:[0,2,1,""],go:[12,1,0,"-"],grammar_notation:[12,1,0,"-"],graph:[12,1,0,"-"],graphics:[12,1,0,"-"],guess_lexer:[0,2,1,""],guess_lexer_for_filename:[0,2,1,""],haskell:[12,1,0,"-"],haxe:[12,1,0,"-"],hdl:[12,1,0,"-"],hexdump:[12,1,0,"-"],html:[12,1,0,"-"],idl:[12,1,0,"-"],igor:[12,1,0,"-"],inferno:[12,1,0,"-"],installers:[12,1,0,"-"],int_fiction:[12,1,0,"-"],iolang:[12,1,0,"-"],j:[12,1,0,"-"],javascript:[12,1,0,"-"],julia:[12,1,0,"-"],jvm:[12,1,0,"-"],lisp:[12,1,0,"-"],load_lexer_from_file:[0,2,1,""],make:[12,1,0,"-"],markup:[12,1,0,"-"],matlab:[12,1,0,"-"],ml:[12,1,0,"-"],modeling:[12,1,0,"-"],modula2:[12,1,0,"-"],monte:[12,1,0,"-"],ncl:[12,1,0,"-"],nimrod:[12,1,0,"-"],nit:[12,1,0,"-"],nix:[12,1,0,"-"],oberon:[12,1,0,"-"],objective:[12,1,0,"-"],ooc:[12,1,0,"-"],parasail:[12,1,0,"-"],parsers:[12,1,0,"-"],pascal:[12,1,0,"-"],pawn:[12,1,0,"-"],perl:[12,1,0,"-"],php:[12,1,0,"-"],pony:[12,1,0,"-"],praat:[12,1,0,"-"],prolog:[12,1,0,"-"],python:[12,1,0,"-"],qvt:[12,1,0,"-"],r:[12,1,0,"-"],rdf:[12,1,0,"-"],rebol:[12,1,0,"-"],resource:[12,1,0,"-"],rnc:[12,1,0,"-"],roboconf:[12,1,0,"-"],robotframework:[12,1,0,"-"],ruby:[12,1,0,"-"],rust:[12,1,0,"-"],sas:[12,1,0,"-"],scripting:[12,1,0,"-"],sgf:[12,1,0,"-"],shell:[12,1,0,"-"],slash:[12,1,0,"-"],smalltalk:[12,1,0,"-"],smv:[12,1,0,"-"],snobol:[12,1,0,"-"],special:[12,1,0,"-"],sql:[12,1,0,"-"],stata:[12,1,0,"-"],supercollider:[12,1,0,"-"],tcl:[12,1,0,"-"],templates:[12,1,0,"-"],teraterm:[12,1,0,"-"],testing:[12,1,0,"-"],textedit:[12,1,0,"-"],textfmts:[12,1,0,"-"],theorem:[12,1,0,"-"],trafficscript:[12,1,0,"-"],typoscript:[12,1,0,"-"],unicon:[12,1,0,"-"],urbi:[12,1,0,"-"],varnish:[12,1,0,"-"],verification:[12,1,0,"-"],webmisc:[12,1,0,"-"],whiley:[12,1,0,"-"],x10:[12,1,0,"-"],xorg:[12,1,0,"-"]},"pygments.lexers.actionscript":{ActionScript3Lexer:[12,0,1,""],ActionScriptLexer:[12,0,1,""],MxmlLexer:[12,0,1,""]},"pygments.lexers.algebra":{BCLexer:[12,0,1,""],GAPLexer:[12,0,1,""],MathematicaLexer:[12,0,1,""],MuPADLexer:[12,0,1,""]},"pygments.lexers.ambient":{AmbientTalkLexer:[12,0,1,""]},"pygments.lexers.ampl":{AmplLexer:[12,0,1,""]},"pygments.lexers.apl":{APLLexer:[12,0,1,""]},"pygments.lexers.archetype":{AdlLexer:[12,0,1,""],CadlLexer:[12,0,1,""],OdinLexer:[12,0,1,""]},"pygments.lexers.asm":{CObjdumpLexer:[12,0,1,""],Ca65Lexer:[12,0,1,""],CppObjdumpLexer:[12,0,1,""],DObjdumpLexer:[12,0,1,""],Dasm16Lexer:[12,0,1,""],GasLexer:[12,0,1,""],HsailLexer:[12,0,1,""],LlvmLexer:[12,0,1,""],NasmLexer:[12,0,1,""],NasmObjdumpLexer:[12,0,1,""],ObjdumpLexer:[12,0,1,""],TasmLexer:[12,0,1,""]},"pygments.lexers.automation":{AutoItLexer:[12,0,1,""],AutohotkeyLexer:[12,0,1,""]},"pygments.lexers.basic":{BBCBasicLexer:[12,0,1,""],BlitzBasicLexer:[12,0,1,""],BlitzMaxLexer:[12,0,1,""],CbmBasicV2Lexer:[12,0,1,""],MonkeyLexer:[12,0,1,""],QBasicLexer:[12,0,1,""],VBScriptLexer:[12,0,1,""]},"pygments.lexers.bibtex":{BSTLexer:[12,0,1,""],BibTeXLexer:[12,0,1,""]},"pygments.lexers.boa":{BoaLexer:[12,0,1,""]},"pygments.lexers.business":{ABAPLexer:[12,0,1,""],CobolFreeformatLexer:[12,0,1,""],CobolLexer:[12,0,1,""],GoodDataCLLexer:[12,0,1,""],MaqlLexer:[12,0,1,""],OpenEdgeLexer:[12,0,1,""]},"pygments.lexers.c_cpp":{CLexer:[12,0,1,""],CppLexer:[12,0,1,""]},"pygments.lexers.c_like":{ArduinoLexer:[12,0,1,""],CharmciLexer:[12,0,1,""],ClayLexer:[12,0,1,""],CudaLexer:[12,0,1,""],ECLexer:[12,0,1,""],MqlLexer:[12,0,1,""],NesCLexer:[12,0,1,""],PikeLexer:[12,0,1,""],SwigLexer:[12,0,1,""],ValaLexer:[12,0,1,""]},"pygments.lexers.capnproto":{CapnProtoLexer:[12,0,1,""]},"pygments.lexers.chapel":{ChapelLexer:[12,0,1,""]},"pygments.lexers.clean":{CleanLexer:[12,0,1,""]},"pygments.lexers.configs":{ApacheConfLexer:[12,0,1,""],AugeasLexer:[12,0,1,""],Cfengine3Lexer:[12,0,1,""],DockerLexer:[12,0,1,""],IniLexer:[12,0,1,""],KconfigLexer:[12,0,1,""],LighttpdConfLexer:[12,0,1,""],NginxConfLexer:[12,0,1,""],PacmanConfLexer:[12,0,1,""],PkgConfigLexer:[12,0,1,""],PropertiesLexer:[12,0,1,""],RegeditLexer:[12,0,1,""],SquidConfLexer:[12,0,1,""],TOMLLexer:[12,0,1,""],TermcapLexer:[12,0,1,""],TerminfoLexer:[12,0,1,""],TerraformLexer:[12,0,1,""]},"pygments.lexers.console":{PyPyLogLexer:[12,0,1,""],VCTreeStatusLexer:[12,0,1,""]},"pygments.lexers.crystal":{CrystalLexer:[12,0,1,""]},"pygments.lexers.csound":{CsoundDocumentLexer:[12,0,1,""],CsoundOrchestraLexer:[12,0,1,""],CsoundScoreLexer:[12,0,1,""]},"pygments.lexers.css":{CssLexer:[12,0,1,""],LessCssLexer:[12,0,1,""],SassLexer:[12,0,1,""],ScssLexer:[12,0,1,""]},"pygments.lexers.d":{CrocLexer:[12,0,1,""],DLexer:[12,0,1,""],MiniDLexer:[12,0,1,""]},"pygments.lexers.dalvik":{SmaliLexer:[12,0,1,""]},"pygments.lexers.data":{JsonBareObjectLexer:[12,0,1,""],JsonLdLexer:[12,0,1,""],JsonLexer:[12,0,1,""],YamlLexer:[12,0,1,""]},"pygments.lexers.diff":{DarcsPatchLexer:[12,0,1,""],DiffLexer:[12,0,1,""],WDiffLexer:[12,0,1,""]},"pygments.lexers.dotnet":{BooLexer:[12,0,1,""],CSharpAspxLexer:[12,0,1,""],CSharpLexer:[12,0,1,""],FSharpLexer:[12,0,1,""],NemerleLexer:[12,0,1,""],VbNetAspxLexer:[12,0,1,""],VbNetLexer:[12,0,1,""]},"pygments.lexers.dsls":{AlloyLexer:[12,0,1,""],BroLexer:[12,0,1,""],CrmshLexer:[12,0,1,""],FlatlineLexer:[12,0,1,""],MscgenLexer:[12,0,1,""],PanLexer:[12,0,1,""],ProtoBufLexer:[12,0,1,""],PuppetLexer:[12,0,1,""],RslLexer:[12,0,1,""],SnowballLexer:[12,0,1,""],ThriftLexer:[12,0,1,""],VGLLexer:[12,0,1,""]},"pygments.lexers.dylan":{DylanConsoleLexer:[12,0,1,""],DylanLexer:[12,0,1,""],DylanLidLexer:[12,0,1,""]},"pygments.lexers.ecl":{ECLLexer:[12,0,1,""]},"pygments.lexers.eiffel":{EiffelLexer:[12,0,1,""]},"pygments.lexers.elm":{ElmLexer:[12,0,1,""]},"pygments.lexers.erlang":{ElixirConsoleLexer:[12,0,1,""],ElixirLexer:[12,0,1,""],ErlangLexer:[12,0,1,""],ErlangShellLexer:[12,0,1,""]},"pygments.lexers.esoteric":{AheuiLexer:[12,0,1,""],BefungeLexer:[12,0,1,""],BrainfuckLexer:[12,0,1,""],CAmkESLexer:[12,0,1,""],CapDLLexer:[12,0,1,""],RedcodeLexer:[12,0,1,""]},"pygments.lexers.ezhil":{EzhilLexer:[12,0,1,""]},"pygments.lexers.factor":{FactorLexer:[12,0,1,""]},"pygments.lexers.fantom":{FantomLexer:[12,0,1,""]},"pygments.lexers.felix":{FelixLexer:[12,0,1,""]},"pygments.lexers.floscript":{FloScriptLexer:[12,0,1,""]},"pygments.lexers.forth":{ForthLexer:[12,0,1,""]},"pygments.lexers.fortran":{FortranFixedLexer:[12,0,1,""],FortranLexer:[12,0,1,""]},"pygments.lexers.foxpro":{FoxProLexer:[12,0,1,""]},"pygments.lexers.freefem":{FreeFemLexer:[12,0,1,""]},"pygments.lexers.go":{GoLexer:[12,0,1,""]},"pygments.lexers.grammar_notation":{AbnfLexer:[12,0,1,""],BnfLexer:[12,0,1,""],JsgfLexer:[12,0,1,""]},"pygments.lexers.graph":{CypherLexer:[12,0,1,""]},"pygments.lexers.graphics":{AsymptoteLexer:[12,0,1,""],GLShaderLexer:[12,0,1,""],GnuplotLexer:[12,0,1,""],HLSLShaderLexer:[12,0,1,""],PostScriptLexer:[12,0,1,""],PovrayLexer:[12,0,1,""]},"pygments.lexers.haskell":{AgdaLexer:[12,0,1,""],CryptolLexer:[12,0,1,""],HaskellLexer:[12,0,1,""],HspecLexer:[12,0,1,""],IdrisLexer:[12,0,1,""],KokaLexer:[12,0,1,""],LiterateAgdaLexer:[12,0,1,""],LiterateCryptolLexer:[12,0,1,""],LiterateHaskellLexer:[12,0,1,""],LiterateIdrisLexer:[12,0,1,""]},"pygments.lexers.haxe":{HaxeLexer:[12,0,1,""],HxmlLexer:[12,0,1,""]},"pygments.lexers.hdl":{SystemVerilogLexer:[12,0,1,""],VerilogLexer:[12,0,1,""],VhdlLexer:[12,0,1,""]},"pygments.lexers.hexdump":{HexdumpLexer:[12,0,1,""]},"pygments.lexers.html":{DtdLexer:[12,0,1,""],HamlLexer:[12,0,1,""],HtmlLexer:[12,0,1,""],PugLexer:[12,0,1,""],ScamlLexer:[12,0,1,""],XmlLexer:[12,0,1,""],XsltLexer:[12,0,1,""]},"pygments.lexers.idl":{IDLLexer:[12,0,1,""]},"pygments.lexers.igor":{IgorLexer:[12,0,1,""]},"pygments.lexers.inferno":{LimboLexer:[12,0,1,""]},"pygments.lexers.installers":{DebianControlLexer:[12,0,1,""],NSISLexer:[12,0,1,""],RPMSpecLexer:[12,0,1,""],SourcesListLexer:[12,0,1,""]},"pygments.lexers.int_fiction":{Inform6Lexer:[12,0,1,""],Inform6TemplateLexer:[12,0,1,""],Inform7Lexer:[12,0,1,""],Tads3Lexer:[12,0,1,""]},"pygments.lexers.iolang":{IoLexer:[12,0,1,""]},"pygments.lexers.j":{JLexer:[12,0,1,""]},"pygments.lexers.javascript":{CoffeeScriptLexer:[12,0,1,""],DartLexer:[12,0,1,""],EarlGreyLexer:[12,0,1,""],JavascriptLexer:[12,0,1,""],JuttleLexer:[12,0,1,""],KalLexer:[12,0,1,""],LassoLexer:[12,0,1,""],LiveScriptLexer:[12,0,1,""],MaskLexer:[12,0,1,""],ObjectiveJLexer:[12,0,1,""],TypeScriptLexer:[12,0,1,""]},"pygments.lexers.julia":{JuliaConsoleLexer:[12,0,1,""],JuliaLexer:[12,0,1,""]},"pygments.lexers.jvm":{AspectJLexer:[12,0,1,""],CeylonLexer:[12,0,1,""],ClojureLexer:[12,0,1,""],ClojureScriptLexer:[12,0,1,""],GoloLexer:[12,0,1,""],GosuLexer:[12,0,1,""],GosuTemplateLexer:[12,0,1,""],GroovyLexer:[12,0,1,""],IokeLexer:[12,0,1,""],JasminLexer:[12,0,1,""],JavaLexer:[12,0,1,""],KotlinLexer:[12,0,1,""],PigLexer:[12,0,1,""],SarlLexer:[12,0,1,""],ScalaLexer:[12,0,1,""],XtendLexer:[12,0,1,""]},"pygments.lexers.lisp":{CPSALexer:[12,0,1,""],CommonLispLexer:[12,0,1,""],EmacsLispLexer:[12,0,1,""],FennelLexer:[12,0,1,""],HyLexer:[12,0,1,""],NewLispLexer:[12,0,1,""],RacketLexer:[12,0,1,""],SchemeLexer:[12,0,1,""],ShenLexer:[12,0,1,""],XtlangLexer:[12,0,1,""]},"pygments.lexers.make":{BaseMakefileLexer:[12,0,1,""],CMakeLexer:[12,0,1,""],MakefileLexer:[12,0,1,""]},"pygments.lexers.markup":{BBCodeLexer:[12,0,1,""],GroffLexer:[12,0,1,""],MarkdownLexer:[12,0,1,""],MoinWikiLexer:[12,0,1,""],MozPreprocCssLexer:[12,0,1,""],MozPreprocHashLexer:[12,0,1,""],MozPreprocJavascriptLexer:[12,0,1,""],MozPreprocPercentLexer:[12,0,1,""],MozPreprocXulLexer:[12,0,1,""],RstLexer:[12,0,1,""],TexLexer:[12,0,1,""]},"pygments.lexers.matlab":{MatlabLexer:[12,0,1,""],MatlabSessionLexer:[12,0,1,""],OctaveLexer:[12,0,1,""],ScilabLexer:[12,0,1,""]},"pygments.lexers.ml":{OcamlLexer:[12,0,1,""],OpaLexer:[12,0,1,""],SMLLexer:[12,0,1,""]},"pygments.lexers.modeling":{BugsLexer:[12,0,1,""],JagsLexer:[12,0,1,""],ModelicaLexer:[12,0,1,""],StanLexer:[12,0,1,""]},"pygments.lexers.modula2":{Modula2Lexer:[12,0,1,""]},"pygments.lexers.monte":{MonteLexer:[12,0,1,""]},"pygments.lexers.ncl":{NCLLexer:[12,0,1,""]},"pygments.lexers.nimrod":{NimrodLexer:[12,0,1,""]},"pygments.lexers.nit":{NitLexer:[12,0,1,""]},"pygments.lexers.nix":{NixLexer:[12,0,1,""]},"pygments.lexers.oberon":{ComponentPascalLexer:[12,0,1,""]},"pygments.lexers.objective":{LogosLexer:[12,0,1,""],ObjectiveCLexer:[12,0,1,""],ObjectiveCppLexer:[12,0,1,""],SwiftLexer:[12,0,1,""]},"pygments.lexers.ooc":{OocLexer:[12,0,1,""]},"pygments.lexers.parasail":{ParaSailLexer:[12,0,1,""]},"pygments.lexers.parsers":{AntlrActionScriptLexer:[12,0,1,""],AntlrCSharpLexer:[12,0,1,""],AntlrCppLexer:[12,0,1,""],AntlrJavaLexer:[12,0,1,""],AntlrLexer:[12,0,1,""],AntlrObjectiveCLexer:[12,0,1,""],AntlrPerlLexer:[12,0,1,""],AntlrPythonLexer:[12,0,1,""],AntlrRubyLexer:[12,0,1,""],EbnfLexer:[12,0,1,""],RagelCLexer:[12,0,1,""],RagelCppLexer:[12,0,1,""],RagelDLexer:[12,0,1,""],RagelEmbeddedLexer:[12,0,1,""],RagelJavaLexer:[12,0,1,""],RagelLexer:[12,0,1,""],RagelObjectiveCLexer:[12,0,1,""],RagelRubyLexer:[12,0,1,""],TreetopLexer:[12,0,1,""]},"pygments.lexers.pascal":{AdaLexer:[12,0,1,""],DelphiLexer:[12,0,1,""]},"pygments.lexers.pawn":{PawnLexer:[12,0,1,""],SourcePawnLexer:[12,0,1,""]},"pygments.lexers.perl":{Perl6Lexer:[12,0,1,""],PerlLexer:[12,0,1,""]},"pygments.lexers.php":{PhpLexer:[12,0,1,""],ZephirLexer:[12,0,1,""]},"pygments.lexers.pony":{PonyLexer:[12,0,1,""]},"pygments.lexers.praat":{PraatLexer:[12,0,1,""]},"pygments.lexers.prolog":{LogtalkLexer:[12,0,1,""],PrologLexer:[12,0,1,""]},"pygments.lexers.python":{CythonLexer:[12,0,1,""],DgLexer:[12,0,1,""],NumPyLexer:[12,0,1,""],Python3Lexer:[12,0,1,""],Python3TracebackLexer:[12,0,1,""],PythonConsoleLexer:[12,0,1,""],PythonLexer:[12,0,1,""],PythonTracebackLexer:[12,0,1,""]},"pygments.lexers.qvt":{QVToLexer:[12,0,1,""]},"pygments.lexers.r":{RConsoleLexer:[12,0,1,""],RdLexer:[12,0,1,""],SLexer:[12,0,1,""]},"pygments.lexers.rdf":{SparqlLexer:[12,0,1,""],TurtleLexer:[12,0,1,""]},"pygments.lexers.rebol":{RebolLexer:[12,0,1,""],RedLexer:[12,0,1,""]},"pygments.lexers.resource":{ResourceLexer:[12,0,1,""]},"pygments.lexers.rnc":{RNCCompactLexer:[12,0,1,""]},"pygments.lexers.roboconf":{RoboconfGraphLexer:[12,0,1,""],RoboconfInstancesLexer:[12,0,1,""]},"pygments.lexers.robotframework":{RobotFrameworkLexer:[12,0,1,""]},"pygments.lexers.ruby":{FancyLexer:[12,0,1,""],RubyConsoleLexer:[12,0,1,""],RubyLexer:[12,0,1,""]},"pygments.lexers.rust":{RustLexer:[12,0,1,""]},"pygments.lexers.sas":{SASLexer:[12,0,1,""]},"pygments.lexers.scripting":{AppleScriptLexer:[12,0,1,""],ChaiscriptLexer:[12,0,1,""],EasytrieveLexer:[12,0,1,""],HybrisLexer:[12,0,1,""],JclLexer:[12,0,1,""],LSLLexer:[12,0,1,""],LuaLexer:[12,0,1,""],MOOCodeLexer:[12,0,1,""],MoonScriptLexer:[12,0,1,""],RexxLexer:[12,0,1,""]},"pygments.lexers.sgf":{SmartGameFormatLexer:[12,0,1,""]},"pygments.lexers.shell":{BashLexer:[12,0,1,""],BashSessionLexer:[12,0,1,""],BatchLexer:[12,0,1,""],FishShellLexer:[12,0,1,""],MSDOSSessionLexer:[12,0,1,""],PowerShellLexer:[12,0,1,""],PowerShellSessionLexer:[12,0,1,""],SlurmBashLexer:[12,0,1,""],TcshLexer:[12,0,1,""],TcshSessionLexer:[12,0,1,""]},"pygments.lexers.slash":{SlashLexer:[12,0,1,""]},"pygments.lexers.smalltalk":{NewspeakLexer:[12,0,1,""],SmalltalkLexer:[12,0,1,""]},"pygments.lexers.smv":{NuSMVLexer:[12,0,1,""]},"pygments.lexers.snobol":{SnobolLexer:[12,0,1,""]},"pygments.lexers.special":{RawTokenLexer:[12,0,1,""],TextLexer:[12,0,1,""]},"pygments.lexers.sql":{MySqlLexer:[12,0,1,""],PlPgsqlLexer:[12,0,1,""],PostgresConsoleLexer:[12,0,1,""],PostgresLexer:[12,0,1,""],RqlLexer:[12,0,1,""],SqlLexer:[12,0,1,""],SqliteConsoleLexer:[12,0,1,""],TransactSqlLexer:[12,0,1,""]},"pygments.lexers.stata":{StataLexer:[12,0,1,""]},"pygments.lexers.supercollider":{SuperColliderLexer:[12,0,1,""]},"pygments.lexers.tcl":{TclLexer:[12,0,1,""]},"pygments.lexers.templates":{Angular2HtmlLexer:[12,0,1,""],Angular2Lexer:[12,0,1,""],CheetahHtmlLexer:[12,0,1,""],CheetahJavascriptLexer:[12,0,1,""],CheetahLexer:[12,0,1,""],CheetahXmlLexer:[12,0,1,""],ColdfusionCFCLexer:[12,0,1,""],ColdfusionHtmlLexer:[12,0,1,""],ColdfusionLexer:[12,0,1,""],CssDjangoLexer:[12,0,1,""],CssErbLexer:[12,0,1,""],CssGenshiLexer:[12,0,1,""],CssPhpLexer:[12,0,1,""],CssSmartyLexer:[12,0,1,""],DjangoLexer:[12,0,1,""],ErbLexer:[12,0,1,""],EvoqueHtmlLexer:[12,0,1,""],EvoqueLexer:[12,0,1,""],EvoqueXmlLexer:[12,0,1,""],GenshiLexer:[12,0,1,""],GenshiTextLexer:[12,0,1,""],HandlebarsHtmlLexer:[12,0,1,""],HandlebarsLexer:[12,0,1,""],HtmlDjangoLexer:[12,0,1,""],HtmlGenshiLexer:[12,0,1,""],HtmlPhpLexer:[12,0,1,""],HtmlSmartyLexer:[12,0,1,""],JavascriptDjangoLexer:[12,0,1,""],JavascriptErbLexer:[12,0,1,""],JavascriptGenshiLexer:[12,0,1,""],JavascriptPhpLexer:[12,0,1,""],JavascriptSmartyLexer:[12,0,1,""],JspLexer:[12,0,1,""],LassoCssLexer:[12,0,1,""],LassoHtmlLexer:[12,0,1,""],LassoJavascriptLexer:[12,0,1,""],LassoXmlLexer:[12,0,1,""],LiquidLexer:[12,0,1,""],MakoCssLexer:[12,0,1,""],MakoHtmlLexer:[12,0,1,""],MakoJavascriptLexer:[12,0,1,""],MakoLexer:[12,0,1,""],MakoXmlLexer:[12,0,1,""],MasonLexer:[12,0,1,""],MyghtyCssLexer:[12,0,1,""],MyghtyHtmlLexer:[12,0,1,""],MyghtyJavascriptLexer:[12,0,1,""],MyghtyLexer:[12,0,1,""],MyghtyXmlLexer:[12,0,1,""],RhtmlLexer:[12,0,1,""],SmartyLexer:[12,0,1,""],SspLexer:[12,0,1,""],TeaTemplateLexer:[12,0,1,""],TwigHtmlLexer:[12,0,1,""],TwigLexer:[12,0,1,""],VelocityHtmlLexer:[12,0,1,""],VelocityLexer:[12,0,1,""],VelocityXmlLexer:[12,0,1,""],XmlDjangoLexer:[12,0,1,""],XmlErbLexer:[12,0,1,""],XmlPhpLexer:[12,0,1,""],XmlSmartyLexer:[12,0,1,""],YamlJinjaLexer:[12,0,1,""]},"pygments.lexers.teraterm":{TeraTermLexer:[12,0,1,""]},"pygments.lexers.testing":{GherkinLexer:[12,0,1,""],TAPLexer:[12,0,1,""]},"pygments.lexers.textedit":{AwkLexer:[12,0,1,""],VimLexer:[12,0,1,""]},"pygments.lexers.textfmts":{GettextLexer:[12,0,1,""],HttpLexer:[12,0,1,""],IrcLogsLexer:[12,0,1,""],TodotxtLexer:[12,0,1,""]},"pygments.lexers.theorem":{CoqLexer:[12,0,1,""],IsabelleLexer:[12,0,1,""],LeanLexer:[12,0,1,""]},"pygments.lexers.trafficscript":{RtsLexer:[12,0,1,""]},"pygments.lexers.typoscript":{TypoScriptCssDataLexer:[12,0,1,""],TypoScriptHtmlDataLexer:[12,0,1,""],TypoScriptLexer:[12,0,1,""]},"pygments.lexers.unicon":{IconLexer:[12,0,1,""],UcodeLexer:[12,0,1,""],UniconLexer:[12,0,1,""]},"pygments.lexers.urbi":{UrbiscriptLexer:[12,0,1,""]},"pygments.lexers.varnish":{VCLLexer:[12,0,1,""],VCLSnippetLexer:[12,0,1,""]},"pygments.lexers.verification":{BoogieLexer:[12,0,1,""],SilverLexer:[12,0,1,""]},"pygments.lexers.webmisc":{CirruLexer:[12,0,1,""],DuelLexer:[12,0,1,""],QmlLexer:[12,0,1,""],SlimLexer:[12,0,1,""],XQueryLexer:[12,0,1,""]},"pygments.lexers.whiley":{WhileyLexer:[12,0,1,""]},"pygments.lexers.x10":{X10Lexer:[12,0,1,""]},"pygments.lexers.xorg":{XorgLexer:[12,0,1,""]},"pygments.styles":{get_all_styles:[0,2,1,""],get_style_by_name:[0,2,1,""]},"pygments.util":{OptionError:[0,6,1,""],get_bool_opt:[0,2,1,""],get_choice_opt:[0,2,1,""],get_int_opt:[0,2,1,""],get_list_opt:[0,2,1,""]},pygments:{format:[0,2,1,""],formatter:[0,1,0,"-"],formatters:[0,1,0,"-"],highlight:[0,2,1,""],lex:[0,2,1,""],lexer:[0,1,0,"-"],lexers:[0,1,0,"-"],styles:[0,1,0,"-"],token:[18,1,0,"-"],util:[0,1,0,"-"]}},objnames:{"0":["py","class","Python class"],"1":["py","module","Python module"],"2":["py","function","Python function"],"3":["py","attribute","Python attribute"],"4":["py","method","Python method"],"5":["py","staticmethod","Python static method"],"6":["py","exception","Python exception"]},objtypes:{"0":"py:class","1":"py:module","2":"py:function","3":"py:attribute","4":"py:method","5":"py:staticmethod","6":"py:exception"},terms:{"0000aa":6,"00ff00":7,"00m":17,"01m":17,"01mhello":17,"0b101010":18,"0f0":17,"0x10c":12,"0x20":12,"0xb785decc":5,"0xdeadbeef":18,"14px":7,"16m":[2,7],"42d":18,"42l":18,"6pl":12,"6pm":12,"beno\u00eet":1,"boolean":[0,2],"break":7,"byte":[2,7,15,19],"case":[0,2,3,4,5,7,11,19,21],"char":[2,11,12,18],"cl\u00e9ment":1,"class":[0,2,3,4,5,6,10,12,13,14,15,17,18],"const":18,"dani\u00ebl":1,"default":[0,1,2,3,5,7,11,12,15,17,19],"enum":12,"export":2,"final":[2,11],"float":[0,2,18],"function":[0,2,4,5,6,11,12,14,15,17,18,21],"g\u00f3rny":1,"goto":18,"guti\u00e9rrez":1,"hegg\u00f8":1,"helles\u00f8i":1,"import":[2,4,5,6,7,10,11,12,15,17,18,19],"int":[5,18],"joaqu\u00edn":1,"jos\u00e9":1,"kl\u00e4rck":1,"ko\u017ear":1,"long":[2,18],"lyngst\u00f8l":1,"maik\u00e4fer":2,"micha\u0142":1,"new":[0,2,3,4,5,6,7,10,12,14,17,18,19,22],"null":[7,12],"pr\u00e9vost":1,"public":[2,12],"ren\u00e9":1,"return":[0,5,6,7,11,12,15],"s\u00e9bastien":1,"schneegl\u00f6ckchen":2,"short":[0,3,7,11,12],"st\u00e9phane":1,"static":[0,18],"strau\u00dfenei":2,"super":11,"switch":12,"true":[0,2,5,6,7,11,12,13,15,18],"try":6,"unsch\u00e4rf":2,"var":[12,18],"while":[2,3,6,11,12,15,21],Abe:1,Added:[2,12],And:11,BAS:12,But:11,DOS:12,EXE:12,For:[0,3,5,6,7,11,12,13,15,17,18,20,21],Gas:12,IDE:21,IDEs:2,Its:[3,21],One:11,SAS:[1,2],That:[0,4,7,11,14,17,21],The:[2,3,4,5,6,7,8,9,10,12,15,17,18,19,20,21,23],Then:[7,11],There:[2,5,11,14,15,17],These:[7,9,12],Use:[2,8,12],Used:7,Using:[7,8],VBS:12,Vos:1,Will:0,With:[2,7,18],XDS:12,__all__:11,__doc__:18,__init__:[0,4,6,11,18],_by_:2,_format_lin:7,_lua_builtin:12,_php_builtin:12,_style:6,_toc335818775:12,_wrap_cod:7,aa22ff:15,aaaaack:12,aamann:12,aaron:1,abandon:11,abap:[1,2,12],abaplex:12,abil:2,abl:[1,2,12],abnf:12,abnflex:12,about:[2,3,8,11,14],abov:[3,11],absolut:7,abysm:2,academ:12,accept:[5,7,12,13,15,19],access:[4,6,7,12,18],accordingli:7,accur:2,acm:12,aconf:12,acorn:12,act:12,action:11,actionscript3:12,actionscript3lex:12,actionscript:[2,23],actionscriptlex:12,activ:[1,12],activest:21,actual:[2,12],ada2005:12,ada95:12,ada:[1,2,12,23],adalex:12,adam:[1,2,12],adapt:[5,9,16],adb:12,add:[0,2,5,7,11,14,21],add_filt:[4,5],added:[2,3,4,7,11,17,21,22],adding:[11,14],addit:[2,6,7,11,12,15,21],addition:[4,6,7,11,13],address:22,adjust:4,adl:12,adlf:12,adllex:12,adlx:12,ado:12,adob:12,ads:12,adt:12,advanc:10,affect:[2,7,17],affix:[2,18],afshar:1,after:[2,3,7,11,12,18],again:[6,7,11],against:11,agda:[1,2,12],agdalex:12,aglassing:1,aglet:12,aheui:12,aheuilex:12,ahk:12,ahkl:12,aim:12,alain:1,alastair:1,alex:1,alexand:1,algol:[2,12],algol_nu:12,algorithm:[7,12],ali:1,alia:[0,2,14,15],alias:[0,2,11,12,14,18],alias_filenam:0,align:[7,17],all:[0,1,2,3,4,5,6,7,11,13,15,17,18,19,20,21],alloi:[2,12],allow:[0,2,5,7,9,11,12,17],alloylex:12,almost:[11,12],along:3,alphabet:[1,12],alreadi:[0,5,11,12,18],als:12,also:[0,2,3,4,7,11,12,13,16,17,18,19,21,22,23],altern:[2,7,11],alwai:[2,4,12,22],amann:[1,2,12],ambient:12,ambienttalk:2,ambienttalklex:12,ambigu:15,among:[0,15,22],amount:[5,11],ampl:[1,2],ampllex:12,ana:[1,2],analog:2,analys:[0,19],analyse_text:[0,2,15],analysi:2,anchor:7,anchorlineno:[1,2,7],andr:[1,2],andrea:[1,2,12],andrei:1,andrew:1,android:12,angl:5,angu:1,angular2:12,angular2htmllex:12,angular2lex:12,angular:12,ani:[0,2,3,7,11,12,17,18,19],annamalai:1,annot:[5,12],anonym:11,anoth:[2,5,11,18,19,21],ansi:[1,2,7,12,15,17,21,22],ansiblack:17,ansiblu:17,ansibrightblack:17,ansibrightblu:17,ansibrightcyan:17,ansibrightgreen:17,ansibrightmagenta:17,ansibrightr:17,ansibrightyellow:17,ansibrown:17,ansicolor:17,ansicyan:17,ansidarkblu:17,ansidarkgrai:17,ansidarkgreen:17,ansidarkr:17,ansifuchsia:17,ansigrai:17,ansigreen:17,ansilightgrai:17,ansimagenta:17,ansipurpl:17,ansir:17,ansit:17,ansiturquois:17,ansiwhit:17,ansiyellow:17,antlr:[1,2,12,23],antlractionscriptlex:12,antlrcpplex:12,antlrcsharplex:12,antlrjavalex:12,antlrlex:12,antlrobjectiveclex:12,antlrperllex:12,antlrpythonlex:12,antlrrubylex:12,antonio:9,anymor:2,anyth:[2,3,7,11,12],apach:[2,10,12,23],apache2:[2,12],apacheconf:12,apacheconflex:12,api:[8,11,15],apl:[1,2],apllex:12,apostroph:2,appaiah:1,appear:6,append:11,applescript:[1,2,12,23],applescriptlex:12,appli:5,applic:[2,12,22],approach:[11,12],appropri:[7,11,12],apt:12,arbitrari:11,archetyp:[1,2],arduino:[1,2,12],arduinolex:12,area:7,aren:[6,11,17,18],arexx:12,arg:[0,2,3,7],argument:[0,2,3,4,5,6,7,11,15],armin:[1,22],armstrong:1,arnold:1,around:[2,3,12],arrow:12,art:12,artem:1,articl:7,artifactid:10,as3:[2,12],asax:12,ascii:[3,7,12,19],asciidoc:21,ascx:12,ashkena:1,ashx:12,asi:12,ask:2,aslak:1,aslakhellesoi:12,asm:[2,12],asmx:12,asp:[2,12],aspectj:[1,2,12],aspectjlex:12,aspx:12,assembl:[1,2,23],assign:12,assist:12,associ:11,assum:[7,12,21],assumpt:12,asterisk:11,asymptot:[1,2,12,23],asymptotelex:12,async:2,atom:12,atria:1,attach:[13,18],attent:22,attribut:[0,2,3,6,7,11,12,14,15,18,19],au3:12,aug:[2,12],augea:[1,2,12,23],augeaslex:12,aust:[1,12],author:[12,18,21],autodeleg:12,autodetect:12,autohandl:12,autohotkei:[1,2,12],autohotkeylex:12,autoit:[1,2,12],autoitlex:12,autolisp:12,automat:[2,4,7,11,12,17,19],autopygment:2,aux:12,avail:[0,2,8,11,15,18,21,22],avoid:[2,15],await:2,awar:2,awk:[1,2,12,23],awklex:12,axd:12,b3d:12,background:[2,5,6,7,17],backquot:2,backreferenc:11,backslash:[2,12],backtick:18,backtrack:2,backward:[2,18],bajolet:1,bangert:[1,2],bar:[5,7,11],barfoo:11,baruchel:1,bas:12,base:[0,1,2,7,11,12,18,21,22,23],baselex:11,baselin:7,basemak:12,basemakefilelex:12,bash:[2,12,18,23],bashcomp:9,bashlex:[2,12],bashrc:12,bashsessionlex:[2,12],basic:[0,2,6,11,15,21,23],bat:12,batch:[2,12,23],batchlex:12,battcher:1,baumann:1,baumgart:1,bayer:1,baz:5,bazaar:21,bazbam:12,bb4444:15,bbc:[2,12,23],bbcbasic:12,bbcbasiclex:12,bbcode:[1,2,7,12,21,23],bbcodeformatt:7,bbcodelex:12,bclexer:12,beal:1,beauti:21,becaus:[0,2,3,4,6,7,11,12,14,17,18,19],been:[2,5,7,10,11,22],befor:[2,7,12,19],befung:[2,12,23],befungelex:[11,12],begin:[7,11,12],behavior:[2,4,17,19],being:[2,11,15,17],below:[11,18,22],ben:[1,2],benediktsson:1,benjamin:1,bergeron:1,bernat:1,bertel:1,bertrand:1,best:19,better:[2,12],between:[2,7,11,12,17],bgcolor:6,bib:12,bibtex:1,bibtexlex:12,big:12,bigaret:1,billingslei:[1,2],bin:[15,18],binari:[2,12,18],bind:12,bird:12,birkenfeld:[2,20],bit:[2,7],bitbucket:[2,11,20,21,22],bitmap:7,bitstream:7,black:17,blackwhitestyl:2,blame:12,blink:12,blinkinsop:[1,2,12],blitzbas:[1,2,12],blitzbasiclex:12,blitzmax:[1,2,12],blitzmaxlex:12,block:[2,7,12],blondon:1,blue:[6,17],bmax:12,bmp:[2,7],bmpimageformatt:7,bmx:12,bnflexer:12,boa:[2,23],boalex:12,board:[7,21],bob:1,bold:[6,7,15,17,18],boldfac:[12,17],bom:19,bommel:1,boo:[2,12,18,23],boogi:[1,2,12],boogielex:12,bool:5,boolex:12,border:[6,7,17],borland:12,both:[11,12,15,17],bourdon:[1,2],bpl:12,bplu:12,bpython:21,brace:12,bracket:12,brainfuck:[12,23],brainfucklex:[11,12],branch:21,brandl:[1,22],brian:1,bright:17,brightblack:17,brightblu:17,brightcyan:17,brightgreen:17,brightmagenta:17,brightr:17,brightyellow:17,brillouin:2,bro:[1,2,12],broken:7,brolex:12,brows:20,browser:[7,11,21],bruce:[1,21],bruno:1,bryan:1,bsd:[2,12,21],bsdmake:12,bst:12,bstlexer:12,buffer:[1,2,6,12],bug:[1,2,5,8,12,22],bugfix:[1,2],bugslex:12,build:[2,12,21],builder:21,built:[2,7,12,15],builtin:[0,2,7,8,11,12,15],builtinshighlight:12,bulletin:7,bundl:[9,12],bussonni:1,bygroup:11,bz2:[7,12],bzrfruit:21,c99:2,c_cpp:12,c_like:12,ca65:12,ca65lex:12,cacer:1,cach:[2,6,12],cadl:12,cadllex:12,call:[0,2,7,11,12,13,15,17,18,19,20],callaghan:1,caller:11,cambridg:12,camil:1,camk:[1,2,12],camkeslex:12,can:[0,2,3,4,5,6,7,9,10,11,12,13,14,15,16,17,18,19,20,22],cangiano:9,cannot:11,canon:12,cap:2,capabl:2,capdl:[2,12],capdllex:12,capit:5,capnp:12,capnproto:12,capnprotolex:12,caption:7,captur:11,care:[0,11],carlo:1,cascad:12,cat:1,catalog:[2,12,23],catastroph:2,categori:[3,12],caus:[2,7,12],cbl:12,cbm:12,cbmba:12,cbmbasicv2lex:12,cdf:12,cdl:12,cell:7,central:10,certain:[2,7,11],cetera:16,ceylon:[1,2,12],ceylonlex:12,cf3:12,cfc:[2,12],cfengine3:[1,2,12],cfengine3lex:12,cfg:[11,12],cfm:12,cfml:12,cfs:12,chai:12,chain:[2,12],chaiscript:[2,12],chaiscriptlex:12,chanc:14,chang:[2,7,12,15,17,20],changelog:[8,20],channel:22,chapel:[1,2],chapellex:12,charact:[2,3,5,7,11,12,18,19],chardet:[12,19],charl:1,charm:[2,12,23],charmci:12,charmcilex:12,charna:2,chdr:12,chebee7i:1,check:[2,4,11,12],checkspac:12,chee:1,cheetah:[1,2,12,23],cheetahhtmllex:12,cheetahjavascriptlex:12,cheetahlex:12,cheetahxmllex:12,child:[2,11],chines:21,chirino:1,chpl:12,christian:1,christoph:[1,2,12],cirru:[2,12],cirrulex:12,clai:[1,2,12],clariti:7,clash:7,class_too:4,classifi:2,classnotfound:[0,2],classprefix:7,classtoo:4,claylex:12,clean:[1,2],cleanlex:12,clear:22,clexer:[2,12],cli:18,click:7,client:22,clipper:12,clj:12,clobber:2,clojur:[1,2,9,12,21,23],clojurelex:12,clojurescript:12,clojurescriptlex:12,clone:[11,20],close:[6,11],closingcommentdelim:12,cls:12,cluster:12,clygment:[9,21],cmake:[2,12,23],cmakelex:12,cmakelist:12,cmd:12,cmdline:1,cob:12,cobjdumplex:12,cobol:12,cobolfre:12,cobolfreeformatlex:12,cobollex:12,cocoa:2,code:[0,2,5,7,9,10,11,13,15,16,17,18,20,21,22],codecov:21,codehtmlformatt:7,codenam:2,codetag:[2,5,7],codetagfilt:5,codetagifi:5,coffe:12,coffeescript:[1,2,12,23],coffeescriptlex:12,coldfus:[2,12,23],coldfusioncfclex:12,coldfusionhtmllex:12,coldfusionlex:12,colin:1,collabor:21,collis:2,colon:[3,11],color:[2,3,4,5,6,7,9,12,13,15,17,21],colorama:2,colorfulstyl:17,colornam:7,colorschem:7,colour:7,column:7,com:[1,12,21],combin:[0,2,7,11,12],come:[5,17],comma:[2,3],command:[0,2,7,8,9,11,14,18,19,20,21,22],commandchar:7,commandlin:12,commandprefix:[2,7],comment:[2,5,6,7,11,12,15,17],commit:2,common:[1,2,12,21,23],commonli:12,commonlisplex:12,commun:[21,22],compar:[12,18],compat:[2,7,18],compens:21,compil:12,complet:[2,7,11,21],complex:[6,11,12],compon:[2,8,12,15],componentpasc:12,componentpascallex:12,compress:[0,7,12],comput:1,concaten:11,concept:15,concret:12,concurr:12,conf:[2,12],config:[1,2,13,23],configur:[2,13,18,23],conflict:2,confus:[4,11,12],connect:22,consecut:[5,6],consid:[12,17],consider:[0,12],consist:[2,11],consol:[1,2,3,7,21,23],console16m:7,console256:7,constant:[12,18],constitut:2,construct:[2,7,11,12,18],constructor:[0,5],consum:12,contact:[8,21,23],contain:[0,2,3,5,6,7,9,11,12,15,21],content:[0,2,7,10,11,12,15],context:[2,11,12],continu:[2,11],contribut:[1,12,23],contributor:8,control:[0,1,2,7,12,20,21,23],convers:12,convert:[0,2,4,5,7,12,15,17,18,21],cookbook:21,cooper:1,coordin:7,copi:[7,9,11,12,13,16],coq:[2,12,23],coqlex:12,corbett:1,corbin:1,corcoran:1,corei:1,corner:2,coroutin:12,correct:[0,2],correctli:[2,7,11,21],correspond:[11,12,17],could:[3,4,7,11,12,15,17],count:12,coupl:2,courier:7,cours:[18,21],cover:[12,14],coverag:21,cpp:12,cppcommentlex:11,cpplexer:12,cppobjdumplex:12,cps:12,cpsa:[1,12],cpsalex:12,cpy:12,cpython:12,crash:[2,11],creat:[2,3,6,7,9,10,11,15,18,21],creation:12,creutzig:[1,2,12],crmsh:[1,2,12],crmshlexer:12,croc:[2,12],croclex:12,crocsrc:12,crompton:1,cross:21,crunchi:2,cry:12,cryptol2:12,cryptol:[1,2,12,23],cryptollex:12,crystal:[1,2,23],crystallex:12,csail:12,csd:12,csh:12,csharp:12,csharpaspxlex:12,csharplex:[2,12],csound:[1,2],csounddocumentlex:12,csoundorchestralex:12,csoundscorelex:12,csrc:12,css:[0,2,3,6,7,13,15,17,23],cssclass:[2,7,15],cssdjangolex:12,csserblex:12,cssfile:7,cssgenshilex:12,csslexer:12,cssphplexer:12,csssmartylex:12,cssstyle:7,ctag:[1,2,7],ctx:11,cucumb:[2,12,23],cuda:[1,2,12],cudalex:12,cuh:12,curli:12,current:[0,3,6,7,11,12,13,18,20],curri:1,curs:21,custom:[2,7,11,16,17],customformatt:[0,3],customlex:[0,3,11],cxx:12,cyan:17,cyp:12,cypher:[2,12],cypherlex:12,cython:[2,12,23],cythonlex:12,dalvik:2,dan:1,daniel:1,darc:[1,2,12],darcspatch:12,darcspatchlex:12,dark:[2,6,7,17],darkbg:7,darkgrei:17,dart:[1,2,12,23],dartlex:12,dash:[1,2],dasm16:[1,2,12],dasm16lex:12,dasm:12,data:[2,6,11,13,18,19],databas:12,date:18,davi:1,david:1,dba:12,dcl:12,dcpu:[12,23],debcontrol:12,debian:[1,2,12,23],debiancontrollex:12,debsourc:12,debug:[12,21],debugg:21,dec:2,decid:12,decis:2,decl:12,declar:[0,2,7,12,18],decod:19,decompress:12,decor:[2,18],deepcopi:2,deepli:11,def:[0,2,4,6,7,11,12],default_styl:17,deferrari:1,defin:[0,2,6,7,11,12,14,17,18],definit:[0,2,3,7,11,14,17,18],degener:2,dejan:1,delai:2,deleg:12,delegatinglex:[11,12],delet:[11,18],delimit:[2,7,11,12,18],delphi:[12,23],delphilex:12,delroth:2,demonstr:21,denni:[1,2],depend:[0,7,10,12,17],deploi:10,deprec:17,deriv:[0,2,12],derivedlex:11,describ:[0,7],descript:12,design:12,desir:[5,7],desis:12,detail:[3,11,21,22,23],detect:[2,12],determin:[0,2,12,15],develop:[1,3,12,21,22,23],dglexer:12,dhandler:12,dialect:2,dialectopt:12,dialecttag:12,diamanda:21,dict:[6,18,19],dictionari:[0,7,11],didn:3,diego:1,dietmar:1,diff:[2,11,23],differ:[2,5,7,11,12,15,17,19,21],differenti:12,difflex:[11,12,18],digia:12,direct3d:12,direct:[2,7,11,12,13,16,21],directli:[2,11,12],directori:[0,2,3,11,13,17],disabl:7,disabled_modul:12,disabledmodul:12,disallow:2,disappoint:12,displai:[2,7,16],disrupt:5,distinct:7,distinguish:12,distribut:[2,9,11,13,14,16,17,20],div:[7,10,15],divis:12,django:[12,21,23],djangolex:12,dlexer:12,dmitri:1,do_insert:2,dobjdumplex:12,doc:[2,7,12,17,18,19,21,23],docclass:7,docker:[2,12],dockerfil:[2,12],dockerlex:12,docstr:[2,5],doctest:12,doctyp:[2,7],document:[2,3,7,10,11,12,14,15,18,21,22],docutil:2,doe:[2,7,11,12,19],doesn:[2,3,4,6,7,11,12,14,18],domen:1,dominik:1,don:[0,2,3,6,7,11,12,15,17,18,19,22],done:[2,11],doren:1,dos:12,dosbatch:12,doscon:12,dosini:12,dot:[2,5],dotal:11,dotnet:12,doubl:[5,6,18],doug:1,down:11,download:[8,22],dpast:21,dpatch:12,dpr:12,drawn:7,dreiundzwanzig:2,drop:[0,2,5,17,21],dsrc:12,dtd:[1,2,12,23],dtdlexer:12,dubi:12,dubinska:1,due:[0,2],duel:[1,2,12],duelengin:12,duellex:12,duplic:2,dure:12,durni:1,dustin:1,dutton:1,dyl:12,dylan:[1,2,23],dylanconsolelex:12,dylanlex:12,dylanlidlex:12,dynam:12,each:[7,11,18],earl:12,earlgrei:12,earlgreylex:12,earlier:[7,12],easi:[2,4,6,7,11,22,23],easier:[7,10,17,21],easiest:11,easili:[2,6,11,12,16,21,22],easytriev:[1,2,12],easytrievelex:12,eat:[2,5],ebnf:[1,2,12],ebnflex:12,ebuild:12,echdr:12,ecl:2,eclass:12,eclex:12,ecllex:12,ecsrc:12,edg:7,edit:13,edoardo:1,edp:12,edu:12,edward:1,eed:7,eee:17,eeeeeee:6,effect:7,efford:1,effting:1,egg:11,egorkin:1,eiffel:[1,2],eiffellex:12,either:[2,6,7,11,15,17],element:[7,11,18],elf:12,elia:1,elisp:12,elixir:[1,2,12],elixirconsolelex:12,elixirlex:12,ellipsi:2,elm:[2,23],elmlex:12,els:[6,7,11,12,15,18],elseif:11,emac:[1,2,3,12,17],emacslisplex:12,embed:21,emit:11,emph:18,emphas:18,empti:[2,7,11],emul:17,enabl:[3,7,16],enclos:[3,7,12,18],encod:[2,7,8,10,12,15,17],encount:[11,19],encyclopedia:21,end:[2,4,6,7,11,12,18,21],enforc:5,engin:[2,11,21],english:21,enhanc:[1,2],enough:[7,11,12],enriqu:1,ensur:11,ensurenl:[2,12],enter:[11,12],entir:11,entiti:[11,18],entri:[3,11,15],environ:[2,7,12],envnam:[2,7],eps:12,equal:[2,3,11],equal_sign:11,equival:[0,11,12],erb:[12,23],erblex:12,eric:1,erick:1,erl:12,erlang:[1,2,23],erlanglex:12,erlangshelllex:12,erron:11,error:[0,2,5,7,11,12,17,18,21],error_color:7,errortoken:5,es6:2,escap:[2,7,11,12,15,17,18],escapeinsid:7,escript:12,esoter:[2,11],especi:[12,18],etc:[2,3,5,6,18],eval:[0,11],even:[7,11,12,22],event:12,ever:23,everi:[0,2,5,7,11,15,17,22],everybodi:11,everyth:[11,12,14],evoqu:[1,2,12],evoquehtmllex:12,evoquelex:12,evoquexmllex:12,exact:17,exactli:[0,11],exampl:[0,3,4,5,6,7,10,11,12,13,17,18,19,21],examplefil:11,examplelex:11,excclass:5,except:[0,2,5,7,11,12,17,18],exclud:18,exec:10,exher:12,exhibit:2,exhypotheticlex:11,exist:[2,6,7,11,15,18],exlib:12,expand:[5,12],expans:3,expect:[0,3,11],experi:21,experiment:[2,7],explain:[6,14,15],explan:[3,18],explicit:[3,7],explicitli:[3,11],explor:7,express:[2,11,12,18],exrc:12,exs:12,ext:14,extempor:[1,2,12],extemporelang:12,extend:[2,7,11,12,17],extens:[2,3,11,12,15],extern:[2,7,9,12,13,16],extra:[7,12],extra_keyword:11,extract:[12,14],extrem:11,ezhil:[1,2,23],ezhillex:12,ezt:12,f00:17,f03:12,f90:12,facil:[1,12],fact:[0,12],factor:[1,2,23],factorlex:12,fail:2,failur:2,fallback:12,fallenstein:12,fals:[0,2,5,7,12,18],famili:[2,7],famlii:7,fan:12,fanci:[1,2,12,23],fancylex:12,fancypack:12,fancysrc:12,fancyvrb:7,fantom:[1,2],fantomlex:12,faq:22,far:11,faschingskrapfn:2,fast:[21,22],faster:2,fastest:12,favor:2,favorit:11,fayaa:21,fear:[11,22],featur:[2,7,11,12],feb:2,fed:5,feel:11,felix:1,felixlex:12,fenc:2,fennel:[1,2,12,23],fennellex:12,fernandez:1,few:[2,11,15,17,23],fext:7,ff0000:[6,17],ffffff:17,fhtml:12,ficarra:1,field:12,file:[0,1,2,3,6,7,9,10,11,13,15,17,18,19,21,23],filenam:[0,2,3,7,11,12,13,14,15],filetext:2,filetyp:12,filter:[2,8,12,14,15,18],find:[2,8,11,21],find_lexer_class:0,find_lexer_class_by_nam:[0,2],fine:12,finish:11,first:[0,2,5,6,7,11,12,15,18],firstchild:7,fish:[1,2,12],fisher:1,fishshel:12,fishshelllex:12,fix:[1,2,5,7,11,12,22],fixm:12,flag:[3,5,12,17],flatlin:[1,2,12],flatlinelex:12,flexibl:2,flo:12,florian:1,floscript:[1,2,23],floscriptlex:12,fluidic:21,flx:12,flxh:12,fmarc:2,fmter:7,fname:7,fnl:12,fnmatch:0,focus:21,fold:12,follow:[0,3,6,7,10,11,12,15,17,18,19],font:[2,6,7,15],font_nam:7,font_siz:[2,7],fontfac:7,fontfamili:7,fontsiz:7,foo:[2,5,7,11,12,18],foobar:[11,12],foreground:[7,17],forev:2,form:[0,1,3,6,7,11,12,17],formal:12,format:[0,2,3,6,7,15,21,22],formatt:[1,2,8,10,11,14,17,19],formatternam:0,forth:1,forthlex:12,fortran:[1,2,23],fortranfix:12,fortranfixedlex:12,fortranlex:12,forum:22,forward:[5,12],found:[0,2,3,7,9,11,12,15,22],four:[2,15],fourth:15,foxpro:[1,2,23],foxprolex:12,frag:12,fragment:[12,15],frame:2,framework:[1,2,21,23],free:[11,12,21],freefem:[1,2,23],freefemlex:12,freenod:22,freepasc:12,freewar:12,friendli:[7,12,17],frit:1,from:[0,1,2,3,4,5,6,7,10,12,13,14,15,16,17,18,19,20],front:21,frt:12,fruiti:2,fsharp:12,fsharplex:12,fsi:12,fulfil:11,full:[2,3,7,8,11,12,15],fulli:2,fulton:1,fun:[12,23],func_name_highlight:12,funcnamehighlight:12,funki:11,further:[7,18],furthermor:[12,17],fusesourc:12,futur:[6,12,18],futurewarn:2,galdino:1,galloi:1,gap:[1,2,12,23],gaplex:12,garg:1,garnotel:1,gas:12,gaslex:12,gautier:1,gave:2,gawk:12,gaynor:1,gdc:12,gemfil:12,gemspec:12,gener:[0,2,5,7,11,17,21,22],genshi:[1,12,23],genshilex:12,genshitext:12,genshitextlex:12,gentoo:2,geo:12,georg:[1,22],gerd:1,gerkin:1,gerwin:1,get:[0,2,5,7,10,11,12,13,18,19,20],get_:2,get_all_filt:5,get_all_lex:[0,12],get_all_styl:[0,17],get_bool_opt:[0,4],get_choice_opt:0,get_formatter_by_nam:[0,15],get_formatter_for_filenam:[0,15],get_int_opt:0,get_lexer_by_nam:[0,2,12,14,15],get_lexer_for_filenam:[0,15],get_lexer_for_mimetyp:[0,15],get_list_opt:0,get_style_by_nam:[0,17],get_style_def:[0,2,3,6,7,15],get_syntax_def:7,get_token:[0,2],get_tokens_unprocess:[0,11],getpreferredencod:19,gettext:[2,12,23],gettextlex:12,gherkin:[1,2,12,23],gherkinlex:12,giedriu:1,gif:[2,7],gifimageformatt:7,gilbert:1,gild:1,git:[2,21],github:[1,2,12],give:[2,3,5,7,11,15],given:[0,2,3,5,7,11,12,15,19],global:[11,18],glshaderlex:12,glsl:[2,12],glslsrc:12,glue:12,gm2:12,gnu:[2,12],gnumakefil:12,gnuplot:[2,12,23],gnuplotlex:12,gobbl:5,gobblefilt:5,goe:11,goetzmann:1,goj:[1,2],golda:1,golex:12,golo:[2,12],gololex:12,golovizin:1,good:[1,2,6],gooddata:[1,2,12],gooddatacllex:12,googl:[1,2],gordon:1,gosrc:12,goss:1,gosu:[2,12],gosulex:12,gosutemplatelex:12,gotthardt:1,govern:17,gracefulli:2,gradl:12,grai:[6,17],grammar:[11,12],grammar_not:12,graph:21,graphic:7,greater:12,greatli:2,green:17,greg:1,grei:[5,12],groff:[2,12,23],grofflex:12,groovi:[1,2,12,23],groovylex:12,group:11,groupid:10,grow:23,gsp:12,gst:12,gsx:12,guarante:18,guess:[0,2,3,7,12,19],guess_lex:[0,2,15],guess_lexer_for_filenam:[0,15],gui:[12,21],guib:1,guid:[5,12],gvimrc:12,hack:[8,14],hagelberg:1,hahn:1,half:7,haml:[1,2,12],hamllex:12,handl:[0,1,2,7,12,19],handlebar:[2,12],handlebarshtmllex:12,handlebarsj:12,handlebarslex:12,handlecodeblock:12,happen:11,harder:2,harriman:1,harrison:1,has:[0,2,3,4,6,7,9,10,11,12,17,19],hash:2,hashbang:18,haskel:[1,2,23],haskelllex:12,hatch:[1,2,22],have:[0,2,3,4,5,6,7,8,11,12,13,14,15,17,18,19,21,22],haven:[3,19],hax:[1,2],haxelex:12,haxeml:12,hazel:1,hbs:12,hdl:12,hdp:12,hdr:12,head:[3,11,12,18],header:2,headlin:[11,18],headline_callback:11,height:7,hello:[3,10,15,17],help:[0,11,21,22],helper:[2,11],hendershott:1,hendrick:1,herbstzeitlos:2,here:[6,7,8,9,10,11,14,15,17,20,21,23],heredoc:[2,18],hermoso:1,hess:1,hex:[2,6,12,18],hexadecim:[6,18],hexcat:12,hexdump:[1,2,12],hexdumplex:12,hierarchi:18,high:[12,14],higher:[7,21],highest:[0,15],highlight:[0,2,3,5,7,9,10,11,12,13,14,15,17,18,21,22],highlightt:7,hilit:21,hint:8,hiram:1,hiremath:1,hiroaki:1,histori:12,hl_color:[2,7],hl_line:[2,7],hlsl:[1,2,12,23],hlsli:12,hlslshaderlex:12,hoelz:1,hogan:1,hold:11,holli:1,home:22,hong:1,horizont:7,horn:1,host:[12,21,22],houghton:1,how:[0,2,6,7,8,10,11,12,15,16,17],howard:1,howett:1,howev:[2,7,15,21],hpp:12,hrl:12,hsa:12,hsail:[1,2,12],hsaillex:12,hspec:[2,12,23],hspeclex:12,htaccess:12,htdoc:13,htm:[7,11,12],html5:[2,7],html:[0,1,2,3,7,11,13,15,17,18,21,22,23],htmlcheetah:12,htmldjango:12,htmldjangolex:12,htmlformatt:[0,2,6,7,10,15,17,19],htmlgenshilex:12,htmllexer:[11,12],htmlphplexer:[11,12],htmlsmartylex:12,http:[1,2,10,12,20,21,23],httpd:12,httplexer:[2,12],huge:11,human:[0,12],hundr:11,hurl:21,hxml:12,hxmllexer:12,hxsl:12,hxx:12,hyb:12,hybri:[1,2,12],hybrislex:12,hylang:12,hylex:12,hyperlink:7,hypothet:11,hypotheticlex:11,i18n:2,i6t:12,i7x:12,iOS:2,ian:[1,2],icl:12,icn:12,iconlex:12,icu:12,icw:12,idc:12,idea:[2,10],ideal:12,identifi:[0,2,12,18],idl4:12,idl:[1,2,23],idllex:12,idr:12,idri:[1,2,12],idrislex:12,iec:12,ieee:12,ietf:12,iex:12,ignor:[6,7,12],ignorecas:11,igor:[1,2],igorexchang:12,igorlex:12,igorpro:12,ijs:12,imag:[1,2,7,12],image_format:7,image_pad:7,imageformatt:[2,7],img:7,immedi:0,implement:[0,2,6,7,12],implicit:18,imposs:11,improv:[1,2,5,7],inc:[1,12],incl:23,includ:[0,2,3,7,11,13,15,16,19,20,21],inclus:11,incollo:21,incompat:2,incomplet:[2,21],incorrect:2,incorrectli:12,increas:[7,11,22],incred:11,indent:[2,5,7,12],index:[0,7,11,20],indexerror:11,indic:[2,7,11],individu:7,industri:12,inencod:[2,3,19],inf:12,infer:3,inferno:1,infinit:2,influenc:11,info:[8,12],inform6:12,inform6lex:12,inform6templatelex:12,inform7:12,inform7lex:12,inform:[1,2,3,7,11,12,14,15,18],ing:2,inherit:[1,2,11,17],ini:[2,11,12,23],inilex:[11,12],initi:[2,5,6,11],initialis:11,inlin:[2,6,7,15],inlinestyl:13,ino:12,inozemtsev:1,input:[0,2,3,7,11,12,15,19],inputenc:7,insensit:[0,2],insert:[2,11,18],insid:[7,11,18],instal:[2,3,7,8,11,19,21],instanc:[0,2,4,5,10,11,12,13,17,18],instanti:[0,4,6,11],instead:[2,6,7,11,12,15,17,21],instruct:12,instrument:22,int_fict:12,integ:[0,12,18],integr:[2,7,12,21],intel:[2,12],intellig:21,interact:2,interchang:12,interfac:[0,2,8,12],intern:[4,11,15,19],internet:7,interpol:[2,18],interpret:[0,10],intr:12,introduc:[2,7,11,18],introduct:8,invalid:2,invari:11,invoc:7,iok:[1,2,12],iokelex:12,iokesrc:12,iolang:12,iolex:12,iosrc:12,ipf:12,ipython:2,irb:[12,23],irc:[2,7,12,21,22,23],ircformatt:7,irclog:12,irclogslex:12,irssi:[12,23],is_token_subtyp:18,isabel:[1,2,12],isabellelex:12,isn:[2,5,6,11,12],iso:12,issu:[2,22],ital:[6,7,12,17],italic:7,item:[6,11,14],iter:[0,2,5,6,7,11,17],itoh:1,its:[0,2,11,12,15,17,19],itself:11,ivan:1,jackson:1,jade:[1,12],jag:[1,2,12],jagslex:12,jame:1,jan:2,jann:1,januari:12,jar:10,jarrett:[1,2],jasmin:[1,2,12],jasminlex:12,jasminxt:12,java:[2,8,12,18,21,23],javalex:12,javascript:[2,7,11,18,23],javascriptdjangolex:12,javascripterblex:12,javascriptgenshilex:12,javascriptlex:[2,11,12],javascriptphplex:12,javascriptsmartylex:12,jbst:[1,2,12],jcl:[1,2,12],jcllexer:12,jeffrei:1,jeremi:[1,12],jerith:12,jerom:1,jesper:1,jinja2:2,jinja:[12,23],jlcon:12,jlexer:12,job:12,jochen:1,joe:1,joerg:1,john:1,join:[2,6,22],jon:1,jona:1,jordi:1,jpeg:7,jpg:[2,7],jpgimageformatt:7,jproperti:12,jsgf:[1,2,12],jsgflexer:12,jsm:12,json:[1,2,12],jsonbareobjectlex:12,jsonld:12,jsonldlex:12,jsonlex:12,jsonml:12,jsp:[12,23],jspeech:12,jsplexer:12,julia:[1,2],juliaconsolelex:12,julialex:12,jun:2,just:[2,6,7,11,12,17,18,22],justin:1,juttl:12,juttlelex:12,jython:[2,10,21],kaarsemak:[1,2],kabak:1,kal:[1,2,12],kallex:12,kalnitski:1,kashif:1,kconfig:[1,2,12],kconfiglex:12,keep:[2,7],kei:[0,6,11,12,17],ken:[1,12],kept:11,kernel:12,keyboardinterrupt:2,keyword:[0,2,4,5,6,12,15,17],keywordcas:[3,5],keywordcasefilt:5,kid:12,kif:12,kind:[2,18],kiril:[1,2],kirk:[1,2],kit:1,kki:12,klein:1,knibb:1,know:[2,15,18,21],knowledg:22,known:[0,3,5,11,17,21],koka:[2,12],kokalex:12,koltsov:1,konrad:1,koprowski:1,korean:12,kotlin:[1,2,12],kotlinlex:12,kowarsch:1,krekel:1,kriegisch:1,kristian:1,krzysiek:[1,2],kschutt:12,ksh:12,kubica:[1,2],kumar:1,kupperschmidt:1,kurt:1,kurzbach:1,label:[2,18],lack:23,lagda:12,lang_builtin:11,languag:[0,2,11,15,18,22],language_lex:11,larger:[7,13],larim:1,lasso:[1,2,12,23],lassocsslex:12,lassohtmllex:12,lassojavascriptlex:12,lassolex:12,lassoscript:12,lassoxmllex:12,lassu:1,last:[3,6,11,12,19],lasttyp:6,lastval:6,later:[6,7,11,12],latest:[20,22,23],latex:[1,2,7,12,15,17,21,22],latexformatt:[2,6,7],latin1:[2,3,19],latin:12,latter:[2,6,7],laurent:1,layman:1,layout:12,lazi:12,lcry:12,lcryptol:12,lead:[2,11,12,15],leaf:1,lean:[2,12],leanlex:12,learn:22,ledru:1,lee:1,left:[6,12],length:[7,11,12],lenient:12,less:[2,4,12],lesscss:1,lesscsslex:12,lessfilt:2,let:[6,12,15,22],letter:[5,7,12],level:12,lex:[0,2,11,12,21,22],lexem:12,lexer:[1,2,4,5,6,7,8,10,13,14,17,18,19,21,22,23],lexercontext:11,lexernam:0,lgt:12,lhaskel:12,lhs:12,librari:[2,6,7,9,12,15,19,21,22],licens:[2,18,21],lid:[2,12],lidr:12,lidri:12,life:12,light:[6,7,17],lightbg:7,lighti:12,lighttpd:[2,12,23],lighttpdconflex:12,like:[0,2,3,6,7,9,10,11,15,16,18,21,22],limbo:[1,2,12],limbolex:12,linden:12,line:[0,2,5,7,8,11,12,14,18,19,21,22],line_numb:7,line_number_bg:7,line_number_bold:7,line_number_char:7,line_number_fg:7,line_number_ital:7,line_number_pad:7,line_number_separ:7,line_number_start:[2,7],line_number_step:7,line_pad:7,lineanchor:[2,7],lineno:[2,3,7,15],linenospeci:7,linenostart:7,linenostep:7,linenumb:7,linesepar:7,linespan:[2,7],linewis:12,linh:1,link:[2,7,12,21],linux:[12,20],liquid:[2,12],liquidlex:12,lisp:[1,2,12,18,23],list:[0,2,3,5,7,8,12,14,15,20,21,22,23],listen:2,liter:[1,2,6,7,11,12,23],literateagdalex:12,literatecryptollex:12,literatehaskelllex:12,literateidrislex:12,litstyl:12,littl:[2,12],live:12,livescript:[1,2,12],livescriptlex:12,llvm:[2,12,23],llvmlexer:12,load:[0,1,2,3,11,12],load_formatter_from_fil:[0,2],load_lexer_from_fil:[0,2,11],local:[2,3,11,19],locat:2,log:[1,2,12,22,23],logo:[1,2,12],logoslex:12,logtalk:[1,2,12,23],logtalklex:12,longer:[2,11],longest:11,longnam:0,look:[0,3,5,7,8,10,11,12,15,17,18,21,22,23],lookup:[0,11,14],loop:[2,15],lorentz:1,lot:[2,10,11],loui:1,lovelac:[1,2],lower:5,lowercas:[5,12],lsl:[2,12],lsllexer:12,lsp:12,lua:[1,2,12,23],lualex:[11,12],lubomir:1,luca:1,luka:1,m2iso:12,m2pim:12,m2r10:12,mabei:1,mac:[2,12],macarthur:1,machineri:21,macro:[1,6,7],made:[2,11],magenta:17,magic:[2,18],mai:[0,2,3,5,7,11,12,15,17,23],mail:[21,22],main:[2,3,7,11,12,20],mainfram:12,mainli:12,maintain:[1,17,22],major:[1,2,20],mak:12,make:[2,4,7,10,11,12,15,17,19],makefil:[2,23],makefilelex:12,mako:[1,2,12,23],makocsslex:12,makohtmllex:12,makojavascriptlex:12,makolex:12,makoxmllex:12,malform:12,malzeug:2,man:12,manag:[12,20,21],mandatori:0,mandel:1,mani:[1,2,7,11,16,17,22],manpag:[2,12],manual:12,mao:12,map:[2,7,11,12,13,15,17],mapfil:11,maql:[1,12],maqllex:12,mar:2,marchand:1,marek:[1,2],margaritelli:1,margin:7,mario:1,mark:[1,5,11,18],markdown:[1,2,12],markdownlex:12,marker:12,markup:[2,6,7,13,15,18,21],martin:1,mask:12,maskj:[1,2],masklex:12,mason:[1,2,12,23],masonlex:12,master:12,match:[0,2,11,12,15,18],math:[2,7,12],mathematica:[2,12],mathematicalex:12,mathescap:7,matlab:[1,2,23],matlablex:12,matlabsess:12,matlabsessionlex:12,matt:[1,2],matteo:1,matter:14,matthew:1,matthia:[1,12],mauricio:1,maven:10,mawk:12,max:1,maxim:12,maximum:11,mayb:12,mcdonald:[1,2],mcgregor:1,mckamei:1,mckee:1,mckenna:1,mclaughlin:1,mean:[0,2,3,5,7,11,12,14,16,19,21],meant:18,mechan:[0,7,15,22],media:2,member:[11,12],mention:14,menu:9,menuconfig:12,mercuri:[20,21,22],merg:[2,5,11],messag:18,meta:[7,12],metacharact:11,metaclass:[0,6],metadata:14,metamodel:12,method:[0,2,3,4,5,6,7,11,12,15,18],meuser:1,mher:1,mhtml:12,michael:1,michiel:1,micro:12,microsoft:7,middl:5,might:[6,11,12,17,19],miikka:1,mike:1,miller:1,mime:[0,2,12],mimetyp:[0,2,12],minhe:1,minid:[1,2,12,23],minidlex:12,minidsrc:12,minim:[6,12],minimum:2,minor:2,mior:1,mirc:1,misc:2,misdetect:2,mishandl:2,mishighlight:2,miss:[2,11,12],mit:12,mitchen:1,mixtur:12,mli:12,mll:12,mly:12,mma:12,mod:12,mode:[2,7,12],modelica:[1,2,12,23],modelicalex:12,modelin:[1,2],modelvers:10,modif:11,modifi:[0,7,15],modul:[0,2,4,5,7,11,12,14,15,18],modula2:12,modula2lex:12,modula:[1,2,23],modulo:12,mof:12,moin:[2,12,13],moinmoin:[2,8,12,23],moinwikilex:12,mondrian:17,mondrianstyl:17,monkei:[2,12],monkeylex:12,mono:[2,7],monofont:7,monokai:[1,2],monospac:7,mont:[1,2],montelex:12,moo:12,moocod:[1,2,12],moocodelex:12,moon:12,moonscript:[1,2,12],moonscriptlex:12,morai:1,more:[2,3,6,7,10,11,12,15,22],morton:1,most:[0,2,6,7,11,12,17,20,22],moura:1,movsisyan:1,mozhashpreproc:12,mozilla:[2,12],mozpercentpreproc:12,mozpreproc:12,mozpreproccsslex:12,mozpreprochashlex:12,mozpreprocjavascriptlex:12,mozpreprocpercentlex:12,mozpreprocxullex:12,mq4:12,mq5:12,mqh:12,mql4:12,mql5:12,mql:[2,12],mqllexer:12,msc:12,mscgen:[1,2,12],mscgenlex:12,msdo:[1,2,12],msdossessionlex:12,much:[2,11,12],muhamedag:1,multi:[2,11,18],multilin:[2,11,18],multipl:[2,7,12],mupad:[1,2,12,23],mupadlex:12,must:[0,3,5,6,7,11,12,15,17],muthiah:1,mxml:[1,2],mxmllexer:12,myghti:[1,2,12,23],myghtycsslex:12,myghtyhtmllex:12,myghtyjavascriptlex:12,myghtylex:12,myghtyxmllex:12,mygthi:12,mylex:11,mynewlex:11,mypythonlex:11,mysql:[2,12,23],mysqllex:12,mystyl:17,myt:12,nafu:7,nam:1,name:[0,2,4,5,7,11,12,13,14,15,17],namehighlightfilt:[2,5],namespac:[1,2,18],nasm:[2,12],nasmlex:12,nasmobjdumplex:12,nathan:1,nativ:[7,12],naveen:1,nawk:12,nbp:12,nbsp:18,ncar:2,ncl:12,ncllexer:12,nearest:7,necessari:7,need:[0,2,4,6,7,11,12,14,15,21,22],needl:11,nelson:[1,2],nemerl:[1,2,12,23],nemerlelex:12,neo4j:12,nesc:[1,2,12],nesclex:12,nescsrc:12,nest:[2,11,12],net:[2,22,23],network:22,neufeld:1,neujahr:2,never:11,nevertheless:11,new_stat:11,newest:2,newli:[11,18],newlin:[2,5,7,11,12],newlisp:[2,12],newlisplex:12,newspeak:[2,12],newspeaklanguag:12,newspeaklex:12,next:[11,12],ng2:12,nginx:[2,12,23],nginxconflex:[2,12],nguyen:1,nick:1,nil:[1,12],nimrod:[1,2,23],nimrodlex:12,nit:[1,2],nitlex:12,nix:[1,2,7],nixlex:12,nobackground:7,nobodi:18,nobold:17,noclass:[2,7],noclobber_cssfil:[2,7],noehr:1,noinherit:17,noital:17,nolta:1,non:[2,3,7,11,17,19],none:[0,4,6,7,11,12,18],nonempti:7,nontermin:12,nonzero:5,normal:[0,3,4,5,11,12,18],norman:1,north:1,notabl:12,note:[4,5,7,11,12,14,15,17,18,19,23],notebook:2,noth:11,notifi:22,nounderlin:17,nov:2,now:[2,7,11,12,19],nowrap:7,nprint:15,nqp:12,nresult:10,nroff:12,ns2:12,nsh:12,nsi:[2,12],nsislex:12,nth:7,nullformatt:[6,7],number:[2,3,5,6,7,11,12,15,17,18,21,22],numer:[2,12],numpi:[1,2,12],numpylex:12,nusmv:[1,2,12],nusmvlex:12,nvidia:12,obei:7,obj:12,objc:[2,12],objdumb:12,objdump:[2,12],objdumplex:12,object:[0,1,2,5,6,7,11,15,18,19,23],objectivec:[1,2,12],objectiveclex:12,objectivecpplex:12,objectivej:12,objectivejlex:12,objectpasc:12,objj:12,objm2:12,obrist:1,obviou:11,ocaml:[2,12,23],ocamllex:12,occasion:19,occur:11,oct:[2,18],octal:18,octav:[1,2,12,23],octavelex:12,odbc:12,odd:2,odin:12,odinlex:12,off:[0,5,12],offload:2,offset:7,often:[11,16],old:[2,17,18],oldhtmlformatt:6,oleh:1,oliva:1,olivi:1,olov:1,omg:12,omit:3,onclick:7,one:[0,2,3,5,6,7,11,12,15,17,19],ones:[0,11,12],onli:[0,2,3,7,11,12,15,18,21],onlin:20,onto:11,ooc:2,ooclex:12,opa:[1,2,12],opalang:12,opalex:12,open:[6,11,14,22],openbug:12,opencobol:[1,2,12],openedg:[1,2,12],openedgelex:12,opengl:12,openingcommentdelim:12,oper:[1,2,11,17],optim:[2,11],option:[1,2,4,5,6,9,11,12,13,17,19],optionerror:0,optnam:0,orc:12,orchestra:12,order:[2,12,15,21],ordinari:12,org:[1,2,10,12,20,22],origin:[2,12],other:[1,2,7,11,13,17,18,19,21,22],otherlex:11,otherst:11,otherwis:[0,7,11,12],our:22,out:[2,3,5,10],outencod:[2,3,7,19],outfil:[0,6,7,15],outlin:21,output:[0,2,3,4,5,6,7,11,13,15,18,19,21,22],outsid:[11,12],over:[0,2,6,7,11,15,17,22],overhaul:1,overload:18,overrid:[0,2,3,4,6,7,11,12,19],overridden:0,overview:[15,17],overwrit:6,overwritten:7,owen:1,own:[0,5,7,8,18,23],oxford:1,ozarowski:2,p6l:12,p6m:12,pace:22,pacemak:12,packag:[2,7,11,18],pacman:12,pacmanconf:12,pacmanconflex:12,pad:7,page:[0,2,7,10,11,12,13,14,15,23],paid:22,paint:21,pair:[0,11],pan:[2,12],panlex:12,pannuto:1,paper:12,paramet:[6,11],parasail:[1,2],parasaillex:12,parent:[6,11,18],parenthesi:11,paris:1,pars:[2,6,12,21],parser:[2,13,18],part:[6,11,12,18],partial:2,particular:[3,7,12],partner:12,pas:[3,12],pascal:[2,3,5],pass:[2,3,4,6,7,11,12,15,17,19],past:[7,12],pastebin:[12,21],pastebox:21,pat:1,patch:[1,2,18],path:[7,11,12,18,21],patrick:1,pattern:[0,2,7,11,14,15],patx:21,paul:1,paulo:1,pawn:2,pawnlex:12,pcmk:12,pdf:[12,21],peculiar:2,pekka:1,peopl:16,pep:2,pepijn:1,per:[2,3,12,17,19],percent:12,perfect:7,perform:[2,11,12,18],perl6:12,perl6lex:12,perl:[1,2,15,18,22,23],perllex:[12,15],permit:12,persist:12,pete:1,peterson:1,pfannschmidt:1,pgsql:12,phil:1,philosophi:17,php3:12,php4:12,php5:12,php:[1,2,9,11,18,21,23],phpbb:7,phplexer:[11,12],phpygment:[9,21],phtml:12,picheta:1,pick:7,pida:21,piec:[7,15],pierr:[1,2],pig:[1,2,12],piglex:12,pigment:21,pike:[1,2,12],pikelex:12,pil:[2,7],pilcrow:5,pim:12,pinkham:1,piotr:2,pip:[2,20],pipe:[12,15],pixel:7,pixmap:7,pkg:12,pkg_resourc:2,pkgbuild:12,pkgconfig:12,pkgconfiglex:12,pl6:12,place:[2,12,20,22],plain:[2,12,15],platform:[12,20,21],pleas:[7,8,14,21],plot:2,plpgsql:12,plpgsqllexer:12,plrm:12,plt:12,plu:[2,7,11,12,23],plugin:[8,12,13,17,21],pm6:12,pmod:12,png:[2,7],pocoo:22,pod:2,point:[5,7,11],polici:12,pom:10,poni:[2,23],ponylex:12,pop:[2,11],popular:12,port:2,pos:11,posh:12,posit:[0,2,11],possibl:[2,6,7,10,11,12,15,18,19,21],post:7,postgr:12,postgresconsolelex:12,postgreslex:12,postgresql:[1,2,12],postmarkup:21,postscr:12,postscript:[1,2,12,23],postscriptlex:12,pot:12,pov:[2,12,23],povrai:[12,23],povraylex:12,power:[11,21],powershel:[1,2,12,23],powershelllex:12,powershellsessionlex:12,praat:[1,2],praatlex:12,pre:[2,6,7,10,12,15],preambl:7,preced:[2,11],prefer:11,prefix:[2,7,11,12,14,18],preimplement:11,prepar:11,prepend:[3,7],preproc:18,preprocess:12,preprocessor:[2,9,11,12,18],present:[2,12,21],preserv:7,prestyl:[2,7],prettifi:22,prevent:[11,17],previou:11,previous:2,prg:12,primari:[12,15,22],primit:12,principl:18,print:[3,7,10,12,15,17,18,21],printabl:12,println:10,privaci:21,pro:[1,2],probabl:[0,4,12],problem:[2,7],proc:12,procedur:[2,11,12],process:[2,3,11],processor:[1,2,9],produc:[0,2,7,11,12,15],profil:12,progopedia:21,program:[2,16,18,22],progress:12,project:[2,7,10,12,17,21,22],prolog:[2,23],prologlex:12,prompt:[2,12,18],proof:12,proper:11,properli:[2,21],properti:[2,7,12],propertieslex:12,propos:18,proprietari:12,proto:2,protobuf:12,protobuflex:12,protocol:[1,2,12],prototyp:12,prover:12,provid:[0,2,3,6,7,9,11,12,17],prs:12,prynn:1,prypin:1,ps1:12,ps1con:12,psc:12,pseudo:[11,12,18],psi:12,psl:12,psm1:12,psql:12,pth:2,publicli:11,publish:12,pudb:21,pug:12,puglex:12,pull:[2,22],pumbaa80:2,punctuat:12,puppet:[1,2,12],puppetlex:12,pure:12,purpos:[6,12,15],push:11,put:[7,11,12,13,17],pwn:12,pxd:12,pxi:12,py3:12,py3tb:12,pybtex:12,pycon:12,pygment:[1,3,4,5,6,7,11,14,15,17,18,19,20,22,23],pykleur:2,pypi:[1,2,7,12,20],pypylog:12,pypyloglex:12,pyrex:12,pytb:12,python3:[2,12],python3lex:[12,17],python3tracebacklex:[2,12],python:[1,2,3,7,9,10,11,13,15,16,18,20,21,22,23],pythonconsolelex:[2,12],pythoninterpret:10,pythonlex:[5,10,11,12,15,19],pythontracebacklex:[2,12],pyw:12,pyx:12,qbasic:[2,12],qbasiclex:12,qbs:12,qbzr:21,qdeclarativeintroduct:12,qml:[1,2,12],qmllexer:12,qualifi:12,qualiti:22,quickstart:[2,8],quit:[2,4,7,21,22],quot:[2,3,10,15,18],quotat:5,qvt:[1,2],qvto:12,qvtolex:12,r10:12,r5r:12,rabel:1,racket:[1,2,12],racketlex:12,ragel:[1,2,12,23],ragelclex:12,ragelcpplex:12,rageldlex:12,ragelembeddedlex:12,rageljavalex:12,ragellex:12,ragelobjectiveclex:12,ragelrubylex:12,rai:[2,23],raichoo:1,rainbow:[1,2],rais:[0,2,5,7,12],raiseonerror:5,raiseonerrortokenfilt:[2,5],rake:12,rakefil:12,rang:[0,12,21,22,23],rare:2,rasul:1,rather:11,raw:[2,7,12,18],rawtokenformatt:[2,7,12],rawtokenlex:[7,12],raytrac:12,rbcon:12,rbnf:12,rbw:12,rbx:12,rconsol:12,rconsolelex:12,rdlexer:12,rdoc:2,read:[7,11,12,22],readabl:0,readili:6,realli:[7,11,12,14,18],reason:12,reb:12,rebol:[1,2,23],rebollex:12,rebuild:11,receiv:2,recent:12,recogn:[0,2,3,11,12],recognis:[7,12,18],recommend:[7,20],recreat:12,recurs:11,red:[2,6,7,12,15,17,23],redcod:[1,2,12,23],redcodelex:12,redlex:12,redund:15,reed:1,refactor:1,refer:[2,4,6,7,8,11,12,15],reg:12,regard:3,regedit:12,regeditlex:12,regex:[2,18,22],regist:[0,2,4,5,8,12,13,17],registri:[2,12],regress:2,regular:[2,7,11,18,19],reidi:1,rel:[0,3,7,11],relas:2,relaxng:12,releas:[2,20,22,23],reli:14,remov:[2,7,11],renam:13,render:[2,7,9,11,12,17],renviron:12,repeat:11,repl:12,replac:[2,5,7,11],report:12,repositori:[21,22],repr:7,repres:[15,18],represent:7,request:[2,7,21,22],requir:[2,11,12,14,22],requiredelimit:12,research:12,reserv:[12,18],resolv:11,resort:[3,19],resourcebundl:[2,12],resourcelex:12,respect:[2,7,17],respons:13,rest:[2,5,8,11,12,22,23],restrict:12,restructur:2,restructuredtext:[2,12,21],result:[0,2,7,10,11,12,15,17,19],retain:2,reuben:1,review:21,revis:12,rewrit:[1,2],rewritten:12,rewrot:2,rex:12,rexx:[1,2,12],rexxlex:12,rgb:17,rhistori:12,rhtml:12,rhtmllexer:12,richard:1,richardson:1,right:[5,19],rigor:12,rintel:1,risc:12,rkt:12,rktd:12,rktl:12,rnc:12,rnccompactlex:12,rng:12,rob:1,roberg:[1,2],robert:1,roboconf:[1,2],roboconfgraphlex:12,roboconfinstanceslex:12,robot:[1,2,23],robotframework:12,robotframeworklex:12,roff:12,rolling:1,roman:2,ronach:[1,22],ronni:1,roo:1,root:[7,11,12],root_lex:11,rostyslav:1,roughli:7,rout:12,roux:21,row:7,rpf:12,rpm:[2,12,23],rpmspeclex:12,rprofil:12,rql:[2,12],rqllexer:12,rrggbb:6,rrt:2,rsl:[1,2,12],rsllexer:12,rss:12,rst2pdf:21,rst:[12,16,18],rstlexer:12,rtf:[1,2,7,12,15,21,22],rtfformatt:7,rts:12,rtslexer:12,rubi:[1,2,9,11,18,21,23],rubiniu:12,rubyconsolelex:[2,12],rubylex:[12,15],rudolph:1,ruggier:1,rule:[2,3,7,11,12,13,18],run:[0,7,10,11,12,21],runtim:10,runtimeerror:[7,18],rust:[1,2,23],rustlex:12,rvt:12,sage:12,salminen:1,salt:12,saltstack:12,sam:1,same:[2,3,5,6,7,11,12,17,18],sampl:[9,11,13,16],samplemanag:12,san:[2,7],sandalski:1,sane:7,sap:12,sarl:12,sarllex:12,sas:12,saslex:12,sass:[1,2,12],sasslex:12,sasso:1,save:[2,11],sbatch:12,sbl:12,scala:[1,2,12,23],scalalex:12,scalat:12,scaml:[1,12],scamllex:12,scd:12,sce:12,scenario:8,scene:[2,23],schafer:1,schemaloc:10,scheme:[1,2,12,23],schemelex:12,schutt:[1,12],schwaiger:1,schweizer:1,schweyer:1,sci:12,scientif:12,scilab:[1,2,12,23],scilablex:12,scm:12,sco:12,sconscript:12,sconstruct:12,scope:[10,21],score:12,screen:13,script:[2,3,11,14,15,16,21,23],scss:[2,12],scsslexer:12,search:[2,11],sebastian:1,second:[2,11,12],secondari:15,section:[11,21],see:[0,2,3,5,6,7,11,12,15,17,19,21,22],seem:11,seen:3,sel4:12,select:[0,2,3,7,11,12,15],selector:[2,3,7,15],self:[0,4,6,7,11,12,18],semant:15,semicolon:2,send:[6,11,12,19,22],sensit:2,sep:2,separ:[2,3,7,11,12],sequenc:[0,2,7,15,17,18,21,22],sequenti:12,serial:12,server:[2,12,23],servic:[1,21],session:[1,2,23],set:[2,6,7,9,10,11,12,13,15,17,18,19],setup:3,setuptool:14,sever:[2,11,12],sgf:[1,2,23],shade:17,shader:[12,23],shadow:12,share:21,shaw:1,sheet:[3,12],shell:[1,2,3,21,23],shellscript:12,shellsess:[1,12],shellsessionlex:2,shen:[1,2,12],shenlex:12,ship:[6,9,11,13,16,17],shorten:12,should:[0,2,5,6,7,11,12,13,14,17],shouldn:[2,11],show:[2,7,11,12],shown:[7,11],siafoo:21,sieker:1,sig:12,sign:[2,3,5,11],signatur:18,sil:12,silver:[2,12],silverlex:12,similar:[2,11,15,18],simmon:1,simon:1,simonov:[1,2],simpl:[2,9,10,11,22],simplefilt:4,simpli:11,simplifi:2,simplist:12,simpson:1,sinc:[0,2,3,4,7,9,10,11,12,15,17,19],sing:1,singl:[2,11,18,19],singlelin:11,singleton:18,site:21,size:[6,7],skip:11,slash:[1,2,11,14,23],slashlex:12,slexer:[2,12],slightli:2,slim:[2,12],slimlex:12,slowdown:12,slowish:2,sls:12,slurm:[2,12,23],slurmbashlex:12,smali:[1,2,12],smalilex:12,small:[2,12,15,17],smaller:2,smalltalk:[1,2,23],smalltalklex:12,smart:[3,19],smarter:2,smartgameformatlex:12,smarti:[12,23],smartylex:12,smishlajev:1,sml:12,smllexer:[11,12],snapshot:10,snippet:[12,15,16,17,21],snobol4:12,snobol:[1,2,23],snobollex:12,snowbal:[1,2,12],snowballlex:12,softwar:[1,12],solar:[1,2],solvabl:11,some:[0,2,4,6,7,11,12,15,17,18,21],somelex:[3,11],someth:[6,10,11,15,18],sometim:[7,11],somewhat:12,sound:11,sourc:[1,2,5,7,9,11,13,14,15,16,19,21,22],sourcecod:[2,7,12,16],sourcepawn:[1,2,12],sourcepawnlex:12,sourceslist:12,sourceslistlex:12,space:[2,3,5,7,11,12,17,23],spacehack:7,spam:[11,15],span:[2,3,7,10,15],sparql:[1,2,12],sparqllex:12,spec:[2,12,23],special:[2,3,5,7,11,18,22],specif:[2,3,7,11],specifi:[0,3,6,7,11,12,17,18],speed:2,spell:11,sphinx:[2,21],spigarelli:1,spitfir:[2,12],split:[0,2,3,15,17,18],splitlin:2,splu:12,spt:12,sql:[1,2,23],sqlite3:[2,12],sqlite:23,sqliteconsolelex:12,sqllexer:12,squeak:12,squid:[1,2,12,23],squidconf:12,squidconflex:12,src:12,ssp:[2,12],ssplexer:12,stabl:22,stack:[2,11],stan:[1,2,12],stand:21,standalon:10,standard:[0,1,2,3,6,7,12,15,21],standard_typ:18,standardml:12,stanlex:12,stap:1,star:11,start:[0,2,6,7,8,11,12,18],starter:11,startinlin:12,stata:[1,2],statalex:12,state1:11,state2:11,state:[2,12],statement:[0,2,12],staticmethod:0,statist:2,statu:12,stdin:12,stdout:[3,19],stefan:[1,12],step:[7,11,21],stepan:1,stephen:1,steve:1,steven:1,still:[2,7,11,17,18,19],stingrai:12,stolen:12,store:[7,11,21],stou:1,strachan:1,straightforward:6,strang:11,stream:[0,2,3,4,5,6,7,12,15,19],strict:2,string:[0,2,3,5,6,7,10,11,12,15,17,18,19],string_to_tokentyp:18,stringio:7,strip:[11,12,15],stripal:[0,12,15],stripnl:[0,2,12],strong:18,strongli:12,structur:12,stuart:1,studio:[2,12],stuff:7,style:[0,1,2,5,7,8,11,14,15,18,23],style_map:[0,17],stylebegin:6,styleend:6,styleguid:5,stylemap:6,stylenameclass:17,stylesheet:[2,3,7,13,15],styleshet:12,subclass:[0,2,7,12,17],subdirectori:13,subhead:[11,18],subheadlin:18,subpackag:[2,17],subsequ:[0,7,12],subset:12,subsystem:12,subtoken:17,subtyp:18,successor:[21,23],suffix:[2,11],suggest:8,suit:[1,2,11],suitabl:[0,2,7,22],sullivan:1,supercollid:[1,2],supercolliderlex:12,superset:12,supertoken:17,suppli:[12,18],support:[1,2,3,6,7,11,12,15,16,17,18,22],suppos:[7,15],suppress:2,sure:[3,11,12,22],surpris:2,sven:1,svg:[1,2,7,12],svgformatt:7,svh:12,svn:12,swallow:2,swg:12,swift:[1,2,12],swiftlex:12,swig:[1,2,12],swiglex:12,sybas:12,sylvestr:1,symbol:[2,12,18],synonym:11,syntact:[18,21],syntax:[2,3,7,11,17,18,21,22],syntaxerror:2,sys:[3,19],system:[3,7,10,11,20],systemverilog:[1,2,12],systemveriloglex:12,sysutil:12,tab:[2,5,7,12],tabl:[2,7],tabsiz:[0,5,12],tac:12,tad:[1,2,12],tads3:12,tads3lex:12,tag:[2,3,5,6,7,11,12,15,18],tagsfil:7,tagurlformat:7,tail:12,take:[0,2,5,7,11,12,15,20,21,22,23],taken:[0,2,12],tamil:[12,23],tango:[1,2],tanner:1,tap:[1,12],taplex:12,tarbal:[2,11],target:12,task:12,tasm:12,tasmlex:12,tassilo:1,tcl:[1,2,23],tcllexer:12,tcsh:[1,2,12,23],tcshcon:12,tcshlexer:12,tcshsessionlex:12,tea:[1,2,12,23],team:[8,17,22],teatemplatelex:12,techniqu:11,ted:1,tell:[7,11],templat:[0,2,11,15],tenani:1,teng:[1,2],tera:[1,2,23],teraterm:12,teratermlex:12,teratermmacro:12,term:[1,2,11,15,23],termcap:12,termcaplex:12,termin:[1,2,3,7,12,15,19],terminal16m:7,terminal256:[1,2,7],terminal256formatt:[7,17],terminalformatt:[2,3,7],terminaltruecolorformatt:7,terminfo:12,terminfolex:12,ternari:2,terraform:[1,2,12],terraformi:12,terraformlex:12,test:[1,2,3,15,18],testcas:7,testcaseformatt:7,tex:[7,12,23],texcom:7,texlex:12,text:[0,2,3,7,11,15,16,17,18,19,21,22],textedit:12,textfmt:12,textlex:12,than:[2,9,11,17],thank:[1,2,7,10],thei:[0,2,6,7,11,12,14,15,17,18],them:[0,2,5,7,11,12,15,18,21,22,23],theme:[2,17],themselv:11,theori:7,therefor:[3,7,12],thi:[0,2,3,5,6,7,10,11,12,13,14,15,16,17,18,19,20,22,23],thing:11,think:[0,19],third:11,thoma:1,thoroughli:21,those:[6,11,12,14,18],though:[12,21],three:2,thrift:[1,2,12],thriftlex:12,through:[3,11,15],thu:[7,17],thurgood:[1,12],thy:12,tiberiu:[1,2],ticket:[2,22],tiffin:1,tim:[1,2,22],time:[2,7,11],timhatch:1,timothi:1,titl:[0,7],tmp:11,tmpl:12,toc:12,todo:[2,5,12],todotxt:12,todotxtlex:12,togeth:[7,12,15],toggl:7,token:[0,2,3,4,5,6,7,8,12,15,17],token_typ:6,tokenmerg:5,tokenmergefilt:5,tokensourc:[0,6],tokenstr:7,tokentyp:[0,5,7,11],tolbert:1,toler:11,tom:1,toml:[1,2,12,23],tomllex:12,too:[2,3,11,12],tool:[2,12,21,22],top:[7,11,13],toplevel:11,topmost:11,total:[5,11],totaldownload:12,tpl:12,trac:[2,12,21,23],traceback:[1,2,12,18,23],tracker:[2,21,22],traffic:12,trafficscript:1,trail:[12,15],trailer:2,trait:12,transact:[1,12],transactsqllex:12,transcript:12,transform:12,translat:2,transpar:17,treat:17,treat_stdlib_adts_as_builtin:12,tree:12,treetop:[1,2,12],treetoplex:12,trevor:1,tri:[2,3,11,19],trick:[8,15],tricki:11,trigger:7,troff:12,trove:2,trust:11,trute:1,tryzelaar:1,tspan:7,tsql:12,tst:12,tsx:12,ttl:12,ttype:[4,6],tupl:[0,6,7,11,12],turbo:12,turbopasc:12,turn:12,turtl:[1,2,12],turtlelex:12,tutori:10,twig:[2,12],twightmllex:12,twiglex:12,two:[6,7,11,12,15],twowaybind:12,txt:[2,7,12],type:[0,2,5,6,7,11,12,15,17,18],typescript:[1,2,12],typescriptlex:12,typeset:[7,12],typic:12,typo3:12,typo3cm:12,typoscript:[1,2],typoscriptcssdata:12,typoscriptcssdatalex:12,typoscripthtmldata:12,typoscripthtmldatalex:12,typoscriptlex:12,typoscriptrefer:12,ucodelex:12,udalov:1,udiff:[2,12],udo:12,unabl:11,unbalanc:12,unchang:7,uncolor:4,uncolorfilt:4,under:[11,20,21],underlin:[6,7,12,17],underscor:2,understand:[7,14,17],undocu:12,unexpect:19,unfold:12,unfortun:11,unhandl:12,unicod:[2,5,7,8,11,12,15],unicodedecodeerror:19,unicodeerror:2,unicodelevel:12,unicon:2,uniconlex:12,unifi:[2,12],uniqu:[0,15],unistr:2,unit:[2,12],univers:[1,21],unix:12,unknown:12,unless:7,unlex:12,unlimit:18,unmatch:12,unmodifi:11,unnecessari:11,unnecessarili:12,unpack:11,unquot:2,unsign:2,unstyl:18,until:11,untouch:12,unus:18,updat:[1,2,7,12],upper:[3,5],uppercas:[5,15,18],urbi:12,urbiscript:[1,2],urbiscriptlex:12,usabl:[0,6,17,21,22],usag:[0,3,21],use:[2,3,4,5,6,7,10,11,12,13,14,15,16,17,18,19,22],used:[0,2,3,4,5,6,7,11,12,13,14,15,17,18,21],useful:[2,5,7,12,18,21],usepackag:7,user:[0,7,9,12],uses:[0,2,4,7,9,10,11,15],usesyslog:12,using:[0,2,3,4,7,11,12,15,16,17,18,19,20,21],usr:15,usual:[7,15,20],utf8:2,utf:[2,7,10,12,19],util:[0,2,4,21],v4_0_0:10,vala:[1,2,12,23],valalex:12,valentin:1,valid:[0,12,21],vallentin:1,valu:[0,2,3,4,5,6,7,11,12,14,17,18],valueerror:2,van:1,vapi:12,vari:17,variabl:[2,7,10,11,12,18],variant:[12,17],varieti:17,variou:[0,2,8,23],vark:12,varnish:[1,2],varrazzo:1,varun:1,vba:12,vbnet:12,vbnetaspxlex:12,vbnetlex:12,vbs:12,vbscript:[1,2,12],vbscriptlex:12,vcl:12,vcllexer:12,vclsnippet:12,vclsnippetlex:12,vclsrc:12,vctreestatu:12,vctreestatuslex:12,veloc:12,velocityhtmllex:12,velocitylex:12,velocityxmllex:12,vera:7,verbatim:[2,7],verbopt:7,verbosepkglist:12,veri:[0,4,11,12,22],verilog:[2,12,23],veriloglex:12,version:[0,3,4,5,7,10,11,12,15,17,18,19,21,23],versionad:12,vert:12,vfp:12,vgl:[1,2,12],vgllexer:12,vhd:12,vhdl:[1,2,12,23],vhdllexer:12,via:[7,9,12,19],view:[11,12,22],viewer:[7,21],viewvc:21,vim:[1,2,12,23],viml:12,vimlex:12,vimrc:12,vincent:1,vinot:1,visibl:[2,5],visiblewhitespacefilt:[2,5,18],vision:12,visit:15,visual:[1,2,23],vnd:12,voelker:1,volunt:22,vpr:12,wai:[2,7,11,17,18,19],wait:21,want:[3,5,7,10,11,12,13,14,15,17,18],wasn:11,watch:23,wavemetr:12,wdiff:[1,2,12],wdifflex:12,web:[11,21],webmisc:12,websit:2,weechat:[2,12],weechatlog:12,weight:[7,15],weizenbaum:1,welcom:15,well:[2,6,7,12,21,23],were:[2,14],what:[2,3,15],when:[2,5,7,11,12,15,17,22],where:[0,6,7,11,13,15,17,22],whether:[0,2,7],whetsel:1,which:[0,2,3,5,7,10,11,12,14,15,17,18,19,20],whilei:[1,2],whileylex:12,white:17,whitespac:[0,2,5,7,11,12,15,17,18],whitnei:1,whole:[6,7,11],whose:[2,12,13,15],why:[4,21,23],wide:[12,21,22],width:7,wiki:[2,12,13,21,22,23],wikipedia:21,wildcard:3,william:1,willing:13,winbatch:12,winbug:12,window:[2,7,12,23],winkler:1,winner:2,winston:2,winter:[1,12],wish:21,within:[0,7,12],without:[0,2,7,11,12,14,18],wlua:12,wolfram:12,won:[3,4,7,11],word:[2,3,5,7,11,12,18],wordpress:21,work:[2,3,5,7,10,11,12,15,17,20],workaround:7,world:[3,10,15,17],would:[5,6,7,10,11,12,18],wouldn:7,wppygment:21,wpygment:21,wrap:[2,6,7,15],wrapcod:7,wrapper:[2,7,21],write:[0,2,5,7,8,12,14,15,18,19,21,23],written:[0,1,2,3,7,11,14,15,17,19,21],wrong:19,wsdl:12,wsf:12,wstokentyp:5,www:[10,12],wxhtmlpygment:21,wybir:1,x10:1,x10lexer:12,x1b:17,x1z:12,xbase:12,xchat:[2,12],xcode:2,xds:12,xhtml:[12,21],xmi:12,xml:[2,7,10,18,23],xmldjangolex:12,xmlerblex:12,xmllexer:12,xmln:10,xmlphplexer:12,xmlschema:10,xmlsmartylex:12,xoffset:7,xorglex:12,xpl:12,xql:12,xqm:12,xqueri:[1,2,12,23],xquerylex:12,xqy:12,xsd:[10,12],xsi:10,xsl:12,xslt:[1,2,12,23],xsltlexer:12,xten:12,xtend:[1,2,12],xtendlex:12,xtlang:12,xtlanglex:12,xtm:12,xul:12,xwiki:21,xxd:12,xxx:5,yai:2,yaml:[1,2,12,23],yamljinjalex:12,yamllex:12,yellow:17,yes:[0,11],yet:3,yield:[0,2,4,6,7,11,12],yml:12,yoffset:7,you:[0,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22],young:1,your:[3,5,7,8,9,12,13,14,16,17,18,22,23],your_formatt:3,your_lex:[3,11],your_lexer_fil:11,your_named_lex:11,yourapp:17,yourfilt:14,yourformatt:14,yourlex:14,yourmodul:[14,17],yourself:[11,21],yourstyl:[14,17],ystep:7,zamboni:1,zamudio:1,zeitdilat:2,zep:12,zephir:[12,23],zephirlex:12,zero:12,zerodivisionerror:12,zimin:1,zimmerman:1,zimtstern:2,zip:12,zsh:12,zshrc:12,zurczak:1},titles:["The full Pygments API","Full contributor list","Pygments changelog","Command Line Interface","Write your own filter","Filters","Write your own formatter","Available formatters","Pygments documentation","Using Pygments in various scenarios","Use Pygments in Java","Write your own lexer","Available lexers","Using Pygments with MoinMoin","Register Plugins","Introduction and Quickstart","Using Pygments in ReST documents","Styles","Builtin Tokens","Unicode and Encodings","Download and installation","Pygments FAQ","Welcome!","Supported languages"],titleterms:{"0rc1":2,"6rc1":2,"class":[7,11],"new":[11,21],Adding:11,RTS:12,SAS:12,The:[0,11,14,22],Use:[10,14],Using:[4,9,11,13,16],actionscript:12,advanc:11,algebra:12,all:[12,23],ambienttalk:12,ampl:12,api:0,apl:12,archetyp:12,architectur:15,assembl:12,author:22,autom:12,avail:[7,12,17],bash:9,basic:12,bibliographi:12,bibtex:12,bnf:12,boa:12,bug:21,builtin:[5,17,18],busi:12,callback:11,can:21,cap:12,chang:11,changelog:2,chapel:12,clean:12,code:12,come:21,command:[3,12,15],comment:18,common:7,compact:12,complet:9,comput:12,config:12,configur:12,consol:12,contribut:22,contributor:1,core:14,creat:17,crystal:12,csound:12,css:12,custom:3,dalvik:12,data:12,decor:4,definit:[6,12],deleg:11,deriv:11,descriptor:12,develop:20,dialect:12,diff:12,document:[8,16],doe:21,domain:12,download:20,dsl:12,dump:12,dylan:12,ecl:12,eiffel:12,elm:12,embed:12,encod:[3,19],engin:12,entrypoint:14,erlang:12,esoter:12,exampl:15,extend:14,extendedregexlex:11,ezhil:12,factor:12,famili:12,fantom:12,faq:21,featur:21,felix:12,fiction:12,file:12,filter:[3,4,5],flag:11,floscript:12,format:12,formatt:[0,3,6,7,15],formerli:12,forth:12,fortran:12,foxpro:12,framework:12,freefem:12,from:[11,21],full:[0,1],game:12,gener:[3,6,12,18],get:[3,17],googl:12,grammer:12,graph:12,graphic:12,guess:15,handl:11,hardwar:12,haskel:12,hax:12,help:3,hexadecim:12,high:0,how:[14,21],html:[6,12],icon:12,idl:12,igor:12,includ:12,inferno:12,instal:[12,20],interact:12,interfac:3,intermedi:12,introduct:15,iter:12,ivl:12,java:10,javascript:12,julia:12,jvm:12,keyword:[11,18],known:12,languag:[9,12,21,23],level:0,lexer:[0,3,11,12,15],like:12,line:[3,15],lispi:12,list:[1,11,17],liter:18,lookup:15,macro:12,make:21,makefil:12,markdown:9,markup:[12,23],matlab:12,microsoft:12,misc:12,model:12,modifi:11,modula:12,moinmoin:13,mont:12,multi:12,multipl:11,mxml:12,name:[3,18,21],ncar:12,net:12,nim:12,nimrod:12,nit:12,nix:12,nixo:12,non:12,notat:12,note:3,oberon:12,object:12,onc:11,ooc:12,oper:[12,18],option:[0,3,7,15],orient:12,other:[9,12,23],output:12,over:12,own:[4,6,11,17],packag:[12,20],parasail:12,parser:12,pascal:12,patch:12,pawn:12,perl:12,php:12,plot:12,plugin:14,poni:12,praat:12,pro:12,process:[0,12,21],program:[12,21,23],prolog:12,proto:12,prove:12,punctuat:18,pygment:[0,2,8,9,10,12,13,16,21],python:12,queri:12,quickstart:[6,15],qvt:12,rdf:12,rebol:12,regex:11,regexlex:11,regist:14,relat:12,relax:12,report:21,requir:21,resourc:12,rest:16,riverb:12,roboconf:12,robot:12,rubi:12,rule:17,rust:12,scan:11,scenario:9,schema:12,script:12,semant:12,session:12,sgf:12,shell:12,similar:12,simpl:12,slash:12,smalltalk:12,smart:12,smv:12,snobol:12,sourc:[12,20],special:12,specif:12,sql:12,stata:12,state:11,stream:11,stuff:12,style:[3,6,12,17,21],stylesheet:12,subclass:[4,11],suggest:21,supercollid:12,support:[21,23],syntax:12,system:[12,21],tcl:12,templat:[12,23],tera:12,term:12,termin:17,test:[11,12],text:12,textmat:9,than:12,theorem:12,thi:21,token:[11,18],trafficscript:12,trick:11,typoscript:12,ucod:12,unicod:19,unicon:12,urbiscript:12,usag:15,use:21,uses:21,variou:[9,12],varnish:12,verif:12,version:[2,20],visual:12,want:21,web:12,welcom:22,what:21,where:21,whilei:12,who:21,wrapper:9,write:[4,6,11],x10:12,xml:12,xorg:12,your:[4,6,11]}}) \ No newline at end of file diff --git a/doc/_themes/pygments14/layout.html b/doc/_themes/pygments14/layout.html index e886082..53f8f37 100644 --- a/doc/_themes/pygments14/layout.html +++ b/doc/_themes/pygments14/layout.html @@ -82,7 +82,7 @@ {% block footer %} +p1143 +tp1144 +a(g7 +V\u000a +p1145 +tp1146 +a(g561 +V +p1147 +tp1148 +a(g7 +V\u000a \u000a +p1149 +tp1150 +a(g561 +V +p1151 +tp1152 +a(g7 +V\u000a +p1153 +tp1154 +a(g561 +V +p1155 +tp1156 +a(g7 +V\u000a +p1157 +tp1158 +a(g7 +g956 +tp1159 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/autoit_submit.au3 b/tests/examplefiles/output/autoit_submit.au3 new file mode 100644 index 0000000..e19515a --- /dev/null +++ b/tests/examplefiles/output/autoit_submit.au3 @@ -0,0 +1,2955 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Builtin' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g247 +g15 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g254 +atp260 +Rp261 +sbag148 +ag8 +ag187 +ag124 +ag100 +atp262 +Rp263 +sg10 +g1 +(g2 +g3 +(ttp264 +Rp265 +(dp266 +S'Number' +p267 +g1 +(g2 +g3 +(S'Literal' +p268 +g267 +tp269 +tp270 +Rp271 +(dp272 +S'Integer' +p273 +g1 +(g2 +g3 +(g268 +g267 +g273 +tp274 +tp275 +Rp276 +(dp277 +g10 +g271 +sS'Long' +p278 +g1 +(g2 +g3 +(g268 +g267 +g273 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g276 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g281 +atp287 +Rp288 +sbsg10 +g1 +(g2 +g3 +(g268 +tp289 +tp290 +Rp291 +(dp292 +S'Scalar' +p293 +g1 +(g2 +g3 +(g268 +g293 +tp294 +tp295 +Rp296 +(dp297 +g10 +g291 +sg25 +g26 +((lp298 +g1 +(g2 +g3 +(g268 +g293 +S'Plain' +p299 +tp300 +tp301 +Rp302 +(dp303 +g10 +g296 +sg25 +g26 +((lp304 +tp305 +Rp306 +sbatp307 +Rp308 +sg299 +g302 +sbsg267 +g271 +sg10 +g265 +sg231 +g1 +(g2 +g3 +(g268 +g231 +tp309 +tp310 +Rp311 +(dp312 +g10 +g291 +sg25 +g26 +((lp313 +tp314 +Rp315 +sbsS'Char' +p316 +g1 +(g2 +g3 +(g268 +g316 +tp317 +tp318 +Rp319 +(dp320 +g10 +g291 +sg25 +g26 +((lp321 +tp322 +Rp323 +sbsS'String' +p324 +g1 +(g2 +g3 +(g268 +g324 +tp325 +tp326 +Rp327 +(dp328 +g316 +g1 +(g2 +g3 +(g268 +g324 +g316 +tp329 +tp330 +Rp331 +(dp332 +g10 +g327 +sg25 +g26 +((lp333 +tp334 +Rp335 +sbsS'Backtick' +p336 +g1 +(g2 +g3 +(g268 +g324 +g336 +tp337 +tp338 +Rp339 +(dp340 +g10 +g327 +sg25 +g26 +((lp341 +tp342 +Rp343 +sbsS'Heredoc' +p344 +g1 +(g2 +g3 +(g268 +g324 +g344 +tp345 +tp346 +Rp347 +(dp348 +g10 +g327 +sg25 +g26 +((lp349 +tp350 +Rp351 +sbsg97 +g1 +(g2 +g3 +(g268 +g324 +g97 +tp352 +tp353 +Rp354 +(dp355 +g10 +g327 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsS'Interpol' +p359 +g1 +(g2 +g3 +(g268 +g324 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g327 +sg25 +g26 +((lp364 +tp365 +Rp366 +sbsS'Delimiter' +p367 +g1 +(g2 +g3 +(g268 +g324 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g327 +sg25 +g26 +((lp372 +tp373 +Rp374 +sbsS'Boolean' +p375 +g1 +(g2 +g3 +(g268 +g324 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g327 +sg25 +g26 +((lp380 +tp381 +Rp382 +sbsS'Character' +p383 +g1 +(g2 +g3 +(g268 +g324 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g327 +sg25 +g26 +((lp388 +tp389 +Rp390 +sbsS'Double' +p391 +g1 +(g2 +g3 +(g268 +g324 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g327 +sg25 +g26 +((lp396 +tp397 +Rp398 +sbsS'Delimeter' +p399 +g1 +(g2 +g3 +(g268 +g324 +g399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g327 +sg25 +g26 +((lp404 +tp405 +Rp406 +sbsS'Atom' +p407 +g1 +(g2 +g3 +(g268 +g324 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g327 +sg25 +g26 +((lp412 +tp413 +Rp414 +sbsS'Affix' +p415 +g1 +(g2 +g3 +(g268 +g324 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g327 +sg25 +g26 +((lp420 +tp421 +Rp422 +sbsg4 +g1 +(g2 +g3 +(g268 +g324 +g4 +tp423 +tp424 +Rp425 +(dp426 +g10 +g327 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsS'Regex' +p430 +g1 +(g2 +g3 +(g268 +g324 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g327 +sg25 +g26 +((lp435 +tp436 +Rp437 +sbsS'Interp' +p438 +g1 +(g2 +g3 +(g268 +g324 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g327 +sg25 +g26 +((lp443 +tp444 +Rp445 +sbsS'Escape' +p446 +g1 +(g2 +g3 +(g268 +g324 +g446 +tp447 +tp448 +Rp449 +(dp450 +g10 +g327 +sg25 +g26 +((lp451 +tp452 +Rp453 +sbsg25 +g26 +((lp454 +g370 +ag354 +ag433 +ag1 +(g2 +g3 +(g268 +g324 +S'Doc' +p455 +tp456 +tp457 +Rp458 +(dp459 +g10 +g327 +sg25 +g26 +((lp460 +tp461 +Rp462 +sbag386 +ag378 +ag394 +ag362 +ag410 +ag402 +ag425 +ag449 +ag1 +(g2 +g3 +(g268 +g324 +S'Single' +p463 +tp464 +tp465 +Rp466 +(dp467 +g10 +g327 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbag1 +(g2 +g3 +(g268 +g324 +g231 +tp471 +tp472 +Rp473 +(dp474 +g10 +g327 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag441 +ag339 +ag418 +ag1 +(g2 +g3 +(g268 +g324 +S'Moment' +p478 +tp479 +tp480 +Rp481 +(dp482 +g10 +g327 +sg25 +g26 +((lp483 +tp484 +Rp485 +sbag331 +ag347 +atp486 +Rp487 +sg463 +g466 +sg478 +g481 +sg10 +g291 +sg231 +g473 +sg455 +g458 +sbsg25 +g26 +((lp488 +g327 +ag319 +ag311 +ag1 +(g2 +g3 +(g268 +S'Date' +p489 +tp490 +tp491 +Rp492 +(dp493 +g10 +g291 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbag296 +ag271 +atp497 +Rp498 +sg489 +g492 +sbsS'Bin' +p499 +g1 +(g2 +g3 +(g268 +g267 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g271 +sg25 +g26 +((lp504 +tp505 +Rp506 +sbsS'Radix' +p507 +g1 +(g2 +g3 +(g268 +g267 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g271 +sg25 +g26 +((lp512 +tp513 +Rp514 +sbsS'Oct' +p515 +g1 +(g2 +g3 +(g268 +g267 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g271 +sg25 +g26 +((lp520 +tp521 +Rp522 +sbsS'Dec' +p523 +g1 +(g2 +g3 +(g268 +g267 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g271 +sg25 +g26 +((lp528 +tp529 +Rp530 +sbsS'Hex' +p531 +g1 +(g2 +g3 +(g268 +g267 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g271 +sg25 +g26 +((lp536 +tp537 +Rp538 +sbsg25 +g26 +((lp539 +g276 +ag510 +ag526 +ag1 +(g2 +g3 +(g268 +g267 +S'Decimal' +p540 +tp541 +tp542 +Rp543 +(dp544 +g10 +g271 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbag502 +ag1 +(g2 +g3 +(g268 +g267 +S'Float' +p548 +tp549 +tp550 +Rp551 +(dp552 +g10 +g271 +sg25 +g26 +((lp553 +tp554 +Rp555 +sbag518 +ag534 +atp556 +Rp557 +sg540 +g543 +sg548 +g551 +sbsS'Generic' +p558 +g1 +(g2 +g3 +(g558 +tp559 +tp560 +Rp561 +(dp562 +g10 +g265 +sS'Deleted' +p563 +g1 +(g2 +g3 +(g558 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g561 +sg25 +g26 +((lp568 +tp569 +Rp570 +sbsS'Subheading' +p571 +g1 +(g2 +g3 +(g558 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g561 +sg25 +g26 +((lp576 +tp577 +Rp578 +sbsS'Heading' +p579 +g1 +(g2 +g3 +(g558 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g561 +sg25 +g26 +((lp584 +tp585 +Rp586 +sbsS'Emph' +p587 +g1 +(g2 +g3 +(g558 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g561 +sg25 +g26 +((lp592 +tp593 +Rp594 +sbsS'Prompt' +p595 +g1 +(g2 +g3 +(g558 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g561 +sg25 +g26 +((lp600 +tp601 +Rp602 +sbsS'Inserted' +p603 +g1 +(g2 +g3 +(g558 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g561 +sg25 +g26 +((lp608 +tp609 +Rp610 +sbsS'Strong' +p611 +g1 +(g2 +g3 +(g558 +g611 +tp612 +tp613 +Rp614 +(dp615 +g10 +g561 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsS'Error' +p619 +g1 +(g2 +g3 +(g558 +g619 +tp620 +tp621 +Rp622 +(dp623 +g10 +g561 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Traceback' +p627 +g1 +(g2 +g3 +(g558 +g627 +tp628 +tp629 +Rp630 +(dp631 +g10 +g561 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsg25 +g26 +((lp635 +g582 +ag574 +ag1 +(g2 +g3 +(g558 +S'Output' +p636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g561 +sg25 +g26 +((lp641 +tp642 +Rp643 +sbag614 +ag590 +ag622 +ag630 +ag606 +ag598 +ag566 +atp644 +Rp645 +sg636 +g639 +sbsg65 +g1 +(g2 +g3 +(g65 +tp646 +tp647 +Rp648 +(dp649 +g10 +g265 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp650 +tp651 +Rp652 +(dp653 +g10 +g648 +sg25 +g26 +((lp654 +tp655 +Rp656 +sbsg25 +g26 +((lp657 +g652 +ag1 +(g2 +g3 +(g65 +S'Word' +p658 +tp659 +tp660 +Rp661 +(dp662 +g10 +g648 +sg25 +g26 +((lp663 +tp664 +Rp665 +sbatp666 +Rp667 +sg658 +g661 +sbsg324 +g327 +sg4 +g13 +sS'Punctuation' +p668 +g1 +(g2 +g3 +(g668 +tp669 +tp670 +Rp671 +(dp672 +g10 +g265 +sg25 +g26 +((lp673 +g1 +(g2 +g3 +(g668 +S'Indicator' +p674 +tp675 +tp676 +Rp677 +(dp678 +g10 +g671 +sg25 +g26 +((lp679 +tp680 +Rp681 +sbatp682 +Rp683 +sg674 +g677 +sbsS'Comment' +p684 +g1 +(g2 +g3 +(g684 +tp685 +tp686 +Rp687 +(dp688 +S'Multi' +p689 +g1 +(g2 +g3 +(g684 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g687 +sg25 +g26 +((lp694 +tp695 +Rp696 +sbsg10 +g265 +sS'Special' +p697 +g1 +(g2 +g3 +(g684 +g697 +tp698 +tp699 +Rp700 +(dp701 +g10 +g687 +sg25 +g26 +((lp702 +tp703 +Rp704 +sbsS'Hashbang' +p705 +g1 +(g2 +g3 +(g684 +g705 +tp706 +tp707 +Rp708 +(dp709 +g10 +g687 +sg25 +g26 +((lp710 +tp711 +Rp712 +sbsS'Preproc' +p713 +g1 +(g2 +g3 +(g684 +g713 +tp714 +tp715 +Rp716 +(dp717 +g10 +g687 +sg25 +g26 +((lp718 +tp719 +Rp720 +sbsg463 +g1 +(g2 +g3 +(g684 +g463 +tp721 +tp722 +Rp723 +(dp724 +g10 +g687 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsS'Directive' +p728 +g1 +(g2 +g3 +(g684 +g728 +tp729 +tp730 +Rp731 +(dp732 +g10 +g687 +sg25 +g26 +((lp733 +tp734 +Rp735 +sbsg455 +g1 +(g2 +g3 +(g684 +g455 +tp736 +tp737 +Rp738 +(dp739 +g10 +g687 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsS'Singleline' +p743 +g1 +(g2 +g3 +(g684 +g743 +tp744 +tp745 +Rp746 +(dp747 +g10 +g687 +sg25 +g26 +((lp748 +tp749 +Rp750 +sbsS'Multiline' +p751 +g1 +(g2 +g3 +(g684 +g751 +tp752 +tp753 +Rp754 +(dp755 +g10 +g687 +sg25 +g26 +((lp756 +tp757 +Rp758 +sbsg25 +g26 +((lp759 +g738 +ag731 +ag708 +ag692 +ag746 +ag716 +ag754 +ag723 +ag1 +(g2 +g3 +(g684 +S'PreprocFile' +p760 +tp761 +tp762 +Rp763 +(dp764 +g10 +g687 +sg25 +g26 +((lp765 +tp766 +Rp767 +sbag1 +(g2 +g3 +(g684 +S'SingleLine' +p768 +tp769 +tp770 +Rp771 +(dp772 +g10 +g687 +sg25 +g26 +((lp773 +tp774 +Rp775 +sbag700 +atp776 +Rp777 +sg760 +g763 +sg768 +g771 +sbsg268 +g291 +sg231 +g1 +(g2 +g3 +(g231 +tp778 +tp779 +Rp780 +(dp781 +g10 +g265 +sg25 +g26 +((lp782 +tp783 +Rp784 +sbsg619 +g1 +(g2 +g3 +(g619 +tp785 +tp786 +Rp787 +(dp788 +g10 +g265 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsS'Token' +p792 +g265 +sg446 +g1 +(g2 +g3 +(g446 +tp793 +tp794 +Rp795 +(dp796 +g10 +g265 +sg25 +g26 +((lp797 +tp798 +Rp799 +sbsg25 +g26 +((lp800 +g13 +ag780 +ag1 +(g2 +g3 +(S'Keyword' +p801 +tp802 +tp803 +Rp804 +(dp805 +g10 +g265 +sg121 +g1 +(g2 +g3 +(g801 +g121 +tp806 +tp807 +Rp808 +(dp809 +g10 +g804 +sg25 +g26 +((lp810 +tp811 +Rp812 +sbsS'Control' +p813 +g1 +(g2 +g3 +(g801 +g813 +tp814 +tp815 +Rp816 +(dp817 +g10 +g804 +sg25 +g26 +((lp818 +tp819 +Rp820 +sbsg145 +g1 +(g2 +g3 +(g801 +g145 +tp821 +tp822 +Rp823 +(dp824 +g10 +g804 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg200 +g1 +(g2 +g3 +(g801 +g200 +tp828 +tp829 +Rp830 +(dp831 +g10 +g804 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsS'PreProc' +p835 +g1 +(g2 +g3 +(g801 +g835 +tp836 +tp837 +Rp838 +(dp839 +g10 +g804 +sg25 +g26 +((lp840 +tp841 +Rp842 +sbsg113 +g1 +(g2 +g3 +(g801 +g113 +tp843 +tp844 +Rp845 +(dp846 +g10 +g804 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsS'Reserved' +p850 +g1 +(g2 +g3 +(g801 +g850 +tp851 +tp852 +Rp853 +(dp854 +g10 +g804 +sg25 +g26 +((lp855 +tp856 +Rp857 +sbsg25 +g26 +((lp858 +g830 +ag1 +(g2 +g3 +(g801 +g658 +tp859 +tp860 +Rp861 +(dp862 +g10 +g804 +sg25 +g26 +((lp863 +tp864 +Rp865 +sbag816 +ag1 +(g2 +g3 +(g801 +S'Declaration' +p866 +tp867 +tp868 +Rp869 +(dp870 +g10 +g804 +sg25 +g26 +((lp871 +tp872 +Rp873 +sbag1 +(g2 +g3 +(g801 +g801 +tp874 +tp875 +Rp876 +(dp877 +g10 +g804 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag845 +ag823 +ag808 +ag853 +ag838 +atp881 +Rp882 +sg801 +g876 +sg866 +g869 +sg658 +g861 +sbag561 +ag1 +(g2 +g3 +(S'Text' +p883 +tp884 +tp885 +Rp886 +(dp887 +S'Beer' +p888 +g1 +(g2 +g3 +(g883 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g886 +sg25 +g26 +((lp893 +tp894 +Rp895 +sbsS'Whitespace' +p896 +g1 +(g2 +g3 +(g883 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g886 +sg25 +g26 +((lp901 +tp902 +Rp903 +sbsg10 +g265 +sS'Root' +p904 +g1 +(g2 +g3 +(g883 +g904 +tp905 +tp906 +Rp907 +(dp908 +g10 +g886 +sg25 +g26 +((lp909 +tp910 +Rp911 +sbsg97 +g1 +(g2 +g3 +(g883 +g97 +tp912 +tp913 +Rp914 +(dp915 +g10 +g886 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg668 +g1 +(g2 +g3 +(g883 +g668 +tp919 +tp920 +Rp921 +(dp922 +g10 +g886 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g907 +ag914 +ag921 +ag899 +ag891 +ag1 +(g2 +g3 +(g883 +S'Rag' +p927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g886 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbatp935 +Rp936 +sg927 +g930 +sbag648 +ag795 +ag671 +ag687 +ag787 +ag291 +atp937 +Rp938 +sg801 +g804 +sg883 +g886 +sbsg20 +g211 +sg5 +g8 +sg247 +g250 +sg231 +g234 +sbsg121 +g1 +(g2 +g3 +(g4 +g5 +g121 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg25 +g26 +((lp943 +tp944 +Rp945 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g113 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbag941 +atp954 +Rp955 +sg113 +g949 +sbV#include +p956 +tp957 +a(g886 +V +p958 +tp959 +a(g648 +V< +p960 +tp961 +a(g13 +VIE +p962 +tp963 +a(g648 +V. +p964 +tp965 +a(g13 +Vau3 +p966 +tp967 +a(g648 +V> +p968 +tp969 +a(g886 +V\u000a +p970 +tp971 +a(g723 +V;http://msdn.microsoft.com/en-us/library/Aa752084.aspx\u000a +p972 +tp973 +a(g18 +V$ourl +p974 +tp975 +a(g648 +V= +p976 +tp977 +a(g327 +V" +p978 +tp979 +a(g327 +Vhttp://localhost:5000/ +p980 +tp981 +a(g327 +g978 +tp982 +a(g886 +V\u000a +p983 +tp984 +a(g886 +V\u000a +p985 +tp986 +a(g18 +V$oIE +p987 +tp988 +a(g886 +g958 +tp989 +a(g648 +g976 +tp990 +a(g886 +g958 +tp991 +a(g13 +V_IEAttach +p992 +tp993 +a(g886 +g958 +tp994 +a(g671 +V( +p995 +tp996 +a(g18 +V$ourl +p997 +tp998 +a(g671 +V, +p999 +tp1000 +a(g327 +g978 +tp1001 +a(g327 +Vurl +p1002 +tp1003 +a(g327 +g978 +tp1004 +a(g671 +V) +p1005 +tp1006 +a(g886 +V\u000a +p1007 +tp1008 +a(g8 +VIf +p1009 +tp1010 +a(g886 +g958 +tp1011 +a(g18 +V@error +p1012 +tp1013 +a(g886 +g958 +tp1014 +a(g648 +g976 +tp1015 +a(g886 +g958 +tp1016 +a(g18 +V$_IEStatus_NoMatch +p1017 +tp1018 +a(g886 +g958 +tp1019 +a(g8 +VThen +p1020 +tp1021 +a(g886 +V\u000a +p1022 +tp1023 +a(g886 +V +p1024 +tp1025 +a(g18 +V$oIE +p1026 +tp1027 +a(g886 +g958 +tp1028 +a(g648 +g976 +tp1029 +a(g886 +g958 +tp1030 +a(g13 +V_IECreate +p1031 +tp1032 +a(g886 +g958 +tp1033 +a(g671 +g995 +tp1034 +a(g18 +V$ourl +p1035 +tp1036 +a(g886 +g958 +tp1037 +a(g648 +V& +p1038 +tp1039 +a(g886 +g958 +tp1040 +a(g327 +g978 +tp1041 +a(g327 +Vsample.html +p1042 +tp1043 +a(g327 +g978 +tp1044 +a(g671 +g1005 +tp1045 +a(g886 +V\u000a +p1046 +tp1047 +a(g8 +VendIf +p1048 +tp1049 +a(g886 +V\u000a +p1050 +tp1051 +a(g886 +V\u000a +p1052 +tp1053 +a(g18 +V$oForm +p1054 +tp1055 +a(g886 +g958 +tp1056 +a(g648 +g976 +tp1057 +a(g886 +g958 +tp1058 +a(g13 +V_IEFormGetObjByName +p1059 +tp1060 +a(g886 +g958 +tp1061 +a(g671 +g995 +tp1062 +a(g18 +V$oIE +p1063 +tp1064 +a(g671 +g999 +tp1065 +a(g886 +g958 +tp1066 +a(g327 +g978 +tp1067 +a(g327 +Vform1 +p1068 +tp1069 +a(g327 +g978 +tp1070 +a(g671 +g1005 +tp1071 +a(g886 +V\u000a +p1072 +tp1073 +a(g723 +V;username, call DOM directly\u000a +p1074 +tp1075 +a(g18 +V$oIE +p1076 +tp1077 +a(g648 +g964 +tp1078 +a(g13 +Vdocument +p1079 +tp1080 +a(g648 +g964 +tp1081 +a(g13 +VgetElementById +p1082 +tp1083 +a(g671 +g995 +tp1084 +a(g327 +g978 +tp1085 +a(g327 +Vusername +p1086 +tp1087 +a(g327 +g978 +tp1088 +a(g671 +g1005 +tp1089 +a(g648 +g964 +tp1090 +a(g13 +Vvalue +p1091 +tp1092 +a(g648 +g976 +tp1093 +a(g327 +g978 +tp1094 +a(g327 +VhelloAutoIT +p1095 +tp1096 +a(g327 +g978 +tp1097 +a(g886 +V\u000a +p1098 +tp1099 +a(g723 +V;state select\u000a +p1100 +tp1101 +a(g18 +V$oSelect +p1102 +tp1103 +a(g886 +g958 +tp1104 +a(g648 +g976 +tp1105 +a(g886 +g958 +tp1106 +a(g13 +V_IEFormElementGetObjByName +p1107 +tp1108 +a(g886 +g958 +tp1109 +a(g671 +g995 +tp1110 +a(g18 +V$oForm +p1111 +tp1112 +a(g671 +g999 +tp1113 +a(g886 +g958 +tp1114 +a(g327 +g978 +tp1115 +a(g327 +Vstate +p1116 +tp1117 +a(g327 +g978 +tp1118 +a(g671 +g1005 +tp1119 +a(g886 +V\u000a +p1120 +tp1121 +a(g13 +V_IEFormElementOptionSelect +p1122 +tp1123 +a(g886 +g958 +tp1124 +a(g671 +g995 +tp1125 +a(g18 +V$oSelect +p1126 +tp1127 +a(g671 +g999 +tp1128 +a(g886 +g958 +tp1129 +a(g327 +g978 +tp1130 +a(g327 +VS2 +p1131 +tp1132 +a(g327 +g978 +tp1133 +a(g671 +g999 +tp1134 +a(g886 +g958 +tp1135 +a(g276 +V1 +p1136 +tp1137 +a(g671 +g999 +tp1138 +a(g886 +g958 +tp1139 +a(g327 +g978 +tp1140 +a(g327 +VbyText +p1141 +tp1142 +a(g327 +g978 +tp1143 +a(g671 +g1005 +tp1144 +a(g886 +V\u000a +p1145 +tp1146 +a(g723 +V;options raido\u000a +p1147 +tp1148 +a(g13 +V_IEFormElementRadioSelect +p1149 +tp1150 +a(g671 +g995 +tp1151 +a(g18 +V$oForm +p1152 +tp1153 +a(g671 +g999 +tp1154 +a(g886 +g958 +tp1155 +a(g327 +g978 +tp1156 +a(g327 +V2nd +p1157 +tp1158 +a(g327 +g978 +tp1159 +a(g671 +g999 +tp1160 +a(g886 +g958 +tp1161 +a(g327 +g978 +tp1162 +a(g327 +Vtype +p1163 +tp1164 +a(g327 +g978 +tp1165 +a(g671 +g999 +tp1166 +a(g886 +g958 +tp1167 +a(g276 +g1136 +tp1168 +a(g671 +g999 +tp1169 +a(g886 +g958 +tp1170 +a(g327 +g978 +tp1171 +a(g327 +VbyValue +p1172 +tp1173 +a(g327 +g978 +tp1174 +a(g671 +g1005 +tp1175 +a(g886 +V\u000a +p1176 +tp1177 +a(g886 +V\u000a +p1178 +tp1179 +a(g754 +V#cs\u000aConsoleWrite(@Error)\u000aSleep(10000)\u000a#ce +p1180 +tp1181 +a(g886 +V\u000a +p1182 +tp1183 +a(g13 +V_IEFormSubmit +p1184 +tp1185 +a(g671 +g995 +tp1186 +a(g18 +V$oForm +p1187 +tp1188 +a(g671 +g999 +tp1189 +a(g886 +g958 +tp1190 +a(g276 +V0 +p1191 +tp1192 +a(g671 +g1005 +tp1193 +a(g886 +V\u000a +p1194 +tp1195 +a(g13 +V_IELoadWait +p1196 +tp1197 +a(g671 +g995 +tp1198 +a(g18 +V$oIE +p1199 +tp1200 +a(g671 +g1005 +tp1201 +a(g886 +V\u000a +p1202 +tp1203 +a(g156 +VSleep +p1204 +tp1205 +a(g671 +g995 +tp1206 +a(g276 +V60000 +p1207 +tp1208 +a(g671 +g1005 +tp1209 +a(g886 +V\u000a +p1210 +tp1211 +a(g13 +V_IEQuit +p1212 +tp1213 +a(g671 +g995 +tp1214 +a(g18 +V$oIE +p1215 +tp1216 +a(g671 +g1005 +tp1217 +a(g886 +V\u000a +p1218 +tp1219 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/automake.mk b/tests/examplefiles/output/automake.mk new file mode 100644 index 0000000..27da9da --- /dev/null +++ b/tests/examplefiles/output/automake.mk @@ -0,0 +1,2423 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Variable' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g5 +g8 +sS'Operator' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Decorator' +p25 +g1 +(g2 +g3 +(g4 +g25 +tp26 +tp27 +Rp28 +(dp29 +g10 +g13 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Entity' +p33 +g1 +(g2 +g3 +(g4 +g33 +tp34 +tp35 +Rp36 +(dp37 +g10 +g13 +sS'DBS' +p38 +g1 +(g2 +g3 +(g4 +g33 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g36 +sg20 +g21 +((lp43 +tp44 +Rp45 +sbsg20 +g21 +((lp46 +g41 +atp47 +Rp48 +sbsS'Symbol' +p49 +g1 +(g2 +g3 +(g4 +g49 +tp50 +tp51 +Rp52 +(dp53 +g10 +g13 +sg20 +g21 +((lp54 +tp55 +Rp56 +sbsS'Property' +p57 +g1 +(g2 +g3 +(g4 +g57 +tp58 +tp59 +Rp60 +(dp61 +g10 +g13 +sg20 +g21 +((lp62 +tp63 +Rp64 +sbsS'Pseudo' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg20 +g21 +((lp70 +tp71 +Rp72 +sbsS'Type' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg20 +g21 +((lp78 +tp79 +Rp80 +sbsS'Classes' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsS'Tag' +p89 +g1 +(g2 +g3 +(g4 +g89 +tp90 +tp91 +Rp92 +(dp93 +g10 +g13 +sg20 +g21 +((lp94 +tp95 +Rp96 +sbsS'Constant' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg20 +g21 +((lp102 +tp103 +Rp104 +sbsS'Function' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg20 +g21 +((lp110 +g1 +(g2 +g3 +(g4 +g105 +S'Magic' +p111 +tp112 +tp113 +Rp114 +(dp115 +g10 +g108 +sg20 +g21 +((lp116 +tp117 +Rp118 +sbatp119 +Rp120 +sg111 +g114 +sbsS'Blubb' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg20 +g21 +((lp126 +tp127 +Rp128 +sbsS'Label' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg20 +g21 +((lp134 +tp135 +Rp136 +sbsS'Field' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg20 +g21 +((lp142 +tp143 +Rp144 +sbsS'Exception' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg20 +g21 +((lp150 +tp151 +Rp152 +sbsS'Namespace' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbsg20 +g21 +((lp161 +g28 +ag124 +ag68 +ag36 +ag8 +ag148 +ag60 +ag92 +ag108 +ag84 +ag1 +(g2 +g3 +(g4 +S'Class' +p162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g13 +sg38 +g1 +(g2 +g3 +(g4 +g162 +g38 +tp167 +tp168 +Rp169 +(dp170 +g10 +g165 +sg20 +g21 +((lp171 +tp172 +Rp173 +sbsg20 +g21 +((lp174 +g1 +(g2 +g3 +(g4 +g162 +S'Start' +p175 +tp176 +tp177 +Rp178 +(dp179 +g10 +g165 +sg20 +g21 +((lp180 +tp181 +Rp182 +sbag169 +atp183 +Rp184 +sg175 +g178 +sbag1 +(g2 +g3 +(g4 +S'Other' +p185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g13 +sS'Member' +p190 +g1 +(g2 +g3 +(g4 +g185 +g190 +tp191 +tp192 +Rp193 +(dp194 +g10 +g188 +sg20 +g21 +((lp195 +tp196 +Rp197 +sbsg20 +g21 +((lp198 +g193 +atp199 +Rp200 +sbag132 +ag18 +ag156 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p201 +tp202 +tp203 +Rp204 +(dp205 +g10 +g13 +sg5 +g1 +(g2 +g3 +(g4 +g201 +g5 +tp206 +tp207 +Rp208 +(dp209 +g10 +g204 +sg20 +g21 +((lp210 +tp211 +Rp212 +sbsg20 +g21 +((lp213 +g208 +atp214 +Rp215 +sbag100 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p216 +tp217 +tp218 +Rp219 +(dp220 +g10 +g13 +sg73 +g1 +(g2 +g3 +(g4 +g216 +g73 +tp221 +tp222 +Rp223 +(dp224 +g10 +g219 +sg20 +g21 +((lp225 +tp226 +Rp227 +sbsg20 +g21 +((lp228 +g1 +(g2 +g3 +(g4 +g216 +g65 +tp229 +tp230 +Rp231 +(dp232 +g10 +g219 +sg20 +g21 +((lp233 +tp234 +Rp235 +sbag223 +atp236 +Rp237 +sg65 +g231 +sbag140 +ag76 +ag52 +atp238 +Rp239 +sg10 +g1 +(g2 +g3 +(ttp240 +Rp241 +(dp242 +S'Number' +p243 +g1 +(g2 +g3 +(S'Literal' +p244 +g243 +tp245 +tp246 +Rp247 +(dp248 +S'Integer' +p249 +g1 +(g2 +g3 +(g244 +g243 +g249 +tp250 +tp251 +Rp252 +(dp253 +g10 +g247 +sS'Long' +p254 +g1 +(g2 +g3 +(g244 +g243 +g249 +g254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g252 +sg20 +g21 +((lp259 +tp260 +Rp261 +sbsg20 +g21 +((lp262 +g257 +atp263 +Rp264 +sbsg10 +g1 +(g2 +g3 +(g244 +tp265 +tp266 +Rp267 +(dp268 +S'Scalar' +p269 +g1 +(g2 +g3 +(g244 +g269 +tp270 +tp271 +Rp272 +(dp273 +g10 +g267 +sg20 +g21 +((lp274 +g1 +(g2 +g3 +(g244 +g269 +S'Plain' +p275 +tp276 +tp277 +Rp278 +(dp279 +g10 +g272 +sg20 +g21 +((lp280 +tp281 +Rp282 +sbatp283 +Rp284 +sg275 +g278 +sbsg243 +g247 +sg10 +g241 +sg185 +g1 +(g2 +g3 +(g244 +g185 +tp285 +tp286 +Rp287 +(dp288 +g10 +g267 +sg20 +g21 +((lp289 +tp290 +Rp291 +sbsS'Char' +p292 +g1 +(g2 +g3 +(g244 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g267 +sg20 +g21 +((lp297 +tp298 +Rp299 +sbsS'String' +p300 +g1 +(g2 +g3 +(g244 +g300 +tp301 +tp302 +Rp303 +(dp304 +g292 +g1 +(g2 +g3 +(g244 +g300 +g292 +tp305 +tp306 +Rp307 +(dp308 +g10 +g303 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbsS'Backtick' +p312 +g1 +(g2 +g3 +(g244 +g300 +g312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g303 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbsS'Heredoc' +p320 +g1 +(g2 +g3 +(g244 +g300 +g320 +tp321 +tp322 +Rp323 +(dp324 +g10 +g303 +sg20 +g21 +((lp325 +tp326 +Rp327 +sbsg49 +g1 +(g2 +g3 +(g244 +g300 +g49 +tp328 +tp329 +Rp330 +(dp331 +g10 +g303 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Interpol' +p335 +g1 +(g2 +g3 +(g244 +g300 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g303 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Delimiter' +p343 +g1 +(g2 +g3 +(g244 +g300 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g303 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Boolean' +p351 +g1 +(g2 +g3 +(g244 +g300 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g303 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Character' +p359 +g1 +(g2 +g3 +(g244 +g300 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g303 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Double' +p367 +g1 +(g2 +g3 +(g244 +g300 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g303 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Delimeter' +p375 +g1 +(g2 +g3 +(g244 +g300 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g303 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Atom' +p383 +g1 +(g2 +g3 +(g244 +g300 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g303 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Affix' +p391 +g1 +(g2 +g3 +(g244 +g300 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g303 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg4 +g1 +(g2 +g3 +(g244 +g300 +g4 +tp399 +tp400 +Rp401 +(dp402 +g10 +g303 +sg20 +g21 +((lp403 +tp404 +Rp405 +sbsS'Regex' +p406 +g1 +(g2 +g3 +(g244 +g300 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g303 +sg20 +g21 +((lp411 +tp412 +Rp413 +sbsS'Interp' +p414 +g1 +(g2 +g3 +(g244 +g300 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g303 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsS'Escape' +p422 +g1 +(g2 +g3 +(g244 +g300 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g303 +sg20 +g21 +((lp427 +tp428 +Rp429 +sbsg20 +g21 +((lp430 +g346 +ag330 +ag409 +ag1 +(g2 +g3 +(g244 +g300 +S'Doc' +p431 +tp432 +tp433 +Rp434 +(dp435 +g10 +g303 +sg20 +g21 +((lp436 +tp437 +Rp438 +sbag362 +ag354 +ag370 +ag338 +ag386 +ag378 +ag401 +ag425 +ag1 +(g2 +g3 +(g244 +g300 +S'Single' +p439 +tp440 +tp441 +Rp442 +(dp443 +g10 +g303 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbag1 +(g2 +g3 +(g244 +g300 +g185 +tp447 +tp448 +Rp449 +(dp450 +g10 +g303 +sg20 +g21 +((lp451 +tp452 +Rp453 +sbag417 +ag315 +ag394 +ag1 +(g2 +g3 +(g244 +g300 +S'Moment' +p454 +tp455 +tp456 +Rp457 +(dp458 +g10 +g303 +sg20 +g21 +((lp459 +tp460 +Rp461 +sbag307 +ag323 +atp462 +Rp463 +sg439 +g442 +sg454 +g457 +sg10 +g267 +sg185 +g449 +sg431 +g434 +sbsg20 +g21 +((lp464 +g303 +ag295 +ag287 +ag1 +(g2 +g3 +(g244 +S'Date' +p465 +tp466 +tp467 +Rp468 +(dp469 +g10 +g267 +sg20 +g21 +((lp470 +tp471 +Rp472 +sbag272 +ag247 +atp473 +Rp474 +sg465 +g468 +sbsS'Bin' +p475 +g1 +(g2 +g3 +(g244 +g243 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g247 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbsS'Radix' +p483 +g1 +(g2 +g3 +(g244 +g243 +g483 +tp484 +tp485 +Rp486 +(dp487 +g10 +g247 +sg20 +g21 +((lp488 +tp489 +Rp490 +sbsS'Oct' +p491 +g1 +(g2 +g3 +(g244 +g243 +g491 +tp492 +tp493 +Rp494 +(dp495 +g10 +g247 +sg20 +g21 +((lp496 +tp497 +Rp498 +sbsS'Dec' +p499 +g1 +(g2 +g3 +(g244 +g243 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g247 +sg20 +g21 +((lp504 +tp505 +Rp506 +sbsS'Hex' +p507 +g1 +(g2 +g3 +(g244 +g243 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g247 +sg20 +g21 +((lp512 +tp513 +Rp514 +sbsg20 +g21 +((lp515 +g252 +ag486 +ag502 +ag1 +(g2 +g3 +(g244 +g243 +S'Decimal' +p516 +tp517 +tp518 +Rp519 +(dp520 +g10 +g247 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbag478 +ag1 +(g2 +g3 +(g244 +g243 +S'Float' +p524 +tp525 +tp526 +Rp527 +(dp528 +g10 +g247 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbag494 +ag510 +atp532 +Rp533 +sg516 +g519 +sg524 +g527 +sbsS'Generic' +p534 +g1 +(g2 +g3 +(g534 +tp535 +tp536 +Rp537 +(dp538 +g10 +g241 +sS'Deleted' +p539 +g1 +(g2 +g3 +(g534 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g537 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Subheading' +p547 +g1 +(g2 +g3 +(g534 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g537 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Heading' +p555 +g1 +(g2 +g3 +(g534 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g537 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Emph' +p563 +g1 +(g2 +g3 +(g534 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g537 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Prompt' +p571 +g1 +(g2 +g3 +(g534 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g537 +sg20 +g21 +((lp576 +tp577 +Rp578 +sbsS'Inserted' +p579 +g1 +(g2 +g3 +(g534 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g537 +sg20 +g21 +((lp584 +tp585 +Rp586 +sbsS'Strong' +p587 +g1 +(g2 +g3 +(g534 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g537 +sg20 +g21 +((lp592 +tp593 +Rp594 +sbsS'Error' +p595 +g1 +(g2 +g3 +(g534 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g537 +sg20 +g21 +((lp600 +tp601 +Rp602 +sbsS'Traceback' +p603 +g1 +(g2 +g3 +(g534 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g537 +sg20 +g21 +((lp608 +tp609 +Rp610 +sbsg20 +g21 +((lp611 +g558 +ag550 +ag1 +(g2 +g3 +(g534 +S'Output' +p612 +tp613 +tp614 +Rp615 +(dp616 +g10 +g537 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbag590 +ag566 +ag598 +ag606 +ag582 +ag574 +ag542 +atp620 +Rp621 +sg612 +g615 +sbsg15 +g1 +(g2 +g3 +(g15 +tp622 +tp623 +Rp624 +(dp625 +g10 +g241 +sg38 +g1 +(g2 +g3 +(g15 +g38 +tp626 +tp627 +Rp628 +(dp629 +g10 +g624 +sg20 +g21 +((lp630 +tp631 +Rp632 +sbsg20 +g21 +((lp633 +g628 +ag1 +(g2 +g3 +(g15 +S'Word' +p634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g624 +sg20 +g21 +((lp639 +tp640 +Rp641 +sbatp642 +Rp643 +sg634 +g637 +sbsg300 +g303 +sg4 +g13 +sS'Punctuation' +p644 +g1 +(g2 +g3 +(g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g241 +sg20 +g21 +((lp649 +g1 +(g2 +g3 +(g644 +S'Indicator' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g647 +sg20 +g21 +((lp655 +tp656 +Rp657 +sbatp658 +Rp659 +sg650 +g653 +sbsS'Comment' +p660 +g1 +(g2 +g3 +(g660 +tp661 +tp662 +Rp663 +(dp664 +S'Multi' +p665 +g1 +(g2 +g3 +(g660 +g665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g663 +sg20 +g21 +((lp670 +tp671 +Rp672 +sbsg10 +g241 +sS'Special' +p673 +g1 +(g2 +g3 +(g660 +g673 +tp674 +tp675 +Rp676 +(dp677 +g10 +g663 +sg20 +g21 +((lp678 +tp679 +Rp680 +sbsS'Hashbang' +p681 +g1 +(g2 +g3 +(g660 +g681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g663 +sg20 +g21 +((lp686 +tp687 +Rp688 +sbsS'Preproc' +p689 +g1 +(g2 +g3 +(g660 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g663 +sg20 +g21 +((lp694 +tp695 +Rp696 +sbsg439 +g1 +(g2 +g3 +(g660 +g439 +tp697 +tp698 +Rp699 +(dp700 +g10 +g663 +sg20 +g21 +((lp701 +tp702 +Rp703 +sbsS'Directive' +p704 +g1 +(g2 +g3 +(g660 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g663 +sg20 +g21 +((lp709 +tp710 +Rp711 +sbsg431 +g1 +(g2 +g3 +(g660 +g431 +tp712 +tp713 +Rp714 +(dp715 +g10 +g663 +sg20 +g21 +((lp716 +tp717 +Rp718 +sbsS'Singleline' +p719 +g1 +(g2 +g3 +(g660 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g663 +sg20 +g21 +((lp724 +tp725 +Rp726 +sbsS'Multiline' +p727 +g1 +(g2 +g3 +(g660 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g663 +sg20 +g21 +((lp732 +tp733 +Rp734 +sbsg20 +g21 +((lp735 +g714 +ag707 +ag684 +ag668 +ag722 +ag692 +ag730 +ag699 +ag1 +(g2 +g3 +(g660 +S'PreprocFile' +p736 +tp737 +tp738 +Rp739 +(dp740 +g10 +g663 +sg20 +g21 +((lp741 +tp742 +Rp743 +sbag1 +(g2 +g3 +(g660 +S'SingleLine' +p744 +tp745 +tp746 +Rp747 +(dp748 +g10 +g663 +sg20 +g21 +((lp749 +tp750 +Rp751 +sbag676 +atp752 +Rp753 +sg736 +g739 +sg744 +g747 +sbsg244 +g267 +sg185 +g1 +(g2 +g3 +(g185 +tp754 +tp755 +Rp756 +(dp757 +g10 +g241 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg595 +g1 +(g2 +g3 +(g595 +tp761 +tp762 +Rp763 +(dp764 +g10 +g241 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Token' +p768 +g241 +sg422 +g1 +(g2 +g3 +(g422 +tp769 +tp770 +Rp771 +(dp772 +g10 +g241 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g13 +ag756 +ag1 +(g2 +g3 +(S'Keyword' +p777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g241 +sg73 +g1 +(g2 +g3 +(g777 +g73 +tp782 +tp783 +Rp784 +(dp785 +g10 +g780 +sg20 +g21 +((lp786 +tp787 +Rp788 +sbsS'Control' +p789 +g1 +(g2 +g3 +(g777 +g789 +tp790 +tp791 +Rp792 +(dp793 +g10 +g780 +sg20 +g21 +((lp794 +tp795 +Rp796 +sbsg97 +g1 +(g2 +g3 +(g777 +g97 +tp797 +tp798 +Rp799 +(dp800 +g10 +g780 +sg20 +g21 +((lp801 +tp802 +Rp803 +sbsg153 +g1 +(g2 +g3 +(g777 +g153 +tp804 +tp805 +Rp806 +(dp807 +g10 +g780 +sg20 +g21 +((lp808 +tp809 +Rp810 +sbsS'PreProc' +p811 +g1 +(g2 +g3 +(g777 +g811 +tp812 +tp813 +Rp814 +(dp815 +g10 +g780 +sg20 +g21 +((lp816 +tp817 +Rp818 +sbsg65 +g1 +(g2 +g3 +(g777 +g65 +tp819 +tp820 +Rp821 +(dp822 +g10 +g780 +sg20 +g21 +((lp823 +tp824 +Rp825 +sbsS'Reserved' +p826 +g1 +(g2 +g3 +(g777 +g826 +tp827 +tp828 +Rp829 +(dp830 +g10 +g780 +sg20 +g21 +((lp831 +tp832 +Rp833 +sbsg20 +g21 +((lp834 +g806 +ag1 +(g2 +g3 +(g777 +g634 +tp835 +tp836 +Rp837 +(dp838 +g10 +g780 +sg20 +g21 +((lp839 +tp840 +Rp841 +sbag792 +ag1 +(g2 +g3 +(g777 +S'Declaration' +p842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g780 +sg20 +g21 +((lp847 +tp848 +Rp849 +sbag1 +(g2 +g3 +(g777 +g777 +tp850 +tp851 +Rp852 +(dp853 +g10 +g780 +sg20 +g21 +((lp854 +tp855 +Rp856 +sbag821 +ag799 +ag784 +ag829 +ag814 +atp857 +Rp858 +sg777 +g852 +sg842 +g845 +sg634 +g837 +sbag537 +ag1 +(g2 +g3 +(S'Text' +p859 +tp860 +tp861 +Rp862 +(dp863 +S'Beer' +p864 +g1 +(g2 +g3 +(g859 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g862 +sg20 +g21 +((lp869 +tp870 +Rp871 +sbsS'Whitespace' +p872 +g1 +(g2 +g3 +(g859 +g872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g862 +sg20 +g21 +((lp877 +tp878 +Rp879 +sbsg10 +g241 +sS'Root' +p880 +g1 +(g2 +g3 +(g859 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g862 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg49 +g1 +(g2 +g3 +(g859 +g49 +tp888 +tp889 +Rp890 +(dp891 +g10 +g862 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsg644 +g1 +(g2 +g3 +(g859 +g644 +tp895 +tp896 +Rp897 +(dp898 +g10 +g862 +sg20 +g21 +((lp899 +tp900 +Rp901 +sbsg20 +g21 +((lp902 +g883 +ag890 +ag897 +ag875 +ag867 +ag1 +(g2 +g3 +(g859 +S'Rag' +p903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g862 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbatp911 +Rp912 +sg903 +g906 +sbag624 +ag771 +ag647 +ag663 +ag763 +ag267 +atp913 +Rp914 +sg777 +g780 +sg859 +g862 +sbsg162 +g165 +sg216 +g219 +sg201 +g204 +sg185 +g188 +sbsg162 +g1 +(g2 +g3 +(g4 +g5 +g162 +tp915 +tp916 +Rp917 +(dp918 +g10 +g8 +sg20 +g21 +((lp919 +tp920 +Rp921 +sbsS'Anonymous' +p922 +g1 +(g2 +g3 +(g4 +g5 +g922 +tp923 +tp924 +Rp925 +(dp926 +g10 +g8 +sg20 +g21 +((lp927 +tp928 +Rp929 +sbsS'Instance' +p930 +g1 +(g2 +g3 +(g4 +g5 +g930 +tp931 +tp932 +Rp933 +(dp934 +g10 +g8 +sg20 +g21 +((lp935 +tp936 +Rp937 +sbsS'Global' +p938 +g1 +(g2 +g3 +(g4 +g5 +g938 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg20 +g21 +((lp943 +tp944 +Rp945 +sbsg20 +g21 +((lp946 +g925 +ag933 +ag1 +(g2 +g3 +(g4 +g5 +g111 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg20 +g21 +((lp951 +tp952 +Rp953 +sbag941 +ag917 +atp954 +Rp955 +sg111 +g949 +sbV +p956 +tp957 +a(g692 +Vif DEBUG\u000a +p958 +tp959 +a(g8 +VDBG +p960 +tp961 +a(g862 +V +p962 +tp963 +a(g624 +V= +p964 +tp965 +a(g862 +g962 +tp966 +a(g862 +Vdebug +p967 +tp968 +a(g862 +V\u000a +p969 +tp970 +a(g692 +Velse\u000a +p971 +tp972 +a(g862 +g956 +tp973 +a(g8 +VDBG +p974 +tp975 +a(g862 +g962 +tp976 +a(g624 +g964 +tp977 +a(g862 +V\u000a +p978 +tp979 +a(g692 +Vendif\u000a +p980 +tp981 +a(g862 +g956 +tp982 +a(g8 +Vnoinst_PROGRAMS +p983 +tp984 +a(g862 +g962 +tp985 +a(g624 +g964 +tp986 +a(g862 +g962 +tp987 +a(g780 +V$( +p988 +tp989 +a(g862 +VDBG +p990 +tp991 +a(g780 +V) +p992 +tp993 +a(g862 +V\u000a +p994 +tp995 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/badcase.java b/tests/examplefiles/output/badcase.java new file mode 100644 index 0000000..d0d6777 --- /dev/null +++ b/tests/examplefiles/output/badcase.java @@ -0,0 +1,2388 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// this used to take ages\u000a +p956 +tp957 +a(g748 +Vvoid +p958 +tp959 +a(g826 +V +p960 +tp961 +a(g573 +Vfoo +p962 +tp963 +a(g412 +V( +p964 +tp965 +a(g412 +V) +p966 +tp967 +a(g826 +g960 +tp968 +a(g809 +Vthrows +p969 +tp970 +a(g826 +g960 +tp971 +a(g435 +Vxxxxxxxxxxxxxxxxxxxxxx +p972 +tp973 +a(g412 +V{ +p974 +tp975 +a(g826 +g960 +tp976 +a(g412 +V} +p977 +tp978 +a(g826 +V\u000a +p979 +tp980 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/bigtest.nsi b/tests/examplefiles/output/bigtest.nsi new file mode 100644 index 0000000..dee3424 --- /dev/null +++ b/tests/examplefiles/output/bigtest.nsi @@ -0,0 +1,16333 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV; bigtest.nsi\u000a +p956 +tp957 +a(g7 +V;\u000a +p958 +tp959 +a(g7 +V; This script attempts to test most of the functionality of the NSIS exehead.\u000a +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +V;--------------------------------\u000a +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g894 +V!ifdef +p968 +tp969 +a(g822 +V +p970 +tp971 +a(g822 +VH +p972 +tp973 +a(g822 +VA +p974 +tp975 +a(g822 +VV +p976 +tp977 +a(g822 +VE +p978 +tp979 +a(g822 +V_ +p980 +tp981 +a(g822 +VU +p982 +tp983 +a(g822 +VP +p984 +tp985 +a(g822 +VX +p986 +tp987 +a(g822 +V\u000a +p988 +tp989 +a(g894 +V!packhdr +p990 +tp991 +a(g822 +g970 +tp992 +a(g822 +Vt +p993 +tp994 +a(g822 +Vm +p995 +tp996 +a(g822 +Vp +p997 +tp998 +a(g822 +V. +p999 +tp1000 +a(g822 +Vd +p1001 +tp1002 +a(g822 +Va +p1003 +tp1004 +a(g822 +g993 +tp1005 +a(g822 +g970 +tp1006 +a(g152 +V" +p1007 +tp1008 +a(g152 +Vu +p1009 +tp1010 +a(g152 +g997 +tp1011 +a(g152 +Vx +p1012 +tp1013 +a(g152 +V\u005c +p1014 +tp1015 +a(g152 +g1009 +tp1016 +a(g152 +g997 +tp1017 +a(g152 +g1012 +tp1018 +a(g152 +g970 +tp1019 +a(g152 +V- +p1020 +tp1021 +a(g152 +V9 +p1022 +tp1023 +a(g152 +g970 +tp1024 +a(g152 +g993 +tp1025 +a(g152 +g995 +tp1026 +a(g152 +g997 +tp1027 +a(g152 +g999 +tp1028 +a(g152 +g1001 +tp1029 +a(g152 +g1003 +tp1030 +a(g152 +g993 +tp1031 +a(g84 +g1007 +tp1032 +a(g822 +V\u000a +p1033 +tp1034 +a(g894 +V!endif +p1035 +tp1036 +a(g822 +V\u000a +p1037 +tp1038 +a(g822 +V\u000a +p1039 +tp1040 +a(g894 +V!ifdef +p1041 +tp1042 +a(g822 +g970 +tp1043 +a(g822 +VN +p1044 +tp1045 +a(g822 +VO +p1046 +tp1047 +a(g822 +VC +p1048 +tp1049 +a(g822 +g1046 +tp1050 +a(g822 +VM +p1051 +tp1052 +a(g822 +g984 +tp1053 +a(g822 +VR +p1054 +tp1055 +a(g822 +g978 +tp1056 +a(g822 +VS +p1057 +tp1058 +a(g822 +g1057 +tp1059 +a(g822 +V\u000a +p1060 +tp1061 +a(g740 +VSetCompress +p1062 +tp1063 +a(g822 +g970 +tp1064 +a(g561 +Voff +p1065 +tp1066 +a(g822 +V\u000a +p1067 +tp1068 +a(g894 +V!endif +p1069 +tp1070 +a(g822 +V\u000a +p1071 +tp1072 +a(g822 +V\u000a +p1073 +tp1074 +a(g7 +V;--------------------------------\u000a +p1075 +tp1076 +a(g822 +V\u000a +p1077 +tp1078 +a(g740 +VName +p1079 +tp1080 +a(g822 +g970 +tp1081 +a(g152 +g1007 +tp1082 +a(g152 +VB +p1083 +tp1084 +a(g152 +Vi +p1085 +tp1086 +a(g152 +Vg +p1087 +tp1088 +a(g152 +g1044 +tp1089 +a(g152 +g1057 +tp1090 +a(g152 +VI +p1091 +tp1092 +a(g152 +g1057 +tp1093 +a(g152 +VT +p1094 +tp1095 +a(g152 +Ve +p1096 +tp1097 +a(g152 +Vs +p1098 +tp1099 +a(g152 +g993 +tp1100 +a(g84 +g1007 +tp1101 +a(g822 +V\u000a +p1102 +tp1103 +a(g740 +VCaption +p1104 +tp1105 +a(g822 +g970 +tp1106 +a(g152 +g1007 +tp1107 +a(g152 +g1044 +tp1108 +a(g152 +g1057 +tp1109 +a(g152 +g1091 +tp1110 +a(g152 +g1057 +tp1111 +a(g152 +g970 +tp1112 +a(g152 +g1083 +tp1113 +a(g152 +g1085 +tp1114 +a(g152 +g1087 +tp1115 +a(g152 +g970 +tp1116 +a(g152 +g1094 +tp1117 +a(g152 +g1096 +tp1118 +a(g152 +g1098 +tp1119 +a(g152 +g993 +tp1120 +a(g84 +g1007 +tp1121 +a(g822 +V\u000a +p1122 +tp1123 +a(g740 +VIcon +p1124 +tp1125 +a(g822 +g970 +tp1126 +a(g152 +g1007 +tp1127 +a(g677 +V${NSISDIR} +p1128 +tp1129 +a(g152 +V\u005c +p1130 +tp1131 +a(g152 +g1048 +tp1132 +a(g152 +Vo +p1133 +tp1134 +a(g152 +Vn +p1135 +tp1136 +a(g152 +g993 +tp1137 +a(g152 +Vr +p1138 +tp1139 +a(g152 +g1085 +tp1140 +a(g152 +Vb +p1141 +tp1142 +a(g152 +V\u005c +p1143 +tp1144 +a(g152 +VG +p1145 +tp1146 +a(g152 +g1138 +tp1147 +a(g152 +g1003 +tp1148 +a(g152 +g997 +tp1149 +a(g152 +Vh +p1150 +tp1151 +a(g152 +g1085 +tp1152 +a(g152 +Vc +p1153 +tp1154 +a(g152 +g1098 +tp1155 +a(g152 +V\u005c +p1156 +tp1157 +a(g152 +g1091 +tp1158 +a(g152 +g1153 +tp1159 +a(g152 +g1133 +tp1160 +a(g152 +g1135 +tp1161 +a(g152 +g1098 +tp1162 +a(g152 +V\u005c +p1163 +tp1164 +a(g152 +g1135 +tp1165 +a(g152 +g1098 +tp1166 +a(g152 +g1085 +tp1167 +a(g152 +g1098 +tp1168 +a(g152 +V1 +p1169 +tp1170 +a(g152 +g1020 +tp1171 +a(g152 +g1085 +tp1172 +a(g152 +g1135 +tp1173 +a(g152 +g1098 +tp1174 +a(g152 +g993 +tp1175 +a(g152 +g1003 +tp1176 +a(g152 +Vl +p1177 +tp1178 +a(g152 +g1177 +tp1179 +a(g152 +g999 +tp1180 +a(g152 +g1085 +tp1181 +a(g152 +g1153 +tp1182 +a(g152 +g1133 +tp1183 +a(g84 +g1007 +tp1184 +a(g822 +V\u000a +p1185 +tp1186 +a(g740 +VOutFile +p1187 +tp1188 +a(g822 +g970 +tp1189 +a(g152 +g1007 +tp1190 +a(g152 +g1141 +tp1191 +a(g152 +g1085 +tp1192 +a(g152 +g1087 +tp1193 +a(g152 +g993 +tp1194 +a(g152 +g1096 +tp1195 +a(g152 +g1098 +tp1196 +a(g152 +g993 +tp1197 +a(g152 +g999 +tp1198 +a(g152 +g1096 +tp1199 +a(g152 +g1012 +tp1200 +a(g152 +g1096 +tp1201 +a(g84 +g1007 +tp1202 +a(g822 +V\u000a +p1203 +tp1204 +a(g822 +V\u000a +p1205 +tp1206 +a(g740 +VSetDateSave +p1207 +tp1208 +a(g822 +g970 +tp1209 +a(g561 +Von +p1210 +tp1211 +a(g822 +V\u000a +p1212 +tp1213 +a(g740 +VSetDatablockOptimize +p1214 +tp1215 +a(g822 +g970 +tp1216 +a(g561 +Von +p1217 +tp1218 +a(g822 +V\u000a +p1219 +tp1220 +a(g740 +VCRCCheck +p1221 +tp1222 +a(g822 +g970 +tp1223 +a(g561 +Von +p1224 +tp1225 +a(g822 +V\u000a +p1226 +tp1227 +a(g740 +VSilentInstall +p1228 +tp1229 +a(g822 +g970 +tp1230 +a(g561 +Vnormal +p1231 +tp1232 +a(g822 +V\u000a +p1233 +tp1234 +a(g740 +VBGGradient +p1235 +tp1236 +a(g822 +g970 +tp1237 +a(g822 +V0 +p1238 +tp1239 +a(g822 +g1238 +tp1240 +a(g822 +g1238 +tp1241 +a(g822 +g1238 +tp1242 +a(g822 +g1238 +tp1243 +a(g822 +g1238 +tp1244 +a(g822 +g970 +tp1245 +a(g822 +V8 +p1246 +tp1247 +a(g822 +g1238 +tp1248 +a(g822 +g1238 +tp1249 +a(g822 +g1238 +tp1250 +a(g822 +g1238 +tp1251 +a(g822 +g1238 +tp1252 +a(g822 +g970 +tp1253 +a(g822 +VF +p1254 +tp1255 +a(g822 +g1254 +tp1256 +a(g822 +g1254 +tp1257 +a(g822 +g1254 +tp1258 +a(g822 +g1254 +tp1259 +a(g822 +g1254 +tp1260 +a(g822 +V\u000a +p1261 +tp1262 +a(g740 +VInstallColors +p1263 +tp1264 +a(g822 +g970 +tp1265 +a(g822 +g1254 +tp1266 +a(g822 +g1254 +tp1267 +a(g822 +g1246 +tp1268 +a(g822 +g1238 +tp1269 +a(g822 +g1246 +tp1270 +a(g822 +g1238 +tp1271 +a(g822 +g970 +tp1272 +a(g822 +g1238 +tp1273 +a(g822 +g1238 +tp1274 +a(g822 +g1238 +tp1275 +a(g822 +g1238 +tp1276 +a(g822 +V3 +p1277 +tp1278 +a(g822 +g1238 +tp1279 +a(g822 +V\u000a +p1280 +tp1281 +a(g740 +VXPStyle +p1282 +tp1283 +a(g822 +g970 +tp1284 +a(g561 +Von +p1285 +tp1286 +a(g822 +V\u000a +p1287 +tp1288 +a(g822 +V\u000a +p1289 +tp1290 +a(g740 +VInstallDir +p1291 +tp1292 +a(g822 +g970 +tp1293 +a(g152 +g1007 +tp1294 +a(g436 +V$PROGRAMFILES +p1295 +tp1296 +a(g152 +V\u005c +p1297 +tp1298 +a(g152 +g1044 +tp1299 +a(g152 +g1057 +tp1300 +a(g152 +g1091 +tp1301 +a(g152 +g1057 +tp1302 +a(g152 +g1094 +tp1303 +a(g152 +g1096 +tp1304 +a(g152 +g1098 +tp1305 +a(g152 +g993 +tp1306 +a(g152 +V\u005c +p1307 +tp1308 +a(g152 +g1083 +tp1309 +a(g152 +g1085 +tp1310 +a(g152 +g1087 +tp1311 +a(g152 +g1044 +tp1312 +a(g152 +g1057 +tp1313 +a(g152 +g1091 +tp1314 +a(g152 +g1057 +tp1315 +a(g152 +g1094 +tp1316 +a(g152 +g1096 +tp1317 +a(g152 +g1098 +tp1318 +a(g152 +g993 +tp1319 +a(g84 +g1007 +tp1320 +a(g822 +V\u000a +p1321 +tp1322 +a(g740 +VInstallDirRegKey +p1323 +tp1324 +a(g822 +g970 +tp1325 +a(g561 +VHKLM +p1326 +tp1327 +a(g822 +g970 +tp1328 +a(g152 +g1007 +tp1329 +a(g152 +g1057 +tp1330 +a(g152 +g1133 +tp1331 +a(g152 +Vf +p1332 +tp1333 +a(g152 +g993 +tp1334 +a(g152 +Vw +p1335 +tp1336 +a(g152 +g1003 +tp1337 +a(g152 +g1138 +tp1338 +a(g152 +g1096 +tp1339 +a(g152 +V\u005c +p1340 +tp1341 +a(g152 +g1044 +tp1342 +a(g152 +g1057 +tp1343 +a(g152 +g1091 +tp1344 +a(g152 +g1057 +tp1345 +a(g152 +g1094 +tp1346 +a(g152 +g1096 +tp1347 +a(g152 +g1098 +tp1348 +a(g152 +g993 +tp1349 +a(g152 +V\u005c +p1350 +tp1351 +a(g152 +g1083 +tp1352 +a(g152 +g1085 +tp1353 +a(g152 +g1087 +tp1354 +a(g152 +g1044 +tp1355 +a(g152 +g1057 +tp1356 +a(g152 +g1091 +tp1357 +a(g152 +g1057 +tp1358 +a(g152 +g1094 +tp1359 +a(g152 +g1096 +tp1360 +a(g152 +g1098 +tp1361 +a(g152 +g993 +tp1362 +a(g84 +g1007 +tp1363 +a(g822 +g970 +tp1364 +a(g152 +g1007 +tp1365 +a(g152 +g1091 +tp1366 +a(g152 +g1135 +tp1367 +a(g152 +g1098 +tp1368 +a(g152 +g993 +tp1369 +a(g152 +g1003 +tp1370 +a(g152 +g1177 +tp1371 +a(g152 +g1177 +tp1372 +a(g152 +g980 +tp1373 +a(g152 +VD +p1374 +tp1375 +a(g152 +g1085 +tp1376 +a(g152 +g1138 +tp1377 +a(g84 +g1007 +tp1378 +a(g822 +V\u000a +p1379 +tp1380 +a(g822 +V\u000a +p1381 +tp1382 +a(g740 +VCheckBitmap +p1383 +tp1384 +a(g822 +g970 +tp1385 +a(g152 +g1007 +tp1386 +a(g677 +V${NSISDIR} +p1387 +tp1388 +a(g152 +V\u005c +p1389 +tp1390 +a(g152 +g1048 +tp1391 +a(g152 +g1133 +tp1392 +a(g152 +g1135 +tp1393 +a(g152 +g993 +tp1394 +a(g152 +g1138 +tp1395 +a(g152 +g1085 +tp1396 +a(g152 +g1141 +tp1397 +a(g152 +V\u005c +p1398 +tp1399 +a(g152 +g1145 +tp1400 +a(g152 +g1138 +tp1401 +a(g152 +g1003 +tp1402 +a(g152 +g997 +tp1403 +a(g152 +g1150 +tp1404 +a(g152 +g1085 +tp1405 +a(g152 +g1153 +tp1406 +a(g152 +g1098 +tp1407 +a(g152 +V\u005c +p1408 +tp1409 +a(g152 +g1048 +tp1410 +a(g152 +g1150 +tp1411 +a(g152 +g1096 +tp1412 +a(g152 +g1153 +tp1413 +a(g152 +Vk +p1414 +tp1415 +a(g152 +g1098 +tp1416 +a(g152 +V\u005c +p1417 +tp1418 +a(g152 +g1153 +tp1419 +a(g152 +g1177 +tp1420 +a(g152 +g1003 +tp1421 +a(g152 +g1098 +tp1422 +a(g152 +g1098 +tp1423 +a(g152 +g1085 +tp1424 +a(g152 +g1153 +tp1425 +a(g152 +g1020 +tp1426 +a(g152 +g1153 +tp1427 +a(g152 +g1138 +tp1428 +a(g152 +g1133 +tp1429 +a(g152 +g1098 +tp1430 +a(g152 +g1098 +tp1431 +a(g152 +g999 +tp1432 +a(g152 +g1141 +tp1433 +a(g152 +g995 +tp1434 +a(g152 +g997 +tp1435 +a(g84 +g1007 +tp1436 +a(g822 +V\u000a +p1437 +tp1438 +a(g822 +V\u000a +p1439 +tp1440 +a(g740 +VLicenseText +p1441 +tp1442 +a(g822 +g970 +tp1443 +a(g152 +g1007 +tp1444 +a(g152 +g974 +tp1445 +a(g152 +g970 +tp1446 +a(g152 +g993 +tp1447 +a(g152 +g1096 +tp1448 +a(g152 +g1098 +tp1449 +a(g152 +g993 +tp1450 +a(g152 +g970 +tp1451 +a(g152 +g993 +tp1452 +a(g152 +g1096 +tp1453 +a(g152 +g1012 +tp1454 +a(g152 +g993 +tp1455 +a(g152 +V, +p1456 +tp1457 +a(g152 +g970 +tp1458 +a(g152 +g995 +tp1459 +a(g152 +g1003 +tp1460 +a(g152 +g1414 +tp1461 +a(g152 +g1096 +tp1462 +a(g152 +g970 +tp1463 +a(g152 +g1098 +tp1464 +a(g152 +g1009 +tp1465 +a(g152 +g1138 +tp1466 +a(g152 +g1096 +tp1467 +a(g152 +g970 +tp1468 +a(g152 +g1085 +tp1469 +a(g152 +g993 +tp1470 +a(g152 +V' +p1471 +tp1472 +a(g152 +g1098 +tp1473 +a(g152 +g970 +tp1474 +a(g152 +g1003 +tp1475 +a(g152 +g1177 +tp1476 +a(g152 +g1177 +tp1477 +a(g152 +g970 +tp1478 +a(g152 +g993 +tp1479 +a(g152 +g1150 +tp1480 +a(g152 +g1096 +tp1481 +a(g152 +g1138 +tp1482 +a(g152 +g1096 +tp1483 +a(g84 +g1007 +tp1484 +a(g822 +V\u000a +p1485 +tp1486 +a(g740 +VLicenseData +p1487 +tp1488 +a(g822 +g970 +tp1489 +a(g152 +g1007 +tp1490 +a(g152 +g1141 +tp1491 +a(g152 +g1085 +tp1492 +a(g152 +g1087 +tp1493 +a(g152 +g993 +tp1494 +a(g152 +g1096 +tp1495 +a(g152 +g1098 +tp1496 +a(g152 +g993 +tp1497 +a(g152 +g999 +tp1498 +a(g152 +g1135 +tp1499 +a(g152 +g1098 +tp1500 +a(g152 +g1085 +tp1501 +a(g84 +g1007 +tp1502 +a(g822 +V\u000a +p1503 +tp1504 +a(g822 +V\u000a +p1505 +tp1506 +a(g740 +VRequestExecutionLevel +p1507 +tp1508 +a(g822 +g970 +tp1509 +a(g561 +Vadmin +p1510 +tp1511 +a(g822 +V\u000a +p1512 +tp1513 +a(g822 +V\u000a +p1514 +tp1515 +a(g7 +V;--------------------------------\u000a +p1516 +tp1517 +a(g822 +V\u000a +p1518 +tp1519 +a(g740 +VPage +p1520 +tp1521 +a(g822 +g970 +tp1522 +a(g822 +g1177 +tp1523 +a(g822 +g1085 +tp1524 +a(g822 +g1153 +tp1525 +a(g822 +g1096 +tp1526 +a(g822 +g1135 +tp1527 +a(g822 +g1098 +tp1528 +a(g822 +g1096 +tp1529 +a(g822 +V\u000a +p1530 +tp1531 +a(g740 +VPage +p1532 +tp1533 +a(g822 +g970 +tp1534 +a(g822 +g1153 +tp1535 +a(g822 +g1133 +tp1536 +a(g822 +g995 +tp1537 +a(g822 +g997 +tp1538 +a(g822 +g1133 +tp1539 +a(g822 +g1135 +tp1540 +a(g822 +g1096 +tp1541 +a(g822 +g1135 +tp1542 +a(g822 +g993 +tp1543 +a(g822 +g1098 +tp1544 +a(g822 +V\u000a +p1545 +tp1546 +a(g740 +VPage +p1547 +tp1548 +a(g822 +g970 +tp1549 +a(g822 +g1001 +tp1550 +a(g822 +g1085 +tp1551 +a(g822 +g1138 +tp1552 +a(g822 +g1096 +tp1553 +a(g822 +g1153 +tp1554 +a(g822 +g993 +tp1555 +a(g822 +g1133 +tp1556 +a(g822 +g1138 +tp1557 +a(g822 +Vy +p1558 +tp1559 +a(g822 +V\u000a +p1560 +tp1561 +a(g740 +VPage +p1562 +tp1563 +a(g822 +g970 +tp1564 +a(g822 +g1085 +tp1565 +a(g822 +g1135 +tp1566 +a(g822 +g1098 +tp1567 +a(g822 +g993 +tp1568 +a(g822 +g1332 +tp1569 +a(g822 +g1085 +tp1570 +a(g822 +g1177 +tp1571 +a(g822 +g1096 +tp1572 +a(g822 +g1098 +tp1573 +a(g822 +V\u000a +p1574 +tp1575 +a(g822 +V\u000a +p1576 +tp1577 +a(g740 +VUninstPage +p1578 +tp1579 +a(g822 +g970 +tp1580 +a(g822 +g1009 +tp1581 +a(g822 +g1135 +tp1582 +a(g822 +g1085 +tp1583 +a(g822 +g1135 +tp1584 +a(g822 +g1098 +tp1585 +a(g822 +g993 +tp1586 +a(g822 +g1048 +tp1587 +a(g822 +g1133 +tp1588 +a(g822 +g1135 +tp1589 +a(g822 +g1332 +tp1590 +a(g822 +g1085 +tp1591 +a(g822 +g1138 +tp1592 +a(g822 +g995 +tp1593 +a(g822 +V\u000a +p1594 +tp1595 +a(g740 +VUninstPage +p1596 +tp1597 +a(g822 +g970 +tp1598 +a(g822 +g1085 +tp1599 +a(g822 +g1135 +tp1600 +a(g822 +g1098 +tp1601 +a(g822 +g993 +tp1602 +a(g822 +g1332 +tp1603 +a(g822 +g1085 +tp1604 +a(g822 +g1177 +tp1605 +a(g822 +g1096 +tp1606 +a(g822 +g1098 +tp1607 +a(g822 +V\u000a +p1608 +tp1609 +a(g822 +V\u000a +p1610 +tp1611 +a(g7 +V;--------------------------------\u000a +p1612 +tp1613 +a(g822 +V\u000a +p1614 +tp1615 +a(g894 +V!ifndef +p1616 +tp1617 +a(g822 +g970 +tp1618 +a(g822 +g1044 +tp1619 +a(g822 +g1046 +tp1620 +a(g822 +g1091 +tp1621 +a(g822 +g1044 +tp1622 +a(g822 +g1057 +tp1623 +a(g822 +g1094 +tp1624 +a(g822 +g1094 +tp1625 +a(g822 +VY +p1626 +tp1627 +a(g822 +g984 +tp1628 +a(g822 +g978 +tp1629 +a(g822 +g1057 +tp1630 +a(g822 +g970 +tp1631 +a(g7 +V; only if not defined\u000a +p1632 +tp1633 +a(g822 +g970 +tp1634 +a(g822 +g970 +tp1635 +a(g740 +VInstType +p1636 +tp1637 +a(g822 +g970 +tp1638 +a(g152 +g1007 +tp1639 +a(g152 +g1051 +tp1640 +a(g152 +g1133 +tp1641 +a(g152 +g1098 +tp1642 +a(g152 +g993 +tp1643 +a(g84 +g1007 +tp1644 +a(g822 +V\u000a +p1645 +tp1646 +a(g822 +g970 +tp1647 +a(g822 +g970 +tp1648 +a(g740 +VInstType +p1649 +tp1650 +a(g822 +g970 +tp1651 +a(g152 +g1007 +tp1652 +a(g152 +g1254 +tp1653 +a(g152 +g1009 +tp1654 +a(g152 +g1177 +tp1655 +a(g152 +g1177 +tp1656 +a(g84 +g1007 +tp1657 +a(g822 +V\u000a +p1658 +tp1659 +a(g822 +g970 +tp1660 +a(g822 +g970 +tp1661 +a(g740 +VInstType +p1662 +tp1663 +a(g822 +g970 +tp1664 +a(g152 +g1007 +tp1665 +a(g152 +g1051 +tp1666 +a(g152 +g1133 +tp1667 +a(g152 +g1138 +tp1668 +a(g152 +g1096 +tp1669 +a(g84 +g1007 +tp1670 +a(g822 +V\u000a +p1671 +tp1672 +a(g822 +g970 +tp1673 +a(g822 +g970 +tp1674 +a(g740 +VInstType +p1675 +tp1676 +a(g822 +g970 +tp1677 +a(g152 +g1007 +tp1678 +a(g152 +g1083 +tp1679 +a(g152 +g1003 +tp1680 +a(g152 +g1098 +tp1681 +a(g152 +g1096 +tp1682 +a(g84 +g1007 +tp1683 +a(g822 +V\u000a +p1684 +tp1685 +a(g822 +g970 +tp1686 +a(g822 +g970 +tp1687 +a(g7 +V;InstType /NOCUSTOM\u000a +p1688 +tp1689 +a(g822 +g970 +tp1690 +a(g822 +g970 +tp1691 +a(g7 +V;InstType /COMPONENTSONLYONCUSTOM\u000a +p1692 +tp1693 +a(g894 +V!endif +p1694 +tp1695 +a(g822 +V\u000a +p1696 +tp1697 +a(g822 +V\u000a +p1698 +tp1699 +a(g740 +VAutoCloseWindow +p1700 +tp1701 +a(g822 +g970 +tp1702 +a(g561 +Vfalse +p1703 +tp1704 +a(g822 +V\u000a +p1705 +tp1706 +a(g740 +VShowInstDetails +p1707 +tp1708 +a(g822 +g970 +tp1709 +a(g561 +Vshow +p1710 +tp1711 +a(g822 +V\u000a +p1712 +tp1713 +a(g822 +V\u000a +p1714 +tp1715 +a(g7 +V;--------------------------------\u000a +p1716 +tp1717 +a(g822 +V\u000a +p1718 +tp1719 +a(g740 +VSection +p1720 +tp1721 +a(g822 +g970 +tp1722 +a(g152 +g1007 +tp1723 +a(g84 +g1007 +tp1724 +a(g822 +g970 +tp1725 +a(g7 +V; empty string makes it hidden, so would starting with -\u000a +p1726 +tp1727 +a(g822 +V\u000a +p1728 +tp1729 +a(g822 +g970 +tp1730 +a(g822 +g970 +tp1731 +a(g7 +V; write reg info\u000a +p1732 +tp1733 +a(g822 +g970 +tp1734 +a(g822 +g970 +tp1735 +a(g740 +VStrCpy +p1736 +tp1737 +a(g822 +g970 +tp1738 +a(g689 +V$1 +p1739 +tp1740 +a(g822 +g970 +tp1741 +a(g152 +g1007 +tp1742 +a(g152 +g984 +tp1743 +a(g152 +g1046 +tp1744 +a(g152 +g1046 +tp1745 +a(g152 +g1046 +tp1746 +a(g152 +g1046 +tp1747 +a(g152 +g1046 +tp1748 +a(g152 +g1046 +tp1749 +a(g152 +g1046 +tp1750 +a(g152 +g1046 +tp1751 +a(g152 +g1046 +tp1752 +a(g152 +g1046 +tp1753 +a(g152 +g1046 +tp1754 +a(g152 +g984 +tp1755 +a(g84 +g1007 +tp1756 +a(g822 +V\u000a +p1757 +tp1758 +a(g822 +g970 +tp1759 +a(g822 +g970 +tp1760 +a(g740 +VDetailPrint +p1761 +tp1762 +a(g822 +g970 +tp1763 +a(g152 +g1007 +tp1764 +a(g152 +g1091 +tp1765 +a(g152 +g970 +tp1766 +a(g152 +g1177 +tp1767 +a(g152 +g1085 +tp1768 +a(g152 +g1414 +tp1769 +a(g152 +g1096 +tp1770 +a(g152 +g970 +tp1771 +a(g152 +g993 +tp1772 +a(g152 +g1133 +tp1773 +a(g152 +g970 +tp1774 +a(g152 +g1141 +tp1775 +a(g152 +g1096 +tp1776 +a(g152 +g970 +tp1777 +a(g152 +g1003 +tp1778 +a(g152 +g1141 +tp1779 +a(g152 +g1177 +tp1780 +a(g152 +g1096 +tp1781 +a(g152 +g970 +tp1782 +a(g152 +g993 +tp1783 +a(g152 +g1133 +tp1784 +a(g152 +g970 +tp1785 +a(g152 +g1098 +tp1786 +a(g152 +g1096 +tp1787 +a(g152 +g1096 +tp1788 +a(g152 +g970 +tp1789 +a(g152 +g1335 +tp1790 +a(g152 +g1150 +tp1791 +a(g152 +g1003 +tp1792 +a(g152 +g993 +tp1793 +a(g152 +g970 +tp1794 +a(g152 +g1085 +tp1795 +a(g152 +g1098 +tp1796 +a(g152 +g970 +tp1797 +a(g152 +g1087 +tp1798 +a(g152 +g1133 +tp1799 +a(g152 +g1085 +tp1800 +a(g152 +g1135 +tp1801 +a(g152 +g1087 +tp1802 +a(g152 +g970 +tp1803 +a(g152 +g1133 +tp1804 +a(g152 +g1135 +tp1805 +a(g152 +g970 +tp1806 +a(g152 +V( +p1807 +tp1808 +a(g152 +g1001 +tp1809 +a(g152 +g1096 +tp1810 +a(g152 +g1141 +tp1811 +a(g152 +g1009 +tp1812 +a(g152 +g1087 +tp1813 +a(g152 +V) +p1814 +tp1815 +a(g152 +g970 +tp1816 +a(g689 +V$1 +p1817 +tp1818 +a(g84 +g1007 +tp1819 +a(g822 +V\u000a +p1820 +tp1821 +a(g822 +g970 +tp1822 +a(g822 +g970 +tp1823 +a(g740 +VWriteRegStr +p1824 +tp1825 +a(g822 +g970 +tp1826 +a(g561 +VHKLM +p1827 +tp1828 +a(g822 +g970 +tp1829 +a(g822 +g1057 +tp1830 +a(g822 +g1046 +tp1831 +a(g822 +g1254 +tp1832 +a(g822 +g1094 +tp1833 +a(g822 +VW +p1834 +tp1835 +a(g822 +g974 +tp1836 +a(g822 +g1054 +tp1837 +a(g822 +g978 +tp1838 +a(g701 +V\u005c +p1839 +tp1840 +a(g822 +g1044 +tp1841 +a(g822 +g1057 +tp1842 +a(g822 +g1091 +tp1843 +a(g822 +g1057 +tp1844 +a(g822 +g1094 +tp1845 +a(g822 +g1096 +tp1846 +a(g822 +g1098 +tp1847 +a(g822 +g993 +tp1848 +a(g701 +V\u005c +p1849 +tp1850 +a(g822 +g1083 +tp1851 +a(g822 +g1085 +tp1852 +a(g822 +g1087 +tp1853 +a(g822 +g1044 +tp1854 +a(g822 +g1057 +tp1855 +a(g822 +g1091 +tp1856 +a(g822 +g1057 +tp1857 +a(g822 +g1094 +tp1858 +a(g822 +g1096 +tp1859 +a(g822 +g1098 +tp1860 +a(g822 +g993 +tp1861 +a(g822 +g970 +tp1862 +a(g152 +g1007 +tp1863 +a(g152 +g1091 +tp1864 +a(g152 +g1135 +tp1865 +a(g152 +g1098 +tp1866 +a(g152 +g993 +tp1867 +a(g152 +g1003 +tp1868 +a(g152 +g1177 +tp1869 +a(g152 +g1177 +tp1870 +a(g152 +g980 +tp1871 +a(g152 +g1374 +tp1872 +a(g152 +g1085 +tp1873 +a(g152 +g1138 +tp1874 +a(g84 +g1007 +tp1875 +a(g822 +g970 +tp1876 +a(g152 +g1007 +tp1877 +a(g465 +V$INSTDIR +p1878 +tp1879 +a(g84 +g1007 +tp1880 +a(g822 +V\u000a +p1881 +tp1882 +a(g822 +V\u000a +p1883 +tp1884 +a(g822 +g970 +tp1885 +a(g822 +g970 +tp1886 +a(g7 +V; write uninstall strings\u000a +p1887 +tp1888 +a(g822 +g970 +tp1889 +a(g822 +g970 +tp1890 +a(g740 +VWriteRegStr +p1891 +tp1892 +a(g822 +g970 +tp1893 +a(g561 +VHKLM +p1894 +tp1895 +a(g822 +g970 +tp1896 +a(g152 +g1007 +tp1897 +a(g152 +g1057 +tp1898 +a(g152 +g1133 +tp1899 +a(g152 +g1332 +tp1900 +a(g152 +g993 +tp1901 +a(g152 +g1335 +tp1902 +a(g152 +g1003 +tp1903 +a(g152 +g1138 +tp1904 +a(g152 +g1096 +tp1905 +a(g152 +V\u005c +p1906 +tp1907 +a(g152 +g1051 +tp1908 +a(g152 +g1085 +tp1909 +a(g152 +g1153 +tp1910 +a(g152 +g1138 +tp1911 +a(g152 +g1133 +tp1912 +a(g152 +g1098 +tp1913 +a(g152 +g1133 +tp1914 +a(g152 +g1332 +tp1915 +a(g152 +g993 +tp1916 +a(g152 +V\u005c +p1917 +tp1918 +a(g152 +g1834 +tp1919 +a(g152 +g1085 +tp1920 +a(g152 +g1135 +tp1921 +a(g152 +g1001 +tp1922 +a(g152 +g1133 +tp1923 +a(g152 +g1335 +tp1924 +a(g152 +g1098 +tp1925 +a(g152 +V\u005c +p1926 +tp1927 +a(g152 +g1048 +tp1928 +a(g152 +g1009 +tp1929 +a(g152 +g1138 +tp1930 +a(g152 +g1138 +tp1931 +a(g152 +g1096 +tp1932 +a(g152 +g1135 +tp1933 +a(g152 +g993 +tp1934 +a(g152 +g976 +tp1935 +a(g152 +g1096 +tp1936 +a(g152 +g1138 +tp1937 +a(g152 +g1098 +tp1938 +a(g152 +g1085 +tp1939 +a(g152 +g1133 +tp1940 +a(g152 +g1135 +tp1941 +a(g152 +V\u005c +p1942 +tp1943 +a(g152 +g982 +tp1944 +a(g152 +g1135 +tp1945 +a(g152 +g1085 +tp1946 +a(g152 +g1135 +tp1947 +a(g152 +g1098 +tp1948 +a(g152 +g993 +tp1949 +a(g152 +g1003 +tp1950 +a(g152 +g1177 +tp1951 +a(g152 +g1177 +tp1952 +a(g152 +V\u005c +p1953 +tp1954 +a(g152 +g1083 +tp1955 +a(g152 +g1085 +tp1956 +a(g152 +g1087 +tp1957 +a(g152 +g1044 +tp1958 +a(g152 +g1057 +tp1959 +a(g152 +g1091 +tp1960 +a(g152 +g1057 +tp1961 +a(g152 +g1094 +tp1962 +a(g152 +g1096 +tp1963 +a(g152 +g1098 +tp1964 +a(g152 +g993 +tp1965 +a(g84 +g1007 +tp1966 +a(g822 +g970 +tp1967 +a(g152 +g1007 +tp1968 +a(g152 +g1374 +tp1969 +a(g152 +g1085 +tp1970 +a(g152 +g1098 +tp1971 +a(g152 +g997 +tp1972 +a(g152 +g1177 +tp1973 +a(g152 +g1003 +tp1974 +a(g152 +g1558 +tp1975 +a(g152 +g1044 +tp1976 +a(g152 +g1003 +tp1977 +a(g152 +g995 +tp1978 +a(g152 +g1096 +tp1979 +a(g84 +g1007 +tp1980 +a(g822 +g970 +tp1981 +a(g152 +g1007 +tp1982 +a(g152 +g1083 +tp1983 +a(g152 +g1085 +tp1984 +a(g152 +g1087 +tp1985 +a(g152 +g1044 +tp1986 +a(g152 +g1057 +tp1987 +a(g152 +g1091 +tp1988 +a(g152 +g1057 +tp1989 +a(g152 +g1094 +tp1990 +a(g152 +g1096 +tp1991 +a(g152 +g1098 +tp1992 +a(g152 +g993 +tp1993 +a(g152 +g970 +tp1994 +a(g152 +g1807 +tp1995 +a(g152 +g1138 +tp1996 +a(g152 +g1096 +tp1997 +a(g152 +g995 +tp1998 +a(g152 +g1133 +tp1999 +a(g152 +Vv +p2000 +tp2001 +a(g152 +g1096 +tp2002 +a(g152 +g970 +tp2003 +a(g152 +g1133 +tp2004 +a(g152 +g1135 +tp2005 +a(g152 +g1177 +tp2006 +a(g152 +g1558 +tp2007 +a(g152 +g1814 +tp2008 +a(g84 +g1007 +tp2009 +a(g822 +V\u000a +p2010 +tp2011 +a(g822 +g970 +tp2012 +a(g822 +g970 +tp2013 +a(g740 +VWriteRegStr +p2014 +tp2015 +a(g822 +g970 +tp2016 +a(g561 +VHKLM +p2017 +tp2018 +a(g822 +g970 +tp2019 +a(g152 +g1007 +tp2020 +a(g152 +g1057 +tp2021 +a(g152 +g1133 +tp2022 +a(g152 +g1332 +tp2023 +a(g152 +g993 +tp2024 +a(g152 +g1335 +tp2025 +a(g152 +g1003 +tp2026 +a(g152 +g1138 +tp2027 +a(g152 +g1096 +tp2028 +a(g152 +V\u005c +p2029 +tp2030 +a(g152 +g1051 +tp2031 +a(g152 +g1085 +tp2032 +a(g152 +g1153 +tp2033 +a(g152 +g1138 +tp2034 +a(g152 +g1133 +tp2035 +a(g152 +g1098 +tp2036 +a(g152 +g1133 +tp2037 +a(g152 +g1332 +tp2038 +a(g152 +g993 +tp2039 +a(g152 +V\u005c +p2040 +tp2041 +a(g152 +g1834 +tp2042 +a(g152 +g1085 +tp2043 +a(g152 +g1135 +tp2044 +a(g152 +g1001 +tp2045 +a(g152 +g1133 +tp2046 +a(g152 +g1335 +tp2047 +a(g152 +g1098 +tp2048 +a(g152 +V\u005c +p2049 +tp2050 +a(g152 +g1048 +tp2051 +a(g152 +g1009 +tp2052 +a(g152 +g1138 +tp2053 +a(g152 +g1138 +tp2054 +a(g152 +g1096 +tp2055 +a(g152 +g1135 +tp2056 +a(g152 +g993 +tp2057 +a(g152 +g976 +tp2058 +a(g152 +g1096 +tp2059 +a(g152 +g1138 +tp2060 +a(g152 +g1098 +tp2061 +a(g152 +g1085 +tp2062 +a(g152 +g1133 +tp2063 +a(g152 +g1135 +tp2064 +a(g152 +V\u005c +p2065 +tp2066 +a(g152 +g982 +tp2067 +a(g152 +g1135 +tp2068 +a(g152 +g1085 +tp2069 +a(g152 +g1135 +tp2070 +a(g152 +g1098 +tp2071 +a(g152 +g993 +tp2072 +a(g152 +g1003 +tp2073 +a(g152 +g1177 +tp2074 +a(g152 +g1177 +tp2075 +a(g152 +V\u005c +p2076 +tp2077 +a(g152 +g1083 +tp2078 +a(g152 +g1085 +tp2079 +a(g152 +g1087 +tp2080 +a(g152 +g1044 +tp2081 +a(g152 +g1057 +tp2082 +a(g152 +g1091 +tp2083 +a(g152 +g1057 +tp2084 +a(g152 +g1094 +tp2085 +a(g152 +g1096 +tp2086 +a(g152 +g1098 +tp2087 +a(g152 +g993 +tp2088 +a(g84 +g1007 +tp2089 +a(g822 +g970 +tp2090 +a(g152 +g1007 +tp2091 +a(g152 +g982 +tp2092 +a(g152 +g1135 +tp2093 +a(g152 +g1085 +tp2094 +a(g152 +g1135 +tp2095 +a(g152 +g1098 +tp2096 +a(g152 +g993 +tp2097 +a(g152 +g1003 +tp2098 +a(g152 +g1177 +tp2099 +a(g152 +g1177 +tp2100 +a(g152 +g1057 +tp2101 +a(g152 +g993 +tp2102 +a(g152 +g1138 +tp2103 +a(g152 +g1085 +tp2104 +a(g152 +g1135 +tp2105 +a(g152 +g1087 +tp2106 +a(g84 +g1007 +tp2107 +a(g822 +g970 +tp2108 +a(g225 +V'"$INSTDIR\u005cbt-uninst.exe"' +p2109 +tp2110 +a(g822 +V\u000a +p2111 +tp2112 +a(g822 +V\u000a +p2113 +tp2114 +a(g822 +g970 +tp2115 +a(g822 +g970 +tp2116 +a(g740 +VSetOutPath +p2117 +tp2118 +a(g822 +g970 +tp2119 +a(g465 +V$INSTDIR +p2120 +tp2121 +a(g822 +V\u000a +p2122 +tp2123 +a(g822 +g970 +tp2124 +a(g822 +g970 +tp2125 +a(g740 +VFile +p2126 +tp2127 +a(g822 +g970 +tp2128 +a(g662 +V/a +p2129 +tp2130 +a(g822 +g970 +tp2131 +a(g152 +g1007 +tp2132 +a(g152 +g1098 +tp2133 +a(g152 +g1085 +tp2134 +a(g152 +g1177 +tp2135 +a(g152 +g1096 +tp2136 +a(g152 +g1135 +tp2137 +a(g152 +g993 +tp2138 +a(g152 +g999 +tp2139 +a(g152 +g1135 +tp2140 +a(g152 +g1098 +tp2141 +a(g152 +g1085 +tp2142 +a(g84 +g1007 +tp2143 +a(g822 +V\u000a +p2144 +tp2145 +a(g822 +g970 +tp2146 +a(g822 +g970 +tp2147 +a(g740 +VCreateDirectory +p2148 +tp2149 +a(g822 +g970 +tp2150 +a(g152 +g1007 +tp2151 +a(g465 +V$INSTDIR +p2152 +tp2153 +a(g152 +V\u005c +p2154 +tp2155 +a(g152 +g1051 +tp2156 +a(g152 +g1558 +tp2157 +a(g152 +g984 +tp2158 +a(g152 +g1138 +tp2159 +a(g152 +g1133 +tp2160 +a(g152 +Vj +p2161 +tp2162 +a(g152 +g1096 +tp2163 +a(g152 +g1153 +tp2164 +a(g152 +g993 +tp2165 +a(g152 +g1254 +tp2166 +a(g152 +g1003 +tp2167 +a(g152 +g995 +tp2168 +a(g152 +g1085 +tp2169 +a(g152 +g1177 +tp2170 +a(g152 +g1558 +tp2171 +a(g152 +V\u005c +p2172 +tp2173 +a(g152 +g1051 +tp2174 +a(g152 +g1558 +tp2175 +a(g152 +g984 +tp2176 +a(g152 +g1138 +tp2177 +a(g152 +g1133 +tp2178 +a(g152 +g2161 +tp2179 +a(g152 +g1096 +tp2180 +a(g152 +g1153 +tp2181 +a(g152 +g993 +tp2182 +a(g84 +g1007 +tp2183 +a(g822 +g970 +tp2184 +a(g7 +V; 2 recursively create a directory for fun.\u000a +p2185 +tp2186 +a(g822 +g970 +tp2187 +a(g822 +g970 +tp2188 +a(g740 +VWriteUninstaller +p2189 +tp2190 +a(g822 +g970 +tp2191 +a(g152 +g1007 +tp2192 +a(g152 +g1141 +tp2193 +a(g152 +g993 +tp2194 +a(g152 +g1020 +tp2195 +a(g152 +g1009 +tp2196 +a(g152 +g1135 +tp2197 +a(g152 +g1085 +tp2198 +a(g152 +g1135 +tp2199 +a(g152 +g1098 +tp2200 +a(g152 +g993 +tp2201 +a(g152 +g999 +tp2202 +a(g152 +g1096 +tp2203 +a(g152 +g1012 +tp2204 +a(g152 +g1096 +tp2205 +a(g84 +g1007 +tp2206 +a(g822 +V\u000a +p2207 +tp2208 +a(g822 +g970 +tp2209 +a(g822 +g970 +tp2210 +a(g822 +V\u000a +p2211 +tp2212 +a(g822 +g970 +tp2213 +a(g822 +g970 +tp2214 +a(g740 +VNop +p2215 +tp2216 +a(g822 +g970 +tp2217 +a(g7 +V; for fun\u000a +p2218 +tp2219 +a(g822 +V\u000a +p2220 +tp2221 +a(g740 +VSectionEnd +p2222 +tp2223 +a(g822 +V\u000a +p2224 +tp2225 +a(g822 +V\u000a +p2226 +tp2227 +a(g740 +VSection +p2228 +tp2229 +a(g822 +g970 +tp2230 +a(g152 +g1007 +tp2231 +a(g152 +g1094 +tp2232 +a(g152 +g1096 +tp2233 +a(g152 +g995 +tp2234 +a(g152 +g997 +tp2235 +a(g152 +g1094 +tp2236 +a(g152 +g1096 +tp2237 +a(g152 +g1098 +tp2238 +a(g152 +g993 +tp2239 +a(g84 +g1007 +tp2240 +a(g822 +V\u000a +p2241 +tp2242 +a(g822 +V\u000a +p2243 +tp2244 +a(g740 +VSectionIn +p2245 +tp2246 +a(g822 +g970 +tp2247 +a(g822 +g1169 +tp2248 +a(g822 +g970 +tp2249 +a(g822 +V2 +p2250 +tp2251 +a(g822 +g970 +tp2252 +a(g822 +g1277 +tp2253 +a(g822 +V\u000a +p2254 +tp2255 +a(g822 +g970 +tp2256 +a(g822 +g970 +tp2257 +a(g592 +VStart +p2258 +tp2259 +a(g701 +V: +p2260 +tp2261 +a(g822 +g970 +tp2262 +a(g740 +VMessageBox +p2263 +tp2264 +a(g822 +g970 +tp2265 +a(g561 +VMB_OK +p2266 +tp2267 +a(g822 +g970 +tp2268 +a(g152 +g1007 +tp2269 +a(g152 +g1057 +tp2270 +a(g152 +g993 +tp2271 +a(g152 +g1003 +tp2272 +a(g152 +g1138 +tp2273 +a(g152 +g993 +tp2274 +a(g152 +g2260 +tp2275 +a(g84 +g1007 +tp2276 +a(g822 +V\u000a +p2277 +tp2278 +a(g822 +V\u000a +p2279 +tp2280 +a(g822 +g970 +tp2281 +a(g822 +g970 +tp2282 +a(g740 +VMessageBox +p2283 +tp2284 +a(g822 +g970 +tp2285 +a(g561 +VMB_YESNO +p2286 +tp2287 +a(g822 +g970 +tp2288 +a(g152 +g1007 +tp2289 +a(g152 +g1145 +tp2290 +a(g152 +g1133 +tp2291 +a(g152 +g993 +tp2292 +a(g152 +g1133 +tp2293 +a(g152 +g970 +tp2294 +a(g152 +g1051 +tp2295 +a(g152 +g1558 +tp2296 +a(g152 +VL +p2297 +tp2298 +a(g152 +g1003 +tp2299 +a(g152 +g1141 +tp2300 +a(g152 +g1096 +tp2301 +a(g152 +g1177 +tp2302 +a(g84 +g1007 +tp2303 +a(g822 +g970 +tp2304 +a(g561 +VIDYES +p2305 +tp2306 +a(g822 +g970 +tp2307 +a(g822 +g1051 +tp2308 +a(g822 +g1558 +tp2309 +a(g822 +g2297 +tp2310 +a(g822 +g1003 +tp2311 +a(g822 +g1141 +tp2312 +a(g822 +g1096 +tp2313 +a(g822 +g1177 +tp2314 +a(g822 +V\u000a +p2315 +tp2316 +a(g822 +V\u000a +p2317 +tp2318 +a(g822 +g970 +tp2319 +a(g822 +g970 +tp2320 +a(g740 +VMessageBox +p2321 +tp2322 +a(g822 +g970 +tp2323 +a(g561 +VMB_OK +p2324 +tp2325 +a(g822 +g970 +tp2326 +a(g152 +g1007 +tp2327 +a(g152 +g1054 +tp2328 +a(g152 +g1085 +tp2329 +a(g152 +g1087 +tp2330 +a(g152 +g1150 +tp2331 +a(g152 +g993 +tp2332 +a(g152 +g970 +tp2333 +a(g152 +g1141 +tp2334 +a(g152 +g1096 +tp2335 +a(g152 +g1332 +tp2336 +a(g152 +g1133 +tp2337 +a(g152 +g1138 +tp2338 +a(g152 +g1096 +tp2339 +a(g152 +g970 +tp2340 +a(g152 +g1051 +tp2341 +a(g152 +g1558 +tp2342 +a(g152 +g2297 +tp2343 +a(g152 +g1003 +tp2344 +a(g152 +g1141 +tp2345 +a(g152 +g1096 +tp2346 +a(g152 +g1177 +tp2347 +a(g152 +g2260 +tp2348 +a(g84 +g1007 +tp2349 +a(g822 +V\u000a +p2350 +tp2351 +a(g822 +V\u000a +p2352 +tp2353 +a(g822 +g970 +tp2354 +a(g822 +g970 +tp2355 +a(g592 +VMyLabel +p2356 +tp2357 +a(g701 +g2260 +tp2358 +a(g822 +g970 +tp2359 +a(g740 +VMessageBox +p2360 +tp2361 +a(g822 +g970 +tp2362 +a(g561 +VMB_OK +p2363 +tp2364 +a(g822 +g970 +tp2365 +a(g152 +g1007 +tp2366 +a(g152 +g1051 +tp2367 +a(g152 +g1558 +tp2368 +a(g152 +g2297 +tp2369 +a(g152 +g1003 +tp2370 +a(g152 +g1141 +tp2371 +a(g152 +g1096 +tp2372 +a(g152 +g1177 +tp2373 +a(g152 +g2260 +tp2374 +a(g84 +g1007 +tp2375 +a(g822 +V\u000a +p2376 +tp2377 +a(g822 +g970 +tp2378 +a(g822 +g970 +tp2379 +a(g822 +V\u000a +p2380 +tp2381 +a(g822 +g970 +tp2382 +a(g822 +g970 +tp2383 +a(g740 +VMessageBox +p2384 +tp2385 +a(g822 +g970 +tp2386 +a(g561 +VMB_OK +p2387 +tp2388 +a(g822 +g970 +tp2389 +a(g152 +g1007 +tp2390 +a(g152 +g1054 +tp2391 +a(g152 +g1085 +tp2392 +a(g152 +g1087 +tp2393 +a(g152 +g1150 +tp2394 +a(g152 +g993 +tp2395 +a(g152 +g970 +tp2396 +a(g152 +g1003 +tp2397 +a(g152 +g1332 +tp2398 +a(g152 +g993 +tp2399 +a(g152 +g1096 +tp2400 +a(g152 +g1138 +tp2401 +a(g152 +g970 +tp2402 +a(g152 +g1051 +tp2403 +a(g152 +g1558 +tp2404 +a(g152 +g2297 +tp2405 +a(g152 +g1003 +tp2406 +a(g152 +g1141 +tp2407 +a(g152 +g1096 +tp2408 +a(g152 +g1177 +tp2409 +a(g152 +g2260 +tp2410 +a(g84 +g1007 +tp2411 +a(g822 +V\u000a +p2412 +tp2413 +a(g822 +V\u000a +p2414 +tp2415 +a(g822 +g970 +tp2416 +a(g822 +g970 +tp2417 +a(g740 +VMessageBox +p2418 +tp2419 +a(g822 +g970 +tp2420 +a(g561 +VMB_YESNO +p2421 +tp2422 +a(g822 +g970 +tp2423 +a(g152 +g1007 +tp2424 +a(g152 +g1145 +tp2425 +a(g152 +g1133 +tp2426 +a(g152 +g993 +tp2427 +a(g152 +g1133 +tp2428 +a(g152 +g970 +tp2429 +a(g152 +g1057 +tp2430 +a(g152 +g993 +tp2431 +a(g152 +g1003 +tp2432 +a(g152 +g1138 +tp2433 +a(g152 +g993 +tp2434 +a(g152 +g2260 +tp2435 +a(g152 +V? +p2436 +tp2437 +a(g84 +g1007 +tp2438 +a(g822 +g970 +tp2439 +a(g561 +VIDYES +p2440 +tp2441 +a(g822 +g970 +tp2442 +a(g822 +g1057 +tp2443 +a(g822 +g993 +tp2444 +a(g822 +g1003 +tp2445 +a(g822 +g1138 +tp2446 +a(g822 +g993 +tp2447 +a(g822 +V\u000a +p2448 +tp2449 +a(g822 +V\u000a +p2450 +tp2451 +a(g740 +VSectionEnd +p2452 +tp2453 +a(g822 +V\u000a +p2454 +tp2455 +a(g822 +V\u000a +p2456 +tp2457 +a(g740 +VSectionGroup +p2458 +tp2459 +a(g822 +g970 +tp2460 +a(g662 +V/e +p2461 +tp2462 +a(g822 +g970 +tp2463 +a(g822 +g1057 +tp2464 +a(g822 +g1096 +tp2465 +a(g822 +g1153 +tp2466 +a(g822 +g993 +tp2467 +a(g822 +g1085 +tp2468 +a(g822 +g1133 +tp2469 +a(g822 +g1135 +tp2470 +a(g822 +g1145 +tp2471 +a(g822 +g1138 +tp2472 +a(g822 +g1133 +tp2473 +a(g822 +g1009 +tp2474 +a(g822 +g997 +tp2475 +a(g822 +g1169 +tp2476 +a(g822 +V\u000a +p2477 +tp2478 +a(g822 +V\u000a +p2479 +tp2480 +a(g740 +VSection +p2481 +tp2482 +a(g822 +g970 +tp2483 +a(g152 +g1007 +tp2484 +a(g152 +g1094 +tp2485 +a(g152 +g1096 +tp2486 +a(g152 +g1098 +tp2487 +a(g152 +g993 +tp2488 +a(g152 +g970 +tp2489 +a(g152 +g1054 +tp2490 +a(g152 +g1096 +tp2491 +a(g152 +g1087 +tp2492 +a(g152 +g1085 +tp2493 +a(g152 +g1098 +tp2494 +a(g152 +g993 +tp2495 +a(g152 +g1138 +tp2496 +a(g152 +g1558 +tp2497 +a(g152 +V/ +p2498 +tp2499 +a(g152 +g1091 +tp2500 +a(g152 +g1044 +tp2501 +a(g152 +g1091 +tp2502 +a(g152 +g970 +tp2503 +a(g152 +g1332 +tp2504 +a(g152 +g1009 +tp2505 +a(g152 +g1135 +tp2506 +a(g152 +g1153 +tp2507 +a(g152 +g993 +tp2508 +a(g152 +g1085 +tp2509 +a(g152 +g1133 +tp2510 +a(g152 +g1135 +tp2511 +a(g152 +g1098 +tp2512 +a(g84 +g1007 +tp2513 +a(g822 +V\u000a +p2514 +tp2515 +a(g822 +V\u000a +p2516 +tp2517 +a(g740 +VSectionIn +p2518 +tp2519 +a(g822 +g970 +tp2520 +a(g822 +g1169 +tp2521 +a(g822 +g970 +tp2522 +a(g822 +V4 +p2523 +tp2524 +a(g822 +g970 +tp2525 +a(g822 +g1277 +tp2526 +a(g822 +V\u000a +p2527 +tp2528 +a(g822 +V\u000a +p2529 +tp2530 +a(g822 +g970 +tp2531 +a(g822 +g970 +tp2532 +a(g740 +VWriteRegStr +p2533 +tp2534 +a(g822 +g970 +tp2535 +a(g561 +VHKLM +p2536 +tp2537 +a(g822 +g970 +tp2538 +a(g822 +g1057 +tp2539 +a(g822 +g1046 +tp2540 +a(g822 +g1254 +tp2541 +a(g822 +g1094 +tp2542 +a(g822 +g1834 +tp2543 +a(g822 +g974 +tp2544 +a(g822 +g1054 +tp2545 +a(g822 +g978 +tp2546 +a(g701 +V\u005c +p2547 +tp2548 +a(g822 +g1044 +tp2549 +a(g822 +g1057 +tp2550 +a(g822 +g1091 +tp2551 +a(g822 +g1057 +tp2552 +a(g822 +g1094 +tp2553 +a(g822 +g1096 +tp2554 +a(g822 +g1098 +tp2555 +a(g822 +g993 +tp2556 +a(g701 +V\u005c +p2557 +tp2558 +a(g822 +g1083 +tp2559 +a(g822 +g1085 +tp2560 +a(g822 +g1087 +tp2561 +a(g822 +g1044 +tp2562 +a(g822 +g1057 +tp2563 +a(g822 +g1091 +tp2564 +a(g822 +g1057 +tp2565 +a(g822 +g1094 +tp2566 +a(g822 +g1096 +tp2567 +a(g822 +g1098 +tp2568 +a(g822 +g993 +tp2569 +a(g822 +g970 +tp2570 +a(g152 +g1007 +tp2571 +a(g152 +g1057 +tp2572 +a(g152 +g993 +tp2573 +a(g152 +g1138 +tp2574 +a(g152 +g1094 +tp2575 +a(g152 +g1096 +tp2576 +a(g152 +g1098 +tp2577 +a(g152 +g993 +tp2578 +a(g152 +g980 +tp2579 +a(g152 +g1091 +tp2580 +a(g152 +g1044 +tp2581 +a(g152 +g1057 +tp2582 +a(g152 +g1094 +tp2583 +a(g152 +g1374 +tp2584 +a(g152 +g1091 +tp2585 +a(g152 +g1054 +tp2586 +a(g84 +g1007 +tp2587 +a(g822 +g970 +tp2588 +a(g152 +g1007 +tp2589 +a(g465 +V$INSTDIR +p2590 +tp2591 +a(g84 +g1007 +tp2592 +a(g822 +V\u000a +p2593 +tp2594 +a(g822 +g970 +tp2595 +a(g822 +g970 +tp2596 +a(g740 +VWriteRegDword +p2597 +tp2598 +a(g822 +g970 +tp2599 +a(g561 +VHKLM +p2600 +tp2601 +a(g822 +g970 +tp2602 +a(g822 +g1057 +tp2603 +a(g822 +g1046 +tp2604 +a(g822 +g1254 +tp2605 +a(g822 +g1094 +tp2606 +a(g822 +g1834 +tp2607 +a(g822 +g974 +tp2608 +a(g822 +g1054 +tp2609 +a(g822 +g978 +tp2610 +a(g701 +V\u005c +p2611 +tp2612 +a(g822 +g1044 +tp2613 +a(g822 +g1057 +tp2614 +a(g822 +g1091 +tp2615 +a(g822 +g1057 +tp2616 +a(g822 +g1094 +tp2617 +a(g822 +g1096 +tp2618 +a(g822 +g1098 +tp2619 +a(g822 +g993 +tp2620 +a(g701 +V\u005c +p2621 +tp2622 +a(g822 +g1083 +tp2623 +a(g822 +g1085 +tp2624 +a(g822 +g1087 +tp2625 +a(g822 +g1044 +tp2626 +a(g822 +g1057 +tp2627 +a(g822 +g1091 +tp2628 +a(g822 +g1057 +tp2629 +a(g822 +g1094 +tp2630 +a(g822 +g1096 +tp2631 +a(g822 +g1098 +tp2632 +a(g822 +g993 +tp2633 +a(g822 +g970 +tp2634 +a(g152 +g1007 +tp2635 +a(g152 +g1374 +tp2636 +a(g152 +g1335 +tp2637 +a(g152 +g1133 +tp2638 +a(g152 +g1138 +tp2639 +a(g152 +g1001 +tp2640 +a(g152 +g1094 +tp2641 +a(g152 +g1096 +tp2642 +a(g152 +g1098 +tp2643 +a(g152 +g993 +tp2644 +a(g152 +g980 +tp2645 +a(g152 +g1238 +tp2646 +a(g152 +g1012 +tp2647 +a(g152 +g1374 +tp2648 +a(g152 +g978 +tp2649 +a(g152 +g974 +tp2650 +a(g152 +g1374 +tp2651 +a(g152 +g1083 +tp2652 +a(g152 +g978 +tp2653 +a(g152 +g978 +tp2654 +a(g152 +g1254 +tp2655 +a(g84 +g1007 +tp2656 +a(g822 +g970 +tp2657 +a(g822 +g1238 +tp2658 +a(g822 +g1012 +tp2659 +a(g822 +g1001 +tp2660 +a(g822 +g1096 +tp2661 +a(g822 +g1003 +tp2662 +a(g822 +g1001 +tp2663 +a(g822 +g1141 +tp2664 +a(g822 +g1096 +tp2665 +a(g822 +g1096 +tp2666 +a(g822 +g1332 +tp2667 +a(g822 +V\u000a +p2668 +tp2669 +a(g822 +g970 +tp2670 +a(g822 +g970 +tp2671 +a(g740 +VWriteRegDword +p2672 +tp2673 +a(g822 +g970 +tp2674 +a(g561 +VHKLM +p2675 +tp2676 +a(g822 +g970 +tp2677 +a(g822 +g1057 +tp2678 +a(g822 +g1046 +tp2679 +a(g822 +g1254 +tp2680 +a(g822 +g1094 +tp2681 +a(g822 +g1834 +tp2682 +a(g822 +g974 +tp2683 +a(g822 +g1054 +tp2684 +a(g822 +g978 +tp2685 +a(g701 +V\u005c +p2686 +tp2687 +a(g822 +g1044 +tp2688 +a(g822 +g1057 +tp2689 +a(g822 +g1091 +tp2690 +a(g822 +g1057 +tp2691 +a(g822 +g1094 +tp2692 +a(g822 +g1096 +tp2693 +a(g822 +g1098 +tp2694 +a(g822 +g993 +tp2695 +a(g701 +V\u005c +p2696 +tp2697 +a(g822 +g1083 +tp2698 +a(g822 +g1085 +tp2699 +a(g822 +g1087 +tp2700 +a(g822 +g1044 +tp2701 +a(g822 +g1057 +tp2702 +a(g822 +g1091 +tp2703 +a(g822 +g1057 +tp2704 +a(g822 +g1094 +tp2705 +a(g822 +g1096 +tp2706 +a(g822 +g1098 +tp2707 +a(g822 +g993 +tp2708 +a(g822 +g970 +tp2709 +a(g152 +g1007 +tp2710 +a(g152 +g1374 +tp2711 +a(g152 +g1335 +tp2712 +a(g152 +g1133 +tp2713 +a(g152 +g1138 +tp2714 +a(g152 +g1001 +tp2715 +a(g152 +g1094 +tp2716 +a(g152 +g1096 +tp2717 +a(g152 +g1098 +tp2718 +a(g152 +g993 +tp2719 +a(g152 +g980 +tp2720 +a(g152 +g1169 +tp2721 +a(g152 +g2250 +tp2722 +a(g152 +g1277 +tp2723 +a(g152 +g2523 +tp2724 +a(g152 +V5 +p2725 +tp2726 +a(g152 +V6 +p2727 +tp2728 +a(g84 +g1007 +tp2729 +a(g822 +g970 +tp2730 +a(g822 +g1169 +tp2731 +a(g822 +g2250 +tp2732 +a(g822 +g1277 +tp2733 +a(g822 +g2523 +tp2734 +a(g822 +g2725 +tp2735 +a(g822 +g2727 +tp2736 +a(g822 +V\u000a +p2737 +tp2738 +a(g822 +g970 +tp2739 +a(g822 +g970 +tp2740 +a(g740 +VWriteRegDword +p2741 +tp2742 +a(g822 +g970 +tp2743 +a(g561 +VHKLM +p2744 +tp2745 +a(g822 +g970 +tp2746 +a(g822 +g1057 +tp2747 +a(g822 +g1046 +tp2748 +a(g822 +g1254 +tp2749 +a(g822 +g1094 +tp2750 +a(g822 +g1834 +tp2751 +a(g822 +g974 +tp2752 +a(g822 +g1054 +tp2753 +a(g822 +g978 +tp2754 +a(g701 +V\u005c +p2755 +tp2756 +a(g822 +g1044 +tp2757 +a(g822 +g1057 +tp2758 +a(g822 +g1091 +tp2759 +a(g822 +g1057 +tp2760 +a(g822 +g1094 +tp2761 +a(g822 +g1096 +tp2762 +a(g822 +g1098 +tp2763 +a(g822 +g993 +tp2764 +a(g701 +V\u005c +p2765 +tp2766 +a(g822 +g1083 +tp2767 +a(g822 +g1085 +tp2768 +a(g822 +g1087 +tp2769 +a(g822 +g1044 +tp2770 +a(g822 +g1057 +tp2771 +a(g822 +g1091 +tp2772 +a(g822 +g1057 +tp2773 +a(g822 +g1094 +tp2774 +a(g822 +g1096 +tp2775 +a(g822 +g1098 +tp2776 +a(g822 +g993 +tp2777 +a(g822 +g970 +tp2778 +a(g152 +g1007 +tp2779 +a(g152 +g1374 +tp2780 +a(g152 +g1335 +tp2781 +a(g152 +g1133 +tp2782 +a(g152 +g1138 +tp2783 +a(g152 +g1001 +tp2784 +a(g152 +g1094 +tp2785 +a(g152 +g1096 +tp2786 +a(g152 +g1098 +tp2787 +a(g152 +g993 +tp2788 +a(g152 +g980 +tp2789 +a(g152 +g1238 +tp2790 +a(g152 +g1169 +tp2791 +a(g152 +g2250 +tp2792 +a(g152 +g1277 +tp2793 +a(g84 +g1007 +tp2794 +a(g822 +g970 +tp2795 +a(g822 +g1238 +tp2796 +a(g822 +g1169 +tp2797 +a(g822 +g2250 +tp2798 +a(g822 +g1277 +tp2799 +a(g822 +V\u000a +p2800 +tp2801 +a(g822 +g970 +tp2802 +a(g822 +g970 +tp2803 +a(g740 +VWriteRegBin +p2804 +tp2805 +a(g822 +g970 +tp2806 +a(g561 +VHKLM +p2807 +tp2808 +a(g822 +g970 +tp2809 +a(g822 +g1057 +tp2810 +a(g822 +g1046 +tp2811 +a(g822 +g1254 +tp2812 +a(g822 +g1094 +tp2813 +a(g822 +g1834 +tp2814 +a(g822 +g974 +tp2815 +a(g822 +g1054 +tp2816 +a(g822 +g978 +tp2817 +a(g701 +V\u005c +p2818 +tp2819 +a(g822 +g1044 +tp2820 +a(g822 +g1057 +tp2821 +a(g822 +g1091 +tp2822 +a(g822 +g1057 +tp2823 +a(g822 +g1094 +tp2824 +a(g822 +g1096 +tp2825 +a(g822 +g1098 +tp2826 +a(g822 +g993 +tp2827 +a(g701 +V\u005c +p2828 +tp2829 +a(g822 +g1083 +tp2830 +a(g822 +g1085 +tp2831 +a(g822 +g1087 +tp2832 +a(g822 +g1044 +tp2833 +a(g822 +g1057 +tp2834 +a(g822 +g1091 +tp2835 +a(g822 +g1057 +tp2836 +a(g822 +g1094 +tp2837 +a(g822 +g1096 +tp2838 +a(g822 +g1098 +tp2839 +a(g822 +g993 +tp2840 +a(g822 +g970 +tp2841 +a(g152 +g1007 +tp2842 +a(g152 +g1083 +tp2843 +a(g152 +g1085 +tp2844 +a(g152 +g1135 +tp2845 +a(g152 +g1094 +tp2846 +a(g152 +g1096 +tp2847 +a(g152 +g1098 +tp2848 +a(g152 +g993 +tp2849 +a(g152 +g980 +tp2850 +a(g152 +g1001 +tp2851 +a(g152 +g1096 +tp2852 +a(g152 +g1003 +tp2853 +a(g152 +g1001 +tp2854 +a(g152 +g1141 +tp2855 +a(g152 +g1096 +tp2856 +a(g152 +g1096 +tp2857 +a(g152 +g1332 +tp2858 +a(g152 +g1238 +tp2859 +a(g152 +g1169 +tp2860 +a(g152 +g1332 +tp2861 +a(g152 +g1238 +tp2862 +a(g152 +g1238 +tp2863 +a(g152 +g1001 +tp2864 +a(g152 +g1141 +tp2865 +a(g152 +g1096 +tp2866 +a(g152 +g1096 +tp2867 +a(g152 +g1332 +tp2868 +a(g84 +g1007 +tp2869 +a(g822 +g970 +tp2870 +a(g152 +g1007 +tp2871 +a(g152 +g1374 +tp2872 +a(g152 +g978 +tp2873 +a(g152 +g974 +tp2874 +a(g152 +g1374 +tp2875 +a(g152 +g1083 +tp2876 +a(g152 +g978 +tp2877 +a(g152 +g978 +tp2878 +a(g152 +g1254 +tp2879 +a(g152 +g1238 +tp2880 +a(g152 +g1169 +tp2881 +a(g152 +g1254 +tp2882 +a(g152 +g1238 +tp2883 +a(g152 +g1238 +tp2884 +a(g152 +g1374 +tp2885 +a(g152 +g1083 +tp2886 +a(g152 +g978 +tp2887 +a(g152 +g978 +tp2888 +a(g152 +g1254 +tp2889 +a(g84 +g1007 +tp2890 +a(g822 +V\u000a +p2891 +tp2892 +a(g822 +g970 +tp2893 +a(g822 +g970 +tp2894 +a(g740 +VStrCpy +p2895 +tp2896 +a(g822 +g970 +tp2897 +a(g689 +V$8 +p2898 +tp2899 +a(g822 +g970 +tp2900 +a(g152 +g1007 +tp2901 +a(g677 +V$SYSDIR +p2902 +tp2903 +a(g152 +V\u005c +p2904 +tp2905 +a(g152 +g1091 +tp2906 +a(g152 +g1135 +tp2907 +a(g152 +g1085 +tp2908 +a(g152 +g1094 +tp2909 +a(g152 +g1096 +tp2910 +a(g152 +g1098 +tp2911 +a(g152 +g993 +tp2912 +a(g84 +g1007 +tp2913 +a(g822 +V\u000a +p2914 +tp2915 +a(g822 +g970 +tp2916 +a(g822 +g970 +tp2917 +a(g740 +VWriteINIStr +p2918 +tp2919 +a(g822 +g970 +tp2920 +a(g152 +g1007 +tp2921 +a(g465 +V$INSTDIR +p2922 +tp2923 +a(g152 +V\u005c +p2924 +tp2925 +a(g152 +g993 +tp2926 +a(g152 +g1096 +tp2927 +a(g152 +g1098 +tp2928 +a(g152 +g993 +tp2929 +a(g152 +g999 +tp2930 +a(g152 +g1085 +tp2931 +a(g152 +g1135 +tp2932 +a(g152 +g1085 +tp2933 +a(g84 +g1007 +tp2934 +a(g822 +g970 +tp2935 +a(g822 +g970 +tp2936 +a(g152 +g1007 +tp2937 +a(g152 +g1051 +tp2938 +a(g152 +g1558 +tp2939 +a(g152 +g1057 +tp2940 +a(g152 +g1096 +tp2941 +a(g152 +g1153 +tp2942 +a(g152 +g993 +tp2943 +a(g152 +g1085 +tp2944 +a(g152 +g1133 +tp2945 +a(g152 +g1135 +tp2946 +a(g84 +g1007 +tp2947 +a(g822 +g970 +tp2948 +a(g152 +g1007 +tp2949 +a(g152 +g976 +tp2950 +a(g152 +g1003 +tp2951 +a(g152 +g1177 +tp2952 +a(g152 +g1009 +tp2953 +a(g152 +g1096 +tp2954 +a(g152 +g1169 +tp2955 +a(g84 +g1007 +tp2956 +a(g822 +g970 +tp2957 +a(g689 +V$8 +p2958 +tp2959 +a(g822 +V\u000a +p2960 +tp2961 +a(g822 +g970 +tp2962 +a(g822 +g970 +tp2963 +a(g740 +VWriteINIStr +p2964 +tp2965 +a(g822 +g970 +tp2966 +a(g152 +g1007 +tp2967 +a(g465 +V$INSTDIR +p2968 +tp2969 +a(g152 +V\u005c +p2970 +tp2971 +a(g152 +g993 +tp2972 +a(g152 +g1096 +tp2973 +a(g152 +g1098 +tp2974 +a(g152 +g993 +tp2975 +a(g152 +g999 +tp2976 +a(g152 +g1085 +tp2977 +a(g152 +g1135 +tp2978 +a(g152 +g1085 +tp2979 +a(g84 +g1007 +tp2980 +a(g822 +g970 +tp2981 +a(g822 +g970 +tp2982 +a(g152 +g1007 +tp2983 +a(g152 +g1051 +tp2984 +a(g152 +g1558 +tp2985 +a(g152 +g1057 +tp2986 +a(g152 +g1096 +tp2987 +a(g152 +g1153 +tp2988 +a(g152 +g993 +tp2989 +a(g152 +g1085 +tp2990 +a(g152 +g1133 +tp2991 +a(g152 +g1135 +tp2992 +a(g152 +g1091 +tp2993 +a(g152 +g1135 +tp2994 +a(g152 +g1085 +tp2995 +a(g84 +g1007 +tp2996 +a(g822 +g970 +tp2997 +a(g152 +g1007 +tp2998 +a(g152 +g976 +tp2999 +a(g152 +g1003 +tp3000 +a(g152 +g1177 +tp3001 +a(g152 +g1009 +tp3002 +a(g152 +g1096 +tp3003 +a(g152 +g1169 +tp3004 +a(g84 +g1007 +tp3005 +a(g822 +g970 +tp3006 +a(g689 +V$8 +p3007 +tp3008 +a(g822 +V\u000a +p3009 +tp3010 +a(g822 +g970 +tp3011 +a(g822 +g970 +tp3012 +a(g740 +VWriteINIStr +p3013 +tp3014 +a(g822 +g970 +tp3015 +a(g152 +g1007 +tp3016 +a(g465 +V$INSTDIR +p3017 +tp3018 +a(g152 +V\u005c +p3019 +tp3020 +a(g152 +g993 +tp3021 +a(g152 +g1096 +tp3022 +a(g152 +g1098 +tp3023 +a(g152 +g993 +tp3024 +a(g152 +g999 +tp3025 +a(g152 +g1085 +tp3026 +a(g152 +g1135 +tp3027 +a(g152 +g1085 +tp3028 +a(g84 +g1007 +tp3029 +a(g822 +g970 +tp3030 +a(g822 +g970 +tp3031 +a(g152 +g1007 +tp3032 +a(g152 +g1051 +tp3033 +a(g152 +g1558 +tp3034 +a(g152 +g1057 +tp3035 +a(g152 +g1096 +tp3036 +a(g152 +g1153 +tp3037 +a(g152 +g993 +tp3038 +a(g152 +g1085 +tp3039 +a(g152 +g1133 +tp3040 +a(g152 +g1135 +tp3041 +a(g152 +g1091 +tp3042 +a(g152 +g1135 +tp3043 +a(g152 +g1085 +tp3044 +a(g84 +g1007 +tp3045 +a(g822 +g970 +tp3046 +a(g152 +g1007 +tp3047 +a(g152 +g976 +tp3048 +a(g152 +g1003 +tp3049 +a(g152 +g1177 +tp3050 +a(g152 +g1009 +tp3051 +a(g152 +g1096 +tp3052 +a(g152 +g2250 +tp3053 +a(g84 +g1007 +tp3054 +a(g822 +g970 +tp3055 +a(g689 +V$8 +p3056 +tp3057 +a(g822 +V\u000a +p3058 +tp3059 +a(g822 +g970 +tp3060 +a(g822 +g970 +tp3061 +a(g740 +VWriteINIStr +p3062 +tp3063 +a(g822 +g970 +tp3064 +a(g152 +g1007 +tp3065 +a(g465 +V$INSTDIR +p3066 +tp3067 +a(g152 +V\u005c +p3068 +tp3069 +a(g152 +g993 +tp3070 +a(g152 +g1096 +tp3071 +a(g152 +g1098 +tp3072 +a(g152 +g993 +tp3073 +a(g152 +g999 +tp3074 +a(g152 +g1085 +tp3075 +a(g152 +g1135 +tp3076 +a(g152 +g1085 +tp3077 +a(g84 +g1007 +tp3078 +a(g822 +g970 +tp3079 +a(g822 +g970 +tp3080 +a(g152 +g1007 +tp3081 +a(g152 +g1091 +tp3082 +a(g152 +g1135 +tp3083 +a(g152 +g1085 +tp3084 +a(g152 +g1046 +tp3085 +a(g152 +g1135 +tp3086 +a(g84 +g1007 +tp3087 +a(g822 +g970 +tp3088 +a(g152 +g1007 +tp3089 +a(g152 +g976 +tp3090 +a(g152 +g1003 +tp3091 +a(g152 +g1177 +tp3092 +a(g152 +g1009 +tp3093 +a(g152 +g1096 +tp3094 +a(g152 +g1169 +tp3095 +a(g84 +g1007 +tp3096 +a(g822 +g970 +tp3097 +a(g689 +V$8 +p3098 +tp3099 +a(g822 +V\u000a +p3100 +tp3101 +a(g822 +V\u000a +p3102 +tp3103 +a(g822 +g970 +tp3104 +a(g822 +g970 +tp3105 +a(g740 +VCall +p3106 +tp3107 +a(g822 +g970 +tp3108 +a(g822 +g1051 +tp3109 +a(g822 +g1558 +tp3110 +a(g822 +g1254 +tp3111 +a(g822 +g1009 +tp3112 +a(g822 +g1135 +tp3113 +a(g822 +g1153 +tp3114 +a(g822 +g993 +tp3115 +a(g822 +g1085 +tp3116 +a(g822 +g1133 +tp3117 +a(g822 +g1135 +tp3118 +a(g822 +g1094 +tp3119 +a(g822 +g1096 +tp3120 +a(g822 +g1098 +tp3121 +a(g822 +g993 +tp3122 +a(g822 +V\u000a +p3123 +tp3124 +a(g822 +V\u000a +p3125 +tp3126 +a(g822 +g970 +tp3127 +a(g822 +g970 +tp3128 +a(g740 +VDeleteINIStr +p3129 +tp3130 +a(g822 +g970 +tp3131 +a(g152 +g1007 +tp3132 +a(g465 +V$INSTDIR +p3133 +tp3134 +a(g152 +V\u005c +p3135 +tp3136 +a(g152 +g993 +tp3137 +a(g152 +g1096 +tp3138 +a(g152 +g1098 +tp3139 +a(g152 +g993 +tp3140 +a(g152 +g999 +tp3141 +a(g152 +g1085 +tp3142 +a(g152 +g1135 +tp3143 +a(g152 +g1085 +tp3144 +a(g84 +g1007 +tp3145 +a(g822 +g970 +tp3146 +a(g152 +g1007 +tp3147 +a(g152 +g1091 +tp3148 +a(g152 +g1135 +tp3149 +a(g152 +g1085 +tp3150 +a(g152 +g1046 +tp3151 +a(g152 +g1135 +tp3152 +a(g84 +g1007 +tp3153 +a(g822 +g970 +tp3154 +a(g152 +g1007 +tp3155 +a(g152 +g976 +tp3156 +a(g152 +g1003 +tp3157 +a(g152 +g1177 +tp3158 +a(g152 +g1009 +tp3159 +a(g152 +g1096 +tp3160 +a(g152 +g1169 +tp3161 +a(g84 +g1007 +tp3162 +a(g822 +V\u000a +p3163 +tp3164 +a(g822 +g970 +tp3165 +a(g822 +g970 +tp3166 +a(g740 +VDeleteINISec +p3167 +tp3168 +a(g822 +g970 +tp3169 +a(g152 +g1007 +tp3170 +a(g465 +V$INSTDIR +p3171 +tp3172 +a(g152 +V\u005c +p3173 +tp3174 +a(g152 +g993 +tp3175 +a(g152 +g1096 +tp3176 +a(g152 +g1098 +tp3177 +a(g152 +g993 +tp3178 +a(g152 +g999 +tp3179 +a(g152 +g1085 +tp3180 +a(g152 +g1135 +tp3181 +a(g152 +g1085 +tp3182 +a(g84 +g1007 +tp3183 +a(g822 +g970 +tp3184 +a(g152 +g1007 +tp3185 +a(g152 +g1051 +tp3186 +a(g152 +g1558 +tp3187 +a(g152 +g1057 +tp3188 +a(g152 +g1096 +tp3189 +a(g152 +g1153 +tp3190 +a(g152 +g993 +tp3191 +a(g152 +g1085 +tp3192 +a(g152 +g1133 +tp3193 +a(g152 +g1135 +tp3194 +a(g152 +g1091 +tp3195 +a(g152 +g1135 +tp3196 +a(g152 +g1085 +tp3197 +a(g84 +g1007 +tp3198 +a(g822 +V\u000a +p3199 +tp3200 +a(g822 +V\u000a +p3201 +tp3202 +a(g822 +g970 +tp3203 +a(g822 +g970 +tp3204 +a(g740 +VReadINIStr +p3205 +tp3206 +a(g822 +g970 +tp3207 +a(g689 +V$1 +p3208 +tp3209 +a(g822 +g970 +tp3210 +a(g152 +g1007 +tp3211 +a(g465 +V$INSTDIR +p3212 +tp3213 +a(g152 +V\u005c +p3214 +tp3215 +a(g152 +g993 +tp3216 +a(g152 +g1096 +tp3217 +a(g152 +g1098 +tp3218 +a(g152 +g993 +tp3219 +a(g152 +g999 +tp3220 +a(g152 +g1085 +tp3221 +a(g152 +g1135 +tp3222 +a(g152 +g1085 +tp3223 +a(g84 +g1007 +tp3224 +a(g822 +g970 +tp3225 +a(g152 +g1007 +tp3226 +a(g152 +g1051 +tp3227 +a(g152 +g1558 +tp3228 +a(g152 +g1057 +tp3229 +a(g152 +g1096 +tp3230 +a(g152 +g1153 +tp3231 +a(g152 +g993 +tp3232 +a(g152 +g1085 +tp3233 +a(g152 +g1133 +tp3234 +a(g152 +g1135 +tp3235 +a(g152 +g1091 +tp3236 +a(g152 +g1135 +tp3237 +a(g152 +g1085 +tp3238 +a(g84 +g1007 +tp3239 +a(g822 +g970 +tp3240 +a(g152 +g1007 +tp3241 +a(g152 +g976 +tp3242 +a(g152 +g1003 +tp3243 +a(g152 +g1177 +tp3244 +a(g152 +g1009 +tp3245 +a(g152 +g1096 +tp3246 +a(g152 +g1169 +tp3247 +a(g84 +g1007 +tp3248 +a(g822 +V\u000a +p3249 +tp3250 +a(g822 +g970 +tp3251 +a(g822 +g970 +tp3252 +a(g740 +VStrCmp +p3253 +tp3254 +a(g822 +g970 +tp3255 +a(g689 +V$1 +p3256 +tp3257 +a(g822 +g970 +tp3258 +a(g152 +g1007 +tp3259 +a(g84 +g1007 +tp3260 +a(g822 +g970 +tp3261 +a(g822 +g1091 +tp3262 +a(g822 +g1044 +tp3263 +a(g822 +g1091 +tp3264 +a(g822 +g1374 +tp3265 +a(g822 +g1096 +tp3266 +a(g822 +g1177 +tp3267 +a(g822 +g1057 +tp3268 +a(g822 +g1009 +tp3269 +a(g822 +g1153 +tp3270 +a(g822 +g1153 +tp3271 +a(g822 +g1096 +tp3272 +a(g822 +g1098 +tp3273 +a(g822 +g1098 +tp3274 +a(g822 +V\u000a +p3275 +tp3276 +a(g822 +g970 +tp3277 +a(g822 +g970 +tp3278 +a(g822 +g970 +tp3279 +a(g822 +g970 +tp3280 +a(g740 +VMessageBox +p3281 +tp3282 +a(g822 +g970 +tp3283 +a(g561 +VMB_OK +p3284 +tp3285 +a(g822 +g970 +tp3286 +a(g152 +g1007 +tp3287 +a(g152 +g1374 +tp3288 +a(g152 +g1096 +tp3289 +a(g152 +g1177 +tp3290 +a(g152 +g1096 +tp3291 +a(g152 +g993 +tp3292 +a(g152 +g1096 +tp3293 +a(g152 +g1091 +tp3294 +a(g152 +g1044 +tp3295 +a(g152 +g1091 +tp3296 +a(g152 +g1057 +tp3297 +a(g152 +g1096 +tp3298 +a(g152 +g1153 +tp3299 +a(g152 +g970 +tp3300 +a(g152 +g1332 +tp3301 +a(g152 +g1003 +tp3302 +a(g152 +g1085 +tp3303 +a(g152 +g1177 +tp3304 +a(g152 +g1096 +tp3305 +a(g152 +g1001 +tp3306 +a(g84 +g1007 +tp3307 +a(g822 +V\u000a +p3308 +tp3309 +a(g822 +g970 +tp3310 +a(g822 +g970 +tp3311 +a(g592 +VINIDelSuccess +p3312 +tp3313 +a(g701 +g2260 +tp3314 +a(g822 +V\u000a +p3315 +tp3316 +a(g822 +V\u000a +p3317 +tp3318 +a(g822 +g970 +tp3319 +a(g822 +g970 +tp3320 +a(g740 +VClearErrors +p3321 +tp3322 +a(g822 +V\u000a +p3323 +tp3324 +a(g822 +g970 +tp3325 +a(g822 +g970 +tp3326 +a(g740 +VReadRegStr +p3327 +tp3328 +a(g822 +g970 +tp3329 +a(g689 +V$1 +p3330 +tp3331 +a(g822 +g970 +tp3332 +a(g561 +VHKCR +p3333 +tp3334 +a(g822 +g970 +tp3335 +a(g152 +g1007 +tp3336 +a(g152 +g1098 +tp3337 +a(g152 +g1133 +tp3338 +a(g152 +g1332 +tp3339 +a(g152 +g993 +tp3340 +a(g152 +g1335 +tp3341 +a(g152 +g1003 +tp3342 +a(g152 +g1138 +tp3343 +a(g152 +g1096 +tp3344 +a(g152 +V\u005c +p3345 +tp3346 +a(g152 +g995 +tp3347 +a(g152 +g1085 +tp3348 +a(g152 +g1153 +tp3349 +a(g152 +g1138 +tp3350 +a(g152 +g1133 +tp3351 +a(g152 +g1098 +tp3352 +a(g152 +g1133 +tp3353 +a(g152 +g1332 +tp3354 +a(g152 +g993 +tp3355 +a(g84 +g1007 +tp3356 +a(g822 +g970 +tp3357 +a(g822 +g1012 +tp3358 +a(g822 +g1558 +tp3359 +a(g822 +Vz +p3360 +tp3361 +a(g822 +g980 +tp3362 +a(g822 +g1153 +tp3363 +a(g822 +g1153 +tp3364 +a(g822 +g980 +tp3365 +a(g822 +g1001 +tp3366 +a(g822 +g1133 +tp3367 +a(g822 +g1096 +tp3368 +a(g822 +g1098 +tp3369 +a(g822 +g980 +tp3370 +a(g822 +g1135 +tp3371 +a(g822 +g1133 +tp3372 +a(g822 +g993 +tp3373 +a(g822 +g980 +tp3374 +a(g822 +g1096 +tp3375 +a(g822 +g1012 +tp3376 +a(g822 +g1085 +tp3377 +a(g822 +g1098 +tp3378 +a(g822 +g993 +tp3379 +a(g822 +V\u000a +p3380 +tp3381 +a(g822 +g970 +tp3382 +a(g822 +g970 +tp3383 +a(g740 +VIfErrors +p3384 +tp3385 +a(g822 +g970 +tp3386 +a(g822 +g1238 +tp3387 +a(g822 +g970 +tp3388 +a(g822 +g1044 +tp3389 +a(g822 +g1133 +tp3390 +a(g822 +g978 +tp3391 +a(g822 +g1138 +tp3392 +a(g822 +g1138 +tp3393 +a(g822 +g1133 +tp3394 +a(g822 +g1138 +tp3395 +a(g822 +V\u000a +p3396 +tp3397 +a(g822 +g970 +tp3398 +a(g822 +g970 +tp3399 +a(g822 +g970 +tp3400 +a(g822 +g970 +tp3401 +a(g740 +VMessageBox +p3402 +tp3403 +a(g822 +g970 +tp3404 +a(g561 +VMB_OK +p3405 +tp3406 +a(g822 +g970 +tp3407 +a(g152 +g1007 +tp3408 +a(g152 +g1153 +tp3409 +a(g152 +g1133 +tp3410 +a(g152 +g1009 +tp3411 +a(g152 +g1177 +tp3412 +a(g152 +g1001 +tp3413 +a(g152 +g970 +tp3414 +a(g152 +g1135 +tp3415 +a(g152 +g1133 +tp3416 +a(g152 +g993 +tp3417 +a(g152 +g970 +tp3418 +a(g152 +g1138 +tp3419 +a(g152 +g1096 +tp3420 +a(g152 +g1003 +tp3421 +a(g152 +g1001 +tp3422 +a(g152 +g970 +tp3423 +a(g152 +g1332 +tp3424 +a(g152 +g1138 +tp3425 +a(g152 +g1133 +tp3426 +a(g152 +g995 +tp3427 +a(g152 +g970 +tp3428 +a(g152 +g972 +tp3429 +a(g152 +VK +p3430 +tp3431 +a(g152 +g1048 +tp3432 +a(g152 +g1054 +tp3433 +a(g152 +V\u005c +p3434 +tp3435 +a(g152 +g1098 +tp3436 +a(g152 +g1133 +tp3437 +a(g152 +g1332 +tp3438 +a(g152 +g993 +tp3439 +a(g152 +g1335 +tp3440 +a(g152 +g1003 +tp3441 +a(g152 +g1138 +tp3442 +a(g152 +g1096 +tp3443 +a(g152 +V\u005c +p3444 +tp3445 +a(g152 +g995 +tp3446 +a(g152 +g1085 +tp3447 +a(g152 +g1153 +tp3448 +a(g152 +g1138 +tp3449 +a(g152 +g1133 +tp3450 +a(g152 +g1098 +tp3451 +a(g152 +g1133 +tp3452 +a(g152 +g1332 +tp3453 +a(g152 +g993 +tp3454 +a(g152 +V\u005c +p3455 +tp3456 +a(g152 +g1012 +tp3457 +a(g152 +g1558 +tp3458 +a(g152 +g3360 +tp3459 +a(g152 +g980 +tp3460 +a(g152 +g1153 +tp3461 +a(g152 +g1153 +tp3462 +a(g152 +g980 +tp3463 +a(g152 +g1001 +tp3464 +a(g152 +g1133 +tp3465 +a(g152 +g1096 +tp3466 +a(g152 +g1098 +tp3467 +a(g152 +g980 +tp3468 +a(g152 +g1135 +tp3469 +a(g152 +g1133 +tp3470 +a(g152 +g993 +tp3471 +a(g152 +g980 +tp3472 +a(g152 +g1096 +tp3473 +a(g152 +g1012 +tp3474 +a(g152 +g1085 +tp3475 +a(g152 +g1098 +tp3476 +a(g152 +g993 +tp3477 +a(g84 +g1007 +tp3478 +a(g822 +V\u000a +p3479 +tp3480 +a(g822 +g970 +tp3481 +a(g822 +g970 +tp3482 +a(g822 +g970 +tp3483 +a(g822 +g970 +tp3484 +a(g740 +VGoto +p3485 +tp3486 +a(g822 +g970 +tp3487 +a(g822 +g978 +tp3488 +a(g822 +g1138 +tp3489 +a(g822 +g1138 +tp3490 +a(g822 +g1133 +tp3491 +a(g822 +g1138 +tp3492 +a(g822 +g1626 +tp3493 +a(g822 +g1003 +tp3494 +a(g822 +g1558 +tp3495 +a(g822 +V\u000a +p3496 +tp3497 +a(g822 +g970 +tp3498 +a(g822 +g970 +tp3499 +a(g592 +VNoError +p3500 +tp3501 +a(g701 +g2260 +tp3502 +a(g822 +V\u000a +p3503 +tp3504 +a(g822 +g970 +tp3505 +a(g822 +g970 +tp3506 +a(g822 +g970 +tp3507 +a(g822 +g970 +tp3508 +a(g740 +VMessageBox +p3509 +tp3510 +a(g822 +g970 +tp3511 +a(g561 +VMB_OK +p3512 +tp3513 +a(g822 +g970 +tp3514 +a(g152 +g1007 +tp3515 +a(g152 +g1138 +tp3516 +a(g152 +g1096 +tp3517 +a(g152 +g1003 +tp3518 +a(g152 +g1001 +tp3519 +a(g152 +g970 +tp3520 +a(g152 +g1471 +tp3521 +a(g689 +V$1 +p3522 +tp3523 +a(g152 +g1471 +tp3524 +a(g152 +g970 +tp3525 +a(g152 +g1332 +tp3526 +a(g152 +g1138 +tp3527 +a(g152 +g1133 +tp3528 +a(g152 +g995 +tp3529 +a(g152 +g970 +tp3530 +a(g152 +g972 +tp3531 +a(g152 +g3430 +tp3532 +a(g152 +g1048 +tp3533 +a(g152 +g1054 +tp3534 +a(g152 +V\u005c +p3535 +tp3536 +a(g152 +g1098 +tp3537 +a(g152 +g1133 +tp3538 +a(g152 +g1332 +tp3539 +a(g152 +g993 +tp3540 +a(g152 +g1335 +tp3541 +a(g152 +g1003 +tp3542 +a(g152 +g1138 +tp3543 +a(g152 +g1096 +tp3544 +a(g152 +V\u005c +p3545 +tp3546 +a(g152 +g995 +tp3547 +a(g152 +g1085 +tp3548 +a(g152 +g1153 +tp3549 +a(g152 +g1138 +tp3550 +a(g152 +g1133 +tp3551 +a(g152 +g1098 +tp3552 +a(g152 +g1133 +tp3553 +a(g152 +g1332 +tp3554 +a(g152 +g993 +tp3555 +a(g152 +V\u005c +p3556 +tp3557 +a(g152 +g1012 +tp3558 +a(g152 +g1558 +tp3559 +a(g152 +g3360 +tp3560 +a(g152 +g980 +tp3561 +a(g152 +g1153 +tp3562 +a(g152 +g1153 +tp3563 +a(g152 +g980 +tp3564 +a(g152 +g1001 +tp3565 +a(g152 +g1133 +tp3566 +a(g152 +g1096 +tp3567 +a(g152 +g1098 +tp3568 +a(g152 +g980 +tp3569 +a(g152 +g1135 +tp3570 +a(g152 +g1133 +tp3571 +a(g152 +g993 +tp3572 +a(g152 +g980 +tp3573 +a(g152 +g1096 +tp3574 +a(g152 +g1012 +tp3575 +a(g152 +g1085 +tp3576 +a(g152 +g1098 +tp3577 +a(g152 +g993 +tp3578 +a(g84 +g1007 +tp3579 +a(g822 +V\u000a +p3580 +tp3581 +a(g822 +g970 +tp3582 +a(g822 +g970 +tp3583 +a(g592 +VErrorYay +p3584 +tp3585 +a(g701 +g2260 +tp3586 +a(g822 +V\u000a +p3587 +tp3588 +a(g822 +g970 +tp3589 +a(g822 +g970 +tp3590 +a(g822 +V\u000a +p3591 +tp3592 +a(g740 +VSectionEnd +p3593 +tp3594 +a(g822 +V\u000a +p3595 +tp3596 +a(g822 +V\u000a +p3597 +tp3598 +a(g740 +VSection +p3599 +tp3600 +a(g822 +g970 +tp3601 +a(g152 +g1007 +tp3602 +a(g152 +g1094 +tp3603 +a(g152 +g1096 +tp3604 +a(g152 +g1098 +tp3605 +a(g152 +g993 +tp3606 +a(g152 +g970 +tp3607 +a(g152 +g1048 +tp3608 +a(g152 +g1138 +tp3609 +a(g152 +g1096 +tp3610 +a(g152 +g1003 +tp3611 +a(g152 +g993 +tp3612 +a(g152 +g1096 +tp3613 +a(g152 +g1057 +tp3614 +a(g152 +g1150 +tp3615 +a(g152 +g1133 +tp3616 +a(g152 +g1138 +tp3617 +a(g152 +g993 +tp3618 +a(g152 +g1048 +tp3619 +a(g152 +g1009 +tp3620 +a(g152 +g993 +tp3621 +a(g84 +g1007 +tp3622 +a(g822 +V\u000a +p3623 +tp3624 +a(g822 +V\u000a +p3625 +tp3626 +a(g822 +g970 +tp3627 +a(g822 +g970 +tp3628 +a(g740 +VSectionIn +p3629 +tp3630 +a(g822 +g970 +tp3631 +a(g822 +g1169 +tp3632 +a(g822 +g970 +tp3633 +a(g822 +g2250 +tp3634 +a(g822 +g970 +tp3635 +a(g822 +g1277 +tp3636 +a(g822 +V\u000a +p3637 +tp3638 +a(g822 +V\u000a +p3639 +tp3640 +a(g822 +g970 +tp3641 +a(g822 +g970 +tp3642 +a(g740 +VCall +p3643 +tp3644 +a(g822 +g970 +tp3645 +a(g822 +g1048 +tp3646 +a(g822 +g1057 +tp3647 +a(g822 +g1048 +tp3648 +a(g822 +g1094 +tp3649 +a(g822 +g1096 +tp3650 +a(g822 +g1098 +tp3651 +a(g822 +g993 +tp3652 +a(g822 +V\u000a +p3653 +tp3654 +a(g822 +V\u000a +p3655 +tp3656 +a(g740 +VSectionEnd +p3657 +tp3658 +a(g822 +V\u000a +p3659 +tp3660 +a(g822 +V\u000a +p3661 +tp3662 +a(g740 +VSectionGroup +p3663 +tp3664 +a(g822 +g970 +tp3665 +a(g822 +g1145 +tp3666 +a(g822 +g1138 +tp3667 +a(g822 +g1133 +tp3668 +a(g822 +g1009 +tp3669 +a(g822 +g997 +tp3670 +a(g822 +g2250 +tp3671 +a(g822 +V\u000a +p3672 +tp3673 +a(g822 +V\u000a +p3674 +tp3675 +a(g740 +VSection +p3676 +tp3677 +a(g822 +g970 +tp3678 +a(g152 +g1007 +tp3679 +a(g152 +g1094 +tp3680 +a(g152 +g1096 +tp3681 +a(g152 +g1098 +tp3682 +a(g152 +g993 +tp3683 +a(g152 +g970 +tp3684 +a(g152 +g1083 +tp3685 +a(g152 +g1138 +tp3686 +a(g152 +g1003 +tp3687 +a(g152 +g1135 +tp3688 +a(g152 +g1153 +tp3689 +a(g152 +g1150 +tp3690 +a(g152 +g1085 +tp3691 +a(g152 +g1135 +tp3692 +a(g152 +g1087 +tp3693 +a(g84 +g1007 +tp3694 +a(g822 +g970 +tp3695 +a(g822 +V\u000a +p3696 +tp3697 +a(g822 +g970 +tp3698 +a(g822 +g970 +tp3699 +a(g822 +V\u000a +p3700 +tp3701 +a(g822 +g970 +tp3702 +a(g822 +g970 +tp3703 +a(g592 +VBeginTestSection +p3704 +tp3705 +a(g701 +g2260 +tp3706 +a(g822 +V\u000a +p3707 +tp3708 +a(g822 +g970 +tp3709 +a(g822 +g970 +tp3710 +a(g740 +VSectionIn +p3711 +tp3712 +a(g822 +g970 +tp3713 +a(g822 +g1169 +tp3714 +a(g822 +g970 +tp3715 +a(g822 +g2250 +tp3716 +a(g822 +g970 +tp3717 +a(g822 +g1277 +tp3718 +a(g822 +V\u000a +p3719 +tp3720 +a(g822 +g970 +tp3721 +a(g822 +V\u000a +p3722 +tp3723 +a(g822 +g970 +tp3724 +a(g822 +g970 +tp3725 +a(g740 +VSetOutPath +p3726 +tp3727 +a(g822 +g970 +tp3728 +a(g465 +V$INSTDIR +p3729 +tp3730 +a(g822 +V\u000a +p3731 +tp3732 +a(g822 +V\u000a +p3733 +tp3734 +a(g822 +g970 +tp3735 +a(g822 +g970 +tp3736 +a(g740 +VIfFileExists +p3737 +tp3738 +a(g822 +g970 +tp3739 +a(g152 +g1007 +tp3740 +a(g465 +V$INSTDIR +p3741 +tp3742 +a(g152 +V\u005c +p3743 +tp3744 +a(g152 +g2297 +tp3745 +a(g152 +g1133 +tp3746 +a(g152 +g1087 +tp3747 +a(g152 +g1085 +tp3748 +a(g152 +g1153 +tp3749 +a(g152 +g2297 +tp3750 +a(g152 +g1085 +tp3751 +a(g152 +g1141 +tp3752 +a(g152 +g999 +tp3753 +a(g152 +g1135 +tp3754 +a(g152 +g1098 +tp3755 +a(g152 +g1085 +tp3756 +a(g84 +g1007 +tp3757 +a(g822 +g970 +tp3758 +a(g822 +g1238 +tp3759 +a(g822 +g970 +tp3760 +a(g822 +g1083 +tp3761 +a(g822 +g1138 +tp3762 +a(g822 +g1003 +tp3763 +a(g822 +g1135 +tp3764 +a(g822 +g1153 +tp3765 +a(g822 +g1150 +tp3766 +a(g822 +g1094 +tp3767 +a(g822 +g1096 +tp3768 +a(g822 +g1098 +tp3769 +a(g822 +g993 +tp3770 +a(g822 +g2727 +tp3771 +a(g822 +g1022 +tp3772 +a(g822 +V\u000a +p3773 +tp3774 +a(g822 +g970 +tp3775 +a(g822 +g970 +tp3776 +a(g822 +g970 +tp3777 +a(g822 +g970 +tp3778 +a(g822 +V\u000a +p3779 +tp3780 +a(g822 +g970 +tp3781 +a(g822 +g970 +tp3782 +a(g822 +g970 +tp3783 +a(g822 +g970 +tp3784 +a(g740 +VMessageBox +p3785 +tp3786 +a(g822 +g970 +tp3787 +a(g561 +VMB_YESNO +p3788 +tp3789 +a(g408 +V| +p3790 +tp3791 +a(g561 +VMB_ICONQUESTION +p3792 +tp3793 +a(g822 +g970 +tp3794 +a(g152 +g1007 +tp3795 +a(g152 +g1834 +tp3796 +a(g152 +g1133 +tp3797 +a(g152 +g1009 +tp3798 +a(g152 +g1177 +tp3799 +a(g152 +g1001 +tp3800 +a(g152 +g970 +tp3801 +a(g152 +g1558 +tp3802 +a(g152 +g1133 +tp3803 +a(g152 +g1009 +tp3804 +a(g152 +g970 +tp3805 +a(g152 +g1177 +tp3806 +a(g152 +g1085 +tp3807 +a(g152 +g1414 +tp3808 +a(g152 +g1096 +tp3809 +a(g152 +g970 +tp3810 +a(g152 +g993 +tp3811 +a(g152 +g1133 +tp3812 +a(g152 +g970 +tp3813 +a(g152 +g1133 +tp3814 +a(g152 +g2000 +tp3815 +a(g152 +g1096 +tp3816 +a(g152 +g1138 +tp3817 +a(g152 +g1335 +tp3818 +a(g152 +g1138 +tp3819 +a(g152 +g1085 +tp3820 +a(g152 +g993 +tp3821 +a(g152 +g1096 +tp3822 +a(g152 +g970 +tp3823 +a(g465 +V$INSTDIR +p3824 +tp3825 +a(g152 +V\u005c +p3826 +tp3827 +a(g152 +g2297 +tp3828 +a(g152 +g1133 +tp3829 +a(g152 +g1087 +tp3830 +a(g152 +g1085 +tp3831 +a(g152 +g1153 +tp3832 +a(g152 +g2297 +tp3833 +a(g152 +g1085 +tp3834 +a(g152 +g1141 +tp3835 +a(g152 +g999 +tp3836 +a(g152 +g1135 +tp3837 +a(g152 +g1098 +tp3838 +a(g152 +g1085 +tp3839 +a(g152 +g2436 +tp3840 +a(g84 +g1007 +tp3841 +a(g822 +g970 +tp3842 +a(g561 +VIDNO +p3843 +tp3844 +a(g822 +g970 +tp3845 +a(g822 +g1044 +tp3846 +a(g822 +g1133 +tp3847 +a(g822 +g1046 +tp3848 +a(g822 +g2000 +tp3849 +a(g822 +g1096 +tp3850 +a(g822 +g1138 +tp3851 +a(g822 +g1335 +tp3852 +a(g822 +g1138 +tp3853 +a(g822 +g1085 +tp3854 +a(g822 +g993 +tp3855 +a(g822 +g1096 +tp3856 +a(g822 +g970 +tp3857 +a(g7 +V; skipped if file doesn't exist\u000a +p3858 +tp3859 +a(g822 +V\u000a +p3860 +tp3861 +a(g822 +g970 +tp3862 +a(g822 +g970 +tp3863 +a(g822 +g970 +tp3864 +a(g822 +g970 +tp3865 +a(g592 +VBranchTest69 +p3866 +tp3867 +a(g701 +g2260 +tp3868 +a(g822 +V\u000a +p3869 +tp3870 +a(g822 +g970 +tp3871 +a(g822 +g970 +tp3872 +a(g822 +V\u000a +p3873 +tp3874 +a(g822 +g970 +tp3875 +a(g822 +g970 +tp3876 +a(g822 +g970 +tp3877 +a(g822 +g970 +tp3878 +a(g740 +VSetOverwrite +p3879 +tp3880 +a(g822 +g970 +tp3881 +a(g561 +Vifnewer +p3882 +tp3883 +a(g822 +g970 +tp3884 +a(g7 +V; NOT AN INSTRUCTION, NOT COUNTED IN SKIPPINGS\u000a +p3885 +tp3886 +a(g822 +V\u000a +p3887 +tp3888 +a(g822 +g970 +tp3889 +a(g822 +g970 +tp3890 +a(g592 +VNoOverwrite +p3891 +tp3892 +a(g701 +g2260 +tp3893 +a(g822 +V\u000a +p3894 +tp3895 +a(g822 +V\u000a +p3896 +tp3897 +a(g822 +g970 +tp3898 +a(g822 +g970 +tp3899 +a(g740 +VFile +p3900 +tp3901 +a(g822 +g970 +tp3902 +a(g152 +g1007 +tp3903 +a(g152 +g2297 +tp3904 +a(g152 +g1133 +tp3905 +a(g152 +g1087 +tp3906 +a(g152 +g1085 +tp3907 +a(g152 +g1153 +tp3908 +a(g152 +g2297 +tp3909 +a(g152 +g1085 +tp3910 +a(g152 +g1141 +tp3911 +a(g152 +g999 +tp3912 +a(g152 +g1135 +tp3913 +a(g152 +g1098 +tp3914 +a(g152 +g1085 +tp3915 +a(g84 +g1007 +tp3916 +a(g822 +g970 +tp3917 +a(g7 +V; skipped if answered no\u000a +p3918 +tp3919 +a(g822 +g970 +tp3920 +a(g822 +g970 +tp3921 +a(g740 +VSetOverwrite +p3922 +tp3923 +a(g822 +g970 +tp3924 +a(g561 +Vtry +p3925 +tp3926 +a(g822 +g970 +tp3927 +a(g7 +V; NOT AN INSTRUCTION, NOT COUNTED IN SKIPPINGS\u000a +p3928 +tp3929 +a(g822 +V\u000a +p3930 +tp3931 +a(g822 +g970 +tp3932 +a(g822 +g970 +tp3933 +a(g740 +VMessageBox +p3934 +tp3935 +a(g822 +g970 +tp3936 +a(g561 +VMB_YESNO +p3937 +tp3938 +a(g408 +g3790 +tp3939 +a(g561 +VMB_ICONQUESTION +p3940 +tp3941 +a(g822 +g970 +tp3942 +a(g152 +g1007 +tp3943 +a(g152 +g1834 +tp3944 +a(g152 +g1133 +tp3945 +a(g152 +g1009 +tp3946 +a(g152 +g1177 +tp3947 +a(g152 +g1001 +tp3948 +a(g152 +g970 +tp3949 +a(g152 +g1558 +tp3950 +a(g152 +g1133 +tp3951 +a(g152 +g1009 +tp3952 +a(g152 +g970 +tp3953 +a(g152 +g1177 +tp3954 +a(g152 +g1085 +tp3955 +a(g152 +g1414 +tp3956 +a(g152 +g1096 +tp3957 +a(g152 +g970 +tp3958 +a(g152 +g993 +tp3959 +a(g152 +g1133 +tp3960 +a(g152 +g970 +tp3961 +a(g152 +g1098 +tp3962 +a(g152 +g1414 +tp3963 +a(g152 +g1085 +tp3964 +a(g152 +g997 +tp3965 +a(g152 +g970 +tp3966 +a(g152 +g993 +tp3967 +a(g152 +g1150 +tp3968 +a(g152 +g1096 +tp3969 +a(g152 +g970 +tp3970 +a(g152 +g1138 +tp3971 +a(g152 +g1096 +tp3972 +a(g152 +g1098 +tp3973 +a(g152 +g993 +tp3974 +a(g152 +g970 +tp3975 +a(g152 +g1133 +tp3976 +a(g152 +g1332 +tp3977 +a(g152 +g970 +tp3978 +a(g152 +g993 +tp3979 +a(g152 +g1150 +tp3980 +a(g152 +g1085 +tp3981 +a(g152 +g1098 +tp3982 +a(g152 +g970 +tp3983 +a(g152 +g1098 +tp3984 +a(g152 +g1096 +tp3985 +a(g152 +g1153 +tp3986 +a(g152 +g993 +tp3987 +a(g152 +g1085 +tp3988 +a(g152 +g1133 +tp3989 +a(g152 +g1135 +tp3990 +a(g152 +g2436 +tp3991 +a(g84 +g1007 +tp3992 +a(g822 +g970 +tp3993 +a(g561 +VIDYES +p3994 +tp3995 +a(g822 +g970 +tp3996 +a(g822 +g978 +tp3997 +a(g822 +g1135 +tp3998 +a(g822 +g1001 +tp3999 +a(g822 +g1094 +tp4000 +a(g822 +g1096 +tp4001 +a(g822 +g1098 +tp4002 +a(g822 +g993 +tp4003 +a(g822 +g1083 +tp4004 +a(g822 +g1138 +tp4005 +a(g822 +g1003 +tp4006 +a(g822 +g1135 +tp4007 +a(g822 +g1153 +tp4008 +a(g822 +g1150 +tp4009 +a(g822 +V\u000a +p4010 +tp4011 +a(g822 +g970 +tp4012 +a(g822 +g970 +tp4013 +a(g740 +VMessageBox +p4014 +tp4015 +a(g822 +g970 +tp4016 +a(g561 +VMB_YESNO +p4017 +tp4018 +a(g408 +g3790 +tp4019 +a(g561 +VMB_ICONQUESTION +p4020 +tp4021 +a(g822 +g970 +tp4022 +a(g152 +g1007 +tp4023 +a(g152 +g1834 +tp4024 +a(g152 +g1133 +tp4025 +a(g152 +g1009 +tp4026 +a(g152 +g1177 +tp4027 +a(g152 +g1001 +tp4028 +a(g152 +g970 +tp4029 +a(g152 +g1558 +tp4030 +a(g152 +g1133 +tp4031 +a(g152 +g1009 +tp4032 +a(g152 +g970 +tp4033 +a(g152 +g1177 +tp4034 +a(g152 +g1085 +tp4035 +a(g152 +g1414 +tp4036 +a(g152 +g1096 +tp4037 +a(g152 +g970 +tp4038 +a(g152 +g993 +tp4039 +a(g152 +g1133 +tp4040 +a(g152 +g970 +tp4041 +a(g152 +g1087 +tp4042 +a(g152 +g1133 +tp4043 +a(g152 +g970 +tp4044 +a(g152 +g1141 +tp4045 +a(g152 +g1003 +tp4046 +a(g152 +g1153 +tp4047 +a(g152 +g1414 +tp4048 +a(g152 +g970 +tp4049 +a(g152 +g993 +tp4050 +a(g152 +g1133 +tp4051 +a(g152 +g970 +tp4052 +a(g152 +g993 +tp4053 +a(g152 +g1150 +tp4054 +a(g152 +g1096 +tp4055 +a(g152 +g970 +tp4056 +a(g152 +g1141 +tp4057 +a(g152 +g1096 +tp4058 +a(g152 +g1087 +tp4059 +a(g152 +g1085 +tp4060 +a(g152 +g1135 +tp4061 +a(g152 +g1135 +tp4062 +a(g152 +g1085 +tp4063 +a(g152 +g1135 +tp4064 +a(g152 +g1087 +tp4065 +a(g152 +g970 +tp4066 +a(g152 +g1133 +tp4067 +a(g152 +g1332 +tp4068 +a(g152 +g970 +tp4069 +a(g152 +g993 +tp4070 +a(g152 +g1150 +tp4071 +a(g152 +g1085 +tp4072 +a(g152 +g1098 +tp4073 +a(g152 +g970 +tp4074 +a(g152 +g1098 +tp4075 +a(g152 +g1096 +tp4076 +a(g152 +g1153 +tp4077 +a(g152 +g993 +tp4078 +a(g152 +g1085 +tp4079 +a(g152 +g1133 +tp4080 +a(g152 +g1135 +tp4081 +a(g152 +g2436 +tp4082 +a(g84 +g1007 +tp4083 +a(g822 +g970 +tp4084 +a(g561 +VIDYES +p4085 +tp4086 +a(g822 +g970 +tp4087 +a(g822 +g1083 +tp4088 +a(g822 +g1096 +tp4089 +a(g822 +g1087 +tp4090 +a(g822 +g1085 +tp4091 +a(g822 +g1135 +tp4092 +a(g822 +g1094 +tp4093 +a(g822 +g1096 +tp4094 +a(g822 +g1098 +tp4095 +a(g822 +g993 +tp4096 +a(g822 +g1057 +tp4097 +a(g822 +g1096 +tp4098 +a(g822 +g1153 +tp4099 +a(g822 +g993 +tp4100 +a(g822 +g1085 +tp4101 +a(g822 +g1133 +tp4102 +a(g822 +g1135 +tp4103 +a(g822 +V\u000a +p4104 +tp4105 +a(g822 +g970 +tp4106 +a(g822 +g970 +tp4107 +a(g740 +VMessageBox +p4108 +tp4109 +a(g822 +g970 +tp4110 +a(g561 +VMB_YESNO +p4111 +tp4112 +a(g408 +g3790 +tp4113 +a(g561 +VMB_ICONQUESTION +p4114 +tp4115 +a(g822 +g970 +tp4116 +a(g152 +g1007 +tp4117 +a(g152 +g1834 +tp4118 +a(g152 +g1133 +tp4119 +a(g152 +g1009 +tp4120 +a(g152 +g1177 +tp4121 +a(g152 +g1001 +tp4122 +a(g152 +g970 +tp4123 +a(g152 +g1558 +tp4124 +a(g152 +g1133 +tp4125 +a(g152 +g1009 +tp4126 +a(g152 +g970 +tp4127 +a(g152 +g1177 +tp4128 +a(g152 +g1085 +tp4129 +a(g152 +g1414 +tp4130 +a(g152 +g1096 +tp4131 +a(g152 +g970 +tp4132 +a(g152 +g993 +tp4133 +a(g152 +g1133 +tp4134 +a(g152 +g970 +tp4135 +a(g152 +g1150 +tp4136 +a(g152 +g1085 +tp4137 +a(g152 +g1001 +tp4138 +a(g152 +g1096 +tp4139 +a(g152 +g970 +tp4140 +a(g152 +g993 +tp4141 +a(g152 +g1150 +tp4142 +a(g152 +g1096 +tp4143 +a(g152 +g970 +tp4144 +a(g152 +g1085 +tp4145 +a(g152 +g1135 +tp4146 +a(g152 +g1098 +tp4147 +a(g152 +g993 +tp4148 +a(g152 +g1003 +tp4149 +a(g152 +g1177 +tp4150 +a(g152 +g1177 +tp4151 +a(g152 +g1096 +tp4152 +a(g152 +g1138 +tp4153 +a(g152 +g970 +tp4154 +a(g152 +g1003 +tp4155 +a(g152 +g1135 +tp4156 +a(g152 +g1001 +tp4157 +a(g152 +g970 +tp4158 +a(g152 +g1335 +tp4159 +a(g152 +g1003 +tp4160 +a(g152 +g1085 +tp4161 +a(g152 +g993 +tp4162 +a(g152 +g970 +tp4163 +a(g152 +g1332 +tp4164 +a(g152 +g1085 +tp4165 +a(g152 +g2000 +tp4166 +a(g152 +g1096 +tp4167 +a(g152 +g970 +tp4168 +a(g152 +g1098 +tp4169 +a(g152 +g1096 +tp4170 +a(g152 +g1153 +tp4171 +a(g152 +g1133 +tp4172 +a(g152 +g1135 +tp4173 +a(g152 +g1001 +tp4174 +a(g152 +g1098 +tp4175 +a(g152 +g2436 +tp4176 +a(g84 +g1007 +tp4177 +a(g822 +g970 +tp4178 +a(g561 +VIDNO +p4179 +tp4180 +a(g822 +g970 +tp4181 +a(g822 +g1044 +tp4182 +a(g822 +g1133 +tp4183 +a(g822 +g972 +tp4184 +a(g822 +g1085 +tp4185 +a(g822 +g1001 +tp4186 +a(g822 +g1096 +tp4187 +a(g822 +V\u000a +p4188 +tp4189 +a(g822 +V\u000a +p4190 +tp4191 +a(g822 +g970 +tp4192 +a(g822 +g970 +tp4193 +a(g822 +g970 +tp4194 +a(g822 +g970 +tp4195 +a(g740 +VHideWindow +p4196 +tp4197 +a(g822 +V\u000a +p4198 +tp4199 +a(g822 +g970 +tp4200 +a(g822 +g970 +tp4201 +a(g822 +g970 +tp4202 +a(g822 +g970 +tp4203 +a(g740 +VSleep +p4204 +tp4205 +a(g822 +g970 +tp4206 +a(g822 +g2725 +tp4207 +a(g822 +g1238 +tp4208 +a(g822 +g1238 +tp4209 +a(g822 +g1238 +tp4210 +a(g822 +V\u000a +p4211 +tp4212 +a(g822 +g970 +tp4213 +a(g822 +g970 +tp4214 +a(g822 +g970 +tp4215 +a(g822 +g970 +tp4216 +a(g740 +VBringToFront +p4217 +tp4218 +a(g822 +V\u000a +p4219 +tp4220 +a(g822 +V\u000a +p4221 +tp4222 +a(g822 +g970 +tp4223 +a(g822 +g970 +tp4224 +a(g592 +VNoHide +p4225 +tp4226 +a(g701 +g2260 +tp4227 +a(g822 +V\u000a +p4228 +tp4229 +a(g822 +V\u000a +p4230 +tp4231 +a(g822 +g970 +tp4232 +a(g822 +g970 +tp4233 +a(g740 +VMessageBox +p4234 +tp4235 +a(g822 +g970 +tp4236 +a(g561 +VMB_YESNO +p4237 +tp4238 +a(g408 +g3790 +tp4239 +a(g561 +VMB_ICONQUESTION +p4240 +tp4241 +a(g822 +g970 +tp4242 +a(g152 +g1007 +tp4243 +a(g152 +g1834 +tp4244 +a(g152 +g1133 +tp4245 +a(g152 +g1009 +tp4246 +a(g152 +g1177 +tp4247 +a(g152 +g1001 +tp4248 +a(g152 +g970 +tp4249 +a(g152 +g1558 +tp4250 +a(g152 +g1133 +tp4251 +a(g152 +g1009 +tp4252 +a(g152 +g970 +tp4253 +a(g152 +g1177 +tp4254 +a(g152 +g1085 +tp4255 +a(g152 +g1414 +tp4256 +a(g152 +g1096 +tp4257 +a(g152 +g970 +tp4258 +a(g152 +g993 +tp4259 +a(g152 +g1133 +tp4260 +a(g152 +g970 +tp4261 +a(g152 +g1153 +tp4262 +a(g152 +g1003 +tp4263 +a(g152 +g1177 +tp4264 +a(g152 +g1177 +tp4265 +a(g152 +g970 +tp4266 +a(g152 +g993 +tp4267 +a(g152 +g1150 +tp4268 +a(g152 +g1096 +tp4269 +a(g152 +g970 +tp4270 +a(g152 +g1332 +tp4271 +a(g152 +g1009 +tp4272 +a(g152 +g1135 +tp4273 +a(g152 +g1153 +tp4274 +a(g152 +g993 +tp4275 +a(g152 +g1085 +tp4276 +a(g152 +g1133 +tp4277 +a(g152 +g1135 +tp4278 +a(g152 +g970 +tp4279 +a(g152 +g2725 +tp4280 +a(g152 +g970 +tp4281 +a(g152 +g993 +tp4282 +a(g152 +g1085 +tp4283 +a(g152 +g995 +tp4284 +a(g152 +g1096 +tp4285 +a(g152 +g1098 +tp4286 +a(g152 +g2436 +tp4287 +a(g84 +g1007 +tp4288 +a(g822 +g970 +tp4289 +a(g561 +VIDNO +p4290 +tp4291 +a(g822 +g970 +tp4292 +a(g822 +g1044 +tp4293 +a(g822 +g1133 +tp4294 +a(g822 +g1054 +tp4295 +a(g822 +g1096 +tp4296 +a(g822 +g1153 +tp4297 +a(g822 +g1009 +tp4298 +a(g822 +g1138 +tp4299 +a(g822 +g1098 +tp4300 +a(g822 +g1096 +tp4301 +a(g822 +V\u000a +p4302 +tp4303 +a(g822 +V\u000a +p4304 +tp4305 +a(g822 +g970 +tp4306 +a(g822 +g970 +tp4307 +a(g822 +g970 +tp4308 +a(g822 +g970 +tp4309 +a(g740 +VStrCpy +p4310 +tp4311 +a(g822 +g970 +tp4312 +a(g689 +V$1 +p4313 +tp4314 +a(g822 +g970 +tp4315 +a(g152 +g1007 +tp4316 +a(g152 +g1012 +tp4317 +a(g84 +g1007 +tp4318 +a(g822 +V\u000a +p4319 +tp4320 +a(g822 +V\u000a +p4321 +tp4322 +a(g822 +g970 +tp4323 +a(g822 +g970 +tp4324 +a(g592 +VLoopTest +p4325 +tp4326 +a(g701 +g2260 +tp4327 +a(g822 +g970 +tp4328 +a(g822 +V\u000a +p4329 +tp4330 +a(g822 +g970 +tp4331 +a(g822 +g970 +tp4332 +a(g822 +g970 +tp4333 +a(g822 +g970 +tp4334 +a(g822 +g970 +tp4335 +a(g822 +g970 +tp4336 +a(g822 +V\u000a +p4337 +tp4338 +a(g822 +g970 +tp4339 +a(g822 +g970 +tp4340 +a(g822 +g970 +tp4341 +a(g822 +g970 +tp4342 +a(g740 +VCall +p4343 +tp4344 +a(g822 +g970 +tp4345 +a(g822 +g995 +tp4346 +a(g822 +g1558 +tp4347 +a(g822 +g1332 +tp4348 +a(g822 +g1009 +tp4349 +a(g822 +g1135 +tp4350 +a(g822 +g1153 +tp4351 +a(g822 +V\u000a +p4352 +tp4353 +a(g822 +g970 +tp4354 +a(g822 +g970 +tp4355 +a(g822 +g970 +tp4356 +a(g822 +g970 +tp4357 +a(g740 +VStrCpy +p4358 +tp4359 +a(g822 +g970 +tp4360 +a(g689 +V$1 +p4361 +tp4362 +a(g822 +g970 +tp4363 +a(g152 +g1007 +tp4364 +a(g152 +g1012 +tp4365 +a(g689 +V$1 +p4366 +tp4367 +a(g84 +g1007 +tp4368 +a(g822 +V\u000a +p4369 +tp4370 +a(g822 +g970 +tp4371 +a(g822 +g970 +tp4372 +a(g822 +g970 +tp4373 +a(g822 +g970 +tp4374 +a(g740 +VStrCmp +p4375 +tp4376 +a(g822 +g970 +tp4377 +a(g689 +V$1 +p4378 +tp4379 +a(g822 +g970 +tp4380 +a(g152 +g1007 +tp4381 +a(g152 +g1012 +tp4382 +a(g152 +g1012 +tp4383 +a(g152 +g1012 +tp4384 +a(g152 +g1012 +tp4385 +a(g152 +g1012 +tp4386 +a(g152 +g1012 +tp4387 +a(g84 +g1007 +tp4388 +a(g822 +g970 +tp4389 +a(g822 +g1238 +tp4390 +a(g822 +g970 +tp4391 +a(g822 +g2297 +tp4392 +a(g822 +g1133 +tp4393 +a(g822 +g1133 +tp4394 +a(g822 +g997 +tp4395 +a(g822 +g1094 +tp4396 +a(g822 +g1096 +tp4397 +a(g822 +g1098 +tp4398 +a(g822 +g993 +tp4399 +a(g822 +V\u000a +p4400 +tp4401 +a(g822 +g970 +tp4402 +a(g822 +g970 +tp4403 +a(g822 +g970 +tp4404 +a(g822 +g970 +tp4405 +a(g822 +g970 +tp4406 +a(g822 +g970 +tp4407 +a(g822 +V\u000a +p4408 +tp4409 +a(g822 +g970 +tp4410 +a(g822 +g970 +tp4411 +a(g592 +VNoRecurse +p4412 +tp4413 +a(g701 +g2260 +tp4414 +a(g822 +V\u000a +p4415 +tp4416 +a(g822 +V\u000a +p4417 +tp4418 +a(g822 +g970 +tp4419 +a(g822 +g970 +tp4420 +a(g592 +VEndTestBranch +p4421 +tp4422 +a(g701 +g2260 +tp4423 +a(g822 +V\u000a +p4424 +tp4425 +a(g822 +V\u000a +p4426 +tp4427 +a(g740 +VSectionEnd +p4428 +tp4429 +a(g822 +V\u000a +p4430 +tp4431 +a(g822 +V\u000a +p4432 +tp4433 +a(g740 +VSectionGroupEnd +p4434 +tp4435 +a(g822 +V\u000a +p4436 +tp4437 +a(g822 +V\u000a +p4438 +tp4439 +a(g740 +VSection +p4440 +tp4441 +a(g822 +g970 +tp4442 +a(g152 +g1007 +tp4443 +a(g152 +g1094 +tp4444 +a(g152 +g1096 +tp4445 +a(g152 +g1098 +tp4446 +a(g152 +g993 +tp4447 +a(g152 +g970 +tp4448 +a(g152 +g1048 +tp4449 +a(g152 +g1133 +tp4450 +a(g152 +g997 +tp4451 +a(g152 +g1558 +tp4452 +a(g152 +g1254 +tp4453 +a(g152 +g1085 +tp4454 +a(g152 +g1177 +tp4455 +a(g152 +g1096 +tp4456 +a(g152 +g1098 +tp4457 +a(g84 +g1007 +tp4458 +a(g822 +V\u000a +p4459 +tp4460 +a(g822 +V\u000a +p4461 +tp4462 +a(g822 +g970 +tp4463 +a(g822 +g970 +tp4464 +a(g740 +VSectionIn +p4465 +tp4466 +a(g822 +g970 +tp4467 +a(g822 +g1169 +tp4468 +a(g822 +g970 +tp4469 +a(g822 +g2250 +tp4470 +a(g822 +g970 +tp4471 +a(g822 +g1277 +tp4472 +a(g822 +V\u000a +p4473 +tp4474 +a(g822 +V\u000a +p4475 +tp4476 +a(g822 +g970 +tp4477 +a(g822 +g970 +tp4478 +a(g740 +VSetOutPath +p4479 +tp4480 +a(g822 +g970 +tp4481 +a(g465 +V$INSTDIR +p4482 +tp4483 +a(g701 +V\u005c +p4484 +tp4485 +a(g822 +g1153 +tp4486 +a(g822 +g997 +tp4487 +a(g822 +g1001 +tp4488 +a(g822 +g1096 +tp4489 +a(g822 +g1098 +tp4490 +a(g822 +g993 +tp4491 +a(g822 +V\u000a +p4492 +tp4493 +a(g822 +g970 +tp4494 +a(g822 +g970 +tp4495 +a(g740 +VCopyFiles +p4496 +tp4497 +a(g822 +g970 +tp4498 +a(g152 +g1007 +tp4499 +a(g677 +V$WINDIR +p4500 +tp4501 +a(g152 +V\u005c +p4502 +tp4503 +a(g152 +V* +p4504 +tp4505 +a(g152 +g999 +tp4506 +a(g152 +g1085 +tp4507 +a(g152 +g1135 +tp4508 +a(g152 +g1085 +tp4509 +a(g84 +g1007 +tp4510 +a(g822 +g970 +tp4511 +a(g152 +g1007 +tp4512 +a(g465 +V$INSTDIR +p4513 +tp4514 +a(g152 +V\u005c +p4515 +tp4516 +a(g152 +g1153 +tp4517 +a(g152 +g997 +tp4518 +a(g152 +g1001 +tp4519 +a(g152 +g1096 +tp4520 +a(g152 +g1098 +tp4521 +a(g152 +g993 +tp4522 +a(g84 +g1007 +tp4523 +a(g822 +g970 +tp4524 +a(g822 +g1238 +tp4525 +a(g822 +V\u000a +p4526 +tp4527 +a(g822 +V\u000a +p4528 +tp4529 +a(g740 +VSectionEnd +p4530 +tp4531 +a(g822 +V\u000a +p4532 +tp4533 +a(g822 +V\u000a +p4534 +tp4535 +a(g740 +VSectionGroupEnd +p4536 +tp4537 +a(g822 +V\u000a +p4538 +tp4539 +a(g822 +V\u000a +p4540 +tp4541 +a(g740 +VSection +p4542 +tp4543 +a(g822 +g970 +tp4544 +a(g152 +g1007 +tp4545 +a(g152 +g1094 +tp4546 +a(g152 +g1096 +tp4547 +a(g152 +g1098 +tp4548 +a(g152 +g993 +tp4549 +a(g152 +g970 +tp4550 +a(g152 +g978 +tp4551 +a(g152 +g1012 +tp4552 +a(g152 +g1096 +tp4553 +a(g152 +g1153 +tp4554 +a(g152 +g970 +tp4555 +a(g152 +g1332 +tp4556 +a(g152 +g1009 +tp4557 +a(g152 +g1135 +tp4558 +a(g152 +g1153 +tp4559 +a(g152 +g993 +tp4560 +a(g152 +g1085 +tp4561 +a(g152 +g1133 +tp4562 +a(g152 +g1135 +tp4563 +a(g152 +g1098 +tp4564 +a(g84 +g1007 +tp4565 +a(g822 +g970 +tp4566 +a(g822 +g1094 +tp4567 +a(g822 +g978 +tp4568 +a(g822 +g1057 +tp4569 +a(g822 +g1094 +tp4570 +a(g822 +g1091 +tp4571 +a(g822 +g1374 +tp4572 +a(g822 +g986 +tp4573 +a(g822 +V\u000a +p4574 +tp4575 +a(g822 +V\u000a +p4576 +tp4577 +a(g822 +g970 +tp4578 +a(g822 +g970 +tp4579 +a(g740 +VSectionIn +p4580 +tp4581 +a(g822 +g970 +tp4582 +a(g822 +g1169 +tp4583 +a(g822 +g970 +tp4584 +a(g822 +g2250 +tp4585 +a(g822 +g970 +tp4586 +a(g822 +g1277 +tp4587 +a(g822 +V\u000a +p4588 +tp4589 +a(g822 +g970 +tp4590 +a(g822 +g970 +tp4591 +a(g822 +V\u000a +p4592 +tp4593 +a(g822 +g970 +tp4594 +a(g822 +g970 +tp4595 +a(g740 +VSearchPath +p4596 +tp4597 +a(g822 +g970 +tp4598 +a(g689 +V$1 +p4599 +tp4600 +a(g822 +g970 +tp4601 +a(g822 +g1135 +tp4602 +a(g822 +g1133 +tp4603 +a(g822 +g993 +tp4604 +a(g822 +g1096 +tp4605 +a(g822 +g997 +tp4606 +a(g822 +g1003 +tp4607 +a(g822 +g1001 +tp4608 +a(g822 +g999 +tp4609 +a(g822 +g1096 +tp4610 +a(g822 +g1012 +tp4611 +a(g822 +g1096 +tp4612 +a(g822 +V\u000a +p4613 +tp4614 +a(g822 +V\u000a +p4615 +tp4616 +a(g822 +g970 +tp4617 +a(g822 +g970 +tp4618 +a(g740 +VMessageBox +p4619 +tp4620 +a(g822 +g970 +tp4621 +a(g561 +VMB_OK +p4622 +tp4623 +a(g822 +g970 +tp4624 +a(g152 +g1007 +tp4625 +a(g152 +g1135 +tp4626 +a(g152 +g1133 +tp4627 +a(g152 +g993 +tp4628 +a(g152 +g1096 +tp4629 +a(g152 +g997 +tp4630 +a(g152 +g1003 +tp4631 +a(g152 +g1001 +tp4632 +a(g152 +g999 +tp4633 +a(g152 +g1096 +tp4634 +a(g152 +g1012 +tp4635 +a(g152 +g1096 +tp4636 +a(g152 +V= +p4637 +tp4638 +a(g689 +V$1 +p4639 +tp4640 +a(g84 +g1007 +tp4641 +a(g822 +V\u000a +p4642 +tp4643 +a(g822 +g970 +tp4644 +a(g822 +g970 +tp4645 +a(g740 +VExec +p4646 +tp4647 +a(g822 +g970 +tp4648 +a(g225 +V'"$1"' +p4649 +tp4650 +a(g822 +V\u000a +p4651 +tp4652 +a(g822 +g970 +tp4653 +a(g822 +g970 +tp4654 +a(g740 +VExecShell +p4655 +tp4656 +a(g822 +g970 +tp4657 +a(g152 +g1007 +tp4658 +a(g152 +g1133 +tp4659 +a(g152 +g997 +tp4660 +a(g152 +g1096 +tp4661 +a(g152 +g1135 +tp4662 +a(g84 +g1007 +tp4663 +a(g822 +g970 +tp4664 +a(g225 +V'"$INSTDIR"' +p4665 +tp4666 +a(g822 +V\u000a +p4667 +tp4668 +a(g822 +g970 +tp4669 +a(g822 +g970 +tp4670 +a(g740 +VSleep +p4671 +tp4672 +a(g822 +g970 +tp4673 +a(g822 +g2725 +tp4674 +a(g822 +g1238 +tp4675 +a(g822 +g1238 +tp4676 +a(g822 +V\u000a +p4677 +tp4678 +a(g822 +g970 +tp4679 +a(g822 +g970 +tp4680 +a(g740 +VBringToFront +p4681 +tp4682 +a(g822 +V\u000a +p4683 +tp4684 +a(g822 +V\u000a +p4685 +tp4686 +a(g740 +VSectionEnd +p4687 +tp4688 +a(g822 +V\u000a +p4689 +tp4690 +a(g822 +V\u000a +p4691 +tp4692 +a(g740 +VSection +p4693 +tp4694 +a(g822 +g970 +tp4695 +a(g152 +g1007 +tp4696 +a(g152 +g1094 +tp4697 +a(g152 +g1096 +tp4698 +a(g152 +g1098 +tp4699 +a(g152 +g993 +tp4700 +a(g152 +g970 +tp4701 +a(g152 +g974 +tp4702 +a(g152 +g1153 +tp4703 +a(g152 +g993 +tp4704 +a(g152 +g1085 +tp4705 +a(g152 +g2000 +tp4706 +a(g152 +g1096 +tp4707 +a(g152 +g986 +tp4708 +a(g152 +g970 +tp4709 +a(g152 +g1153 +tp4710 +a(g152 +g1133 +tp4711 +a(g152 +g1135 +tp4712 +a(g152 +g993 +tp4713 +a(g152 +g1138 +tp4714 +a(g152 +g1133 +tp4715 +a(g152 +g1177 +tp4716 +a(g152 +g970 +tp4717 +a(g152 +g1138 +tp4718 +a(g152 +g1096 +tp4719 +a(g152 +g1087 +tp4720 +a(g152 +g1085 +tp4721 +a(g152 +g1098 +tp4722 +a(g152 +g993 +tp4723 +a(g152 +g1138 +tp4724 +a(g152 +g1003 +tp4725 +a(g152 +g993 +tp4726 +a(g152 +g1085 +tp4727 +a(g152 +g1133 +tp4728 +a(g152 +g1135 +tp4729 +a(g84 +g1007 +tp4730 +a(g822 +V\u000a +p4731 +tp4732 +a(g822 +V\u000a +p4733 +tp4734 +a(g822 +g970 +tp4735 +a(g822 +g970 +tp4736 +a(g740 +VSectionIn +p4737 +tp4738 +a(g822 +g970 +tp4739 +a(g822 +g2250 +tp4740 +a(g822 +V\u000a +p4741 +tp4742 +a(g822 +V\u000a +p4743 +tp4744 +a(g822 +g970 +tp4745 +a(g822 +g970 +tp4746 +a(g740 +VUnRegDLL +p4747 +tp4748 +a(g822 +g970 +tp4749 +a(g152 +g1007 +tp4750 +a(g677 +V$SYSDIR +p4751 +tp4752 +a(g152 +V\u005c +p4753 +tp4754 +a(g152 +g1098 +tp4755 +a(g152 +g997 +tp4756 +a(g152 +g1085 +tp4757 +a(g152 +g1135 +tp4758 +a(g152 +g1277 +tp4759 +a(g152 +g2250 +tp4760 +a(g152 +g999 +tp4761 +a(g152 +g1133 +tp4762 +a(g152 +g1153 +tp4763 +a(g152 +g1012 +tp4764 +a(g84 +g1007 +tp4765 +a(g822 +V\u000a +p4766 +tp4767 +a(g822 +g970 +tp4768 +a(g822 +g970 +tp4769 +a(g740 +VSleep +p4770 +tp4771 +a(g822 +g970 +tp4772 +a(g822 +g1169 +tp4773 +a(g822 +g1238 +tp4774 +a(g822 +g1238 +tp4775 +a(g822 +g1238 +tp4776 +a(g822 +V\u000a +p4777 +tp4778 +a(g822 +g970 +tp4779 +a(g822 +g970 +tp4780 +a(g740 +VRegDLL +p4781 +tp4782 +a(g822 +g970 +tp4783 +a(g152 +g1007 +tp4784 +a(g677 +V$SYSDIR +p4785 +tp4786 +a(g152 +V\u005c +p4787 +tp4788 +a(g152 +g1098 +tp4789 +a(g152 +g997 +tp4790 +a(g152 +g1085 +tp4791 +a(g152 +g1135 +tp4792 +a(g152 +g1277 +tp4793 +a(g152 +g2250 +tp4794 +a(g152 +g999 +tp4795 +a(g152 +g1133 +tp4796 +a(g152 +g1153 +tp4797 +a(g152 +g1012 +tp4798 +a(g84 +g1007 +tp4799 +a(g822 +V\u000a +p4800 +tp4801 +a(g822 +g970 +tp4802 +a(g822 +g970 +tp4803 +a(g740 +VSleep +p4804 +tp4805 +a(g822 +g970 +tp4806 +a(g822 +g1169 +tp4807 +a(g822 +g1238 +tp4808 +a(g822 +g1238 +tp4809 +a(g822 +g1238 +tp4810 +a(g822 +V\u000a +p4811 +tp4812 +a(g822 +g970 +tp4813 +a(g822 +g970 +tp4814 +a(g822 +V\u000a +p4815 +tp4816 +a(g740 +VSectionEnd +p4817 +tp4818 +a(g822 +V\u000a +p4819 +tp4820 +a(g822 +V\u000a +p4821 +tp4822 +a(g7 +V;--------------------------------\u000a +p4823 +tp4824 +a(g822 +V\u000a +p4825 +tp4826 +a(g740 +VFunction +p4827 +tp4828 +a(g822 +g970 +tp4829 +a(g152 +g1007 +tp4830 +a(g152 +g1048 +tp4831 +a(g152 +g1057 +tp4832 +a(g152 +g1048 +tp4833 +a(g152 +g1094 +tp4834 +a(g152 +g1096 +tp4835 +a(g152 +g1098 +tp4836 +a(g152 +g993 +tp4837 +a(g84 +g1007 +tp4838 +a(g822 +V\u000a +p4839 +tp4840 +a(g822 +g970 +tp4841 +a(g822 +g970 +tp4842 +a(g822 +V\u000a +p4843 +tp4844 +a(g822 +g970 +tp4845 +a(g822 +g970 +tp4846 +a(g740 +VCreateDirectory +p4847 +tp4848 +a(g822 +g970 +tp4849 +a(g152 +g1007 +tp4850 +a(g677 +V$SMPROGRAMS +p4851 +tp4852 +a(g152 +V\u005c +p4853 +tp4854 +a(g152 +g1083 +tp4855 +a(g152 +g1085 +tp4856 +a(g152 +g1087 +tp4857 +a(g152 +g970 +tp4858 +a(g152 +g1044 +tp4859 +a(g152 +g1057 +tp4860 +a(g152 +g1091 +tp4861 +a(g152 +g1057 +tp4862 +a(g152 +g970 +tp4863 +a(g152 +g1094 +tp4864 +a(g152 +g1096 +tp4865 +a(g152 +g1098 +tp4866 +a(g152 +g993 +tp4867 +a(g84 +g1007 +tp4868 +a(g822 +V\u000a +p4869 +tp4870 +a(g822 +g970 +tp4871 +a(g822 +g970 +tp4872 +a(g740 +VSetOutPath +p4873 +tp4874 +a(g822 +g970 +tp4875 +a(g465 +V$INSTDIR +p4876 +tp4877 +a(g822 +g970 +tp4878 +a(g7 +V; for working directory\u000a +p4879 +tp4880 +a(g822 +g970 +tp4881 +a(g822 +g970 +tp4882 +a(g740 +VCreateShortCut +p4883 +tp4884 +a(g822 +g970 +tp4885 +a(g152 +g1007 +tp4886 +a(g677 +V$SMPROGRAMS +p4887 +tp4888 +a(g152 +V\u005c +p4889 +tp4890 +a(g152 +g1083 +tp4891 +a(g152 +g1085 +tp4892 +a(g152 +g1087 +tp4893 +a(g152 +g970 +tp4894 +a(g152 +g1044 +tp4895 +a(g152 +g1057 +tp4896 +a(g152 +g1091 +tp4897 +a(g152 +g1057 +tp4898 +a(g152 +g970 +tp4899 +a(g152 +g1094 +tp4900 +a(g152 +g1096 +tp4901 +a(g152 +g1098 +tp4902 +a(g152 +g993 +tp4903 +a(g152 +V\u005c +p4904 +tp4905 +a(g152 +g982 +tp4906 +a(g152 +g1135 +tp4907 +a(g152 +g1085 +tp4908 +a(g152 +g1135 +tp4909 +a(g152 +g1098 +tp4910 +a(g152 +g993 +tp4911 +a(g152 +g1003 +tp4912 +a(g152 +g1177 +tp4913 +a(g152 +g1177 +tp4914 +a(g152 +g970 +tp4915 +a(g152 +g1083 +tp4916 +a(g152 +g1091 +tp4917 +a(g152 +g1145 +tp4918 +a(g152 +g970 +tp4919 +a(g152 +g1044 +tp4920 +a(g152 +g1057 +tp4921 +a(g152 +g1091 +tp4922 +a(g152 +g1057 +tp4923 +a(g152 +g970 +tp4924 +a(g152 +g1094 +tp4925 +a(g152 +g1096 +tp4926 +a(g152 +g1098 +tp4927 +a(g152 +g993 +tp4928 +a(g152 +g999 +tp4929 +a(g152 +g1177 +tp4930 +a(g152 +g1135 +tp4931 +a(g152 +g1414 +tp4932 +a(g84 +g1007 +tp4933 +a(g822 +g970 +tp4934 +a(g152 +g1007 +tp4935 +a(g465 +V$INSTDIR +p4936 +tp4937 +a(g152 +V\u005c +p4938 +tp4939 +a(g152 +g1141 +tp4940 +a(g152 +g993 +tp4941 +a(g152 +g1020 +tp4942 +a(g152 +g1009 +tp4943 +a(g152 +g1135 +tp4944 +a(g152 +g1085 +tp4945 +a(g152 +g1135 +tp4946 +a(g152 +g1098 +tp4947 +a(g152 +g993 +tp4948 +a(g152 +g999 +tp4949 +a(g152 +g1096 +tp4950 +a(g152 +g1012 +tp4951 +a(g152 +g1096 +tp4952 +a(g84 +g1007 +tp4953 +a(g822 +g970 +tp4954 +a(g7 +V; use defaults for parameters, icon, etc.\u000a +p4955 +tp4956 +a(g822 +g970 +tp4957 +a(g822 +g970 +tp4958 +a(g7 +V; this one will use notepad's icon, start it minimized, and give it a hotkey (of Ctrl+Shift+Q)\u000a +p4959 +tp4960 +a(g822 +g970 +tp4961 +a(g822 +g970 +tp4962 +a(g740 +VCreateShortCut +p4963 +tp4964 +a(g822 +g970 +tp4965 +a(g152 +g1007 +tp4966 +a(g677 +V$SMPROGRAMS +p4967 +tp4968 +a(g152 +V\u005c +p4969 +tp4970 +a(g152 +g1083 +tp4971 +a(g152 +g1085 +tp4972 +a(g152 +g1087 +tp4973 +a(g152 +g970 +tp4974 +a(g152 +g1044 +tp4975 +a(g152 +g1057 +tp4976 +a(g152 +g1091 +tp4977 +a(g152 +g1057 +tp4978 +a(g152 +g970 +tp4979 +a(g152 +g1094 +tp4980 +a(g152 +g1096 +tp4981 +a(g152 +g1098 +tp4982 +a(g152 +g993 +tp4983 +a(g152 +V\u005c +p4984 +tp4985 +a(g152 +g1098 +tp4986 +a(g152 +g1085 +tp4987 +a(g152 +g1177 +tp4988 +a(g152 +g1096 +tp4989 +a(g152 +g1135 +tp4990 +a(g152 +g993 +tp4991 +a(g152 +g999 +tp4992 +a(g152 +g1135 +tp4993 +a(g152 +g1098 +tp4994 +a(g152 +g1085 +tp4995 +a(g152 +g999 +tp4996 +a(g152 +g1177 +tp4997 +a(g152 +g1135 +tp4998 +a(g152 +g1414 +tp4999 +a(g84 +g1007 +tp5000 +a(g822 +g970 +tp5001 +a(g152 +g1007 +tp5002 +a(g465 +V$INSTDIR +p5003 +tp5004 +a(g152 +V\u005c +p5005 +tp5006 +a(g152 +g1098 +tp5007 +a(g152 +g1085 +tp5008 +a(g152 +g1177 +tp5009 +a(g152 +g1096 +tp5010 +a(g152 +g1135 +tp5011 +a(g152 +g993 +tp5012 +a(g152 +g999 +tp5013 +a(g152 +g1135 +tp5014 +a(g152 +g1098 +tp5015 +a(g152 +g1085 +tp5016 +a(g84 +g1007 +tp5017 +a(g822 +g970 +tp5018 +a(g152 +g1007 +tp5019 +a(g84 +g1007 +tp5020 +a(g822 +g970 +tp5021 +a(g152 +g1007 +tp5022 +a(g677 +V$WINDIR +p5023 +tp5024 +a(g152 +V\u005c +p5025 +tp5026 +a(g152 +g1135 +tp5027 +a(g152 +g1133 +tp5028 +a(g152 +g993 +tp5029 +a(g152 +g1096 +tp5030 +a(g152 +g997 +tp5031 +a(g152 +g1003 +tp5032 +a(g152 +g1001 +tp5033 +a(g152 +g999 +tp5034 +a(g152 +g1096 +tp5035 +a(g152 +g1012 +tp5036 +a(g152 +g1096 +tp5037 +a(g84 +g1007 +tp5038 +a(g822 +g970 +tp5039 +a(g822 +g1238 +tp5040 +a(g822 +g970 +tp5041 +a(g561 +VSW_SHOWMINIMIZED +p5042 +tp5043 +a(g822 +g970 +tp5044 +a(g822 +g1048 +tp5045 +a(g822 +g1046 +tp5046 +a(g822 +g1044 +tp5047 +a(g822 +g1094 +tp5048 +a(g822 +g1054 +tp5049 +a(g822 +g1046 +tp5050 +a(g822 +g2297 +tp5051 +a(g408 +g3790 +tp5052 +a(g822 +g1057 +tp5053 +a(g822 +g972 +tp5054 +a(g822 +g1091 +tp5055 +a(g822 +g1254 +tp5056 +a(g822 +g1094 +tp5057 +a(g408 +g3790 +tp5058 +a(g822 +VQ +p5059 +tp5060 +a(g822 +V\u000a +p5061 +tp5062 +a(g822 +g970 +tp5063 +a(g822 +g970 +tp5064 +a(g740 +VCreateShortCut +p5065 +tp5066 +a(g822 +g970 +tp5067 +a(g152 +g1007 +tp5068 +a(g677 +V$SMPROGRAMS +p5069 +tp5070 +a(g152 +V\u005c +p5071 +tp5072 +a(g152 +g1083 +tp5073 +a(g152 +g1085 +tp5074 +a(g152 +g1087 +tp5075 +a(g152 +g970 +tp5076 +a(g152 +g1044 +tp5077 +a(g152 +g1057 +tp5078 +a(g152 +g1091 +tp5079 +a(g152 +g1057 +tp5080 +a(g152 +g970 +tp5081 +a(g152 +g1094 +tp5082 +a(g152 +g1096 +tp5083 +a(g152 +g1098 +tp5084 +a(g152 +g993 +tp5085 +a(g152 +V\u005c +p5086 +tp5087 +a(g152 +g1094 +tp5088 +a(g152 +g1150 +tp5089 +a(g152 +g1096 +tp5090 +a(g152 +g1374 +tp5091 +a(g152 +g1085 +tp5092 +a(g152 +g1138 +tp5093 +a(g152 +g999 +tp5094 +a(g152 +g1177 +tp5095 +a(g152 +g1135 +tp5096 +a(g152 +g1414 +tp5097 +a(g84 +g1007 +tp5098 +a(g822 +g970 +tp5099 +a(g152 +g1007 +tp5100 +a(g465 +V$INSTDIR +p5101 +tp5102 +a(g152 +V\u005c +p5103 +tp5104 +a(g84 +g1007 +tp5105 +a(g822 +g970 +tp5106 +a(g152 +g1007 +tp5107 +a(g84 +g1007 +tp5108 +a(g822 +g970 +tp5109 +a(g152 +g1007 +tp5110 +a(g84 +g1007 +tp5111 +a(g822 +g970 +tp5112 +a(g822 +g1238 +tp5113 +a(g822 +g970 +tp5114 +a(g561 +VSW_SHOWMAXIMIZED +p5115 +tp5116 +a(g822 +g970 +tp5117 +a(g822 +g1048 +tp5118 +a(g822 +g1046 +tp5119 +a(g822 +g1044 +tp5120 +a(g822 +g1094 +tp5121 +a(g822 +g1054 +tp5122 +a(g822 +g1046 +tp5123 +a(g822 +g2297 +tp5124 +a(g408 +g3790 +tp5125 +a(g822 +g1057 +tp5126 +a(g822 +g972 +tp5127 +a(g822 +g1091 +tp5128 +a(g822 +g1254 +tp5129 +a(g822 +g1094 +tp5130 +a(g408 +g3790 +tp5131 +a(g822 +VZ +p5132 +tp5133 +a(g822 +V\u000a +p5134 +tp5135 +a(g822 +V\u000a +p5136 +tp5137 +a(g740 +VFunctionEnd +p5138 +tp5139 +a(g822 +V\u000a +p5140 +tp5141 +a(g822 +V\u000a +p5142 +tp5143 +a(g740 +VFunction +p5144 +tp5145 +a(g822 +g970 +tp5146 +a(g569 +Vmyfunc +p5147 +tp5148 +a(g822 +V\u000a +p5149 +tp5150 +a(g822 +V\u000a +p5151 +tp5152 +a(g822 +g970 +tp5153 +a(g822 +g970 +tp5154 +a(g740 +VStrCpy +p5155 +tp5156 +a(g822 +g970 +tp5157 +a(g689 +V$2 +p5158 +tp5159 +a(g822 +g970 +tp5160 +a(g152 +g1007 +tp5161 +a(g152 +g1051 +tp5162 +a(g152 +g1558 +tp5163 +a(g152 +g1094 +tp5164 +a(g152 +g1096 +tp5165 +a(g152 +g1098 +tp5166 +a(g152 +g993 +tp5167 +a(g152 +g976 +tp5168 +a(g152 +g1003 +tp5169 +a(g152 +g1138 +tp5170 +a(g152 +g4637 +tp5171 +a(g689 +V$1 +p5172 +tp5173 +a(g84 +g1007 +tp5174 +a(g822 +V\u000a +p5175 +tp5176 +a(g822 +g970 +tp5177 +a(g822 +g970 +tp5178 +a(g740 +VMessageBox +p5179 +tp5180 +a(g822 +g970 +tp5181 +a(g561 +VMB_OK +p5182 +tp5183 +a(g822 +g970 +tp5184 +a(g152 +g1007 +tp5185 +a(g152 +g995 +tp5186 +a(g152 +g1558 +tp5187 +a(g152 +g1332 +tp5188 +a(g152 +g1009 +tp5189 +a(g152 +g1135 +tp5190 +a(g152 +g1153 +tp5191 +a(g152 +g2260 +tp5192 +a(g152 +g970 +tp5193 +a(g689 +V$2 +p5194 +tp5195 +a(g84 +g1007 +tp5196 +a(g822 +V\u000a +p5197 +tp5198 +a(g822 +V\u000a +p5199 +tp5200 +a(g740 +VFunctionEnd +p5201 +tp5202 +a(g822 +V\u000a +p5203 +tp5204 +a(g822 +V\u000a +p5205 +tp5206 +a(g740 +VFunction +p5207 +tp5208 +a(g822 +g970 +tp5209 +a(g569 +VMyFunctionTest +p5210 +tp5211 +a(g822 +V\u000a +p5212 +tp5213 +a(g822 +V\u000a +p5214 +tp5215 +a(g822 +g970 +tp5216 +a(g822 +g970 +tp5217 +a(g740 +VReadINIStr +p5218 +tp5219 +a(g822 +g970 +tp5220 +a(g689 +V$1 +p5221 +tp5222 +a(g822 +g970 +tp5223 +a(g152 +g1007 +tp5224 +a(g465 +V$INSTDIR +p5225 +tp5226 +a(g152 +V\u005c +p5227 +tp5228 +a(g152 +g993 +tp5229 +a(g152 +g1096 +tp5230 +a(g152 +g1098 +tp5231 +a(g152 +g993 +tp5232 +a(g152 +g999 +tp5233 +a(g152 +g1085 +tp5234 +a(g152 +g1135 +tp5235 +a(g152 +g1085 +tp5236 +a(g84 +g1007 +tp5237 +a(g822 +g970 +tp5238 +a(g152 +g1007 +tp5239 +a(g152 +g1051 +tp5240 +a(g152 +g1558 +tp5241 +a(g152 +g1057 +tp5242 +a(g152 +g1096 +tp5243 +a(g152 +g1153 +tp5244 +a(g152 +g993 +tp5245 +a(g152 +g1085 +tp5246 +a(g152 +g1133 +tp5247 +a(g152 +g1135 +tp5248 +a(g152 +g1091 +tp5249 +a(g152 +g1135 +tp5250 +a(g152 +g1085 +tp5251 +a(g84 +g1007 +tp5252 +a(g822 +g970 +tp5253 +a(g152 +g1007 +tp5254 +a(g152 +g976 +tp5255 +a(g152 +g1003 +tp5256 +a(g152 +g1177 +tp5257 +a(g152 +g1009 +tp5258 +a(g152 +g1096 +tp5259 +a(g152 +g1169 +tp5260 +a(g84 +g1007 +tp5261 +a(g822 +V\u000a +p5262 +tp5263 +a(g822 +g970 +tp5264 +a(g822 +g970 +tp5265 +a(g740 +VStrCmp +p5266 +tp5267 +a(g822 +g970 +tp5268 +a(g689 +V$1 +p5269 +tp5270 +a(g822 +g970 +tp5271 +a(g689 +V$8 +p5272 +tp5273 +a(g822 +g970 +tp5274 +a(g822 +g1044 +tp5275 +a(g822 +g1133 +tp5276 +a(g822 +g1254 +tp5277 +a(g822 +g1003 +tp5278 +a(g822 +g1085 +tp5279 +a(g822 +g1177 +tp5280 +a(g822 +g1096 +tp5281 +a(g822 +g1001 +tp5282 +a(g822 +g1051 +tp5283 +a(g822 +g1098 +tp5284 +a(g822 +g1087 +tp5285 +a(g822 +V\u000a +p5286 +tp5287 +a(g822 +g970 +tp5288 +a(g822 +g970 +tp5289 +a(g822 +g970 +tp5290 +a(g822 +g970 +tp5291 +a(g740 +VMessageBox +p5292 +tp5293 +a(g822 +g970 +tp5294 +a(g561 +VMB_OK +p5295 +tp5296 +a(g822 +g970 +tp5297 +a(g152 +g1007 +tp5298 +a(g152 +g1834 +tp5299 +a(g152 +g1138 +tp5300 +a(g152 +g1085 +tp5301 +a(g152 +g993 +tp5302 +a(g152 +g1096 +tp5303 +a(g152 +g1091 +tp5304 +a(g152 +g1044 +tp5305 +a(g152 +g1091 +tp5306 +a(g152 +g1057 +tp5307 +a(g152 +g993 +tp5308 +a(g152 +g1138 +tp5309 +a(g152 +g970 +tp5310 +a(g152 +g1332 +tp5311 +a(g152 +g1003 +tp5312 +a(g152 +g1085 +tp5313 +a(g152 +g1177 +tp5314 +a(g152 +g1096 +tp5315 +a(g152 +g1001 +tp5316 +a(g84 +g1007 +tp5317 +a(g822 +V\u000a +p5318 +tp5319 +a(g822 +g970 +tp5320 +a(g822 +g970 +tp5321 +a(g822 +V\u000a +p5322 +tp5323 +a(g822 +g970 +tp5324 +a(g822 +g970 +tp5325 +a(g592 +VNoFailedMsg +p5326 +tp5327 +a(g701 +g2260 +tp5328 +a(g822 +V\u000a +p5329 +tp5330 +a(g822 +V\u000a +p5331 +tp5332 +a(g740 +VFunctionEnd +p5333 +tp5334 +a(g822 +V\u000a +p5335 +tp5336 +a(g822 +V\u000a +p5337 +tp5338 +a(g740 +VFunction +p5339 +tp5340 +a(g822 +g970 +tp5341 +a(g569 +V.onSelChange +p5342 +tp5343 +a(g822 +V\u000a +p5344 +tp5345 +a(g822 +V\u000a +p5346 +tp5347 +a(g822 +g970 +tp5348 +a(g822 +g970 +tp5349 +a(g740 +VSectionGetText +p5350 +tp5351 +a(g822 +g970 +tp5352 +a(g781 +V${TESTIDX} +p5353 +tp5354 +a(g822 +g970 +tp5355 +a(g689 +V$0 +p5356 +tp5357 +a(g822 +V\u000a +p5358 +tp5359 +a(g822 +g970 +tp5360 +a(g822 +g970 +tp5361 +a(g740 +VStrCmp +p5362 +tp5363 +a(g822 +g970 +tp5364 +a(g689 +V$0 +p5365 +tp5366 +a(g822 +g970 +tp5367 +a(g152 +g1007 +tp5368 +a(g84 +g1007 +tp5369 +a(g822 +g970 +tp5370 +a(g822 +g1096 +tp5371 +a(g822 +V\u000a +p5372 +tp5373 +a(g822 +g970 +tp5374 +a(g822 +g970 +tp5375 +a(g822 +g970 +tp5376 +a(g822 +g970 +tp5377 +a(g740 +VSectionSetText +p5378 +tp5379 +a(g822 +g970 +tp5380 +a(g781 +V${TESTIDX} +p5381 +tp5382 +a(g822 +g970 +tp5383 +a(g152 +g1007 +tp5384 +a(g84 +g1007 +tp5385 +a(g822 +V\u000a +p5386 +tp5387 +a(g822 +g970 +tp5388 +a(g822 +g970 +tp5389 +a(g740 +VGoto +p5390 +tp5391 +a(g822 +g970 +tp5392 +a(g822 +g1096 +tp5393 +a(g822 +g2250 +tp5394 +a(g822 +V\u000a +p5395 +tp5396 +a(g592 +g1096 +tp5397 +a(g701 +g2260 +tp5398 +a(g822 +V\u000a +p5399 +tp5400 +a(g822 +g970 +tp5401 +a(g822 +g970 +tp5402 +a(g740 +VSectionSetText +p5403 +tp5404 +a(g822 +g970 +tp5405 +a(g781 +V${TESTIDX} +p5406 +tp5407 +a(g822 +g970 +tp5408 +a(g152 +g1007 +tp5409 +a(g152 +g1094 +tp5410 +a(g152 +g1096 +tp5411 +a(g152 +g1012 +tp5412 +a(g152 +g993 +tp5413 +a(g152 +g1091 +tp5414 +a(g152 +g1135 +tp5415 +a(g152 +g1057 +tp5416 +a(g152 +g1096 +tp5417 +a(g152 +g1153 +tp5418 +a(g152 +g993 +tp5419 +a(g152 +g1085 +tp5420 +a(g152 +g1133 +tp5421 +a(g152 +g1135 +tp5422 +a(g84 +g1007 +tp5423 +a(g822 +V\u000a +p5424 +tp5425 +a(g592 +Ve2 +p5426 +tp5427 +a(g701 +g2260 +tp5428 +a(g822 +V\u000a +p5429 +tp5430 +a(g822 +V\u000a +p5431 +tp5432 +a(g740 +VFunctionEnd +p5433 +tp5434 +a(g822 +V\u000a +p5435 +tp5436 +a(g822 +V\u000a +p5437 +tp5438 +a(g7 +V;--------------------------------\u000a +p5439 +tp5440 +a(g822 +V\u000a +p5441 +tp5442 +a(g7 +V; Uninstaller\u000a +p5443 +tp5444 +a(g822 +V\u000a +p5445 +tp5446 +a(g740 +VUninstallText +p5447 +tp5448 +a(g822 +g970 +tp5449 +a(g152 +g1007 +tp5450 +a(g152 +g1094 +tp5451 +a(g152 +g1150 +tp5452 +a(g152 +g1085 +tp5453 +a(g152 +g1098 +tp5454 +a(g152 +g970 +tp5455 +a(g152 +g1335 +tp5456 +a(g152 +g1085 +tp5457 +a(g152 +g1177 +tp5458 +a(g152 +g1177 +tp5459 +a(g152 +g970 +tp5460 +a(g152 +g1009 +tp5461 +a(g152 +g1135 +tp5462 +a(g152 +g1085 +tp5463 +a(g152 +g1135 +tp5464 +a(g152 +g1098 +tp5465 +a(g152 +g993 +tp5466 +a(g152 +g1003 +tp5467 +a(g152 +g1177 +tp5468 +a(g152 +g1177 +tp5469 +a(g152 +g970 +tp5470 +a(g152 +g1096 +tp5471 +a(g152 +g1012 +tp5472 +a(g152 +g1003 +tp5473 +a(g152 +g995 +tp5474 +a(g152 +g997 +tp5475 +a(g152 +g1177 +tp5476 +a(g152 +g1096 +tp5477 +a(g152 +g2250 +tp5478 +a(g152 +g999 +tp5479 +a(g152 +g970 +tp5480 +a(g152 +g972 +tp5481 +a(g152 +g1085 +tp5482 +a(g152 +g993 +tp5483 +a(g152 +g970 +tp5484 +a(g152 +g1135 +tp5485 +a(g152 +g1096 +tp5486 +a(g152 +g1012 +tp5487 +a(g152 +g993 +tp5488 +a(g152 +g970 +tp5489 +a(g152 +g993 +tp5490 +a(g152 +g1133 +tp5491 +a(g152 +g970 +tp5492 +a(g152 +g1153 +tp5493 +a(g152 +g1133 +tp5494 +a(g152 +g1135 +tp5495 +a(g152 +g993 +tp5496 +a(g152 +g1085 +tp5497 +a(g152 +g1135 +tp5498 +a(g152 +g1009 +tp5499 +a(g152 +g1096 +tp5500 +a(g152 +g999 +tp5501 +a(g84 +g1007 +tp5502 +a(g822 +V\u000a +p5503 +tp5504 +a(g740 +VUninstallIcon +p5505 +tp5506 +a(g822 +g970 +tp5507 +a(g152 +g1007 +tp5508 +a(g677 +V${NSISDIR} +p5509 +tp5510 +a(g152 +V\u005c +p5511 +tp5512 +a(g152 +g1048 +tp5513 +a(g152 +g1133 +tp5514 +a(g152 +g1135 +tp5515 +a(g152 +g993 +tp5516 +a(g152 +g1138 +tp5517 +a(g152 +g1085 +tp5518 +a(g152 +g1141 +tp5519 +a(g152 +V\u005c +p5520 +tp5521 +a(g152 +g1145 +tp5522 +a(g152 +g1138 +tp5523 +a(g152 +g1003 +tp5524 +a(g152 +g997 +tp5525 +a(g152 +g1150 +tp5526 +a(g152 +g1085 +tp5527 +a(g152 +g1153 +tp5528 +a(g152 +g1098 +tp5529 +a(g152 +V\u005c +p5530 +tp5531 +a(g152 +g1091 +tp5532 +a(g152 +g1153 +tp5533 +a(g152 +g1133 +tp5534 +a(g152 +g1135 +tp5535 +a(g152 +g1098 +tp5536 +a(g152 +V\u005c +p5537 +tp5538 +a(g152 +g1135 +tp5539 +a(g152 +g1098 +tp5540 +a(g152 +g1085 +tp5541 +a(g152 +g1098 +tp5542 +a(g152 +g1169 +tp5543 +a(g152 +g1020 +tp5544 +a(g152 +g1009 +tp5545 +a(g152 +g1135 +tp5546 +a(g152 +g1085 +tp5547 +a(g152 +g1135 +tp5548 +a(g152 +g1098 +tp5549 +a(g152 +g993 +tp5550 +a(g152 +g1003 +tp5551 +a(g152 +g1177 +tp5552 +a(g152 +g1177 +tp5553 +a(g152 +g999 +tp5554 +a(g152 +g1085 +tp5555 +a(g152 +g1153 +tp5556 +a(g152 +g1133 +tp5557 +a(g84 +g1007 +tp5558 +a(g822 +V\u000a +p5559 +tp5560 +a(g822 +V\u000a +p5561 +tp5562 +a(g740 +VSection +p5563 +tp5564 +a(g822 +g970 +tp5565 +a(g152 +g1007 +tp5566 +a(g152 +g982 +tp5567 +a(g152 +g1135 +tp5568 +a(g152 +g1085 +tp5569 +a(g152 +g1135 +tp5570 +a(g152 +g1098 +tp5571 +a(g152 +g993 +tp5572 +a(g152 +g1003 +tp5573 +a(g152 +g1177 +tp5574 +a(g152 +g1177 +tp5575 +a(g84 +g1007 +tp5576 +a(g822 +V\u000a +p5577 +tp5578 +a(g822 +V\u000a +p5579 +tp5580 +a(g822 +g970 +tp5581 +a(g822 +g970 +tp5582 +a(g740 +VDeleteRegKey +p5583 +tp5584 +a(g822 +g970 +tp5585 +a(g561 +VHKLM +p5586 +tp5587 +a(g822 +g970 +tp5588 +a(g152 +g1007 +tp5589 +a(g152 +g1057 +tp5590 +a(g152 +g1133 +tp5591 +a(g152 +g1332 +tp5592 +a(g152 +g993 +tp5593 +a(g152 +g1335 +tp5594 +a(g152 +g1003 +tp5595 +a(g152 +g1138 +tp5596 +a(g152 +g1096 +tp5597 +a(g152 +V\u005c +p5598 +tp5599 +a(g152 +g1051 +tp5600 +a(g152 +g1085 +tp5601 +a(g152 +g1153 +tp5602 +a(g152 +g1138 +tp5603 +a(g152 +g1133 +tp5604 +a(g152 +g1098 +tp5605 +a(g152 +g1133 +tp5606 +a(g152 +g1332 +tp5607 +a(g152 +g993 +tp5608 +a(g152 +V\u005c +p5609 +tp5610 +a(g152 +g1834 +tp5611 +a(g152 +g1085 +tp5612 +a(g152 +g1135 +tp5613 +a(g152 +g1001 +tp5614 +a(g152 +g1133 +tp5615 +a(g152 +g1335 +tp5616 +a(g152 +g1098 +tp5617 +a(g152 +V\u005c +p5618 +tp5619 +a(g152 +g1048 +tp5620 +a(g152 +g1009 +tp5621 +a(g152 +g1138 +tp5622 +a(g152 +g1138 +tp5623 +a(g152 +g1096 +tp5624 +a(g152 +g1135 +tp5625 +a(g152 +g993 +tp5626 +a(g152 +g976 +tp5627 +a(g152 +g1096 +tp5628 +a(g152 +g1138 +tp5629 +a(g152 +g1098 +tp5630 +a(g152 +g1085 +tp5631 +a(g152 +g1133 +tp5632 +a(g152 +g1135 +tp5633 +a(g152 +V\u005c +p5634 +tp5635 +a(g152 +g982 +tp5636 +a(g152 +g1135 +tp5637 +a(g152 +g1085 +tp5638 +a(g152 +g1135 +tp5639 +a(g152 +g1098 +tp5640 +a(g152 +g993 +tp5641 +a(g152 +g1003 +tp5642 +a(g152 +g1177 +tp5643 +a(g152 +g1177 +tp5644 +a(g152 +V\u005c +p5645 +tp5646 +a(g152 +g1083 +tp5647 +a(g152 +g1085 +tp5648 +a(g152 +g1087 +tp5649 +a(g152 +g1044 +tp5650 +a(g152 +g1057 +tp5651 +a(g152 +g1091 +tp5652 +a(g152 +g1057 +tp5653 +a(g152 +g1094 +tp5654 +a(g152 +g1096 +tp5655 +a(g152 +g1098 +tp5656 +a(g152 +g993 +tp5657 +a(g84 +g1007 +tp5658 +a(g822 +V\u000a +p5659 +tp5660 +a(g822 +g970 +tp5661 +a(g822 +g970 +tp5662 +a(g740 +VDeleteRegKey +p5663 +tp5664 +a(g822 +g970 +tp5665 +a(g561 +VHKLM +p5666 +tp5667 +a(g822 +g970 +tp5668 +a(g152 +g1007 +tp5669 +a(g152 +g1057 +tp5670 +a(g152 +g1046 +tp5671 +a(g152 +g1254 +tp5672 +a(g152 +g1094 +tp5673 +a(g152 +g1834 +tp5674 +a(g152 +g974 +tp5675 +a(g152 +g1054 +tp5676 +a(g152 +g978 +tp5677 +a(g152 +V\u005c +p5678 +tp5679 +a(g152 +g1044 +tp5680 +a(g152 +g1057 +tp5681 +a(g152 +g1091 +tp5682 +a(g152 +g1057 +tp5683 +a(g152 +g1094 +tp5684 +a(g152 +g1096 +tp5685 +a(g152 +g1098 +tp5686 +a(g152 +g993 +tp5687 +a(g152 +V\u005c +p5688 +tp5689 +a(g152 +g1083 +tp5690 +a(g152 +g1085 +tp5691 +a(g152 +g1087 +tp5692 +a(g152 +g1044 +tp5693 +a(g152 +g1057 +tp5694 +a(g152 +g1091 +tp5695 +a(g152 +g1057 +tp5696 +a(g152 +g1094 +tp5697 +a(g152 +g1096 +tp5698 +a(g152 +g1098 +tp5699 +a(g152 +g993 +tp5700 +a(g84 +g1007 +tp5701 +a(g822 +V\u000a +p5702 +tp5703 +a(g822 +g970 +tp5704 +a(g822 +g970 +tp5705 +a(g740 +VDelete +p5706 +tp5707 +a(g822 +g970 +tp5708 +a(g152 +g1007 +tp5709 +a(g465 +V$INSTDIR +p5710 +tp5711 +a(g152 +V\u005c +p5712 +tp5713 +a(g152 +g1098 +tp5714 +a(g152 +g1085 +tp5715 +a(g152 +g1177 +tp5716 +a(g152 +g1096 +tp5717 +a(g152 +g1135 +tp5718 +a(g152 +g993 +tp5719 +a(g152 +g999 +tp5720 +a(g152 +g1135 +tp5721 +a(g152 +g1098 +tp5722 +a(g152 +g1085 +tp5723 +a(g84 +g1007 +tp5724 +a(g822 +V\u000a +p5725 +tp5726 +a(g822 +g970 +tp5727 +a(g822 +g970 +tp5728 +a(g740 +VDelete +p5729 +tp5730 +a(g822 +g970 +tp5731 +a(g152 +g1007 +tp5732 +a(g465 +V$INSTDIR +p5733 +tp5734 +a(g152 +V\u005c +p5735 +tp5736 +a(g152 +g2297 +tp5737 +a(g152 +g1133 +tp5738 +a(g152 +g1087 +tp5739 +a(g152 +g1085 +tp5740 +a(g152 +g1153 +tp5741 +a(g152 +g2297 +tp5742 +a(g152 +g1085 +tp5743 +a(g152 +g1141 +tp5744 +a(g152 +g999 +tp5745 +a(g152 +g1135 +tp5746 +a(g152 +g1098 +tp5747 +a(g152 +g1085 +tp5748 +a(g84 +g1007 +tp5749 +a(g822 +V\u000a +p5750 +tp5751 +a(g822 +g970 +tp5752 +a(g822 +g970 +tp5753 +a(g740 +VDelete +p5754 +tp5755 +a(g822 +g970 +tp5756 +a(g152 +g1007 +tp5757 +a(g465 +V$INSTDIR +p5758 +tp5759 +a(g152 +V\u005c +p5760 +tp5761 +a(g152 +g1141 +tp5762 +a(g152 +g993 +tp5763 +a(g152 +g1020 +tp5764 +a(g152 +g1009 +tp5765 +a(g152 +g1135 +tp5766 +a(g152 +g1085 +tp5767 +a(g152 +g1135 +tp5768 +a(g152 +g1098 +tp5769 +a(g152 +g993 +tp5770 +a(g152 +g999 +tp5771 +a(g152 +g1096 +tp5772 +a(g152 +g1012 +tp5773 +a(g152 +g1096 +tp5774 +a(g84 +g1007 +tp5775 +a(g822 +V\u000a +p5776 +tp5777 +a(g822 +g970 +tp5778 +a(g822 +g970 +tp5779 +a(g740 +VDelete +p5780 +tp5781 +a(g822 +g970 +tp5782 +a(g152 +g1007 +tp5783 +a(g465 +V$INSTDIR +p5784 +tp5785 +a(g152 +V\u005c +p5786 +tp5787 +a(g152 +g993 +tp5788 +a(g152 +g1096 +tp5789 +a(g152 +g1098 +tp5790 +a(g152 +g993 +tp5791 +a(g152 +g999 +tp5792 +a(g152 +g1085 +tp5793 +a(g152 +g1135 +tp5794 +a(g152 +g1085 +tp5795 +a(g84 +g1007 +tp5796 +a(g822 +V\u000a +p5797 +tp5798 +a(g822 +g970 +tp5799 +a(g822 +g970 +tp5800 +a(g740 +VDelete +p5801 +tp5802 +a(g822 +g970 +tp5803 +a(g152 +g1007 +tp5804 +a(g677 +V$SMPROGRAMS +p5805 +tp5806 +a(g152 +V\u005c +p5807 +tp5808 +a(g152 +g1083 +tp5809 +a(g152 +g1085 +tp5810 +a(g152 +g1087 +tp5811 +a(g152 +g970 +tp5812 +a(g152 +g1044 +tp5813 +a(g152 +g1057 +tp5814 +a(g152 +g1091 +tp5815 +a(g152 +g1057 +tp5816 +a(g152 +g970 +tp5817 +a(g152 +g1094 +tp5818 +a(g152 +g1096 +tp5819 +a(g152 +g1098 +tp5820 +a(g152 +g993 +tp5821 +a(g152 +V\u005c +p5822 +tp5823 +a(g152 +g4504 +tp5824 +a(g152 +g999 +tp5825 +a(g152 +g4504 +tp5826 +a(g84 +g1007 +tp5827 +a(g822 +V\u000a +p5828 +tp5829 +a(g822 +g970 +tp5830 +a(g822 +g970 +tp5831 +a(g740 +VRMDir +p5832 +tp5833 +a(g822 +g970 +tp5834 +a(g152 +g1007 +tp5835 +a(g677 +V$SMPROGRAMS +p5836 +tp5837 +a(g152 +V\u005c +p5838 +tp5839 +a(g152 +g1083 +tp5840 +a(g152 +g1085 +tp5841 +a(g152 +g1145 +tp5842 +a(g152 +g970 +tp5843 +a(g152 +g1044 +tp5844 +a(g152 +g1057 +tp5845 +a(g152 +g1091 +tp5846 +a(g152 +g1057 +tp5847 +a(g152 +g970 +tp5848 +a(g152 +g1094 +tp5849 +a(g152 +g1096 +tp5850 +a(g152 +g1098 +tp5851 +a(g152 +g993 +tp5852 +a(g84 +g1007 +tp5853 +a(g822 +V\u000a +p5854 +tp5855 +a(g822 +g970 +tp5856 +a(g822 +g970 +tp5857 +a(g822 +V\u000a +p5858 +tp5859 +a(g822 +g970 +tp5860 +a(g822 +g970 +tp5861 +a(g740 +VMessageBox +p5862 +tp5863 +a(g822 +g970 +tp5864 +a(g561 +VMB_YESNO +p5865 +tp5866 +a(g408 +g3790 +tp5867 +a(g561 +VMB_ICONQUESTION +p5868 +tp5869 +a(g822 +g970 +tp5870 +a(g152 +g1007 +tp5871 +a(g152 +g1834 +tp5872 +a(g152 +g1133 +tp5873 +a(g152 +g1009 +tp5874 +a(g152 +g1177 +tp5875 +a(g152 +g1001 +tp5876 +a(g152 +g970 +tp5877 +a(g152 +g1558 +tp5878 +a(g152 +g1133 +tp5879 +a(g152 +g1009 +tp5880 +a(g152 +g970 +tp5881 +a(g152 +g1177 +tp5882 +a(g152 +g1085 +tp5883 +a(g152 +g1414 +tp5884 +a(g152 +g1096 +tp5885 +a(g152 +g970 +tp5886 +a(g152 +g993 +tp5887 +a(g152 +g1133 +tp5888 +a(g152 +g970 +tp5889 +a(g152 +g1138 +tp5890 +a(g152 +g1096 +tp5891 +a(g152 +g995 +tp5892 +a(g152 +g1133 +tp5893 +a(g152 +g2000 +tp5894 +a(g152 +g1096 +tp5895 +a(g152 +g970 +tp5896 +a(g152 +g993 +tp5897 +a(g152 +g1150 +tp5898 +a(g152 +g1096 +tp5899 +a(g152 +g970 +tp5900 +a(g152 +g1001 +tp5901 +a(g152 +g1085 +tp5902 +a(g152 +g1138 +tp5903 +a(g152 +g1096 +tp5904 +a(g152 +g1153 +tp5905 +a(g152 +g993 +tp5906 +a(g152 +g1133 +tp5907 +a(g152 +g1138 +tp5908 +a(g152 +g1558 +tp5909 +a(g152 +g970 +tp5910 +a(g465 +V$INSTDIR +p5911 +tp5912 +a(g152 +V\u005c +p5913 +tp5914 +a(g152 +g1153 +tp5915 +a(g152 +g997 +tp5916 +a(g152 +g1001 +tp5917 +a(g152 +g1096 +tp5918 +a(g152 +g1098 +tp5919 +a(g152 +g993 +tp5920 +a(g152 +g2436 +tp5921 +a(g84 +g1007 +tp5922 +a(g822 +g970 +tp5923 +a(g561 +VIDNO +p5924 +tp5925 +a(g822 +g970 +tp5926 +a(g822 +g1044 +tp5927 +a(g822 +g1133 +tp5928 +a(g822 +g1374 +tp5929 +a(g822 +g1096 +tp5930 +a(g822 +g1177 +tp5931 +a(g822 +g1096 +tp5932 +a(g822 +g993 +tp5933 +a(g822 +g1096 +tp5934 +a(g822 +V\u000a +p5935 +tp5936 +a(g822 +g970 +tp5937 +a(g822 +g970 +tp5938 +a(g822 +g970 +tp5939 +a(g822 +g970 +tp5940 +a(g740 +VDelete +p5941 +tp5942 +a(g822 +g970 +tp5943 +a(g152 +g1007 +tp5944 +a(g465 +V$INSTDIR +p5945 +tp5946 +a(g152 +V\u005c +p5947 +tp5948 +a(g152 +g1153 +tp5949 +a(g152 +g997 +tp5950 +a(g152 +g1001 +tp5951 +a(g152 +g1096 +tp5952 +a(g152 +g1098 +tp5953 +a(g152 +g993 +tp5954 +a(g152 +V\u005c +p5955 +tp5956 +a(g152 +g4504 +tp5957 +a(g152 +g999 +tp5958 +a(g152 +g4504 +tp5959 +a(g84 +g1007 +tp5960 +a(g822 +V\u000a +p5961 +tp5962 +a(g822 +g970 +tp5963 +a(g822 +g970 +tp5964 +a(g822 +g970 +tp5965 +a(g822 +g970 +tp5966 +a(g740 +VRMDir +p5967 +tp5968 +a(g822 +g970 +tp5969 +a(g152 +g1007 +tp5970 +a(g465 +V$INSTDIR +p5971 +tp5972 +a(g152 +V\u005c +p5973 +tp5974 +a(g152 +g1153 +tp5975 +a(g152 +g997 +tp5976 +a(g152 +g1001 +tp5977 +a(g152 +g1096 +tp5978 +a(g152 +g1098 +tp5979 +a(g152 +g993 +tp5980 +a(g84 +g1007 +tp5981 +a(g822 +g970 +tp5982 +a(g7 +V; skipped if no\u000a +p5983 +tp5984 +a(g822 +g970 +tp5985 +a(g822 +g970 +tp5986 +a(g592 +VNoDelete +p5987 +tp5988 +a(g701 +g2260 +tp5989 +a(g822 +V\u000a +p5990 +tp5991 +a(g822 +g970 +tp5992 +a(g822 +g970 +tp5993 +a(g822 +V\u000a +p5994 +tp5995 +a(g822 +g970 +tp5996 +a(g822 +g970 +tp5997 +a(g740 +VRMDir +p5998 +tp5999 +a(g822 +g970 +tp6000 +a(g152 +g1007 +tp6001 +a(g465 +V$INSTDIR +p6002 +tp6003 +a(g152 +V\u005c +p6004 +tp6005 +a(g152 +g1051 +tp6006 +a(g152 +g1558 +tp6007 +a(g152 +g984 +tp6008 +a(g152 +g1138 +tp6009 +a(g152 +g1133 +tp6010 +a(g152 +g2161 +tp6011 +a(g152 +g1096 +tp6012 +a(g152 +g1153 +tp6013 +a(g152 +g993 +tp6014 +a(g152 +g1254 +tp6015 +a(g152 +g1003 +tp6016 +a(g152 +g995 +tp6017 +a(g152 +g1085 +tp6018 +a(g152 +g1177 +tp6019 +a(g152 +g1558 +tp6020 +a(g152 +V\u005c +p6021 +tp6022 +a(g152 +g1051 +tp6023 +a(g152 +g1558 +tp6024 +a(g152 +g984 +tp6025 +a(g152 +g1138 +tp6026 +a(g152 +g1133 +tp6027 +a(g152 +g2161 +tp6028 +a(g152 +g1096 +tp6029 +a(g152 +g1153 +tp6030 +a(g152 +g993 +tp6031 +a(g84 +g1007 +tp6032 +a(g822 +V\u000a +p6033 +tp6034 +a(g822 +g970 +tp6035 +a(g822 +g970 +tp6036 +a(g740 +VRMDir +p6037 +tp6038 +a(g822 +g970 +tp6039 +a(g152 +g1007 +tp6040 +a(g465 +V$INSTDIR +p6041 +tp6042 +a(g152 +V\u005c +p6043 +tp6044 +a(g152 +g1051 +tp6045 +a(g152 +g1558 +tp6046 +a(g152 +g984 +tp6047 +a(g152 +g1138 +tp6048 +a(g152 +g1133 +tp6049 +a(g152 +g2161 +tp6050 +a(g152 +g1096 +tp6051 +a(g152 +g1153 +tp6052 +a(g152 +g993 +tp6053 +a(g152 +g1254 +tp6054 +a(g152 +g1003 +tp6055 +a(g152 +g995 +tp6056 +a(g152 +g1085 +tp6057 +a(g152 +g1177 +tp6058 +a(g152 +g1558 +tp6059 +a(g84 +g1007 +tp6060 +a(g822 +V\u000a +p6061 +tp6062 +a(g822 +g970 +tp6063 +a(g822 +g970 +tp6064 +a(g740 +VRMDir +p6065 +tp6066 +a(g822 +g970 +tp6067 +a(g152 +g1007 +tp6068 +a(g465 +V$INSTDIR +p6069 +tp6070 +a(g84 +g1007 +tp6071 +a(g822 +V\u000a +p6072 +tp6073 +a(g822 +V\u000a +p6074 +tp6075 +a(g822 +g970 +tp6076 +a(g822 +g970 +tp6077 +a(g740 +VIfFileExists +p6078 +tp6079 +a(g822 +g970 +tp6080 +a(g152 +g1007 +tp6081 +a(g465 +V$INSTDIR +p6082 +tp6083 +a(g84 +g1007 +tp6084 +a(g822 +g970 +tp6085 +a(g822 +g1238 +tp6086 +a(g822 +g970 +tp6087 +a(g822 +g1044 +tp6088 +a(g822 +g1133 +tp6089 +a(g822 +g978 +tp6090 +a(g822 +g1138 +tp6091 +a(g822 +g1138 +tp6092 +a(g822 +g1133 +tp6093 +a(g822 +g1138 +tp6094 +a(g822 +g1051 +tp6095 +a(g822 +g1098 +tp6096 +a(g822 +g1087 +tp6097 +a(g822 +V\u000a +p6098 +tp6099 +a(g822 +g970 +tp6100 +a(g822 +g970 +tp6101 +a(g822 +g970 +tp6102 +a(g822 +g970 +tp6103 +a(g740 +VMessageBox +p6104 +tp6105 +a(g822 +g970 +tp6106 +a(g561 +VMB_OK +p6107 +tp6108 +a(g822 +g970 +tp6109 +a(g152 +g1007 +tp6110 +a(g152 +g1044 +tp6111 +a(g152 +g1133 +tp6112 +a(g152 +g993 +tp6113 +a(g152 +g1096 +tp6114 +a(g152 +g2260 +tp6115 +a(g152 +g970 +tp6116 +a(g465 +V$INSTDIR +p6117 +tp6118 +a(g152 +g970 +tp6119 +a(g152 +g1153 +tp6120 +a(g152 +g1133 +tp6121 +a(g152 +g1009 +tp6122 +a(g152 +g1177 +tp6123 +a(g152 +g1001 +tp6124 +a(g152 +g970 +tp6125 +a(g152 +g1135 +tp6126 +a(g152 +g1133 +tp6127 +a(g152 +g993 +tp6128 +a(g152 +g970 +tp6129 +a(g152 +g1141 +tp6130 +a(g152 +g1096 +tp6131 +a(g152 +g970 +tp6132 +a(g152 +g1138 +tp6133 +a(g152 +g1096 +tp6134 +a(g152 +g995 +tp6135 +a(g152 +g1133 +tp6136 +a(g152 +g2000 +tp6137 +a(g152 +g1096 +tp6138 +a(g152 +g1001 +tp6139 +a(g152 +V! +p6140 +tp6141 +a(g84 +g1007 +tp6142 +a(g822 +g970 +tp6143 +a(g561 +VIDOK +p6144 +tp6145 +a(g822 +g970 +tp6146 +a(g822 +g1238 +tp6147 +a(g822 +g970 +tp6148 +a(g7 +V; skipped if file doesn't exist\u000a +p6149 +tp6150 +a(g822 +g970 +tp6151 +a(g822 +g970 +tp6152 +a(g592 +VNoErrorMsg +p6153 +tp6154 +a(g701 +g2260 +tp6155 +a(g822 +V\u000a +p6156 +tp6157 +a(g822 +V\u000a +p6158 +tp6159 +a(g740 +VSectionEnd +p6160 +tp6161 +a(g822 +V\u000a +p6162 +tp6163 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/bnf_example1.bnf b/tests/examplefiles/output/bnf_example1.bnf new file mode 100644 index 0000000..b7e3ad4 --- /dev/null +++ b/tests/examplefiles/output/bnf_example1.bnf @@ -0,0 +1,2780 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV; This examples from WikiPedia +p956 +tp957 +a(g709 +V< +p958 +tp959 +a(g632 +Vhttps://en.wikipedia.org/wiki/Backus%E2%80%93Naur_Form +p960 +tp961 +a(g709 +V> +p962 +tp963 +a(g7 +V.\u000a\u000a +p964 +tp965 +a(g709 +g958 +tp966 +a(g632 +Vpostal-address +p967 +tp968 +a(g709 +g962 +tp969 +a(g7 +V +p970 +tp971 +a(g416 +V::= +p972 +tp973 +a(g7 +g970 +tp974 +a(g709 +g958 +tp975 +a(g632 +Vname-part +p976 +tp977 +a(g709 +g962 +tp978 +a(g7 +g970 +tp979 +a(g709 +g958 +tp980 +a(g632 +Vstreet-address +p981 +tp982 +a(g709 +g962 +tp983 +a(g7 +g970 +tp984 +a(g709 +g958 +tp985 +a(g632 +Vzip-part +p986 +tp987 +a(g709 +g962 +tp988 +a(g7 +V\u000a\u000a +p989 +tp990 +a(g709 +g958 +tp991 +a(g632 +Vname-part +p992 +tp993 +a(g709 +g962 +tp994 +a(g7 +g970 +tp995 +a(g416 +V::= +p996 +tp997 +a(g7 +g970 +tp998 +a(g709 +g958 +tp999 +a(g632 +Vpersonal-part +p1000 +tp1001 +a(g709 +g962 +tp1002 +a(g7 +g970 +tp1003 +a(g709 +g958 +tp1004 +a(g632 +Vlast-name +p1005 +tp1006 +a(g709 +g962 +tp1007 +a(g7 +g970 +tp1008 +a(g709 +g958 +tp1009 +a(g632 +Vopt-suffix-part +p1010 +tp1011 +a(g709 +g962 +tp1012 +a(g7 +g970 +tp1013 +a(g709 +g958 +tp1014 +a(g632 +VEOL +p1015 +tp1016 +a(g709 +g962 +tp1017 +a(g7 +V\u000a | +p1018 +tp1019 +a(g709 +g958 +tp1020 +a(g632 +Vpersonal-part +p1021 +tp1022 +a(g709 +g962 +tp1023 +a(g7 +g970 +tp1024 +a(g709 +g958 +tp1025 +a(g632 +Vname-part +p1026 +tp1027 +a(g709 +g962 +tp1028 +a(g7 +V\u000a\u000a +p1029 +tp1030 +a(g709 +g958 +tp1031 +a(g632 +Vpersonal-part +p1032 +tp1033 +a(g709 +g962 +tp1034 +a(g7 +g970 +tp1035 +a(g416 +V::= +p1036 +tp1037 +a(g7 +g970 +tp1038 +a(g709 +g958 +tp1039 +a(g632 +Vinitial +p1040 +tp1041 +a(g709 +g962 +tp1042 +a(g7 +V "." | +p1043 +tp1044 +a(g709 +g958 +tp1045 +a(g632 +Vfirst-name +p1046 +tp1047 +a(g709 +g962 +tp1048 +a(g7 +V\u000a\u000a +p1049 +tp1050 +a(g709 +g958 +tp1051 +a(g632 +Vstreet-address +p1052 +tp1053 +a(g709 +g962 +tp1054 +a(g7 +g970 +tp1055 +a(g416 +V::= +p1056 +tp1057 +a(g7 +g970 +tp1058 +a(g709 +g958 +tp1059 +a(g632 +Vhouse-num +p1060 +tp1061 +a(g709 +g962 +tp1062 +a(g7 +g970 +tp1063 +a(g709 +g958 +tp1064 +a(g632 +Vstreet-name +p1065 +tp1066 +a(g709 +g962 +tp1067 +a(g7 +g970 +tp1068 +a(g709 +g958 +tp1069 +a(g632 +Vopt-apt-num +p1070 +tp1071 +a(g709 +g962 +tp1072 +a(g7 +g970 +tp1073 +a(g709 +g958 +tp1074 +a(g632 +VEOL +p1075 +tp1076 +a(g709 +g962 +tp1077 +a(g7 +V\u000a\u000a +p1078 +tp1079 +a(g709 +g958 +tp1080 +a(g632 +Vzip-part +p1081 +tp1082 +a(g709 +g962 +tp1083 +a(g7 +g970 +tp1084 +a(g416 +V::= +p1085 +tp1086 +a(g7 +g970 +tp1087 +a(g709 +g958 +tp1088 +a(g632 +Vtown-name +p1089 +tp1090 +a(g709 +g962 +tp1091 +a(g7 +V "," +p1092 +tp1093 +a(g709 +g958 +tp1094 +a(g632 +Vstate-code +p1095 +tp1096 +a(g709 +g962 +tp1097 +a(g7 +g970 +tp1098 +a(g709 +g958 +tp1099 +a(g632 +VZIP-code +p1100 +tp1101 +a(g709 +g962 +tp1102 +a(g7 +g970 +tp1103 +a(g709 +g958 +tp1104 +a(g632 +VEOL +p1105 +tp1106 +a(g709 +g962 +tp1107 +a(g7 +V\u000a\u000a +p1108 +tp1109 +a(g709 +g958 +tp1110 +a(g632 +Vopt-suffix-part +p1111 +tp1112 +a(g709 +g962 +tp1113 +a(g7 +g970 +tp1114 +a(g416 +V::= +p1115 +tp1116 +a(g7 +V "Sr." | "Jr." | +p1117 +tp1118 +a(g709 +g958 +tp1119 +a(g632 +Vroman-numeral +p1120 +tp1121 +a(g709 +g962 +tp1122 +a(g7 +V | ""\u000a +p1123 +tp1124 +a(g709 +g958 +tp1125 +a(g632 +Vopt-apt-num +p1126 +tp1127 +a(g709 +g962 +tp1128 +a(g7 +g970 +tp1129 +a(g416 +V::= +p1130 +tp1131 +a(g7 +g970 +tp1132 +a(g709 +g958 +tp1133 +a(g632 +Vapt-num +p1134 +tp1135 +a(g709 +g962 +tp1136 +a(g7 +V | ""\u000a +p1137 +tp1138 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/boot-9.scm b/tests/examplefiles/output/boot-9.scm new file mode 100644 index 0000000..8b64170 --- /dev/null +++ b/tests/examplefiles/output/boot-9.scm @@ -0,0 +1,37551 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;;; installed-scm-file +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g8 +V;;;; Copyright (C) 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004 Free Software Foundation, Inc. +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V;;;; +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V;;;; This program is free software; you can redistribute it and/or modify +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V;;;; it under the terms of the GNU General Public License as published by +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V;;;; the Free Software Foundation; either version 2, or (at your option) +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V;;;; any later version. +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g8 +V;;;; +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g8 +V;;;; This program is distributed in the hope that it will be useful, +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g8 +V;;;; but WITHOUT ANY WARRANTY; without even the implied warranty of +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g8 +V;;;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g8 +V;;;; GNU General Public License for more details. +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g8 +V;;;; +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g8 +V;;;; You should have received a copy of the GNU General Public License +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g8 +V;;;; along with this software; see the file COPYING. If not, write to +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g8 +V;;;; the Free Software Foundation, Inc., 59 Temple Place, Suite 330, +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g8 +V;;;; Boston, MA 02111-1307 USA +p1020 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g8 +V;;;; +p1024 +tp1025 +a(g826 +V\u000a +p1026 +tp1027 +a(g8 +V;;;; As a special exception, the Free Software Foundation gives permission +p1028 +tp1029 +a(g826 +V\u000a +p1030 +tp1031 +a(g8 +V;;;; for additional uses of the text contained in its release of GUILE. +p1032 +tp1033 +a(g826 +V\u000a +p1034 +tp1035 +a(g8 +V;;;; +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g8 +V;;;; The exception is that, if you link the GUILE library with other files +p1040 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g8 +V;;;; to produce an executable, this does not by itself cause the +p1044 +tp1045 +a(g826 +V\u000a +p1046 +tp1047 +a(g8 +V;;;; resulting executable to be covered by the GNU General Public License. +p1048 +tp1049 +a(g826 +V\u000a +p1050 +tp1051 +a(g8 +V;;;; Your use of that executable is in no way restricted on account of +p1052 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g8 +V;;;; linking the GUILE library code into it. +p1056 +tp1057 +a(g826 +V\u000a +p1058 +tp1059 +a(g8 +V;;;; +p1060 +tp1061 +a(g826 +V\u000a +p1062 +tp1063 +a(g8 +V;;;; This exception does not however invalidate any other reasons why +p1064 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g8 +V;;;; the executable file might be covered by the GNU General Public License. +p1068 +tp1069 +a(g826 +V\u000a +p1070 +tp1071 +a(g8 +V;;;; +p1072 +tp1073 +a(g826 +V\u000a +p1074 +tp1075 +a(g8 +V;;;; This exception applies only to the code released by the +p1076 +tp1077 +a(g826 +V\u000a +p1078 +tp1079 +a(g8 +V;;;; Free Software Foundation under the name GUILE. If you copy +p1080 +tp1081 +a(g826 +V\u000a +p1082 +tp1083 +a(g8 +V;;;; code from other Free Software Foundation releases into a copy of +p1084 +tp1085 +a(g826 +V\u000a +p1086 +tp1087 +a(g8 +V;;;; GUILE, as the General Public License permits, the exception does +p1088 +tp1089 +a(g826 +V\u000a +p1090 +tp1091 +a(g8 +V;;;; not apply to the code that you add in this way. To avoid misleading +p1092 +tp1093 +a(g826 +V\u000a +p1094 +tp1095 +a(g8 +V;;;; anyone as to the status of such modified files, you must delete +p1096 +tp1097 +a(g826 +V\u000a +p1098 +tp1099 +a(g8 +V;;;; this exception notice from them. +p1100 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g8 +V;;;; +p1104 +tp1105 +a(g826 +V\u000a +p1106 +tp1107 +a(g8 +V;;;; If you write modifications of your own for GUILE, it is your choice +p1108 +tp1109 +a(g826 +V\u000a +p1110 +tp1111 +a(g8 +V;;;; whether to permit this exception to apply to your modifications. +p1112 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g8 +V;;;; If you do not wish that, delete this exception notice. +p1116 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g8 +V;;;; +p1120 +tp1121 +a(g826 +V\u000a \u000a\u000a +p1122 +tp1123 +a(g8 +V;;; Commentary: +p1124 +tp1125 +a(g826 +V\u000a\u000a +p1126 +tp1127 +a(g8 +V;;; This file is the first thing loaded into Guile. It adds many mundane +p1128 +tp1129 +a(g826 +V\u000a +p1130 +tp1131 +a(g8 +V;;; definitions and a few that are interesting. +p1132 +tp1133 +a(g826 +V\u000a +p1134 +tp1135 +a(g8 +V;;; +p1136 +tp1137 +a(g826 +V\u000a +p1138 +tp1139 +a(g8 +V;;; The module system (hence the hierarchical namespace) are defined in this +p1140 +tp1141 +a(g826 +V\u000a +p1142 +tp1143 +a(g8 +V;;; file. +p1144 +tp1145 +a(g826 +V\u000a +p1146 +tp1147 +a(g8 +V;;; +p1148 +tp1149 +a(g826 +V\u000a\u000a +p1150 +tp1151 +a(g8 +V;;; Code: +p1152 +tp1153 +a(g826 +V\u000a\u000a \u000a +p1154 +tp1155 +a(g8 +V;;; {Deprecation} +p1156 +tp1157 +a(g826 +V\u000a +p1158 +tp1159 +a(g8 +V;;; +p1160 +tp1161 +a(g826 +V\u000a\u000a +p1162 +tp1163 +a(g8 +V;; We don't have macros here, but we do want to define +p1164 +tp1165 +a(g826 +V\u000a +p1166 +tp1167 +a(g8 +V;; `begin-deprecated' early. +p1168 +tp1169 +a(g826 +V\u000a\u000a +p1170 +tp1171 +a(g705 +V( +p1172 +tp1173 +a(g744 +Vdefine +p1174 +tp1175 +a(g440 +Vbegin-deprecated +p1176 +tp1177 +a(g826 +V\u000a +p1178 +tp1179 +a(g705 +g1172 +tp1180 +a(g573 +Vprocedure->memoizing-macro +p1181 +tp1182 +a(g826 +V\u000a +p1183 +tp1184 +a(g705 +g1172 +tp1185 +a(g744 +Vlambda +p1186 +tp1187 +a(g705 +g1172 +tp1188 +a(g681 +Vexp +p1189 +tp1190 +a(g440 +Venv +p1191 +tp1192 +a(g705 +V) +p1193 +tp1194 +a(g826 +V\u000a +p1195 +tp1196 +a(g705 +g1172 +tp1197 +a(g744 +Vif +p1198 +tp1199 +a(g705 +g1172 +tp1200 +a(g573 +Vinclude-deprecated-features +p1201 +tp1202 +a(g705 +g1193 +tp1203 +a(g826 +V\u000a +p1204 +tp1205 +a(g412 +V` +p1206 +tp1207 +a(g705 +g1172 +tp1208 +a(g744 +Vbegin +p1209 +tp1210 +a(g412 +V,@ +p1211 +tp1212 +a(g705 +g1172 +tp1213 +a(g681 +Vcdr +p1214 +tp1215 +a(g440 +Vexp +p1216 +tp1217 +a(g705 +g1193 +tp1218 +a(g705 +g1193 +tp1219 +a(g826 +V\u000a +p1220 +tp1221 +a(g412 +g1206 +tp1222 +a(g565 +V#f +p1223 +tp1224 +a(g705 +g1193 +tp1225 +a(g705 +g1193 +tp1226 +a(g705 +g1193 +tp1227 +a(g705 +g1193 +tp1228 +a(g826 +V\u000a\u000a \u000a +p1229 +tp1230 +a(g8 +V;;; {Features} +p1231 +tp1232 +a(g826 +V\u000a +p1233 +tp1234 +a(g8 +V;; +p1235 +tp1236 +a(g826 +V\u000a\u000a +p1237 +tp1238 +a(g705 +g1172 +tp1239 +a(g744 +Vdefine +p1240 +tp1241 +a(g705 +g1172 +tp1242 +a(g573 +Vprovide +p1243 +tp1244 +a(g826 +V +p1245 +tp1246 +a(g440 +Vsym +p1247 +tp1248 +a(g705 +g1193 +tp1249 +a(g826 +V\u000a +p1250 +tp1251 +a(g705 +g1172 +tp1252 +a(g744 +Vif +p1253 +tp1254 +a(g705 +g1172 +tp1255 +a(g681 +Vnot +p1256 +tp1257 +a(g705 +g1172 +tp1258 +a(g681 +Vmemq +p1259 +tp1260 +a(g440 +Vsym +p1261 +tp1262 +a(g826 +g1245 +tp1263 +a(g440 +V*features* +p1264 +tp1265 +a(g705 +g1193 +tp1266 +a(g705 +g1193 +tp1267 +a(g826 +V\u000a +p1268 +tp1269 +a(g705 +g1172 +tp1270 +a(g744 +Vset! +p1271 +tp1272 +a(g440 +V*features* +p1273 +tp1274 +a(g826 +g1245 +tp1275 +a(g705 +g1172 +tp1276 +a(g681 +Vcons +p1277 +tp1278 +a(g440 +Vsym +p1279 +tp1280 +a(g826 +g1245 +tp1281 +a(g440 +V*features* +p1282 +tp1283 +a(g705 +g1193 +tp1284 +a(g705 +g1193 +tp1285 +a(g705 +g1193 +tp1286 +a(g705 +g1193 +tp1287 +a(g826 +V\u000a\u000a +p1288 +tp1289 +a(g8 +V;;; Return #t iff FEATURE is available to this Guile interpreter. +p1290 +tp1291 +a(g826 +V\u000a +p1292 +tp1293 +a(g8 +V;;; In SLIB, provided? also checks to see if the module is available. +p1294 +tp1295 +a(g826 +V\u000a +p1296 +tp1297 +a(g8 +V;;; We should do that too, but don't. +p1298 +tp1299 +a(g826 +V\u000a +p1300 +tp1301 +a(g705 +g1172 +tp1302 +a(g744 +Vdefine +p1303 +tp1304 +a(g705 +g1172 +tp1305 +a(g573 +Vprovided? +p1306 +tp1307 +a(g826 +g1245 +tp1308 +a(g440 +Vfeature +p1309 +tp1310 +a(g705 +g1193 +tp1311 +a(g826 +V\u000a +p1312 +tp1313 +a(g705 +g1172 +tp1314 +a(g744 +Vand +p1315 +tp1316 +a(g705 +g1172 +tp1317 +a(g681 +Vmemq +p1318 +tp1319 +a(g440 +Vfeature +p1320 +tp1321 +a(g826 +g1245 +tp1322 +a(g440 +V*features* +p1323 +tp1324 +a(g705 +g1193 +tp1325 +a(g826 +g1245 +tp1326 +a(g565 +V#t +p1327 +tp1328 +a(g705 +g1193 +tp1329 +a(g705 +g1193 +tp1330 +a(g826 +V\u000a\u000a +p1331 +tp1332 +a(g705 +g1172 +tp1333 +a(g573 +Vbegin-deprecated +p1334 +tp1335 +a(g826 +V\u000a +p1336 +tp1337 +a(g705 +g1172 +tp1338 +a(g744 +Vdefine +p1339 +tp1340 +a(g705 +g1172 +tp1341 +a(g573 +Vfeature? +p1342 +tp1343 +a(g826 +g1245 +tp1344 +a(g440 +Vsym +p1345 +tp1346 +a(g705 +g1193 +tp1347 +a(g826 +V\u000a +p1348 +tp1349 +a(g705 +g1172 +tp1350 +a(g573 +Vissue-deprecation-warning +p1351 +tp1352 +a(g826 +V\u000a +p1353 +tp1354 +a(g89 +V"`feature?' is deprecated. Use `provided?' instead." +p1355 +tp1356 +a(g705 +g1193 +tp1357 +a(g826 +V\u000a +p1358 +tp1359 +a(g705 +g1172 +tp1360 +a(g573 +Vprovided? +p1361 +tp1362 +a(g826 +g1245 +tp1363 +a(g440 +Vsym +p1364 +tp1365 +a(g705 +g1193 +tp1366 +a(g705 +g1193 +tp1367 +a(g705 +g1193 +tp1368 +a(g826 +V\u000a\u000a +p1369 +tp1370 +a(g8 +V;;; let format alias simple-format until the more complete version is loaded +p1371 +tp1372 +a(g826 +V\u000a +p1373 +tp1374 +a(g705 +g1172 +tp1375 +a(g744 +Vdefine +p1376 +tp1377 +a(g440 +Vformat +p1378 +tp1379 +a(g826 +g1245 +tp1380 +a(g440 +Vsimple-format +p1381 +tp1382 +a(g705 +g1193 +tp1383 +a(g826 +V\u000a\u000a \u000a +p1384 +tp1385 +a(g8 +V;;; {R4RS compliance} +p1386 +tp1387 +a(g826 +V\u000a\u000a +p1388 +tp1389 +a(g705 +g1172 +tp1390 +a(g573 +Vprimitive-load-path +p1391 +tp1392 +a(g826 +g1245 +tp1393 +a(g89 +V"ice-9/r4rs.scm" +p1394 +tp1395 +a(g705 +g1193 +tp1396 +a(g826 +V\u000a\u000a \u000a +p1397 +tp1398 +a(g8 +V;;; {Simple Debugging Tools} +p1399 +tp1400 +a(g826 +V\u000a +p1401 +tp1402 +a(g8 +V;; +p1403 +tp1404 +a(g826 +V\u000a\u000a\u000a +p1405 +tp1406 +a(g8 +V;; peek takes any number of arguments, writes them to the +p1407 +tp1408 +a(g826 +V\u000a +p1409 +tp1410 +a(g8 +V;; current ouput port, and returns the last argument. +p1411 +tp1412 +a(g826 +V\u000a +p1413 +tp1414 +a(g8 +V;; It is handy to wrap around an expression to look at +p1415 +tp1416 +a(g826 +V\u000a +p1417 +tp1418 +a(g8 +V;; a value each time is evaluated, e.g.: +p1419 +tp1420 +a(g826 +V\u000a +p1421 +tp1422 +a(g8 +V;; +p1423 +tp1424 +a(g826 +V\u000a +p1425 +tp1426 +a(g8 +V;; (+ 10 (troublesome-fn)) +p1427 +tp1428 +a(g826 +V\u000a +p1429 +tp1430 +a(g8 +V;; => (+ 10 (pk 'troublesome-fn-returned (troublesome-fn))) +p1431 +tp1432 +a(g826 +V\u000a +p1433 +tp1434 +a(g8 +V;; +p1435 +tp1436 +a(g826 +V\u000a\u000a +p1437 +tp1438 +a(g705 +g1172 +tp1439 +a(g744 +Vdefine +p1440 +tp1441 +a(g705 +g1172 +tp1442 +a(g573 +Vpeek +p1443 +tp1444 +a(g826 +g1245 +tp1445 +a(g412 +V. +p1446 +tp1447 +a(g826 +g1245 +tp1448 +a(g440 +Vstuff +p1449 +tp1450 +a(g705 +g1193 +tp1451 +a(g826 +V\u000a +p1452 +tp1453 +a(g705 +g1172 +tp1454 +a(g573 +Vnewline +p1455 +tp1456 +a(g705 +g1193 +tp1457 +a(g826 +V\u000a +p1458 +tp1459 +a(g705 +g1172 +tp1460 +a(g681 +Vdisplay +p1461 +tp1462 +a(g89 +V";;; " +p1463 +tp1464 +a(g705 +g1193 +tp1465 +a(g826 +V\u000a +p1466 +tp1467 +a(g705 +g1172 +tp1468 +a(g681 +Vwrite +p1469 +tp1470 +a(g440 +Vstuff +p1471 +tp1472 +a(g705 +g1193 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g705 +g1172 +tp1476 +a(g573 +Vnewline +p1477 +tp1478 +a(g705 +g1193 +tp1479 +a(g826 +V\u000a +p1480 +tp1481 +a(g705 +g1172 +tp1482 +a(g681 +Vcar +p1483 +tp1484 +a(g705 +g1172 +tp1485 +a(g573 +Vlast-pair +p1486 +tp1487 +a(g826 +g1245 +tp1488 +a(g440 +Vstuff +p1489 +tp1490 +a(g705 +g1193 +tp1491 +a(g705 +g1193 +tp1492 +a(g705 +g1193 +tp1493 +a(g826 +V\u000a\u000a +p1494 +tp1495 +a(g705 +g1172 +tp1496 +a(g744 +Vdefine +p1497 +tp1498 +a(g440 +Vpk +p1499 +tp1500 +a(g826 +g1245 +tp1501 +a(g440 +Vpeek +p1502 +tp1503 +a(g705 +g1193 +tp1504 +a(g826 +V\u000a\u000a +p1505 +tp1506 +a(g705 +g1172 +tp1507 +a(g744 +Vdefine +p1508 +tp1509 +a(g705 +g1172 +tp1510 +a(g573 +Vwarn +p1511 +tp1512 +a(g826 +g1245 +tp1513 +a(g412 +g1446 +tp1514 +a(g826 +g1245 +tp1515 +a(g440 +Vstuff +p1516 +tp1517 +a(g705 +g1193 +tp1518 +a(g826 +V\u000a +p1519 +tp1520 +a(g705 +g1172 +tp1521 +a(g573 +Vwith-output-to-port +p1522 +tp1523 +a(g826 +g1245 +tp1524 +a(g705 +g1172 +tp1525 +a(g573 +Vcurrent-error-port +p1526 +tp1527 +a(g705 +g1193 +tp1528 +a(g826 +V\u000a +p1529 +tp1530 +a(g705 +g1172 +tp1531 +a(g744 +Vlambda +p1532 +tp1533 +a(g705 +g1172 +tp1534 +a(g705 +g1193 +tp1535 +a(g826 +V\u000a +p1536 +tp1537 +a(g705 +g1172 +tp1538 +a(g573 +Vnewline +p1539 +tp1540 +a(g705 +g1193 +tp1541 +a(g826 +V\u000a +p1542 +tp1543 +a(g705 +g1172 +tp1544 +a(g681 +Vdisplay +p1545 +tp1546 +a(g89 +V";;; WARNING " +p1547 +tp1548 +a(g705 +g1193 +tp1549 +a(g826 +V\u000a +p1550 +tp1551 +a(g705 +g1172 +tp1552 +a(g681 +Vdisplay +p1553 +tp1554 +a(g440 +Vstuff +p1555 +tp1556 +a(g705 +g1193 +tp1557 +a(g826 +V\u000a +p1558 +tp1559 +a(g705 +g1172 +tp1560 +a(g573 +Vnewline +p1561 +tp1562 +a(g705 +g1193 +tp1563 +a(g826 +V\u000a +p1564 +tp1565 +a(g705 +g1172 +tp1566 +a(g681 +Vcar +p1567 +tp1568 +a(g705 +g1172 +tp1569 +a(g573 +Vlast-pair +p1570 +tp1571 +a(g826 +g1245 +tp1572 +a(g440 +Vstuff +p1573 +tp1574 +a(g705 +g1193 +tp1575 +a(g705 +g1193 +tp1576 +a(g705 +g1193 +tp1577 +a(g705 +g1193 +tp1578 +a(g705 +g1193 +tp1579 +a(g826 +V\u000a\u000a \u000a +p1580 +tp1581 +a(g8 +V;;; {Trivial Functions} +p1582 +tp1583 +a(g826 +V\u000a +p1584 +tp1585 +a(g8 +V;;; +p1586 +tp1587 +a(g826 +V\u000a\u000a +p1588 +tp1589 +a(g705 +g1172 +tp1590 +a(g744 +Vdefine +p1591 +tp1592 +a(g705 +g1172 +tp1593 +a(g573 +Videntity +p1594 +tp1595 +a(g826 +g1245 +tp1596 +a(g440 +Vx +p1597 +tp1598 +a(g705 +g1193 +tp1599 +a(g826 +g1245 +tp1600 +a(g440 +g1597 +tp1601 +a(g705 +g1193 +tp1602 +a(g826 +V\u000a +p1603 +tp1604 +a(g705 +g1172 +tp1605 +a(g744 +Vdefine +p1606 +tp1607 +a(g705 +g1172 +tp1608 +a(g37 +V1 +p1609 +tp1610 +a(g440 +V+ +p1611 +tp1612 +a(g826 +g1245 +tp1613 +a(g440 +Vn +p1614 +tp1615 +a(g705 +g1193 +tp1616 +a(g826 +g1245 +tp1617 +a(g705 +g1172 +tp1618 +a(g681 +V+ +p1619 +tp1620 +a(g440 +g1614 +tp1621 +a(g826 +g1245 +tp1622 +a(g37 +g1609 +tp1623 +a(g705 +g1193 +tp1624 +a(g705 +g1193 +tp1625 +a(g826 +V\u000a +p1626 +tp1627 +a(g705 +g1172 +tp1628 +a(g744 +Vdefine +p1629 +tp1630 +a(g705 +g1172 +tp1631 +a(g37 +g1609 +tp1632 +a(g440 +V- +p1633 +tp1634 +a(g826 +g1245 +tp1635 +a(g440 +g1614 +tp1636 +a(g705 +g1193 +tp1637 +a(g826 +g1245 +tp1638 +a(g705 +g1172 +tp1639 +a(g681 +V+ +p1640 +tp1641 +a(g440 +g1614 +tp1642 +a(g826 +g1245 +tp1643 +a(g37 +V-1 +p1644 +tp1645 +a(g705 +g1193 +tp1646 +a(g705 +g1193 +tp1647 +a(g826 +V\u000a +p1648 +tp1649 +a(g705 +g1172 +tp1650 +a(g744 +Vdefine +p1651 +tp1652 +a(g705 +g1172 +tp1653 +a(g573 +Vand=> +p1654 +tp1655 +a(g826 +g1245 +tp1656 +a(g440 +Vvalue +p1657 +tp1658 +a(g826 +g1245 +tp1659 +a(g440 +Vprocedure +p1660 +tp1661 +a(g705 +g1193 +tp1662 +a(g826 +g1245 +tp1663 +a(g705 +g1172 +tp1664 +a(g744 +Vand +p1665 +tp1666 +a(g440 +Vvalue +p1667 +tp1668 +a(g826 +g1245 +tp1669 +a(g705 +g1172 +tp1670 +a(g573 +Vprocedure +p1671 +tp1672 +a(g826 +g1245 +tp1673 +a(g440 +Vvalue +p1674 +tp1675 +a(g705 +g1193 +tp1676 +a(g705 +g1193 +tp1677 +a(g705 +g1193 +tp1678 +a(g826 +V\u000a +p1679 +tp1680 +a(g705 +g1172 +tp1681 +a(g744 +Vdefine +p1682 +tp1683 +a(g705 +g1172 +tp1684 +a(g573 +Vmake-hash-table +p1685 +tp1686 +a(g826 +g1245 +tp1687 +a(g440 +Vk +p1688 +tp1689 +a(g705 +g1193 +tp1690 +a(g826 +g1245 +tp1691 +a(g705 +g1172 +tp1692 +a(g681 +Vmake-vector +p1693 +tp1694 +a(g440 +g1688 +tp1695 +a(g826 +g1245 +tp1696 +a(g412 +V' +p1697 +tp1698 +a(g705 +g1172 +tp1699 +a(g705 +g1193 +tp1700 +a(g705 +g1193 +tp1701 +a(g705 +g1193 +tp1702 +a(g826 +V\u000a\u000a +p1703 +tp1704 +a(g705 +g1172 +tp1705 +a(g573 +Vbegin-deprecated +p1706 +tp1707 +a(g826 +V\u000a +p1708 +tp1709 +a(g705 +g1172 +tp1710 +a(g744 +Vdefine +p1711 +tp1712 +a(g705 +g1172 +tp1713 +a(g573 +Vid +p1714 +tp1715 +a(g826 +g1245 +tp1716 +a(g440 +g1597 +tp1717 +a(g705 +g1193 +tp1718 +a(g826 +V\u000a +p1719 +tp1720 +a(g705 +g1172 +tp1721 +a(g573 +Vissue-deprecation-warning +p1722 +tp1723 +a(g826 +g1245 +tp1724 +a(g89 +V"`id' is deprecated. Use `identity' instead." +p1725 +tp1726 +a(g705 +g1193 +tp1727 +a(g826 +V\u000a +p1728 +tp1729 +a(g705 +g1172 +tp1730 +a(g573 +Videntity +p1731 +tp1732 +a(g826 +g1245 +tp1733 +a(g440 +g1597 +tp1734 +a(g705 +g1193 +tp1735 +a(g705 +g1193 +tp1736 +a(g826 +V\u000a +p1737 +tp1738 +a(g705 +g1172 +tp1739 +a(g744 +Vdefine +p1740 +tp1741 +a(g705 +g1172 +tp1742 +a(g37 +V-1 +p1743 +tp1744 +a(g440 +g1611 +tp1745 +a(g826 +g1245 +tp1746 +a(g440 +g1614 +tp1747 +a(g705 +g1193 +tp1748 +a(g826 +V\u000a +p1749 +tp1750 +a(g705 +g1172 +tp1751 +a(g573 +Vissue-deprecation-warning +p1752 +tp1753 +a(g826 +g1245 +tp1754 +a(g89 +V"`-1+' is deprecated. Use `1-' instead." +p1755 +tp1756 +a(g705 +g1193 +tp1757 +a(g826 +V\u000a +p1758 +tp1759 +a(g705 +g1172 +tp1760 +a(g37 +g1609 +tp1761 +a(g440 +g1633 +tp1762 +a(g826 +g1245 +tp1763 +a(g440 +g1614 +tp1764 +a(g705 +g1193 +tp1765 +a(g705 +g1193 +tp1766 +a(g826 +V\u000a +p1767 +tp1768 +a(g705 +g1172 +tp1769 +a(g744 +Vdefine +p1770 +tp1771 +a(g705 +g1172 +tp1772 +a(g573 +Vreturn-it +p1773 +tp1774 +a(g826 +g1245 +tp1775 +a(g412 +g1446 +tp1776 +a(g826 +g1245 +tp1777 +a(g440 +Vargs +p1778 +tp1779 +a(g705 +g1193 +tp1780 +a(g826 +V\u000a +p1781 +tp1782 +a(g705 +g1172 +tp1783 +a(g573 +Vissue-deprecation-warning +p1784 +tp1785 +a(g826 +g1245 +tp1786 +a(g89 +V"`return-it' is deprecated. Use `noop' instead." +p1787 +tp1788 +a(g705 +g1193 +tp1789 +a(g826 +V\u000a +p1790 +tp1791 +a(g705 +g1172 +tp1792 +a(g681 +Vapply +p1793 +tp1794 +a(g440 +Vnoop +p1795 +tp1796 +a(g826 +g1245 +tp1797 +a(g440 +Vargs +p1798 +tp1799 +a(g705 +g1193 +tp1800 +a(g705 +g1193 +tp1801 +a(g705 +g1193 +tp1802 +a(g826 +V\u000a\u000a +p1803 +tp1804 +a(g8 +V;;; apply-to-args is functionally redundant with apply and, worse, +p1805 +tp1806 +a(g826 +V\u000a +p1807 +tp1808 +a(g8 +V;;; is less general than apply since it only takes two arguments. +p1809 +tp1810 +a(g826 +V\u000a +p1811 +tp1812 +a(g8 +V;;; +p1813 +tp1814 +a(g826 +V\u000a +p1815 +tp1816 +a(g8 +V;;; On the other hand, apply-to-args is a syntacticly convenient way to +p1817 +tp1818 +a(g826 +V\u000a +p1819 +tp1820 +a(g8 +V;;; perform binding in many circumstances when the "let" family of +p1821 +tp1822 +a(g826 +V\u000a +p1823 +tp1824 +a(g8 +V;;; of forms don't cut it. E.g.: +p1825 +tp1826 +a(g826 +V\u000a +p1827 +tp1828 +a(g8 +V;;; +p1829 +tp1830 +a(g826 +V\u000a +p1831 +tp1832 +a(g8 +V;;; (apply-to-args (return-3d-mouse-coords) +p1833 +tp1834 +a(g826 +V\u000a +p1835 +tp1836 +a(g8 +V;;; (lambda (x y z) +p1837 +tp1838 +a(g826 +V\u000a +p1839 +tp1840 +a(g8 +V;;; ...)) +p1841 +tp1842 +a(g826 +V\u000a +p1843 +tp1844 +a(g8 +V;;; +p1845 +tp1846 +a(g826 +V\u000a\u000a +p1847 +tp1848 +a(g705 +g1172 +tp1849 +a(g744 +Vdefine +p1850 +tp1851 +a(g705 +g1172 +tp1852 +a(g573 +Vapply-to-args +p1853 +tp1854 +a(g826 +g1245 +tp1855 +a(g440 +Vargs +p1856 +tp1857 +a(g826 +g1245 +tp1858 +a(g440 +Vfn +p1859 +tp1860 +a(g705 +g1193 +tp1861 +a(g826 +g1245 +tp1862 +a(g705 +g1172 +tp1863 +a(g681 +Vapply +p1864 +tp1865 +a(g440 +Vfn +p1866 +tp1867 +a(g826 +g1245 +tp1868 +a(g440 +Vargs +p1869 +tp1870 +a(g705 +g1193 +tp1871 +a(g705 +g1193 +tp1872 +a(g826 +V\u000a\u000a \u000a\u000a +p1873 +tp1874 +a(g8 +V;;; {Integer Math} +p1875 +tp1876 +a(g826 +V\u000a +p1877 +tp1878 +a(g8 +V;;; +p1879 +tp1880 +a(g826 +V\u000a\u000a +p1881 +tp1882 +a(g705 +g1172 +tp1883 +a(g744 +Vdefine +p1884 +tp1885 +a(g705 +g1172 +tp1886 +a(g573 +Vipow-by-squaring +p1887 +tp1888 +a(g826 +g1245 +tp1889 +a(g440 +g1597 +tp1890 +a(g826 +g1245 +tp1891 +a(g440 +g1688 +tp1892 +a(g826 +g1245 +tp1893 +a(g440 +Vacc +p1894 +tp1895 +a(g826 +g1245 +tp1896 +a(g440 +Vproc +p1897 +tp1898 +a(g705 +g1193 +tp1899 +a(g826 +V\u000a +p1900 +tp1901 +a(g705 +g1172 +tp1902 +a(g744 +Vcond +p1903 +tp1904 +a(g705 +g1172 +tp1905 +a(g705 +g1172 +tp1906 +a(g681 +Vzero? +p1907 +tp1908 +a(g440 +g1688 +tp1909 +a(g705 +g1193 +tp1910 +a(g826 +g1245 +tp1911 +a(g440 +Vacc +p1912 +tp1913 +a(g705 +g1193 +tp1914 +a(g826 +V\u000a +p1915 +tp1916 +a(g705 +g1172 +tp1917 +a(g705 +g1172 +tp1918 +a(g681 +V= +p1919 +tp1920 +a(g37 +g1609 +tp1921 +a(g826 +g1245 +tp1922 +a(g440 +g1688 +tp1923 +a(g705 +g1193 +tp1924 +a(g826 +g1245 +tp1925 +a(g705 +g1172 +tp1926 +a(g573 +Vproc +p1927 +tp1928 +a(g826 +g1245 +tp1929 +a(g440 +Vacc +p1930 +tp1931 +a(g826 +g1245 +tp1932 +a(g440 +g1597 +tp1933 +a(g705 +g1193 +tp1934 +a(g705 +g1193 +tp1935 +a(g826 +V\u000a +p1936 +tp1937 +a(g705 +g1172 +tp1938 +a(g744 +Velse +p1939 +tp1940 +a(g705 +g1172 +tp1941 +a(g573 +Vipow-by-squaring +p1942 +tp1943 +a(g826 +g1245 +tp1944 +a(g705 +g1172 +tp1945 +a(g573 +Vproc +p1946 +tp1947 +a(g826 +g1245 +tp1948 +a(g440 +g1597 +tp1949 +a(g826 +g1245 +tp1950 +a(g440 +g1597 +tp1951 +a(g705 +g1193 +tp1952 +a(g826 +V\u000a +p1953 +tp1954 +a(g705 +g1172 +tp1955 +a(g681 +Vquotient +p1956 +tp1957 +a(g440 +g1688 +tp1958 +a(g826 +g1245 +tp1959 +a(g37 +V2 +p1960 +tp1961 +a(g705 +g1193 +tp1962 +a(g826 +V\u000a +p1963 +tp1964 +a(g705 +g1172 +tp1965 +a(g744 +Vif +p1966 +tp1967 +a(g705 +g1172 +tp1968 +a(g681 +Veven? +p1969 +tp1970 +a(g440 +g1688 +tp1971 +a(g705 +g1193 +tp1972 +a(g826 +g1245 +tp1973 +a(g440 +Vacc +p1974 +tp1975 +a(g826 +g1245 +tp1976 +a(g705 +g1172 +tp1977 +a(g573 +Vproc +p1978 +tp1979 +a(g826 +g1245 +tp1980 +a(g440 +Vacc +p1981 +tp1982 +a(g826 +g1245 +tp1983 +a(g440 +g1597 +tp1984 +a(g705 +g1193 +tp1985 +a(g705 +g1193 +tp1986 +a(g826 +V\u000a +p1987 +tp1988 +a(g440 +Vproc +p1989 +tp1990 +a(g705 +g1193 +tp1991 +a(g705 +g1193 +tp1992 +a(g705 +g1193 +tp1993 +a(g705 +g1193 +tp1994 +a(g826 +V\u000a\u000a +p1995 +tp1996 +a(g705 +g1172 +tp1997 +a(g573 +Vbegin-deprecated +p1998 +tp1999 +a(g826 +V\u000a +p2000 +tp2001 +a(g705 +g1172 +tp2002 +a(g744 +Vdefine +p2003 +tp2004 +a(g705 +g1172 +tp2005 +a(g573 +Vstring-character-length +p2006 +tp2007 +a(g826 +g1245 +tp2008 +a(g440 +Vs +p2009 +tp2010 +a(g705 +g1193 +tp2011 +a(g826 +V\u000a +p2012 +tp2013 +a(g705 +g1172 +tp2014 +a(g573 +Vissue-deprecation-warning +p2015 +tp2016 +a(g826 +g1245 +tp2017 +a(g89 +V"`string-character-length' is deprecated. Use `string-length' instead." +p2018 +tp2019 +a(g705 +g1193 +tp2020 +a(g826 +V\u000a +p2021 +tp2022 +a(g705 +g1172 +tp2023 +a(g681 +Vstring-length +p2024 +tp2025 +a(g440 +g2009 +tp2026 +a(g705 +g1193 +tp2027 +a(g705 +g1193 +tp2028 +a(g826 +V\u000a +p2029 +tp2030 +a(g705 +g1172 +tp2031 +a(g744 +Vdefine +p2032 +tp2033 +a(g705 +g1172 +tp2034 +a(g573 +Vflags +p2035 +tp2036 +a(g826 +g1245 +tp2037 +a(g412 +g1446 +tp2038 +a(g826 +g1245 +tp2039 +a(g440 +Vargs +p2040 +tp2041 +a(g705 +g1193 +tp2042 +a(g826 +V\u000a +p2043 +tp2044 +a(g705 +g1172 +tp2045 +a(g573 +Vissue-deprecation-warning +p2046 +tp2047 +a(g826 +g1245 +tp2048 +a(g89 +V"`flags' is deprecated. Use `logior' instead." +p2049 +tp2050 +a(g705 +g1193 +tp2051 +a(g826 +V\u000a +p2052 +tp2053 +a(g705 +g1172 +tp2054 +a(g681 +Vapply +p2055 +tp2056 +a(g440 +Vlogior +p2057 +tp2058 +a(g826 +g1245 +tp2059 +a(g440 +Vargs +p2060 +tp2061 +a(g705 +g1193 +tp2062 +a(g705 +g1193 +tp2063 +a(g705 +g1193 +tp2064 +a(g826 +V\u000a\u000a \u000a +p2065 +tp2066 +a(g8 +V;;; {Symbol Properties} +p2067 +tp2068 +a(g826 +V\u000a +p2069 +tp2070 +a(g8 +V;;; +p2071 +tp2072 +a(g826 +V\u000a\u000a +p2073 +tp2074 +a(g705 +g1172 +tp2075 +a(g744 +Vdefine +p2076 +tp2077 +a(g705 +g1172 +tp2078 +a(g573 +Vsymbol-property +p2079 +tp2080 +a(g826 +g1245 +tp2081 +a(g440 +Vsym +p2082 +tp2083 +a(g826 +g1245 +tp2084 +a(g440 +Vprop +p2085 +tp2086 +a(g705 +g1193 +tp2087 +a(g826 +V\u000a +p2088 +tp2089 +a(g705 +g1172 +tp2090 +a(g744 +Vlet +p2091 +tp2092 +a(g705 +g1172 +tp2093 +a(g705 +g1172 +tp2094 +a(g573 +Vpair +p2095 +tp2096 +a(g826 +g1245 +tp2097 +a(g705 +g1172 +tp2098 +a(g681 +Vassoc +p2099 +tp2100 +a(g440 +Vprop +p2101 +tp2102 +a(g826 +g1245 +tp2103 +a(g705 +g1172 +tp2104 +a(g573 +Vsymbol-pref +p2105 +tp2106 +a(g826 +g1245 +tp2107 +a(g440 +Vsym +p2108 +tp2109 +a(g705 +g1193 +tp2110 +a(g705 +g1193 +tp2111 +a(g705 +g1193 +tp2112 +a(g705 +g1193 +tp2113 +a(g826 +V\u000a +p2114 +tp2115 +a(g705 +g1172 +tp2116 +a(g744 +Vand +p2117 +tp2118 +a(g440 +Vpair +p2119 +tp2120 +a(g826 +g1245 +tp2121 +a(g705 +g1172 +tp2122 +a(g681 +Vcdr +p2123 +tp2124 +a(g440 +Vpair +p2125 +tp2126 +a(g705 +g1193 +tp2127 +a(g705 +g1193 +tp2128 +a(g705 +g1193 +tp2129 +a(g705 +g1193 +tp2130 +a(g826 +V\u000a\u000a +p2131 +tp2132 +a(g705 +g1172 +tp2133 +a(g744 +Vdefine +p2134 +tp2135 +a(g705 +g1172 +tp2136 +a(g573 +Vset-symbol-property! +p2137 +tp2138 +a(g826 +g1245 +tp2139 +a(g440 +Vsym +p2140 +tp2141 +a(g826 +g1245 +tp2142 +a(g440 +Vprop +p2143 +tp2144 +a(g826 +g1245 +tp2145 +a(g440 +Vval +p2146 +tp2147 +a(g705 +g1193 +tp2148 +a(g826 +V\u000a +p2149 +tp2150 +a(g705 +g1172 +tp2151 +a(g744 +Vlet +p2152 +tp2153 +a(g705 +g1172 +tp2154 +a(g705 +g1172 +tp2155 +a(g573 +Vpair +p2156 +tp2157 +a(g826 +g1245 +tp2158 +a(g705 +g1172 +tp2159 +a(g681 +Vassoc +p2160 +tp2161 +a(g440 +Vprop +p2162 +tp2163 +a(g826 +g1245 +tp2164 +a(g705 +g1172 +tp2165 +a(g573 +Vsymbol-pref +p2166 +tp2167 +a(g826 +g1245 +tp2168 +a(g440 +Vsym +p2169 +tp2170 +a(g705 +g1193 +tp2171 +a(g705 +g1193 +tp2172 +a(g705 +g1193 +tp2173 +a(g705 +g1193 +tp2174 +a(g826 +V\u000a +p2175 +tp2176 +a(g705 +g1172 +tp2177 +a(g744 +Vif +p2178 +tp2179 +a(g440 +Vpair +p2180 +tp2181 +a(g826 +V\u000a +p2182 +tp2183 +a(g705 +g1172 +tp2184 +a(g681 +Vset-cdr! +p2185 +tp2186 +a(g440 +Vpair +p2187 +tp2188 +a(g826 +g1245 +tp2189 +a(g440 +Vval +p2190 +tp2191 +a(g705 +g1193 +tp2192 +a(g826 +V\u000a +p2193 +tp2194 +a(g705 +g1172 +tp2195 +a(g573 +Vsymbol-pset! +p2196 +tp2197 +a(g826 +g1245 +tp2198 +a(g440 +Vsym +p2199 +tp2200 +a(g826 +g1245 +tp2201 +a(g705 +g1172 +tp2202 +a(g573 +Vacons +p2203 +tp2204 +a(g826 +g1245 +tp2205 +a(g440 +Vprop +p2206 +tp2207 +a(g826 +g1245 +tp2208 +a(g440 +Vval +p2209 +tp2210 +a(g826 +g1245 +tp2211 +a(g705 +g1172 +tp2212 +a(g573 +Vsymbol-pref +p2213 +tp2214 +a(g826 +g1245 +tp2215 +a(g440 +Vsym +p2216 +tp2217 +a(g705 +g1193 +tp2218 +a(g705 +g1193 +tp2219 +a(g705 +g1193 +tp2220 +a(g705 +g1193 +tp2221 +a(g705 +g1193 +tp2222 +a(g705 +g1193 +tp2223 +a(g826 +V\u000a\u000a +p2224 +tp2225 +a(g705 +g1172 +tp2226 +a(g744 +Vdefine +p2227 +tp2228 +a(g705 +g1172 +tp2229 +a(g573 +Vsymbol-property-remove! +p2230 +tp2231 +a(g826 +g1245 +tp2232 +a(g440 +Vsym +p2233 +tp2234 +a(g826 +g1245 +tp2235 +a(g440 +Vprop +p2236 +tp2237 +a(g705 +g1193 +tp2238 +a(g826 +V\u000a +p2239 +tp2240 +a(g705 +g1172 +tp2241 +a(g744 +Vlet +p2242 +tp2243 +a(g705 +g1172 +tp2244 +a(g705 +g1172 +tp2245 +a(g573 +Vpair +p2246 +tp2247 +a(g826 +g1245 +tp2248 +a(g705 +g1172 +tp2249 +a(g681 +Vassoc +p2250 +tp2251 +a(g440 +Vprop +p2252 +tp2253 +a(g826 +g1245 +tp2254 +a(g705 +g1172 +tp2255 +a(g573 +Vsymbol-pref +p2256 +tp2257 +a(g826 +g1245 +tp2258 +a(g440 +Vsym +p2259 +tp2260 +a(g705 +g1193 +tp2261 +a(g705 +g1193 +tp2262 +a(g705 +g1193 +tp2263 +a(g705 +g1193 +tp2264 +a(g826 +V\u000a +p2265 +tp2266 +a(g705 +g1172 +tp2267 +a(g744 +Vif +p2268 +tp2269 +a(g440 +Vpair +p2270 +tp2271 +a(g826 +V\u000a +p2272 +tp2273 +a(g705 +g1172 +tp2274 +a(g573 +Vsymbol-pset! +p2275 +tp2276 +a(g826 +g1245 +tp2277 +a(g440 +Vsym +p2278 +tp2279 +a(g826 +g1245 +tp2280 +a(g705 +g1172 +tp2281 +a(g573 +Vdelq! +p2282 +tp2283 +a(g826 +g1245 +tp2284 +a(g440 +Vpair +p2285 +tp2286 +a(g826 +g1245 +tp2287 +a(g705 +g1172 +tp2288 +a(g573 +Vsymbol-pref +p2289 +tp2290 +a(g826 +g1245 +tp2291 +a(g440 +Vsym +p2292 +tp2293 +a(g705 +g1193 +tp2294 +a(g705 +g1193 +tp2295 +a(g705 +g1193 +tp2296 +a(g705 +g1193 +tp2297 +a(g705 +g1193 +tp2298 +a(g705 +g1193 +tp2299 +a(g826 +V\u000a\u000a +p2300 +tp2301 +a(g8 +V;;; {General Properties} +p2302 +tp2303 +a(g826 +V\u000a +p2304 +tp2305 +a(g8 +V;;; +p2306 +tp2307 +a(g826 +V\u000a\u000a +p2308 +tp2309 +a(g8 +V;; This is a more modern interface to properties. It will replace all +p2310 +tp2311 +a(g826 +V\u000a +p2312 +tp2313 +a(g8 +V;; other property-like things eventually. +p2314 +tp2315 +a(g826 +V\u000a\u000a +p2316 +tp2317 +a(g705 +g1172 +tp2318 +a(g744 +Vdefine +p2319 +tp2320 +a(g705 +g1172 +tp2321 +a(g573 +Vmake-object-property +p2322 +tp2323 +a(g705 +g1193 +tp2324 +a(g826 +V\u000a +p2325 +tp2326 +a(g705 +g1172 +tp2327 +a(g744 +Vlet +p2328 +tp2329 +a(g705 +g1172 +tp2330 +a(g705 +g1172 +tp2331 +a(g573 +Vprop +p2332 +tp2333 +a(g826 +g1245 +tp2334 +a(g705 +g1172 +tp2335 +a(g573 +Vprimitive-make-property +p2336 +tp2337 +a(g826 +g1245 +tp2338 +a(g565 +V#f +p2339 +tp2340 +a(g705 +g1193 +tp2341 +a(g705 +g1193 +tp2342 +a(g705 +g1193 +tp2343 +a(g826 +V\u000a +p2344 +tp2345 +a(g705 +g1172 +tp2346 +a(g573 +Vmake-procedure-with-setter +p2347 +tp2348 +a(g826 +V\u000a +p2349 +tp2350 +a(g705 +g1172 +tp2351 +a(g744 +Vlambda +p2352 +tp2353 +a(g705 +g1172 +tp2354 +a(g573 +Vobj +p2355 +tp2356 +a(g705 +g1193 +tp2357 +a(g826 +g1245 +tp2358 +a(g705 +g1172 +tp2359 +a(g573 +Vprimitive-property-ref +p2360 +tp2361 +a(g826 +g1245 +tp2362 +a(g440 +Vprop +p2363 +tp2364 +a(g826 +g1245 +tp2365 +a(g440 +Vobj +p2366 +tp2367 +a(g705 +g1193 +tp2368 +a(g705 +g1193 +tp2369 +a(g826 +V\u000a +p2370 +tp2371 +a(g705 +g1172 +tp2372 +a(g744 +Vlambda +p2373 +tp2374 +a(g705 +g1172 +tp2375 +a(g573 +Vobj +p2376 +tp2377 +a(g826 +g1245 +tp2378 +a(g440 +Vval +p2379 +tp2380 +a(g705 +g1193 +tp2381 +a(g826 +g1245 +tp2382 +a(g705 +g1172 +tp2383 +a(g573 +Vprimitive-property-set! +p2384 +tp2385 +a(g826 +g1245 +tp2386 +a(g440 +Vprop +p2387 +tp2388 +a(g826 +g1245 +tp2389 +a(g440 +Vobj +p2390 +tp2391 +a(g826 +g1245 +tp2392 +a(g440 +Vval +p2393 +tp2394 +a(g705 +g1193 +tp2395 +a(g705 +g1193 +tp2396 +a(g705 +g1193 +tp2397 +a(g705 +g1193 +tp2398 +a(g705 +g1193 +tp2399 +a(g826 +V\u000a\u000a \u000a\u000a +p2400 +tp2401 +a(g8 +V;;; {Arrays} +p2402 +tp2403 +a(g826 +V\u000a +p2404 +tp2405 +a(g8 +V;;; +p2406 +tp2407 +a(g826 +V\u000a\u000a +p2408 +tp2409 +a(g705 +g1172 +tp2410 +a(g744 +Vif +p2411 +tp2412 +a(g705 +g1172 +tp2413 +a(g573 +Vprovided? +p2414 +tp2415 +a(g826 +g1245 +tp2416 +a(g117 +V'array +p2417 +tp2418 +a(g705 +g1193 +tp2419 +a(g826 +V\u000a +p2420 +tp2421 +a(g705 +g1172 +tp2422 +a(g573 +Vprimitive-load-path +p2423 +tp2424 +a(g826 +g1245 +tp2425 +a(g89 +V"ice-9/arrays.scm" +p2426 +tp2427 +a(g705 +g1193 +tp2428 +a(g705 +g1193 +tp2429 +a(g826 +V\u000a\u000a \u000a +p2430 +tp2431 +a(g8 +V;;; {Keywords} +p2432 +tp2433 +a(g826 +V\u000a +p2434 +tp2435 +a(g8 +V;;; +p2436 +tp2437 +a(g826 +V\u000a\u000a +p2438 +tp2439 +a(g705 +g1172 +tp2440 +a(g744 +Vdefine +p2441 +tp2442 +a(g705 +g1172 +tp2443 +a(g573 +Vsymbol->keyword +p2444 +tp2445 +a(g826 +g1245 +tp2446 +a(g440 +Vsymbol +p2447 +tp2448 +a(g705 +g1193 +tp2449 +a(g826 +V\u000a +p2450 +tp2451 +a(g705 +g1172 +tp2452 +a(g573 +Vmake-keyword-from-dash-symbol +p2453 +tp2454 +a(g826 +g1245 +tp2455 +a(g705 +g1172 +tp2456 +a(g573 +Vsymbol-append +p2457 +tp2458 +a(g826 +g1245 +tp2459 +a(g117 +V'- +p2460 +tp2461 +a(g826 +g1245 +tp2462 +a(g440 +Vsymbol +p2463 +tp2464 +a(g705 +g1193 +tp2465 +a(g705 +g1193 +tp2466 +a(g705 +g1193 +tp2467 +a(g826 +V\u000a\u000a +p2468 +tp2469 +a(g705 +g1172 +tp2470 +a(g744 +Vdefine +p2471 +tp2472 +a(g705 +g1172 +tp2473 +a(g573 +Vkeyword->symbol +p2474 +tp2475 +a(g826 +g1245 +tp2476 +a(g440 +Vkw +p2477 +tp2478 +a(g705 +g1193 +tp2479 +a(g826 +V\u000a +p2480 +tp2481 +a(g705 +g1172 +tp2482 +a(g744 +Vlet +p2483 +tp2484 +a(g705 +g1172 +tp2485 +a(g705 +g1172 +tp2486 +a(g573 +Vsym +p2487 +tp2488 +a(g826 +g1245 +tp2489 +a(g705 +g1172 +tp2490 +a(g681 +Vsymbol->string +p2491 +tp2492 +a(g705 +g1172 +tp2493 +a(g573 +Vkeyword-dash-symbol +p2494 +tp2495 +a(g826 +g1245 +tp2496 +a(g440 +Vkw +p2497 +tp2498 +a(g705 +g1193 +tp2499 +a(g705 +g1193 +tp2500 +a(g705 +g1193 +tp2501 +a(g705 +g1193 +tp2502 +a(g826 +V\u000a +p2503 +tp2504 +a(g705 +g1172 +tp2505 +a(g681 +Vstring->symbol +p2506 +tp2507 +a(g705 +g1172 +tp2508 +a(g681 +Vsubstring +p2509 +tp2510 +a(g440 +Vsym +p2511 +tp2512 +a(g826 +g1245 +tp2513 +a(g37 +g1609 +tp2514 +a(g826 +g1245 +tp2515 +a(g705 +g1172 +tp2516 +a(g681 +Vstring-length +p2517 +tp2518 +a(g440 +Vsym +p2519 +tp2520 +a(g705 +g1193 +tp2521 +a(g705 +g1193 +tp2522 +a(g705 +g1193 +tp2523 +a(g705 +g1193 +tp2524 +a(g705 +g1193 +tp2525 +a(g826 +V\u000a\u000a +p2526 +tp2527 +a(g705 +g1172 +tp2528 +a(g744 +Vdefine +p2529 +tp2530 +a(g705 +g1172 +tp2531 +a(g573 +Vkw-arg-ref +p2532 +tp2533 +a(g826 +g1245 +tp2534 +a(g440 +Vargs +p2535 +tp2536 +a(g826 +g1245 +tp2537 +a(g440 +Vkw +p2538 +tp2539 +a(g705 +g1193 +tp2540 +a(g826 +V\u000a +p2541 +tp2542 +a(g705 +g1172 +tp2543 +a(g744 +Vlet +p2544 +tp2545 +a(g705 +g1172 +tp2546 +a(g705 +g1172 +tp2547 +a(g573 +Vrem +p2548 +tp2549 +a(g826 +g1245 +tp2550 +a(g705 +g1172 +tp2551 +a(g681 +Vmember +p2552 +tp2553 +a(g440 +Vkw +p2554 +tp2555 +a(g826 +g1245 +tp2556 +a(g440 +Vargs +p2557 +tp2558 +a(g705 +g1193 +tp2559 +a(g705 +g1193 +tp2560 +a(g705 +g1193 +tp2561 +a(g826 +V\u000a +p2562 +tp2563 +a(g705 +g1172 +tp2564 +a(g744 +Vand +p2565 +tp2566 +a(g440 +Vrem +p2567 +tp2568 +a(g826 +g1245 +tp2569 +a(g705 +g1172 +tp2570 +a(g681 +Vpair? +p2571 +tp2572 +a(g705 +g1172 +tp2573 +a(g681 +Vcdr +p2574 +tp2575 +a(g440 +Vrem +p2576 +tp2577 +a(g705 +g1193 +tp2578 +a(g705 +g1193 +tp2579 +a(g826 +g1245 +tp2580 +a(g705 +g1172 +tp2581 +a(g681 +Vcadr +p2582 +tp2583 +a(g440 +Vrem +p2584 +tp2585 +a(g705 +g1193 +tp2586 +a(g705 +g1193 +tp2587 +a(g705 +g1193 +tp2588 +a(g705 +g1193 +tp2589 +a(g826 +V\u000a\u000a \u000a\u000a +p2590 +tp2591 +a(g8 +V;;; {Structs} +p2592 +tp2593 +a(g826 +V\u000a\u000a +p2594 +tp2595 +a(g705 +g1172 +tp2596 +a(g744 +Vdefine +p2597 +tp2598 +a(g705 +g1172 +tp2599 +a(g573 +Vstruct-layout +p2600 +tp2601 +a(g826 +g1245 +tp2602 +a(g440 +g2009 +tp2603 +a(g705 +g1193 +tp2604 +a(g826 +V\u000a +p2605 +tp2606 +a(g705 +g1172 +tp2607 +a(g573 +Vstruct-ref +p2608 +tp2609 +a(g826 +g1245 +tp2610 +a(g705 +g1172 +tp2611 +a(g573 +Vstruct-vtable +p2612 +tp2613 +a(g826 +g1245 +tp2614 +a(g440 +g2009 +tp2615 +a(g705 +g1193 +tp2616 +a(g826 +g1245 +tp2617 +a(g440 +Vvtable-index-layout +p2618 +tp2619 +a(g705 +g1193 +tp2620 +a(g705 +g1193 +tp2621 +a(g826 +V\u000a\u000a \u000a\u000a +p2622 +tp2623 +a(g8 +V;;; Environments +p2624 +tp2625 +a(g826 +V\u000a\u000a +p2626 +tp2627 +a(g705 +g1172 +tp2628 +a(g744 +Vdefine +p2629 +tp2630 +a(g440 +Vthe-environment +p2631 +tp2632 +a(g826 +V\u000a +p2633 +tp2634 +a(g705 +g1172 +tp2635 +a(g573 +Vprocedure->syntax +p2636 +tp2637 +a(g826 +V\u000a +p2638 +tp2639 +a(g705 +g1172 +tp2640 +a(g744 +Vlambda +p2641 +tp2642 +a(g705 +g1172 +tp2643 +a(g573 +g1597 +tp2644 +a(g826 +g1245 +tp2645 +a(g440 +Ve +p2646 +tp2647 +a(g705 +g1193 +tp2648 +a(g826 +V\u000a +p2649 +tp2650 +a(g440 +g2646 +tp2651 +a(g705 +g1193 +tp2652 +a(g705 +g1193 +tp2653 +a(g705 +g1193 +tp2654 +a(g826 +V\u000a\u000a +p2655 +tp2656 +a(g705 +g1172 +tp2657 +a(g744 +Vdefine +p2658 +tp2659 +a(g440 +Vthe-root-environment +p2660 +tp2661 +a(g826 +g1245 +tp2662 +a(g705 +g1172 +tp2663 +a(g573 +Vthe-environment +p2664 +tp2665 +a(g705 +g1193 +tp2666 +a(g705 +g1193 +tp2667 +a(g826 +V\u000a\u000a +p2668 +tp2669 +a(g705 +g1172 +tp2670 +a(g744 +Vdefine +p2671 +tp2672 +a(g705 +g1172 +tp2673 +a(g573 +Venvironment-module +p2674 +tp2675 +a(g826 +g1245 +tp2676 +a(g440 +Venv +p2677 +tp2678 +a(g705 +g1193 +tp2679 +a(g826 +V\u000a +p2680 +tp2681 +a(g705 +g1172 +tp2682 +a(g744 +Vlet +p2683 +tp2684 +a(g705 +g1172 +tp2685 +a(g705 +g1172 +tp2686 +a(g573 +Vclosure +p2687 +tp2688 +a(g826 +g1245 +tp2689 +a(g705 +g1172 +tp2690 +a(g744 +Vand +p2691 +tp2692 +a(g705 +g1172 +tp2693 +a(g681 +Vpair? +p2694 +tp2695 +a(g440 +Venv +p2696 +tp2697 +a(g705 +g1193 +tp2698 +a(g826 +g1245 +tp2699 +a(g705 +g1172 +tp2700 +a(g681 +Vcar +p2701 +tp2702 +a(g705 +g1172 +tp2703 +a(g573 +Vlast-pair +p2704 +tp2705 +a(g826 +g1245 +tp2706 +a(g440 +Venv +p2707 +tp2708 +a(g705 +g1193 +tp2709 +a(g705 +g1193 +tp2710 +a(g705 +g1193 +tp2711 +a(g705 +g1193 +tp2712 +a(g705 +g1193 +tp2713 +a(g826 +V\u000a +p2714 +tp2715 +a(g705 +g1172 +tp2716 +a(g744 +Vand +p2717 +tp2718 +a(g440 +Vclosure +p2719 +tp2720 +a(g826 +g1245 +tp2721 +a(g705 +g1172 +tp2722 +a(g573 +Vprocedure-property +p2723 +tp2724 +a(g826 +g1245 +tp2725 +a(g440 +Vclosure +p2726 +tp2727 +a(g826 +g1245 +tp2728 +a(g117 +V'module +p2729 +tp2730 +a(g705 +g1193 +tp2731 +a(g705 +g1193 +tp2732 +a(g705 +g1193 +tp2733 +a(g705 +g1193 +tp2734 +a(g826 +V\u000a\u000a \u000a +p2735 +tp2736 +a(g8 +V;;; {Records} +p2737 +tp2738 +a(g826 +V\u000a +p2739 +tp2740 +a(g8 +V;;; +p2741 +tp2742 +a(g826 +V\u000a\u000a +p2743 +tp2744 +a(g8 +V;; Printing records: by default, records are printed as +p2745 +tp2746 +a(g826 +V\u000a +p2747 +tp2748 +a(g8 +V;; +p2749 +tp2750 +a(g826 +V\u000a +p2751 +tp2752 +a(g8 +V;; # +p2753 +tp2754 +a(g826 +V\u000a +p2755 +tp2756 +a(g8 +V;; +p2757 +tp2758 +a(g826 +V\u000a +p2759 +tp2760 +a(g8 +V;; You can change that by giving a custom printing function to +p2761 +tp2762 +a(g826 +V\u000a +p2763 +tp2764 +a(g8 +V;; MAKE-RECORD-TYPE (after the list of field symbols). This function +p2765 +tp2766 +a(g826 +V\u000a +p2767 +tp2768 +a(g8 +V;; will be called like +p2769 +tp2770 +a(g826 +V\u000a +p2771 +tp2772 +a(g8 +V;; +p2773 +tp2774 +a(g826 +V\u000a +p2775 +tp2776 +a(g8 +V;; ( object port) +p2777 +tp2778 +a(g826 +V\u000a +p2779 +tp2780 +a(g8 +V;; +p2781 +tp2782 +a(g826 +V\u000a +p2783 +tp2784 +a(g8 +V;; It should print OBJECT to PORT. +p2785 +tp2786 +a(g826 +V\u000a\u000a +p2787 +tp2788 +a(g705 +g1172 +tp2789 +a(g744 +Vdefine +p2790 +tp2791 +a(g705 +g1172 +tp2792 +a(g573 +Vinherit-print-state +p2793 +tp2794 +a(g826 +g1245 +tp2795 +a(g440 +Vold-port +p2796 +tp2797 +a(g826 +g1245 +tp2798 +a(g440 +Vnew-port +p2799 +tp2800 +a(g705 +g1193 +tp2801 +a(g826 +V\u000a +p2802 +tp2803 +a(g705 +g1172 +tp2804 +a(g744 +Vif +p2805 +tp2806 +a(g705 +g1172 +tp2807 +a(g573 +Vget-print-state +p2808 +tp2809 +a(g826 +g1245 +tp2810 +a(g440 +Vold-port +p2811 +tp2812 +a(g705 +g1193 +tp2813 +a(g826 +V\u000a +p2814 +tp2815 +a(g705 +g1172 +tp2816 +a(g573 +Vport-with-print-state +p2817 +tp2818 +a(g826 +g1245 +tp2819 +a(g440 +Vnew-port +p2820 +tp2821 +a(g826 +g1245 +tp2822 +a(g705 +g1172 +tp2823 +a(g573 +Vget-print-state +p2824 +tp2825 +a(g826 +g1245 +tp2826 +a(g440 +Vold-port +p2827 +tp2828 +a(g705 +g1193 +tp2829 +a(g705 +g1193 +tp2830 +a(g826 +V\u000a +p2831 +tp2832 +a(g440 +Vnew-port +p2833 +tp2834 +a(g705 +g1193 +tp2835 +a(g705 +g1193 +tp2836 +a(g826 +V\u000a\u000a +p2837 +tp2838 +a(g8 +V;; 0: type-name, 1: fields +p2839 +tp2840 +a(g826 +V\u000a +p2841 +tp2842 +a(g705 +g1172 +tp2843 +a(g744 +Vdefine +p2844 +tp2845 +a(g440 +Vrecord-type-vtable +p2846 +tp2847 +a(g826 +V\u000a +p2848 +tp2849 +a(g705 +g1172 +tp2850 +a(g573 +Vmake-vtable-vtable +p2851 +tp2852 +a(g826 +g1245 +tp2853 +a(g89 +V"prpr" +p2854 +tp2855 +a(g826 +g1245 +tp2856 +a(g37 +V0 +p2857 +tp2858 +a(g826 +V\u000a +p2859 +tp2860 +a(g705 +g1172 +tp2861 +a(g744 +Vlambda +p2862 +tp2863 +a(g705 +g1172 +tp2864 +a(g573 +g2009 +tp2865 +a(g826 +g1245 +tp2866 +a(g440 +Vp +p2867 +tp2868 +a(g705 +g1193 +tp2869 +a(g826 +V\u000a +p2870 +tp2871 +a(g705 +g1172 +tp2872 +a(g744 +Vcond +p2873 +tp2874 +a(g705 +g1172 +tp2875 +a(g705 +g1172 +tp2876 +a(g681 +Veq? +p2877 +tp2878 +a(g440 +g2009 +tp2879 +a(g826 +g1245 +tp2880 +a(g440 +Vrecord-type-vtable +p2881 +tp2882 +a(g705 +g1193 +tp2883 +a(g826 +V\u000a +p2884 +tp2885 +a(g705 +g1172 +tp2886 +a(g681 +Vdisplay +p2887 +tp2888 +a(g89 +V"#" +p2889 +tp2890 +a(g826 +g1245 +tp2891 +a(g440 +g2867 +tp2892 +a(g705 +g1193 +tp2893 +a(g705 +g1193 +tp2894 +a(g826 +V\u000a +p2895 +tp2896 +a(g705 +g1172 +tp2897 +a(g573 +Velse +p2898 +tp2899 +a(g826 +V\u000a +p2900 +tp2901 +a(g705 +g1172 +tp2902 +a(g681 +Vdisplay +p2903 +tp2904 +a(g89 +V"#" +p2929 +tp2930 +a(g826 +g1245 +tp2931 +a(g440 +g2867 +tp2932 +a(g705 +g1193 +tp2933 +a(g705 +g1193 +tp2934 +a(g705 +g1193 +tp2935 +a(g705 +g1193 +tp2936 +a(g705 +g1193 +tp2937 +a(g705 +g1193 +tp2938 +a(g826 +V\u000a\u000a +p2939 +tp2940 +a(g705 +g1172 +tp2941 +a(g744 +Vdefine +p2942 +tp2943 +a(g705 +g1172 +tp2944 +a(g573 +Vrecord-type? +p2945 +tp2946 +a(g826 +g1245 +tp2947 +a(g440 +Vobj +p2948 +tp2949 +a(g705 +g1193 +tp2950 +a(g826 +V\u000a +p2951 +tp2952 +a(g705 +g1172 +tp2953 +a(g744 +Vand +p2954 +tp2955 +a(g705 +g1172 +tp2956 +a(g573 +Vstruct? +p2957 +tp2958 +a(g826 +g1245 +tp2959 +a(g440 +Vobj +p2960 +tp2961 +a(g705 +g1193 +tp2962 +a(g826 +g1245 +tp2963 +a(g705 +g1172 +tp2964 +a(g681 +Veq? +p2965 +tp2966 +a(g440 +Vrecord-type-vtable +p2967 +tp2968 +a(g826 +g1245 +tp2969 +a(g705 +g1172 +tp2970 +a(g573 +Vstruct-vtable +p2971 +tp2972 +a(g826 +g1245 +tp2973 +a(g440 +Vobj +p2974 +tp2975 +a(g705 +g1193 +tp2976 +a(g705 +g1193 +tp2977 +a(g705 +g1193 +tp2978 +a(g705 +g1193 +tp2979 +a(g826 +V\u000a\u000a +p2980 +tp2981 +a(g705 +g1172 +tp2982 +a(g744 +Vdefine +p2983 +tp2984 +a(g705 +g1172 +tp2985 +a(g573 +Vmake-record-type +p2986 +tp2987 +a(g826 +g1245 +tp2988 +a(g440 +Vtype-name +p2989 +tp2990 +a(g826 +g1245 +tp2991 +a(g440 +Vfields +p2992 +tp2993 +a(g826 +g1245 +tp2994 +a(g412 +g1446 +tp2995 +a(g826 +g1245 +tp2996 +a(g440 +Vopt +p2997 +tp2998 +a(g705 +g1193 +tp2999 +a(g826 +V\u000a +p3000 +tp3001 +a(g705 +g1172 +tp3002 +a(g744 +Vlet +p3003 +tp3004 +a(g705 +g1172 +tp3005 +a(g705 +g1172 +tp3006 +a(g573 +Vprinter-fn +p3007 +tp3008 +a(g826 +g1245 +tp3009 +a(g705 +g1172 +tp3010 +a(g744 +Vand +p3011 +tp3012 +a(g705 +g1172 +tp3013 +a(g681 +Vpair? +p3014 +tp3015 +a(g440 +Vopt +p3016 +tp3017 +a(g705 +g1193 +tp3018 +a(g826 +g1245 +tp3019 +a(g705 +g1172 +tp3020 +a(g681 +Vcar +p3021 +tp3022 +a(g440 +Vopt +p3023 +tp3024 +a(g705 +g1193 +tp3025 +a(g705 +g1193 +tp3026 +a(g705 +g1193 +tp3027 +a(g705 +g1193 +tp3028 +a(g826 +V\u000a +p3029 +tp3030 +a(g705 +g1172 +tp3031 +a(g744 +Vlet +p3032 +tp3033 +a(g705 +g1172 +tp3034 +a(g705 +g1172 +tp3035 +a(g573 +Vstruct +p3036 +tp3037 +a(g826 +g1245 +tp3038 +a(g705 +g1172 +tp3039 +a(g573 +Vmake-struct +p3040 +tp3041 +a(g826 +g1245 +tp3042 +a(g440 +Vrecord-type-vtable +p3043 +tp3044 +a(g826 +g1245 +tp3045 +a(g37 +g2857 +tp3046 +a(g826 +V\u000a +p3047 +tp3048 +a(g705 +g1172 +tp3049 +a(g573 +Vmake-struct-layout +p3050 +tp3051 +a(g826 +V\u000a +p3052 +tp3053 +a(g705 +g1172 +tp3054 +a(g681 +Vapply +p3055 +tp3056 +a(g440 +Vstring-append +p3057 +tp3058 +a(g826 +V\u000a +p3059 +tp3060 +a(g705 +g1172 +tp3061 +a(g681 +Vmap +p3062 +tp3063 +a(g705 +g1172 +tp3064 +a(g744 +Vlambda +p3065 +tp3066 +a(g705 +g1172 +tp3067 +a(g573 +Vf +p3068 +tp3069 +a(g705 +g1193 +tp3070 +a(g826 +g1245 +tp3071 +a(g89 +V"pw" +p3072 +tp3073 +a(g705 +g1193 +tp3074 +a(g826 +g1245 +tp3075 +a(g440 +Vfields +p3076 +tp3077 +a(g705 +g1193 +tp3078 +a(g705 +g1193 +tp3079 +a(g705 +g1193 +tp3080 +a(g826 +V\u000a +p3081 +tp3082 +a(g705 +g1172 +tp3083 +a(g744 +Vor +p3084 +tp3085 +a(g440 +Vprinter-fn +p3086 +tp3087 +a(g826 +V\u000a +p3088 +tp3089 +a(g705 +g1172 +tp3090 +a(g744 +Vlambda +p3091 +tp3092 +a(g705 +g1172 +tp3093 +a(g573 +g2009 +tp3094 +a(g826 +g1245 +tp3095 +a(g440 +g2867 +tp3096 +a(g705 +g1193 +tp3097 +a(g826 +V\u000a +p3098 +tp3099 +a(g705 +g1172 +tp3100 +a(g681 +Vdisplay +p3101 +tp3102 +a(g89 +V"#<" +p3103 +tp3104 +a(g826 +g1245 +tp3105 +a(g440 +g2867 +tp3106 +a(g705 +g1193 +tp3107 +a(g826 +V\u000a +p3108 +tp3109 +a(g705 +g1172 +tp3110 +a(g681 +Vdisplay +p3111 +tp3112 +a(g440 +Vtype-name +p3113 +tp3114 +a(g826 +g1245 +tp3115 +a(g440 +g2867 +tp3116 +a(g705 +g1193 +tp3117 +a(g826 +V\u000a +p3118 +tp3119 +a(g705 +g1172 +tp3120 +a(g744 +Vlet +p3121 +tp3122 +a(g440 +Vloop +p3123 +tp3124 +a(g826 +g1245 +tp3125 +a(g705 +g1172 +tp3126 +a(g705 +g1172 +tp3127 +a(g573 +Vfields +p3128 +tp3129 +a(g826 +g1245 +tp3130 +a(g440 +Vfields +p3131 +tp3132 +a(g705 +g1193 +tp3133 +a(g826 +V\u000a +p3134 +tp3135 +a(g705 +g1172 +tp3136 +a(g573 +Voff +p3137 +tp3138 +a(g826 +g1245 +tp3139 +a(g37 +g2857 +tp3140 +a(g705 +g1193 +tp3141 +a(g705 +g1193 +tp3142 +a(g826 +V\u000a +p3143 +tp3144 +a(g705 +g1172 +tp3145 +a(g573 +Vcond +p3146 +tp3147 +a(g826 +V\u000a +p3148 +tp3149 +a(g705 +g1172 +tp3150 +a(g705 +g1172 +tp3151 +a(g681 +Vnot +p3152 +tp3153 +a(g705 +g1172 +tp3154 +a(g681 +Vnull? +p3155 +tp3156 +a(g440 +Vfields +p3157 +tp3158 +a(g705 +g1193 +tp3159 +a(g705 +g1193 +tp3160 +a(g826 +V\u000a +p3161 +tp3162 +a(g705 +g1172 +tp3163 +a(g681 +Vdisplay +p3164 +tp3165 +a(g89 +V" " +p3166 +tp3167 +a(g826 +g1245 +tp3168 +a(g440 +g2867 +tp3169 +a(g705 +g1193 +tp3170 +a(g826 +V\u000a +p3171 +tp3172 +a(g705 +g1172 +tp3173 +a(g681 +Vdisplay +p3174 +tp3175 +a(g705 +g1172 +tp3176 +a(g681 +Vcar +p3177 +tp3178 +a(g440 +Vfields +p3179 +tp3180 +a(g705 +g1193 +tp3181 +a(g826 +g1245 +tp3182 +a(g440 +g2867 +tp3183 +a(g705 +g1193 +tp3184 +a(g826 +V\u000a +p3185 +tp3186 +a(g705 +g1172 +tp3187 +a(g681 +Vdisplay +p3188 +tp3189 +a(g89 +V": " +p3190 +tp3191 +a(g826 +g1245 +tp3192 +a(g440 +g2867 +tp3193 +a(g705 +g1193 +tp3194 +a(g826 +V\u000a +p3195 +tp3196 +a(g705 +g1172 +tp3197 +a(g681 +Vdisplay +p3198 +tp3199 +a(g705 +g1172 +tp3200 +a(g573 +Vstruct-ref +p3201 +tp3202 +a(g826 +g1245 +tp3203 +a(g440 +g2009 +tp3204 +a(g826 +g1245 +tp3205 +a(g440 +Voff +p3206 +tp3207 +a(g705 +g1193 +tp3208 +a(g826 +g1245 +tp3209 +a(g440 +g2867 +tp3210 +a(g705 +g1193 +tp3211 +a(g826 +V\u000a +p3212 +tp3213 +a(g705 +g1172 +tp3214 +a(g573 +Vloop +p3215 +tp3216 +a(g826 +g1245 +tp3217 +a(g705 +g1172 +tp3218 +a(g681 +Vcdr +p3219 +tp3220 +a(g440 +Vfields +p3221 +tp3222 +a(g705 +g1193 +tp3223 +a(g826 +g1245 +tp3224 +a(g705 +g1172 +tp3225 +a(g681 +V+ +p3226 +tp3227 +a(g37 +g1609 +tp3228 +a(g826 +g1245 +tp3229 +a(g440 +Voff +p3230 +tp3231 +a(g705 +g1193 +tp3232 +a(g705 +g1193 +tp3233 +a(g705 +g1193 +tp3234 +a(g705 +g1193 +tp3235 +a(g705 +g1193 +tp3236 +a(g826 +V\u000a +p3237 +tp3238 +a(g705 +g1172 +tp3239 +a(g681 +Vdisplay +p3240 +tp3241 +a(g89 +V">" +p3242 +tp3243 +a(g826 +g1245 +tp3244 +a(g440 +g2867 +tp3245 +a(g705 +g1193 +tp3246 +a(g705 +g1193 +tp3247 +a(g705 +g1193 +tp3248 +a(g826 +V\u000a +p3249 +tp3250 +a(g440 +Vtype-name +p3251 +tp3252 +a(g826 +V\u000a +p3253 +tp3254 +a(g705 +g1172 +tp3255 +a(g573 +Vcopy-tree +p3256 +tp3257 +a(g826 +g1245 +tp3258 +a(g440 +Vfields +p3259 +tp3260 +a(g705 +g1193 +tp3261 +a(g705 +g1193 +tp3262 +a(g705 +g1193 +tp3263 +a(g705 +g1193 +tp3264 +a(g826 +V\u000a +p3265 +tp3266 +a(g8 +V;; Temporary solution: Associate a name to the record type descriptor +p3267 +tp3268 +a(g826 +V\u000a +p3269 +tp3270 +a(g8 +V;; so that the object system can create a wrapper class for it. +p3271 +tp3272 +a(g826 +V\u000a +p3273 +tp3274 +a(g705 +g1172 +tp3275 +a(g573 +Vset-struct-vtable-name! +p3276 +tp3277 +a(g826 +g1245 +tp3278 +a(g440 +Vstruct +p3279 +tp3280 +a(g826 +g1245 +tp3281 +a(g705 +g1172 +tp3282 +a(g744 +Vif +p3283 +tp3284 +a(g705 +g1172 +tp3285 +a(g681 +Vsymbol? +p3286 +tp3287 +a(g440 +Vtype-name +p3288 +tp3289 +a(g705 +g1193 +tp3290 +a(g826 +V\u000a +p3291 +tp3292 +a(g440 +Vtype-name +p3293 +tp3294 +a(g826 +V\u000a +p3295 +tp3296 +a(g705 +g1172 +tp3297 +a(g681 +Vstring->symbol +p3298 +tp3299 +a(g440 +Vtype-name +p3300 +tp3301 +a(g705 +g1193 +tp3302 +a(g705 +g1193 +tp3303 +a(g705 +g1193 +tp3304 +a(g826 +V\u000a +p3305 +tp3306 +a(g440 +Vstruct +p3307 +tp3308 +a(g705 +g1193 +tp3309 +a(g705 +g1193 +tp3310 +a(g705 +g1193 +tp3311 +a(g826 +V\u000a\u000a +p3312 +tp3313 +a(g705 +g1172 +tp3314 +a(g744 +Vdefine +p3315 +tp3316 +a(g705 +g1172 +tp3317 +a(g573 +Vrecord-type-name +p3318 +tp3319 +a(g826 +g1245 +tp3320 +a(g440 +Vobj +p3321 +tp3322 +a(g705 +g1193 +tp3323 +a(g826 +V\u000a +p3324 +tp3325 +a(g705 +g1172 +tp3326 +a(g744 +Vif +p3327 +tp3328 +a(g705 +g1172 +tp3329 +a(g573 +Vrecord-type? +p3330 +tp3331 +a(g826 +g1245 +tp3332 +a(g440 +Vobj +p3333 +tp3334 +a(g705 +g1193 +tp3335 +a(g826 +V\u000a +p3336 +tp3337 +a(g705 +g1172 +tp3338 +a(g573 +Vstruct-ref +p3339 +tp3340 +a(g826 +g1245 +tp3341 +a(g440 +Vobj +p3342 +tp3343 +a(g826 +g1245 +tp3344 +a(g440 +Vvtable-offset-user +p3345 +tp3346 +a(g705 +g1193 +tp3347 +a(g826 +V\u000a +p3348 +tp3349 +a(g705 +g1172 +tp3350 +a(g573 +Verror +p3351 +tp3352 +a(g826 +g1245 +tp3353 +a(g117 +V'not-a-record-type +p3354 +tp3355 +a(g826 +g1245 +tp3356 +a(g440 +Vobj +p3357 +tp3358 +a(g705 +g1193 +tp3359 +a(g705 +g1193 +tp3360 +a(g705 +g1193 +tp3361 +a(g826 +V\u000a\u000a +p3362 +tp3363 +a(g705 +g1172 +tp3364 +a(g744 +Vdefine +p3365 +tp3366 +a(g705 +g1172 +tp3367 +a(g573 +Vrecord-type-fields +p3368 +tp3369 +a(g826 +g1245 +tp3370 +a(g440 +Vobj +p3371 +tp3372 +a(g705 +g1193 +tp3373 +a(g826 +V\u000a +p3374 +tp3375 +a(g705 +g1172 +tp3376 +a(g744 +Vif +p3377 +tp3378 +a(g705 +g1172 +tp3379 +a(g573 +Vrecord-type? +p3380 +tp3381 +a(g826 +g1245 +tp3382 +a(g440 +Vobj +p3383 +tp3384 +a(g705 +g1193 +tp3385 +a(g826 +V\u000a +p3386 +tp3387 +a(g705 +g1172 +tp3388 +a(g573 +Vstruct-ref +p3389 +tp3390 +a(g826 +g1245 +tp3391 +a(g440 +Vobj +p3392 +tp3393 +a(g826 +g1245 +tp3394 +a(g705 +g1172 +tp3395 +a(g681 +V+ +p3396 +tp3397 +a(g37 +g1609 +tp3398 +a(g826 +g1245 +tp3399 +a(g440 +Vvtable-offset-user +p3400 +tp3401 +a(g705 +g1193 +tp3402 +a(g705 +g1193 +tp3403 +a(g826 +V\u000a +p3404 +tp3405 +a(g705 +g1172 +tp3406 +a(g573 +Verror +p3407 +tp3408 +a(g826 +g1245 +tp3409 +a(g117 +V'not-a-record-type +p3410 +tp3411 +a(g826 +g1245 +tp3412 +a(g440 +Vobj +p3413 +tp3414 +a(g705 +g1193 +tp3415 +a(g705 +g1193 +tp3416 +a(g705 +g1193 +tp3417 +a(g826 +V\u000a\u000a +p3418 +tp3419 +a(g705 +g1172 +tp3420 +a(g744 +Vdefine +p3421 +tp3422 +a(g705 +g1172 +tp3423 +a(g573 +Vrecord-constructor +p3424 +tp3425 +a(g826 +g1245 +tp3426 +a(g440 +Vrtd +p3427 +tp3428 +a(g826 +g1245 +tp3429 +a(g412 +g1446 +tp3430 +a(g826 +g1245 +tp3431 +a(g440 +Vopt +p3432 +tp3433 +a(g705 +g1193 +tp3434 +a(g826 +V\u000a +p3435 +tp3436 +a(g705 +g1172 +tp3437 +a(g744 +Vlet +p3438 +tp3439 +a(g705 +g1172 +tp3440 +a(g705 +g1172 +tp3441 +a(g573 +Vfield-names +p3442 +tp3443 +a(g826 +g1245 +tp3444 +a(g705 +g1172 +tp3445 +a(g744 +Vif +p3446 +tp3447 +a(g705 +g1172 +tp3448 +a(g681 +Vpair? +p3449 +tp3450 +a(g440 +Vopt +p3451 +tp3452 +a(g705 +g1193 +tp3453 +a(g826 +g1245 +tp3454 +a(g705 +g1172 +tp3455 +a(g681 +Vcar +p3456 +tp3457 +a(g440 +Vopt +p3458 +tp3459 +a(g705 +g1193 +tp3460 +a(g826 +g1245 +tp3461 +a(g705 +g1172 +tp3462 +a(g573 +Vrecord-type-fields +p3463 +tp3464 +a(g826 +g1245 +tp3465 +a(g440 +Vrtd +p3466 +tp3467 +a(g705 +g1193 +tp3468 +a(g705 +g1193 +tp3469 +a(g705 +g1193 +tp3470 +a(g705 +g1193 +tp3471 +a(g826 +V\u000a +p3472 +tp3473 +a(g705 +g1172 +tp3474 +a(g573 +Vlocal-eval +p3475 +tp3476 +a(g826 +g1245 +tp3477 +a(g412 +g1206 +tp3478 +a(g705 +g1172 +tp3479 +a(g744 +Vlambda +p3480 +tp3481 +a(g412 +V, +p3482 +tp3483 +a(g440 +Vfield-names +p3484 +tp3485 +a(g826 +V\u000a +p3486 +tp3487 +a(g705 +g1172 +tp3488 +a(g573 +Vmake-struct +p3489 +tp3490 +a(g826 +g1245 +tp3491 +a(g117 +V',rtd +p3492 +tp3493 +a(g826 +g1245 +tp3494 +a(g37 +g2857 +tp3495 +a(g826 +g1245 +tp3496 +a(g412 +V,@ +p3497 +tp3498 +a(g705 +g1172 +tp3499 +a(g681 +Vmap +p3500 +tp3501 +a(g705 +g1172 +tp3502 +a(g744 +Vlambda +p3503 +tp3504 +a(g705 +g1172 +tp3505 +a(g573 +g3068 +tp3506 +a(g705 +g1193 +tp3507 +a(g826 +V\u000a +p3508 +tp3509 +a(g705 +g1172 +tp3510 +a(g744 +Vif +p3511 +tp3512 +a(g705 +g1172 +tp3513 +a(g681 +Vmemq +p3514 +tp3515 +a(g440 +g3068 +tp3516 +a(g826 +g1245 +tp3517 +a(g440 +Vfield-names +p3518 +tp3519 +a(g705 +g1193 +tp3520 +a(g826 +V\u000a +p3521 +tp3522 +a(g440 +g3068 +tp3523 +a(g826 +V\u000a +p3524 +tp3525 +a(g565 +V#f +p3526 +tp3527 +a(g705 +g1193 +tp3528 +a(g705 +g1193 +tp3529 +a(g826 +V\u000a +p3530 +tp3531 +a(g705 +g1172 +tp3532 +a(g573 +Vrecord-type-fields +p3533 +tp3534 +a(g826 +g1245 +tp3535 +a(g440 +Vrtd +p3536 +tp3537 +a(g705 +g1193 +tp3538 +a(g705 +g1193 +tp3539 +a(g705 +g1193 +tp3540 +a(g705 +g1193 +tp3541 +a(g826 +V\u000a +p3542 +tp3543 +a(g440 +Vthe-root-environment +p3544 +tp3545 +a(g705 +g1193 +tp3546 +a(g705 +g1193 +tp3547 +a(g705 +g1193 +tp3548 +a(g826 +V\u000a\u000a +p3549 +tp3550 +a(g705 +g1172 +tp3551 +a(g744 +Vdefine +p3552 +tp3553 +a(g705 +g1172 +tp3554 +a(g573 +Vrecord-predicate +p3555 +tp3556 +a(g826 +g1245 +tp3557 +a(g440 +Vrtd +p3558 +tp3559 +a(g705 +g1193 +tp3560 +a(g826 +V\u000a +p3561 +tp3562 +a(g705 +g1172 +tp3563 +a(g744 +Vlambda +p3564 +tp3565 +a(g705 +g1172 +tp3566 +a(g573 +Vobj +p3567 +tp3568 +a(g705 +g1193 +tp3569 +a(g826 +g1245 +tp3570 +a(g705 +g1172 +tp3571 +a(g744 +Vand +p3572 +tp3573 +a(g705 +g1172 +tp3574 +a(g573 +Vstruct? +p3575 +tp3576 +a(g826 +g1245 +tp3577 +a(g440 +Vobj +p3578 +tp3579 +a(g705 +g1193 +tp3580 +a(g826 +g1245 +tp3581 +a(g705 +g1172 +tp3582 +a(g681 +Veq? +p3583 +tp3584 +a(g440 +Vrtd +p3585 +tp3586 +a(g826 +g1245 +tp3587 +a(g705 +g1172 +tp3588 +a(g573 +Vstruct-vtable +p3589 +tp3590 +a(g826 +g1245 +tp3591 +a(g440 +Vobj +p3592 +tp3593 +a(g705 +g1193 +tp3594 +a(g705 +g1193 +tp3595 +a(g705 +g1193 +tp3596 +a(g705 +g1193 +tp3597 +a(g705 +g1193 +tp3598 +a(g826 +V\u000a\u000a +p3599 +tp3600 +a(g705 +g1172 +tp3601 +a(g744 +Vdefine +p3602 +tp3603 +a(g705 +g1172 +tp3604 +a(g573 +Vrecord-accessor +p3605 +tp3606 +a(g826 +g1245 +tp3607 +a(g440 +Vrtd +p3608 +tp3609 +a(g826 +g1245 +tp3610 +a(g440 +Vfield-name +p3611 +tp3612 +a(g705 +g1193 +tp3613 +a(g826 +V\u000a +p3614 +tp3615 +a(g705 +g1172 +tp3616 +a(g744 +Vlet* +p3617 +tp3618 +a(g705 +g1172 +tp3619 +a(g705 +g1172 +tp3620 +a(g573 +Vpos +p3621 +tp3622 +a(g826 +g1245 +tp3623 +a(g705 +g1172 +tp3624 +a(g573 +Vlist-index +p3625 +tp3626 +a(g826 +g1245 +tp3627 +a(g705 +g1172 +tp3628 +a(g573 +Vrecord-type-fields +p3629 +tp3630 +a(g826 +g1245 +tp3631 +a(g440 +Vrtd +p3632 +tp3633 +a(g705 +g1193 +tp3634 +a(g826 +g1245 +tp3635 +a(g440 +Vfield-name +p3636 +tp3637 +a(g705 +g1193 +tp3638 +a(g705 +g1193 +tp3639 +a(g705 +g1193 +tp3640 +a(g826 +V\u000a +p3641 +tp3642 +a(g705 +g1172 +tp3643 +a(g744 +Vif +p3644 +tp3645 +a(g705 +g1172 +tp3646 +a(g681 +Vnot +p3647 +tp3648 +a(g440 +Vpos +p3649 +tp3650 +a(g705 +g1193 +tp3651 +a(g826 +V\u000a +p3652 +tp3653 +a(g705 +g1172 +tp3654 +a(g573 +Verror +p3655 +tp3656 +a(g826 +g1245 +tp3657 +a(g117 +V'no-such-field +p3658 +tp3659 +a(g826 +g1245 +tp3660 +a(g440 +Vfield-name +p3661 +tp3662 +a(g705 +g1193 +tp3663 +a(g705 +g1193 +tp3664 +a(g826 +V\u000a +p3665 +tp3666 +a(g705 +g1172 +tp3667 +a(g573 +Vlocal-eval +p3668 +tp3669 +a(g826 +g1245 +tp3670 +a(g412 +g1206 +tp3671 +a(g705 +g1172 +tp3672 +a(g744 +Vlambda +p3673 +tp3674 +a(g705 +g1172 +tp3675 +a(g573 +Vobj +p3676 +tp3677 +a(g705 +g1193 +tp3678 +a(g826 +V\u000a +p3679 +tp3680 +a(g705 +g1172 +tp3681 +a(g744 +Vand +p3682 +tp3683 +a(g705 +g1172 +tp3684 +a(g681 +Veq? +p3685 +tp3686 +a(g117 +V',rtd +p3687 +tp3688 +a(g826 +g1245 +tp3689 +a(g705 +g1172 +tp3690 +a(g573 +Vrecord-type-descriptor +p3691 +tp3692 +a(g826 +g1245 +tp3693 +a(g440 +Vobj +p3694 +tp3695 +a(g705 +g1193 +tp3696 +a(g705 +g1193 +tp3697 +a(g826 +V\u000a +p3698 +tp3699 +a(g705 +g1172 +tp3700 +a(g573 +Vstruct-ref +p3701 +tp3702 +a(g826 +g1245 +tp3703 +a(g440 +Vobj +p3704 +tp3705 +a(g826 +g1245 +tp3706 +a(g412 +g3482 +tp3707 +a(g440 +Vpos +p3708 +tp3709 +a(g705 +g1193 +tp3710 +a(g705 +g1193 +tp3711 +a(g705 +g1193 +tp3712 +a(g826 +V\u000a +p3713 +tp3714 +a(g440 +Vthe-root-environment +p3715 +tp3716 +a(g705 +g1193 +tp3717 +a(g705 +g1193 +tp3718 +a(g705 +g1193 +tp3719 +a(g826 +V\u000a\u000a +p3720 +tp3721 +a(g705 +g1172 +tp3722 +a(g744 +Vdefine +p3723 +tp3724 +a(g705 +g1172 +tp3725 +a(g573 +Vrecord-modifier +p3726 +tp3727 +a(g826 +g1245 +tp3728 +a(g440 +Vrtd +p3729 +tp3730 +a(g826 +g1245 +tp3731 +a(g440 +Vfield-name +p3732 +tp3733 +a(g705 +g1193 +tp3734 +a(g826 +V\u000a +p3735 +tp3736 +a(g705 +g1172 +tp3737 +a(g744 +Vlet* +p3738 +tp3739 +a(g705 +g1172 +tp3740 +a(g705 +g1172 +tp3741 +a(g573 +Vpos +p3742 +tp3743 +a(g826 +g1245 +tp3744 +a(g705 +g1172 +tp3745 +a(g573 +Vlist-index +p3746 +tp3747 +a(g826 +g1245 +tp3748 +a(g705 +g1172 +tp3749 +a(g573 +Vrecord-type-fields +p3750 +tp3751 +a(g826 +g1245 +tp3752 +a(g440 +Vrtd +p3753 +tp3754 +a(g705 +g1193 +tp3755 +a(g826 +g1245 +tp3756 +a(g440 +Vfield-name +p3757 +tp3758 +a(g705 +g1193 +tp3759 +a(g705 +g1193 +tp3760 +a(g705 +g1193 +tp3761 +a(g826 +V\u000a +p3762 +tp3763 +a(g705 +g1172 +tp3764 +a(g744 +Vif +p3765 +tp3766 +a(g705 +g1172 +tp3767 +a(g681 +Vnot +p3768 +tp3769 +a(g440 +Vpos +p3770 +tp3771 +a(g705 +g1193 +tp3772 +a(g826 +V\u000a +p3773 +tp3774 +a(g705 +g1172 +tp3775 +a(g573 +Verror +p3776 +tp3777 +a(g826 +g1245 +tp3778 +a(g117 +V'no-such-field +p3779 +tp3780 +a(g826 +g1245 +tp3781 +a(g440 +Vfield-name +p3782 +tp3783 +a(g705 +g1193 +tp3784 +a(g705 +g1193 +tp3785 +a(g826 +V\u000a +p3786 +tp3787 +a(g705 +g1172 +tp3788 +a(g573 +Vlocal-eval +p3789 +tp3790 +a(g826 +g1245 +tp3791 +a(g412 +g1206 +tp3792 +a(g705 +g1172 +tp3793 +a(g744 +Vlambda +p3794 +tp3795 +a(g705 +g1172 +tp3796 +a(g573 +Vobj +p3797 +tp3798 +a(g826 +g1245 +tp3799 +a(g440 +Vval +p3800 +tp3801 +a(g705 +g1193 +tp3802 +a(g826 +V\u000a +p3803 +tp3804 +a(g705 +g1172 +tp3805 +a(g744 +Vand +p3806 +tp3807 +a(g705 +g1172 +tp3808 +a(g681 +Veq? +p3809 +tp3810 +a(g117 +V',rtd +p3811 +tp3812 +a(g826 +g1245 +tp3813 +a(g705 +g1172 +tp3814 +a(g573 +Vrecord-type-descriptor +p3815 +tp3816 +a(g826 +g1245 +tp3817 +a(g440 +Vobj +p3818 +tp3819 +a(g705 +g1193 +tp3820 +a(g705 +g1193 +tp3821 +a(g826 +V\u000a +p3822 +tp3823 +a(g705 +g1172 +tp3824 +a(g573 +Vstruct-set! +p3825 +tp3826 +a(g826 +g1245 +tp3827 +a(g440 +Vobj +p3828 +tp3829 +a(g826 +g1245 +tp3830 +a(g412 +g3482 +tp3831 +a(g440 +Vpos +p3832 +tp3833 +a(g826 +g1245 +tp3834 +a(g440 +Vval +p3835 +tp3836 +a(g705 +g1193 +tp3837 +a(g705 +g1193 +tp3838 +a(g705 +g1193 +tp3839 +a(g826 +V\u000a +p3840 +tp3841 +a(g440 +Vthe-root-environment +p3842 +tp3843 +a(g705 +g1193 +tp3844 +a(g705 +g1193 +tp3845 +a(g705 +g1193 +tp3846 +a(g826 +V\u000a\u000a\u000a +p3847 +tp3848 +a(g705 +g1172 +tp3849 +a(g744 +Vdefine +p3850 +tp3851 +a(g705 +g1172 +tp3852 +a(g573 +Vrecord? +p3853 +tp3854 +a(g826 +g1245 +tp3855 +a(g440 +Vobj +p3856 +tp3857 +a(g705 +g1193 +tp3858 +a(g826 +V\u000a +p3859 +tp3860 +a(g705 +g1172 +tp3861 +a(g744 +Vand +p3862 +tp3863 +a(g705 +g1172 +tp3864 +a(g573 +Vstruct? +p3865 +tp3866 +a(g826 +g1245 +tp3867 +a(g440 +Vobj +p3868 +tp3869 +a(g705 +g1193 +tp3870 +a(g826 +g1245 +tp3871 +a(g705 +g1172 +tp3872 +a(g573 +Vrecord-type? +p3873 +tp3874 +a(g826 +g1245 +tp3875 +a(g705 +g1172 +tp3876 +a(g573 +Vstruct-vtable +p3877 +tp3878 +a(g826 +g1245 +tp3879 +a(g440 +Vobj +p3880 +tp3881 +a(g705 +g1193 +tp3882 +a(g705 +g1193 +tp3883 +a(g705 +g1193 +tp3884 +a(g705 +g1193 +tp3885 +a(g826 +V\u000a\u000a +p3886 +tp3887 +a(g705 +g1172 +tp3888 +a(g744 +Vdefine +p3889 +tp3890 +a(g705 +g1172 +tp3891 +a(g573 +Vrecord-type-descriptor +p3892 +tp3893 +a(g826 +g1245 +tp3894 +a(g440 +Vobj +p3895 +tp3896 +a(g705 +g1193 +tp3897 +a(g826 +V\u000a +p3898 +tp3899 +a(g705 +g1172 +tp3900 +a(g744 +Vif +p3901 +tp3902 +a(g705 +g1172 +tp3903 +a(g573 +Vstruct? +p3904 +tp3905 +a(g826 +g1245 +tp3906 +a(g440 +Vobj +p3907 +tp3908 +a(g705 +g1193 +tp3909 +a(g826 +V\u000a +p3910 +tp3911 +a(g705 +g1172 +tp3912 +a(g573 +Vstruct-vtable +p3913 +tp3914 +a(g826 +g1245 +tp3915 +a(g440 +Vobj +p3916 +tp3917 +a(g705 +g1193 +tp3918 +a(g826 +V\u000a +p3919 +tp3920 +a(g705 +g1172 +tp3921 +a(g573 +Verror +p3922 +tp3923 +a(g826 +g1245 +tp3924 +a(g117 +V'not-a-record +p3925 +tp3926 +a(g826 +g1245 +tp3927 +a(g440 +Vobj +p3928 +tp3929 +a(g705 +g1193 +tp3930 +a(g705 +g1193 +tp3931 +a(g705 +g1193 +tp3932 +a(g826 +V\u000a\u000a +p3933 +tp3934 +a(g705 +g1172 +tp3935 +a(g573 +Vprovide +p3936 +tp3937 +a(g826 +g1245 +tp3938 +a(g117 +V'record +p3939 +tp3940 +a(g705 +g1193 +tp3941 +a(g826 +V\u000a\u000a \u000a +p3942 +tp3943 +a(g8 +V;;; {Booleans} +p3944 +tp3945 +a(g826 +V\u000a +p3946 +tp3947 +a(g8 +V;;; +p3948 +tp3949 +a(g826 +V\u000a\u000a +p3950 +tp3951 +a(g705 +g1172 +tp3952 +a(g744 +Vdefine +p3953 +tp3954 +a(g705 +g1172 +tp3955 +a(g573 +V->bool +p3956 +tp3957 +a(g826 +g1245 +tp3958 +a(g440 +g1597 +tp3959 +a(g705 +g1193 +tp3960 +a(g826 +g1245 +tp3961 +a(g705 +g1172 +tp3962 +a(g681 +Vnot +p3963 +tp3964 +a(g705 +g1172 +tp3965 +a(g681 +Vnot +p3966 +tp3967 +a(g440 +g1597 +tp3968 +a(g705 +g1193 +tp3969 +a(g705 +g1193 +tp3970 +a(g705 +g1193 +tp3971 +a(g826 +V\u000a\u000a \u000a +p3972 +tp3973 +a(g8 +V;;; {Symbols} +p3974 +tp3975 +a(g826 +V\u000a +p3976 +tp3977 +a(g8 +V;;; +p3978 +tp3979 +a(g826 +V\u000a\u000a +p3980 +tp3981 +a(g705 +g1172 +tp3982 +a(g744 +Vdefine +p3983 +tp3984 +a(g705 +g1172 +tp3985 +a(g573 +Vsymbol-append +p3986 +tp3987 +a(g826 +g1245 +tp3988 +a(g412 +g1446 +tp3989 +a(g826 +g1245 +tp3990 +a(g440 +Vargs +p3991 +tp3992 +a(g705 +g1193 +tp3993 +a(g826 +V\u000a +p3994 +tp3995 +a(g705 +g1172 +tp3996 +a(g681 +Vstring->symbol +p3997 +tp3998 +a(g705 +g1172 +tp3999 +a(g681 +Vapply +p4000 +tp4001 +a(g440 +Vstring-append +p4002 +tp4003 +a(g826 +g1245 +tp4004 +a(g705 +g1172 +tp4005 +a(g681 +Vmap +p4006 +tp4007 +a(g440 +Vsymbol->string +p4008 +tp4009 +a(g826 +g1245 +tp4010 +a(g440 +Vargs +p4011 +tp4012 +a(g705 +g1193 +tp4013 +a(g705 +g1193 +tp4014 +a(g705 +g1193 +tp4015 +a(g705 +g1193 +tp4016 +a(g826 +V\u000a\u000a +p4017 +tp4018 +a(g705 +g1172 +tp4019 +a(g744 +Vdefine +p4020 +tp4021 +a(g705 +g1172 +tp4022 +a(g573 +Vlist->symbol +p4023 +tp4024 +a(g826 +g1245 +tp4025 +a(g412 +g1446 +tp4026 +a(g826 +g1245 +tp4027 +a(g440 +Vargs +p4028 +tp4029 +a(g705 +g1193 +tp4030 +a(g826 +V\u000a +p4031 +tp4032 +a(g705 +g1172 +tp4033 +a(g681 +Vstring->symbol +p4034 +tp4035 +a(g705 +g1172 +tp4036 +a(g681 +Vapply +p4037 +tp4038 +a(g440 +Vlist->string +p4039 +tp4040 +a(g826 +g1245 +tp4041 +a(g440 +Vargs +p4042 +tp4043 +a(g705 +g1193 +tp4044 +a(g705 +g1193 +tp4045 +a(g705 +g1193 +tp4046 +a(g826 +V\u000a\u000a +p4047 +tp4048 +a(g705 +g1172 +tp4049 +a(g744 +Vdefine +p4050 +tp4051 +a(g705 +g1172 +tp4052 +a(g573 +Vsymbol +p4053 +tp4054 +a(g826 +g1245 +tp4055 +a(g412 +g1446 +tp4056 +a(g826 +g1245 +tp4057 +a(g440 +Vargs +p4058 +tp4059 +a(g705 +g1193 +tp4060 +a(g826 +V\u000a +p4061 +tp4062 +a(g705 +g1172 +tp4063 +a(g681 +Vstring->symbol +p4064 +tp4065 +a(g705 +g1172 +tp4066 +a(g681 +Vapply +p4067 +tp4068 +a(g440 +Vstring +p4069 +tp4070 +a(g826 +g1245 +tp4071 +a(g440 +Vargs +p4072 +tp4073 +a(g705 +g1193 +tp4074 +a(g705 +g1193 +tp4075 +a(g705 +g1193 +tp4076 +a(g826 +V\u000a\u000a \u000a +p4077 +tp4078 +a(g8 +V;;; {Lists} +p4079 +tp4080 +a(g826 +V\u000a +p4081 +tp4082 +a(g8 +V;;; +p4083 +tp4084 +a(g826 +V\u000a\u000a +p4085 +tp4086 +a(g705 +g1172 +tp4087 +a(g744 +Vdefine +p4088 +tp4089 +a(g705 +g1172 +tp4090 +a(g573 +Vlist-index +p4091 +tp4092 +a(g826 +g1245 +tp4093 +a(g440 +Vl +p4094 +tp4095 +a(g826 +g1245 +tp4096 +a(g440 +g1688 +tp4097 +a(g705 +g1193 +tp4098 +a(g826 +V\u000a +p4099 +tp4100 +a(g705 +g1172 +tp4101 +a(g744 +Vlet +p4102 +tp4103 +a(g440 +Vloop +p4104 +tp4105 +a(g826 +g1245 +tp4106 +a(g705 +g1172 +tp4107 +a(g705 +g1172 +tp4108 +a(g573 +g1614 +tp4109 +a(g826 +g1245 +tp4110 +a(g37 +g2857 +tp4111 +a(g705 +g1193 +tp4112 +a(g826 +V\u000a +p4113 +tp4114 +a(g705 +g1172 +tp4115 +a(g573 +g4094 +tp4116 +a(g826 +g1245 +tp4117 +a(g440 +g4094 +tp4118 +a(g705 +g1193 +tp4119 +a(g705 +g1193 +tp4120 +a(g826 +V\u000a +p4121 +tp4122 +a(g705 +g1172 +tp4123 +a(g744 +Vand +p4124 +tp4125 +a(g705 +g1172 +tp4126 +a(g681 +Vnot +p4127 +tp4128 +a(g705 +g1172 +tp4129 +a(g681 +Vnull? +p4130 +tp4131 +a(g440 +g4094 +tp4132 +a(g705 +g1193 +tp4133 +a(g705 +g1193 +tp4134 +a(g826 +V\u000a +p4135 +tp4136 +a(g705 +g1172 +tp4137 +a(g744 +Vif +p4138 +tp4139 +a(g705 +g1172 +tp4140 +a(g681 +Veq? +p4141 +tp4142 +a(g705 +g1172 +tp4143 +a(g681 +Vcar +p4144 +tp4145 +a(g440 +g4094 +tp4146 +a(g705 +g1193 +tp4147 +a(g826 +g1245 +tp4148 +a(g440 +g1688 +tp4149 +a(g705 +g1193 +tp4150 +a(g826 +V\u000a +p4151 +tp4152 +a(g440 +g1614 +tp4153 +a(g826 +V\u000a +p4154 +tp4155 +a(g705 +g1172 +tp4156 +a(g573 +Vloop +p4157 +tp4158 +a(g826 +g1245 +tp4159 +a(g705 +g1172 +tp4160 +a(g681 +V+ +p4161 +tp4162 +a(g440 +g1614 +tp4163 +a(g826 +g1245 +tp4164 +a(g37 +g1609 +tp4165 +a(g705 +g1193 +tp4166 +a(g826 +g1245 +tp4167 +a(g705 +g1172 +tp4168 +a(g681 +Vcdr +p4169 +tp4170 +a(g440 +g4094 +tp4171 +a(g705 +g1193 +tp4172 +a(g705 +g1193 +tp4173 +a(g705 +g1193 +tp4174 +a(g705 +g1193 +tp4175 +a(g705 +g1193 +tp4176 +a(g705 +g1193 +tp4177 +a(g826 +V\u000a\u000a +p4178 +tp4179 +a(g705 +g1172 +tp4180 +a(g744 +Vdefine +p4181 +tp4182 +a(g705 +g1172 +tp4183 +a(g573 +Vmake-list +p4184 +tp4185 +a(g826 +g1245 +tp4186 +a(g440 +g1614 +tp4187 +a(g826 +g1245 +tp4188 +a(g412 +g1446 +tp4189 +a(g826 +g1245 +tp4190 +a(g440 +Vinit +p4191 +tp4192 +a(g705 +g1193 +tp4193 +a(g826 +V\u000a +p4194 +tp4195 +a(g705 +g1172 +tp4196 +a(g744 +Vif +p4197 +tp4198 +a(g705 +g1172 +tp4199 +a(g681 +Vpair? +p4200 +tp4201 +a(g440 +Vinit +p4202 +tp4203 +a(g705 +g1193 +tp4204 +a(g826 +g1245 +tp4205 +a(g705 +g1172 +tp4206 +a(g744 +Vset! +p4207 +tp4208 +a(g440 +Vinit +p4209 +tp4210 +a(g826 +g1245 +tp4211 +a(g705 +g1172 +tp4212 +a(g681 +Vcar +p4213 +tp4214 +a(g440 +Vinit +p4215 +tp4216 +a(g705 +g1193 +tp4217 +a(g705 +g1193 +tp4218 +a(g705 +g1193 +tp4219 +a(g826 +V\u000a +p4220 +tp4221 +a(g705 +g1172 +tp4222 +a(g744 +Vlet +p4223 +tp4224 +a(g440 +Vloop +p4225 +tp4226 +a(g826 +g1245 +tp4227 +a(g705 +g1172 +tp4228 +a(g705 +g1172 +tp4229 +a(g573 +Vanswer +p4230 +tp4231 +a(g826 +g1245 +tp4232 +a(g412 +g1697 +tp4233 +a(g705 +g1172 +tp4234 +a(g705 +g1193 +tp4235 +a(g705 +g1193 +tp4236 +a(g826 +V\u000a +p4237 +tp4238 +a(g705 +g1172 +tp4239 +a(g573 +g1614 +tp4240 +a(g826 +g1245 +tp4241 +a(g440 +g1614 +tp4242 +a(g705 +g1193 +tp4243 +a(g705 +g1193 +tp4244 +a(g826 +V\u000a +p4245 +tp4246 +a(g705 +g1172 +tp4247 +a(g744 +Vif +p4248 +tp4249 +a(g705 +g1172 +tp4250 +a(g681 +V<= +p4251 +tp4252 +a(g440 +g1614 +tp4253 +a(g826 +g1245 +tp4254 +a(g37 +g2857 +tp4255 +a(g705 +g1193 +tp4256 +a(g826 +V\u000a +p4257 +tp4258 +a(g440 +Vanswer +p4259 +tp4260 +a(g826 +V\u000a +p4261 +tp4262 +a(g705 +g1172 +tp4263 +a(g573 +Vloop +p4264 +tp4265 +a(g826 +g1245 +tp4266 +a(g705 +g1172 +tp4267 +a(g681 +Vcons +p4268 +tp4269 +a(g440 +Vinit +p4270 +tp4271 +a(g826 +g1245 +tp4272 +a(g440 +Vanswer +p4273 +tp4274 +a(g705 +g1193 +tp4275 +a(g826 +g1245 +tp4276 +a(g705 +g1172 +tp4277 +a(g681 +V- +p4278 +tp4279 +a(g440 +g1614 +tp4280 +a(g826 +g1245 +tp4281 +a(g37 +g1609 +tp4282 +a(g705 +g1193 +tp4283 +a(g705 +g1193 +tp4284 +a(g705 +g1193 +tp4285 +a(g705 +g1193 +tp4286 +a(g705 +g1193 +tp4287 +a(g826 +V\u000a\u000a \u000a +p4288 +tp4289 +a(g8 +V;;; {and-map and or-map} +p4290 +tp4291 +a(g826 +V\u000a +p4292 +tp4293 +a(g8 +V;;; +p4294 +tp4295 +a(g826 +V\u000a +p4296 +tp4297 +a(g8 +V;;; (and-map fn lst) is like (and (fn (car lst)) (fn (cadr lst)) (fn...) ...) +p4298 +tp4299 +a(g826 +V\u000a +p4300 +tp4301 +a(g8 +V;;; (or-map fn lst) is like (or (fn (car lst)) (fn (cadr lst)) (fn...) ...) +p4302 +tp4303 +a(g826 +V\u000a +p4304 +tp4305 +a(g8 +V;;; +p4306 +tp4307 +a(g826 +V\u000a\u000a +p4308 +tp4309 +a(g8 +V;; and-map f l +p4310 +tp4311 +a(g826 +V\u000a +p4312 +tp4313 +a(g8 +V;; +p4314 +tp4315 +a(g826 +V\u000a +p4316 +tp4317 +a(g8 +V;; Apply f to successive elements of l until exhaustion or f returns #f. +p4318 +tp4319 +a(g826 +V\u000a +p4320 +tp4321 +a(g8 +V;; If returning early, return #f. Otherwise, return the last value returned +p4322 +tp4323 +a(g826 +V\u000a +p4324 +tp4325 +a(g8 +V;; by f. If f has never been called because l is empty, return #t. +p4326 +tp4327 +a(g826 +V\u000a +p4328 +tp4329 +a(g8 +V;; +p4330 +tp4331 +a(g826 +V\u000a +p4332 +tp4333 +a(g705 +g1172 +tp4334 +a(g744 +Vdefine +p4335 +tp4336 +a(g705 +g1172 +tp4337 +a(g573 +Vand-map +p4338 +tp4339 +a(g826 +g1245 +tp4340 +a(g440 +g3068 +tp4341 +a(g826 +g1245 +tp4342 +a(g440 +Vlst +p4343 +tp4344 +a(g705 +g1193 +tp4345 +a(g826 +V\u000a +p4346 +tp4347 +a(g705 +g1172 +tp4348 +a(g744 +Vlet +p4349 +tp4350 +a(g440 +Vloop +p4351 +tp4352 +a(g826 +g1245 +tp4353 +a(g705 +g1172 +tp4354 +a(g705 +g1172 +tp4355 +a(g573 +Vresult +p4356 +tp4357 +a(g826 +g1245 +tp4358 +a(g565 +V#t +p4359 +tp4360 +a(g705 +g1193 +tp4361 +a(g826 +V\u000a +p4362 +tp4363 +a(g705 +g1172 +tp4364 +a(g573 +g4094 +tp4365 +a(g826 +g1245 +tp4366 +a(g440 +Vlst +p4367 +tp4368 +a(g705 +g1193 +tp4369 +a(g705 +g1193 +tp4370 +a(g826 +V\u000a +p4371 +tp4372 +a(g705 +g1172 +tp4373 +a(g744 +Vand +p4374 +tp4375 +a(g440 +Vresult +p4376 +tp4377 +a(g826 +V\u000a +p4378 +tp4379 +a(g705 +g1172 +tp4380 +a(g744 +Vor +p4381 +tp4382 +a(g705 +g1172 +tp4383 +a(g744 +Vand +p4384 +tp4385 +a(g705 +g1172 +tp4386 +a(g681 +Vnull? +p4387 +tp4388 +a(g440 +g4094 +tp4389 +a(g705 +g1193 +tp4390 +a(g826 +V\u000a +p4391 +tp4392 +a(g440 +Vresult +p4393 +tp4394 +a(g705 +g1193 +tp4395 +a(g826 +V\u000a +p4396 +tp4397 +a(g705 +g1172 +tp4398 +a(g573 +Vloop +p4399 +tp4400 +a(g826 +g1245 +tp4401 +a(g705 +g1172 +tp4402 +a(g573 +g3068 +tp4403 +a(g826 +g1245 +tp4404 +a(g705 +g1172 +tp4405 +a(g681 +Vcar +p4406 +tp4407 +a(g440 +g4094 +tp4408 +a(g705 +g1193 +tp4409 +a(g705 +g1193 +tp4410 +a(g826 +g1245 +tp4411 +a(g705 +g1172 +tp4412 +a(g681 +Vcdr +p4413 +tp4414 +a(g440 +g4094 +tp4415 +a(g705 +g1193 +tp4416 +a(g705 +g1193 +tp4417 +a(g705 +g1193 +tp4418 +a(g705 +g1193 +tp4419 +a(g705 +g1193 +tp4420 +a(g705 +g1193 +tp4421 +a(g826 +V\u000a\u000a +p4422 +tp4423 +a(g8 +V;; or-map f l +p4424 +tp4425 +a(g826 +V\u000a +p4426 +tp4427 +a(g8 +V;; +p4428 +tp4429 +a(g826 +V\u000a +p4430 +tp4431 +a(g8 +V;; Apply f to successive elements of l until exhaustion or while f returns #f. +p4432 +tp4433 +a(g826 +V\u000a +p4434 +tp4435 +a(g8 +V;; If returning early, return the return value of f. +p4436 +tp4437 +a(g826 +V\u000a +p4438 +tp4439 +a(g8 +V;; +p4440 +tp4441 +a(g826 +V\u000a +p4442 +tp4443 +a(g705 +g1172 +tp4444 +a(g744 +Vdefine +p4445 +tp4446 +a(g705 +g1172 +tp4447 +a(g573 +Vor-map +p4448 +tp4449 +a(g826 +g1245 +tp4450 +a(g440 +g3068 +tp4451 +a(g826 +g1245 +tp4452 +a(g440 +Vlst +p4453 +tp4454 +a(g705 +g1193 +tp4455 +a(g826 +V\u000a +p4456 +tp4457 +a(g705 +g1172 +tp4458 +a(g744 +Vlet +p4459 +tp4460 +a(g440 +Vloop +p4461 +tp4462 +a(g826 +g1245 +tp4463 +a(g705 +g1172 +tp4464 +a(g705 +g1172 +tp4465 +a(g573 +Vresult +p4466 +tp4467 +a(g826 +g1245 +tp4468 +a(g565 +V#f +p4469 +tp4470 +a(g705 +g1193 +tp4471 +a(g826 +V\u000a +p4472 +tp4473 +a(g705 +g1172 +tp4474 +a(g573 +g4094 +tp4475 +a(g826 +g1245 +tp4476 +a(g440 +Vlst +p4477 +tp4478 +a(g705 +g1193 +tp4479 +a(g705 +g1193 +tp4480 +a(g826 +V\u000a +p4481 +tp4482 +a(g705 +g1172 +tp4483 +a(g744 +Vor +p4484 +tp4485 +a(g440 +Vresult +p4486 +tp4487 +a(g826 +V\u000a +p4488 +tp4489 +a(g705 +g1172 +tp4490 +a(g744 +Vand +p4491 +tp4492 +a(g705 +g1172 +tp4493 +a(g681 +Vnot +p4494 +tp4495 +a(g705 +g1172 +tp4496 +a(g681 +Vnull? +p4497 +tp4498 +a(g440 +g4094 +tp4499 +a(g705 +g1193 +tp4500 +a(g705 +g1193 +tp4501 +a(g826 +V\u000a +p4502 +tp4503 +a(g705 +g1172 +tp4504 +a(g573 +Vloop +p4505 +tp4506 +a(g826 +g1245 +tp4507 +a(g705 +g1172 +tp4508 +a(g573 +g3068 +tp4509 +a(g826 +g1245 +tp4510 +a(g705 +g1172 +tp4511 +a(g681 +Vcar +p4512 +tp4513 +a(g440 +g4094 +tp4514 +a(g705 +g1193 +tp4515 +a(g705 +g1193 +tp4516 +a(g826 +g1245 +tp4517 +a(g705 +g1172 +tp4518 +a(g681 +Vcdr +p4519 +tp4520 +a(g440 +g4094 +tp4521 +a(g705 +g1193 +tp4522 +a(g705 +g1193 +tp4523 +a(g705 +g1193 +tp4524 +a(g705 +g1193 +tp4525 +a(g705 +g1193 +tp4526 +a(g705 +g1193 +tp4527 +a(g826 +V\u000a\u000a \u000a\u000a +p4528 +tp4529 +a(g705 +g1172 +tp4530 +a(g744 +Vif +p4531 +tp4532 +a(g705 +g1172 +tp4533 +a(g573 +Vprovided? +p4534 +tp4535 +a(g826 +g1245 +tp4536 +a(g117 +V'posix +p4537 +tp4538 +a(g705 +g1193 +tp4539 +a(g826 +V\u000a +p4540 +tp4541 +a(g705 +g1172 +tp4542 +a(g573 +Vprimitive-load-path +p4543 +tp4544 +a(g826 +g1245 +tp4545 +a(g89 +V"ice-9/posix.scm" +p4546 +tp4547 +a(g705 +g1193 +tp4548 +a(g705 +g1193 +tp4549 +a(g826 +V\u000a\u000a +p4550 +tp4551 +a(g705 +g1172 +tp4552 +a(g744 +Vif +p4553 +tp4554 +a(g705 +g1172 +tp4555 +a(g573 +Vprovided? +p4556 +tp4557 +a(g826 +g1245 +tp4558 +a(g117 +V'socket +p4559 +tp4560 +a(g705 +g1193 +tp4561 +a(g826 +V\u000a +p4562 +tp4563 +a(g705 +g1172 +tp4564 +a(g573 +Vprimitive-load-path +p4565 +tp4566 +a(g826 +g1245 +tp4567 +a(g89 +V"ice-9/networking.scm" +p4568 +tp4569 +a(g705 +g1193 +tp4570 +a(g705 +g1193 +tp4571 +a(g826 +V\u000a\u000a +p4572 +tp4573 +a(g705 +g1172 +tp4574 +a(g744 +Vdefine +p4575 +tp4576 +a(g440 +Vfile-exists? +p4577 +tp4578 +a(g826 +V\u000a +p4579 +tp4580 +a(g705 +g1172 +tp4581 +a(g744 +Vif +p4582 +tp4583 +a(g705 +g1172 +tp4584 +a(g573 +Vprovided? +p4585 +tp4586 +a(g826 +g1245 +tp4587 +a(g117 +V'posix +p4588 +tp4589 +a(g705 +g1193 +tp4590 +a(g826 +V\u000a +p4591 +tp4592 +a(g705 +g1172 +tp4593 +a(g744 +Vlambda +p4594 +tp4595 +a(g705 +g1172 +tp4596 +a(g573 +Vstr +p4597 +tp4598 +a(g705 +g1193 +tp4599 +a(g826 +V\u000a +p4600 +tp4601 +a(g705 +g1172 +tp4602 +a(g573 +V->bool +p4603 +tp4604 +a(g826 +g1245 +tp4605 +a(g705 +g1172 +tp4606 +a(g573 +Vfalse-if-exception +p4607 +tp4608 +a(g826 +g1245 +tp4609 +a(g705 +g1172 +tp4610 +a(g573 +Vstat +p4611 +tp4612 +a(g826 +g1245 +tp4613 +a(g440 +Vstr +p4614 +tp4615 +a(g705 +g1193 +tp4616 +a(g705 +g1193 +tp4617 +a(g705 +g1193 +tp4618 +a(g705 +g1193 +tp4619 +a(g826 +V\u000a +p4620 +tp4621 +a(g705 +g1172 +tp4622 +a(g744 +Vlambda +p4623 +tp4624 +a(g705 +g1172 +tp4625 +a(g573 +Vstr +p4626 +tp4627 +a(g705 +g1193 +tp4628 +a(g826 +V\u000a +p4629 +tp4630 +a(g705 +g1172 +tp4631 +a(g744 +Vlet +p4632 +tp4633 +a(g705 +g1172 +tp4634 +a(g705 +g1172 +tp4635 +a(g573 +Vport +p4636 +tp4637 +a(g826 +g1245 +tp4638 +a(g705 +g1172 +tp4639 +a(g573 +Vcatch +p4640 +tp4641 +a(g826 +g1245 +tp4642 +a(g117 +V'system-error +p4643 +tp4644 +a(g826 +g1245 +tp4645 +a(g705 +g1172 +tp4646 +a(g744 +Vlambda +p4647 +tp4648 +a(g705 +g1172 +tp4649 +a(g705 +g1193 +tp4650 +a(g826 +g1245 +tp4651 +a(g705 +g1172 +tp4652 +a(g573 +Vopen-file +p4653 +tp4654 +a(g826 +g1245 +tp4655 +a(g440 +Vstr +p4656 +tp4657 +a(g826 +g1245 +tp4658 +a(g440 +VOPEN_READ +p4659 +tp4660 +a(g705 +g1193 +tp4661 +a(g705 +g1193 +tp4662 +a(g826 +V\u000a +p4663 +tp4664 +a(g705 +g1172 +tp4665 +a(g744 +Vlambda +p4666 +tp4667 +a(g440 +Vargs +p4668 +tp4669 +a(g826 +g1245 +tp4670 +a(g565 +V#f +p4671 +tp4672 +a(g705 +g1193 +tp4673 +a(g705 +g1193 +tp4674 +a(g705 +g1193 +tp4675 +a(g705 +g1193 +tp4676 +a(g826 +V\u000a +p4677 +tp4678 +a(g705 +g1172 +tp4679 +a(g744 +Vif +p4680 +tp4681 +a(g440 +Vport +p4682 +tp4683 +a(g826 +g1245 +tp4684 +a(g705 +g1172 +tp4685 +a(g744 +Vbegin +p4686 +tp4687 +a(g705 +g1172 +tp4688 +a(g573 +Vclose-port +p4689 +tp4690 +a(g826 +g1245 +tp4691 +a(g440 +Vport +p4692 +tp4693 +a(g705 +g1193 +tp4694 +a(g826 +g1245 +tp4695 +a(g565 +V#t +p4696 +tp4697 +a(g705 +g1193 +tp4698 +a(g826 +V\u000a +p4699 +tp4700 +a(g565 +V#f +p4701 +tp4702 +a(g705 +g1193 +tp4703 +a(g705 +g1193 +tp4704 +a(g705 +g1193 +tp4705 +a(g705 +g1193 +tp4706 +a(g705 +g1193 +tp4707 +a(g826 +V\u000a\u000a +p4708 +tp4709 +a(g705 +g1172 +tp4710 +a(g744 +Vdefine +p4711 +tp4712 +a(g440 +Vfile-is-directory? +p4713 +tp4714 +a(g826 +V\u000a +p4715 +tp4716 +a(g705 +g1172 +tp4717 +a(g744 +Vif +p4718 +tp4719 +a(g705 +g1172 +tp4720 +a(g573 +Vprovided? +p4721 +tp4722 +a(g826 +g1245 +tp4723 +a(g117 +V'posix +p4724 +tp4725 +a(g705 +g1193 +tp4726 +a(g826 +V\u000a +p4727 +tp4728 +a(g705 +g1172 +tp4729 +a(g744 +Vlambda +p4730 +tp4731 +a(g705 +g1172 +tp4732 +a(g573 +Vstr +p4733 +tp4734 +a(g705 +g1193 +tp4735 +a(g826 +V\u000a +p4736 +tp4737 +a(g705 +g1172 +tp4738 +a(g681 +Veq? +p4739 +tp4740 +a(g705 +g1172 +tp4741 +a(g573 +Vstat:type +p4742 +tp4743 +a(g826 +g1245 +tp4744 +a(g705 +g1172 +tp4745 +a(g573 +Vstat +p4746 +tp4747 +a(g826 +g1245 +tp4748 +a(g440 +Vstr +p4749 +tp4750 +a(g705 +g1193 +tp4751 +a(g705 +g1193 +tp4752 +a(g826 +g1245 +tp4753 +a(g117 +V'directory +p4754 +tp4755 +a(g705 +g1193 +tp4756 +a(g705 +g1193 +tp4757 +a(g826 +V\u000a +p4758 +tp4759 +a(g705 +g1172 +tp4760 +a(g744 +Vlambda +p4761 +tp4762 +a(g705 +g1172 +tp4763 +a(g573 +Vstr +p4764 +tp4765 +a(g705 +g1193 +tp4766 +a(g826 +V\u000a +p4767 +tp4768 +a(g705 +g1172 +tp4769 +a(g744 +Vlet +p4770 +tp4771 +a(g705 +g1172 +tp4772 +a(g705 +g1172 +tp4773 +a(g573 +Vport +p4774 +tp4775 +a(g826 +g1245 +tp4776 +a(g705 +g1172 +tp4777 +a(g573 +Vcatch +p4778 +tp4779 +a(g826 +g1245 +tp4780 +a(g117 +V'system-error +p4781 +tp4782 +a(g826 +V\u000a +p4783 +tp4784 +a(g705 +g1172 +tp4785 +a(g744 +Vlambda +p4786 +tp4787 +a(g705 +g1172 +tp4788 +a(g705 +g1193 +tp4789 +a(g826 +g1245 +tp4790 +a(g705 +g1172 +tp4791 +a(g573 +Vopen-file +p4792 +tp4793 +a(g826 +g1245 +tp4794 +a(g705 +g1172 +tp4795 +a(g681 +Vstring-append +p4796 +tp4797 +a(g440 +Vstr +p4798 +tp4799 +a(g826 +g1245 +tp4800 +a(g89 +V"/." +p4801 +tp4802 +a(g705 +g1193 +tp4803 +a(g826 +V\u000a +p4804 +tp4805 +a(g440 +VOPEN_READ +p4806 +tp4807 +a(g705 +g1193 +tp4808 +a(g705 +g1193 +tp4809 +a(g826 +V\u000a +p4810 +tp4811 +a(g705 +g1172 +tp4812 +a(g744 +Vlambda +p4813 +tp4814 +a(g440 +Vargs +p4815 +tp4816 +a(g826 +g1245 +tp4817 +a(g565 +V#f +p4818 +tp4819 +a(g705 +g1193 +tp4820 +a(g705 +g1193 +tp4821 +a(g705 +g1193 +tp4822 +a(g705 +g1193 +tp4823 +a(g826 +V\u000a +p4824 +tp4825 +a(g705 +g1172 +tp4826 +a(g744 +Vif +p4827 +tp4828 +a(g440 +Vport +p4829 +tp4830 +a(g826 +g1245 +tp4831 +a(g705 +g1172 +tp4832 +a(g744 +Vbegin +p4833 +tp4834 +a(g705 +g1172 +tp4835 +a(g573 +Vclose-port +p4836 +tp4837 +a(g826 +g1245 +tp4838 +a(g440 +Vport +p4839 +tp4840 +a(g705 +g1193 +tp4841 +a(g826 +g1245 +tp4842 +a(g565 +V#t +p4843 +tp4844 +a(g705 +g1193 +tp4845 +a(g826 +V\u000a +p4846 +tp4847 +a(g565 +V#f +p4848 +tp4849 +a(g705 +g1193 +tp4850 +a(g705 +g1193 +tp4851 +a(g705 +g1193 +tp4852 +a(g705 +g1193 +tp4853 +a(g705 +g1193 +tp4854 +a(g826 +V\u000a\u000a +p4855 +tp4856 +a(g705 +g1172 +tp4857 +a(g744 +Vdefine +p4858 +tp4859 +a(g705 +g1172 +tp4860 +a(g573 +Vhas-suffix? +p4861 +tp4862 +a(g826 +g1245 +tp4863 +a(g440 +Vstr +p4864 +tp4865 +a(g826 +g1245 +tp4866 +a(g440 +Vsuffix +p4867 +tp4868 +a(g705 +g1193 +tp4869 +a(g826 +V\u000a +p4870 +tp4871 +a(g705 +g1172 +tp4872 +a(g744 +Vlet +p4873 +tp4874 +a(g705 +g1172 +tp4875 +a(g705 +g1172 +tp4876 +a(g573 +Vsufl +p4877 +tp4878 +a(g826 +g1245 +tp4879 +a(g705 +g1172 +tp4880 +a(g681 +Vstring-length +p4881 +tp4882 +a(g440 +Vsuffix +p4883 +tp4884 +a(g705 +g1193 +tp4885 +a(g705 +g1193 +tp4886 +a(g826 +V\u000a +p4887 +tp4888 +a(g705 +g1172 +tp4889 +a(g573 +Vsl +p4890 +tp4891 +a(g826 +g1245 +tp4892 +a(g705 +g1172 +tp4893 +a(g681 +Vstring-length +p4894 +tp4895 +a(g440 +Vstr +p4896 +tp4897 +a(g705 +g1193 +tp4898 +a(g705 +g1193 +tp4899 +a(g705 +g1193 +tp4900 +a(g826 +V\u000a +p4901 +tp4902 +a(g705 +g1172 +tp4903 +a(g744 +Vand +p4904 +tp4905 +a(g705 +g1172 +tp4906 +a(g681 +V> +p4907 +tp4908 +a(g440 +Vsl +p4909 +tp4910 +a(g826 +g1245 +tp4911 +a(g440 +Vsufl +p4912 +tp4913 +a(g705 +g1193 +tp4914 +a(g826 +V\u000a +p4915 +tp4916 +a(g705 +g1172 +tp4917 +a(g681 +Vstring=? +p4918 +tp4919 +a(g705 +g1172 +tp4920 +a(g681 +Vsubstring +p4921 +tp4922 +a(g440 +Vstr +p4923 +tp4924 +a(g826 +g1245 +tp4925 +a(g705 +g1172 +tp4926 +a(g681 +V- +p4927 +tp4928 +a(g440 +Vsl +p4929 +tp4930 +a(g826 +g1245 +tp4931 +a(g440 +Vsufl +p4932 +tp4933 +a(g705 +g1193 +tp4934 +a(g826 +g1245 +tp4935 +a(g440 +Vsl +p4936 +tp4937 +a(g705 +g1193 +tp4938 +a(g826 +g1245 +tp4939 +a(g440 +Vsuffix +p4940 +tp4941 +a(g705 +g1193 +tp4942 +a(g705 +g1193 +tp4943 +a(g705 +g1193 +tp4944 +a(g705 +g1193 +tp4945 +a(g826 +V\u000a\u000a +p4946 +tp4947 +a(g705 +g1172 +tp4948 +a(g744 +Vdefine +p4949 +tp4950 +a(g705 +g1172 +tp4951 +a(g573 +Vsystem-error-errno +p4952 +tp4953 +a(g826 +g1245 +tp4954 +a(g440 +Vargs +p4955 +tp4956 +a(g705 +g1193 +tp4957 +a(g826 +V\u000a +p4958 +tp4959 +a(g705 +g1172 +tp4960 +a(g744 +Vif +p4961 +tp4962 +a(g705 +g1172 +tp4963 +a(g681 +Veq? +p4964 +tp4965 +a(g705 +g1172 +tp4966 +a(g681 +Vcar +p4967 +tp4968 +a(g440 +Vargs +p4969 +tp4970 +a(g705 +g1193 +tp4971 +a(g826 +g1245 +tp4972 +a(g117 +V'system-error +p4973 +tp4974 +a(g705 +g1193 +tp4975 +a(g826 +V\u000a +p4976 +tp4977 +a(g705 +g1172 +tp4978 +a(g681 +Vcar +p4979 +tp4980 +a(g705 +g1172 +tp4981 +a(g681 +Vlist-ref +p4982 +tp4983 +a(g440 +Vargs +p4984 +tp4985 +a(g826 +g1245 +tp4986 +a(g37 +V4 +p4987 +tp4988 +a(g705 +g1193 +tp4989 +a(g705 +g1193 +tp4990 +a(g826 +V\u000a +p4991 +tp4992 +a(g565 +V#f +p4993 +tp4994 +a(g705 +g1193 +tp4995 +a(g705 +g1193 +tp4996 +a(g826 +V\u000a\u000a \u000a +p4997 +tp4998 +a(g8 +V;;; {Error Handling} +p4999 +tp5000 +a(g826 +V\u000a +p5001 +tp5002 +a(g8 +V;;; +p5003 +tp5004 +a(g826 +V\u000a\u000a +p5005 +tp5006 +a(g705 +g1172 +tp5007 +a(g744 +Vdefine +p5008 +tp5009 +a(g705 +g1172 +tp5010 +a(g573 +Verror +p5011 +tp5012 +a(g826 +g1245 +tp5013 +a(g412 +g1446 +tp5014 +a(g826 +g1245 +tp5015 +a(g440 +Vargs +p5016 +tp5017 +a(g705 +g1193 +tp5018 +a(g826 +V\u000a +p5019 +tp5020 +a(g705 +g1172 +tp5021 +a(g573 +Vsave-stack +p5022 +tp5023 +a(g705 +g1193 +tp5024 +a(g826 +V\u000a +p5025 +tp5026 +a(g705 +g1172 +tp5027 +a(g744 +Vif +p5028 +tp5029 +a(g705 +g1172 +tp5030 +a(g681 +Vnull? +p5031 +tp5032 +a(g440 +Vargs +p5033 +tp5034 +a(g705 +g1193 +tp5035 +a(g826 +V\u000a +p5036 +tp5037 +a(g705 +g1172 +tp5038 +a(g573 +Vscm-error +p5039 +tp5040 +a(g826 +g1245 +tp5041 +a(g117 +V'misc-error +p5042 +tp5043 +a(g826 +g1245 +tp5044 +a(g565 +V#f +p5045 +tp5046 +a(g826 +g1245 +tp5047 +a(g89 +V"?" +p5048 +tp5049 +a(g826 +g1245 +tp5050 +a(g565 +V#f +p5051 +tp5052 +a(g826 +g1245 +tp5053 +a(g565 +V#f +p5054 +tp5055 +a(g705 +g1193 +tp5056 +a(g826 +V\u000a +p5057 +tp5058 +a(g705 +g1172 +tp5059 +a(g744 +Vlet +p5060 +tp5061 +a(g440 +Vloop +p5062 +tp5063 +a(g826 +g1245 +tp5064 +a(g705 +g1172 +tp5065 +a(g705 +g1172 +tp5066 +a(g573 +Vmsg +p5067 +tp5068 +a(g826 +g1245 +tp5069 +a(g89 +V"~A" +p5070 +tp5071 +a(g705 +g1193 +tp5072 +a(g826 +V\u000a +p5073 +tp5074 +a(g705 +g1172 +tp5075 +a(g573 +Vrest +p5076 +tp5077 +a(g826 +g1245 +tp5078 +a(g705 +g1172 +tp5079 +a(g681 +Vcdr +p5080 +tp5081 +a(g440 +Vargs +p5082 +tp5083 +a(g705 +g1193 +tp5084 +a(g705 +g1193 +tp5085 +a(g705 +g1193 +tp5086 +a(g826 +V\u000a +p5087 +tp5088 +a(g705 +g1172 +tp5089 +a(g744 +Vif +p5090 +tp5091 +a(g705 +g1172 +tp5092 +a(g681 +Vnot +p5093 +tp5094 +a(g705 +g1172 +tp5095 +a(g681 +Vnull? +p5096 +tp5097 +a(g440 +Vrest +p5098 +tp5099 +a(g705 +g1193 +tp5100 +a(g705 +g1193 +tp5101 +a(g826 +V\u000a +p5102 +tp5103 +a(g705 +g1172 +tp5104 +a(g573 +Vloop +p5105 +tp5106 +a(g826 +g1245 +tp5107 +a(g705 +g1172 +tp5108 +a(g681 +Vstring-append +p5109 +tp5110 +a(g440 +Vmsg +p5111 +tp5112 +a(g826 +g1245 +tp5113 +a(g89 +V" ~S" +p5114 +tp5115 +a(g705 +g1193 +tp5116 +a(g826 +V\u000a +p5117 +tp5118 +a(g705 +g1172 +tp5119 +a(g681 +Vcdr +p5120 +tp5121 +a(g440 +Vrest +p5122 +tp5123 +a(g705 +g1193 +tp5124 +a(g705 +g1193 +tp5125 +a(g826 +V\u000a +p5126 +tp5127 +a(g705 +g1172 +tp5128 +a(g573 +Vscm-error +p5129 +tp5130 +a(g826 +g1245 +tp5131 +a(g117 +V'misc-error +p5132 +tp5133 +a(g826 +g1245 +tp5134 +a(g565 +V#f +p5135 +tp5136 +a(g826 +g1245 +tp5137 +a(g440 +Vmsg +p5138 +tp5139 +a(g826 +g1245 +tp5140 +a(g440 +Vargs +p5141 +tp5142 +a(g826 +g1245 +tp5143 +a(g565 +V#f +p5144 +tp5145 +a(g705 +g1193 +tp5146 +a(g705 +g1193 +tp5147 +a(g705 +g1193 +tp5148 +a(g705 +g1193 +tp5149 +a(g705 +g1193 +tp5150 +a(g826 +V\u000a\u000a +p5151 +tp5152 +a(g8 +V;; bad-throw is the hook that is called upon a throw to a an unhandled +p5153 +tp5154 +a(g826 +V\u000a +p5155 +tp5156 +a(g8 +V;; key (unless the throw has four arguments, in which case +p5157 +tp5158 +a(g826 +V\u000a +p5159 +tp5160 +a(g8 +V;; it's usually interpreted as an error throw.) +p5161 +tp5162 +a(g826 +V\u000a +p5163 +tp5164 +a(g8 +V;; If the key has a default handler (a throw-handler-default property), +p5165 +tp5166 +a(g826 +V\u000a +p5167 +tp5168 +a(g8 +V;; it is applied to the throw. +p5169 +tp5170 +a(g826 +V\u000a +p5171 +tp5172 +a(g8 +V;; +p5173 +tp5174 +a(g826 +V\u000a +p5175 +tp5176 +a(g705 +g1172 +tp5177 +a(g744 +Vdefine +p5178 +tp5179 +a(g705 +g1172 +tp5180 +a(g573 +Vbad-throw +p5181 +tp5182 +a(g826 +g1245 +tp5183 +a(g440 +Vkey +p5184 +tp5185 +a(g826 +g1245 +tp5186 +a(g412 +g1446 +tp5187 +a(g826 +g1245 +tp5188 +a(g440 +Vargs +p5189 +tp5190 +a(g705 +g1193 +tp5191 +a(g826 +V\u000a +p5192 +tp5193 +a(g705 +g1172 +tp5194 +a(g744 +Vlet +p5195 +tp5196 +a(g705 +g1172 +tp5197 +a(g705 +g1172 +tp5198 +a(g573 +Vdefault +p5199 +tp5200 +a(g826 +g1245 +tp5201 +a(g705 +g1172 +tp5202 +a(g573 +Vsymbol-property +p5203 +tp5204 +a(g826 +g1245 +tp5205 +a(g440 +Vkey +p5206 +tp5207 +a(g826 +g1245 +tp5208 +a(g117 +V'throw-handler-default +p5209 +tp5210 +a(g705 +g1193 +tp5211 +a(g705 +g1193 +tp5212 +a(g705 +g1193 +tp5213 +a(g826 +V\u000a +p5214 +tp5215 +a(g705 +g1172 +tp5216 +a(g744 +Vor +p5217 +tp5218 +a(g705 +g1172 +tp5219 +a(g744 +Vand +p5220 +tp5221 +a(g440 +Vdefault +p5222 +tp5223 +a(g826 +g1245 +tp5224 +a(g705 +g1172 +tp5225 +a(g681 +Vapply +p5226 +tp5227 +a(g440 +Vdefault +p5228 +tp5229 +a(g826 +g1245 +tp5230 +a(g440 +Vkey +p5231 +tp5232 +a(g826 +g1245 +tp5233 +a(g440 +Vargs +p5234 +tp5235 +a(g705 +g1193 +tp5236 +a(g705 +g1193 +tp5237 +a(g826 +V\u000a +p5238 +tp5239 +a(g705 +g1172 +tp5240 +a(g681 +Vapply +p5241 +tp5242 +a(g440 +Verror +p5243 +tp5244 +a(g826 +g1245 +tp5245 +a(g89 +V"unhandled-exception:" +p5246 +tp5247 +a(g826 +g1245 +tp5248 +a(g440 +Vkey +p5249 +tp5250 +a(g826 +g1245 +tp5251 +a(g440 +Vargs +p5252 +tp5253 +a(g705 +g1193 +tp5254 +a(g705 +g1193 +tp5255 +a(g705 +g1193 +tp5256 +a(g705 +g1193 +tp5257 +a(g826 +V\u000a\u000a \u000a\u000a +p5258 +tp5259 +a(g705 +g1172 +tp5260 +a(g744 +Vdefine +p5261 +tp5262 +a(g705 +g1172 +tp5263 +a(g573 +Vtm:sec +p5264 +tp5265 +a(g826 +g1245 +tp5266 +a(g440 +Vobj +p5267 +tp5268 +a(g705 +g1193 +tp5269 +a(g826 +g1245 +tp5270 +a(g705 +g1172 +tp5271 +a(g681 +Vvector-ref +p5272 +tp5273 +a(g440 +Vobj +p5274 +tp5275 +a(g826 +g1245 +tp5276 +a(g37 +g2857 +tp5277 +a(g705 +g1193 +tp5278 +a(g705 +g1193 +tp5279 +a(g826 +V\u000a +p5280 +tp5281 +a(g705 +g1172 +tp5282 +a(g744 +Vdefine +p5283 +tp5284 +a(g705 +g1172 +tp5285 +a(g573 +Vtm:min +p5286 +tp5287 +a(g826 +g1245 +tp5288 +a(g440 +Vobj +p5289 +tp5290 +a(g705 +g1193 +tp5291 +a(g826 +g1245 +tp5292 +a(g705 +g1172 +tp5293 +a(g681 +Vvector-ref +p5294 +tp5295 +a(g440 +Vobj +p5296 +tp5297 +a(g826 +g1245 +tp5298 +a(g37 +g1609 +tp5299 +a(g705 +g1193 +tp5300 +a(g705 +g1193 +tp5301 +a(g826 +V\u000a +p5302 +tp5303 +a(g705 +g1172 +tp5304 +a(g744 +Vdefine +p5305 +tp5306 +a(g705 +g1172 +tp5307 +a(g573 +Vtm:hour +p5308 +tp5309 +a(g826 +g1245 +tp5310 +a(g440 +Vobj +p5311 +tp5312 +a(g705 +g1193 +tp5313 +a(g826 +g1245 +tp5314 +a(g705 +g1172 +tp5315 +a(g681 +Vvector-ref +p5316 +tp5317 +a(g440 +Vobj +p5318 +tp5319 +a(g826 +g1245 +tp5320 +a(g37 +g1960 +tp5321 +a(g705 +g1193 +tp5322 +a(g705 +g1193 +tp5323 +a(g826 +V\u000a +p5324 +tp5325 +a(g705 +g1172 +tp5326 +a(g744 +Vdefine +p5327 +tp5328 +a(g705 +g1172 +tp5329 +a(g573 +Vtm:mday +p5330 +tp5331 +a(g826 +g1245 +tp5332 +a(g440 +Vobj +p5333 +tp5334 +a(g705 +g1193 +tp5335 +a(g826 +g1245 +tp5336 +a(g705 +g1172 +tp5337 +a(g681 +Vvector-ref +p5338 +tp5339 +a(g440 +Vobj +p5340 +tp5341 +a(g826 +g1245 +tp5342 +a(g37 +V3 +p5343 +tp5344 +a(g705 +g1193 +tp5345 +a(g705 +g1193 +tp5346 +a(g826 +V\u000a +p5347 +tp5348 +a(g705 +g1172 +tp5349 +a(g744 +Vdefine +p5350 +tp5351 +a(g705 +g1172 +tp5352 +a(g573 +Vtm:mon +p5353 +tp5354 +a(g826 +g1245 +tp5355 +a(g440 +Vobj +p5356 +tp5357 +a(g705 +g1193 +tp5358 +a(g826 +g1245 +tp5359 +a(g705 +g1172 +tp5360 +a(g681 +Vvector-ref +p5361 +tp5362 +a(g440 +Vobj +p5363 +tp5364 +a(g826 +g1245 +tp5365 +a(g37 +g4987 +tp5366 +a(g705 +g1193 +tp5367 +a(g705 +g1193 +tp5368 +a(g826 +V\u000a +p5369 +tp5370 +a(g705 +g1172 +tp5371 +a(g744 +Vdefine +p5372 +tp5373 +a(g705 +g1172 +tp5374 +a(g573 +Vtm:year +p5375 +tp5376 +a(g826 +g1245 +tp5377 +a(g440 +Vobj +p5378 +tp5379 +a(g705 +g1193 +tp5380 +a(g826 +g1245 +tp5381 +a(g705 +g1172 +tp5382 +a(g681 +Vvector-ref +p5383 +tp5384 +a(g440 +Vobj +p5385 +tp5386 +a(g826 +g1245 +tp5387 +a(g37 +V5 +p5388 +tp5389 +a(g705 +g1193 +tp5390 +a(g705 +g1193 +tp5391 +a(g826 +V\u000a +p5392 +tp5393 +a(g705 +g1172 +tp5394 +a(g744 +Vdefine +p5395 +tp5396 +a(g705 +g1172 +tp5397 +a(g573 +Vtm:wday +p5398 +tp5399 +a(g826 +g1245 +tp5400 +a(g440 +Vobj +p5401 +tp5402 +a(g705 +g1193 +tp5403 +a(g826 +g1245 +tp5404 +a(g705 +g1172 +tp5405 +a(g681 +Vvector-ref +p5406 +tp5407 +a(g440 +Vobj +p5408 +tp5409 +a(g826 +g1245 +tp5410 +a(g37 +V6 +p5411 +tp5412 +a(g705 +g1193 +tp5413 +a(g705 +g1193 +tp5414 +a(g826 +V\u000a +p5415 +tp5416 +a(g705 +g1172 +tp5417 +a(g744 +Vdefine +p5418 +tp5419 +a(g705 +g1172 +tp5420 +a(g573 +Vtm:yday +p5421 +tp5422 +a(g826 +g1245 +tp5423 +a(g440 +Vobj +p5424 +tp5425 +a(g705 +g1193 +tp5426 +a(g826 +g1245 +tp5427 +a(g705 +g1172 +tp5428 +a(g681 +Vvector-ref +p5429 +tp5430 +a(g440 +Vobj +p5431 +tp5432 +a(g826 +g1245 +tp5433 +a(g37 +V7 +p5434 +tp5435 +a(g705 +g1193 +tp5436 +a(g705 +g1193 +tp5437 +a(g826 +V\u000a +p5438 +tp5439 +a(g705 +g1172 +tp5440 +a(g744 +Vdefine +p5441 +tp5442 +a(g705 +g1172 +tp5443 +a(g573 +Vtm:isdst +p5444 +tp5445 +a(g826 +g1245 +tp5446 +a(g440 +Vobj +p5447 +tp5448 +a(g705 +g1193 +tp5449 +a(g826 +g1245 +tp5450 +a(g705 +g1172 +tp5451 +a(g681 +Vvector-ref +p5452 +tp5453 +a(g440 +Vobj +p5454 +tp5455 +a(g826 +g1245 +tp5456 +a(g37 +V8 +p5457 +tp5458 +a(g705 +g1193 +tp5459 +a(g705 +g1193 +tp5460 +a(g826 +V\u000a +p5461 +tp5462 +a(g705 +g1172 +tp5463 +a(g744 +Vdefine +p5464 +tp5465 +a(g705 +g1172 +tp5466 +a(g573 +Vtm:gmtoff +p5467 +tp5468 +a(g826 +g1245 +tp5469 +a(g440 +Vobj +p5470 +tp5471 +a(g705 +g1193 +tp5472 +a(g826 +g1245 +tp5473 +a(g705 +g1172 +tp5474 +a(g681 +Vvector-ref +p5475 +tp5476 +a(g440 +Vobj +p5477 +tp5478 +a(g826 +g1245 +tp5479 +a(g37 +V9 +p5480 +tp5481 +a(g705 +g1193 +tp5482 +a(g705 +g1193 +tp5483 +a(g826 +V\u000a +p5484 +tp5485 +a(g705 +g1172 +tp5486 +a(g744 +Vdefine +p5487 +tp5488 +a(g705 +g1172 +tp5489 +a(g573 +Vtm:zone +p5490 +tp5491 +a(g826 +g1245 +tp5492 +a(g440 +Vobj +p5493 +tp5494 +a(g705 +g1193 +tp5495 +a(g826 +g1245 +tp5496 +a(g705 +g1172 +tp5497 +a(g681 +Vvector-ref +p5498 +tp5499 +a(g440 +Vobj +p5500 +tp5501 +a(g826 +g1245 +tp5502 +a(g37 +V10 +p5503 +tp5504 +a(g705 +g1193 +tp5505 +a(g705 +g1193 +tp5506 +a(g826 +V\u000a\u000a +p5507 +tp5508 +a(g705 +g1172 +tp5509 +a(g744 +Vdefine +p5510 +tp5511 +a(g705 +g1172 +tp5512 +a(g573 +Vset-tm:sec +p5513 +tp5514 +a(g826 +g1245 +tp5515 +a(g440 +Vobj +p5516 +tp5517 +a(g826 +g1245 +tp5518 +a(g440 +Vval +p5519 +tp5520 +a(g705 +g1193 +tp5521 +a(g826 +g1245 +tp5522 +a(g705 +g1172 +tp5523 +a(g681 +Vvector-set! +p5524 +tp5525 +a(g440 +Vobj +p5526 +tp5527 +a(g826 +g1245 +tp5528 +a(g37 +g2857 +tp5529 +a(g826 +g1245 +tp5530 +a(g440 +Vval +p5531 +tp5532 +a(g705 +g1193 +tp5533 +a(g705 +g1193 +tp5534 +a(g826 +V\u000a +p5535 +tp5536 +a(g705 +g1172 +tp5537 +a(g744 +Vdefine +p5538 +tp5539 +a(g705 +g1172 +tp5540 +a(g573 +Vset-tm:min +p5541 +tp5542 +a(g826 +g1245 +tp5543 +a(g440 +Vobj +p5544 +tp5545 +a(g826 +g1245 +tp5546 +a(g440 +Vval +p5547 +tp5548 +a(g705 +g1193 +tp5549 +a(g826 +g1245 +tp5550 +a(g705 +g1172 +tp5551 +a(g681 +Vvector-set! +p5552 +tp5553 +a(g440 +Vobj +p5554 +tp5555 +a(g826 +g1245 +tp5556 +a(g37 +g1609 +tp5557 +a(g826 +g1245 +tp5558 +a(g440 +Vval +p5559 +tp5560 +a(g705 +g1193 +tp5561 +a(g705 +g1193 +tp5562 +a(g826 +V\u000a +p5563 +tp5564 +a(g705 +g1172 +tp5565 +a(g744 +Vdefine +p5566 +tp5567 +a(g705 +g1172 +tp5568 +a(g573 +Vset-tm:hour +p5569 +tp5570 +a(g826 +g1245 +tp5571 +a(g440 +Vobj +p5572 +tp5573 +a(g826 +g1245 +tp5574 +a(g440 +Vval +p5575 +tp5576 +a(g705 +g1193 +tp5577 +a(g826 +g1245 +tp5578 +a(g705 +g1172 +tp5579 +a(g681 +Vvector-set! +p5580 +tp5581 +a(g440 +Vobj +p5582 +tp5583 +a(g826 +g1245 +tp5584 +a(g37 +g1960 +tp5585 +a(g826 +g1245 +tp5586 +a(g440 +Vval +p5587 +tp5588 +a(g705 +g1193 +tp5589 +a(g705 +g1193 +tp5590 +a(g826 +V\u000a +p5591 +tp5592 +a(g705 +g1172 +tp5593 +a(g744 +Vdefine +p5594 +tp5595 +a(g705 +g1172 +tp5596 +a(g573 +Vset-tm:mday +p5597 +tp5598 +a(g826 +g1245 +tp5599 +a(g440 +Vobj +p5600 +tp5601 +a(g826 +g1245 +tp5602 +a(g440 +Vval +p5603 +tp5604 +a(g705 +g1193 +tp5605 +a(g826 +g1245 +tp5606 +a(g705 +g1172 +tp5607 +a(g681 +Vvector-set! +p5608 +tp5609 +a(g440 +Vobj +p5610 +tp5611 +a(g826 +g1245 +tp5612 +a(g37 +g5343 +tp5613 +a(g826 +g1245 +tp5614 +a(g440 +Vval +p5615 +tp5616 +a(g705 +g1193 +tp5617 +a(g705 +g1193 +tp5618 +a(g826 +V\u000a +p5619 +tp5620 +a(g705 +g1172 +tp5621 +a(g744 +Vdefine +p5622 +tp5623 +a(g705 +g1172 +tp5624 +a(g573 +Vset-tm:mon +p5625 +tp5626 +a(g826 +g1245 +tp5627 +a(g440 +Vobj +p5628 +tp5629 +a(g826 +g1245 +tp5630 +a(g440 +Vval +p5631 +tp5632 +a(g705 +g1193 +tp5633 +a(g826 +g1245 +tp5634 +a(g705 +g1172 +tp5635 +a(g681 +Vvector-set! +p5636 +tp5637 +a(g440 +Vobj +p5638 +tp5639 +a(g826 +g1245 +tp5640 +a(g37 +g4987 +tp5641 +a(g826 +g1245 +tp5642 +a(g440 +Vval +p5643 +tp5644 +a(g705 +g1193 +tp5645 +a(g705 +g1193 +tp5646 +a(g826 +V\u000a +p5647 +tp5648 +a(g705 +g1172 +tp5649 +a(g744 +Vdefine +p5650 +tp5651 +a(g705 +g1172 +tp5652 +a(g573 +Vset-tm:year +p5653 +tp5654 +a(g826 +g1245 +tp5655 +a(g440 +Vobj +p5656 +tp5657 +a(g826 +g1245 +tp5658 +a(g440 +Vval +p5659 +tp5660 +a(g705 +g1193 +tp5661 +a(g826 +g1245 +tp5662 +a(g705 +g1172 +tp5663 +a(g681 +Vvector-set! +p5664 +tp5665 +a(g440 +Vobj +p5666 +tp5667 +a(g826 +g1245 +tp5668 +a(g37 +g5388 +tp5669 +a(g826 +g1245 +tp5670 +a(g440 +Vval +p5671 +tp5672 +a(g705 +g1193 +tp5673 +a(g705 +g1193 +tp5674 +a(g826 +V\u000a +p5675 +tp5676 +a(g705 +g1172 +tp5677 +a(g744 +Vdefine +p5678 +tp5679 +a(g705 +g1172 +tp5680 +a(g573 +Vset-tm:wday +p5681 +tp5682 +a(g826 +g1245 +tp5683 +a(g440 +Vobj +p5684 +tp5685 +a(g826 +g1245 +tp5686 +a(g440 +Vval +p5687 +tp5688 +a(g705 +g1193 +tp5689 +a(g826 +g1245 +tp5690 +a(g705 +g1172 +tp5691 +a(g681 +Vvector-set! +p5692 +tp5693 +a(g440 +Vobj +p5694 +tp5695 +a(g826 +g1245 +tp5696 +a(g37 +g5411 +tp5697 +a(g826 +g1245 +tp5698 +a(g440 +Vval +p5699 +tp5700 +a(g705 +g1193 +tp5701 +a(g705 +g1193 +tp5702 +a(g826 +V\u000a +p5703 +tp5704 +a(g705 +g1172 +tp5705 +a(g744 +Vdefine +p5706 +tp5707 +a(g705 +g1172 +tp5708 +a(g573 +Vset-tm:yday +p5709 +tp5710 +a(g826 +g1245 +tp5711 +a(g440 +Vobj +p5712 +tp5713 +a(g826 +g1245 +tp5714 +a(g440 +Vval +p5715 +tp5716 +a(g705 +g1193 +tp5717 +a(g826 +g1245 +tp5718 +a(g705 +g1172 +tp5719 +a(g681 +Vvector-set! +p5720 +tp5721 +a(g440 +Vobj +p5722 +tp5723 +a(g826 +g1245 +tp5724 +a(g37 +g5434 +tp5725 +a(g826 +g1245 +tp5726 +a(g440 +Vval +p5727 +tp5728 +a(g705 +g1193 +tp5729 +a(g705 +g1193 +tp5730 +a(g826 +V\u000a +p5731 +tp5732 +a(g705 +g1172 +tp5733 +a(g744 +Vdefine +p5734 +tp5735 +a(g705 +g1172 +tp5736 +a(g573 +Vset-tm:isdst +p5737 +tp5738 +a(g826 +g1245 +tp5739 +a(g440 +Vobj +p5740 +tp5741 +a(g826 +g1245 +tp5742 +a(g440 +Vval +p5743 +tp5744 +a(g705 +g1193 +tp5745 +a(g826 +g1245 +tp5746 +a(g705 +g1172 +tp5747 +a(g681 +Vvector-set! +p5748 +tp5749 +a(g440 +Vobj +p5750 +tp5751 +a(g826 +g1245 +tp5752 +a(g37 +g5457 +tp5753 +a(g826 +g1245 +tp5754 +a(g440 +Vval +p5755 +tp5756 +a(g705 +g1193 +tp5757 +a(g705 +g1193 +tp5758 +a(g826 +V\u000a +p5759 +tp5760 +a(g705 +g1172 +tp5761 +a(g744 +Vdefine +p5762 +tp5763 +a(g705 +g1172 +tp5764 +a(g573 +Vset-tm:gmtoff +p5765 +tp5766 +a(g826 +g1245 +tp5767 +a(g440 +Vobj +p5768 +tp5769 +a(g826 +g1245 +tp5770 +a(g440 +Vval +p5771 +tp5772 +a(g705 +g1193 +tp5773 +a(g826 +g1245 +tp5774 +a(g705 +g1172 +tp5775 +a(g681 +Vvector-set! +p5776 +tp5777 +a(g440 +Vobj +p5778 +tp5779 +a(g826 +g1245 +tp5780 +a(g37 +g5480 +tp5781 +a(g826 +g1245 +tp5782 +a(g440 +Vval +p5783 +tp5784 +a(g705 +g1193 +tp5785 +a(g705 +g1193 +tp5786 +a(g826 +V\u000a +p5787 +tp5788 +a(g705 +g1172 +tp5789 +a(g744 +Vdefine +p5790 +tp5791 +a(g705 +g1172 +tp5792 +a(g573 +Vset-tm:zone +p5793 +tp5794 +a(g826 +g1245 +tp5795 +a(g440 +Vobj +p5796 +tp5797 +a(g826 +g1245 +tp5798 +a(g440 +Vval +p5799 +tp5800 +a(g705 +g1193 +tp5801 +a(g826 +g1245 +tp5802 +a(g705 +g1172 +tp5803 +a(g681 +Vvector-set! +p5804 +tp5805 +a(g440 +Vobj +p5806 +tp5807 +a(g826 +g1245 +tp5808 +a(g37 +V10 +p5809 +tp5810 +a(g826 +g1245 +tp5811 +a(g440 +Vval +p5812 +tp5813 +a(g705 +g1193 +tp5814 +a(g705 +g1193 +tp5815 +a(g826 +V\u000a\u000a +p5816 +tp5817 +a(g705 +g1172 +tp5818 +a(g744 +Vdefine +p5819 +tp5820 +a(g705 +g1172 +tp5821 +a(g573 +Vtms:clock +p5822 +tp5823 +a(g826 +g1245 +tp5824 +a(g440 +Vobj +p5825 +tp5826 +a(g705 +g1193 +tp5827 +a(g826 +g1245 +tp5828 +a(g705 +g1172 +tp5829 +a(g681 +Vvector-ref +p5830 +tp5831 +a(g440 +Vobj +p5832 +tp5833 +a(g826 +g1245 +tp5834 +a(g37 +g2857 +tp5835 +a(g705 +g1193 +tp5836 +a(g705 +g1193 +tp5837 +a(g826 +V\u000a +p5838 +tp5839 +a(g705 +g1172 +tp5840 +a(g744 +Vdefine +p5841 +tp5842 +a(g705 +g1172 +tp5843 +a(g573 +Vtms:utime +p5844 +tp5845 +a(g826 +g1245 +tp5846 +a(g440 +Vobj +p5847 +tp5848 +a(g705 +g1193 +tp5849 +a(g826 +g1245 +tp5850 +a(g705 +g1172 +tp5851 +a(g681 +Vvector-ref +p5852 +tp5853 +a(g440 +Vobj +p5854 +tp5855 +a(g826 +g1245 +tp5856 +a(g37 +g1609 +tp5857 +a(g705 +g1193 +tp5858 +a(g705 +g1193 +tp5859 +a(g826 +V\u000a +p5860 +tp5861 +a(g705 +g1172 +tp5862 +a(g744 +Vdefine +p5863 +tp5864 +a(g705 +g1172 +tp5865 +a(g573 +Vtms:stime +p5866 +tp5867 +a(g826 +g1245 +tp5868 +a(g440 +Vobj +p5869 +tp5870 +a(g705 +g1193 +tp5871 +a(g826 +g1245 +tp5872 +a(g705 +g1172 +tp5873 +a(g681 +Vvector-ref +p5874 +tp5875 +a(g440 +Vobj +p5876 +tp5877 +a(g826 +g1245 +tp5878 +a(g37 +g1960 +tp5879 +a(g705 +g1193 +tp5880 +a(g705 +g1193 +tp5881 +a(g826 +V\u000a +p5882 +tp5883 +a(g705 +g1172 +tp5884 +a(g744 +Vdefine +p5885 +tp5886 +a(g705 +g1172 +tp5887 +a(g573 +Vtms:cutime +p5888 +tp5889 +a(g826 +g1245 +tp5890 +a(g440 +Vobj +p5891 +tp5892 +a(g705 +g1193 +tp5893 +a(g826 +g1245 +tp5894 +a(g705 +g1172 +tp5895 +a(g681 +Vvector-ref +p5896 +tp5897 +a(g440 +Vobj +p5898 +tp5899 +a(g826 +g1245 +tp5900 +a(g37 +g5343 +tp5901 +a(g705 +g1193 +tp5902 +a(g705 +g1193 +tp5903 +a(g826 +V\u000a +p5904 +tp5905 +a(g705 +g1172 +tp5906 +a(g744 +Vdefine +p5907 +tp5908 +a(g705 +g1172 +tp5909 +a(g573 +Vtms:cstime +p5910 +tp5911 +a(g826 +g1245 +tp5912 +a(g440 +Vobj +p5913 +tp5914 +a(g705 +g1193 +tp5915 +a(g826 +g1245 +tp5916 +a(g705 +g1172 +tp5917 +a(g681 +Vvector-ref +p5918 +tp5919 +a(g440 +Vobj +p5920 +tp5921 +a(g826 +g1245 +tp5922 +a(g37 +g4987 +tp5923 +a(g705 +g1193 +tp5924 +a(g705 +g1193 +tp5925 +a(g826 +V\u000a\u000a +p5926 +tp5927 +a(g705 +g1172 +tp5928 +a(g744 +Vdefine +p5929 +tp5930 +a(g440 +Vfile-position +p5931 +tp5932 +a(g826 +g1245 +tp5933 +a(g440 +Vftell +p5934 +tp5935 +a(g705 +g1193 +tp5936 +a(g826 +V\u000a +p5937 +tp5938 +a(g705 +g1172 +tp5939 +a(g744 +Vdefine +p5940 +tp5941 +a(g705 +g1172 +tp5942 +a(g573 +Vfile-set-position +p5943 +tp5944 +a(g826 +g1245 +tp5945 +a(g440 +Vport +p5946 +tp5947 +a(g826 +g1245 +tp5948 +a(g440 +Voffset +p5949 +tp5950 +a(g826 +g1245 +tp5951 +a(g412 +g1446 +tp5952 +a(g826 +g1245 +tp5953 +a(g440 +Vwhence +p5954 +tp5955 +a(g705 +g1193 +tp5956 +a(g826 +V\u000a +p5957 +tp5958 +a(g705 +g1172 +tp5959 +a(g744 +Vlet +p5960 +tp5961 +a(g705 +g1172 +tp5962 +a(g705 +g1172 +tp5963 +a(g573 +Vwhence +p5964 +tp5965 +a(g826 +g1245 +tp5966 +a(g705 +g1172 +tp5967 +a(g744 +Vif +p5968 +tp5969 +a(g705 +g1172 +tp5970 +a(g681 +Veq? +p5971 +tp5972 +a(g440 +Vwhence +p5973 +tp5974 +a(g826 +g1245 +tp5975 +a(g412 +g1697 +tp5976 +a(g705 +g1172 +tp5977 +a(g705 +g1193 +tp5978 +a(g705 +g1193 +tp5979 +a(g826 +g1245 +tp5980 +a(g440 +VSEEK_SET +p5981 +tp5982 +a(g826 +g1245 +tp5983 +a(g705 +g1172 +tp5984 +a(g681 +Vcar +p5985 +tp5986 +a(g440 +Vwhence +p5987 +tp5988 +a(g705 +g1193 +tp5989 +a(g705 +g1193 +tp5990 +a(g705 +g1193 +tp5991 +a(g705 +g1193 +tp5992 +a(g826 +V\u000a +p5993 +tp5994 +a(g705 +g1172 +tp5995 +a(g573 +Vseek +p5996 +tp5997 +a(g826 +g1245 +tp5998 +a(g440 +Vport +p5999 +tp6000 +a(g826 +g1245 +tp6001 +a(g440 +Voffset +p6002 +tp6003 +a(g826 +g1245 +tp6004 +a(g440 +Vwhence +p6005 +tp6006 +a(g705 +g1193 +tp6007 +a(g705 +g1193 +tp6008 +a(g705 +g1193 +tp6009 +a(g826 +V\u000a\u000a +p6010 +tp6011 +a(g705 +g1172 +tp6012 +a(g744 +Vdefine +p6013 +tp6014 +a(g705 +g1172 +tp6015 +a(g573 +Vmove->fdes +p6016 +tp6017 +a(g826 +g1245 +tp6018 +a(g440 +Vfd/port +p6019 +tp6020 +a(g826 +g1245 +tp6021 +a(g440 +Vfd +p6022 +tp6023 +a(g705 +g1193 +tp6024 +a(g826 +V\u000a +p6025 +tp6026 +a(g705 +g1172 +tp6027 +a(g744 +Vcond +p6028 +tp6029 +a(g705 +g1172 +tp6030 +a(g705 +g1172 +tp6031 +a(g681 +Vinteger? +p6032 +tp6033 +a(g440 +Vfd/port +p6034 +tp6035 +a(g705 +g1193 +tp6036 +a(g826 +V\u000a +p6037 +tp6038 +a(g705 +g1172 +tp6039 +a(g573 +Vdup->fdes +p6040 +tp6041 +a(g826 +g1245 +tp6042 +a(g440 +Vfd/port +p6043 +tp6044 +a(g826 +g1245 +tp6045 +a(g440 +Vfd +p6046 +tp6047 +a(g705 +g1193 +tp6048 +a(g826 +V\u000a +p6049 +tp6050 +a(g705 +g1172 +tp6051 +a(g573 +Vclose +p6052 +tp6053 +a(g826 +g1245 +tp6054 +a(g440 +Vfd/port +p6055 +tp6056 +a(g705 +g1193 +tp6057 +a(g826 +V\u000a +p6058 +tp6059 +a(g440 +Vfd +p6060 +tp6061 +a(g705 +g1193 +tp6062 +a(g826 +V\u000a +p6063 +tp6064 +a(g705 +g1172 +tp6065 +a(g573 +Velse +p6066 +tp6067 +a(g826 +V\u000a +p6068 +tp6069 +a(g705 +g1172 +tp6070 +a(g573 +Vprimitive-move->fdes +p6071 +tp6072 +a(g826 +g1245 +tp6073 +a(g440 +Vfd/port +p6074 +tp6075 +a(g826 +g1245 +tp6076 +a(g440 +Vfd +p6077 +tp6078 +a(g705 +g1193 +tp6079 +a(g826 +V\u000a +p6080 +tp6081 +a(g705 +g1172 +tp6082 +a(g573 +Vset-port-revealed! +p6083 +tp6084 +a(g826 +g1245 +tp6085 +a(g440 +Vfd/port +p6086 +tp6087 +a(g826 +g1245 +tp6088 +a(g37 +g1609 +tp6089 +a(g705 +g1193 +tp6090 +a(g826 +V\u000a +p6091 +tp6092 +a(g440 +Vfd/port +p6093 +tp6094 +a(g705 +g1193 +tp6095 +a(g705 +g1193 +tp6096 +a(g705 +g1193 +tp6097 +a(g826 +V\u000a\u000a +p6098 +tp6099 +a(g705 +g1172 +tp6100 +a(g744 +Vdefine +p6101 +tp6102 +a(g705 +g1172 +tp6103 +a(g573 +Vrelease-port-handle +p6104 +tp6105 +a(g826 +g1245 +tp6106 +a(g440 +Vport +p6107 +tp6108 +a(g705 +g1193 +tp6109 +a(g826 +V\u000a +p6110 +tp6111 +a(g705 +g1172 +tp6112 +a(g744 +Vlet +p6113 +tp6114 +a(g705 +g1172 +tp6115 +a(g705 +g1172 +tp6116 +a(g573 +Vrevealed +p6117 +tp6118 +a(g826 +g1245 +tp6119 +a(g705 +g1172 +tp6120 +a(g573 +Vport-revealed +p6121 +tp6122 +a(g826 +g1245 +tp6123 +a(g440 +Vport +p6124 +tp6125 +a(g705 +g1193 +tp6126 +a(g705 +g1193 +tp6127 +a(g705 +g1193 +tp6128 +a(g826 +V\u000a +p6129 +tp6130 +a(g705 +g1172 +tp6131 +a(g744 +Vif +p6132 +tp6133 +a(g705 +g1172 +tp6134 +a(g681 +V> +p6135 +tp6136 +a(g440 +Vrevealed +p6137 +tp6138 +a(g826 +g1245 +tp6139 +a(g37 +g2857 +tp6140 +a(g705 +g1193 +tp6141 +a(g826 +V\u000a +p6142 +tp6143 +a(g705 +g1172 +tp6144 +a(g573 +Vset-port-revealed! +p6145 +tp6146 +a(g826 +g1245 +tp6147 +a(g440 +Vport +p6148 +tp6149 +a(g826 +g1245 +tp6150 +a(g705 +g1172 +tp6151 +a(g681 +V- +p6152 +tp6153 +a(g440 +Vrevealed +p6154 +tp6155 +a(g826 +g1245 +tp6156 +a(g37 +g1609 +tp6157 +a(g705 +g1193 +tp6158 +a(g705 +g1193 +tp6159 +a(g705 +g1193 +tp6160 +a(g705 +g1193 +tp6161 +a(g705 +g1193 +tp6162 +a(g826 +V\u000a\u000a +p6163 +tp6164 +a(g705 +g1172 +tp6165 +a(g744 +Vdefine +p6166 +tp6167 +a(g705 +g1172 +tp6168 +a(g573 +Vdup->port +p6169 +tp6170 +a(g826 +g1245 +tp6171 +a(g440 +Vport/fd +p6172 +tp6173 +a(g826 +g1245 +tp6174 +a(g440 +Vmode +p6175 +tp6176 +a(g826 +g1245 +tp6177 +a(g412 +g1446 +tp6178 +a(g826 +g1245 +tp6179 +a(g440 +Vmaybe-fd +p6180 +tp6181 +a(g705 +g1193 +tp6182 +a(g826 +V\u000a +p6183 +tp6184 +a(g705 +g1172 +tp6185 +a(g744 +Vlet +p6186 +tp6187 +a(g705 +g1172 +tp6188 +a(g705 +g1172 +tp6189 +a(g573 +Vport +p6190 +tp6191 +a(g826 +g1245 +tp6192 +a(g705 +g1172 +tp6193 +a(g573 +Vfdopen +p6194 +tp6195 +a(g826 +g1245 +tp6196 +a(g705 +g1172 +tp6197 +a(g681 +Vapply +p6198 +tp6199 +a(g440 +Vdup->fdes +p6200 +tp6201 +a(g826 +g1245 +tp6202 +a(g440 +Vport/fd +p6203 +tp6204 +a(g826 +g1245 +tp6205 +a(g440 +Vmaybe-fd +p6206 +tp6207 +a(g705 +g1193 +tp6208 +a(g826 +V\u000a +p6209 +tp6210 +a(g440 +Vmode +p6211 +tp6212 +a(g705 +g1193 +tp6213 +a(g705 +g1193 +tp6214 +a(g705 +g1193 +tp6215 +a(g826 +V\u000a +p6216 +tp6217 +a(g705 +g1172 +tp6218 +a(g744 +Vif +p6219 +tp6220 +a(g705 +g1172 +tp6221 +a(g681 +Vpair? +p6222 +tp6223 +a(g440 +Vmaybe-fd +p6224 +tp6225 +a(g705 +g1193 +tp6226 +a(g826 +V\u000a +p6227 +tp6228 +a(g705 +g1172 +tp6229 +a(g573 +Vset-port-revealed! +p6230 +tp6231 +a(g826 +g1245 +tp6232 +a(g440 +Vport +p6233 +tp6234 +a(g826 +g1245 +tp6235 +a(g37 +g1609 +tp6236 +a(g705 +g1193 +tp6237 +a(g705 +g1193 +tp6238 +a(g826 +V\u000a +p6239 +tp6240 +a(g440 +Vport +p6241 +tp6242 +a(g705 +g1193 +tp6243 +a(g705 +g1193 +tp6244 +a(g826 +V\u000a\u000a +p6245 +tp6246 +a(g705 +g1172 +tp6247 +a(g744 +Vdefine +p6248 +tp6249 +a(g705 +g1172 +tp6250 +a(g573 +Vdup->inport +p6251 +tp6252 +a(g826 +g1245 +tp6253 +a(g440 +Vport/fd +p6254 +tp6255 +a(g826 +g1245 +tp6256 +a(g412 +g1446 +tp6257 +a(g826 +g1245 +tp6258 +a(g440 +Vmaybe-fd +p6259 +tp6260 +a(g705 +g1193 +tp6261 +a(g826 +V\u000a +p6262 +tp6263 +a(g705 +g1172 +tp6264 +a(g681 +Vapply +p6265 +tp6266 +a(g440 +Vdup->port +p6267 +tp6268 +a(g826 +g1245 +tp6269 +a(g440 +Vport/fd +p6270 +tp6271 +a(g826 +g1245 +tp6272 +a(g89 +V"r" +p6273 +tp6274 +a(g826 +g1245 +tp6275 +a(g440 +Vmaybe-fd +p6276 +tp6277 +a(g705 +g1193 +tp6278 +a(g705 +g1193 +tp6279 +a(g826 +V\u000a\u000a +p6280 +tp6281 +a(g705 +g1172 +tp6282 +a(g744 +Vdefine +p6283 +tp6284 +a(g705 +g1172 +tp6285 +a(g573 +Vdup->outport +p6286 +tp6287 +a(g826 +g1245 +tp6288 +a(g440 +Vport/fd +p6289 +tp6290 +a(g826 +g1245 +tp6291 +a(g412 +g1446 +tp6292 +a(g826 +g1245 +tp6293 +a(g440 +Vmaybe-fd +p6294 +tp6295 +a(g705 +g1193 +tp6296 +a(g826 +V\u000a +p6297 +tp6298 +a(g705 +g1172 +tp6299 +a(g681 +Vapply +p6300 +tp6301 +a(g440 +Vdup->port +p6302 +tp6303 +a(g826 +g1245 +tp6304 +a(g440 +Vport/fd +p6305 +tp6306 +a(g826 +g1245 +tp6307 +a(g89 +V"w" +p6308 +tp6309 +a(g826 +g1245 +tp6310 +a(g440 +Vmaybe-fd +p6311 +tp6312 +a(g705 +g1193 +tp6313 +a(g705 +g1193 +tp6314 +a(g826 +V\u000a\u000a +p6315 +tp6316 +a(g705 +g1172 +tp6317 +a(g744 +Vdefine +p6318 +tp6319 +a(g705 +g1172 +tp6320 +a(g573 +Vdup +p6321 +tp6322 +a(g826 +g1245 +tp6323 +a(g440 +Vport/fd +p6324 +tp6325 +a(g826 +g1245 +tp6326 +a(g412 +g1446 +tp6327 +a(g826 +g1245 +tp6328 +a(g440 +Vmaybe-fd +p6329 +tp6330 +a(g705 +g1193 +tp6331 +a(g826 +V\u000a +p6332 +tp6333 +a(g705 +g1172 +tp6334 +a(g744 +Vif +p6335 +tp6336 +a(g705 +g1172 +tp6337 +a(g681 +Vinteger? +p6338 +tp6339 +a(g440 +Vport/fd +p6340 +tp6341 +a(g705 +g1193 +tp6342 +a(g826 +V\u000a +p6343 +tp6344 +a(g705 +g1172 +tp6345 +a(g681 +Vapply +p6346 +tp6347 +a(g440 +Vdup->fdes +p6348 +tp6349 +a(g826 +g1245 +tp6350 +a(g440 +Vport/fd +p6351 +tp6352 +a(g826 +g1245 +tp6353 +a(g440 +Vmaybe-fd +p6354 +tp6355 +a(g705 +g1193 +tp6356 +a(g826 +V\u000a +p6357 +tp6358 +a(g705 +g1172 +tp6359 +a(g681 +Vapply +p6360 +tp6361 +a(g440 +Vdup->port +p6362 +tp6363 +a(g826 +g1245 +tp6364 +a(g440 +Vport/fd +p6365 +tp6366 +a(g826 +g1245 +tp6367 +a(g705 +g1172 +tp6368 +a(g573 +Vport-mode +p6369 +tp6370 +a(g826 +g1245 +tp6371 +a(g440 +Vport/fd +p6372 +tp6373 +a(g705 +g1193 +tp6374 +a(g826 +g1245 +tp6375 +a(g440 +Vmaybe-fd +p6376 +tp6377 +a(g705 +g1193 +tp6378 +a(g705 +g1193 +tp6379 +a(g705 +g1193 +tp6380 +a(g826 +V\u000a\u000a +p6381 +tp6382 +a(g705 +g1172 +tp6383 +a(g744 +Vdefine +p6384 +tp6385 +a(g705 +g1172 +tp6386 +a(g573 +Vduplicate-port +p6387 +tp6388 +a(g826 +g1245 +tp6389 +a(g440 +Vport +p6390 +tp6391 +a(g826 +g1245 +tp6392 +a(g440 +Vmodes +p6393 +tp6394 +a(g705 +g1193 +tp6395 +a(g826 +V\u000a +p6396 +tp6397 +a(g705 +g1172 +tp6398 +a(g573 +Vdup->port +p6399 +tp6400 +a(g826 +g1245 +tp6401 +a(g440 +Vport +p6402 +tp6403 +a(g826 +g1245 +tp6404 +a(g440 +Vmodes +p6405 +tp6406 +a(g705 +g1193 +tp6407 +a(g705 +g1193 +tp6408 +a(g826 +V\u000a\u000a +p6409 +tp6410 +a(g705 +g1172 +tp6411 +a(g744 +Vdefine +p6412 +tp6413 +a(g705 +g1172 +tp6414 +a(g573 +Vfdes->inport +p6415 +tp6416 +a(g826 +g1245 +tp6417 +a(g440 +Vfdes +p6418 +tp6419 +a(g705 +g1193 +tp6420 +a(g826 +V\u000a +p6421 +tp6422 +a(g705 +g1172 +tp6423 +a(g744 +Vlet +p6424 +tp6425 +a(g440 +Vloop +p6426 +tp6427 +a(g826 +g1245 +tp6428 +a(g705 +g1172 +tp6429 +a(g705 +g1172 +tp6430 +a(g573 +Vrest-ports +p6431 +tp6432 +a(g826 +g1245 +tp6433 +a(g705 +g1172 +tp6434 +a(g573 +Vfdes->ports +p6435 +tp6436 +a(g826 +g1245 +tp6437 +a(g440 +Vfdes +p6438 +tp6439 +a(g705 +g1193 +tp6440 +a(g705 +g1193 +tp6441 +a(g705 +g1193 +tp6442 +a(g826 +V\u000a +p6443 +tp6444 +a(g705 +g1172 +tp6445 +a(g744 +Vcond +p6446 +tp6447 +a(g705 +g1172 +tp6448 +a(g705 +g1172 +tp6449 +a(g681 +Vnull? +p6450 +tp6451 +a(g440 +Vrest-ports +p6452 +tp6453 +a(g705 +g1193 +tp6454 +a(g826 +V\u000a +p6455 +tp6456 +a(g705 +g1172 +tp6457 +a(g744 +Vlet +p6458 +tp6459 +a(g705 +g1172 +tp6460 +a(g705 +g1172 +tp6461 +a(g573 +Vresult +p6462 +tp6463 +a(g826 +g1245 +tp6464 +a(g705 +g1172 +tp6465 +a(g573 +Vfdopen +p6466 +tp6467 +a(g826 +g1245 +tp6468 +a(g440 +Vfdes +p6469 +tp6470 +a(g826 +g1245 +tp6471 +a(g89 +V"r" +p6472 +tp6473 +a(g705 +g1193 +tp6474 +a(g705 +g1193 +tp6475 +a(g705 +g1193 +tp6476 +a(g826 +V\u000a +p6477 +tp6478 +a(g705 +g1172 +tp6479 +a(g573 +Vset-port-revealed! +p6480 +tp6481 +a(g826 +g1245 +tp6482 +a(g440 +Vresult +p6483 +tp6484 +a(g826 +g1245 +tp6485 +a(g37 +g1609 +tp6486 +a(g705 +g1193 +tp6487 +a(g826 +V\u000a +p6488 +tp6489 +a(g440 +Vresult +p6490 +tp6491 +a(g705 +g1193 +tp6492 +a(g705 +g1193 +tp6493 +a(g826 +V\u000a +p6494 +tp6495 +a(g705 +g1172 +tp6496 +a(g705 +g1172 +tp6497 +a(g681 +Vinput-port? +p6498 +tp6499 +a(g705 +g1172 +tp6500 +a(g681 +Vcar +p6501 +tp6502 +a(g440 +Vrest-ports +p6503 +tp6504 +a(g705 +g1193 +tp6505 +a(g705 +g1193 +tp6506 +a(g826 +V\u000a +p6507 +tp6508 +a(g705 +g1172 +tp6509 +a(g573 +Vset-port-revealed! +p6510 +tp6511 +a(g826 +g1245 +tp6512 +a(g705 +g1172 +tp6513 +a(g681 +Vcar +p6514 +tp6515 +a(g440 +Vrest-ports +p6516 +tp6517 +a(g705 +g1193 +tp6518 +a(g826 +V\u000a +p6519 +tp6520 +a(g705 +g1172 +tp6521 +a(g681 +V+ +p6522 +tp6523 +a(g705 +g1172 +tp6524 +a(g573 +Vport-revealed +p6525 +tp6526 +a(g826 +g1245 +tp6527 +a(g705 +g1172 +tp6528 +a(g681 +Vcar +p6529 +tp6530 +a(g440 +Vrest-ports +p6531 +tp6532 +a(g705 +g1193 +tp6533 +a(g705 +g1193 +tp6534 +a(g826 +g1245 +tp6535 +a(g37 +g1609 +tp6536 +a(g705 +g1193 +tp6537 +a(g705 +g1193 +tp6538 +a(g826 +V\u000a +p6539 +tp6540 +a(g705 +g1172 +tp6541 +a(g681 +Vcar +p6542 +tp6543 +a(g440 +Vrest-ports +p6544 +tp6545 +a(g705 +g1193 +tp6546 +a(g705 +g1193 +tp6547 +a(g826 +V\u000a +p6548 +tp6549 +a(g705 +g1172 +tp6550 +a(g573 +Velse +p6551 +tp6552 +a(g826 +V\u000a +p6553 +tp6554 +a(g705 +g1172 +tp6555 +a(g573 +Vloop +p6556 +tp6557 +a(g826 +g1245 +tp6558 +a(g705 +g1172 +tp6559 +a(g681 +Vcdr +p6560 +tp6561 +a(g440 +Vrest-ports +p6562 +tp6563 +a(g705 +g1193 +tp6564 +a(g705 +g1193 +tp6565 +a(g705 +g1193 +tp6566 +a(g705 +g1193 +tp6567 +a(g705 +g1193 +tp6568 +a(g705 +g1193 +tp6569 +a(g826 +V\u000a\u000a +p6570 +tp6571 +a(g705 +g1172 +tp6572 +a(g744 +Vdefine +p6573 +tp6574 +a(g705 +g1172 +tp6575 +a(g573 +Vfdes->outport +p6576 +tp6577 +a(g826 +g1245 +tp6578 +a(g440 +Vfdes +p6579 +tp6580 +a(g705 +g1193 +tp6581 +a(g826 +V\u000a +p6582 +tp6583 +a(g705 +g1172 +tp6584 +a(g744 +Vlet +p6585 +tp6586 +a(g440 +Vloop +p6587 +tp6588 +a(g826 +g1245 +tp6589 +a(g705 +g1172 +tp6590 +a(g705 +g1172 +tp6591 +a(g573 +Vrest-ports +p6592 +tp6593 +a(g826 +g1245 +tp6594 +a(g705 +g1172 +tp6595 +a(g573 +Vfdes->ports +p6596 +tp6597 +a(g826 +g1245 +tp6598 +a(g440 +Vfdes +p6599 +tp6600 +a(g705 +g1193 +tp6601 +a(g705 +g1193 +tp6602 +a(g705 +g1193 +tp6603 +a(g826 +V\u000a +p6604 +tp6605 +a(g705 +g1172 +tp6606 +a(g744 +Vcond +p6607 +tp6608 +a(g705 +g1172 +tp6609 +a(g705 +g1172 +tp6610 +a(g681 +Vnull? +p6611 +tp6612 +a(g440 +Vrest-ports +p6613 +tp6614 +a(g705 +g1193 +tp6615 +a(g826 +V\u000a +p6616 +tp6617 +a(g705 +g1172 +tp6618 +a(g744 +Vlet +p6619 +tp6620 +a(g705 +g1172 +tp6621 +a(g705 +g1172 +tp6622 +a(g573 +Vresult +p6623 +tp6624 +a(g826 +g1245 +tp6625 +a(g705 +g1172 +tp6626 +a(g573 +Vfdopen +p6627 +tp6628 +a(g826 +g1245 +tp6629 +a(g440 +Vfdes +p6630 +tp6631 +a(g826 +g1245 +tp6632 +a(g89 +V"w" +p6633 +tp6634 +a(g705 +g1193 +tp6635 +a(g705 +g1193 +tp6636 +a(g705 +g1193 +tp6637 +a(g826 +V\u000a +p6638 +tp6639 +a(g705 +g1172 +tp6640 +a(g573 +Vset-port-revealed! +p6641 +tp6642 +a(g826 +g1245 +tp6643 +a(g440 +Vresult +p6644 +tp6645 +a(g826 +g1245 +tp6646 +a(g37 +g1609 +tp6647 +a(g705 +g1193 +tp6648 +a(g826 +V\u000a +p6649 +tp6650 +a(g440 +Vresult +p6651 +tp6652 +a(g705 +g1193 +tp6653 +a(g705 +g1193 +tp6654 +a(g826 +V\u000a +p6655 +tp6656 +a(g705 +g1172 +tp6657 +a(g705 +g1172 +tp6658 +a(g681 +Voutput-port? +p6659 +tp6660 +a(g705 +g1172 +tp6661 +a(g681 +Vcar +p6662 +tp6663 +a(g440 +Vrest-ports +p6664 +tp6665 +a(g705 +g1193 +tp6666 +a(g705 +g1193 +tp6667 +a(g826 +V\u000a +p6668 +tp6669 +a(g705 +g1172 +tp6670 +a(g573 +Vset-port-revealed! +p6671 +tp6672 +a(g826 +g1245 +tp6673 +a(g705 +g1172 +tp6674 +a(g681 +Vcar +p6675 +tp6676 +a(g440 +Vrest-ports +p6677 +tp6678 +a(g705 +g1193 +tp6679 +a(g826 +V\u000a +p6680 +tp6681 +a(g705 +g1172 +tp6682 +a(g681 +V+ +p6683 +tp6684 +a(g705 +g1172 +tp6685 +a(g573 +Vport-revealed +p6686 +tp6687 +a(g826 +g1245 +tp6688 +a(g705 +g1172 +tp6689 +a(g681 +Vcar +p6690 +tp6691 +a(g440 +Vrest-ports +p6692 +tp6693 +a(g705 +g1193 +tp6694 +a(g705 +g1193 +tp6695 +a(g826 +g1245 +tp6696 +a(g37 +g1609 +tp6697 +a(g705 +g1193 +tp6698 +a(g705 +g1193 +tp6699 +a(g826 +V\u000a +p6700 +tp6701 +a(g705 +g1172 +tp6702 +a(g681 +Vcar +p6703 +tp6704 +a(g440 +Vrest-ports +p6705 +tp6706 +a(g705 +g1193 +tp6707 +a(g705 +g1193 +tp6708 +a(g826 +V\u000a +p6709 +tp6710 +a(g705 +g1172 +tp6711 +a(g573 +Velse +p6712 +tp6713 +a(g826 +V\u000a +p6714 +tp6715 +a(g705 +g1172 +tp6716 +a(g573 +Vloop +p6717 +tp6718 +a(g826 +g1245 +tp6719 +a(g705 +g1172 +tp6720 +a(g681 +Vcdr +p6721 +tp6722 +a(g440 +Vrest-ports +p6723 +tp6724 +a(g705 +g1193 +tp6725 +a(g705 +g1193 +tp6726 +a(g705 +g1193 +tp6727 +a(g705 +g1193 +tp6728 +a(g705 +g1193 +tp6729 +a(g705 +g1193 +tp6730 +a(g826 +V\u000a\u000a +p6731 +tp6732 +a(g705 +g1172 +tp6733 +a(g744 +Vdefine +p6734 +tp6735 +a(g705 +g1172 +tp6736 +a(g573 +Vport->fdes +p6737 +tp6738 +a(g826 +g1245 +tp6739 +a(g440 +Vport +p6740 +tp6741 +a(g705 +g1193 +tp6742 +a(g826 +V\u000a +p6743 +tp6744 +a(g705 +g1172 +tp6745 +a(g573 +Vset-port-revealed! +p6746 +tp6747 +a(g826 +g1245 +tp6748 +a(g440 +Vport +p6749 +tp6750 +a(g826 +g1245 +tp6751 +a(g705 +g1172 +tp6752 +a(g681 +V+ +p6753 +tp6754 +a(g705 +g1172 +tp6755 +a(g573 +Vport-revealed +p6756 +tp6757 +a(g826 +g1245 +tp6758 +a(g440 +Vport +p6759 +tp6760 +a(g705 +g1193 +tp6761 +a(g826 +g1245 +tp6762 +a(g37 +g1609 +tp6763 +a(g705 +g1193 +tp6764 +a(g705 +g1193 +tp6765 +a(g826 +V\u000a +p6766 +tp6767 +a(g705 +g1172 +tp6768 +a(g573 +Vfileno +p6769 +tp6770 +a(g826 +g1245 +tp6771 +a(g440 +Vport +p6772 +tp6773 +a(g705 +g1193 +tp6774 +a(g705 +g1193 +tp6775 +a(g826 +V\u000a\u000a +p6776 +tp6777 +a(g705 +g1172 +tp6778 +a(g744 +Vdefine +p6779 +tp6780 +a(g705 +g1172 +tp6781 +a(g573 +Vsetenv +p6782 +tp6783 +a(g826 +g1245 +tp6784 +a(g440 +Vname +p6785 +tp6786 +a(g826 +g1245 +tp6787 +a(g440 +Vvalue +p6788 +tp6789 +a(g705 +g1193 +tp6790 +a(g826 +V\u000a +p6791 +tp6792 +a(g705 +g1172 +tp6793 +a(g744 +Vif +p6794 +tp6795 +a(g440 +Vvalue +p6796 +tp6797 +a(g826 +V\u000a +p6798 +tp6799 +a(g705 +g1172 +tp6800 +a(g573 +Vputenv +p6801 +tp6802 +a(g826 +g1245 +tp6803 +a(g705 +g1172 +tp6804 +a(g681 +Vstring-append +p6805 +tp6806 +a(g440 +Vname +p6807 +tp6808 +a(g826 +g1245 +tp6809 +a(g89 +V"=" +p6810 +tp6811 +a(g826 +g1245 +tp6812 +a(g440 +Vvalue +p6813 +tp6814 +a(g705 +g1193 +tp6815 +a(g705 +g1193 +tp6816 +a(g826 +V\u000a +p6817 +tp6818 +a(g705 +g1172 +tp6819 +a(g573 +Vputenv +p6820 +tp6821 +a(g826 +g1245 +tp6822 +a(g440 +Vname +p6823 +tp6824 +a(g705 +g1193 +tp6825 +a(g705 +g1193 +tp6826 +a(g705 +g1193 +tp6827 +a(g826 +V\u000a\u000a \u000a +p6828 +tp6829 +a(g8 +V;;; {Load Paths} +p6830 +tp6831 +a(g826 +V\u000a +p6832 +tp6833 +a(g8 +V;;; +p6834 +tp6835 +a(g826 +V\u000a\u000a +p6836 +tp6837 +a(g8 +V;;; Here for backward compatability +p6838 +tp6839 +a(g826 +V\u000a +p6840 +tp6841 +a(g8 +V;; +p6842 +tp6843 +a(g826 +V\u000a +p6844 +tp6845 +a(g705 +g1172 +tp6846 +a(g744 +Vdefine +p6847 +tp6848 +a(g440 +Vscheme-file-suffix +p6849 +tp6850 +a(g826 +g1245 +tp6851 +a(g705 +g1172 +tp6852 +a(g744 +Vlambda +p6853 +tp6854 +a(g705 +g1172 +tp6855 +a(g705 +g1193 +tp6856 +a(g826 +g1245 +tp6857 +a(g89 +V".scm" +p6858 +tp6859 +a(g705 +g1193 +tp6860 +a(g705 +g1193 +tp6861 +a(g826 +V\u000a\u000a +p6862 +tp6863 +a(g705 +g1172 +tp6864 +a(g744 +Vdefine +p6865 +tp6866 +a(g705 +g1172 +tp6867 +a(g573 +Vin-vicinity +p6868 +tp6869 +a(g826 +g1245 +tp6870 +a(g440 +Vvicinity +p6871 +tp6872 +a(g826 +g1245 +tp6873 +a(g440 +Vfile +p6874 +tp6875 +a(g705 +g1193 +tp6876 +a(g826 +V\u000a +p6877 +tp6878 +a(g705 +g1172 +tp6879 +a(g744 +Vlet +p6880 +tp6881 +a(g705 +g1172 +tp6882 +a(g705 +g1172 +tp6883 +a(g573 +Vtail +p6884 +tp6885 +a(g826 +g1245 +tp6886 +a(g705 +g1172 +tp6887 +a(g744 +Vlet +p6888 +tp6889 +a(g705 +g1172 +tp6890 +a(g705 +g1172 +tp6891 +a(g573 +Vlen +p6892 +tp6893 +a(g826 +g1245 +tp6894 +a(g705 +g1172 +tp6895 +a(g681 +Vstring-length +p6896 +tp6897 +a(g440 +Vvicinity +p6898 +tp6899 +a(g705 +g1193 +tp6900 +a(g705 +g1193 +tp6901 +a(g705 +g1193 +tp6902 +a(g826 +V\u000a +p6903 +tp6904 +a(g705 +g1172 +tp6905 +a(g744 +Vif +p6906 +tp6907 +a(g705 +g1172 +tp6908 +a(g681 +Vzero? +p6909 +tp6910 +a(g440 +Vlen +p6911 +tp6912 +a(g705 +g1193 +tp6913 +a(g826 +V\u000a +p6914 +tp6915 +a(g565 +V#f +p6916 +tp6917 +a(g826 +V\u000a +p6918 +tp6919 +a(g705 +g1172 +tp6920 +a(g681 +Vstring-ref +p6921 +tp6922 +a(g440 +Vvicinity +p6923 +tp6924 +a(g826 +g1245 +tp6925 +a(g705 +g1172 +tp6926 +a(g681 +V- +p6927 +tp6928 +a(g440 +Vlen +p6929 +tp6930 +a(g826 +g1245 +tp6931 +a(g37 +g1609 +tp6932 +a(g705 +g1193 +tp6933 +a(g705 +g1193 +tp6934 +a(g705 +g1193 +tp6935 +a(g705 +g1193 +tp6936 +a(g705 +g1193 +tp6937 +a(g705 +g1193 +tp6938 +a(g826 +V\u000a +p6939 +tp6940 +a(g705 +g1172 +tp6941 +a(g681 +Vstring-append +p6942 +tp6943 +a(g440 +Vvicinity +p6944 +tp6945 +a(g826 +V\u000a +p6946 +tp6947 +a(g705 +g1172 +tp6948 +a(g744 +Vif +p6949 +tp6950 +a(g705 +g1172 +tp6951 +a(g744 +Vor +p6952 +tp6953 +a(g705 +g1172 +tp6954 +a(g681 +Vnot +p6955 +tp6956 +a(g440 +Vtail +p6957 +tp6958 +a(g705 +g1193 +tp6959 +a(g826 +V\u000a +p6960 +tp6961 +a(g705 +g1172 +tp6962 +a(g681 +Veq? +p6963 +tp6964 +a(g440 +Vtail +p6965 +tp6966 +a(g826 +g1245 +tp6967 +a(g93 +V#\u005c/ +p6968 +tp6969 +a(g705 +g1193 +tp6970 +a(g705 +g1193 +tp6971 +a(g826 +V\u000a +p6972 +tp6973 +a(g89 +V"" +p6974 +tp6975 +a(g826 +V\u000a +p6976 +tp6977 +a(g89 +V"/" +p6978 +tp6979 +a(g705 +g1193 +tp6980 +a(g826 +V\u000a +p6981 +tp6982 +a(g440 +Vfile +p6983 +tp6984 +a(g705 +g1193 +tp6985 +a(g705 +g1193 +tp6986 +a(g705 +g1193 +tp6987 +a(g826 +V\u000a\u000a \u000a +p6988 +tp6989 +a(g8 +V;;; {Help for scm_shell} +p6990 +tp6991 +a(g826 +V\u000a +p6992 +tp6993 +a(g8 +V;;; The argument-processing code used by Guile-based shells generates +p6994 +tp6995 +a(g826 +V\u000a +p6996 +tp6997 +a(g8 +V;;; Scheme code based on the argument list. This page contains help +p6998 +tp6999 +a(g826 +V\u000a +p7000 +tp7001 +a(g8 +V;;; functions for the code it generates. +p7002 +tp7003 +a(g826 +V\u000a\u000a +p7004 +tp7005 +a(g705 +g1172 +tp7006 +a(g744 +Vdefine +p7007 +tp7008 +a(g705 +g1172 +tp7009 +a(g573 +Vcommand-line +p7010 +tp7011 +a(g705 +g1193 +tp7012 +a(g826 +g1245 +tp7013 +a(g705 +g1172 +tp7014 +a(g573 +Vprogram-arguments +p7015 +tp7016 +a(g705 +g1193 +tp7017 +a(g705 +g1193 +tp7018 +a(g826 +V\u000a\u000a +p7019 +tp7020 +a(g8 +V;; This is mostly for the internal use of the code generated by +p7021 +tp7022 +a(g826 +V\u000a +p7023 +tp7024 +a(g8 +V;; scm_compile_shell_switches. +p7025 +tp7026 +a(g826 +V\u000a +p7027 +tp7028 +a(g705 +g1172 +tp7029 +a(g744 +Vdefine +p7030 +tp7031 +a(g705 +g1172 +tp7032 +a(g573 +Vload-user-init +p7033 +tp7034 +a(g705 +g1193 +tp7035 +a(g826 +V\u000a +p7036 +tp7037 +a(g705 +g1172 +tp7038 +a(g744 +Vlet* +p7039 +tp7040 +a(g705 +g1172 +tp7041 +a(g705 +g1172 +tp7042 +a(g573 +Vhome +p7043 +tp7044 +a(g826 +g1245 +tp7045 +a(g705 +g1172 +tp7046 +a(g744 +Vor +p7047 +tp7048 +a(g705 +g1172 +tp7049 +a(g573 +Vgetenv +p7050 +tp7051 +a(g826 +g1245 +tp7052 +a(g89 +V"HOME" +p7053 +tp7054 +a(g705 +g1193 +tp7055 +a(g826 +V\u000a +p7056 +tp7057 +a(g705 +g1172 +tp7058 +a(g573 +Vfalse-if-exception +p7059 +tp7060 +a(g826 +g1245 +tp7061 +a(g705 +g1172 +tp7062 +a(g573 +Vpasswd:dir +p7063 +tp7064 +a(g826 +g1245 +tp7065 +a(g705 +g1172 +tp7066 +a(g573 +Vgetpwuid +p7067 +tp7068 +a(g826 +g1245 +tp7069 +a(g705 +g1172 +tp7070 +a(g573 +Vgetuid +p7071 +tp7072 +a(g705 +g1193 +tp7073 +a(g705 +g1193 +tp7074 +a(g705 +g1193 +tp7075 +a(g705 +g1193 +tp7076 +a(g826 +V\u000a +p7077 +tp7078 +a(g89 +V"/" +p7079 +tp7080 +a(g705 +g1193 +tp7081 +a(g705 +g1193 +tp7082 +a(g826 +V +p7083 +tp7084 +a(g8 +V;; fallback for cygwin etc. +p7085 +tp7086 +a(g826 +V\u000a +p7087 +tp7088 +a(g705 +g1172 +tp7089 +a(g573 +Vinit-file +p7090 +tp7091 +a(g826 +g1245 +tp7092 +a(g705 +g1172 +tp7093 +a(g573 +Vin-vicinity +p7094 +tp7095 +a(g826 +g1245 +tp7096 +a(g440 +Vhome +p7097 +tp7098 +a(g826 +g1245 +tp7099 +a(g89 +V".guile" +p7100 +tp7101 +a(g705 +g1193 +tp7102 +a(g705 +g1193 +tp7103 +a(g705 +g1193 +tp7104 +a(g826 +V\u000a +p7105 +tp7106 +a(g705 +g1172 +tp7107 +a(g744 +Vif +p7108 +tp7109 +a(g705 +g1172 +tp7110 +a(g573 +Vfile-exists? +p7111 +tp7112 +a(g826 +g1245 +tp7113 +a(g440 +Vinit-file +p7114 +tp7115 +a(g705 +g1193 +tp7116 +a(g826 +V\u000a +p7117 +tp7118 +a(g705 +g1172 +tp7119 +a(g573 +Vprimitive-load +p7120 +tp7121 +a(g826 +g1245 +tp7122 +a(g440 +Vinit-file +p7123 +tp7124 +a(g705 +g1193 +tp7125 +a(g705 +g1193 +tp7126 +a(g705 +g1193 +tp7127 +a(g705 +g1193 +tp7128 +a(g826 +V\u000a\u000a \u000a +p7129 +tp7130 +a(g8 +V;;; {Loading by paths} +p7131 +tp7132 +a(g826 +V\u000a\u000a +p7133 +tp7134 +a(g8 +V;;; Load a Scheme source file named NAME, searching for it in the +p7135 +tp7136 +a(g826 +V\u000a +p7137 +tp7138 +a(g8 +V;;; directories listed in %load-path, and applying each of the file +p7139 +tp7140 +a(g826 +V\u000a +p7141 +tp7142 +a(g8 +V;;; name extensions listed in %load-extensions. +p7143 +tp7144 +a(g826 +V\u000a +p7145 +tp7146 +a(g705 +g1172 +tp7147 +a(g744 +Vdefine +p7148 +tp7149 +a(g705 +g1172 +tp7150 +a(g573 +Vload-from-path +p7151 +tp7152 +a(g826 +g1245 +tp7153 +a(g440 +Vname +p7154 +tp7155 +a(g705 +g1193 +tp7156 +a(g826 +V\u000a +p7157 +tp7158 +a(g705 +g1172 +tp7159 +a(g573 +Vstart-stack +p7160 +tp7161 +a(g826 +g1245 +tp7162 +a(g117 +V'load-stack +p7163 +tp7164 +a(g826 +V\u000a +p7165 +tp7166 +a(g705 +g1172 +tp7167 +a(g573 +Vprimitive-load-path +p7168 +tp7169 +a(g826 +g1245 +tp7170 +a(g440 +Vname +p7171 +tp7172 +a(g705 +g1193 +tp7173 +a(g705 +g1193 +tp7174 +a(g705 +g1193 +tp7175 +a(g826 +V\u000a\u000a\u000a \u000a +p7176 +tp7177 +a(g8 +V;;; {Transcendental Functions} +p7178 +tp7179 +a(g826 +V\u000a +p7180 +tp7181 +a(g8 +V;;; +p7182 +tp7183 +a(g826 +V\u000a +p7184 +tp7185 +a(g8 +V;;; Derived from "Transcen.scm", Complex trancendental functions for SCM. +p7186 +tp7187 +a(g826 +V\u000a +p7188 +tp7189 +a(g8 +V;;; Written by Jerry D. Hedden, (C) FSF. +p7190 +tp7191 +a(g826 +V\u000a +p7192 +tp7193 +a(g8 +V;;; See the file `COPYING' for terms applying to this program. +p7194 +tp7195 +a(g826 +V\u000a +p7196 +tp7197 +a(g8 +V;;; +p7198 +tp7199 +a(g826 +V\u000a\u000a +p7200 +tp7201 +a(g705 +g1172 +tp7202 +a(g744 +Vdefine +p7203 +tp7204 +a(g705 +g1172 +tp7205 +a(g681 +Vexp +p7206 +tp7207 +a(g440 +Vz +p7208 +tp7209 +a(g705 +g1193 +tp7210 +a(g826 +V\u000a +p7211 +tp7212 +a(g705 +g1172 +tp7213 +a(g744 +Vif +p7214 +tp7215 +a(g705 +g1172 +tp7216 +a(g681 +Vreal? +p7217 +tp7218 +a(g440 +g7208 +tp7219 +a(g705 +g1193 +tp7220 +a(g826 +g1245 +tp7221 +a(g705 +g1172 +tp7222 +a(g573 +V$exp +p7223 +tp7224 +a(g826 +g1245 +tp7225 +a(g440 +g7208 +tp7226 +a(g705 +g1193 +tp7227 +a(g826 +V\u000a +p7228 +tp7229 +a(g705 +g1172 +tp7230 +a(g681 +Vmake-polar +p7231 +tp7232 +a(g705 +g1172 +tp7233 +a(g573 +V$exp +p7234 +tp7235 +a(g826 +g1245 +tp7236 +a(g705 +g1172 +tp7237 +a(g681 +Vreal-part +p7238 +tp7239 +a(g440 +g7208 +tp7240 +a(g705 +g1193 +tp7241 +a(g705 +g1193 +tp7242 +a(g826 +g1245 +tp7243 +a(g705 +g1172 +tp7244 +a(g681 +Vimag-part +p7245 +tp7246 +a(g440 +g7208 +tp7247 +a(g705 +g1193 +tp7248 +a(g705 +g1193 +tp7249 +a(g705 +g1193 +tp7250 +a(g705 +g1193 +tp7251 +a(g826 +V\u000a\u000a +p7252 +tp7253 +a(g705 +g1172 +tp7254 +a(g744 +Vdefine +p7255 +tp7256 +a(g705 +g1172 +tp7257 +a(g681 +Vlog +p7258 +tp7259 +a(g440 +g7208 +tp7260 +a(g705 +g1193 +tp7261 +a(g826 +V\u000a +p7262 +tp7263 +a(g705 +g1172 +tp7264 +a(g744 +Vif +p7265 +tp7266 +a(g705 +g1172 +tp7267 +a(g744 +Vand +p7268 +tp7269 +a(g705 +g1172 +tp7270 +a(g681 +Vreal? +p7271 +tp7272 +a(g440 +g7208 +tp7273 +a(g705 +g1193 +tp7274 +a(g826 +g1245 +tp7275 +a(g705 +g1172 +tp7276 +a(g681 +V>= +p7277 +tp7278 +a(g440 +g7208 +tp7279 +a(g826 +g1245 +tp7280 +a(g37 +g2857 +tp7281 +a(g705 +g1193 +tp7282 +a(g705 +g1193 +tp7283 +a(g826 +V\u000a +p7284 +tp7285 +a(g705 +g1172 +tp7286 +a(g573 +V$log +p7287 +tp7288 +a(g826 +g1245 +tp7289 +a(g440 +g7208 +tp7290 +a(g705 +g1193 +tp7291 +a(g826 +V\u000a +p7292 +tp7293 +a(g705 +g1172 +tp7294 +a(g681 +Vmake-rectangular +p7295 +tp7296 +a(g705 +g1172 +tp7297 +a(g573 +V$log +p7298 +tp7299 +a(g826 +g1245 +tp7300 +a(g705 +g1172 +tp7301 +a(g681 +Vmagnitude +p7302 +tp7303 +a(g440 +g7208 +tp7304 +a(g705 +g1193 +tp7305 +a(g705 +g1193 +tp7306 +a(g826 +g1245 +tp7307 +a(g705 +g1172 +tp7308 +a(g681 +Vangle +p7309 +tp7310 +a(g440 +g7208 +tp7311 +a(g705 +g1193 +tp7312 +a(g705 +g1193 +tp7313 +a(g705 +g1193 +tp7314 +a(g705 +g1193 +tp7315 +a(g826 +V\u000a\u000a +p7316 +tp7317 +a(g705 +g1172 +tp7318 +a(g744 +Vdefine +p7319 +tp7320 +a(g705 +g1172 +tp7321 +a(g681 +Vsqrt +p7322 +tp7323 +a(g440 +g7208 +tp7324 +a(g705 +g1193 +tp7325 +a(g826 +V\u000a +p7326 +tp7327 +a(g705 +g1172 +tp7328 +a(g744 +Vif +p7329 +tp7330 +a(g705 +g1172 +tp7331 +a(g681 +Vreal? +p7332 +tp7333 +a(g440 +g7208 +tp7334 +a(g705 +g1193 +tp7335 +a(g826 +V\u000a +p7336 +tp7337 +a(g705 +g1172 +tp7338 +a(g744 +Vif +p7339 +tp7340 +a(g705 +g1172 +tp7341 +a(g681 +Vnegative? +p7342 +tp7343 +a(g440 +g7208 +tp7344 +a(g705 +g1193 +tp7345 +a(g826 +g1245 +tp7346 +a(g705 +g1172 +tp7347 +a(g681 +Vmake-rectangular +p7348 +tp7349 +a(g37 +g2857 +tp7350 +a(g826 +g1245 +tp7351 +a(g705 +g1172 +tp7352 +a(g573 +V$sqrt +p7353 +tp7354 +a(g826 +g1245 +tp7355 +a(g705 +g1172 +tp7356 +a(g681 +V- +p7357 +tp7358 +a(g440 +g7208 +tp7359 +a(g705 +g1193 +tp7360 +a(g705 +g1193 +tp7361 +a(g705 +g1193 +tp7362 +a(g826 +V\u000a +p7363 +tp7364 +a(g705 +g1172 +tp7365 +a(g573 +V$sqrt +p7366 +tp7367 +a(g826 +g1245 +tp7368 +a(g440 +g7208 +tp7369 +a(g705 +g1193 +tp7370 +a(g705 +g1193 +tp7371 +a(g826 +V\u000a +p7372 +tp7373 +a(g705 +g1172 +tp7374 +a(g681 +Vmake-polar +p7375 +tp7376 +a(g705 +g1172 +tp7377 +a(g573 +V$sqrt +p7378 +tp7379 +a(g826 +g1245 +tp7380 +a(g705 +g1172 +tp7381 +a(g681 +Vmagnitude +p7382 +tp7383 +a(g440 +g7208 +tp7384 +a(g705 +g1193 +tp7385 +a(g705 +g1193 +tp7386 +a(g826 +g1245 +tp7387 +a(g705 +g1172 +tp7388 +a(g681 +V/ +p7389 +tp7390 +a(g705 +g1172 +tp7391 +a(g681 +Vangle +p7392 +tp7393 +a(g440 +g7208 +tp7394 +a(g705 +g1193 +tp7395 +a(g826 +g1245 +tp7396 +a(g37 +g1960 +tp7397 +a(g705 +g1193 +tp7398 +a(g705 +g1193 +tp7399 +a(g705 +g1193 +tp7400 +a(g705 +g1193 +tp7401 +a(g826 +V\u000a\u000a +p7402 +tp7403 +a(g705 +g1172 +tp7404 +a(g744 +Vdefine +p7405 +tp7406 +a(g440 +Vexpt +p7407 +tp7408 +a(g826 +V\u000a +p7409 +tp7410 +a(g705 +g1172 +tp7411 +a(g744 +Vlet +p7412 +tp7413 +a(g705 +g1172 +tp7414 +a(g705 +g1172 +tp7415 +a(g573 +Vinteger-expt +p7416 +tp7417 +a(g826 +g1245 +tp7418 +a(g440 +Vinteger-expt +p7419 +tp7420 +a(g705 +g1193 +tp7421 +a(g705 +g1193 +tp7422 +a(g826 +V\u000a +p7423 +tp7424 +a(g705 +g1172 +tp7425 +a(g744 +Vlambda +p7426 +tp7427 +a(g705 +g1172 +tp7428 +a(g573 +Vz1 +p7429 +tp7430 +a(g826 +g1245 +tp7431 +a(g440 +Vz2 +p7432 +tp7433 +a(g705 +g1193 +tp7434 +a(g826 +V\u000a +p7435 +tp7436 +a(g705 +g1172 +tp7437 +a(g744 +Vcond +p7438 +tp7439 +a(g705 +g1172 +tp7440 +a(g705 +g1172 +tp7441 +a(g681 +Vinteger? +p7442 +tp7443 +a(g440 +Vz2 +p7444 +tp7445 +a(g705 +g1193 +tp7446 +a(g826 +V\u000a +p7447 +tp7448 +a(g705 +g1172 +tp7449 +a(g744 +Vif +p7450 +tp7451 +a(g705 +g1172 +tp7452 +a(g681 +Vnegative? +p7453 +tp7454 +a(g440 +Vz2 +p7455 +tp7456 +a(g705 +g1193 +tp7457 +a(g826 +V\u000a +p7458 +tp7459 +a(g705 +g1172 +tp7460 +a(g681 +V/ +p7461 +tp7462 +a(g37 +g1609 +tp7463 +a(g826 +g1245 +tp7464 +a(g705 +g1172 +tp7465 +a(g573 +Vinteger-expt +p7466 +tp7467 +a(g826 +g1245 +tp7468 +a(g440 +Vz1 +p7469 +tp7470 +a(g826 +g1245 +tp7471 +a(g705 +g1172 +tp7472 +a(g681 +V- +p7473 +tp7474 +a(g440 +Vz2 +p7475 +tp7476 +a(g705 +g1193 +tp7477 +a(g705 +g1193 +tp7478 +a(g705 +g1193 +tp7479 +a(g826 +V\u000a +p7480 +tp7481 +a(g705 +g1172 +tp7482 +a(g573 +Vinteger-expt +p7483 +tp7484 +a(g826 +g1245 +tp7485 +a(g440 +Vz1 +p7486 +tp7487 +a(g826 +g1245 +tp7488 +a(g440 +Vz2 +p7489 +tp7490 +a(g705 +g1193 +tp7491 +a(g705 +g1193 +tp7492 +a(g705 +g1193 +tp7493 +a(g826 +V\u000a +p7494 +tp7495 +a(g705 +g1172 +tp7496 +a(g705 +g1172 +tp7497 +a(g744 +Vand +p7498 +tp7499 +a(g705 +g1172 +tp7500 +a(g681 +Vreal? +p7501 +tp7502 +a(g440 +Vz2 +p7503 +tp7504 +a(g705 +g1193 +tp7505 +a(g826 +g1245 +tp7506 +a(g705 +g1172 +tp7507 +a(g681 +Vreal? +p7508 +tp7509 +a(g440 +Vz1 +p7510 +tp7511 +a(g705 +g1193 +tp7512 +a(g826 +g1245 +tp7513 +a(g705 +g1172 +tp7514 +a(g681 +V>= +p7515 +tp7516 +a(g440 +Vz1 +p7517 +tp7518 +a(g826 +g1245 +tp7519 +a(g37 +g2857 +tp7520 +a(g705 +g1193 +tp7521 +a(g705 +g1193 +tp7522 +a(g826 +V\u000a +p7523 +tp7524 +a(g705 +g1172 +tp7525 +a(g573 +V$expt +p7526 +tp7527 +a(g826 +g1245 +tp7528 +a(g440 +Vz1 +p7529 +tp7530 +a(g826 +g1245 +tp7531 +a(g440 +Vz2 +p7532 +tp7533 +a(g705 +g1193 +tp7534 +a(g705 +g1193 +tp7535 +a(g826 +V\u000a +p7536 +tp7537 +a(g705 +g1172 +tp7538 +a(g573 +Velse +p7539 +tp7540 +a(g826 +V\u000a +p7541 +tp7542 +a(g705 +g1172 +tp7543 +a(g681 +Vexp +p7544 +tp7545 +a(g705 +g1172 +tp7546 +a(g681 +V* +p7547 +tp7548 +a(g440 +Vz2 +p7549 +tp7550 +a(g826 +g1245 +tp7551 +a(g705 +g1172 +tp7552 +a(g681 +Vlog +p7553 +tp7554 +a(g440 +Vz1 +p7555 +tp7556 +a(g705 +g1193 +tp7557 +a(g705 +g1193 +tp7558 +a(g705 +g1193 +tp7559 +a(g705 +g1193 +tp7560 +a(g705 +g1193 +tp7561 +a(g705 +g1193 +tp7562 +a(g705 +g1193 +tp7563 +a(g705 +g1193 +tp7564 +a(g826 +V\u000a\u000a +p7565 +tp7566 +a(g705 +g1172 +tp7567 +a(g744 +Vdefine +p7568 +tp7569 +a(g705 +g1172 +tp7570 +a(g573 +Vsinh +p7571 +tp7572 +a(g826 +g1245 +tp7573 +a(g440 +g7208 +tp7574 +a(g705 +g1193 +tp7575 +a(g826 +V\u000a +p7576 +tp7577 +a(g705 +g1172 +tp7578 +a(g744 +Vif +p7579 +tp7580 +a(g705 +g1172 +tp7581 +a(g681 +Vreal? +p7582 +tp7583 +a(g440 +g7208 +tp7584 +a(g705 +g1193 +tp7585 +a(g826 +g1245 +tp7586 +a(g705 +g1172 +tp7587 +a(g573 +V$sinh +p7588 +tp7589 +a(g826 +g1245 +tp7590 +a(g440 +g7208 +tp7591 +a(g705 +g1193 +tp7592 +a(g826 +V\u000a +p7593 +tp7594 +a(g705 +g1172 +tp7595 +a(g744 +Vlet +p7596 +tp7597 +a(g705 +g1172 +tp7598 +a(g705 +g1172 +tp7599 +a(g573 +g1597 +tp7600 +a(g826 +g1245 +tp7601 +a(g705 +g1172 +tp7602 +a(g681 +Vreal-part +p7603 +tp7604 +a(g440 +g7208 +tp7605 +a(g705 +g1193 +tp7606 +a(g705 +g1193 +tp7607 +a(g826 +g1245 +tp7608 +a(g705 +g1172 +tp7609 +a(g573 +Vy +p7610 +tp7611 +a(g826 +g1245 +tp7612 +a(g705 +g1172 +tp7613 +a(g681 +Vimag-part +p7614 +tp7615 +a(g440 +g7208 +tp7616 +a(g705 +g1193 +tp7617 +a(g705 +g1193 +tp7618 +a(g705 +g1193 +tp7619 +a(g826 +V\u000a +p7620 +tp7621 +a(g705 +g1172 +tp7622 +a(g681 +Vmake-rectangular +p7623 +tp7624 +a(g705 +g1172 +tp7625 +a(g681 +V* +p7626 +tp7627 +a(g705 +g1172 +tp7628 +a(g573 +V$sinh +p7629 +tp7630 +a(g826 +g1245 +tp7631 +a(g440 +g1597 +tp7632 +a(g705 +g1193 +tp7633 +a(g826 +g1245 +tp7634 +a(g705 +g1172 +tp7635 +a(g573 +V$cos +p7636 +tp7637 +a(g826 +g1245 +tp7638 +a(g440 +g7610 +tp7639 +a(g705 +g1193 +tp7640 +a(g705 +g1193 +tp7641 +a(g826 +V\u000a +p7642 +tp7643 +a(g705 +g1172 +tp7644 +a(g681 +V* +p7645 +tp7646 +a(g705 +g1172 +tp7647 +a(g573 +V$cosh +p7648 +tp7649 +a(g826 +g1245 +tp7650 +a(g440 +g1597 +tp7651 +a(g705 +g1193 +tp7652 +a(g826 +g1245 +tp7653 +a(g705 +g1172 +tp7654 +a(g573 +V$sin +p7655 +tp7656 +a(g826 +g1245 +tp7657 +a(g440 +g7610 +tp7658 +a(g705 +g1193 +tp7659 +a(g705 +g1193 +tp7660 +a(g705 +g1193 +tp7661 +a(g705 +g1193 +tp7662 +a(g705 +g1193 +tp7663 +a(g705 +g1193 +tp7664 +a(g826 +V\u000a +p7665 +tp7666 +a(g705 +g1172 +tp7667 +a(g744 +Vdefine +p7668 +tp7669 +a(g705 +g1172 +tp7670 +a(g573 +Vcosh +p7671 +tp7672 +a(g826 +g1245 +tp7673 +a(g440 +g7208 +tp7674 +a(g705 +g1193 +tp7675 +a(g826 +V\u000a +p7676 +tp7677 +a(g705 +g1172 +tp7678 +a(g744 +Vif +p7679 +tp7680 +a(g705 +g1172 +tp7681 +a(g681 +Vreal? +p7682 +tp7683 +a(g440 +g7208 +tp7684 +a(g705 +g1193 +tp7685 +a(g826 +g1245 +tp7686 +a(g705 +g1172 +tp7687 +a(g573 +V$cosh +p7688 +tp7689 +a(g826 +g1245 +tp7690 +a(g440 +g7208 +tp7691 +a(g705 +g1193 +tp7692 +a(g826 +V\u000a +p7693 +tp7694 +a(g705 +g1172 +tp7695 +a(g744 +Vlet +p7696 +tp7697 +a(g705 +g1172 +tp7698 +a(g705 +g1172 +tp7699 +a(g573 +g1597 +tp7700 +a(g826 +g1245 +tp7701 +a(g705 +g1172 +tp7702 +a(g681 +Vreal-part +p7703 +tp7704 +a(g440 +g7208 +tp7705 +a(g705 +g1193 +tp7706 +a(g705 +g1193 +tp7707 +a(g826 +g1245 +tp7708 +a(g705 +g1172 +tp7709 +a(g573 +g7610 +tp7710 +a(g826 +g1245 +tp7711 +a(g705 +g1172 +tp7712 +a(g681 +Vimag-part +p7713 +tp7714 +a(g440 +g7208 +tp7715 +a(g705 +g1193 +tp7716 +a(g705 +g1193 +tp7717 +a(g705 +g1193 +tp7718 +a(g826 +V\u000a +p7719 +tp7720 +a(g705 +g1172 +tp7721 +a(g681 +Vmake-rectangular +p7722 +tp7723 +a(g705 +g1172 +tp7724 +a(g681 +V* +p7725 +tp7726 +a(g705 +g1172 +tp7727 +a(g573 +V$cosh +p7728 +tp7729 +a(g826 +g1245 +tp7730 +a(g440 +g1597 +tp7731 +a(g705 +g1193 +tp7732 +a(g826 +g1245 +tp7733 +a(g705 +g1172 +tp7734 +a(g573 +V$cos +p7735 +tp7736 +a(g826 +g1245 +tp7737 +a(g440 +g7610 +tp7738 +a(g705 +g1193 +tp7739 +a(g705 +g1193 +tp7740 +a(g826 +V\u000a +p7741 +tp7742 +a(g705 +g1172 +tp7743 +a(g681 +V* +p7744 +tp7745 +a(g705 +g1172 +tp7746 +a(g573 +V$sinh +p7747 +tp7748 +a(g826 +g1245 +tp7749 +a(g440 +g1597 +tp7750 +a(g705 +g1193 +tp7751 +a(g826 +g1245 +tp7752 +a(g705 +g1172 +tp7753 +a(g573 +V$sin +p7754 +tp7755 +a(g826 +g1245 +tp7756 +a(g440 +g7610 +tp7757 +a(g705 +g1193 +tp7758 +a(g705 +g1193 +tp7759 +a(g705 +g1193 +tp7760 +a(g705 +g1193 +tp7761 +a(g705 +g1193 +tp7762 +a(g705 +g1193 +tp7763 +a(g826 +V\u000a +p7764 +tp7765 +a(g705 +g1172 +tp7766 +a(g744 +Vdefine +p7767 +tp7768 +a(g705 +g1172 +tp7769 +a(g573 +Vtanh +p7770 +tp7771 +a(g826 +g1245 +tp7772 +a(g440 +g7208 +tp7773 +a(g705 +g1193 +tp7774 +a(g826 +V\u000a +p7775 +tp7776 +a(g705 +g1172 +tp7777 +a(g744 +Vif +p7778 +tp7779 +a(g705 +g1172 +tp7780 +a(g681 +Vreal? +p7781 +tp7782 +a(g440 +g7208 +tp7783 +a(g705 +g1193 +tp7784 +a(g826 +g1245 +tp7785 +a(g705 +g1172 +tp7786 +a(g573 +V$tanh +p7787 +tp7788 +a(g826 +g1245 +tp7789 +a(g440 +g7208 +tp7790 +a(g705 +g1193 +tp7791 +a(g826 +V\u000a +p7792 +tp7793 +a(g705 +g1172 +tp7794 +a(g744 +Vlet* +p7795 +tp7796 +a(g705 +g1172 +tp7797 +a(g705 +g1172 +tp7798 +a(g573 +g1597 +tp7799 +a(g826 +g1245 +tp7800 +a(g705 +g1172 +tp7801 +a(g681 +V* +p7802 +tp7803 +a(g37 +g1960 +tp7804 +a(g826 +g1245 +tp7805 +a(g705 +g1172 +tp7806 +a(g681 +Vreal-part +p7807 +tp7808 +a(g440 +g7208 +tp7809 +a(g705 +g1193 +tp7810 +a(g705 +g1193 +tp7811 +a(g705 +g1193 +tp7812 +a(g826 +V\u000a +p7813 +tp7814 +a(g705 +g1172 +tp7815 +a(g573 +g7610 +tp7816 +a(g826 +g1245 +tp7817 +a(g705 +g1172 +tp7818 +a(g681 +V* +p7819 +tp7820 +a(g37 +g1960 +tp7821 +a(g826 +g1245 +tp7822 +a(g705 +g1172 +tp7823 +a(g681 +Vimag-part +p7824 +tp7825 +a(g440 +g7208 +tp7826 +a(g705 +g1193 +tp7827 +a(g705 +g1193 +tp7828 +a(g705 +g1193 +tp7829 +a(g826 +V\u000a +p7830 +tp7831 +a(g705 +g1172 +tp7832 +a(g573 +Vw +p7833 +tp7834 +a(g826 +g1245 +tp7835 +a(g705 +g1172 +tp7836 +a(g681 +V+ +p7837 +tp7838 +a(g705 +g1172 +tp7839 +a(g573 +V$cosh +p7840 +tp7841 +a(g826 +g1245 +tp7842 +a(g440 +g1597 +tp7843 +a(g705 +g1193 +tp7844 +a(g826 +g1245 +tp7845 +a(g705 +g1172 +tp7846 +a(g573 +V$cos +p7847 +tp7848 +a(g826 +g1245 +tp7849 +a(g440 +g7610 +tp7850 +a(g705 +g1193 +tp7851 +a(g705 +g1193 +tp7852 +a(g705 +g1193 +tp7853 +a(g705 +g1193 +tp7854 +a(g826 +V\u000a +p7855 +tp7856 +a(g705 +g1172 +tp7857 +a(g681 +Vmake-rectangular +p7858 +tp7859 +a(g705 +g1172 +tp7860 +a(g681 +V/ +p7861 +tp7862 +a(g705 +g1172 +tp7863 +a(g573 +V$sinh +p7864 +tp7865 +a(g826 +g1245 +tp7866 +a(g440 +g1597 +tp7867 +a(g705 +g1193 +tp7868 +a(g826 +g1245 +tp7869 +a(g440 +g7833 +tp7870 +a(g705 +g1193 +tp7871 +a(g826 +g1245 +tp7872 +a(g705 +g1172 +tp7873 +a(g681 +V/ +p7874 +tp7875 +a(g705 +g1172 +tp7876 +a(g573 +V$sin +p7877 +tp7878 +a(g826 +g1245 +tp7879 +a(g440 +g7610 +tp7880 +a(g705 +g1193 +tp7881 +a(g826 +g1245 +tp7882 +a(g440 +g7833 +tp7883 +a(g705 +g1193 +tp7884 +a(g705 +g1193 +tp7885 +a(g705 +g1193 +tp7886 +a(g705 +g1193 +tp7887 +a(g705 +g1193 +tp7888 +a(g826 +V\u000a\u000a +p7889 +tp7890 +a(g705 +g1172 +tp7891 +a(g744 +Vdefine +p7892 +tp7893 +a(g705 +g1172 +tp7894 +a(g573 +Vasinh +p7895 +tp7896 +a(g826 +g1245 +tp7897 +a(g440 +g7208 +tp7898 +a(g705 +g1193 +tp7899 +a(g826 +V\u000a +p7900 +tp7901 +a(g705 +g1172 +tp7902 +a(g744 +Vif +p7903 +tp7904 +a(g705 +g1172 +tp7905 +a(g681 +Vreal? +p7906 +tp7907 +a(g440 +g7208 +tp7908 +a(g705 +g1193 +tp7909 +a(g826 +g1245 +tp7910 +a(g705 +g1172 +tp7911 +a(g573 +V$asinh +p7912 +tp7913 +a(g826 +g1245 +tp7914 +a(g440 +g7208 +tp7915 +a(g705 +g1193 +tp7916 +a(g826 +V\u000a +p7917 +tp7918 +a(g705 +g1172 +tp7919 +a(g681 +Vlog +p7920 +tp7921 +a(g705 +g1172 +tp7922 +a(g681 +V+ +p7923 +tp7924 +a(g440 +g7208 +tp7925 +a(g826 +g1245 +tp7926 +a(g705 +g1172 +tp7927 +a(g681 +Vsqrt +p7928 +tp7929 +a(g705 +g1172 +tp7930 +a(g681 +V+ +p7931 +tp7932 +a(g705 +g1172 +tp7933 +a(g681 +V* +p7934 +tp7935 +a(g440 +g7208 +tp7936 +a(g826 +g1245 +tp7937 +a(g440 +g7208 +tp7938 +a(g705 +g1193 +tp7939 +a(g826 +g1245 +tp7940 +a(g37 +g1609 +tp7941 +a(g705 +g1193 +tp7942 +a(g705 +g1193 +tp7943 +a(g705 +g1193 +tp7944 +a(g705 +g1193 +tp7945 +a(g705 +g1193 +tp7946 +a(g705 +g1193 +tp7947 +a(g826 +V\u000a\u000a +p7948 +tp7949 +a(g705 +g1172 +tp7950 +a(g744 +Vdefine +p7951 +tp7952 +a(g705 +g1172 +tp7953 +a(g573 +Vacosh +p7954 +tp7955 +a(g826 +g1245 +tp7956 +a(g440 +g7208 +tp7957 +a(g705 +g1193 +tp7958 +a(g826 +V\u000a +p7959 +tp7960 +a(g705 +g1172 +tp7961 +a(g744 +Vif +p7962 +tp7963 +a(g705 +g1172 +tp7964 +a(g744 +Vand +p7965 +tp7966 +a(g705 +g1172 +tp7967 +a(g681 +Vreal? +p7968 +tp7969 +a(g440 +g7208 +tp7970 +a(g705 +g1193 +tp7971 +a(g826 +g1245 +tp7972 +a(g705 +g1172 +tp7973 +a(g681 +V>= +p7974 +tp7975 +a(g440 +g7208 +tp7976 +a(g826 +g1245 +tp7977 +a(g37 +g1609 +tp7978 +a(g705 +g1193 +tp7979 +a(g705 +g1193 +tp7980 +a(g826 +V\u000a +p7981 +tp7982 +a(g705 +g1172 +tp7983 +a(g573 +V$acosh +p7984 +tp7985 +a(g826 +g1245 +tp7986 +a(g440 +g7208 +tp7987 +a(g705 +g1193 +tp7988 +a(g826 +V\u000a +p7989 +tp7990 +a(g705 +g1172 +tp7991 +a(g681 +Vlog +p7992 +tp7993 +a(g705 +g1172 +tp7994 +a(g681 +V+ +p7995 +tp7996 +a(g440 +g7208 +tp7997 +a(g826 +g1245 +tp7998 +a(g705 +g1172 +tp7999 +a(g681 +Vsqrt +p8000 +tp8001 +a(g705 +g1172 +tp8002 +a(g681 +V- +p8003 +tp8004 +a(g705 +g1172 +tp8005 +a(g681 +V* +p8006 +tp8007 +a(g440 +g7208 +tp8008 +a(g826 +g1245 +tp8009 +a(g440 +g7208 +tp8010 +a(g705 +g1193 +tp8011 +a(g826 +g1245 +tp8012 +a(g37 +g1609 +tp8013 +a(g705 +g1193 +tp8014 +a(g705 +g1193 +tp8015 +a(g705 +g1193 +tp8016 +a(g705 +g1193 +tp8017 +a(g705 +g1193 +tp8018 +a(g705 +g1193 +tp8019 +a(g826 +V\u000a\u000a +p8020 +tp8021 +a(g705 +g1172 +tp8022 +a(g744 +Vdefine +p8023 +tp8024 +a(g705 +g1172 +tp8025 +a(g573 +Vatanh +p8026 +tp8027 +a(g826 +g1245 +tp8028 +a(g440 +g7208 +tp8029 +a(g705 +g1193 +tp8030 +a(g826 +V\u000a +p8031 +tp8032 +a(g705 +g1172 +tp8033 +a(g744 +Vif +p8034 +tp8035 +a(g705 +g1172 +tp8036 +a(g744 +Vand +p8037 +tp8038 +a(g705 +g1172 +tp8039 +a(g681 +Vreal? +p8040 +tp8041 +a(g440 +g7208 +tp8042 +a(g705 +g1193 +tp8043 +a(g826 +g1245 +tp8044 +a(g705 +g1172 +tp8045 +a(g681 +V> +p8046 +tp8047 +a(g440 +g7208 +tp8048 +a(g826 +g1245 +tp8049 +a(g37 +V-1 +p8050 +tp8051 +a(g705 +g1193 +tp8052 +a(g826 +g1245 +tp8053 +a(g705 +g1172 +tp8054 +a(g681 +V< +p8055 +tp8056 +a(g440 +g7208 +tp8057 +a(g826 +g1245 +tp8058 +a(g37 +g1609 +tp8059 +a(g705 +g1193 +tp8060 +a(g705 +g1193 +tp8061 +a(g826 +V\u000a +p8062 +tp8063 +a(g705 +g1172 +tp8064 +a(g573 +V$atanh +p8065 +tp8066 +a(g826 +g1245 +tp8067 +a(g440 +g7208 +tp8068 +a(g705 +g1193 +tp8069 +a(g826 +V\u000a +p8070 +tp8071 +a(g705 +g1172 +tp8072 +a(g681 +V/ +p8073 +tp8074 +a(g705 +g1172 +tp8075 +a(g681 +Vlog +p8076 +tp8077 +a(g705 +g1172 +tp8078 +a(g681 +V/ +p8079 +tp8080 +a(g705 +g1172 +tp8081 +a(g681 +V+ +p8082 +tp8083 +a(g37 +g1609 +tp8084 +a(g826 +g1245 +tp8085 +a(g440 +g7208 +tp8086 +a(g705 +g1193 +tp8087 +a(g826 +g1245 +tp8088 +a(g705 +g1172 +tp8089 +a(g681 +V- +p8090 +tp8091 +a(g37 +g1609 +tp8092 +a(g826 +g1245 +tp8093 +a(g440 +g7208 +tp8094 +a(g705 +g1193 +tp8095 +a(g705 +g1193 +tp8096 +a(g705 +g1193 +tp8097 +a(g826 +g1245 +tp8098 +a(g37 +g1960 +tp8099 +a(g705 +g1193 +tp8100 +a(g705 +g1193 +tp8101 +a(g705 +g1193 +tp8102 +a(g826 +V\u000a\u000a +p8103 +tp8104 +a(g705 +g1172 +tp8105 +a(g744 +Vdefine +p8106 +tp8107 +a(g705 +g1172 +tp8108 +a(g681 +Vsin +p8109 +tp8110 +a(g440 +g7208 +tp8111 +a(g705 +g1193 +tp8112 +a(g826 +V\u000a +p8113 +tp8114 +a(g705 +g1172 +tp8115 +a(g744 +Vif +p8116 +tp8117 +a(g705 +g1172 +tp8118 +a(g681 +Vreal? +p8119 +tp8120 +a(g440 +g7208 +tp8121 +a(g705 +g1193 +tp8122 +a(g826 +g1245 +tp8123 +a(g705 +g1172 +tp8124 +a(g573 +V$sin +p8125 +tp8126 +a(g826 +g1245 +tp8127 +a(g440 +g7208 +tp8128 +a(g705 +g1193 +tp8129 +a(g826 +V\u000a +p8130 +tp8131 +a(g705 +g1172 +tp8132 +a(g744 +Vlet +p8133 +tp8134 +a(g705 +g1172 +tp8135 +a(g705 +g1172 +tp8136 +a(g573 +g1597 +tp8137 +a(g826 +g1245 +tp8138 +a(g705 +g1172 +tp8139 +a(g681 +Vreal-part +p8140 +tp8141 +a(g440 +g7208 +tp8142 +a(g705 +g1193 +tp8143 +a(g705 +g1193 +tp8144 +a(g826 +g1245 +tp8145 +a(g705 +g1172 +tp8146 +a(g573 +g7610 +tp8147 +a(g826 +g1245 +tp8148 +a(g705 +g1172 +tp8149 +a(g681 +Vimag-part +p8150 +tp8151 +a(g440 +g7208 +tp8152 +a(g705 +g1193 +tp8153 +a(g705 +g1193 +tp8154 +a(g705 +g1193 +tp8155 +a(g826 +V\u000a +p8156 +tp8157 +a(g705 +g1172 +tp8158 +a(g681 +Vmake-rectangular +p8159 +tp8160 +a(g705 +g1172 +tp8161 +a(g681 +V* +p8162 +tp8163 +a(g705 +g1172 +tp8164 +a(g573 +V$sin +p8165 +tp8166 +a(g826 +g1245 +tp8167 +a(g440 +g1597 +tp8168 +a(g705 +g1193 +tp8169 +a(g826 +g1245 +tp8170 +a(g705 +g1172 +tp8171 +a(g573 +V$cosh +p8172 +tp8173 +a(g826 +g1245 +tp8174 +a(g440 +g7610 +tp8175 +a(g705 +g1193 +tp8176 +a(g705 +g1193 +tp8177 +a(g826 +V\u000a +p8178 +tp8179 +a(g705 +g1172 +tp8180 +a(g681 +V* +p8181 +tp8182 +a(g705 +g1172 +tp8183 +a(g573 +V$cos +p8184 +tp8185 +a(g826 +g1245 +tp8186 +a(g440 +g1597 +tp8187 +a(g705 +g1193 +tp8188 +a(g826 +g1245 +tp8189 +a(g705 +g1172 +tp8190 +a(g573 +V$sinh +p8191 +tp8192 +a(g826 +g1245 +tp8193 +a(g440 +g7610 +tp8194 +a(g705 +g1193 +tp8195 +a(g705 +g1193 +tp8196 +a(g705 +g1193 +tp8197 +a(g705 +g1193 +tp8198 +a(g705 +g1193 +tp8199 +a(g705 +g1193 +tp8200 +a(g826 +V\u000a +p8201 +tp8202 +a(g705 +g1172 +tp8203 +a(g744 +Vdefine +p8204 +tp8205 +a(g705 +g1172 +tp8206 +a(g681 +Vcos +p8207 +tp8208 +a(g440 +g7208 +tp8209 +a(g705 +g1193 +tp8210 +a(g826 +V\u000a +p8211 +tp8212 +a(g705 +g1172 +tp8213 +a(g744 +Vif +p8214 +tp8215 +a(g705 +g1172 +tp8216 +a(g681 +Vreal? +p8217 +tp8218 +a(g440 +g7208 +tp8219 +a(g705 +g1193 +tp8220 +a(g826 +g1245 +tp8221 +a(g705 +g1172 +tp8222 +a(g573 +V$cos +p8223 +tp8224 +a(g826 +g1245 +tp8225 +a(g440 +g7208 +tp8226 +a(g705 +g1193 +tp8227 +a(g826 +V\u000a +p8228 +tp8229 +a(g705 +g1172 +tp8230 +a(g744 +Vlet +p8231 +tp8232 +a(g705 +g1172 +tp8233 +a(g705 +g1172 +tp8234 +a(g573 +g1597 +tp8235 +a(g826 +g1245 +tp8236 +a(g705 +g1172 +tp8237 +a(g681 +Vreal-part +p8238 +tp8239 +a(g440 +g7208 +tp8240 +a(g705 +g1193 +tp8241 +a(g705 +g1193 +tp8242 +a(g826 +g1245 +tp8243 +a(g705 +g1172 +tp8244 +a(g573 +g7610 +tp8245 +a(g826 +g1245 +tp8246 +a(g705 +g1172 +tp8247 +a(g681 +Vimag-part +p8248 +tp8249 +a(g440 +g7208 +tp8250 +a(g705 +g1193 +tp8251 +a(g705 +g1193 +tp8252 +a(g705 +g1193 +tp8253 +a(g826 +V\u000a +p8254 +tp8255 +a(g705 +g1172 +tp8256 +a(g681 +Vmake-rectangular +p8257 +tp8258 +a(g705 +g1172 +tp8259 +a(g681 +V* +p8260 +tp8261 +a(g705 +g1172 +tp8262 +a(g573 +V$cos +p8263 +tp8264 +a(g826 +g1245 +tp8265 +a(g440 +g1597 +tp8266 +a(g705 +g1193 +tp8267 +a(g826 +g1245 +tp8268 +a(g705 +g1172 +tp8269 +a(g573 +V$cosh +p8270 +tp8271 +a(g826 +g1245 +tp8272 +a(g440 +g7610 +tp8273 +a(g705 +g1193 +tp8274 +a(g705 +g1193 +tp8275 +a(g826 +V\u000a +p8276 +tp8277 +a(g705 +g1172 +tp8278 +a(g681 +V- +p8279 +tp8280 +a(g705 +g1172 +tp8281 +a(g681 +V* +p8282 +tp8283 +a(g705 +g1172 +tp8284 +a(g573 +V$sin +p8285 +tp8286 +a(g826 +g1245 +tp8287 +a(g440 +g1597 +tp8288 +a(g705 +g1193 +tp8289 +a(g826 +g1245 +tp8290 +a(g705 +g1172 +tp8291 +a(g573 +V$sinh +p8292 +tp8293 +a(g826 +g1245 +tp8294 +a(g440 +g7610 +tp8295 +a(g705 +g1193 +tp8296 +a(g705 +g1193 +tp8297 +a(g705 +g1193 +tp8298 +a(g705 +g1193 +tp8299 +a(g705 +g1193 +tp8300 +a(g705 +g1193 +tp8301 +a(g705 +g1193 +tp8302 +a(g826 +V\u000a +p8303 +tp8304 +a(g705 +g1172 +tp8305 +a(g744 +Vdefine +p8306 +tp8307 +a(g705 +g1172 +tp8308 +a(g681 +Vtan +p8309 +tp8310 +a(g440 +g7208 +tp8311 +a(g705 +g1193 +tp8312 +a(g826 +V\u000a +p8313 +tp8314 +a(g705 +g1172 +tp8315 +a(g744 +Vif +p8316 +tp8317 +a(g705 +g1172 +tp8318 +a(g681 +Vreal? +p8319 +tp8320 +a(g440 +g7208 +tp8321 +a(g705 +g1193 +tp8322 +a(g826 +g1245 +tp8323 +a(g705 +g1172 +tp8324 +a(g573 +V$tan +p8325 +tp8326 +a(g826 +g1245 +tp8327 +a(g440 +g7208 +tp8328 +a(g705 +g1193 +tp8329 +a(g826 +V\u000a +p8330 +tp8331 +a(g705 +g1172 +tp8332 +a(g744 +Vlet* +p8333 +tp8334 +a(g705 +g1172 +tp8335 +a(g705 +g1172 +tp8336 +a(g573 +g1597 +tp8337 +a(g826 +g1245 +tp8338 +a(g705 +g1172 +tp8339 +a(g681 +V* +p8340 +tp8341 +a(g37 +g1960 +tp8342 +a(g826 +g1245 +tp8343 +a(g705 +g1172 +tp8344 +a(g681 +Vreal-part +p8345 +tp8346 +a(g440 +g7208 +tp8347 +a(g705 +g1193 +tp8348 +a(g705 +g1193 +tp8349 +a(g705 +g1193 +tp8350 +a(g826 +V\u000a +p8351 +tp8352 +a(g705 +g1172 +tp8353 +a(g573 +g7610 +tp8354 +a(g826 +g1245 +tp8355 +a(g705 +g1172 +tp8356 +a(g681 +V* +p8357 +tp8358 +a(g37 +g1960 +tp8359 +a(g826 +g1245 +tp8360 +a(g705 +g1172 +tp8361 +a(g681 +Vimag-part +p8362 +tp8363 +a(g440 +g7208 +tp8364 +a(g705 +g1193 +tp8365 +a(g705 +g1193 +tp8366 +a(g705 +g1193 +tp8367 +a(g826 +V\u000a +p8368 +tp8369 +a(g705 +g1172 +tp8370 +a(g573 +g7833 +tp8371 +a(g826 +g1245 +tp8372 +a(g705 +g1172 +tp8373 +a(g681 +V+ +p8374 +tp8375 +a(g705 +g1172 +tp8376 +a(g573 +V$cos +p8377 +tp8378 +a(g826 +g1245 +tp8379 +a(g440 +g1597 +tp8380 +a(g705 +g1193 +tp8381 +a(g826 +g1245 +tp8382 +a(g705 +g1172 +tp8383 +a(g573 +V$cosh +p8384 +tp8385 +a(g826 +g1245 +tp8386 +a(g440 +g7610 +tp8387 +a(g705 +g1193 +tp8388 +a(g705 +g1193 +tp8389 +a(g705 +g1193 +tp8390 +a(g705 +g1193 +tp8391 +a(g826 +V\u000a +p8392 +tp8393 +a(g705 +g1172 +tp8394 +a(g681 +Vmake-rectangular +p8395 +tp8396 +a(g705 +g1172 +tp8397 +a(g681 +V/ +p8398 +tp8399 +a(g705 +g1172 +tp8400 +a(g573 +V$sin +p8401 +tp8402 +a(g826 +g1245 +tp8403 +a(g440 +g1597 +tp8404 +a(g705 +g1193 +tp8405 +a(g826 +g1245 +tp8406 +a(g440 +g7833 +tp8407 +a(g705 +g1193 +tp8408 +a(g826 +g1245 +tp8409 +a(g705 +g1172 +tp8410 +a(g681 +V/ +p8411 +tp8412 +a(g705 +g1172 +tp8413 +a(g573 +V$sinh +p8414 +tp8415 +a(g826 +g1245 +tp8416 +a(g440 +g7610 +tp8417 +a(g705 +g1193 +tp8418 +a(g826 +g1245 +tp8419 +a(g440 +g7833 +tp8420 +a(g705 +g1193 +tp8421 +a(g705 +g1193 +tp8422 +a(g705 +g1193 +tp8423 +a(g705 +g1193 +tp8424 +a(g705 +g1193 +tp8425 +a(g826 +V\u000a\u000a +p8426 +tp8427 +a(g705 +g1172 +tp8428 +a(g744 +Vdefine +p8429 +tp8430 +a(g705 +g1172 +tp8431 +a(g681 +Vasin +p8432 +tp8433 +a(g440 +g7208 +tp8434 +a(g705 +g1193 +tp8435 +a(g826 +V\u000a +p8436 +tp8437 +a(g705 +g1172 +tp8438 +a(g744 +Vif +p8439 +tp8440 +a(g705 +g1172 +tp8441 +a(g744 +Vand +p8442 +tp8443 +a(g705 +g1172 +tp8444 +a(g681 +Vreal? +p8445 +tp8446 +a(g440 +g7208 +tp8447 +a(g705 +g1193 +tp8448 +a(g826 +g1245 +tp8449 +a(g705 +g1172 +tp8450 +a(g681 +V>= +p8451 +tp8452 +a(g440 +g7208 +tp8453 +a(g826 +g1245 +tp8454 +a(g37 +V-1 +p8455 +tp8456 +a(g705 +g1193 +tp8457 +a(g826 +g1245 +tp8458 +a(g705 +g1172 +tp8459 +a(g681 +V<= +p8460 +tp8461 +a(g440 +g7208 +tp8462 +a(g826 +g1245 +tp8463 +a(g37 +g1609 +tp8464 +a(g705 +g1193 +tp8465 +a(g705 +g1193 +tp8466 +a(g826 +V\u000a +p8467 +tp8468 +a(g705 +g1172 +tp8469 +a(g573 +V$asin +p8470 +tp8471 +a(g826 +g1245 +tp8472 +a(g440 +g7208 +tp8473 +a(g705 +g1193 +tp8474 +a(g826 +V\u000a +p8475 +tp8476 +a(g705 +g1172 +tp8477 +a(g681 +V* +p8478 +tp8479 +a(g440 +V-i +p8480 +tp8481 +a(g826 +g1245 +tp8482 +a(g705 +g1172 +tp8483 +a(g573 +Vasinh +p8484 +tp8485 +a(g826 +g1245 +tp8486 +a(g705 +g1172 +tp8487 +a(g681 +V* +p8488 +tp8489 +a(g440 +V+i +p8490 +tp8491 +a(g826 +g1245 +tp8492 +a(g440 +g7208 +tp8493 +a(g705 +g1193 +tp8494 +a(g705 +g1193 +tp8495 +a(g705 +g1193 +tp8496 +a(g705 +g1193 +tp8497 +a(g705 +g1193 +tp8498 +a(g826 +V\u000a\u000a +p8499 +tp8500 +a(g705 +g1172 +tp8501 +a(g744 +Vdefine +p8502 +tp8503 +a(g705 +g1172 +tp8504 +a(g681 +Vacos +p8505 +tp8506 +a(g440 +g7208 +tp8507 +a(g705 +g1193 +tp8508 +a(g826 +V\u000a +p8509 +tp8510 +a(g705 +g1172 +tp8511 +a(g744 +Vif +p8512 +tp8513 +a(g705 +g1172 +tp8514 +a(g744 +Vand +p8515 +tp8516 +a(g705 +g1172 +tp8517 +a(g681 +Vreal? +p8518 +tp8519 +a(g440 +g7208 +tp8520 +a(g705 +g1193 +tp8521 +a(g826 +g1245 +tp8522 +a(g705 +g1172 +tp8523 +a(g681 +V>= +p8524 +tp8525 +a(g440 +g7208 +tp8526 +a(g826 +g1245 +tp8527 +a(g37 +V-1 +p8528 +tp8529 +a(g705 +g1193 +tp8530 +a(g826 +g1245 +tp8531 +a(g705 +g1172 +tp8532 +a(g681 +V<= +p8533 +tp8534 +a(g440 +g7208 +tp8535 +a(g826 +g1245 +tp8536 +a(g37 +g1609 +tp8537 +a(g705 +g1193 +tp8538 +a(g705 +g1193 +tp8539 +a(g826 +V\u000a +p8540 +tp8541 +a(g705 +g1172 +tp8542 +a(g573 +V$acos +p8543 +tp8544 +a(g826 +g1245 +tp8545 +a(g440 +g7208 +tp8546 +a(g705 +g1193 +tp8547 +a(g826 +V\u000a +p8548 +tp8549 +a(g705 +g1172 +tp8550 +a(g681 +V+ +p8551 +tp8552 +a(g705 +g1172 +tp8553 +a(g681 +V/ +p8554 +tp8555 +a(g705 +g1172 +tp8556 +a(g681 +Vangle +p8557 +tp8558 +a(g37 +V-1 +p8559 +tp8560 +a(g705 +g1193 +tp8561 +a(g826 +g1245 +tp8562 +a(g37 +g1960 +tp8563 +a(g705 +g1193 +tp8564 +a(g826 +g1245 +tp8565 +a(g705 +g1172 +tp8566 +a(g681 +V* +p8567 +tp8568 +a(g440 +V+i +p8569 +tp8570 +a(g826 +g1245 +tp8571 +a(g705 +g1172 +tp8572 +a(g573 +Vasinh +p8573 +tp8574 +a(g826 +g1245 +tp8575 +a(g705 +g1172 +tp8576 +a(g681 +V* +p8577 +tp8578 +a(g440 +V+i +p8579 +tp8580 +a(g826 +g1245 +tp8581 +a(g440 +g7208 +tp8582 +a(g705 +g1193 +tp8583 +a(g705 +g1193 +tp8584 +a(g705 +g1193 +tp8585 +a(g705 +g1193 +tp8586 +a(g705 +g1193 +tp8587 +a(g705 +g1193 +tp8588 +a(g826 +V\u000a\u000a +p8589 +tp8590 +a(g705 +g1172 +tp8591 +a(g744 +Vdefine +p8592 +tp8593 +a(g705 +g1172 +tp8594 +a(g681 +Vatan +p8595 +tp8596 +a(g440 +g7208 +tp8597 +a(g826 +g1245 +tp8598 +a(g412 +g1446 +tp8599 +a(g826 +g1245 +tp8600 +a(g440 +g7610 +tp8601 +a(g705 +g1193 +tp8602 +a(g826 +V\u000a +p8603 +tp8604 +a(g705 +g1172 +tp8605 +a(g744 +Vif +p8606 +tp8607 +a(g705 +g1172 +tp8608 +a(g681 +Vnull? +p8609 +tp8610 +a(g440 +g7610 +tp8611 +a(g705 +g1193 +tp8612 +a(g826 +V\u000a +p8613 +tp8614 +a(g705 +g1172 +tp8615 +a(g744 +Vif +p8616 +tp8617 +a(g705 +g1172 +tp8618 +a(g681 +Vreal? +p8619 +tp8620 +a(g440 +g7208 +tp8621 +a(g705 +g1193 +tp8622 +a(g826 +g1245 +tp8623 +a(g705 +g1172 +tp8624 +a(g573 +V$atan +p8625 +tp8626 +a(g826 +g1245 +tp8627 +a(g440 +g7208 +tp8628 +a(g705 +g1193 +tp8629 +a(g826 +V\u000a +p8630 +tp8631 +a(g705 +g1172 +tp8632 +a(g681 +V/ +p8633 +tp8634 +a(g705 +g1172 +tp8635 +a(g681 +Vlog +p8636 +tp8637 +a(g705 +g1172 +tp8638 +a(g681 +V/ +p8639 +tp8640 +a(g705 +g1172 +tp8641 +a(g681 +V- +p8642 +tp8643 +a(g440 +V+i +p8644 +tp8645 +a(g826 +g1245 +tp8646 +a(g440 +g7208 +tp8647 +a(g705 +g1193 +tp8648 +a(g826 +g1245 +tp8649 +a(g705 +g1172 +tp8650 +a(g681 +V+ +p8651 +tp8652 +a(g440 +V+i +p8653 +tp8654 +a(g826 +g1245 +tp8655 +a(g440 +g7208 +tp8656 +a(g705 +g1193 +tp8657 +a(g705 +g1193 +tp8658 +a(g705 +g1193 +tp8659 +a(g826 +g1245 +tp8660 +a(g440 +V+2i +p8661 +tp8662 +a(g705 +g1193 +tp8663 +a(g705 +g1193 +tp8664 +a(g826 +V\u000a +p8665 +tp8666 +a(g705 +g1172 +tp8667 +a(g573 +V$atan2 +p8668 +tp8669 +a(g826 +g1245 +tp8670 +a(g440 +g7208 +tp8671 +a(g826 +g1245 +tp8672 +a(g705 +g1172 +tp8673 +a(g681 +Vcar +p8674 +tp8675 +a(g440 +g7610 +tp8676 +a(g705 +g1193 +tp8677 +a(g705 +g1193 +tp8678 +a(g705 +g1193 +tp8679 +a(g705 +g1193 +tp8680 +a(g826 +V\u000a\u000a +p8681 +tp8682 +a(g705 +g1172 +tp8683 +a(g744 +Vdefine +p8684 +tp8685 +a(g705 +g1172 +tp8686 +a(g573 +Vlog10 +p8687 +tp8688 +a(g826 +g1245 +tp8689 +a(g440 +Varg +p8690 +tp8691 +a(g705 +g1193 +tp8692 +a(g826 +V\u000a +p8693 +tp8694 +a(g705 +g1172 +tp8695 +a(g681 +V/ +p8696 +tp8697 +a(g705 +g1172 +tp8698 +a(g681 +Vlog +p8699 +tp8700 +a(g440 +Varg +p8701 +tp8702 +a(g705 +g1193 +tp8703 +a(g826 +g1245 +tp8704 +a(g705 +g1172 +tp8705 +a(g681 +Vlog +p8706 +tp8707 +a(g37 +V10 +p8708 +tp8709 +a(g705 +g1193 +tp8710 +a(g705 +g1193 +tp8711 +a(g705 +g1193 +tp8712 +a(g826 +V\u000a\u000a \u000a\u000a +p8713 +tp8714 +a(g8 +V;;; {Reader Extensions} +p8715 +tp8716 +a(g826 +V\u000a +p8717 +tp8718 +a(g8 +V;;; +p8719 +tp8720 +a(g826 +V\u000a\u000a +p8721 +tp8722 +a(g8 +V;;; Reader code for various "#c" forms. +p8723 +tp8724 +a(g826 +V\u000a +p8725 +tp8726 +a(g8 +V;;; +p8727 +tp8728 +a(g826 +V\u000a\u000a +p8729 +tp8730 +a(g705 +g1172 +tp8731 +a(g573 +Vread-hash-extend +p8732 +tp8733 +a(g826 +g1245 +tp8734 +a(g93 +V#\u005c' +p8735 +tp8736 +a(g826 +g1245 +tp8737 +a(g705 +g1172 +tp8738 +a(g744 +Vlambda +p8739 +tp8740 +a(g705 +g1172 +tp8741 +a(g573 +Vc +p8742 +tp8743 +a(g826 +g1245 +tp8744 +a(g440 +Vport +p8745 +tp8746 +a(g705 +g1193 +tp8747 +a(g826 +V\u000a +p8748 +tp8749 +a(g705 +g1172 +tp8750 +a(g681 +Vread +p8751 +tp8752 +a(g440 +Vport +p8753 +tp8754 +a(g705 +g1193 +tp8755 +a(g705 +g1193 +tp8756 +a(g705 +g1193 +tp8757 +a(g826 +V\u000a\u000a +p8758 +tp8759 +a(g705 +g1172 +tp8760 +a(g744 +Vdefine +p8761 +tp8762 +a(g440 +Vread-eval? +p8763 +tp8764 +a(g826 +g1245 +tp8765 +a(g705 +g1172 +tp8766 +a(g573 +Vmake-fluid +p8767 +tp8768 +a(g705 +g1193 +tp8769 +a(g705 +g1193 +tp8770 +a(g826 +V\u000a +p8771 +tp8772 +a(g705 +g1172 +tp8773 +a(g573 +Vfluid-set! +p8774 +tp8775 +a(g826 +g1245 +tp8776 +a(g440 +Vread-eval? +p8777 +tp8778 +a(g826 +g1245 +tp8779 +a(g565 +V#f +p8780 +tp8781 +a(g705 +g1193 +tp8782 +a(g826 +V\u000a +p8783 +tp8784 +a(g705 +g1172 +tp8785 +a(g573 +Vread-hash-extend +p8786 +tp8787 +a(g826 +g1245 +tp8788 +a(g93 +V#\u005c. +p8789 +tp8790 +a(g826 +V\u000a +p8791 +tp8792 +a(g705 +g1172 +tp8793 +a(g744 +Vlambda +p8794 +tp8795 +a(g705 +g1172 +tp8796 +a(g573 +g8742 +tp8797 +a(g826 +g1245 +tp8798 +a(g440 +Vport +p8799 +tp8800 +a(g705 +g1193 +tp8801 +a(g826 +V\u000a +p8802 +tp8803 +a(g705 +g1172 +tp8804 +a(g744 +Vif +p8805 +tp8806 +a(g705 +g1172 +tp8807 +a(g573 +Vfluid-ref +p8808 +tp8809 +a(g826 +g1245 +tp8810 +a(g440 +Vread-eval? +p8811 +tp8812 +a(g705 +g1193 +tp8813 +a(g826 +V\u000a +p8814 +tp8815 +a(g705 +g1172 +tp8816 +a(g681 +Veval +p8817 +tp8818 +a(g705 +g1172 +tp8819 +a(g681 +Vread +p8820 +tp8821 +a(g440 +Vport +p8822 +tp8823 +a(g705 +g1193 +tp8824 +a(g826 +g1245 +tp8825 +a(g705 +g1172 +tp8826 +a(g573 +Vinteraction-environment +p8827 +tp8828 +a(g705 +g1193 +tp8829 +a(g705 +g1193 +tp8830 +a(g826 +V\u000a +p8831 +tp8832 +a(g705 +g1172 +tp8833 +a(g573 +Verror +p8834 +tp8835 +a(g826 +V\u000a +p8836 +tp8837 +a(g89 +V"#. read expansion found and read-eval? is #f." +p8838 +tp8839 +a(g705 +g1193 +tp8840 +a(g705 +g1193 +tp8841 +a(g705 +g1193 +tp8842 +a(g705 +g1193 +tp8843 +a(g826 +V\u000a\u000a \u000a +p8844 +tp8845 +a(g8 +V;;; {Command Line Options} +p8846 +tp8847 +a(g826 +V\u000a +p8848 +tp8849 +a(g8 +V;;; +p8850 +tp8851 +a(g826 +V\u000a\u000a +p8852 +tp8853 +a(g705 +g1172 +tp8854 +a(g744 +Vdefine +p8855 +tp8856 +a(g705 +g1172 +tp8857 +a(g573 +Vget-option +p8858 +tp8859 +a(g826 +g1245 +tp8860 +a(g440 +Vargv +p8861 +tp8862 +a(g826 +g1245 +tp8863 +a(g440 +Vkw-opts +p8864 +tp8865 +a(g826 +g1245 +tp8866 +a(g440 +Vkw-args +p8867 +tp8868 +a(g826 +g1245 +tp8869 +a(g440 +Vreturn +p8870 +tp8871 +a(g705 +g1193 +tp8872 +a(g826 +V\u000a +p8873 +tp8874 +a(g705 +g1172 +tp8875 +a(g573 +Vcond +p8876 +tp8877 +a(g826 +V\u000a +p8878 +tp8879 +a(g705 +g1172 +tp8880 +a(g705 +g1172 +tp8881 +a(g681 +Vnull? +p8882 +tp8883 +a(g440 +Vargv +p8884 +tp8885 +a(g705 +g1193 +tp8886 +a(g826 +V\u000a +p8887 +tp8888 +a(g705 +g1172 +tp8889 +a(g573 +Vreturn +p8890 +tp8891 +a(g826 +g1245 +tp8892 +a(g565 +V#f +p8893 +tp8894 +a(g826 +g1245 +tp8895 +a(g565 +V#f +p8896 +tp8897 +a(g826 +g1245 +tp8898 +a(g440 +Vargv +p8899 +tp8900 +a(g705 +g1193 +tp8901 +a(g705 +g1193 +tp8902 +a(g826 +V\u000a\u000a +p8903 +tp8904 +a(g705 +g1172 +tp8905 +a(g705 +g1172 +tp8906 +a(g744 +Vor +p8907 +tp8908 +a(g705 +g1172 +tp8909 +a(g681 +Vnot +p8910 +tp8911 +a(g705 +g1172 +tp8912 +a(g681 +Veq? +p8913 +tp8914 +a(g93 +V#\u005c- +p8915 +tp8916 +a(g826 +g1245 +tp8917 +a(g705 +g1172 +tp8918 +a(g681 +Vstring-ref +p8919 +tp8920 +a(g705 +g1172 +tp8921 +a(g681 +Vcar +p8922 +tp8923 +a(g440 +Vargv +p8924 +tp8925 +a(g705 +g1193 +tp8926 +a(g826 +g1245 +tp8927 +a(g37 +g2857 +tp8928 +a(g705 +g1193 +tp8929 +a(g705 +g1193 +tp8930 +a(g705 +g1193 +tp8931 +a(g826 +V\u000a +p8932 +tp8933 +a(g705 +g1172 +tp8934 +a(g681 +Veq? +p8935 +tp8936 +a(g705 +g1172 +tp8937 +a(g681 +Vstring-length +p8938 +tp8939 +a(g705 +g1172 +tp8940 +a(g681 +Vcar +p8941 +tp8942 +a(g440 +Vargv +p8943 +tp8944 +a(g705 +g1193 +tp8945 +a(g705 +g1193 +tp8946 +a(g826 +g1245 +tp8947 +a(g37 +g1609 +tp8948 +a(g705 +g1193 +tp8949 +a(g705 +g1193 +tp8950 +a(g826 +V\u000a +p8951 +tp8952 +a(g705 +g1172 +tp8953 +a(g573 +Vreturn +p8954 +tp8955 +a(g826 +g1245 +tp8956 +a(g117 +V'normal-arg +p8957 +tp8958 +a(g826 +g1245 +tp8959 +a(g705 +g1172 +tp8960 +a(g681 +Vcar +p8961 +tp8962 +a(g440 +Vargv +p8963 +tp8964 +a(g705 +g1193 +tp8965 +a(g826 +g1245 +tp8966 +a(g705 +g1172 +tp8967 +a(g681 +Vcdr +p8968 +tp8969 +a(g440 +Vargv +p8970 +tp8971 +a(g705 +g1193 +tp8972 +a(g705 +g1193 +tp8973 +a(g705 +g1193 +tp8974 +a(g826 +V\u000a\u000a +p8975 +tp8976 +a(g705 +g1172 +tp8977 +a(g705 +g1172 +tp8978 +a(g681 +Veq? +p8979 +tp8980 +a(g93 +V#\u005c- +p8981 +tp8982 +a(g826 +g1245 +tp8983 +a(g705 +g1172 +tp8984 +a(g681 +Vstring-ref +p8985 +tp8986 +a(g705 +g1172 +tp8987 +a(g681 +Vcar +p8988 +tp8989 +a(g440 +Vargv +p8990 +tp8991 +a(g705 +g1193 +tp8992 +a(g826 +g1245 +tp8993 +a(g37 +g1609 +tp8994 +a(g705 +g1193 +tp8995 +a(g705 +g1193 +tp8996 +a(g826 +V\u000a +p8997 +tp8998 +a(g705 +g1172 +tp8999 +a(g744 +Vlet* +p9000 +tp9001 +a(g705 +g1172 +tp9002 +a(g705 +g1172 +tp9003 +a(g573 +Vkw-arg-pos +p9004 +tp9005 +a(g826 +g1245 +tp9006 +a(g705 +g1172 +tp9007 +a(g744 +Vor +p9008 +tp9009 +a(g705 +g1172 +tp9010 +a(g573 +Vstring-index +p9011 +tp9012 +a(g826 +g1245 +tp9013 +a(g705 +g1172 +tp9014 +a(g681 +Vcar +p9015 +tp9016 +a(g440 +Vargv +p9017 +tp9018 +a(g705 +g1193 +tp9019 +a(g826 +g1245 +tp9020 +a(g93 +V#\u005c= +p9021 +tp9022 +a(g705 +g1193 +tp9023 +a(g826 +V\u000a +p9024 +tp9025 +a(g705 +g1172 +tp9026 +a(g681 +Vstring-length +p9027 +tp9028 +a(g705 +g1172 +tp9029 +a(g681 +Vcar +p9030 +tp9031 +a(g440 +Vargv +p9032 +tp9033 +a(g705 +g1193 +tp9034 +a(g705 +g1193 +tp9035 +a(g705 +g1193 +tp9036 +a(g705 +g1193 +tp9037 +a(g826 +V\u000a +p9038 +tp9039 +a(g705 +g1172 +tp9040 +a(g573 +Vkw +p9041 +tp9042 +a(g826 +g1245 +tp9043 +a(g705 +g1172 +tp9044 +a(g573 +Vsymbol->keyword +p9045 +tp9046 +a(g826 +g1245 +tp9047 +a(g705 +g1172 +tp9048 +a(g681 +Vsubstring +p9049 +tp9050 +a(g705 +g1172 +tp9051 +a(g681 +Vcar +p9052 +tp9053 +a(g440 +Vargv +p9054 +tp9055 +a(g705 +g1193 +tp9056 +a(g826 +g1245 +tp9057 +a(g37 +g1960 +tp9058 +a(g826 +g1245 +tp9059 +a(g440 +Vkw-arg-pos +p9060 +tp9061 +a(g705 +g1193 +tp9062 +a(g705 +g1193 +tp9063 +a(g705 +g1193 +tp9064 +a(g826 +V\u000a +p9065 +tp9066 +a(g705 +g1172 +tp9067 +a(g573 +Vkw-opt? +p9068 +tp9069 +a(g826 +g1245 +tp9070 +a(g705 +g1172 +tp9071 +a(g681 +Vmember +p9072 +tp9073 +a(g440 +Vkw +p9074 +tp9075 +a(g826 +g1245 +tp9076 +a(g440 +Vkw-opts +p9077 +tp9078 +a(g705 +g1193 +tp9079 +a(g705 +g1193 +tp9080 +a(g826 +V\u000a +p9081 +tp9082 +a(g705 +g1172 +tp9083 +a(g573 +Vkw-arg? +p9084 +tp9085 +a(g826 +g1245 +tp9086 +a(g705 +g1172 +tp9087 +a(g681 +Vmember +p9088 +tp9089 +a(g440 +Vkw +p9090 +tp9091 +a(g826 +g1245 +tp9092 +a(g440 +Vkw-args +p9093 +tp9094 +a(g705 +g1193 +tp9095 +a(g705 +g1193 +tp9096 +a(g826 +V\u000a +p9097 +tp9098 +a(g705 +g1172 +tp9099 +a(g573 +Varg +p9100 +tp9101 +a(g826 +g1245 +tp9102 +a(g705 +g1172 +tp9103 +a(g744 +Vor +p9104 +tp9105 +a(g705 +g1172 +tp9106 +a(g744 +Vand +p9107 +tp9108 +a(g705 +g1172 +tp9109 +a(g681 +Vnot +p9110 +tp9111 +a(g705 +g1172 +tp9112 +a(g681 +Veq? +p9113 +tp9114 +a(g440 +Vkw-arg-pos +p9115 +tp9116 +a(g826 +g1245 +tp9117 +a(g705 +g1172 +tp9118 +a(g681 +Vstring-length +p9119 +tp9120 +a(g705 +g1172 +tp9121 +a(g681 +Vcar +p9122 +tp9123 +a(g440 +Vargv +p9124 +tp9125 +a(g705 +g1193 +tp9126 +a(g705 +g1193 +tp9127 +a(g705 +g1193 +tp9128 +a(g705 +g1193 +tp9129 +a(g826 +V\u000a +p9130 +tp9131 +a(g705 +g1172 +tp9132 +a(g681 +Vsubstring +p9133 +tp9134 +a(g705 +g1172 +tp9135 +a(g681 +Vcar +p9136 +tp9137 +a(g440 +Vargv +p9138 +tp9139 +a(g705 +g1193 +tp9140 +a(g826 +V\u000a +p9141 +tp9142 +a(g705 +g1172 +tp9143 +a(g681 +V+ +p9144 +tp9145 +a(g440 +Vkw-arg-pos +p9146 +tp9147 +a(g826 +g1245 +tp9148 +a(g37 +g1609 +tp9149 +a(g705 +g1193 +tp9150 +a(g826 +V\u000a +p9151 +tp9152 +a(g705 +g1172 +tp9153 +a(g681 +Vstring-length +p9154 +tp9155 +a(g705 +g1172 +tp9156 +a(g681 +Vcar +p9157 +tp9158 +a(g440 +Vargv +p9159 +tp9160 +a(g705 +g1193 +tp9161 +a(g705 +g1193 +tp9162 +a(g705 +g1193 +tp9163 +a(g705 +g1193 +tp9164 +a(g826 +V\u000a +p9165 +tp9166 +a(g705 +g1172 +tp9167 +a(g744 +Vand +p9168 +tp9169 +a(g440 +Vkw-arg? +p9170 +tp9171 +a(g826 +V\u000a +p9172 +tp9173 +a(g705 +g1172 +tp9174 +a(g744 +Vbegin +p9175 +tp9176 +a(g705 +g1172 +tp9177 +a(g744 +Vset! +p9178 +tp9179 +a(g440 +Vargv +p9180 +tp9181 +a(g826 +g1245 +tp9182 +a(g705 +g1172 +tp9183 +a(g681 +Vcdr +p9184 +tp9185 +a(g440 +Vargv +p9186 +tp9187 +a(g705 +g1193 +tp9188 +a(g705 +g1193 +tp9189 +a(g826 +g1245 +tp9190 +a(g705 +g1172 +tp9191 +a(g681 +Vcar +p9192 +tp9193 +a(g440 +Vargv +p9194 +tp9195 +a(g705 +g1193 +tp9196 +a(g705 +g1193 +tp9197 +a(g705 +g1193 +tp9198 +a(g705 +g1193 +tp9199 +a(g705 +g1193 +tp9200 +a(g705 +g1193 +tp9201 +a(g826 +V\u000a +p9202 +tp9203 +a(g705 +g1172 +tp9204 +a(g744 +Vif +p9205 +tp9206 +a(g705 +g1172 +tp9207 +a(g744 +Vor +p9208 +tp9209 +a(g440 +Vkw-opt? +p9210 +tp9211 +a(g826 +g1245 +tp9212 +a(g440 +Vkw-arg? +p9213 +tp9214 +a(g705 +g1193 +tp9215 +a(g826 +V\u000a +p9216 +tp9217 +a(g705 +g1172 +tp9218 +a(g573 +Vreturn +p9219 +tp9220 +a(g826 +g1245 +tp9221 +a(g440 +Vkw +p9222 +tp9223 +a(g826 +g1245 +tp9224 +a(g440 +Varg +p9225 +tp9226 +a(g826 +g1245 +tp9227 +a(g705 +g1172 +tp9228 +a(g681 +Vcdr +p9229 +tp9230 +a(g440 +Vargv +p9231 +tp9232 +a(g705 +g1193 +tp9233 +a(g705 +g1193 +tp9234 +a(g826 +V\u000a +p9235 +tp9236 +a(g705 +g1172 +tp9237 +a(g573 +Vreturn +p9238 +tp9239 +a(g826 +g1245 +tp9240 +a(g117 +V'usage-error +p9241 +tp9242 +a(g826 +g1245 +tp9243 +a(g440 +Vkw +p9244 +tp9245 +a(g826 +g1245 +tp9246 +a(g705 +g1172 +tp9247 +a(g681 +Vcdr +p9248 +tp9249 +a(g440 +Vargv +p9250 +tp9251 +a(g705 +g1193 +tp9252 +a(g705 +g1193 +tp9253 +a(g705 +g1193 +tp9254 +a(g705 +g1193 +tp9255 +a(g705 +g1193 +tp9256 +a(g826 +V\u000a\u000a +p9257 +tp9258 +a(g705 +g1172 +tp9259 +a(g573 +Velse +p9260 +tp9261 +a(g826 +V\u000a +p9262 +tp9263 +a(g705 +g1172 +tp9264 +a(g744 +Vlet* +p9265 +tp9266 +a(g705 +g1172 +tp9267 +a(g705 +g1172 +tp9268 +a(g573 +Vchar +p9269 +tp9270 +a(g826 +g1245 +tp9271 +a(g705 +g1172 +tp9272 +a(g681 +Vsubstring +p9273 +tp9274 +a(g705 +g1172 +tp9275 +a(g681 +Vcar +p9276 +tp9277 +a(g440 +Vargv +p9278 +tp9279 +a(g705 +g1193 +tp9280 +a(g826 +g1245 +tp9281 +a(g37 +g1609 +tp9282 +a(g826 +g1245 +tp9283 +a(g37 +g1960 +tp9284 +a(g705 +g1193 +tp9285 +a(g705 +g1193 +tp9286 +a(g826 +V\u000a +p9287 +tp9288 +a(g705 +g1172 +tp9289 +a(g573 +Vkw +p9290 +tp9291 +a(g826 +g1245 +tp9292 +a(g705 +g1172 +tp9293 +a(g573 +Vsymbol->keyword +p9294 +tp9295 +a(g826 +g1245 +tp9296 +a(g440 +Vchar +p9297 +tp9298 +a(g705 +g1193 +tp9299 +a(g705 +g1193 +tp9300 +a(g705 +g1193 +tp9301 +a(g826 +V\u000a +p9302 +tp9303 +a(g705 +g1172 +tp9304 +a(g573 +Vcond +p9305 +tp9306 +a(g826 +V\u000a\u000a +p9307 +tp9308 +a(g705 +g1172 +tp9309 +a(g705 +g1172 +tp9310 +a(g681 +Vmember +p9311 +tp9312 +a(g440 +Vkw +p9313 +tp9314 +a(g826 +g1245 +tp9315 +a(g440 +Vkw-opts +p9316 +tp9317 +a(g705 +g1193 +tp9318 +a(g826 +V\u000a +p9319 +tp9320 +a(g705 +g1172 +tp9321 +a(g744 +Vlet* +p9322 +tp9323 +a(g705 +g1172 +tp9324 +a(g705 +g1172 +tp9325 +a(g573 +Vrest-car +p9326 +tp9327 +a(g826 +g1245 +tp9328 +a(g705 +g1172 +tp9329 +a(g681 +Vsubstring +p9330 +tp9331 +a(g705 +g1172 +tp9332 +a(g681 +Vcar +p9333 +tp9334 +a(g440 +Vargv +p9335 +tp9336 +a(g705 +g1193 +tp9337 +a(g826 +g1245 +tp9338 +a(g37 +g1960 +tp9339 +a(g826 +g1245 +tp9340 +a(g705 +g1172 +tp9341 +a(g681 +Vstring-length +p9342 +tp9343 +a(g705 +g1172 +tp9344 +a(g681 +Vcar +p9345 +tp9346 +a(g440 +Vargv +p9347 +tp9348 +a(g705 +g1193 +tp9349 +a(g705 +g1193 +tp9350 +a(g705 +g1193 +tp9351 +a(g705 +g1193 +tp9352 +a(g826 +V\u000a +p9353 +tp9354 +a(g705 +g1172 +tp9355 +a(g573 +Vnew-argv +p9356 +tp9357 +a(g826 +g1245 +tp9358 +a(g705 +g1172 +tp9359 +a(g744 +Vif +p9360 +tp9361 +a(g705 +g1172 +tp9362 +a(g681 +V= +p9363 +tp9364 +a(g37 +g2857 +tp9365 +a(g826 +g1245 +tp9366 +a(g705 +g1172 +tp9367 +a(g681 +Vstring-length +p9368 +tp9369 +a(g440 +Vrest-car +p9370 +tp9371 +a(g705 +g1193 +tp9372 +a(g705 +g1193 +tp9373 +a(g826 +V\u000a +p9374 +tp9375 +a(g705 +g1172 +tp9376 +a(g681 +Vcdr +p9377 +tp9378 +a(g440 +Vargv +p9379 +tp9380 +a(g705 +g1193 +tp9381 +a(g826 +V\u000a +p9382 +tp9383 +a(g705 +g1172 +tp9384 +a(g681 +Vcons +p9385 +tp9386 +a(g705 +g1172 +tp9387 +a(g681 +Vstring-append +p9388 +tp9389 +a(g89 +V"-" +p9390 +tp9391 +a(g826 +g1245 +tp9392 +a(g440 +Vrest-car +p9393 +tp9394 +a(g705 +g1193 +tp9395 +a(g826 +g1245 +tp9396 +a(g705 +g1172 +tp9397 +a(g681 +Vcdr +p9398 +tp9399 +a(g440 +Vargv +p9400 +tp9401 +a(g705 +g1193 +tp9402 +a(g705 +g1193 +tp9403 +a(g705 +g1193 +tp9404 +a(g705 +g1193 +tp9405 +a(g705 +g1193 +tp9406 +a(g826 +V\u000a +p9407 +tp9408 +a(g705 +g1172 +tp9409 +a(g573 +Vreturn +p9410 +tp9411 +a(g826 +g1245 +tp9412 +a(g440 +Vkw +p9413 +tp9414 +a(g826 +g1245 +tp9415 +a(g565 +V#f +p9416 +tp9417 +a(g826 +g1245 +tp9418 +a(g440 +Vnew-argv +p9419 +tp9420 +a(g705 +g1193 +tp9421 +a(g705 +g1193 +tp9422 +a(g705 +g1193 +tp9423 +a(g826 +V\u000a\u000a +p9424 +tp9425 +a(g705 +g1172 +tp9426 +a(g705 +g1172 +tp9427 +a(g681 +Vmember +p9428 +tp9429 +a(g440 +Vkw +p9430 +tp9431 +a(g826 +g1245 +tp9432 +a(g440 +Vkw-args +p9433 +tp9434 +a(g705 +g1193 +tp9435 +a(g826 +V\u000a +p9436 +tp9437 +a(g705 +g1172 +tp9438 +a(g744 +Vlet* +p9439 +tp9440 +a(g705 +g1172 +tp9441 +a(g705 +g1172 +tp9442 +a(g573 +Vrest-car +p9443 +tp9444 +a(g826 +g1245 +tp9445 +a(g705 +g1172 +tp9446 +a(g681 +Vsubstring +p9447 +tp9448 +a(g705 +g1172 +tp9449 +a(g681 +Vcar +p9450 +tp9451 +a(g440 +Vargv +p9452 +tp9453 +a(g705 +g1193 +tp9454 +a(g826 +g1245 +tp9455 +a(g37 +g1960 +tp9456 +a(g826 +g1245 +tp9457 +a(g705 +g1172 +tp9458 +a(g681 +Vstring-length +p9459 +tp9460 +a(g705 +g1172 +tp9461 +a(g681 +Vcar +p9462 +tp9463 +a(g440 +Vargv +p9464 +tp9465 +a(g705 +g1193 +tp9466 +a(g705 +g1193 +tp9467 +a(g705 +g1193 +tp9468 +a(g705 +g1193 +tp9469 +a(g826 +V\u000a +p9470 +tp9471 +a(g705 +g1172 +tp9472 +a(g573 +Varg +p9473 +tp9474 +a(g826 +g1245 +tp9475 +a(g705 +g1172 +tp9476 +a(g744 +Vif +p9477 +tp9478 +a(g705 +g1172 +tp9479 +a(g681 +V= +p9480 +tp9481 +a(g37 +g2857 +tp9482 +a(g826 +g1245 +tp9483 +a(g705 +g1172 +tp9484 +a(g681 +Vstring-length +p9485 +tp9486 +a(g440 +Vrest-car +p9487 +tp9488 +a(g705 +g1193 +tp9489 +a(g705 +g1193 +tp9490 +a(g826 +V\u000a +p9491 +tp9492 +a(g705 +g1172 +tp9493 +a(g681 +Vcadr +p9494 +tp9495 +a(g440 +Vargv +p9496 +tp9497 +a(g705 +g1193 +tp9498 +a(g826 +V\u000a +p9499 +tp9500 +a(g440 +Vrest-car +p9501 +tp9502 +a(g705 +g1193 +tp9503 +a(g705 +g1193 +tp9504 +a(g826 +V\u000a +p9505 +tp9506 +a(g705 +g1172 +tp9507 +a(g573 +Vnew-argv +p9508 +tp9509 +a(g826 +g1245 +tp9510 +a(g705 +g1172 +tp9511 +a(g744 +Vif +p9512 +tp9513 +a(g705 +g1172 +tp9514 +a(g681 +V= +p9515 +tp9516 +a(g37 +g2857 +tp9517 +a(g826 +g1245 +tp9518 +a(g705 +g1172 +tp9519 +a(g681 +Vstring-length +p9520 +tp9521 +a(g440 +Vrest-car +p9522 +tp9523 +a(g705 +g1193 +tp9524 +a(g705 +g1193 +tp9525 +a(g826 +V\u000a +p9526 +tp9527 +a(g705 +g1172 +tp9528 +a(g681 +Vcddr +p9529 +tp9530 +a(g440 +Vargv +p9531 +tp9532 +a(g705 +g1193 +tp9533 +a(g826 +V\u000a +p9534 +tp9535 +a(g705 +g1172 +tp9536 +a(g681 +Vcdr +p9537 +tp9538 +a(g440 +Vargv +p9539 +tp9540 +a(g705 +g1193 +tp9541 +a(g705 +g1193 +tp9542 +a(g705 +g1193 +tp9543 +a(g705 +g1193 +tp9544 +a(g826 +V\u000a +p9545 +tp9546 +a(g705 +g1172 +tp9547 +a(g573 +Vreturn +p9548 +tp9549 +a(g826 +g1245 +tp9550 +a(g440 +Vkw +p9551 +tp9552 +a(g826 +g1245 +tp9553 +a(g440 +Varg +p9554 +tp9555 +a(g826 +g1245 +tp9556 +a(g440 +Vnew-argv +p9557 +tp9558 +a(g705 +g1193 +tp9559 +a(g705 +g1193 +tp9560 +a(g705 +g1193 +tp9561 +a(g826 +V\u000a\u000a +p9562 +tp9563 +a(g705 +g1172 +tp9564 +a(g744 +Velse +p9565 +tp9566 +a(g705 +g1172 +tp9567 +a(g573 +Vreturn +p9568 +tp9569 +a(g826 +g1245 +tp9570 +a(g117 +V'usage-error +p9571 +tp9572 +a(g826 +g1245 +tp9573 +a(g440 +Vkw +p9574 +tp9575 +a(g826 +g1245 +tp9576 +a(g440 +Vargv +p9577 +tp9578 +a(g705 +g1193 +tp9579 +a(g705 +g1193 +tp9580 +a(g705 +g1193 +tp9581 +a(g705 +g1193 +tp9582 +a(g705 +g1193 +tp9583 +a(g705 +g1193 +tp9584 +a(g705 +g1193 +tp9585 +a(g826 +V\u000a\u000a +p9586 +tp9587 +a(g705 +g1172 +tp9588 +a(g744 +Vdefine +p9589 +tp9590 +a(g705 +g1172 +tp9591 +a(g573 +Vfor-next-option +p9592 +tp9593 +a(g826 +g1245 +tp9594 +a(g440 +Vproc +p9595 +tp9596 +a(g826 +g1245 +tp9597 +a(g440 +Vargv +p9598 +tp9599 +a(g826 +g1245 +tp9600 +a(g440 +Vkw-opts +p9601 +tp9602 +a(g826 +g1245 +tp9603 +a(g440 +Vkw-args +p9604 +tp9605 +a(g705 +g1193 +tp9606 +a(g826 +V\u000a +p9607 +tp9608 +a(g705 +g1172 +tp9609 +a(g744 +Vlet +p9610 +tp9611 +a(g440 +Vloop +p9612 +tp9613 +a(g826 +g1245 +tp9614 +a(g705 +g1172 +tp9615 +a(g705 +g1172 +tp9616 +a(g573 +Vargv +p9617 +tp9618 +a(g826 +g1245 +tp9619 +a(g440 +Vargv +p9620 +tp9621 +a(g705 +g1193 +tp9622 +a(g705 +g1193 +tp9623 +a(g826 +V\u000a +p9624 +tp9625 +a(g705 +g1172 +tp9626 +a(g573 +Vget-option +p9627 +tp9628 +a(g826 +g1245 +tp9629 +a(g440 +Vargv +p9630 +tp9631 +a(g826 +g1245 +tp9632 +a(g440 +Vkw-opts +p9633 +tp9634 +a(g826 +g1245 +tp9635 +a(g440 +Vkw-args +p9636 +tp9637 +a(g826 +V\u000a +p9638 +tp9639 +a(g705 +g1172 +tp9640 +a(g744 +Vlambda +p9641 +tp9642 +a(g705 +g1172 +tp9643 +a(g573 +Vopt +p9644 +tp9645 +a(g826 +g1245 +tp9646 +a(g440 +Vopt-arg +p9647 +tp9648 +a(g826 +g1245 +tp9649 +a(g440 +Vargv +p9650 +tp9651 +a(g705 +g1193 +tp9652 +a(g826 +V\u000a +p9653 +tp9654 +a(g705 +g1172 +tp9655 +a(g744 +Vand +p9656 +tp9657 +a(g440 +Vopt +p9658 +tp9659 +a(g826 +g1245 +tp9660 +a(g705 +g1172 +tp9661 +a(g573 +Vproc +p9662 +tp9663 +a(g826 +g1245 +tp9664 +a(g440 +Vopt +p9665 +tp9666 +a(g826 +g1245 +tp9667 +a(g440 +Vopt-arg +p9668 +tp9669 +a(g826 +g1245 +tp9670 +a(g440 +Vargv +p9671 +tp9672 +a(g826 +g1245 +tp9673 +a(g440 +Vloop +p9674 +tp9675 +a(g705 +g1193 +tp9676 +a(g705 +g1193 +tp9677 +a(g705 +g1193 +tp9678 +a(g705 +g1193 +tp9679 +a(g705 +g1193 +tp9680 +a(g705 +g1193 +tp9681 +a(g826 +V\u000a\u000a +p9682 +tp9683 +a(g705 +g1172 +tp9684 +a(g744 +Vdefine +p9685 +tp9686 +a(g705 +g1172 +tp9687 +a(g573 +Vdisplay-usage-report +p9688 +tp9689 +a(g826 +g1245 +tp9690 +a(g440 +Vkw-desc +p9691 +tp9692 +a(g705 +g1193 +tp9693 +a(g826 +V\u000a +p9694 +tp9695 +a(g705 +g1172 +tp9696 +a(g573 +Vfor-each +p9697 +tp9698 +a(g826 +V\u000a +p9699 +tp9700 +a(g705 +g1172 +tp9701 +a(g744 +Vlambda +p9702 +tp9703 +a(g705 +g1172 +tp9704 +a(g573 +Vkw +p9705 +tp9706 +a(g705 +g1193 +tp9707 +a(g826 +V\u000a +p9708 +tp9709 +a(g705 +g1172 +tp9710 +a(g744 +Vor +p9711 +tp9712 +a(g705 +g1172 +tp9713 +a(g681 +Veq? +p9714 +tp9715 +a(g705 +g1172 +tp9716 +a(g681 +Vcar +p9717 +tp9718 +a(g440 +Vkw +p9719 +tp9720 +a(g705 +g1193 +tp9721 +a(g826 +g1245 +tp9722 +a(g565 +V#t +p9723 +tp9724 +a(g705 +g1193 +tp9725 +a(g826 +V\u000a +p9726 +tp9727 +a(g705 +g1172 +tp9728 +a(g681 +Veq? +p9729 +tp9730 +a(g705 +g1172 +tp9731 +a(g681 +Vcar +p9732 +tp9733 +a(g440 +Vkw +p9734 +tp9735 +a(g705 +g1193 +tp9736 +a(g826 +g1245 +tp9737 +a(g117 +V'else +p9738 +tp9739 +a(g705 +g1193 +tp9740 +a(g826 +V\u000a +p9741 +tp9742 +a(g705 +g1172 +tp9743 +a(g744 +Vlet* +p9744 +tp9745 +a(g705 +g1172 +tp9746 +a(g705 +g1172 +tp9747 +a(g573 +Vopt-desc +p9748 +tp9749 +a(g826 +g1245 +tp9750 +a(g440 +Vkw +p9751 +tp9752 +a(g705 +g1193 +tp9753 +a(g826 +V\u000a +p9754 +tp9755 +a(g705 +g1172 +tp9756 +a(g573 +Vhelp +p9757 +tp9758 +a(g826 +g1245 +tp9759 +a(g705 +g1172 +tp9760 +a(g681 +Vcadr +p9761 +tp9762 +a(g440 +Vopt-desc +p9763 +tp9764 +a(g705 +g1193 +tp9765 +a(g705 +g1193 +tp9766 +a(g826 +V\u000a +p9767 +tp9768 +a(g705 +g1172 +tp9769 +a(g573 +Vopts +p9770 +tp9771 +a(g826 +g1245 +tp9772 +a(g705 +g1172 +tp9773 +a(g681 +Vcar +p9774 +tp9775 +a(g440 +Vopt-desc +p9776 +tp9777 +a(g705 +g1193 +tp9778 +a(g705 +g1193 +tp9779 +a(g826 +V\u000a +p9780 +tp9781 +a(g705 +g1172 +tp9782 +a(g573 +Vopts-proper +p9783 +tp9784 +a(g826 +g1245 +tp9785 +a(g705 +g1172 +tp9786 +a(g744 +Vif +p9787 +tp9788 +a(g705 +g1172 +tp9789 +a(g681 +Vstring? +p9790 +tp9791 +a(g705 +g1172 +tp9792 +a(g681 +Vcar +p9793 +tp9794 +a(g440 +Vopts +p9795 +tp9796 +a(g705 +g1193 +tp9797 +a(g705 +g1193 +tp9798 +a(g826 +g1245 +tp9799 +a(g705 +g1172 +tp9800 +a(g681 +Vcdr +p9801 +tp9802 +a(g440 +Vopts +p9803 +tp9804 +a(g705 +g1193 +tp9805 +a(g826 +g1245 +tp9806 +a(g440 +Vopts +p9807 +tp9808 +a(g705 +g1193 +tp9809 +a(g705 +g1193 +tp9810 +a(g826 +V\u000a +p9811 +tp9812 +a(g705 +g1172 +tp9813 +a(g573 +Varg-name +p9814 +tp9815 +a(g826 +g1245 +tp9816 +a(g705 +g1172 +tp9817 +a(g744 +Vif +p9818 +tp9819 +a(g705 +g1172 +tp9820 +a(g681 +Vstring? +p9821 +tp9822 +a(g705 +g1172 +tp9823 +a(g681 +Vcar +p9824 +tp9825 +a(g440 +Vopts +p9826 +tp9827 +a(g705 +g1193 +tp9828 +a(g705 +g1193 +tp9829 +a(g826 +V\u000a +p9830 +tp9831 +a(g705 +g1172 +tp9832 +a(g681 +Vstring-append +p9833 +tp9834 +a(g89 +V"<" +p9835 +tp9836 +a(g826 +g1245 +tp9837 +a(g705 +g1172 +tp9838 +a(g681 +Vcar +p9839 +tp9840 +a(g440 +Vopts +p9841 +tp9842 +a(g705 +g1193 +tp9843 +a(g826 +g1245 +tp9844 +a(g89 +V">" +p9845 +tp9846 +a(g705 +g1193 +tp9847 +a(g826 +V\u000a +p9848 +tp9849 +a(g89 +V"" +p9850 +tp9851 +a(g705 +g1193 +tp9852 +a(g705 +g1193 +tp9853 +a(g826 +V\u000a +p9854 +tp9855 +a(g705 +g1172 +tp9856 +a(g573 +Vleft-part +p9857 +tp9858 +a(g826 +g1245 +tp9859 +a(g705 +g1172 +tp9860 +a(g573 +Vstring-append +p9861 +tp9862 +a(g826 +V\u000a +p9863 +tp9864 +a(g705 +g1172 +tp9865 +a(g573 +Vwith-output-to-string +p9866 +tp9867 +a(g826 +V\u000a +p9868 +tp9869 +a(g705 +g1172 +tp9870 +a(g744 +Vlambda +p9871 +tp9872 +a(g705 +g1172 +tp9873 +a(g705 +g1193 +tp9874 +a(g826 +V\u000a +p9875 +tp9876 +a(g705 +g1172 +tp9877 +a(g681 +Vmap +p9878 +tp9879 +a(g705 +g1172 +tp9880 +a(g744 +Vlambda +p9881 +tp9882 +a(g705 +g1172 +tp9883 +a(g573 +g1597 +tp9884 +a(g705 +g1193 +tp9885 +a(g826 +g1245 +tp9886 +a(g705 +g1172 +tp9887 +a(g681 +Vdisplay +p9888 +tp9889 +a(g705 +g1172 +tp9890 +a(g573 +Vkeyword->symbol +p9891 +tp9892 +a(g826 +g1245 +tp9893 +a(g440 +g1597 +tp9894 +a(g705 +g1193 +tp9895 +a(g705 +g1193 +tp9896 +a(g826 +g1245 +tp9897 +a(g705 +g1172 +tp9898 +a(g681 +Vdisplay +p9899 +tp9900 +a(g89 +V" " +p9901 +tp9902 +a(g705 +g1193 +tp9903 +a(g705 +g1193 +tp9904 +a(g826 +V\u000a +p9905 +tp9906 +a(g440 +Vopts-proper +p9907 +tp9908 +a(g705 +g1193 +tp9909 +a(g705 +g1193 +tp9910 +a(g705 +g1193 +tp9911 +a(g826 +V\u000a +p9912 +tp9913 +a(g440 +Varg-name +p9914 +tp9915 +a(g705 +g1193 +tp9916 +a(g705 +g1193 +tp9917 +a(g826 +V\u000a +p9918 +tp9919 +a(g705 +g1172 +tp9920 +a(g573 +Vmiddle-part +p9921 +tp9922 +a(g826 +g1245 +tp9923 +a(g705 +g1172 +tp9924 +a(g744 +Vif +p9925 +tp9926 +a(g705 +g1172 +tp9927 +a(g744 +Vand +p9928 +tp9929 +a(g705 +g1172 +tp9930 +a(g681 +V< +p9931 +tp9932 +a(g705 +g1172 +tp9933 +a(g681 +Vstring-length +p9934 +tp9935 +a(g440 +Vleft-part +p9936 +tp9937 +a(g705 +g1193 +tp9938 +a(g826 +g1245 +tp9939 +a(g37 +V30 +p9940 +tp9941 +a(g705 +g1193 +tp9942 +a(g826 +V\u000a +p9943 +tp9944 +a(g705 +g1172 +tp9945 +a(g681 +V< +p9946 +tp9947 +a(g705 +g1172 +tp9948 +a(g681 +Vstring-length +p9949 +tp9950 +a(g440 +Vhelp +p9951 +tp9952 +a(g705 +g1193 +tp9953 +a(g826 +g1245 +tp9954 +a(g37 +V40 +p9955 +tp9956 +a(g705 +g1193 +tp9957 +a(g705 +g1193 +tp9958 +a(g826 +V\u000a +p9959 +tp9960 +a(g705 +g1172 +tp9961 +a(g681 +Vmake-string +p9962 +tp9963 +a(g705 +g1172 +tp9964 +a(g681 +V- +p9965 +tp9966 +a(g37 +V30 +p9967 +tp9968 +a(g826 +g1245 +tp9969 +a(g705 +g1172 +tp9970 +a(g681 +Vstring-length +p9971 +tp9972 +a(g440 +Vleft-part +p9973 +tp9974 +a(g705 +g1193 +tp9975 +a(g705 +g1193 +tp9976 +a(g826 +g1245 +tp9977 +a(g93 +V#\u005c +p9978 +tp9979 +a(g705 +g1193 +tp9980 +a(g826 +V\u000a +p9981 +tp9982 +a(g89 +V"\u005cn\u005ct" +p9983 +tp9984 +a(g705 +g1193 +tp9985 +a(g705 +g1193 +tp9986 +a(g705 +g1193 +tp9987 +a(g826 +V\u000a +p9988 +tp9989 +a(g705 +g1172 +tp9990 +a(g681 +Vdisplay +p9991 +tp9992 +a(g440 +Vleft-part +p9993 +tp9994 +a(g705 +g1193 +tp9995 +a(g826 +V\u000a +p9996 +tp9997 +a(g705 +g1172 +tp9998 +a(g681 +Vdisplay +p9999 +tp10000 +a(g440 +Vmiddle-part +p10001 +tp10002 +a(g705 +g1193 +tp10003 +a(g826 +V\u000a +p10004 +tp10005 +a(g705 +g1172 +tp10006 +a(g681 +Vdisplay +p10007 +tp10008 +a(g440 +Vhelp +p10009 +tp10010 +a(g705 +g1193 +tp10011 +a(g826 +V\u000a +p10012 +tp10013 +a(g705 +g1172 +tp10014 +a(g573 +Vnewline +p10015 +tp10016 +a(g705 +g1193 +tp10017 +a(g705 +g1193 +tp10018 +a(g705 +g1193 +tp10019 +a(g705 +g1193 +tp10020 +a(g826 +V\u000a +p10021 +tp10022 +a(g440 +Vkw-desc +p10023 +tp10024 +a(g705 +g1193 +tp10025 +a(g705 +g1193 +tp10026 +a(g826 +V\u000a\u000a\u000a\u000a +p10027 +tp10028 +a(g705 +g1172 +tp10029 +a(g744 +Vdefine +p10030 +tp10031 +a(g705 +g1172 +tp10032 +a(g573 +Vtransform-usage-lambda +p10033 +tp10034 +a(g826 +g1245 +tp10035 +a(g440 +Vcases +p10036 +tp10037 +a(g705 +g1193 +tp10038 +a(g826 +V\u000a +p10039 +tp10040 +a(g705 +g1172 +tp10041 +a(g744 +Vlet* +p10042 +tp10043 +a(g705 +g1172 +tp10044 +a(g705 +g1172 +tp10045 +a(g573 +Vraw-usage +p10046 +tp10047 +a(g826 +g1245 +tp10048 +a(g705 +g1172 +tp10049 +a(g573 +Vdelq! +p10050 +tp10051 +a(g826 +g1245 +tp10052 +a(g117 +V'else +p10053 +tp10054 +a(g826 +g1245 +tp10055 +a(g705 +g1172 +tp10056 +a(g681 +Vmap +p10057 +tp10058 +a(g440 +Vcar +p10059 +tp10060 +a(g826 +g1245 +tp10061 +a(g440 +Vcases +p10062 +tp10063 +a(g705 +g1193 +tp10064 +a(g705 +g1193 +tp10065 +a(g705 +g1193 +tp10066 +a(g826 +V\u000a +p10067 +tp10068 +a(g705 +g1172 +tp10069 +a(g573 +Vusage-sans-specials +p10070 +tp10071 +a(g826 +g1245 +tp10072 +a(g705 +g1172 +tp10073 +a(g681 +Vmap +p10074 +tp10075 +a(g705 +g1172 +tp10076 +a(g744 +Vlambda +p10077 +tp10078 +a(g705 +g1172 +tp10079 +a(g573 +g1597 +tp10080 +a(g705 +g1193 +tp10081 +a(g826 +V\u000a +p10082 +tp10083 +a(g705 +g1172 +tp10084 +a(g744 +Vor +p10085 +tp10086 +a(g705 +g1172 +tp10087 +a(g744 +Vand +p10088 +tp10089 +a(g705 +g1172 +tp10090 +a(g681 +Vnot +p10091 +tp10092 +a(g705 +g1172 +tp10093 +a(g681 +Vlist? +p10094 +tp10095 +a(g440 +g1597 +tp10096 +a(g705 +g1193 +tp10097 +a(g705 +g1193 +tp10098 +a(g826 +g1245 +tp10099 +a(g440 +g1597 +tp10100 +a(g705 +g1193 +tp10101 +a(g826 +V\u000a +p10102 +tp10103 +a(g705 +g1172 +tp10104 +a(g744 +Vand +p10105 +tp10106 +a(g705 +g1172 +tp10107 +a(g681 +Vsymbol? +p10108 +tp10109 +a(g705 +g1172 +tp10110 +a(g681 +Vcar +p10111 +tp10112 +a(g440 +g1597 +tp10113 +a(g705 +g1193 +tp10114 +a(g705 +g1193 +tp10115 +a(g826 +g1245 +tp10116 +a(g565 +V#t +p10117 +tp10118 +a(g705 +g1193 +tp10119 +a(g826 +V\u000a +p10120 +tp10121 +a(g705 +g1172 +tp10122 +a(g744 +Vand +p10123 +tp10124 +a(g705 +g1172 +tp10125 +a(g681 +Vboolean? +p10126 +tp10127 +a(g705 +g1172 +tp10128 +a(g681 +Vcar +p10129 +tp10130 +a(g440 +g1597 +tp10131 +a(g705 +g1193 +tp10132 +a(g705 +g1193 +tp10133 +a(g826 +g1245 +tp10134 +a(g565 +V#t +p10135 +tp10136 +a(g705 +g1193 +tp10137 +a(g826 +V\u000a +p10138 +tp10139 +a(g440 +g1597 +tp10140 +a(g705 +g1193 +tp10141 +a(g705 +g1193 +tp10142 +a(g826 +V\u000a +p10143 +tp10144 +a(g440 +Vraw-usage +p10145 +tp10146 +a(g705 +g1193 +tp10147 +a(g705 +g1193 +tp10148 +a(g826 +V\u000a +p10149 +tp10150 +a(g705 +g1172 +tp10151 +a(g573 +Vusage-desc +p10152 +tp10153 +a(g826 +g1245 +tp10154 +a(g705 +g1172 +tp10155 +a(g573 +Vdelq! +p10156 +tp10157 +a(g826 +g1245 +tp10158 +a(g565 +V#t +p10159 +tp10160 +a(g826 +g1245 +tp10161 +a(g440 +Vusage-sans-specials +p10162 +tp10163 +a(g705 +g1193 +tp10164 +a(g705 +g1193 +tp10165 +a(g826 +V\u000a +p10166 +tp10167 +a(g705 +g1172 +tp10168 +a(g573 +Vkw-desc +p10169 +tp10170 +a(g826 +g1245 +tp10171 +a(g705 +g1172 +tp10172 +a(g681 +Vmap +p10173 +tp10174 +a(g440 +Vcar +p10175 +tp10176 +a(g826 +g1245 +tp10177 +a(g440 +Vusage-desc +p10178 +tp10179 +a(g705 +g1193 +tp10180 +a(g705 +g1193 +tp10181 +a(g826 +V\u000a +p10182 +tp10183 +a(g705 +g1172 +tp10184 +a(g573 +Vkw-opts +p10185 +tp10186 +a(g826 +g1245 +tp10187 +a(g705 +g1172 +tp10188 +a(g681 +Vapply +p10189 +tp10190 +a(g440 +Vappend +p10191 +tp10192 +a(g826 +g1245 +tp10193 +a(g705 +g1172 +tp10194 +a(g681 +Vmap +p10195 +tp10196 +a(g705 +g1172 +tp10197 +a(g744 +Vlambda +p10198 +tp10199 +a(g705 +g1172 +tp10200 +a(g573 +g1597 +tp10201 +a(g705 +g1193 +tp10202 +a(g826 +g1245 +tp10203 +a(g705 +g1172 +tp10204 +a(g744 +Vand +p10205 +tp10206 +a(g705 +g1172 +tp10207 +a(g681 +Vnot +p10208 +tp10209 +a(g705 +g1172 +tp10210 +a(g681 +Vstring? +p10211 +tp10212 +a(g705 +g1172 +tp10213 +a(g681 +Vcar +p10214 +tp10215 +a(g440 +g1597 +tp10216 +a(g705 +g1193 +tp10217 +a(g705 +g1193 +tp10218 +a(g705 +g1193 +tp10219 +a(g826 +g1245 +tp10220 +a(g440 +g1597 +tp10221 +a(g705 +g1193 +tp10222 +a(g705 +g1193 +tp10223 +a(g826 +g1245 +tp10224 +a(g440 +Vkw-desc +p10225 +tp10226 +a(g705 +g1193 +tp10227 +a(g705 +g1193 +tp10228 +a(g705 +g1193 +tp10229 +a(g826 +V\u000a +p10230 +tp10231 +a(g705 +g1172 +tp10232 +a(g573 +Vkw-args +p10233 +tp10234 +a(g826 +g1245 +tp10235 +a(g705 +g1172 +tp10236 +a(g681 +Vapply +p10237 +tp10238 +a(g440 +Vappend +p10239 +tp10240 +a(g826 +g1245 +tp10241 +a(g705 +g1172 +tp10242 +a(g681 +Vmap +p10243 +tp10244 +a(g705 +g1172 +tp10245 +a(g744 +Vlambda +p10246 +tp10247 +a(g705 +g1172 +tp10248 +a(g573 +g1597 +tp10249 +a(g705 +g1193 +tp10250 +a(g826 +g1245 +tp10251 +a(g705 +g1172 +tp10252 +a(g744 +Vand +p10253 +tp10254 +a(g705 +g1172 +tp10255 +a(g681 +Vstring? +p10256 +tp10257 +a(g705 +g1172 +tp10258 +a(g681 +Vcar +p10259 +tp10260 +a(g440 +g1597 +tp10261 +a(g705 +g1193 +tp10262 +a(g705 +g1193 +tp10263 +a(g826 +g1245 +tp10264 +a(g705 +g1172 +tp10265 +a(g681 +Vcdr +p10266 +tp10267 +a(g440 +g1597 +tp10268 +a(g705 +g1193 +tp10269 +a(g705 +g1193 +tp10270 +a(g705 +g1193 +tp10271 +a(g826 +g1245 +tp10272 +a(g440 +Vkw-desc +p10273 +tp10274 +a(g705 +g1193 +tp10275 +a(g705 +g1193 +tp10276 +a(g705 +g1193 +tp10277 +a(g826 +V\u000a +p10278 +tp10279 +a(g705 +g1172 +tp10280 +a(g573 +Vtransmogrified-cases +p10281 +tp10282 +a(g826 +g1245 +tp10283 +a(g705 +g1172 +tp10284 +a(g681 +Vmap +p10285 +tp10286 +a(g705 +g1172 +tp10287 +a(g744 +Vlambda +p10288 +tp10289 +a(g705 +g1172 +tp10290 +a(g573 +Vcase +p10291 +tp10292 +a(g705 +g1193 +tp10293 +a(g826 +V\u000a +p10294 +tp10295 +a(g705 +g1172 +tp10296 +a(g681 +Vcons +p10297 +tp10298 +a(g705 +g1172 +tp10299 +a(g744 +Vlet +p10300 +tp10301 +a(g705 +g1172 +tp10302 +a(g705 +g1172 +tp10303 +a(g573 +Vopts +p10304 +tp10305 +a(g826 +g1245 +tp10306 +a(g705 +g1172 +tp10307 +a(g681 +Vcar +p10308 +tp10309 +a(g440 +Vcase +p10310 +tp10311 +a(g705 +g1193 +tp10312 +a(g705 +g1193 +tp10313 +a(g705 +g1193 +tp10314 +a(g826 +V\u000a +p10315 +tp10316 +a(g705 +g1172 +tp10317 +a(g744 +Vif +p10318 +tp10319 +a(g705 +g1172 +tp10320 +a(g744 +Vor +p10321 +tp10322 +a(g705 +g1172 +tp10323 +a(g681 +Vboolean? +p10324 +tp10325 +a(g440 +Vopts +p10326 +tp10327 +a(g705 +g1193 +tp10328 +a(g826 +g1245 +tp10329 +a(g705 +g1172 +tp10330 +a(g681 +Veq? +p10331 +tp10332 +a(g117 +V'else +p10333 +tp10334 +a(g826 +g1245 +tp10335 +a(g440 +Vopts +p10336 +tp10337 +a(g705 +g1193 +tp10338 +a(g705 +g1193 +tp10339 +a(g826 +V\u000a +p10340 +tp10341 +a(g440 +Vopts +p10342 +tp10343 +a(g826 +V\u000a +p10344 +tp10345 +a(g705 +g1172 +tp10346 +a(g573 +Vcond +p10347 +tp10348 +a(g826 +V\u000a +p10349 +tp10350 +a(g705 +g1172 +tp10351 +a(g705 +g1172 +tp10352 +a(g681 +Vsymbol? +p10353 +tp10354 +a(g705 +g1172 +tp10355 +a(g681 +Vcar +p10356 +tp10357 +a(g440 +Vopts +p10358 +tp10359 +a(g705 +g1193 +tp10360 +a(g705 +g1193 +tp10361 +a(g826 +V +p10362 +tp10363 +a(g440 +Vopts +p10364 +tp10365 +a(g705 +g1193 +tp10366 +a(g826 +V\u000a +p10367 +tp10368 +a(g705 +g1172 +tp10369 +a(g705 +g1172 +tp10370 +a(g681 +Vboolean? +p10371 +tp10372 +a(g705 +g1172 +tp10373 +a(g681 +Vcar +p10374 +tp10375 +a(g440 +Vopts +p10376 +tp10377 +a(g705 +g1193 +tp10378 +a(g705 +g1193 +tp10379 +a(g826 +g1245 +tp10380 +a(g440 +Vopts +p10381 +tp10382 +a(g705 +g1193 +tp10383 +a(g826 +V\u000a +p10384 +tp10385 +a(g705 +g1172 +tp10386 +a(g705 +g1172 +tp10387 +a(g681 +Vstring? +p10388 +tp10389 +a(g705 +g1172 +tp10390 +a(g681 +Vcaar +p10391 +tp10392 +a(g440 +Vopts +p10393 +tp10394 +a(g705 +g1193 +tp10395 +a(g705 +g1193 +tp10396 +a(g826 +g1245 +tp10397 +a(g705 +g1172 +tp10398 +a(g681 +Vcdar +p10399 +tp10400 +a(g440 +Vopts +p10401 +tp10402 +a(g705 +g1193 +tp10403 +a(g705 +g1193 +tp10404 +a(g826 +V\u000a +p10405 +tp10406 +a(g705 +g1172 +tp10407 +a(g744 +Velse +p10408 +tp10409 +a(g705 +g1172 +tp10410 +a(g681 +Vcar +p10411 +tp10412 +a(g440 +Vopts +p10413 +tp10414 +a(g705 +g1193 +tp10415 +a(g705 +g1193 +tp10416 +a(g705 +g1193 +tp10417 +a(g705 +g1193 +tp10418 +a(g705 +g1193 +tp10419 +a(g826 +V\u000a +p10420 +tp10421 +a(g705 +g1172 +tp10422 +a(g681 +Vcdr +p10423 +tp10424 +a(g440 +Vcase +p10425 +tp10426 +a(g705 +g1193 +tp10427 +a(g705 +g1193 +tp10428 +a(g705 +g1193 +tp10429 +a(g826 +V\u000a +p10430 +tp10431 +a(g440 +Vcases +p10432 +tp10433 +a(g705 +g1193 +tp10434 +a(g705 +g1193 +tp10435 +a(g705 +g1193 +tp10436 +a(g826 +V\u000a +p10437 +tp10438 +a(g412 +g1206 +tp10439 +a(g705 +g1172 +tp10440 +a(g744 +Vlet +p10441 +tp10442 +a(g705 +g1172 +tp10443 +a(g705 +g1172 +tp10444 +a(g573 +V%display-usage +p10445 +tp10446 +a(g826 +g1245 +tp10447 +a(g705 +g1172 +tp10448 +a(g744 +Vlambda +p10449 +tp10450 +a(g705 +g1172 +tp10451 +a(g705 +g1193 +tp10452 +a(g826 +g1245 +tp10453 +a(g705 +g1172 +tp10454 +a(g573 +Vdisplay-usage-report +p10455 +tp10456 +a(g826 +g1245 +tp10457 +a(g117 +V',usage-desc +p10458 +tp10459 +a(g705 +g1193 +tp10460 +a(g705 +g1193 +tp10461 +a(g705 +g1193 +tp10462 +a(g705 +g1193 +tp10463 +a(g826 +V\u000a +p10464 +tp10465 +a(g705 +g1172 +tp10466 +a(g744 +Vlambda +p10467 +tp10468 +a(g705 +g1172 +tp10469 +a(g573 +V%argv +p10470 +tp10471 +a(g705 +g1193 +tp10472 +a(g826 +V\u000a +p10473 +tp10474 +a(g705 +g1172 +tp10475 +a(g744 +Vlet +p10476 +tp10477 +a(g440 +V%next-arg +p10478 +tp10479 +a(g826 +g1245 +tp10480 +a(g705 +g1172 +tp10481 +a(g705 +g1172 +tp10482 +a(g573 +V%argv +p10483 +tp10484 +a(g826 +g1245 +tp10485 +a(g440 +V%argv +p10486 +tp10487 +a(g705 +g1193 +tp10488 +a(g705 +g1193 +tp10489 +a(g826 +V\u000a +p10490 +tp10491 +a(g705 +g1172 +tp10492 +a(g573 +Vget-option +p10493 +tp10494 +a(g826 +g1245 +tp10495 +a(g440 +V%argv +p10496 +tp10497 +a(g826 +V\u000a +p10498 +tp10499 +a(g117 +V',kw-opts +p10500 +tp10501 +a(g826 +V\u000a +p10502 +tp10503 +a(g117 +V',kw-args +p10504 +tp10505 +a(g826 +V\u000a +p10506 +tp10507 +a(g705 +g1172 +tp10508 +a(g744 +Vlambda +p10509 +tp10510 +a(g705 +g1172 +tp10511 +a(g573 +V%opt +p10512 +tp10513 +a(g826 +g1245 +tp10514 +a(g440 +V%arg +p10515 +tp10516 +a(g826 +g1245 +tp10517 +a(g440 +V%new-argv +p10518 +tp10519 +a(g705 +g1193 +tp10520 +a(g826 +V\u000a +p10521 +tp10522 +a(g705 +g1172 +tp10523 +a(g744 +Vcase +p10524 +tp10525 +a(g440 +V%opt +p10526 +tp10527 +a(g826 +V\u000a +p10528 +tp10529 +a(g412 +V,@ +p10530 +tp10531 +a(g826 +g1245 +tp10532 +a(g440 +Vtransmogrified-cases +p10533 +tp10534 +a(g705 +g1193 +tp10535 +a(g705 +g1193 +tp10536 +a(g705 +g1193 +tp10537 +a(g705 +g1193 +tp10538 +a(g705 +g1193 +tp10539 +a(g705 +g1193 +tp10540 +a(g705 +g1193 +tp10541 +a(g705 +g1193 +tp10542 +a(g826 +V\u000a\u000a\u000a \u000a\u000a +p10543 +tp10544 +a(g8 +V;;; {Low Level Modules} +p10545 +tp10546 +a(g826 +V\u000a +p10547 +tp10548 +a(g8 +V;;; +p10549 +tp10550 +a(g826 +V\u000a +p10551 +tp10552 +a(g8 +V;;; These are the low level data structures for modules. +p10553 +tp10554 +a(g826 +V\u000a +p10555 +tp10556 +a(g8 +V;;; +p10557 +tp10558 +a(g826 +V\u000a +p10559 +tp10560 +a(g8 +V;;; !!! warning: The interface to lazy binder procedures is going +p10561 +tp10562 +a(g826 +V\u000a +p10563 +tp10564 +a(g8 +V;;; to be changed in an incompatible way to permit all the basic +p10565 +tp10566 +a(g826 +V\u000a +p10567 +tp10568 +a(g8 +V;;; module ops to be virtualized. +p10569 +tp10570 +a(g826 +V\u000a +p10571 +tp10572 +a(g8 +V;;; +p10573 +tp10574 +a(g826 +V\u000a +p10575 +tp10576 +a(g8 +V;;; (make-module size use-list lazy-binding-proc) => module +p10577 +tp10578 +a(g826 +V\u000a +p10579 +tp10580 +a(g8 +V;;; module-{obarray,uses,binder}[|-set!] +p10581 +tp10582 +a(g826 +V\u000a +p10583 +tp10584 +a(g8 +V;;; (module? obj) => [#t|#f] +p10585 +tp10586 +a(g826 +V\u000a +p10587 +tp10588 +a(g8 +V;;; (module-locally-bound? module symbol) => [#t|#f] +p10589 +tp10590 +a(g826 +V\u000a +p10591 +tp10592 +a(g8 +V;;; (module-bound? module symbol) => [#t|#f] +p10593 +tp10594 +a(g826 +V\u000a +p10595 +tp10596 +a(g8 +V;;; (module-symbol-locally-interned? module symbol) => [#t|#f] +p10597 +tp10598 +a(g826 +V\u000a +p10599 +tp10600 +a(g8 +V;;; (module-symbol-interned? module symbol) => [#t|#f] +p10601 +tp10602 +a(g826 +V\u000a +p10603 +tp10604 +a(g8 +V;;; (module-local-variable module symbol) => [# | #f] +p10605 +tp10606 +a(g826 +V\u000a +p10607 +tp10608 +a(g8 +V;;; (module-variable module symbol) => [# | #f] +p10609 +tp10610 +a(g826 +V\u000a +p10611 +tp10612 +a(g8 +V;;; (module-symbol-binding module symbol opt-value) +p10613 +tp10614 +a(g826 +V\u000a +p10615 +tp10616 +a(g8 +V;;; => [ | opt-value | an error occurs ] +p10617 +tp10618 +a(g826 +V\u000a +p10619 +tp10620 +a(g8 +V;;; (module-make-local-var! module symbol) => # +p10621 +tp10622 +a(g826 +V\u000a +p10623 +tp10624 +a(g8 +V;;; (module-add! module symbol var) => unspecified +p10625 +tp10626 +a(g826 +V\u000a +p10627 +tp10628 +a(g8 +V;;; (module-remove! module symbol) => unspecified +p10629 +tp10630 +a(g826 +V\u000a +p10631 +tp10632 +a(g8 +V;;; (module-for-each proc module) => unspecified +p10633 +tp10634 +a(g826 +V\u000a +p10635 +tp10636 +a(g8 +V;;; (make-scm-module) => module ; a lazy copy of the symhash module +p10637 +tp10638 +a(g826 +V\u000a +p10639 +tp10640 +a(g8 +V;;; (set-current-module module) => unspecified +p10641 +tp10642 +a(g826 +V\u000a +p10643 +tp10644 +a(g8 +V;;; (current-module) => # +p10645 +tp10646 +a(g826 +V\u000a +p10647 +tp10648 +a(g8 +V;;; +p10649 +tp10650 +a(g826 +V\u000a +p10651 +tp10652 +a(g8 +V;;; +p10653 +tp10654 +a(g826 +V\u000a\u000a \u000a +p10655 +tp10656 +a(g8 +V;;; {Printing Modules} +p10657 +tp10658 +a(g826 +V\u000a +p10659 +tp10660 +a(g8 +V;; This is how modules are printed. You can re-define it. +p10661 +tp10662 +a(g826 +V\u000a +p10663 +tp10664 +a(g8 +V;; (Redefining is actually more complicated than simply redefining +p10665 +tp10666 +a(g826 +V\u000a +p10667 +tp10668 +a(g8 +V;; %print-module because that would only change the binding and not +p10669 +tp10670 +a(g826 +V\u000a +p10671 +tp10672 +a(g8 +V;; the value stored in the vtable that determines how record are +p10673 +tp10674 +a(g826 +V\u000a +p10675 +tp10676 +a(g8 +V;; printed. Sigh.) +p10677 +tp10678 +a(g826 +V\u000a\u000a +p10679 +tp10680 +a(g705 +g1172 +tp10681 +a(g744 +Vdefine +p10682 +tp10683 +a(g705 +g1172 +tp10684 +a(g573 +V%print-module +p10685 +tp10686 +a(g826 +g1245 +tp10687 +a(g440 +Vmod +p10688 +tp10689 +a(g826 +g1245 +tp10690 +a(g440 +Vport +p10691 +tp10692 +a(g705 +g1193 +tp10693 +a(g826 +V +p10694 +tp10695 +a(g8 +V; unused args: depth length style table) +p10696 +tp10697 +a(g826 +V\u000a +p10698 +tp10699 +a(g705 +g1172 +tp10700 +a(g681 +Vdisplay +p10701 +tp10702 +a(g89 +V"#<" +p10703 +tp10704 +a(g826 +g1245 +tp10705 +a(g440 +Vport +p10706 +tp10707 +a(g705 +g1193 +tp10708 +a(g826 +V\u000a +p10709 +tp10710 +a(g705 +g1172 +tp10711 +a(g681 +Vdisplay +p10712 +tp10713 +a(g705 +g1172 +tp10714 +a(g744 +Vor +p10715 +tp10716 +a(g705 +g1172 +tp10717 +a(g573 +Vmodule-kind +p10718 +tp10719 +a(g826 +g1245 +tp10720 +a(g440 +Vmod +p10721 +tp10722 +a(g705 +g1193 +tp10723 +a(g826 +g1245 +tp10724 +a(g89 +V"module" +p10725 +tp10726 +a(g705 +g1193 +tp10727 +a(g826 +g1245 +tp10728 +a(g440 +Vport +p10729 +tp10730 +a(g705 +g1193 +tp10731 +a(g826 +V\u000a +p10732 +tp10733 +a(g705 +g1172 +tp10734 +a(g744 +Vlet +p10735 +tp10736 +a(g705 +g1172 +tp10737 +a(g705 +g1172 +tp10738 +a(g573 +Vname +p10739 +tp10740 +a(g826 +g1245 +tp10741 +a(g705 +g1172 +tp10742 +a(g573 +Vmodule-name +p10743 +tp10744 +a(g826 +g1245 +tp10745 +a(g440 +Vmod +p10746 +tp10747 +a(g705 +g1193 +tp10748 +a(g705 +g1193 +tp10749 +a(g705 +g1193 +tp10750 +a(g826 +V\u000a +p10751 +tp10752 +a(g705 +g1172 +tp10753 +a(g744 +Vif +p10754 +tp10755 +a(g440 +Vname +p10756 +tp10757 +a(g826 +V\u000a +p10758 +tp10759 +a(g705 +g1172 +tp10760 +a(g573 +Vbegin +p10761 +tp10762 +a(g826 +V\u000a +p10763 +tp10764 +a(g705 +g1172 +tp10765 +a(g681 +Vdisplay +p10766 +tp10767 +a(g89 +V" " +p10768 +tp10769 +a(g826 +g1245 +tp10770 +a(g440 +Vport +p10771 +tp10772 +a(g705 +g1193 +tp10773 +a(g826 +V\u000a +p10774 +tp10775 +a(g705 +g1172 +tp10776 +a(g681 +Vdisplay +p10777 +tp10778 +a(g440 +Vname +p10779 +tp10780 +a(g826 +g1245 +tp10781 +a(g440 +Vport +p10782 +tp10783 +a(g705 +g1193 +tp10784 +a(g705 +g1193 +tp10785 +a(g705 +g1193 +tp10786 +a(g705 +g1193 +tp10787 +a(g826 +V\u000a +p10788 +tp10789 +a(g705 +g1172 +tp10790 +a(g681 +Vdisplay +p10791 +tp10792 +a(g89 +V" " +p10793 +tp10794 +a(g826 +g1245 +tp10795 +a(g440 +Vport +p10796 +tp10797 +a(g705 +g1193 +tp10798 +a(g826 +V\u000a +p10799 +tp10800 +a(g705 +g1172 +tp10801 +a(g681 +Vdisplay +p10802 +tp10803 +a(g705 +g1172 +tp10804 +a(g681 +Vnumber->string +p10805 +tp10806 +a(g705 +g1172 +tp10807 +a(g573 +Vobject-address +p10808 +tp10809 +a(g826 +g1245 +tp10810 +a(g440 +Vmod +p10811 +tp10812 +a(g705 +g1193 +tp10813 +a(g826 +g1245 +tp10814 +a(g37 +V16 +p10815 +tp10816 +a(g705 +g1193 +tp10817 +a(g826 +g1245 +tp10818 +a(g440 +Vport +p10819 +tp10820 +a(g705 +g1193 +tp10821 +a(g826 +V\u000a +p10822 +tp10823 +a(g705 +g1172 +tp10824 +a(g681 +Vdisplay +p10825 +tp10826 +a(g89 +V">" +p10827 +tp10828 +a(g826 +g1245 +tp10829 +a(g440 +Vport +p10830 +tp10831 +a(g705 +g1193 +tp10832 +a(g705 +g1193 +tp10833 +a(g826 +V\u000a\u000a +p10834 +tp10835 +a(g8 +V;; module-type +p10836 +tp10837 +a(g826 +V\u000a +p10838 +tp10839 +a(g8 +V;; +p10840 +tp10841 +a(g826 +V\u000a +p10842 +tp10843 +a(g8 +V;; A module is characterized by an obarray in which local symbols +p10844 +tp10845 +a(g826 +V\u000a +p10846 +tp10847 +a(g8 +V;; are interned, a list of modules, "uses", from which non-local +p10848 +tp10849 +a(g826 +V\u000a +p10850 +tp10851 +a(g8 +V;; bindings can be inherited, and an optional lazy-binder which +p10852 +tp10853 +a(g826 +V\u000a +p10854 +tp10855 +a(g8 +V;; is a (CLOSURE module symbol) which, as a last resort, can provide +p10856 +tp10857 +a(g826 +V\u000a +p10858 +tp10859 +a(g8 +V;; bindings that would otherwise not be found locally in the module. +p10860 +tp10861 +a(g826 +V\u000a +p10862 +tp10863 +a(g8 +V;; +p10864 +tp10865 +a(g826 +V\u000a +p10866 +tp10867 +a(g8 +V;; NOTE: If you change here, you also need to change libguile/modules.h. +p10868 +tp10869 +a(g826 +V\u000a +p10870 +tp10871 +a(g8 +V;; +p10872 +tp10873 +a(g826 +V\u000a +p10874 +tp10875 +a(g705 +g1172 +tp10876 +a(g744 +Vdefine +p10877 +tp10878 +a(g440 +Vmodule-type +p10879 +tp10880 +a(g826 +V\u000a +p10881 +tp10882 +a(g705 +g1172 +tp10883 +a(g573 +Vmake-record-type +p10884 +tp10885 +a(g826 +g1245 +tp10886 +a(g117 +V'module +p10887 +tp10888 +a(g826 +V\u000a +p10889 +tp10890 +a(g412 +g1697 +tp10891 +a(g705 +g1172 +tp10892 +a(g440 +Vobarray +p10893 +tp10894 +a(g826 +g1245 +tp10895 +a(g440 +Vuses +p10896 +tp10897 +a(g826 +g1245 +tp10898 +a(g440 +Vbinder +p10899 +tp10900 +a(g826 +g1245 +tp10901 +a(g440 +Veval-closure +p10902 +tp10903 +a(g826 +g1245 +tp10904 +a(g440 +Vtransformer +p10905 +tp10906 +a(g826 +g1245 +tp10907 +a(g440 +Vname +p10908 +tp10909 +a(g826 +g1245 +tp10910 +a(g440 +Vkind +p10911 +tp10912 +a(g826 +V\u000a +p10913 +tp10914 +a(g440 +Vobservers +p10915 +tp10916 +a(g826 +g1245 +tp10917 +a(g440 +Vweak-observers +p10918 +tp10919 +a(g826 +g1245 +tp10920 +a(g440 +Vobserver-id +p10921 +tp10922 +a(g705 +g1193 +tp10923 +a(g826 +V\u000a +p10924 +tp10925 +a(g440 +V%print-module +p10926 +tp10927 +a(g705 +g1193 +tp10928 +a(g705 +g1193 +tp10929 +a(g826 +V\u000a\u000a +p10930 +tp10931 +a(g8 +V;; make-module &opt size uses binder +p10932 +tp10933 +a(g826 +V\u000a +p10934 +tp10935 +a(g8 +V;; +p10936 +tp10937 +a(g826 +V\u000a +p10938 +tp10939 +a(g8 +V;; Create a new module, perhaps with a particular size of obarray, +p10940 +tp10941 +a(g826 +V\u000a +p10942 +tp10943 +a(g8 +V;; initial uses list, or binding procedure. +p10944 +tp10945 +a(g826 +V\u000a +p10946 +tp10947 +a(g8 +V;; +p10948 +tp10949 +a(g826 +V\u000a +p10950 +tp10951 +a(g705 +g1172 +tp10952 +a(g744 +Vdefine +p10953 +tp10954 +a(g440 +Vmake-module +p10955 +tp10956 +a(g826 +V\u000a +p10957 +tp10958 +a(g705 +g1172 +tp10959 +a(g744 +Vlambda +p10960 +tp10961 +a(g440 +Vargs +p10962 +tp10963 +a(g826 +V\u000a\u000a +p10964 +tp10965 +a(g705 +g1172 +tp10966 +a(g744 +Vdefine +p10967 +tp10968 +a(g705 +g1172 +tp10969 +a(g573 +Vparse-arg +p10970 +tp10971 +a(g826 +g1245 +tp10972 +a(g440 +Vindex +p10973 +tp10974 +a(g826 +g1245 +tp10975 +a(g440 +Vdefault +p10976 +tp10977 +a(g705 +g1193 +tp10978 +a(g826 +V\u000a +p10979 +tp10980 +a(g705 +g1172 +tp10981 +a(g744 +Vif +p10982 +tp10983 +a(g705 +g1172 +tp10984 +a(g681 +V> +p10985 +tp10986 +a(g705 +g1172 +tp10987 +a(g681 +Vlength +p10988 +tp10989 +a(g440 +Vargs +p10990 +tp10991 +a(g705 +g1193 +tp10992 +a(g826 +g1245 +tp10993 +a(g440 +Vindex +p10994 +tp10995 +a(g705 +g1193 +tp10996 +a(g826 +V\u000a +p10997 +tp10998 +a(g705 +g1172 +tp10999 +a(g681 +Vlist-ref +p11000 +tp11001 +a(g440 +Vargs +p11002 +tp11003 +a(g826 +g1245 +tp11004 +a(g440 +Vindex +p11005 +tp11006 +a(g705 +g1193 +tp11007 +a(g826 +V\u000a +p11008 +tp11009 +a(g440 +Vdefault +p11010 +tp11011 +a(g705 +g1193 +tp11012 +a(g705 +g1193 +tp11013 +a(g826 +V\u000a\u000a +p11014 +tp11015 +a(g705 +g1172 +tp11016 +a(g744 +Vif +p11017 +tp11018 +a(g705 +g1172 +tp11019 +a(g681 +V> +p11020 +tp11021 +a(g705 +g1172 +tp11022 +a(g681 +Vlength +p11023 +tp11024 +a(g440 +Vargs +p11025 +tp11026 +a(g705 +g1193 +tp11027 +a(g826 +g1245 +tp11028 +a(g37 +g5343 +tp11029 +a(g705 +g1193 +tp11030 +a(g826 +V\u000a +p11031 +tp11032 +a(g705 +g1172 +tp11033 +a(g573 +Verror +p11034 +tp11035 +a(g826 +g1245 +tp11036 +a(g89 +V"Too many args to make-module." +p11037 +tp11038 +a(g826 +g1245 +tp11039 +a(g440 +Vargs +p11040 +tp11041 +a(g705 +g1193 +tp11042 +a(g705 +g1193 +tp11043 +a(g826 +V\u000a\u000a +p11044 +tp11045 +a(g705 +g1172 +tp11046 +a(g744 +Vlet +p11047 +tp11048 +a(g705 +g1172 +tp11049 +a(g705 +g1172 +tp11050 +a(g573 +Vsize +p11051 +tp11052 +a(g826 +g1245 +tp11053 +a(g705 +g1172 +tp11054 +a(g573 +Vparse-arg +p11055 +tp11056 +a(g826 +g1245 +tp11057 +a(g37 +g2857 +tp11058 +a(g826 +g1245 +tp11059 +a(g37 +V1021 +p11060 +tp11061 +a(g705 +g1193 +tp11062 +a(g705 +g1193 +tp11063 +a(g826 +V\u000a +p11064 +tp11065 +a(g705 +g1172 +tp11066 +a(g573 +Vuses +p11067 +tp11068 +a(g826 +g1245 +tp11069 +a(g705 +g1172 +tp11070 +a(g573 +Vparse-arg +p11071 +tp11072 +a(g826 +g1245 +tp11073 +a(g37 +g1609 +tp11074 +a(g826 +g1245 +tp11075 +a(g412 +g1697 +tp11076 +a(g705 +g1172 +tp11077 +a(g705 +g1193 +tp11078 +a(g705 +g1193 +tp11079 +a(g705 +g1193 +tp11080 +a(g826 +V\u000a +p11081 +tp11082 +a(g705 +g1172 +tp11083 +a(g573 +Vbinder +p11084 +tp11085 +a(g826 +g1245 +tp11086 +a(g705 +g1172 +tp11087 +a(g573 +Vparse-arg +p11088 +tp11089 +a(g826 +g1245 +tp11090 +a(g37 +g1960 +tp11091 +a(g826 +g1245 +tp11092 +a(g565 +V#f +p11093 +tp11094 +a(g705 +g1193 +tp11095 +a(g705 +g1193 +tp11096 +a(g705 +g1193 +tp11097 +a(g826 +V\u000a\u000a +p11098 +tp11099 +a(g705 +g1172 +tp11100 +a(g744 +Vif +p11101 +tp11102 +a(g705 +g1172 +tp11103 +a(g681 +Vnot +p11104 +tp11105 +a(g705 +g1172 +tp11106 +a(g681 +Vinteger? +p11107 +tp11108 +a(g440 +Vsize +p11109 +tp11110 +a(g705 +g1193 +tp11111 +a(g705 +g1193 +tp11112 +a(g826 +V\u000a +p11113 +tp11114 +a(g705 +g1172 +tp11115 +a(g573 +Verror +p11116 +tp11117 +a(g826 +g1245 +tp11118 +a(g89 +V"Illegal size to make-module." +p11119 +tp11120 +a(g826 +g1245 +tp11121 +a(g440 +Vsize +p11122 +tp11123 +a(g705 +g1193 +tp11124 +a(g705 +g1193 +tp11125 +a(g826 +V\u000a +p11126 +tp11127 +a(g705 +g1172 +tp11128 +a(g744 +Vif +p11129 +tp11130 +a(g705 +g1172 +tp11131 +a(g681 +Vnot +p11132 +tp11133 +a(g705 +g1172 +tp11134 +a(g744 +Vand +p11135 +tp11136 +a(g705 +g1172 +tp11137 +a(g681 +Vlist? +p11138 +tp11139 +a(g440 +Vuses +p11140 +tp11141 +a(g705 +g1193 +tp11142 +a(g826 +V\u000a +p11143 +tp11144 +a(g705 +g1172 +tp11145 +a(g573 +Vand-map +p11146 +tp11147 +a(g826 +g1245 +tp11148 +a(g440 +Vmodule? +p11149 +tp11150 +a(g826 +g1245 +tp11151 +a(g440 +Vuses +p11152 +tp11153 +a(g705 +g1193 +tp11154 +a(g705 +g1193 +tp11155 +a(g705 +g1193 +tp11156 +a(g826 +V\u000a +p11157 +tp11158 +a(g705 +g1172 +tp11159 +a(g573 +Verror +p11160 +tp11161 +a(g826 +g1245 +tp11162 +a(g89 +V"Incorrect use list." +p11163 +tp11164 +a(g826 +g1245 +tp11165 +a(g440 +Vuses +p11166 +tp11167 +a(g705 +g1193 +tp11168 +a(g705 +g1193 +tp11169 +a(g826 +V\u000a +p11170 +tp11171 +a(g705 +g1172 +tp11172 +a(g744 +Vif +p11173 +tp11174 +a(g705 +g1172 +tp11175 +a(g744 +Vand +p11176 +tp11177 +a(g440 +Vbinder +p11178 +tp11179 +a(g826 +g1245 +tp11180 +a(g705 +g1172 +tp11181 +a(g681 +Vnot +p11182 +tp11183 +a(g705 +g1172 +tp11184 +a(g681 +Vprocedure? +p11185 +tp11186 +a(g440 +Vbinder +p11187 +tp11188 +a(g705 +g1193 +tp11189 +a(g705 +g1193 +tp11190 +a(g705 +g1193 +tp11191 +a(g826 +V\u000a +p11192 +tp11193 +a(g705 +g1172 +tp11194 +a(g573 +Verror +p11195 +tp11196 +a(g826 +V\u000a +p11197 +tp11198 +a(g89 +V"Lazy-binder expected to be a procedure or #f." +p11199 +tp11200 +a(g826 +g1245 +tp11201 +a(g440 +Vbinder +p11202 +tp11203 +a(g705 +g1193 +tp11204 +a(g705 +g1193 +tp11205 +a(g826 +V\u000a\u000a +p11206 +tp11207 +a(g705 +g1172 +tp11208 +a(g744 +Vlet +p11209 +tp11210 +a(g705 +g1172 +tp11211 +a(g705 +g1172 +tp11212 +a(g573 +Vmodule +p11213 +tp11214 +a(g826 +g1245 +tp11215 +a(g705 +g1172 +tp11216 +a(g573 +Vmodule-constructor +p11217 +tp11218 +a(g826 +g1245 +tp11219 +a(g705 +g1172 +tp11220 +a(g681 +Vmake-vector +p11221 +tp11222 +a(g440 +Vsize +p11223 +tp11224 +a(g826 +g1245 +tp11225 +a(g412 +g1697 +tp11226 +a(g705 +g1172 +tp11227 +a(g705 +g1193 +tp11228 +a(g705 +g1193 +tp11229 +a(g826 +V\u000a +p11230 +tp11231 +a(g440 +Vuses +p11232 +tp11233 +a(g826 +g1245 +tp11234 +a(g440 +Vbinder +p11235 +tp11236 +a(g826 +g1245 +tp11237 +a(g565 +V#f +p11238 +tp11239 +a(g826 +g1245 +tp11240 +a(g565 +V#f +p11241 +tp11242 +a(g826 +g1245 +tp11243 +a(g565 +V#f +p11244 +tp11245 +a(g826 +g1245 +tp11246 +a(g565 +V#f +p11247 +tp11248 +a(g826 +V\u000a +p11249 +tp11250 +a(g412 +g1697 +tp11251 +a(g705 +g1172 +tp11252 +a(g705 +g1193 +tp11253 +a(g826 +V\u000a +p11254 +tp11255 +a(g705 +g1172 +tp11256 +a(g573 +Vmake-weak-value-hash-table +p11257 +tp11258 +a(g826 +g1245 +tp11259 +a(g37 +V31 +p11260 +tp11261 +a(g705 +g1193 +tp11262 +a(g826 +V\u000a +p11263 +tp11264 +a(g37 +g2857 +tp11265 +a(g705 +g1193 +tp11266 +a(g705 +g1193 +tp11267 +a(g705 +g1193 +tp11268 +a(g826 +V\u000a\u000a +p11269 +tp11270 +a(g8 +V;; We can't pass this as an argument to module-constructor, +p11271 +tp11272 +a(g826 +V\u000a +p11273 +tp11274 +a(g8 +V;; because we need it to close over a pointer to the module +p11275 +tp11276 +a(g826 +V\u000a +p11277 +tp11278 +a(g8 +V;; itself. +p11279 +tp11280 +a(g826 +V\u000a +p11281 +tp11282 +a(g705 +g1172 +tp11283 +a(g573 +Vset-module-eval-closure! +p11284 +tp11285 +a(g826 +g1245 +tp11286 +a(g440 +Vmodule +p11287 +tp11288 +a(g826 +g1245 +tp11289 +a(g705 +g1172 +tp11290 +a(g573 +Vstandard-eval-closure +p11291 +tp11292 +a(g826 +g1245 +tp11293 +a(g440 +Vmodule +p11294 +tp11295 +a(g705 +g1193 +tp11296 +a(g705 +g1193 +tp11297 +a(g826 +V\u000a\u000a +p11298 +tp11299 +a(g440 +Vmodule +p11300 +tp11301 +a(g705 +g1193 +tp11302 +a(g705 +g1193 +tp11303 +a(g705 +g1193 +tp11304 +a(g705 +g1193 +tp11305 +a(g826 +V\u000a\u000a +p11306 +tp11307 +a(g705 +g1172 +tp11308 +a(g744 +Vdefine +p11309 +tp11310 +a(g440 +Vmodule-constructor +p11311 +tp11312 +a(g826 +g1245 +tp11313 +a(g705 +g1172 +tp11314 +a(g573 +Vrecord-constructor +p11315 +tp11316 +a(g826 +g1245 +tp11317 +a(g440 +Vmodule-type +p11318 +tp11319 +a(g705 +g1193 +tp11320 +a(g705 +g1193 +tp11321 +a(g826 +V\u000a +p11322 +tp11323 +a(g705 +g1172 +tp11324 +a(g744 +Vdefine +p11325 +tp11326 +a(g440 +Vmodule-obarray +p11327 +tp11328 +a(g826 +V +p11329 +tp11330 +a(g705 +g1172 +tp11331 +a(g573 +Vrecord-accessor +p11332 +tp11333 +a(g826 +g1245 +tp11334 +a(g440 +Vmodule-type +p11335 +tp11336 +a(g826 +g1245 +tp11337 +a(g117 +V'obarray +p11338 +tp11339 +a(g705 +g1193 +tp11340 +a(g705 +g1193 +tp11341 +a(g826 +V\u000a +p11342 +tp11343 +a(g705 +g1172 +tp11344 +a(g744 +Vdefine +p11345 +tp11346 +a(g440 +Vset-module-obarray! +p11347 +tp11348 +a(g826 +g1245 +tp11349 +a(g705 +g1172 +tp11350 +a(g573 +Vrecord-modifier +p11351 +tp11352 +a(g826 +g1245 +tp11353 +a(g440 +Vmodule-type +p11354 +tp11355 +a(g826 +g1245 +tp11356 +a(g117 +V'obarray +p11357 +tp11358 +a(g705 +g1193 +tp11359 +a(g705 +g1193 +tp11360 +a(g826 +V\u000a +p11361 +tp11362 +a(g705 +g1172 +tp11363 +a(g744 +Vdefine +p11364 +tp11365 +a(g440 +Vmodule-uses +p11366 +tp11367 +a(g826 +V +p11368 +tp11369 +a(g705 +g1172 +tp11370 +a(g573 +Vrecord-accessor +p11371 +tp11372 +a(g826 +g1245 +tp11373 +a(g440 +Vmodule-type +p11374 +tp11375 +a(g826 +g1245 +tp11376 +a(g117 +V'uses +p11377 +tp11378 +a(g705 +g1193 +tp11379 +a(g705 +g1193 +tp11380 +a(g826 +V\u000a +p11381 +tp11382 +a(g705 +g1172 +tp11383 +a(g744 +Vdefine +p11384 +tp11385 +a(g440 +Vset-module-uses! +p11386 +tp11387 +a(g826 +g1245 +tp11388 +a(g705 +g1172 +tp11389 +a(g573 +Vrecord-modifier +p11390 +tp11391 +a(g826 +g1245 +tp11392 +a(g440 +Vmodule-type +p11393 +tp11394 +a(g826 +g1245 +tp11395 +a(g117 +V'uses +p11396 +tp11397 +a(g705 +g1193 +tp11398 +a(g705 +g1193 +tp11399 +a(g826 +V\u000a +p11400 +tp11401 +a(g705 +g1172 +tp11402 +a(g744 +Vdefine +p11403 +tp11404 +a(g440 +Vmodule-binder +p11405 +tp11406 +a(g826 +g1245 +tp11407 +a(g705 +g1172 +tp11408 +a(g573 +Vrecord-accessor +p11409 +tp11410 +a(g826 +g1245 +tp11411 +a(g440 +Vmodule-type +p11412 +tp11413 +a(g826 +g1245 +tp11414 +a(g117 +V'binder +p11415 +tp11416 +a(g705 +g1193 +tp11417 +a(g705 +g1193 +tp11418 +a(g826 +V\u000a +p11419 +tp11420 +a(g705 +g1172 +tp11421 +a(g744 +Vdefine +p11422 +tp11423 +a(g440 +Vset-module-binder! +p11424 +tp11425 +a(g826 +g1245 +tp11426 +a(g705 +g1172 +tp11427 +a(g573 +Vrecord-modifier +p11428 +tp11429 +a(g826 +g1245 +tp11430 +a(g440 +Vmodule-type +p11431 +tp11432 +a(g826 +g1245 +tp11433 +a(g117 +V'binder +p11434 +tp11435 +a(g705 +g1193 +tp11436 +a(g705 +g1193 +tp11437 +a(g826 +V\u000a\u000a +p11438 +tp11439 +a(g8 +V;; NOTE: This binding is used in libguile/modules.c. +p11440 +tp11441 +a(g826 +V\u000a +p11442 +tp11443 +a(g705 +g1172 +tp11444 +a(g744 +Vdefine +p11445 +tp11446 +a(g440 +Vmodule-eval-closure +p11447 +tp11448 +a(g826 +g1245 +tp11449 +a(g705 +g1172 +tp11450 +a(g573 +Vrecord-accessor +p11451 +tp11452 +a(g826 +g1245 +tp11453 +a(g440 +Vmodule-type +p11454 +tp11455 +a(g826 +g1245 +tp11456 +a(g117 +V'eval-closure +p11457 +tp11458 +a(g705 +g1193 +tp11459 +a(g705 +g1193 +tp11460 +a(g826 +V\u000a\u000a +p11461 +tp11462 +a(g705 +g1172 +tp11463 +a(g744 +Vdefine +p11464 +tp11465 +a(g440 +Vmodule-transformer +p11466 +tp11467 +a(g826 +g1245 +tp11468 +a(g705 +g1172 +tp11469 +a(g573 +Vrecord-accessor +p11470 +tp11471 +a(g826 +g1245 +tp11472 +a(g440 +Vmodule-type +p11473 +tp11474 +a(g826 +g1245 +tp11475 +a(g117 +V'transformer +p11476 +tp11477 +a(g705 +g1193 +tp11478 +a(g705 +g1193 +tp11479 +a(g826 +V\u000a +p11480 +tp11481 +a(g705 +g1172 +tp11482 +a(g744 +Vdefine +p11483 +tp11484 +a(g440 +Vset-module-transformer! +p11485 +tp11486 +a(g826 +g1245 +tp11487 +a(g705 +g1172 +tp11488 +a(g573 +Vrecord-modifier +p11489 +tp11490 +a(g826 +g1245 +tp11491 +a(g440 +Vmodule-type +p11492 +tp11493 +a(g826 +g1245 +tp11494 +a(g117 +V'transformer +p11495 +tp11496 +a(g705 +g1193 +tp11497 +a(g705 +g1193 +tp11498 +a(g826 +V\u000a +p11499 +tp11500 +a(g705 +g1172 +tp11501 +a(g744 +Vdefine +p11502 +tp11503 +a(g440 +Vmodule-name +p11504 +tp11505 +a(g826 +g1245 +tp11506 +a(g705 +g1172 +tp11507 +a(g573 +Vrecord-accessor +p11508 +tp11509 +a(g826 +g1245 +tp11510 +a(g440 +Vmodule-type +p11511 +tp11512 +a(g826 +g1245 +tp11513 +a(g117 +V'name +p11514 +tp11515 +a(g705 +g1193 +tp11516 +a(g705 +g1193 +tp11517 +a(g826 +V\u000a +p11518 +tp11519 +a(g705 +g1172 +tp11520 +a(g744 +Vdefine +p11521 +tp11522 +a(g440 +Vset-module-name! +p11523 +tp11524 +a(g826 +g1245 +tp11525 +a(g705 +g1172 +tp11526 +a(g573 +Vrecord-modifier +p11527 +tp11528 +a(g826 +g1245 +tp11529 +a(g440 +Vmodule-type +p11530 +tp11531 +a(g826 +g1245 +tp11532 +a(g117 +V'name +p11533 +tp11534 +a(g705 +g1193 +tp11535 +a(g705 +g1193 +tp11536 +a(g826 +V\u000a +p11537 +tp11538 +a(g705 +g1172 +tp11539 +a(g744 +Vdefine +p11540 +tp11541 +a(g440 +Vmodule-kind +p11542 +tp11543 +a(g826 +g1245 +tp11544 +a(g705 +g1172 +tp11545 +a(g573 +Vrecord-accessor +p11546 +tp11547 +a(g826 +g1245 +tp11548 +a(g440 +Vmodule-type +p11549 +tp11550 +a(g826 +g1245 +tp11551 +a(g117 +V'kind +p11552 +tp11553 +a(g705 +g1193 +tp11554 +a(g705 +g1193 +tp11555 +a(g826 +V\u000a +p11556 +tp11557 +a(g705 +g1172 +tp11558 +a(g744 +Vdefine +p11559 +tp11560 +a(g440 +Vset-module-kind! +p11561 +tp11562 +a(g826 +g1245 +tp11563 +a(g705 +g1172 +tp11564 +a(g573 +Vrecord-modifier +p11565 +tp11566 +a(g826 +g1245 +tp11567 +a(g440 +Vmodule-type +p11568 +tp11569 +a(g826 +g1245 +tp11570 +a(g117 +V'kind +p11571 +tp11572 +a(g705 +g1193 +tp11573 +a(g705 +g1193 +tp11574 +a(g826 +V\u000a +p11575 +tp11576 +a(g705 +g1172 +tp11577 +a(g744 +Vdefine +p11578 +tp11579 +a(g440 +Vmodule-observers +p11580 +tp11581 +a(g826 +g1245 +tp11582 +a(g705 +g1172 +tp11583 +a(g573 +Vrecord-accessor +p11584 +tp11585 +a(g826 +g1245 +tp11586 +a(g440 +Vmodule-type +p11587 +tp11588 +a(g826 +g1245 +tp11589 +a(g117 +V'observers +p11590 +tp11591 +a(g705 +g1193 +tp11592 +a(g705 +g1193 +tp11593 +a(g826 +V\u000a +p11594 +tp11595 +a(g705 +g1172 +tp11596 +a(g744 +Vdefine +p11597 +tp11598 +a(g440 +Vset-module-observers! +p11599 +tp11600 +a(g826 +g1245 +tp11601 +a(g705 +g1172 +tp11602 +a(g573 +Vrecord-modifier +p11603 +tp11604 +a(g826 +g1245 +tp11605 +a(g440 +Vmodule-type +p11606 +tp11607 +a(g826 +g1245 +tp11608 +a(g117 +V'observers +p11609 +tp11610 +a(g705 +g1193 +tp11611 +a(g705 +g1193 +tp11612 +a(g826 +V\u000a +p11613 +tp11614 +a(g705 +g1172 +tp11615 +a(g744 +Vdefine +p11616 +tp11617 +a(g440 +Vmodule-weak-observers +p11618 +tp11619 +a(g826 +g1245 +tp11620 +a(g705 +g1172 +tp11621 +a(g573 +Vrecord-accessor +p11622 +tp11623 +a(g826 +g1245 +tp11624 +a(g440 +Vmodule-type +p11625 +tp11626 +a(g826 +g1245 +tp11627 +a(g117 +V'weak-observers +p11628 +tp11629 +a(g705 +g1193 +tp11630 +a(g705 +g1193 +tp11631 +a(g826 +V\u000a +p11632 +tp11633 +a(g705 +g1172 +tp11634 +a(g744 +Vdefine +p11635 +tp11636 +a(g440 +Vmodule-observer-id +p11637 +tp11638 +a(g826 +g1245 +tp11639 +a(g705 +g1172 +tp11640 +a(g573 +Vrecord-accessor +p11641 +tp11642 +a(g826 +g1245 +tp11643 +a(g440 +Vmodule-type +p11644 +tp11645 +a(g826 +g1245 +tp11646 +a(g117 +V'observer-id +p11647 +tp11648 +a(g705 +g1193 +tp11649 +a(g705 +g1193 +tp11650 +a(g826 +V\u000a +p11651 +tp11652 +a(g705 +g1172 +tp11653 +a(g744 +Vdefine +p11654 +tp11655 +a(g440 +Vset-module-observer-id! +p11656 +tp11657 +a(g826 +g1245 +tp11658 +a(g705 +g1172 +tp11659 +a(g573 +Vrecord-modifier +p11660 +tp11661 +a(g826 +g1245 +tp11662 +a(g440 +Vmodule-type +p11663 +tp11664 +a(g826 +g1245 +tp11665 +a(g117 +V'observer-id +p11666 +tp11667 +a(g705 +g1193 +tp11668 +a(g705 +g1193 +tp11669 +a(g826 +V\u000a +p11670 +tp11671 +a(g705 +g1172 +tp11672 +a(g744 +Vdefine +p11673 +tp11674 +a(g440 +Vmodule? +p11675 +tp11676 +a(g826 +g1245 +tp11677 +a(g705 +g1172 +tp11678 +a(g573 +Vrecord-predicate +p11679 +tp11680 +a(g826 +g1245 +tp11681 +a(g440 +Vmodule-type +p11682 +tp11683 +a(g705 +g1193 +tp11684 +a(g705 +g1193 +tp11685 +a(g826 +V\u000a\u000a +p11686 +tp11687 +a(g705 +g1172 +tp11688 +a(g744 +Vdefine +p11689 +tp11690 +a(g440 +Vset-module-eval-closure! +p11691 +tp11692 +a(g826 +V\u000a +p11693 +tp11694 +a(g705 +g1172 +tp11695 +a(g744 +Vlet +p11696 +tp11697 +a(g705 +g1172 +tp11698 +a(g705 +g1172 +tp11699 +a(g573 +Vsetter +p11700 +tp11701 +a(g826 +g1245 +tp11702 +a(g705 +g1172 +tp11703 +a(g573 +Vrecord-modifier +p11704 +tp11705 +a(g826 +g1245 +tp11706 +a(g440 +Vmodule-type +p11707 +tp11708 +a(g826 +g1245 +tp11709 +a(g117 +V'eval-closure +p11710 +tp11711 +a(g705 +g1193 +tp11712 +a(g705 +g1193 +tp11713 +a(g705 +g1193 +tp11714 +a(g826 +V\u000a +p11715 +tp11716 +a(g705 +g1172 +tp11717 +a(g744 +Vlambda +p11718 +tp11719 +a(g705 +g1172 +tp11720 +a(g573 +Vmodule +p11721 +tp11722 +a(g826 +g1245 +tp11723 +a(g440 +Vclosure +p11724 +tp11725 +a(g705 +g1193 +tp11726 +a(g826 +V\u000a +p11727 +tp11728 +a(g705 +g1172 +tp11729 +a(g573 +Vsetter +p11730 +tp11731 +a(g826 +g1245 +tp11732 +a(g440 +Vmodule +p11733 +tp11734 +a(g826 +g1245 +tp11735 +a(g440 +Vclosure +p11736 +tp11737 +a(g705 +g1193 +tp11738 +a(g826 +V\u000a +p11739 +tp11740 +a(g8 +V;; Make it possible to lookup the module from the environment. +p11741 +tp11742 +a(g826 +V\u000a +p11743 +tp11744 +a(g8 +V;; This implementation is correct since an eval closure can belong +p11745 +tp11746 +a(g826 +V\u000a +p11747 +tp11748 +a(g8 +V;; to maximally one module. +p11749 +tp11750 +a(g826 +V\u000a +p11751 +tp11752 +a(g705 +g1172 +tp11753 +a(g573 +Vset-procedure-property! +p11754 +tp11755 +a(g826 +g1245 +tp11756 +a(g440 +Vclosure +p11757 +tp11758 +a(g826 +g1245 +tp11759 +a(g117 +V'module +p11760 +tp11761 +a(g826 +g1245 +tp11762 +a(g440 +Vmodule +p11763 +tp11764 +a(g705 +g1193 +tp11765 +a(g705 +g1193 +tp11766 +a(g705 +g1193 +tp11767 +a(g705 +g1193 +tp11768 +a(g826 +V\u000a\u000a +p11769 +tp11770 +a(g705 +g1172 +tp11771 +a(g573 +Vbegin-deprecated +p11772 +tp11773 +a(g826 +V\u000a +p11774 +tp11775 +a(g705 +g1172 +tp11776 +a(g744 +Vdefine +p11777 +tp11778 +a(g705 +g1172 +tp11779 +a(g573 +Veval-in-module +p11780 +tp11781 +a(g826 +g1245 +tp11782 +a(g440 +Vexp +p11783 +tp11784 +a(g826 +g1245 +tp11785 +a(g440 +Vmod +p11786 +tp11787 +a(g705 +g1193 +tp11788 +a(g826 +V\u000a +p11789 +tp11790 +a(g705 +g1172 +tp11791 +a(g573 +Vissue-deprecation-warning +p11792 +tp11793 +a(g826 +V\u000a +p11794 +tp11795 +a(g89 +V"`eval-in-module' is deprecated. Use `eval' instead." +p11796 +tp11797 +a(g705 +g1193 +tp11798 +a(g826 +V\u000a +p11799 +tp11800 +a(g705 +g1172 +tp11801 +a(g681 +Veval +p11802 +tp11803 +a(g440 +Vexp +p11804 +tp11805 +a(g826 +g1245 +tp11806 +a(g440 +Vmod +p11807 +tp11808 +a(g705 +g1193 +tp11809 +a(g705 +g1193 +tp11810 +a(g705 +g1193 +tp11811 +a(g826 +V\u000a\u000a \u000a +p11812 +tp11813 +a(g8 +V;;; {Observer protocol} +p11814 +tp11815 +a(g826 +V\u000a +p11816 +tp11817 +a(g8 +V;;; +p11818 +tp11819 +a(g826 +V\u000a\u000a +p11820 +tp11821 +a(g705 +g1172 +tp11822 +a(g744 +Vdefine +p11823 +tp11824 +a(g705 +g1172 +tp11825 +a(g573 +Vmodule-observe +p11826 +tp11827 +a(g826 +g1245 +tp11828 +a(g440 +Vmodule +p11829 +tp11830 +a(g826 +g1245 +tp11831 +a(g440 +Vproc +p11832 +tp11833 +a(g705 +g1193 +tp11834 +a(g826 +V\u000a +p11835 +tp11836 +a(g705 +g1172 +tp11837 +a(g573 +Vset-module-observers! +p11838 +tp11839 +a(g826 +g1245 +tp11840 +a(g440 +Vmodule +p11841 +tp11842 +a(g826 +g1245 +tp11843 +a(g705 +g1172 +tp11844 +a(g681 +Vcons +p11845 +tp11846 +a(g440 +Vproc +p11847 +tp11848 +a(g826 +g1245 +tp11849 +a(g705 +g1172 +tp11850 +a(g573 +Vmodule-observers +p11851 +tp11852 +a(g826 +g1245 +tp11853 +a(g440 +Vmodule +p11854 +tp11855 +a(g705 +g1193 +tp11856 +a(g705 +g1193 +tp11857 +a(g705 +g1193 +tp11858 +a(g826 +V\u000a +p11859 +tp11860 +a(g705 +g1172 +tp11861 +a(g681 +Vcons +p11862 +tp11863 +a(g440 +Vmodule +p11864 +tp11865 +a(g826 +g1245 +tp11866 +a(g440 +Vproc +p11867 +tp11868 +a(g705 +g1193 +tp11869 +a(g705 +g1193 +tp11870 +a(g826 +V\u000a\u000a +p11871 +tp11872 +a(g705 +g1172 +tp11873 +a(g744 +Vdefine +p11874 +tp11875 +a(g705 +g1172 +tp11876 +a(g573 +Vmodule-observe-weak +p11877 +tp11878 +a(g826 +g1245 +tp11879 +a(g440 +Vmodule +p11880 +tp11881 +a(g826 +g1245 +tp11882 +a(g440 +Vproc +p11883 +tp11884 +a(g705 +g1193 +tp11885 +a(g826 +V\u000a +p11886 +tp11887 +a(g705 +g1172 +tp11888 +a(g744 +Vlet +p11889 +tp11890 +a(g705 +g1172 +tp11891 +a(g705 +g1172 +tp11892 +a(g573 +Vid +p11893 +tp11894 +a(g826 +g1245 +tp11895 +a(g705 +g1172 +tp11896 +a(g573 +Vmodule-observer-id +p11897 +tp11898 +a(g826 +g1245 +tp11899 +a(g440 +Vmodule +p11900 +tp11901 +a(g705 +g1193 +tp11902 +a(g705 +g1193 +tp11903 +a(g705 +g1193 +tp11904 +a(g826 +V\u000a +p11905 +tp11906 +a(g705 +g1172 +tp11907 +a(g573 +Vhash-set! +p11908 +tp11909 +a(g826 +g1245 +tp11910 +a(g705 +g1172 +tp11911 +a(g573 +Vmodule-weak-observers +p11912 +tp11913 +a(g826 +g1245 +tp11914 +a(g440 +Vmodule +p11915 +tp11916 +a(g705 +g1193 +tp11917 +a(g826 +g1245 +tp11918 +a(g440 +Vid +p11919 +tp11920 +a(g826 +g1245 +tp11921 +a(g440 +Vproc +p11922 +tp11923 +a(g705 +g1193 +tp11924 +a(g826 +V\u000a +p11925 +tp11926 +a(g705 +g1172 +tp11927 +a(g573 +Vset-module-observer-id! +p11928 +tp11929 +a(g826 +g1245 +tp11930 +a(g440 +Vmodule +p11931 +tp11932 +a(g826 +g1245 +tp11933 +a(g705 +g1172 +tp11934 +a(g681 +V+ +p11935 +tp11936 +a(g37 +g1609 +tp11937 +a(g826 +g1245 +tp11938 +a(g440 +Vid +p11939 +tp11940 +a(g705 +g1193 +tp11941 +a(g705 +g1193 +tp11942 +a(g826 +V\u000a +p11943 +tp11944 +a(g705 +g1172 +tp11945 +a(g681 +Vcons +p11946 +tp11947 +a(g440 +Vmodule +p11948 +tp11949 +a(g826 +g1245 +tp11950 +a(g440 +Vid +p11951 +tp11952 +a(g705 +g1193 +tp11953 +a(g705 +g1193 +tp11954 +a(g705 +g1193 +tp11955 +a(g826 +V\u000a\u000a +p11956 +tp11957 +a(g705 +g1172 +tp11958 +a(g744 +Vdefine +p11959 +tp11960 +a(g705 +g1172 +tp11961 +a(g573 +Vmodule-unobserve +p11962 +tp11963 +a(g826 +g1245 +tp11964 +a(g440 +Vtoken +p11965 +tp11966 +a(g705 +g1193 +tp11967 +a(g826 +V\u000a +p11968 +tp11969 +a(g705 +g1172 +tp11970 +a(g744 +Vlet +p11971 +tp11972 +a(g705 +g1172 +tp11973 +a(g705 +g1172 +tp11974 +a(g573 +Vmodule +p11975 +tp11976 +a(g826 +g1245 +tp11977 +a(g705 +g1172 +tp11978 +a(g681 +Vcar +p11979 +tp11980 +a(g440 +Vtoken +p11981 +tp11982 +a(g705 +g1193 +tp11983 +a(g705 +g1193 +tp11984 +a(g826 +V\u000a +p11985 +tp11986 +a(g705 +g1172 +tp11987 +a(g573 +Vid +p11988 +tp11989 +a(g826 +g1245 +tp11990 +a(g705 +g1172 +tp11991 +a(g681 +Vcdr +p11992 +tp11993 +a(g440 +Vtoken +p11994 +tp11995 +a(g705 +g1193 +tp11996 +a(g705 +g1193 +tp11997 +a(g705 +g1193 +tp11998 +a(g826 +V\u000a +p11999 +tp12000 +a(g705 +g1172 +tp12001 +a(g744 +Vif +p12002 +tp12003 +a(g705 +g1172 +tp12004 +a(g681 +Vinteger? +p12005 +tp12006 +a(g440 +Vid +p12007 +tp12008 +a(g705 +g1193 +tp12009 +a(g826 +V\u000a +p12010 +tp12011 +a(g705 +g1172 +tp12012 +a(g573 +Vhash-remove! +p12013 +tp12014 +a(g826 +g1245 +tp12015 +a(g705 +g1172 +tp12016 +a(g573 +Vmodule-weak-observers +p12017 +tp12018 +a(g826 +g1245 +tp12019 +a(g440 +Vmodule +p12020 +tp12021 +a(g705 +g1193 +tp12022 +a(g826 +g1245 +tp12023 +a(g440 +Vid +p12024 +tp12025 +a(g705 +g1193 +tp12026 +a(g826 +V\u000a +p12027 +tp12028 +a(g705 +g1172 +tp12029 +a(g573 +Vset-module-observers! +p12030 +tp12031 +a(g826 +g1245 +tp12032 +a(g440 +Vmodule +p12033 +tp12034 +a(g826 +g1245 +tp12035 +a(g705 +g1172 +tp12036 +a(g573 +Vdelq1! +p12037 +tp12038 +a(g826 +g1245 +tp12039 +a(g440 +Vid +p12040 +tp12041 +a(g826 +g1245 +tp12042 +a(g705 +g1172 +tp12043 +a(g573 +Vmodule-observers +p12044 +tp12045 +a(g826 +g1245 +tp12046 +a(g440 +Vmodule +p12047 +tp12048 +a(g705 +g1193 +tp12049 +a(g705 +g1193 +tp12050 +a(g705 +g1193 +tp12051 +a(g705 +g1193 +tp12052 +a(g705 +g1193 +tp12053 +a(g826 +V\u000a +p12054 +tp12055 +a(g440 +V*unspecified* +p12056 +tp12057 +a(g705 +g1193 +tp12058 +a(g826 +V\u000a\u000a +p12059 +tp12060 +a(g705 +g1172 +tp12061 +a(g744 +Vdefine +p12062 +tp12063 +a(g705 +g1172 +tp12064 +a(g573 +Vmodule-modified +p12065 +tp12066 +a(g826 +g1245 +tp12067 +a(g440 +Vm +p12068 +tp12069 +a(g705 +g1193 +tp12070 +a(g826 +V\u000a +p12071 +tp12072 +a(g705 +g1172 +tp12073 +a(g681 +Vfor-each +p12074 +tp12075 +a(g705 +g1172 +tp12076 +a(g744 +Vlambda +p12077 +tp12078 +a(g705 +g1172 +tp12079 +a(g573 +Vproc +p12080 +tp12081 +a(g705 +g1193 +tp12082 +a(g826 +g1245 +tp12083 +a(g705 +g1172 +tp12084 +a(g573 +Vproc +p12085 +tp12086 +a(g826 +g1245 +tp12087 +a(g440 +g12068 +tp12088 +a(g705 +g1193 +tp12089 +a(g705 +g1193 +tp12090 +a(g826 +g1245 +tp12091 +a(g705 +g1172 +tp12092 +a(g573 +Vmodule-observers +p12093 +tp12094 +a(g826 +g1245 +tp12095 +a(g440 +g12068 +tp12096 +a(g705 +g1193 +tp12097 +a(g705 +g1193 +tp12098 +a(g826 +V\u000a +p12099 +tp12100 +a(g705 +g1172 +tp12101 +a(g573 +Vhash-fold +p12102 +tp12103 +a(g826 +g1245 +tp12104 +a(g705 +g1172 +tp12105 +a(g744 +Vlambda +p12106 +tp12107 +a(g705 +g1172 +tp12108 +a(g573 +Vid +p12109 +tp12110 +a(g826 +g1245 +tp12111 +a(g440 +Vproc +p12112 +tp12113 +a(g826 +g1245 +tp12114 +a(g440 +Vres +p12115 +tp12116 +a(g705 +g1193 +tp12117 +a(g826 +g1245 +tp12118 +a(g705 +g1172 +tp12119 +a(g573 +Vproc +p12120 +tp12121 +a(g826 +g1245 +tp12122 +a(g440 +g12068 +tp12123 +a(g705 +g1193 +tp12124 +a(g705 +g1193 +tp12125 +a(g826 +g1245 +tp12126 +a(g565 +V#f +p12127 +tp12128 +a(g826 +g1245 +tp12129 +a(g705 +g1172 +tp12130 +a(g573 +Vmodule-weak-observers +p12131 +tp12132 +a(g826 +g1245 +tp12133 +a(g440 +g12068 +tp12134 +a(g705 +g1193 +tp12135 +a(g705 +g1193 +tp12136 +a(g705 +g1193 +tp12137 +a(g826 +V\u000a\u000a \u000a +p12138 +tp12139 +a(g8 +V;;; {Module Searching in General} +p12140 +tp12141 +a(g826 +V\u000a +p12142 +tp12143 +a(g8 +V;;; +p12144 +tp12145 +a(g826 +V\u000a +p12146 +tp12147 +a(g8 +V;;; We sometimes want to look for properties of a symbol +p12148 +tp12149 +a(g826 +V\u000a +p12150 +tp12151 +a(g8 +V;;; just within the obarray of one module. If the property +p12152 +tp12153 +a(g826 +V\u000a +p12154 +tp12155 +a(g8 +V;;; holds, then it is said to hold ``locally'' as in, ``The symbol +p12156 +tp12157 +a(g826 +V\u000a +p12158 +tp12159 +a(g8 +V;;; DISPLAY is locally rebound in the module `safe-guile'.'' +p12160 +tp12161 +a(g826 +V\u000a +p12162 +tp12163 +a(g8 +V;;; +p12164 +tp12165 +a(g826 +V\u000a +p12166 +tp12167 +a(g8 +V;;; +p12168 +tp12169 +a(g826 +V\u000a +p12170 +tp12171 +a(g8 +V;;; Other times, we want to test for a symbol property in the obarray +p12172 +tp12173 +a(g826 +V\u000a +p12174 +tp12175 +a(g8 +V;;; of M and, if it is not found there, try each of the modules in the +p12176 +tp12177 +a(g826 +V\u000a +p12178 +tp12179 +a(g8 +V;;; uses list of M. This is the normal way of testing for some +p12180 +tp12181 +a(g826 +V\u000a +p12182 +tp12183 +a(g8 +V;;; property, so we state these properties without qualification as +p12184 +tp12185 +a(g826 +V\u000a +p12186 +tp12187 +a(g8 +V;;; in: ``The symbol 'fnord is interned in module M because it is +p12188 +tp12189 +a(g826 +V\u000a +p12190 +tp12191 +a(g8 +V;;; interned locally in module M2 which is a member of the uses list +p12192 +tp12193 +a(g826 +V\u000a +p12194 +tp12195 +a(g8 +V;;; of M.'' +p12196 +tp12197 +a(g826 +V\u000a +p12198 +tp12199 +a(g8 +V;;; +p12200 +tp12201 +a(g826 +V\u000a\u000a +p12202 +tp12203 +a(g8 +V;; module-search fn m +p12204 +tp12205 +a(g826 +V\u000a +p12206 +tp12207 +a(g8 +V;; +p12208 +tp12209 +a(g826 +V\u000a +p12210 +tp12211 +a(g8 +V;; return the first non-#f result of FN applied to M and then to +p12212 +tp12213 +a(g826 +V\u000a +p12214 +tp12215 +a(g8 +V;; the modules in the uses of m, and so on recursively. If all applications +p12216 +tp12217 +a(g826 +V\u000a +p12218 +tp12219 +a(g8 +V;; return #f, then so does this function. +p12220 +tp12221 +a(g826 +V\u000a +p12222 +tp12223 +a(g8 +V;; +p12224 +tp12225 +a(g826 +V\u000a +p12226 +tp12227 +a(g705 +g1172 +tp12228 +a(g744 +Vdefine +p12229 +tp12230 +a(g705 +g1172 +tp12231 +a(g573 +Vmodule-search +p12232 +tp12233 +a(g826 +g1245 +tp12234 +a(g440 +Vfn +p12235 +tp12236 +a(g826 +g1245 +tp12237 +a(g440 +g12068 +tp12238 +a(g826 +g1245 +tp12239 +a(g440 +Vv +p12240 +tp12241 +a(g705 +g1193 +tp12242 +a(g826 +V\u000a +p12243 +tp12244 +a(g705 +g1172 +tp12245 +a(g744 +Vdefine +p12246 +tp12247 +a(g705 +g1172 +tp12248 +a(g573 +Vloop +p12249 +tp12250 +a(g826 +g1245 +tp12251 +a(g440 +Vpos +p12252 +tp12253 +a(g705 +g1193 +tp12254 +a(g826 +V\u000a +p12255 +tp12256 +a(g705 +g1172 +tp12257 +a(g744 +Vand +p12258 +tp12259 +a(g705 +g1172 +tp12260 +a(g681 +Vpair? +p12261 +tp12262 +a(g440 +Vpos +p12263 +tp12264 +a(g705 +g1193 +tp12265 +a(g826 +V\u000a +p12266 +tp12267 +a(g705 +g1172 +tp12268 +a(g744 +Vor +p12269 +tp12270 +a(g705 +g1172 +tp12271 +a(g573 +Vmodule-search +p12272 +tp12273 +a(g826 +g1245 +tp12274 +a(g440 +Vfn +p12275 +tp12276 +a(g826 +g1245 +tp12277 +a(g705 +g1172 +tp12278 +a(g681 +Vcar +p12279 +tp12280 +a(g440 +Vpos +p12281 +tp12282 +a(g705 +g1193 +tp12283 +a(g826 +g1245 +tp12284 +a(g440 +g12240 +tp12285 +a(g705 +g1193 +tp12286 +a(g826 +V\u000a +p12287 +tp12288 +a(g705 +g1172 +tp12289 +a(g573 +Vloop +p12290 +tp12291 +a(g826 +g1245 +tp12292 +a(g705 +g1172 +tp12293 +a(g681 +Vcdr +p12294 +tp12295 +a(g440 +Vpos +p12296 +tp12297 +a(g705 +g1193 +tp12298 +a(g705 +g1193 +tp12299 +a(g705 +g1193 +tp12300 +a(g705 +g1193 +tp12301 +a(g705 +g1193 +tp12302 +a(g826 +V\u000a +p12303 +tp12304 +a(g705 +g1172 +tp12305 +a(g744 +Vor +p12306 +tp12307 +a(g705 +g1172 +tp12308 +a(g573 +Vfn +p12309 +tp12310 +a(g826 +g1245 +tp12311 +a(g440 +g12068 +tp12312 +a(g826 +g1245 +tp12313 +a(g440 +g12240 +tp12314 +a(g705 +g1193 +tp12315 +a(g826 +V\u000a +p12316 +tp12317 +a(g705 +g1172 +tp12318 +a(g573 +Vloop +p12319 +tp12320 +a(g826 +g1245 +tp12321 +a(g705 +g1172 +tp12322 +a(g573 +Vmodule-uses +p12323 +tp12324 +a(g826 +g1245 +tp12325 +a(g440 +g12068 +tp12326 +a(g705 +g1193 +tp12327 +a(g705 +g1193 +tp12328 +a(g705 +g1193 +tp12329 +a(g705 +g1193 +tp12330 +a(g826 +V\u000a\u000a\u000a +p12331 +tp12332 +a(g8 +V;;; {Is a symbol bound in a module?} +p12333 +tp12334 +a(g826 +V\u000a +p12335 +tp12336 +a(g8 +V;;; +p12337 +tp12338 +a(g826 +V\u000a +p12339 +tp12340 +a(g8 +V;;; Symbol S in Module M is bound if S is interned in M and if the binding +p12341 +tp12342 +a(g826 +V\u000a +p12343 +tp12344 +a(g8 +V;;; of S in M has been set to some well-defined value. +p12345 +tp12346 +a(g826 +V\u000a +p12347 +tp12348 +a(g8 +V;;; +p12349 +tp12350 +a(g826 +V\u000a\u000a +p12351 +tp12352 +a(g8 +V;; module-locally-bound? module symbol +p12353 +tp12354 +a(g826 +V\u000a +p12355 +tp12356 +a(g8 +V;; +p12357 +tp12358 +a(g826 +V\u000a +p12359 +tp12360 +a(g8 +V;; Is a symbol bound (interned and defined) locally in a given module? +p12361 +tp12362 +a(g826 +V\u000a +p12363 +tp12364 +a(g8 +V;; +p12365 +tp12366 +a(g826 +V\u000a +p12367 +tp12368 +a(g705 +g1172 +tp12369 +a(g744 +Vdefine +p12370 +tp12371 +a(g705 +g1172 +tp12372 +a(g573 +Vmodule-locally-bound? +p12373 +tp12374 +a(g826 +g1245 +tp12375 +a(g440 +g12068 +tp12376 +a(g826 +g1245 +tp12377 +a(g440 +g12240 +tp12378 +a(g705 +g1193 +tp12379 +a(g826 +V\u000a +p12380 +tp12381 +a(g705 +g1172 +tp12382 +a(g744 +Vlet +p12383 +tp12384 +a(g705 +g1172 +tp12385 +a(g705 +g1172 +tp12386 +a(g573 +Vvar +p12387 +tp12388 +a(g826 +g1245 +tp12389 +a(g705 +g1172 +tp12390 +a(g573 +Vmodule-local-variable +p12391 +tp12392 +a(g826 +g1245 +tp12393 +a(g440 +g12068 +tp12394 +a(g826 +g1245 +tp12395 +a(g440 +g12240 +tp12396 +a(g705 +g1193 +tp12397 +a(g705 +g1193 +tp12398 +a(g705 +g1193 +tp12399 +a(g826 +V\u000a +p12400 +tp12401 +a(g705 +g1172 +tp12402 +a(g744 +Vand +p12403 +tp12404 +a(g440 +Vvar +p12405 +tp12406 +a(g826 +V\u000a +p12407 +tp12408 +a(g705 +g1172 +tp12409 +a(g573 +Vvariable-bound? +p12410 +tp12411 +a(g826 +g1245 +tp12412 +a(g440 +Vvar +p12413 +tp12414 +a(g705 +g1193 +tp12415 +a(g705 +g1193 +tp12416 +a(g705 +g1193 +tp12417 +a(g705 +g1193 +tp12418 +a(g826 +V\u000a\u000a +p12419 +tp12420 +a(g8 +V;; module-bound? module symbol +p12421 +tp12422 +a(g826 +V\u000a +p12423 +tp12424 +a(g8 +V;; +p12425 +tp12426 +a(g826 +V\u000a +p12427 +tp12428 +a(g8 +V;; Is a symbol bound (interned and defined) anywhere in a given module +p12429 +tp12430 +a(g826 +V\u000a +p12431 +tp12432 +a(g8 +V;; or its uses? +p12433 +tp12434 +a(g826 +V\u000a +p12435 +tp12436 +a(g8 +V;; +p12437 +tp12438 +a(g826 +V\u000a +p12439 +tp12440 +a(g705 +g1172 +tp12441 +a(g744 +Vdefine +p12442 +tp12443 +a(g705 +g1172 +tp12444 +a(g573 +Vmodule-bound? +p12445 +tp12446 +a(g826 +g1245 +tp12447 +a(g440 +g12068 +tp12448 +a(g826 +g1245 +tp12449 +a(g440 +g12240 +tp12450 +a(g705 +g1193 +tp12451 +a(g826 +V\u000a +p12452 +tp12453 +a(g705 +g1172 +tp12454 +a(g573 +Vmodule-search +p12455 +tp12456 +a(g826 +g1245 +tp12457 +a(g440 +Vmodule-locally-bound? +p12458 +tp12459 +a(g826 +g1245 +tp12460 +a(g440 +g12068 +tp12461 +a(g826 +g1245 +tp12462 +a(g440 +g12240 +tp12463 +a(g705 +g1193 +tp12464 +a(g705 +g1193 +tp12465 +a(g826 +V\u000a\u000a +p12466 +tp12467 +a(g8 +V;;; {Is a symbol interned in a module?} +p12468 +tp12469 +a(g826 +V\u000a +p12470 +tp12471 +a(g8 +V;;; +p12472 +tp12473 +a(g826 +V\u000a +p12474 +tp12475 +a(g8 +V;;; Symbol S in Module M is interned if S occurs in +p12476 +tp12477 +a(g826 +V\u000a +p12478 +tp12479 +a(g8 +V;;; of S in M has been set to some well-defined value. +p12480 +tp12481 +a(g826 +V\u000a +p12482 +tp12483 +a(g8 +V;;; +p12484 +tp12485 +a(g826 +V\u000a +p12486 +tp12487 +a(g8 +V;;; It is possible to intern a symbol in a module without providing +p12488 +tp12489 +a(g826 +V\u000a +p12490 +tp12491 +a(g8 +V;;; an initial binding for the corresponding variable. This is done +p12492 +tp12493 +a(g826 +V\u000a +p12494 +tp12495 +a(g8 +V;;; with: +p12496 +tp12497 +a(g826 +V\u000a +p12498 +tp12499 +a(g8 +V;;; (module-add! module symbol (make-undefined-variable)) +p12500 +tp12501 +a(g826 +V\u000a +p12502 +tp12503 +a(g8 +V;;; +p12504 +tp12505 +a(g826 +V\u000a +p12506 +tp12507 +a(g8 +V;;; In that case, the symbol is interned in the module, but not +p12508 +tp12509 +a(g826 +V\u000a +p12510 +tp12511 +a(g8 +V;;; bound there. The unbound symbol shadows any binding for that +p12512 +tp12513 +a(g826 +V\u000a +p12514 +tp12515 +a(g8 +V;;; symbol that might otherwise be inherited from a member of the uses list. +p12516 +tp12517 +a(g826 +V\u000a +p12518 +tp12519 +a(g8 +V;;; +p12520 +tp12521 +a(g826 +V\u000a\u000a +p12522 +tp12523 +a(g705 +g1172 +tp12524 +a(g744 +Vdefine +p12525 +tp12526 +a(g705 +g1172 +tp12527 +a(g573 +Vmodule-obarray-get-handle +p12528 +tp12529 +a(g826 +g1245 +tp12530 +a(g440 +Vob +p12531 +tp12532 +a(g826 +g1245 +tp12533 +a(g440 +Vkey +p12534 +tp12535 +a(g705 +g1193 +tp12536 +a(g826 +V\u000a +p12537 +tp12538 +a(g705 +g1172 +tp12539 +a(g705 +g1172 +tp12540 +a(g744 +Vif +p12541 +tp12542 +a(g705 +g1172 +tp12543 +a(g681 +Vsymbol? +p12544 +tp12545 +a(g440 +Vkey +p12546 +tp12547 +a(g705 +g1193 +tp12548 +a(g826 +g1245 +tp12549 +a(g440 +Vhashq-get-handle +p12550 +tp12551 +a(g826 +g1245 +tp12552 +a(g440 +Vhash-get-handle +p12553 +tp12554 +a(g705 +g1193 +tp12555 +a(g826 +g1245 +tp12556 +a(g440 +Vob +p12557 +tp12558 +a(g826 +g1245 +tp12559 +a(g440 +Vkey +p12560 +tp12561 +a(g705 +g1193 +tp12562 +a(g705 +g1193 +tp12563 +a(g826 +V\u000a\u000a +p12564 +tp12565 +a(g705 +g1172 +tp12566 +a(g744 +Vdefine +p12567 +tp12568 +a(g705 +g1172 +tp12569 +a(g573 +Vmodule-obarray-ref +p12570 +tp12571 +a(g826 +g1245 +tp12572 +a(g440 +Vob +p12573 +tp12574 +a(g826 +g1245 +tp12575 +a(g440 +Vkey +p12576 +tp12577 +a(g705 +g1193 +tp12578 +a(g826 +V\u000a +p12579 +tp12580 +a(g705 +g1172 +tp12581 +a(g705 +g1172 +tp12582 +a(g744 +Vif +p12583 +tp12584 +a(g705 +g1172 +tp12585 +a(g681 +Vsymbol? +p12586 +tp12587 +a(g440 +Vkey +p12588 +tp12589 +a(g705 +g1193 +tp12590 +a(g826 +g1245 +tp12591 +a(g440 +Vhashq-ref +p12592 +tp12593 +a(g826 +g1245 +tp12594 +a(g440 +Vhash-ref +p12595 +tp12596 +a(g705 +g1193 +tp12597 +a(g826 +g1245 +tp12598 +a(g440 +Vob +p12599 +tp12600 +a(g826 +g1245 +tp12601 +a(g440 +Vkey +p12602 +tp12603 +a(g705 +g1193 +tp12604 +a(g705 +g1193 +tp12605 +a(g826 +V\u000a\u000a +p12606 +tp12607 +a(g705 +g1172 +tp12608 +a(g744 +Vdefine +p12609 +tp12610 +a(g705 +g1172 +tp12611 +a(g573 +Vmodule-obarray-set! +p12612 +tp12613 +a(g826 +g1245 +tp12614 +a(g440 +Vob +p12615 +tp12616 +a(g826 +g1245 +tp12617 +a(g440 +Vkey +p12618 +tp12619 +a(g826 +g1245 +tp12620 +a(g440 +Vval +p12621 +tp12622 +a(g705 +g1193 +tp12623 +a(g826 +V\u000a +p12624 +tp12625 +a(g705 +g1172 +tp12626 +a(g705 +g1172 +tp12627 +a(g744 +Vif +p12628 +tp12629 +a(g705 +g1172 +tp12630 +a(g681 +Vsymbol? +p12631 +tp12632 +a(g440 +Vkey +p12633 +tp12634 +a(g705 +g1193 +tp12635 +a(g826 +g1245 +tp12636 +a(g440 +Vhashq-set! +p12637 +tp12638 +a(g826 +g1245 +tp12639 +a(g440 +Vhash-set! +p12640 +tp12641 +a(g705 +g1193 +tp12642 +a(g826 +g1245 +tp12643 +a(g440 +Vob +p12644 +tp12645 +a(g826 +g1245 +tp12646 +a(g440 +Vkey +p12647 +tp12648 +a(g826 +g1245 +tp12649 +a(g440 +Vval +p12650 +tp12651 +a(g705 +g1193 +tp12652 +a(g705 +g1193 +tp12653 +a(g826 +V\u000a\u000a +p12654 +tp12655 +a(g705 +g1172 +tp12656 +a(g744 +Vdefine +p12657 +tp12658 +a(g705 +g1172 +tp12659 +a(g573 +Vmodule-obarray-remove! +p12660 +tp12661 +a(g826 +g1245 +tp12662 +a(g440 +Vob +p12663 +tp12664 +a(g826 +g1245 +tp12665 +a(g440 +Vkey +p12666 +tp12667 +a(g705 +g1193 +tp12668 +a(g826 +V\u000a +p12669 +tp12670 +a(g705 +g1172 +tp12671 +a(g705 +g1172 +tp12672 +a(g744 +Vif +p12673 +tp12674 +a(g705 +g1172 +tp12675 +a(g681 +Vsymbol? +p12676 +tp12677 +a(g440 +Vkey +p12678 +tp12679 +a(g705 +g1193 +tp12680 +a(g826 +g1245 +tp12681 +a(g440 +Vhashq-remove! +p12682 +tp12683 +a(g826 +g1245 +tp12684 +a(g440 +Vhash-remove! +p12685 +tp12686 +a(g705 +g1193 +tp12687 +a(g826 +g1245 +tp12688 +a(g440 +Vob +p12689 +tp12690 +a(g826 +g1245 +tp12691 +a(g440 +Vkey +p12692 +tp12693 +a(g705 +g1193 +tp12694 +a(g705 +g1193 +tp12695 +a(g826 +V\u000a\u000a +p12696 +tp12697 +a(g8 +V;; module-symbol-locally-interned? module symbol +p12698 +tp12699 +a(g826 +V\u000a +p12700 +tp12701 +a(g8 +V;; +p12702 +tp12703 +a(g826 +V\u000a +p12704 +tp12705 +a(g8 +V;; is a symbol interned (not neccessarily defined) locally in a given module +p12706 +tp12707 +a(g826 +V\u000a +p12708 +tp12709 +a(g8 +V;; or its uses? Interned symbols shadow inherited bindings even if +p12710 +tp12711 +a(g826 +V\u000a +p12712 +tp12713 +a(g8 +V;; they are not themselves bound to a defined value. +p12714 +tp12715 +a(g826 +V\u000a +p12716 +tp12717 +a(g8 +V;; +p12718 +tp12719 +a(g826 +V\u000a +p12720 +tp12721 +a(g705 +g1172 +tp12722 +a(g744 +Vdefine +p12723 +tp12724 +a(g705 +g1172 +tp12725 +a(g573 +Vmodule-symbol-locally-interned? +p12726 +tp12727 +a(g826 +g1245 +tp12728 +a(g440 +g12068 +tp12729 +a(g826 +g1245 +tp12730 +a(g440 +g12240 +tp12731 +a(g705 +g1193 +tp12732 +a(g826 +V\u000a +p12733 +tp12734 +a(g705 +g1172 +tp12735 +a(g681 +Vnot +p12736 +tp12737 +a(g705 +g1172 +tp12738 +a(g681 +Vnot +p12739 +tp12740 +a(g705 +g1172 +tp12741 +a(g573 +Vmodule-obarray-get-handle +p12742 +tp12743 +a(g826 +g1245 +tp12744 +a(g705 +g1172 +tp12745 +a(g573 +Vmodule-obarray +p12746 +tp12747 +a(g826 +g1245 +tp12748 +a(g440 +g12068 +tp12749 +a(g705 +g1193 +tp12750 +a(g826 +g1245 +tp12751 +a(g440 +g12240 +tp12752 +a(g705 +g1193 +tp12753 +a(g705 +g1193 +tp12754 +a(g705 +g1193 +tp12755 +a(g705 +g1193 +tp12756 +a(g826 +V\u000a\u000a +p12757 +tp12758 +a(g8 +V;; module-symbol-interned? module symbol +p12759 +tp12760 +a(g826 +V\u000a +p12761 +tp12762 +a(g8 +V;; +p12763 +tp12764 +a(g826 +V\u000a +p12765 +tp12766 +a(g8 +V;; is a symbol interned (not neccessarily defined) anywhere in a given module +p12767 +tp12768 +a(g826 +V\u000a +p12769 +tp12770 +a(g8 +V;; or its uses? Interned symbols shadow inherited bindings even if +p12771 +tp12772 +a(g826 +V\u000a +p12773 +tp12774 +a(g8 +V;; they are not themselves bound to a defined value. +p12775 +tp12776 +a(g826 +V\u000a +p12777 +tp12778 +a(g8 +V;; +p12779 +tp12780 +a(g826 +V\u000a +p12781 +tp12782 +a(g705 +g1172 +tp12783 +a(g744 +Vdefine +p12784 +tp12785 +a(g705 +g1172 +tp12786 +a(g573 +Vmodule-symbol-interned? +p12787 +tp12788 +a(g826 +g1245 +tp12789 +a(g440 +g12068 +tp12790 +a(g826 +g1245 +tp12791 +a(g440 +g12240 +tp12792 +a(g705 +g1193 +tp12793 +a(g826 +V\u000a +p12794 +tp12795 +a(g705 +g1172 +tp12796 +a(g573 +Vmodule-search +p12797 +tp12798 +a(g826 +g1245 +tp12799 +a(g440 +Vmodule-symbol-locally-interned? +p12800 +tp12801 +a(g826 +g1245 +tp12802 +a(g440 +g12068 +tp12803 +a(g826 +g1245 +tp12804 +a(g440 +g12240 +tp12805 +a(g705 +g1193 +tp12806 +a(g705 +g1193 +tp12807 +a(g826 +V\u000a\u000a\u000a +p12808 +tp12809 +a(g8 +V;;; {Mapping modules x symbols --> variables} +p12810 +tp12811 +a(g826 +V\u000a +p12812 +tp12813 +a(g8 +V;;; +p12814 +tp12815 +a(g826 +V\u000a\u000a +p12816 +tp12817 +a(g8 +V;; module-local-variable module symbol +p12818 +tp12819 +a(g826 +V\u000a +p12820 +tp12821 +a(g8 +V;; return the local variable associated with a MODULE and SYMBOL. +p12822 +tp12823 +a(g826 +V\u000a +p12824 +tp12825 +a(g8 +V;; +p12826 +tp12827 +a(g826 +V\u000a +p12828 +tp12829 +a(g8 +V;;; This function is very important. It is the only function that can +p12830 +tp12831 +a(g826 +V\u000a +p12832 +tp12833 +a(g8 +V;;; return a variable from a module other than the mutators that store +p12834 +tp12835 +a(g826 +V\u000a +p12836 +tp12837 +a(g8 +V;;; new variables in modules. Therefore, this function is the location +p12838 +tp12839 +a(g826 +V\u000a +p12840 +tp12841 +a(g8 +V;;; of the "lazy binder" hack. +p12842 +tp12843 +a(g826 +V\u000a +p12844 +tp12845 +a(g8 +V;;; +p12846 +tp12847 +a(g826 +V\u000a +p12848 +tp12849 +a(g8 +V;;; If symbol is defined in MODULE, and if the definition binds symbol +p12850 +tp12851 +a(g826 +V\u000a +p12852 +tp12853 +a(g8 +V;;; to a variable, return that variable object. +p12854 +tp12855 +a(g826 +V\u000a +p12856 +tp12857 +a(g8 +V;;; +p12858 +tp12859 +a(g826 +V\u000a +p12860 +tp12861 +a(g8 +V;;; If the symbols is not found at first, but the module has a lazy binder, +p12862 +tp12863 +a(g826 +V\u000a +p12864 +tp12865 +a(g8 +V;;; then try the binder. +p12866 +tp12867 +a(g826 +V\u000a +p12868 +tp12869 +a(g8 +V;;; +p12870 +tp12871 +a(g826 +V\u000a +p12872 +tp12873 +a(g8 +V;;; If the symbol is not found at all, return #f. +p12874 +tp12875 +a(g826 +V\u000a +p12876 +tp12877 +a(g8 +V;;; +p12878 +tp12879 +a(g826 +V\u000a +p12880 +tp12881 +a(g705 +g1172 +tp12882 +a(g744 +Vdefine +p12883 +tp12884 +a(g705 +g1172 +tp12885 +a(g573 +Vmodule-local-variable +p12886 +tp12887 +a(g826 +g1245 +tp12888 +a(g440 +g12068 +tp12889 +a(g826 +g1245 +tp12890 +a(g440 +g12240 +tp12891 +a(g705 +g1193 +tp12892 +a(g826 +V\u000a +p12893 +tp12894 +a(g8 +V; (caddr +p12895 +tp12896 +a(g826 +V\u000a +p12897 +tp12898 +a(g8 +V; (list m v +p12899 +tp12900 +a(g826 +V\u000a +p12901 +tp12902 +a(g705 +g1172 +tp12903 +a(g744 +Vlet +p12904 +tp12905 +a(g705 +g1172 +tp12906 +a(g705 +g1172 +tp12907 +a(g573 +Vb +p12908 +tp12909 +a(g826 +g1245 +tp12910 +a(g705 +g1172 +tp12911 +a(g573 +Vmodule-obarray-ref +p12912 +tp12913 +a(g826 +g1245 +tp12914 +a(g705 +g1172 +tp12915 +a(g573 +Vmodule-obarray +p12916 +tp12917 +a(g826 +g1245 +tp12918 +a(g440 +g12068 +tp12919 +a(g705 +g1193 +tp12920 +a(g826 +g1245 +tp12921 +a(g440 +g12240 +tp12922 +a(g705 +g1193 +tp12923 +a(g705 +g1193 +tp12924 +a(g705 +g1193 +tp12925 +a(g826 +V\u000a +p12926 +tp12927 +a(g705 +g1172 +tp12928 +a(g744 +Vor +p12929 +tp12930 +a(g705 +g1172 +tp12931 +a(g744 +Vand +p12932 +tp12933 +a(g705 +g1172 +tp12934 +a(g573 +Vvariable? +p12935 +tp12936 +a(g826 +g1245 +tp12937 +a(g440 +g12908 +tp12938 +a(g705 +g1193 +tp12939 +a(g826 +g1245 +tp12940 +a(g440 +g12908 +tp12941 +a(g705 +g1193 +tp12942 +a(g826 +V\u000a +p12943 +tp12944 +a(g705 +g1172 +tp12945 +a(g744 +Vand +p12946 +tp12947 +a(g705 +g1172 +tp12948 +a(g573 +Vmodule-binder +p12949 +tp12950 +a(g826 +g1245 +tp12951 +a(g440 +g12068 +tp12952 +a(g705 +g1193 +tp12953 +a(g826 +V\u000a +p12954 +tp12955 +a(g705 +g1172 +tp12956 +a(g705 +g1172 +tp12957 +a(g573 +Vmodule-binder +p12958 +tp12959 +a(g826 +g1245 +tp12960 +a(g440 +g12068 +tp12961 +a(g705 +g1193 +tp12962 +a(g826 +g1245 +tp12963 +a(g440 +g12068 +tp12964 +a(g826 +g1245 +tp12965 +a(g440 +g12240 +tp12966 +a(g826 +g1245 +tp12967 +a(g565 +V#f +p12968 +tp12969 +a(g705 +g1193 +tp12970 +a(g705 +g1193 +tp12971 +a(g705 +g1193 +tp12972 +a(g705 +g1193 +tp12973 +a(g705 +g1193 +tp12974 +a(g826 +V\u000a +p12975 +tp12976 +a(g8 +V;)) +p12977 +tp12978 +a(g826 +V\u000a\u000a +p12979 +tp12980 +a(g8 +V;; module-variable module symbol +p12981 +tp12982 +a(g826 +V\u000a +p12983 +tp12984 +a(g8 +V;; +p12985 +tp12986 +a(g826 +V\u000a +p12987 +tp12988 +a(g8 +V;; like module-local-variable, except search the uses in the +p12989 +tp12990 +a(g826 +V\u000a +p12991 +tp12992 +a(g8 +V;; case V is not found in M. +p12993 +tp12994 +a(g826 +V\u000a +p12995 +tp12996 +a(g8 +V;; +p12997 +tp12998 +a(g826 +V\u000a +p12999 +tp13000 +a(g8 +V;; NOTE: This function is superseded with C code (see modules.c) +p13001 +tp13002 +a(g826 +V\u000a +p13003 +tp13004 +a(g8 +V;;; when using the standard eval closure. +p13005 +tp13006 +a(g826 +V\u000a +p13007 +tp13008 +a(g8 +V;; +p13009 +tp13010 +a(g826 +V\u000a +p13011 +tp13012 +a(g705 +g1172 +tp13013 +a(g744 +Vdefine +p13014 +tp13015 +a(g705 +g1172 +tp13016 +a(g573 +Vmodule-variable +p13017 +tp13018 +a(g826 +g1245 +tp13019 +a(g440 +g12068 +tp13020 +a(g826 +g1245 +tp13021 +a(g440 +g12240 +tp13022 +a(g705 +g1193 +tp13023 +a(g826 +V\u000a +p13024 +tp13025 +a(g705 +g1172 +tp13026 +a(g573 +Vmodule-search +p13027 +tp13028 +a(g826 +g1245 +tp13029 +a(g440 +Vmodule-local-variable +p13030 +tp13031 +a(g826 +g1245 +tp13032 +a(g440 +g12068 +tp13033 +a(g826 +g1245 +tp13034 +a(g440 +g12240 +tp13035 +a(g705 +g1193 +tp13036 +a(g705 +g1193 +tp13037 +a(g826 +V\u000a\u000a\u000a +p13038 +tp13039 +a(g8 +V;;; {Mapping modules x symbols --> bindings} +p13040 +tp13041 +a(g826 +V\u000a +p13042 +tp13043 +a(g8 +V;;; +p13044 +tp13045 +a(g826 +V\u000a +p13046 +tp13047 +a(g8 +V;;; These are similar to the mapping to variables, except that the +p13048 +tp13049 +a(g826 +V\u000a +p13050 +tp13051 +a(g8 +V;;; variable is dereferenced. +p13052 +tp13053 +a(g826 +V\u000a +p13054 +tp13055 +a(g8 +V;;; +p13056 +tp13057 +a(g826 +V\u000a\u000a +p13058 +tp13059 +a(g8 +V;; module-symbol-binding module symbol opt-value +p13060 +tp13061 +a(g826 +V\u000a +p13062 +tp13063 +a(g8 +V;; +p13064 +tp13065 +a(g826 +V\u000a +p13066 +tp13067 +a(g8 +V;; return the binding of a variable specified by name within +p13068 +tp13069 +a(g826 +V\u000a +p13070 +tp13071 +a(g8 +V;; a given module, signalling an error if the variable is unbound. +p13072 +tp13073 +a(g826 +V\u000a +p13074 +tp13075 +a(g8 +V;; If the OPT-VALUE is passed, then instead of signalling an error, +p13076 +tp13077 +a(g826 +V\u000a +p13078 +tp13079 +a(g8 +V;; return OPT-VALUE. +p13080 +tp13081 +a(g826 +V\u000a +p13082 +tp13083 +a(g8 +V;; +p13084 +tp13085 +a(g826 +V\u000a +p13086 +tp13087 +a(g705 +g1172 +tp13088 +a(g744 +Vdefine +p13089 +tp13090 +a(g705 +g1172 +tp13091 +a(g573 +Vmodule-symbol-local-binding +p13092 +tp13093 +a(g826 +g1245 +tp13094 +a(g440 +g12068 +tp13095 +a(g826 +g1245 +tp13096 +a(g440 +g12240 +tp13097 +a(g826 +g1245 +tp13098 +a(g412 +g1446 +tp13099 +a(g826 +g1245 +tp13100 +a(g440 +Vopt-val +p13101 +tp13102 +a(g705 +g1193 +tp13103 +a(g826 +V\u000a +p13104 +tp13105 +a(g705 +g1172 +tp13106 +a(g744 +Vlet +p13107 +tp13108 +a(g705 +g1172 +tp13109 +a(g705 +g1172 +tp13110 +a(g573 +Vvar +p13111 +tp13112 +a(g826 +g1245 +tp13113 +a(g705 +g1172 +tp13114 +a(g573 +Vmodule-local-variable +p13115 +tp13116 +a(g826 +g1245 +tp13117 +a(g440 +g12068 +tp13118 +a(g826 +g1245 +tp13119 +a(g440 +g12240 +tp13120 +a(g705 +g1193 +tp13121 +a(g705 +g1193 +tp13122 +a(g705 +g1193 +tp13123 +a(g826 +V\u000a +p13124 +tp13125 +a(g705 +g1172 +tp13126 +a(g744 +Vif +p13127 +tp13128 +a(g440 +Vvar +p13129 +tp13130 +a(g826 +V\u000a +p13131 +tp13132 +a(g705 +g1172 +tp13133 +a(g573 +Vvariable-ref +p13134 +tp13135 +a(g826 +g1245 +tp13136 +a(g440 +Vvar +p13137 +tp13138 +a(g705 +g1193 +tp13139 +a(g826 +V\u000a +p13140 +tp13141 +a(g705 +g1172 +tp13142 +a(g744 +Vif +p13143 +tp13144 +a(g705 +g1172 +tp13145 +a(g681 +Vnot +p13146 +tp13147 +a(g705 +g1172 +tp13148 +a(g681 +Vnull? +p13149 +tp13150 +a(g440 +Vopt-val +p13151 +tp13152 +a(g705 +g1193 +tp13153 +a(g705 +g1193 +tp13154 +a(g826 +V\u000a +p13155 +tp13156 +a(g705 +g1172 +tp13157 +a(g681 +Vcar +p13158 +tp13159 +a(g440 +Vopt-val +p13160 +tp13161 +a(g705 +g1193 +tp13162 +a(g826 +V\u000a +p13163 +tp13164 +a(g705 +g1172 +tp13165 +a(g573 +Verror +p13166 +tp13167 +a(g826 +g1245 +tp13168 +a(g89 +V"Locally unbound variable." +p13169 +tp13170 +a(g826 +g1245 +tp13171 +a(g440 +g12240 +tp13172 +a(g705 +g1193 +tp13173 +a(g705 +g1193 +tp13174 +a(g705 +g1193 +tp13175 +a(g705 +g1193 +tp13176 +a(g705 +g1193 +tp13177 +a(g826 +V\u000a\u000a +p13178 +tp13179 +a(g8 +V;; module-symbol-binding module symbol opt-value +p13180 +tp13181 +a(g826 +V\u000a +p13182 +tp13183 +a(g8 +V;; +p13184 +tp13185 +a(g826 +V\u000a +p13186 +tp13187 +a(g8 +V;; return the binding of a variable specified by name within +p13188 +tp13189 +a(g826 +V\u000a +p13190 +tp13191 +a(g8 +V;; a given module, signalling an error if the variable is unbound. +p13192 +tp13193 +a(g826 +V\u000a +p13194 +tp13195 +a(g8 +V;; If the OPT-VALUE is passed, then instead of signalling an error, +p13196 +tp13197 +a(g826 +V\u000a +p13198 +tp13199 +a(g8 +V;; return OPT-VALUE. +p13200 +tp13201 +a(g826 +V\u000a +p13202 +tp13203 +a(g8 +V;; +p13204 +tp13205 +a(g826 +V\u000a +p13206 +tp13207 +a(g705 +g1172 +tp13208 +a(g744 +Vdefine +p13209 +tp13210 +a(g705 +g1172 +tp13211 +a(g573 +Vmodule-symbol-binding +p13212 +tp13213 +a(g826 +g1245 +tp13214 +a(g440 +g12068 +tp13215 +a(g826 +g1245 +tp13216 +a(g440 +g12240 +tp13217 +a(g826 +g1245 +tp13218 +a(g412 +g1446 +tp13219 +a(g826 +g1245 +tp13220 +a(g440 +Vopt-val +p13221 +tp13222 +a(g705 +g1193 +tp13223 +a(g826 +V\u000a +p13224 +tp13225 +a(g705 +g1172 +tp13226 +a(g744 +Vlet +p13227 +tp13228 +a(g705 +g1172 +tp13229 +a(g705 +g1172 +tp13230 +a(g573 +Vvar +p13231 +tp13232 +a(g826 +g1245 +tp13233 +a(g705 +g1172 +tp13234 +a(g573 +Vmodule-variable +p13235 +tp13236 +a(g826 +g1245 +tp13237 +a(g440 +g12068 +tp13238 +a(g826 +g1245 +tp13239 +a(g440 +g12240 +tp13240 +a(g705 +g1193 +tp13241 +a(g705 +g1193 +tp13242 +a(g705 +g1193 +tp13243 +a(g826 +V\u000a +p13244 +tp13245 +a(g705 +g1172 +tp13246 +a(g744 +Vif +p13247 +tp13248 +a(g440 +Vvar +p13249 +tp13250 +a(g826 +V\u000a +p13251 +tp13252 +a(g705 +g1172 +tp13253 +a(g573 +Vvariable-ref +p13254 +tp13255 +a(g826 +g1245 +tp13256 +a(g440 +Vvar +p13257 +tp13258 +a(g705 +g1193 +tp13259 +a(g826 +V\u000a +p13260 +tp13261 +a(g705 +g1172 +tp13262 +a(g744 +Vif +p13263 +tp13264 +a(g705 +g1172 +tp13265 +a(g681 +Vnot +p13266 +tp13267 +a(g705 +g1172 +tp13268 +a(g681 +Vnull? +p13269 +tp13270 +a(g440 +Vopt-val +p13271 +tp13272 +a(g705 +g1193 +tp13273 +a(g705 +g1193 +tp13274 +a(g826 +V\u000a +p13275 +tp13276 +a(g705 +g1172 +tp13277 +a(g681 +Vcar +p13278 +tp13279 +a(g440 +Vopt-val +p13280 +tp13281 +a(g705 +g1193 +tp13282 +a(g826 +V\u000a +p13283 +tp13284 +a(g705 +g1172 +tp13285 +a(g573 +Verror +p13286 +tp13287 +a(g826 +g1245 +tp13288 +a(g89 +V"Unbound variable." +p13289 +tp13290 +a(g826 +g1245 +tp13291 +a(g440 +g12240 +tp13292 +a(g705 +g1193 +tp13293 +a(g705 +g1193 +tp13294 +a(g705 +g1193 +tp13295 +a(g705 +g1193 +tp13296 +a(g705 +g1193 +tp13297 +a(g826 +V\u000a\u000a\u000a \u000a +p13298 +tp13299 +a(g8 +V;;; {Adding Variables to Modules} +p13300 +tp13301 +a(g826 +V\u000a +p13302 +tp13303 +a(g8 +V;;; +p13304 +tp13305 +a(g826 +V\u000a +p13306 +tp13307 +a(g8 +V;;; +p13308 +tp13309 +a(g826 +V\u000a\u000a\u000a +p13310 +tp13311 +a(g8 +V;; module-make-local-var! module symbol +p13312 +tp13313 +a(g826 +V\u000a +p13314 +tp13315 +a(g8 +V;; +p13316 +tp13317 +a(g826 +V\u000a +p13318 +tp13319 +a(g8 +V;; ensure a variable for V in the local namespace of M. +p13320 +tp13321 +a(g826 +V\u000a +p13322 +tp13323 +a(g8 +V;; If no variable was already there, then create a new and uninitialzied +p13324 +tp13325 +a(g826 +V\u000a +p13326 +tp13327 +a(g8 +V;; variable. +p13328 +tp13329 +a(g826 +V\u000a +p13330 +tp13331 +a(g8 +V;; +p13332 +tp13333 +a(g826 +V\u000a +p13334 +tp13335 +a(g705 +g1172 +tp13336 +a(g744 +Vdefine +p13337 +tp13338 +a(g705 +g1172 +tp13339 +a(g573 +Vmodule-make-local-var! +p13340 +tp13341 +a(g826 +g1245 +tp13342 +a(g440 +g12068 +tp13343 +a(g826 +g1245 +tp13344 +a(g440 +g12240 +tp13345 +a(g705 +g1193 +tp13346 +a(g826 +V\u000a +p13347 +tp13348 +a(g705 +g1172 +tp13349 +a(g744 +Vor +p13350 +tp13351 +a(g705 +g1172 +tp13352 +a(g744 +Vlet +p13353 +tp13354 +a(g705 +g1172 +tp13355 +a(g705 +g1172 +tp13356 +a(g573 +g12908 +tp13357 +a(g826 +g1245 +tp13358 +a(g705 +g1172 +tp13359 +a(g573 +Vmodule-obarray-ref +p13360 +tp13361 +a(g826 +g1245 +tp13362 +a(g705 +g1172 +tp13363 +a(g573 +Vmodule-obarray +p13364 +tp13365 +a(g826 +g1245 +tp13366 +a(g440 +g12068 +tp13367 +a(g705 +g1193 +tp13368 +a(g826 +g1245 +tp13369 +a(g440 +g12240 +tp13370 +a(g705 +g1193 +tp13371 +a(g705 +g1193 +tp13372 +a(g705 +g1193 +tp13373 +a(g826 +V\u000a +p13374 +tp13375 +a(g705 +g1172 +tp13376 +a(g744 +Vand +p13377 +tp13378 +a(g705 +g1172 +tp13379 +a(g573 +Vvariable? +p13380 +tp13381 +a(g826 +g1245 +tp13382 +a(g440 +g12908 +tp13383 +a(g705 +g1193 +tp13384 +a(g826 +V\u000a +p13385 +tp13386 +a(g705 +g1172 +tp13387 +a(g573 +Vbegin +p13388 +tp13389 +a(g826 +V\u000a +p13390 +tp13391 +a(g705 +g1172 +tp13392 +a(g573 +Vmodule-modified +p13393 +tp13394 +a(g826 +g1245 +tp13395 +a(g440 +g12068 +tp13396 +a(g705 +g1193 +tp13397 +a(g826 +V\u000a +p13398 +tp13399 +a(g440 +g12908 +tp13400 +a(g705 +g1193 +tp13401 +a(g705 +g1193 +tp13402 +a(g705 +g1193 +tp13403 +a(g826 +V\u000a +p13404 +tp13405 +a(g705 +g1172 +tp13406 +a(g744 +Vand +p13407 +tp13408 +a(g705 +g1172 +tp13409 +a(g573 +Vmodule-binder +p13410 +tp13411 +a(g826 +g1245 +tp13412 +a(g440 +g12068 +tp13413 +a(g705 +g1193 +tp13414 +a(g826 +V\u000a +p13415 +tp13416 +a(g705 +g1172 +tp13417 +a(g705 +g1172 +tp13418 +a(g573 +Vmodule-binder +p13419 +tp13420 +a(g826 +g1245 +tp13421 +a(g440 +g12068 +tp13422 +a(g705 +g1193 +tp13423 +a(g826 +g1245 +tp13424 +a(g440 +g12068 +tp13425 +a(g826 +g1245 +tp13426 +a(g440 +g12240 +tp13427 +a(g826 +g1245 +tp13428 +a(g565 +V#t +p13429 +tp13430 +a(g705 +g1193 +tp13431 +a(g705 +g1193 +tp13432 +a(g826 +V\u000a +p13433 +tp13434 +a(g705 +g1172 +tp13435 +a(g573 +Vbegin +p13436 +tp13437 +a(g826 +V\u000a +p13438 +tp13439 +a(g705 +g1172 +tp13440 +a(g744 +Vlet +p13441 +tp13442 +a(g705 +g1172 +tp13443 +a(g705 +g1172 +tp13444 +a(g573 +Vanswer +p13445 +tp13446 +a(g826 +g1245 +tp13447 +a(g705 +g1172 +tp13448 +a(g573 +Vmake-undefined-variable +p13449 +tp13450 +a(g705 +g1193 +tp13451 +a(g705 +g1193 +tp13452 +a(g705 +g1193 +tp13453 +a(g826 +V\u000a +p13454 +tp13455 +a(g705 +g1172 +tp13456 +a(g573 +Vvariable-set-name-hint! +p13457 +tp13458 +a(g826 +g1245 +tp13459 +a(g440 +Vanswer +p13460 +tp13461 +a(g826 +g1245 +tp13462 +a(g440 +g12240 +tp13463 +a(g705 +g1193 +tp13464 +a(g826 +V\u000a +p13465 +tp13466 +a(g705 +g1172 +tp13467 +a(g573 +Vmodule-obarray-set! +p13468 +tp13469 +a(g826 +g1245 +tp13470 +a(g705 +g1172 +tp13471 +a(g573 +Vmodule-obarray +p13472 +tp13473 +a(g826 +g1245 +tp13474 +a(g440 +g12068 +tp13475 +a(g705 +g1193 +tp13476 +a(g826 +g1245 +tp13477 +a(g440 +g12240 +tp13478 +a(g826 +g1245 +tp13479 +a(g440 +Vanswer +p13480 +tp13481 +a(g705 +g1193 +tp13482 +a(g826 +V\u000a +p13483 +tp13484 +a(g705 +g1172 +tp13485 +a(g573 +Vmodule-modified +p13486 +tp13487 +a(g826 +g1245 +tp13488 +a(g440 +g12068 +tp13489 +a(g705 +g1193 +tp13490 +a(g826 +V\u000a +p13491 +tp13492 +a(g440 +Vanswer +p13493 +tp13494 +a(g705 +g1193 +tp13495 +a(g705 +g1193 +tp13496 +a(g705 +g1193 +tp13497 +a(g705 +g1193 +tp13498 +a(g826 +V\u000a\u000a +p13499 +tp13500 +a(g8 +V;; module-ensure-local-variable! module symbol +p13501 +tp13502 +a(g826 +V\u000a +p13503 +tp13504 +a(g8 +V;; +p13505 +tp13506 +a(g826 +V\u000a +p13507 +tp13508 +a(g8 +V;; Ensure that there is a local variable in MODULE for SYMBOL. If +p13509 +tp13510 +a(g826 +V\u000a +p13511 +tp13512 +a(g8 +V;; there is no binding for SYMBOL, create a new uninitialized +p13513 +tp13514 +a(g826 +V\u000a +p13515 +tp13516 +a(g8 +V;; variable. Return the local variable. +p13517 +tp13518 +a(g826 +V\u000a +p13519 +tp13520 +a(g8 +V;; +p13521 +tp13522 +a(g826 +V\u000a +p13523 +tp13524 +a(g705 +g1172 +tp13525 +a(g744 +Vdefine +p13526 +tp13527 +a(g705 +g1172 +tp13528 +a(g573 +Vmodule-ensure-local-variable! +p13529 +tp13530 +a(g826 +g1245 +tp13531 +a(g440 +Vmodule +p13532 +tp13533 +a(g826 +g1245 +tp13534 +a(g440 +Vsymbol +p13535 +tp13536 +a(g705 +g1193 +tp13537 +a(g826 +V\u000a +p13538 +tp13539 +a(g705 +g1172 +tp13540 +a(g744 +Vor +p13541 +tp13542 +a(g705 +g1172 +tp13543 +a(g573 +Vmodule-local-variable +p13544 +tp13545 +a(g826 +g1245 +tp13546 +a(g440 +Vmodule +p13547 +tp13548 +a(g826 +g1245 +tp13549 +a(g440 +Vsymbol +p13550 +tp13551 +a(g705 +g1193 +tp13552 +a(g826 +V\u000a +p13553 +tp13554 +a(g705 +g1172 +tp13555 +a(g744 +Vlet +p13556 +tp13557 +a(g705 +g1172 +tp13558 +a(g705 +g1172 +tp13559 +a(g573 +Vvar +p13560 +tp13561 +a(g826 +g1245 +tp13562 +a(g705 +g1172 +tp13563 +a(g573 +Vmake-undefined-variable +p13564 +tp13565 +a(g705 +g1193 +tp13566 +a(g705 +g1193 +tp13567 +a(g705 +g1193 +tp13568 +a(g826 +V\u000a +p13569 +tp13570 +a(g705 +g1172 +tp13571 +a(g573 +Vvariable-set-name-hint! +p13572 +tp13573 +a(g826 +g1245 +tp13574 +a(g440 +Vvar +p13575 +tp13576 +a(g826 +g1245 +tp13577 +a(g440 +Vsymbol +p13578 +tp13579 +a(g705 +g1193 +tp13580 +a(g826 +V\u000a +p13581 +tp13582 +a(g705 +g1172 +tp13583 +a(g573 +Vmodule-add! +p13584 +tp13585 +a(g826 +g1245 +tp13586 +a(g440 +Vmodule +p13587 +tp13588 +a(g826 +g1245 +tp13589 +a(g440 +Vsymbol +p13590 +tp13591 +a(g826 +g1245 +tp13592 +a(g440 +Vvar +p13593 +tp13594 +a(g705 +g1193 +tp13595 +a(g826 +V\u000a +p13596 +tp13597 +a(g440 +Vvar +p13598 +tp13599 +a(g705 +g1193 +tp13600 +a(g705 +g1193 +tp13601 +a(g705 +g1193 +tp13602 +a(g826 +V\u000a\u000a +p13603 +tp13604 +a(g8 +V;; module-add! module symbol var +p13605 +tp13606 +a(g826 +V\u000a +p13607 +tp13608 +a(g8 +V;; +p13609 +tp13610 +a(g826 +V\u000a +p13611 +tp13612 +a(g8 +V;; ensure a particular variable for V in the local namespace of M. +p13613 +tp13614 +a(g826 +V\u000a +p13615 +tp13616 +a(g8 +V;; +p13617 +tp13618 +a(g826 +V\u000a +p13619 +tp13620 +a(g705 +g1172 +tp13621 +a(g744 +Vdefine +p13622 +tp13623 +a(g705 +g1172 +tp13624 +a(g573 +Vmodule-add! +p13625 +tp13626 +a(g826 +g1245 +tp13627 +a(g440 +g12068 +tp13628 +a(g826 +g1245 +tp13629 +a(g440 +g12240 +tp13630 +a(g826 +g1245 +tp13631 +a(g440 +Vvar +p13632 +tp13633 +a(g705 +g1193 +tp13634 +a(g826 +V\u000a +p13635 +tp13636 +a(g705 +g1172 +tp13637 +a(g744 +Vif +p13638 +tp13639 +a(g705 +g1172 +tp13640 +a(g681 +Vnot +p13641 +tp13642 +a(g705 +g1172 +tp13643 +a(g573 +Vvariable? +p13644 +tp13645 +a(g826 +g1245 +tp13646 +a(g440 +Vvar +p13647 +tp13648 +a(g705 +g1193 +tp13649 +a(g705 +g1193 +tp13650 +a(g826 +V\u000a +p13651 +tp13652 +a(g705 +g1172 +tp13653 +a(g573 +Verror +p13654 +tp13655 +a(g826 +g1245 +tp13656 +a(g89 +V"Bad variable to module-add!" +p13657 +tp13658 +a(g826 +g1245 +tp13659 +a(g440 +Vvar +p13660 +tp13661 +a(g705 +g1193 +tp13662 +a(g705 +g1193 +tp13663 +a(g826 +V\u000a +p13664 +tp13665 +a(g705 +g1172 +tp13666 +a(g573 +Vmodule-obarray-set! +p13667 +tp13668 +a(g826 +g1245 +tp13669 +a(g705 +g1172 +tp13670 +a(g573 +Vmodule-obarray +p13671 +tp13672 +a(g826 +g1245 +tp13673 +a(g440 +g12068 +tp13674 +a(g705 +g1193 +tp13675 +a(g826 +g1245 +tp13676 +a(g440 +g12240 +tp13677 +a(g826 +g1245 +tp13678 +a(g440 +Vvar +p13679 +tp13680 +a(g705 +g1193 +tp13681 +a(g826 +V\u000a +p13682 +tp13683 +a(g705 +g1172 +tp13684 +a(g573 +Vmodule-modified +p13685 +tp13686 +a(g826 +g1245 +tp13687 +a(g440 +g12068 +tp13688 +a(g705 +g1193 +tp13689 +a(g705 +g1193 +tp13690 +a(g826 +V\u000a\u000a +p13691 +tp13692 +a(g8 +V;; module-remove! +p13693 +tp13694 +a(g826 +V\u000a +p13695 +tp13696 +a(g8 +V;; +p13697 +tp13698 +a(g826 +V\u000a +p13699 +tp13700 +a(g8 +V;; make sure that a symbol is undefined in the local namespace of M. +p13701 +tp13702 +a(g826 +V\u000a +p13703 +tp13704 +a(g8 +V;; +p13705 +tp13706 +a(g826 +V\u000a +p13707 +tp13708 +a(g705 +g1172 +tp13709 +a(g744 +Vdefine +p13710 +tp13711 +a(g705 +g1172 +tp13712 +a(g573 +Vmodule-remove! +p13713 +tp13714 +a(g826 +g1245 +tp13715 +a(g440 +g12068 +tp13716 +a(g826 +g1245 +tp13717 +a(g440 +g12240 +tp13718 +a(g705 +g1193 +tp13719 +a(g826 +V\u000a +p13720 +tp13721 +a(g705 +g1172 +tp13722 +a(g573 +Vmodule-obarray-remove! +p13723 +tp13724 +a(g826 +V +p13725 +tp13726 +a(g705 +g1172 +tp13727 +a(g573 +Vmodule-obarray +p13728 +tp13729 +a(g826 +g1245 +tp13730 +a(g440 +g12068 +tp13731 +a(g705 +g1193 +tp13732 +a(g826 +g1245 +tp13733 +a(g440 +g12240 +tp13734 +a(g705 +g1193 +tp13735 +a(g826 +V\u000a +p13736 +tp13737 +a(g705 +g1172 +tp13738 +a(g573 +Vmodule-modified +p13739 +tp13740 +a(g826 +g1245 +tp13741 +a(g440 +g12068 +tp13742 +a(g705 +g1193 +tp13743 +a(g705 +g1193 +tp13744 +a(g826 +V\u000a\u000a +p13745 +tp13746 +a(g705 +g1172 +tp13747 +a(g744 +Vdefine +p13748 +tp13749 +a(g705 +g1172 +tp13750 +a(g573 +Vmodule-clear! +p13751 +tp13752 +a(g826 +g1245 +tp13753 +a(g440 +g12068 +tp13754 +a(g705 +g1193 +tp13755 +a(g826 +V\u000a +p13756 +tp13757 +a(g705 +g1172 +tp13758 +a(g681 +Vvector-fill! +p13759 +tp13760 +a(g705 +g1172 +tp13761 +a(g573 +Vmodule-obarray +p13762 +tp13763 +a(g826 +g1245 +tp13764 +a(g440 +g12068 +tp13765 +a(g705 +g1193 +tp13766 +a(g826 +g1245 +tp13767 +a(g412 +g1697 +tp13768 +a(g705 +g1172 +tp13769 +a(g705 +g1193 +tp13770 +a(g705 +g1193 +tp13771 +a(g826 +V\u000a +p13772 +tp13773 +a(g705 +g1172 +tp13774 +a(g573 +Vmodule-modified +p13775 +tp13776 +a(g826 +g1245 +tp13777 +a(g440 +g12068 +tp13778 +a(g705 +g1193 +tp13779 +a(g705 +g1193 +tp13780 +a(g826 +V\u000a\u000a +p13781 +tp13782 +a(g8 +V;; MODULE-FOR-EACH -- exported +p13783 +tp13784 +a(g826 +V\u000a +p13785 +tp13786 +a(g8 +V;; +p13787 +tp13788 +a(g826 +V\u000a +p13789 +tp13790 +a(g8 +V;; Call PROC on each symbol in MODULE, with arguments of (SYMBOL VARIABLE). +p13791 +tp13792 +a(g826 +V\u000a +p13793 +tp13794 +a(g8 +V;; +p13795 +tp13796 +a(g826 +V\u000a +p13797 +tp13798 +a(g705 +g1172 +tp13799 +a(g744 +Vdefine +p13800 +tp13801 +a(g705 +g1172 +tp13802 +a(g573 +Vmodule-for-each +p13803 +tp13804 +a(g826 +g1245 +tp13805 +a(g440 +Vproc +p13806 +tp13807 +a(g826 +g1245 +tp13808 +a(g440 +Vmodule +p13809 +tp13810 +a(g705 +g1193 +tp13811 +a(g826 +V\u000a +p13812 +tp13813 +a(g705 +g1172 +tp13814 +a(g744 +Vlet +p13815 +tp13816 +a(g705 +g1172 +tp13817 +a(g705 +g1172 +tp13818 +a(g573 +Vobarray +p13819 +tp13820 +a(g826 +g1245 +tp13821 +a(g705 +g1172 +tp13822 +a(g573 +Vmodule-obarray +p13823 +tp13824 +a(g826 +g1245 +tp13825 +a(g440 +Vmodule +p13826 +tp13827 +a(g705 +g1193 +tp13828 +a(g705 +g1193 +tp13829 +a(g705 +g1193 +tp13830 +a(g826 +V\u000a +p13831 +tp13832 +a(g705 +g1172 +tp13833 +a(g744 +Vdo +p13834 +tp13835 +a(g705 +g1172 +tp13836 +a(g705 +g1172 +tp13837 +a(g573 +Vindex +p13838 +tp13839 +a(g826 +g1245 +tp13840 +a(g37 +g2857 +tp13841 +a(g826 +g1245 +tp13842 +a(g705 +g1172 +tp13843 +a(g681 +V+ +p13844 +tp13845 +a(g440 +Vindex +p13846 +tp13847 +a(g826 +g1245 +tp13848 +a(g37 +g1609 +tp13849 +a(g705 +g1193 +tp13850 +a(g705 +g1193 +tp13851 +a(g826 +V\u000a +p13852 +tp13853 +a(g705 +g1172 +tp13854 +a(g573 +Vend +p13855 +tp13856 +a(g826 +g1245 +tp13857 +a(g705 +g1172 +tp13858 +a(g681 +Vvector-length +p13859 +tp13860 +a(g440 +Vobarray +p13861 +tp13862 +a(g705 +g1193 +tp13863 +a(g705 +g1193 +tp13864 +a(g705 +g1193 +tp13865 +a(g826 +V\u000a +p13866 +tp13867 +a(g705 +g1172 +tp13868 +a(g705 +g1172 +tp13869 +a(g681 +V= +p13870 +tp13871 +a(g440 +Vindex +p13872 +tp13873 +a(g826 +g1245 +tp13874 +a(g440 +Vend +p13875 +tp13876 +a(g705 +g1193 +tp13877 +a(g705 +g1193 +tp13878 +a(g826 +V\u000a +p13879 +tp13880 +a(g705 +g1172 +tp13881 +a(g573 +Vfor-each +p13882 +tp13883 +a(g826 +V\u000a +p13884 +tp13885 +a(g705 +g1172 +tp13886 +a(g744 +Vlambda +p13887 +tp13888 +a(g705 +g1172 +tp13889 +a(g573 +Vbucket +p13890 +tp13891 +a(g705 +g1193 +tp13892 +a(g826 +V\u000a +p13893 +tp13894 +a(g705 +g1172 +tp13895 +a(g573 +Vproc +p13896 +tp13897 +a(g826 +g1245 +tp13898 +a(g705 +g1172 +tp13899 +a(g681 +Vcar +p13900 +tp13901 +a(g440 +Vbucket +p13902 +tp13903 +a(g705 +g1193 +tp13904 +a(g826 +g1245 +tp13905 +a(g705 +g1172 +tp13906 +a(g681 +Vcdr +p13907 +tp13908 +a(g440 +Vbucket +p13909 +tp13910 +a(g705 +g1193 +tp13911 +a(g705 +g1193 +tp13912 +a(g705 +g1193 +tp13913 +a(g826 +V\u000a +p13914 +tp13915 +a(g705 +g1172 +tp13916 +a(g681 +Vvector-ref +p13917 +tp13918 +a(g440 +Vobarray +p13919 +tp13920 +a(g826 +g1245 +tp13921 +a(g440 +Vindex +p13922 +tp13923 +a(g705 +g1193 +tp13924 +a(g705 +g1193 +tp13925 +a(g705 +g1193 +tp13926 +a(g705 +g1193 +tp13927 +a(g705 +g1193 +tp13928 +a(g826 +V\u000a\u000a\u000a +p13929 +tp13930 +a(g705 +g1172 +tp13931 +a(g744 +Vdefine +p13932 +tp13933 +a(g705 +g1172 +tp13934 +a(g573 +Vmodule-map +p13935 +tp13936 +a(g826 +g1245 +tp13937 +a(g440 +Vproc +p13938 +tp13939 +a(g826 +g1245 +tp13940 +a(g440 +Vmodule +p13941 +tp13942 +a(g705 +g1193 +tp13943 +a(g826 +V\u000a +p13944 +tp13945 +a(g705 +g1172 +tp13946 +a(g744 +Vlet* +p13947 +tp13948 +a(g705 +g1172 +tp13949 +a(g705 +g1172 +tp13950 +a(g573 +Vobarray +p13951 +tp13952 +a(g826 +g1245 +tp13953 +a(g705 +g1172 +tp13954 +a(g573 +Vmodule-obarray +p13955 +tp13956 +a(g826 +g1245 +tp13957 +a(g440 +Vmodule +p13958 +tp13959 +a(g705 +g1193 +tp13960 +a(g705 +g1193 +tp13961 +a(g826 +V\u000a +p13962 +tp13963 +a(g705 +g1172 +tp13964 +a(g573 +Vend +p13965 +tp13966 +a(g826 +g1245 +tp13967 +a(g705 +g1172 +tp13968 +a(g681 +Vvector-length +p13969 +tp13970 +a(g440 +Vobarray +p13971 +tp13972 +a(g705 +g1193 +tp13973 +a(g705 +g1193 +tp13974 +a(g705 +g1193 +tp13975 +a(g826 +V\u000a\u000a +p13976 +tp13977 +a(g705 +g1172 +tp13978 +a(g744 +Vlet +p13979 +tp13980 +a(g440 +Vloop +p13981 +tp13982 +a(g826 +g1245 +tp13983 +a(g705 +g1172 +tp13984 +a(g705 +g1172 +tp13985 +a(g573 +Vi +p13986 +tp13987 +a(g826 +g1245 +tp13988 +a(g37 +g2857 +tp13989 +a(g705 +g1193 +tp13990 +a(g826 +V\u000a +p13991 +tp13992 +a(g705 +g1172 +tp13993 +a(g573 +Vanswer +p13994 +tp13995 +a(g826 +g1245 +tp13996 +a(g412 +g1697 +tp13997 +a(g705 +g1172 +tp13998 +a(g705 +g1193 +tp13999 +a(g705 +g1193 +tp14000 +a(g705 +g1193 +tp14001 +a(g826 +V\u000a +p14002 +tp14003 +a(g705 +g1172 +tp14004 +a(g744 +Vif +p14005 +tp14006 +a(g705 +g1172 +tp14007 +a(g681 +V= +p14008 +tp14009 +a(g440 +g13986 +tp14010 +a(g826 +g1245 +tp14011 +a(g440 +Vend +p14012 +tp14013 +a(g705 +g1193 +tp14014 +a(g826 +V\u000a +p14015 +tp14016 +a(g440 +Vanswer +p14017 +tp14018 +a(g826 +V\u000a +p14019 +tp14020 +a(g705 +g1172 +tp14021 +a(g573 +Vloop +p14022 +tp14023 +a(g826 +g1245 +tp14024 +a(g705 +g1172 +tp14025 +a(g681 +V+ +p14026 +tp14027 +a(g37 +g1609 +tp14028 +a(g826 +g1245 +tp14029 +a(g440 +g13986 +tp14030 +a(g705 +g1193 +tp14031 +a(g826 +V\u000a +p14032 +tp14033 +a(g705 +g1172 +tp14034 +a(g573 +Vappend! +p14035 +tp14036 +a(g826 +V\u000a +p14037 +tp14038 +a(g705 +g1172 +tp14039 +a(g681 +Vmap +p14040 +tp14041 +a(g705 +g1172 +tp14042 +a(g744 +Vlambda +p14043 +tp14044 +a(g705 +g1172 +tp14045 +a(g573 +Vbucket +p14046 +tp14047 +a(g705 +g1193 +tp14048 +a(g826 +V\u000a +p14049 +tp14050 +a(g705 +g1172 +tp14051 +a(g573 +Vproc +p14052 +tp14053 +a(g826 +g1245 +tp14054 +a(g705 +g1172 +tp14055 +a(g681 +Vcar +p14056 +tp14057 +a(g440 +Vbucket +p14058 +tp14059 +a(g705 +g1193 +tp14060 +a(g826 +g1245 +tp14061 +a(g705 +g1172 +tp14062 +a(g681 +Vcdr +p14063 +tp14064 +a(g440 +Vbucket +p14065 +tp14066 +a(g705 +g1193 +tp14067 +a(g705 +g1193 +tp14068 +a(g705 +g1193 +tp14069 +a(g826 +V\u000a +p14070 +tp14071 +a(g705 +g1172 +tp14072 +a(g681 +Vvector-ref +p14073 +tp14074 +a(g440 +Vobarray +p14075 +tp14076 +a(g826 +g1245 +tp14077 +a(g440 +g13986 +tp14078 +a(g705 +g1193 +tp14079 +a(g705 +g1193 +tp14080 +a(g826 +V\u000a +p14081 +tp14082 +a(g440 +Vanswer +p14083 +tp14084 +a(g705 +g1193 +tp14085 +a(g705 +g1193 +tp14086 +a(g705 +g1193 +tp14087 +a(g705 +g1193 +tp14088 +a(g705 +g1193 +tp14089 +a(g705 +g1193 +tp14090 +a(g826 +V\u000a \u000a\u000a +p14091 +tp14092 +a(g8 +V;;; {Low Level Bootstrapping} +p14093 +tp14094 +a(g826 +V\u000a +p14095 +tp14096 +a(g8 +V;;; +p14097 +tp14098 +a(g826 +V\u000a\u000a +p14099 +tp14100 +a(g8 +V;; make-root-module +p14101 +tp14102 +a(g826 +V\u000a\u000a +p14103 +tp14104 +a(g8 +V;; A root module uses the pre-modules-obarray as its obarray. This +p14105 +tp14106 +a(g826 +V\u000a +p14107 +tp14108 +a(g8 +V;; special obarray accumulates all bindings that have been established +p14109 +tp14110 +a(g826 +V\u000a +p14111 +tp14112 +a(g8 +V;; before the module system is fully booted. +p14113 +tp14114 +a(g826 +V\u000a +p14115 +tp14116 +a(g8 +V;; +p14117 +tp14118 +a(g826 +V\u000a +p14119 +tp14120 +a(g8 +V;; (The obarray continues to be used by code that has been closed over +p14121 +tp14122 +a(g826 +V\u000a +p14123 +tp14124 +a(g8 +V;; before the module system has been booted.) +p14125 +tp14126 +a(g826 +V\u000a\u000a +p14127 +tp14128 +a(g705 +g1172 +tp14129 +a(g744 +Vdefine +p14130 +tp14131 +a(g705 +g1172 +tp14132 +a(g573 +Vmake-root-module +p14133 +tp14134 +a(g705 +g1193 +tp14135 +a(g826 +V\u000a +p14136 +tp14137 +a(g705 +g1172 +tp14138 +a(g744 +Vlet +p14139 +tp14140 +a(g705 +g1172 +tp14141 +a(g705 +g1172 +tp14142 +a(g573 +g12068 +tp14143 +a(g826 +g1245 +tp14144 +a(g705 +g1172 +tp14145 +a(g573 +Vmake-module +p14146 +tp14147 +a(g826 +g1245 +tp14148 +a(g37 +g2857 +tp14149 +a(g705 +g1193 +tp14150 +a(g705 +g1193 +tp14151 +a(g705 +g1193 +tp14152 +a(g826 +V\u000a +p14153 +tp14154 +a(g705 +g1172 +tp14155 +a(g573 +Vset-module-obarray! +p14156 +tp14157 +a(g826 +g1245 +tp14158 +a(g440 +g12068 +tp14159 +a(g826 +g1245 +tp14160 +a(g705 +g1172 +tp14161 +a(g573 +V%get-pre-modules-obarray +p14162 +tp14163 +a(g705 +g1193 +tp14164 +a(g705 +g1193 +tp14165 +a(g826 +V\u000a +p14166 +tp14167 +a(g440 +g12068 +tp14168 +a(g705 +g1193 +tp14169 +a(g705 +g1193 +tp14170 +a(g826 +V\u000a\u000a +p14171 +tp14172 +a(g8 +V;; make-scm-module +p14173 +tp14174 +a(g826 +V\u000a\u000a +p14175 +tp14176 +a(g8 +V;; The root interface is a module that uses the same obarray as the +p14177 +tp14178 +a(g826 +V\u000a +p14179 +tp14180 +a(g8 +V;; root module. It does not allow new definitions, tho. +p14181 +tp14182 +a(g826 +V\u000a\u000a +p14183 +tp14184 +a(g705 +g1172 +tp14185 +a(g744 +Vdefine +p14186 +tp14187 +a(g705 +g1172 +tp14188 +a(g573 +Vmake-scm-module +p14189 +tp14190 +a(g705 +g1193 +tp14191 +a(g826 +V\u000a +p14192 +tp14193 +a(g705 +g1172 +tp14194 +a(g744 +Vlet +p14195 +tp14196 +a(g705 +g1172 +tp14197 +a(g705 +g1172 +tp14198 +a(g573 +g12068 +tp14199 +a(g826 +g1245 +tp14200 +a(g705 +g1172 +tp14201 +a(g573 +Vmake-module +p14202 +tp14203 +a(g826 +g1245 +tp14204 +a(g37 +g2857 +tp14205 +a(g705 +g1193 +tp14206 +a(g705 +g1193 +tp14207 +a(g705 +g1193 +tp14208 +a(g826 +V\u000a +p14209 +tp14210 +a(g705 +g1172 +tp14211 +a(g573 +Vset-module-obarray! +p14212 +tp14213 +a(g826 +g1245 +tp14214 +a(g440 +g12068 +tp14215 +a(g826 +g1245 +tp14216 +a(g705 +g1172 +tp14217 +a(g573 +V%get-pre-modules-obarray +p14218 +tp14219 +a(g705 +g1193 +tp14220 +a(g705 +g1193 +tp14221 +a(g826 +V\u000a +p14222 +tp14223 +a(g705 +g1172 +tp14224 +a(g573 +Vset-module-eval-closure! +p14225 +tp14226 +a(g826 +g1245 +tp14227 +a(g440 +g12068 +tp14228 +a(g826 +g1245 +tp14229 +a(g705 +g1172 +tp14230 +a(g573 +Vstandard-interface-eval-closure +p14231 +tp14232 +a(g826 +g1245 +tp14233 +a(g440 +g12068 +tp14234 +a(g705 +g1193 +tp14235 +a(g705 +g1193 +tp14236 +a(g826 +V\u000a +p14237 +tp14238 +a(g440 +g12068 +tp14239 +a(g705 +g1193 +tp14240 +a(g705 +g1193 +tp14241 +a(g826 +V\u000a\u000a\u000a \u000a +p14242 +tp14243 +a(g8 +V;;; {Module-based Loading} +p14244 +tp14245 +a(g826 +V\u000a +p14246 +tp14247 +a(g8 +V;;; +p14248 +tp14249 +a(g826 +V\u000a\u000a +p14250 +tp14251 +a(g705 +g1172 +tp14252 +a(g744 +Vdefine +p14253 +tp14254 +a(g705 +g1172 +tp14255 +a(g573 +Vsave-module-excursion +p14256 +tp14257 +a(g826 +g1245 +tp14258 +a(g440 +Vthunk +p14259 +tp14260 +a(g705 +g1193 +tp14261 +a(g826 +V\u000a +p14262 +tp14263 +a(g705 +g1172 +tp14264 +a(g744 +Vlet +p14265 +tp14266 +a(g705 +g1172 +tp14267 +a(g705 +g1172 +tp14268 +a(g573 +Vinner-module +p14269 +tp14270 +a(g826 +g1245 +tp14271 +a(g705 +g1172 +tp14272 +a(g573 +Vcurrent-module +p14273 +tp14274 +a(g705 +g1193 +tp14275 +a(g705 +g1193 +tp14276 +a(g826 +V\u000a +p14277 +tp14278 +a(g705 +g1172 +tp14279 +a(g573 +Vouter-module +p14280 +tp14281 +a(g826 +g1245 +tp14282 +a(g565 +V#f +p14283 +tp14284 +a(g705 +g1193 +tp14285 +a(g705 +g1193 +tp14286 +a(g826 +V\u000a +p14287 +tp14288 +a(g705 +g1172 +tp14289 +a(g681 +Vdynamic-wind +p14290 +tp14291 +a(g705 +g1172 +tp14292 +a(g744 +Vlambda +p14293 +tp14294 +a(g705 +g1172 +tp14295 +a(g705 +g1193 +tp14296 +a(g826 +V\u000a +p14297 +tp14298 +a(g705 +g1172 +tp14299 +a(g744 +Vset! +p14300 +tp14301 +a(g440 +Vouter-module +p14302 +tp14303 +a(g826 +g1245 +tp14304 +a(g705 +g1172 +tp14305 +a(g573 +Vcurrent-module +p14306 +tp14307 +a(g705 +g1193 +tp14308 +a(g705 +g1193 +tp14309 +a(g826 +V\u000a +p14310 +tp14311 +a(g705 +g1172 +tp14312 +a(g573 +Vset-current-module +p14313 +tp14314 +a(g826 +g1245 +tp14315 +a(g440 +Vinner-module +p14316 +tp14317 +a(g705 +g1193 +tp14318 +a(g826 +V\u000a +p14319 +tp14320 +a(g705 +g1172 +tp14321 +a(g744 +Vset! +p14322 +tp14323 +a(g440 +Vinner-module +p14324 +tp14325 +a(g826 +g1245 +tp14326 +a(g565 +V#f +p14327 +tp14328 +a(g705 +g1193 +tp14329 +a(g705 +g1193 +tp14330 +a(g826 +V\u000a +p14331 +tp14332 +a(g440 +Vthunk +p14333 +tp14334 +a(g826 +V\u000a +p14335 +tp14336 +a(g705 +g1172 +tp14337 +a(g744 +Vlambda +p14338 +tp14339 +a(g705 +g1172 +tp14340 +a(g705 +g1193 +tp14341 +a(g826 +V\u000a +p14342 +tp14343 +a(g705 +g1172 +tp14344 +a(g744 +Vset! +p14345 +tp14346 +a(g440 +Vinner-module +p14347 +tp14348 +a(g826 +g1245 +tp14349 +a(g705 +g1172 +tp14350 +a(g573 +Vcurrent-module +p14351 +tp14352 +a(g705 +g1193 +tp14353 +a(g705 +g1193 +tp14354 +a(g826 +V\u000a +p14355 +tp14356 +a(g705 +g1172 +tp14357 +a(g573 +Vset-current-module +p14358 +tp14359 +a(g826 +g1245 +tp14360 +a(g440 +Vouter-module +p14361 +tp14362 +a(g705 +g1193 +tp14363 +a(g826 +V\u000a +p14364 +tp14365 +a(g705 +g1172 +tp14366 +a(g744 +Vset! +p14367 +tp14368 +a(g440 +Vouter-module +p14369 +tp14370 +a(g826 +g1245 +tp14371 +a(g565 +V#f +p14372 +tp14373 +a(g705 +g1193 +tp14374 +a(g705 +g1193 +tp14375 +a(g705 +g1193 +tp14376 +a(g705 +g1193 +tp14377 +a(g705 +g1193 +tp14378 +a(g826 +V\u000a\u000a +p14379 +tp14380 +a(g705 +g1172 +tp14381 +a(g744 +Vdefine +p14382 +tp14383 +a(g440 +Vbasic-load +p14384 +tp14385 +a(g826 +g1245 +tp14386 +a(g440 +Vload +p14387 +tp14388 +a(g705 +g1193 +tp14389 +a(g826 +V\u000a\u000a +p14390 +tp14391 +a(g705 +g1172 +tp14392 +a(g744 +Vdefine +p14393 +tp14394 +a(g705 +g1172 +tp14395 +a(g573 +Vload-module +p14396 +tp14397 +a(g826 +g1245 +tp14398 +a(g440 +Vfilename +p14399 +tp14400 +a(g705 +g1193 +tp14401 +a(g826 +V\u000a +p14402 +tp14403 +a(g705 +g1172 +tp14404 +a(g573 +Vsave-module-excursion +p14405 +tp14406 +a(g826 +V\u000a +p14407 +tp14408 +a(g705 +g1172 +tp14409 +a(g744 +Vlambda +p14410 +tp14411 +a(g705 +g1172 +tp14412 +a(g705 +g1193 +tp14413 +a(g826 +V\u000a +p14414 +tp14415 +a(g705 +g1172 +tp14416 +a(g744 +Vlet +p14417 +tp14418 +a(g705 +g1172 +tp14419 +a(g705 +g1172 +tp14420 +a(g573 +Voldname +p14421 +tp14422 +a(g826 +g1245 +tp14423 +a(g705 +g1172 +tp14424 +a(g744 +Vand +p14425 +tp14426 +a(g705 +g1172 +tp14427 +a(g573 +Vcurrent-load-port +p14428 +tp14429 +a(g705 +g1193 +tp14430 +a(g826 +V\u000a +p14431 +tp14432 +a(g705 +g1172 +tp14433 +a(g573 +Vport-filename +p14434 +tp14435 +a(g826 +g1245 +tp14436 +a(g705 +g1172 +tp14437 +a(g573 +Vcurrent-load-port +p14438 +tp14439 +a(g705 +g1193 +tp14440 +a(g705 +g1193 +tp14441 +a(g705 +g1193 +tp14442 +a(g705 +g1193 +tp14443 +a(g705 +g1193 +tp14444 +a(g826 +V\u000a +p14445 +tp14446 +a(g705 +g1172 +tp14447 +a(g573 +Vbasic-load +p14448 +tp14449 +a(g826 +g1245 +tp14450 +a(g705 +g1172 +tp14451 +a(g744 +Vif +p14452 +tp14453 +a(g705 +g1172 +tp14454 +a(g744 +Vand +p14455 +tp14456 +a(g440 +Voldname +p14457 +tp14458 +a(g826 +V\u000a +p14459 +tp14460 +a(g705 +g1172 +tp14461 +a(g681 +V> +p14462 +tp14463 +a(g705 +g1172 +tp14464 +a(g681 +Vstring-length +p14465 +tp14466 +a(g440 +Vfilename +p14467 +tp14468 +a(g705 +g1193 +tp14469 +a(g826 +g1245 +tp14470 +a(g37 +g2857 +tp14471 +a(g705 +g1193 +tp14472 +a(g826 +V\u000a +p14473 +tp14474 +a(g705 +g1172 +tp14475 +a(g681 +Vnot +p14476 +tp14477 +a(g705 +g1172 +tp14478 +a(g681 +Vchar=? +p14479 +tp14480 +a(g705 +g1172 +tp14481 +a(g681 +Vstring-ref +p14482 +tp14483 +a(g440 +Vfilename +p14484 +tp14485 +a(g826 +g1245 +tp14486 +a(g37 +g2857 +tp14487 +a(g705 +g1193 +tp14488 +a(g826 +g1245 +tp14489 +a(g93 +V#\u005c/ +p14490 +tp14491 +a(g705 +g1193 +tp14492 +a(g705 +g1193 +tp14493 +a(g826 +V\u000a +p14494 +tp14495 +a(g705 +g1172 +tp14496 +a(g681 +Vnot +p14497 +tp14498 +a(g705 +g1172 +tp14499 +a(g681 +Vstring=? +p14500 +tp14501 +a(g705 +g1172 +tp14502 +a(g573 +Vdirname +p14503 +tp14504 +a(g826 +g1245 +tp14505 +a(g440 +Voldname +p14506 +tp14507 +a(g705 +g1193 +tp14508 +a(g826 +g1245 +tp14509 +a(g89 +V"." +p14510 +tp14511 +a(g705 +g1193 +tp14512 +a(g705 +g1193 +tp14513 +a(g705 +g1193 +tp14514 +a(g826 +V\u000a +p14515 +tp14516 +a(g705 +g1172 +tp14517 +a(g681 +Vstring-append +p14518 +tp14519 +a(g705 +g1172 +tp14520 +a(g573 +Vdirname +p14521 +tp14522 +a(g826 +g1245 +tp14523 +a(g440 +Voldname +p14524 +tp14525 +a(g705 +g1193 +tp14526 +a(g826 +g1245 +tp14527 +a(g89 +V"/" +p14528 +tp14529 +a(g826 +g1245 +tp14530 +a(g440 +Vfilename +p14531 +tp14532 +a(g705 +g1193 +tp14533 +a(g826 +V\u000a +p14534 +tp14535 +a(g440 +Vfilename +p14536 +tp14537 +a(g705 +g1193 +tp14538 +a(g705 +g1193 +tp14539 +a(g705 +g1193 +tp14540 +a(g705 +g1193 +tp14541 +a(g705 +g1193 +tp14542 +a(g705 +g1193 +tp14543 +a(g826 +V\u000a\u000a\u000a \u000a +p14544 +tp14545 +a(g8 +V;;; {MODULE-REF -- exported} +p14546 +tp14547 +a(g826 +V\u000a +p14548 +tp14549 +a(g8 +V;; +p14550 +tp14551 +a(g826 +V\u000a +p14552 +tp14553 +a(g8 +V;; Returns the value of a variable called NAME in MODULE or any of its +p14554 +tp14555 +a(g826 +V\u000a +p14556 +tp14557 +a(g8 +V;; used modules. If there is no such variable, then if the optional third +p14558 +tp14559 +a(g826 +V\u000a +p14560 +tp14561 +a(g8 +V;; argument DEFAULT is present, it is returned; otherwise an error is signaled. +p14562 +tp14563 +a(g826 +V\u000a +p14564 +tp14565 +a(g8 +V;; +p14566 +tp14567 +a(g826 +V\u000a +p14568 +tp14569 +a(g705 +g1172 +tp14570 +a(g744 +Vdefine +p14571 +tp14572 +a(g705 +g1172 +tp14573 +a(g573 +Vmodule-ref +p14574 +tp14575 +a(g826 +g1245 +tp14576 +a(g440 +Vmodule +p14577 +tp14578 +a(g826 +g1245 +tp14579 +a(g440 +Vname +p14580 +tp14581 +a(g826 +g1245 +tp14582 +a(g412 +g1446 +tp14583 +a(g826 +g1245 +tp14584 +a(g440 +Vrest +p14585 +tp14586 +a(g705 +g1193 +tp14587 +a(g826 +V\u000a +p14588 +tp14589 +a(g705 +g1172 +tp14590 +a(g744 +Vlet +p14591 +tp14592 +a(g705 +g1172 +tp14593 +a(g705 +g1172 +tp14594 +a(g573 +Vvariable +p14595 +tp14596 +a(g826 +g1245 +tp14597 +a(g705 +g1172 +tp14598 +a(g573 +Vmodule-variable +p14599 +tp14600 +a(g826 +g1245 +tp14601 +a(g440 +Vmodule +p14602 +tp14603 +a(g826 +g1245 +tp14604 +a(g440 +Vname +p14605 +tp14606 +a(g705 +g1193 +tp14607 +a(g705 +g1193 +tp14608 +a(g705 +g1193 +tp14609 +a(g826 +V\u000a +p14610 +tp14611 +a(g705 +g1172 +tp14612 +a(g744 +Vif +p14613 +tp14614 +a(g705 +g1172 +tp14615 +a(g744 +Vand +p14616 +tp14617 +a(g440 +Vvariable +p14618 +tp14619 +a(g826 +g1245 +tp14620 +a(g705 +g1172 +tp14621 +a(g573 +Vvariable-bound? +p14622 +tp14623 +a(g826 +g1245 +tp14624 +a(g440 +Vvariable +p14625 +tp14626 +a(g705 +g1193 +tp14627 +a(g705 +g1193 +tp14628 +a(g826 +V\u000a +p14629 +tp14630 +a(g705 +g1172 +tp14631 +a(g573 +Vvariable-ref +p14632 +tp14633 +a(g826 +g1245 +tp14634 +a(g440 +Vvariable +p14635 +tp14636 +a(g705 +g1193 +tp14637 +a(g826 +V\u000a +p14638 +tp14639 +a(g705 +g1172 +tp14640 +a(g744 +Vif +p14641 +tp14642 +a(g705 +g1172 +tp14643 +a(g681 +Vnull? +p14644 +tp14645 +a(g440 +Vrest +p14646 +tp14647 +a(g705 +g1193 +tp14648 +a(g826 +V\u000a +p14649 +tp14650 +a(g705 +g1172 +tp14651 +a(g573 +Verror +p14652 +tp14653 +a(g826 +g1245 +tp14654 +a(g89 +V"No variable named" +p14655 +tp14656 +a(g826 +g1245 +tp14657 +a(g440 +Vname +p14658 +tp14659 +a(g826 +g1245 +tp14660 +a(g117 +V'in +p14661 +tp14662 +a(g826 +g1245 +tp14663 +a(g440 +Vmodule +p14664 +tp14665 +a(g705 +g1193 +tp14666 +a(g826 +V\u000a +p14667 +tp14668 +a(g705 +g1172 +tp14669 +a(g681 +Vcar +p14670 +tp14671 +a(g440 +Vrest +p14672 +tp14673 +a(g705 +g1193 +tp14674 +a(g826 +V +p14675 +tp14676 +a(g8 +V; default value +p14677 +tp14678 +a(g826 +V\u000a +p14679 +tp14680 +a(g705 +g1193 +tp14681 +a(g705 +g1193 +tp14682 +a(g705 +g1193 +tp14683 +a(g705 +g1193 +tp14684 +a(g826 +V\u000a\u000a +p14685 +tp14686 +a(g8 +V;; MODULE-SET! -- exported +p14687 +tp14688 +a(g826 +V\u000a +p14689 +tp14690 +a(g8 +V;; +p14691 +tp14692 +a(g826 +V\u000a +p14693 +tp14694 +a(g8 +V;; Sets the variable called NAME in MODULE (or in a module that MODULE uses) +p14695 +tp14696 +a(g826 +V\u000a +p14697 +tp14698 +a(g8 +V;; to VALUE; if there is no such variable, an error is signaled. +p14699 +tp14700 +a(g826 +V\u000a +p14701 +tp14702 +a(g8 +V;; +p14703 +tp14704 +a(g826 +V\u000a +p14705 +tp14706 +a(g705 +g1172 +tp14707 +a(g744 +Vdefine +p14708 +tp14709 +a(g705 +g1172 +tp14710 +a(g573 +Vmodule-set! +p14711 +tp14712 +a(g826 +g1245 +tp14713 +a(g440 +Vmodule +p14714 +tp14715 +a(g826 +g1245 +tp14716 +a(g440 +Vname +p14717 +tp14718 +a(g826 +g1245 +tp14719 +a(g440 +Vvalue +p14720 +tp14721 +a(g705 +g1193 +tp14722 +a(g826 +V\u000a +p14723 +tp14724 +a(g705 +g1172 +tp14725 +a(g744 +Vlet +p14726 +tp14727 +a(g705 +g1172 +tp14728 +a(g705 +g1172 +tp14729 +a(g573 +Vvariable +p14730 +tp14731 +a(g826 +g1245 +tp14732 +a(g705 +g1172 +tp14733 +a(g573 +Vmodule-variable +p14734 +tp14735 +a(g826 +g1245 +tp14736 +a(g440 +Vmodule +p14737 +tp14738 +a(g826 +g1245 +tp14739 +a(g440 +Vname +p14740 +tp14741 +a(g705 +g1193 +tp14742 +a(g705 +g1193 +tp14743 +a(g705 +g1193 +tp14744 +a(g826 +V\u000a +p14745 +tp14746 +a(g705 +g1172 +tp14747 +a(g744 +Vif +p14748 +tp14749 +a(g440 +Vvariable +p14750 +tp14751 +a(g826 +V\u000a +p14752 +tp14753 +a(g705 +g1172 +tp14754 +a(g573 +Vvariable-set! +p14755 +tp14756 +a(g826 +g1245 +tp14757 +a(g440 +Vvariable +p14758 +tp14759 +a(g826 +g1245 +tp14760 +a(g440 +Vvalue +p14761 +tp14762 +a(g705 +g1193 +tp14763 +a(g826 +V\u000a +p14764 +tp14765 +a(g705 +g1172 +tp14766 +a(g573 +Verror +p14767 +tp14768 +a(g826 +g1245 +tp14769 +a(g89 +V"No variable named" +p14770 +tp14771 +a(g826 +g1245 +tp14772 +a(g440 +Vname +p14773 +tp14774 +a(g826 +g1245 +tp14775 +a(g117 +V'in +p14776 +tp14777 +a(g826 +g1245 +tp14778 +a(g440 +Vmodule +p14779 +tp14780 +a(g705 +g1193 +tp14781 +a(g705 +g1193 +tp14782 +a(g705 +g1193 +tp14783 +a(g705 +g1193 +tp14784 +a(g826 +V\u000a\u000a +p14785 +tp14786 +a(g8 +V;; MODULE-DEFINE! -- exported +p14787 +tp14788 +a(g826 +V\u000a +p14789 +tp14790 +a(g8 +V;; +p14791 +tp14792 +a(g826 +V\u000a +p14793 +tp14794 +a(g8 +V;; Sets the variable called NAME in MODULE to VALUE; if there is no such +p14795 +tp14796 +a(g826 +V\u000a +p14797 +tp14798 +a(g8 +V;; variable, it is added first. +p14799 +tp14800 +a(g826 +V\u000a +p14801 +tp14802 +a(g8 +V;; +p14803 +tp14804 +a(g826 +V\u000a +p14805 +tp14806 +a(g705 +g1172 +tp14807 +a(g744 +Vdefine +p14808 +tp14809 +a(g705 +g1172 +tp14810 +a(g573 +Vmodule-define! +p14811 +tp14812 +a(g826 +g1245 +tp14813 +a(g440 +Vmodule +p14814 +tp14815 +a(g826 +g1245 +tp14816 +a(g440 +Vname +p14817 +tp14818 +a(g826 +g1245 +tp14819 +a(g440 +Vvalue +p14820 +tp14821 +a(g705 +g1193 +tp14822 +a(g826 +V\u000a +p14823 +tp14824 +a(g705 +g1172 +tp14825 +a(g744 +Vlet +p14826 +tp14827 +a(g705 +g1172 +tp14828 +a(g705 +g1172 +tp14829 +a(g573 +Vvariable +p14830 +tp14831 +a(g826 +g1245 +tp14832 +a(g705 +g1172 +tp14833 +a(g573 +Vmodule-local-variable +p14834 +tp14835 +a(g826 +g1245 +tp14836 +a(g440 +Vmodule +p14837 +tp14838 +a(g826 +g1245 +tp14839 +a(g440 +Vname +p14840 +tp14841 +a(g705 +g1193 +tp14842 +a(g705 +g1193 +tp14843 +a(g705 +g1193 +tp14844 +a(g826 +V\u000a +p14845 +tp14846 +a(g705 +g1172 +tp14847 +a(g744 +Vif +p14848 +tp14849 +a(g440 +Vvariable +p14850 +tp14851 +a(g826 +V\u000a +p14852 +tp14853 +a(g705 +g1172 +tp14854 +a(g573 +Vbegin +p14855 +tp14856 +a(g826 +V\u000a +p14857 +tp14858 +a(g705 +g1172 +tp14859 +a(g573 +Vvariable-set! +p14860 +tp14861 +a(g826 +g1245 +tp14862 +a(g440 +Vvariable +p14863 +tp14864 +a(g826 +g1245 +tp14865 +a(g440 +Vvalue +p14866 +tp14867 +a(g705 +g1193 +tp14868 +a(g826 +V\u000a +p14869 +tp14870 +a(g705 +g1172 +tp14871 +a(g573 +Vmodule-modified +p14872 +tp14873 +a(g826 +g1245 +tp14874 +a(g440 +Vmodule +p14875 +tp14876 +a(g705 +g1193 +tp14877 +a(g705 +g1193 +tp14878 +a(g826 +V\u000a +p14879 +tp14880 +a(g705 +g1172 +tp14881 +a(g744 +Vlet +p14882 +tp14883 +a(g705 +g1172 +tp14884 +a(g705 +g1172 +tp14885 +a(g573 +Vvariable +p14886 +tp14887 +a(g826 +g1245 +tp14888 +a(g705 +g1172 +tp14889 +a(g573 +Vmake-variable +p14890 +tp14891 +a(g826 +g1245 +tp14892 +a(g440 +Vvalue +p14893 +tp14894 +a(g705 +g1193 +tp14895 +a(g705 +g1193 +tp14896 +a(g705 +g1193 +tp14897 +a(g826 +V\u000a +p14898 +tp14899 +a(g705 +g1172 +tp14900 +a(g573 +Vvariable-set-name-hint! +p14901 +tp14902 +a(g826 +g1245 +tp14903 +a(g440 +Vvariable +p14904 +tp14905 +a(g826 +g1245 +tp14906 +a(g440 +Vname +p14907 +tp14908 +a(g705 +g1193 +tp14909 +a(g826 +V\u000a +p14910 +tp14911 +a(g705 +g1172 +tp14912 +a(g573 +Vmodule-add! +p14913 +tp14914 +a(g826 +g1245 +tp14915 +a(g440 +Vmodule +p14916 +tp14917 +a(g826 +g1245 +tp14918 +a(g440 +Vname +p14919 +tp14920 +a(g826 +g1245 +tp14921 +a(g440 +Vvariable +p14922 +tp14923 +a(g705 +g1193 +tp14924 +a(g705 +g1193 +tp14925 +a(g705 +g1193 +tp14926 +a(g705 +g1193 +tp14927 +a(g705 +g1193 +tp14928 +a(g826 +V\u000a\u000a +p14929 +tp14930 +a(g8 +V;; MODULE-DEFINED? -- exported +p14931 +tp14932 +a(g826 +V\u000a +p14933 +tp14934 +a(g8 +V;; +p14935 +tp14936 +a(g826 +V\u000a +p14937 +tp14938 +a(g8 +V;; Return #t iff NAME is defined in MODULE (or in a module that MODULE +p14939 +tp14940 +a(g826 +V\u000a +p14941 +tp14942 +a(g8 +V;; uses) +p14943 +tp14944 +a(g826 +V\u000a +p14945 +tp14946 +a(g8 +V;; +p14947 +tp14948 +a(g826 +V\u000a +p14949 +tp14950 +a(g705 +g1172 +tp14951 +a(g744 +Vdefine +p14952 +tp14953 +a(g705 +g1172 +tp14954 +a(g573 +Vmodule-defined? +p14955 +tp14956 +a(g826 +g1245 +tp14957 +a(g440 +Vmodule +p14958 +tp14959 +a(g826 +g1245 +tp14960 +a(g440 +Vname +p14961 +tp14962 +a(g705 +g1193 +tp14963 +a(g826 +V\u000a +p14964 +tp14965 +a(g705 +g1172 +tp14966 +a(g744 +Vlet +p14967 +tp14968 +a(g705 +g1172 +tp14969 +a(g705 +g1172 +tp14970 +a(g573 +Vvariable +p14971 +tp14972 +a(g826 +g1245 +tp14973 +a(g705 +g1172 +tp14974 +a(g573 +Vmodule-variable +p14975 +tp14976 +a(g826 +g1245 +tp14977 +a(g440 +Vmodule +p14978 +tp14979 +a(g826 +g1245 +tp14980 +a(g440 +Vname +p14981 +tp14982 +a(g705 +g1193 +tp14983 +a(g705 +g1193 +tp14984 +a(g705 +g1193 +tp14985 +a(g826 +V\u000a +p14986 +tp14987 +a(g705 +g1172 +tp14988 +a(g744 +Vand +p14989 +tp14990 +a(g440 +Vvariable +p14991 +tp14992 +a(g826 +g1245 +tp14993 +a(g705 +g1172 +tp14994 +a(g573 +Vvariable-bound? +p14995 +tp14996 +a(g826 +g1245 +tp14997 +a(g440 +Vvariable +p14998 +tp14999 +a(g705 +g1193 +tp15000 +a(g705 +g1193 +tp15001 +a(g705 +g1193 +tp15002 +a(g705 +g1193 +tp15003 +a(g826 +V\u000a\u000a +p15004 +tp15005 +a(g8 +V;; MODULE-USE! module interface +p15006 +tp15007 +a(g826 +V\u000a +p15008 +tp15009 +a(g8 +V;; +p15010 +tp15011 +a(g826 +V\u000a +p15012 +tp15013 +a(g8 +V;; Add INTERFACE to the list of interfaces used by MODULE. +p15014 +tp15015 +a(g826 +V\u000a +p15016 +tp15017 +a(g8 +V;; +p15018 +tp15019 +a(g826 +V\u000a +p15020 +tp15021 +a(g705 +g1172 +tp15022 +a(g744 +Vdefine +p15023 +tp15024 +a(g705 +g1172 +tp15025 +a(g573 +Vmodule-use! +p15026 +tp15027 +a(g826 +g1245 +tp15028 +a(g440 +Vmodule +p15029 +tp15030 +a(g826 +g1245 +tp15031 +a(g440 +Vinterface +p15032 +tp15033 +a(g705 +g1193 +tp15034 +a(g826 +V\u000a +p15035 +tp15036 +a(g705 +g1172 +tp15037 +a(g573 +Vset-module-uses! +p15038 +tp15039 +a(g826 +g1245 +tp15040 +a(g440 +Vmodule +p15041 +tp15042 +a(g826 +V\u000a +p15043 +tp15044 +a(g705 +g1172 +tp15045 +a(g681 +Vcons +p15046 +tp15047 +a(g440 +Vinterface +p15048 +tp15049 +a(g826 +g1245 +tp15050 +a(g705 +g1172 +tp15051 +a(g573 +Vdelq! +p15052 +tp15053 +a(g826 +g1245 +tp15054 +a(g440 +Vinterface +p15055 +tp15056 +a(g826 +g1245 +tp15057 +a(g705 +g1172 +tp15058 +a(g573 +Vmodule-uses +p15059 +tp15060 +a(g826 +g1245 +tp15061 +a(g440 +Vmodule +p15062 +tp15063 +a(g705 +g1193 +tp15064 +a(g705 +g1193 +tp15065 +a(g705 +g1193 +tp15066 +a(g705 +g1193 +tp15067 +a(g826 +V\u000a +p15068 +tp15069 +a(g705 +g1172 +tp15070 +a(g573 +Vmodule-modified +p15071 +tp15072 +a(g826 +g1245 +tp15073 +a(g440 +Vmodule +p15074 +tp15075 +a(g705 +g1193 +tp15076 +a(g705 +g1193 +tp15077 +a(g826 +V\u000a\u000a \u000a +p15078 +tp15079 +a(g8 +V;;; {Recursive Namespaces} +p15080 +tp15081 +a(g826 +V\u000a +p15082 +tp15083 +a(g8 +V;;; +p15084 +tp15085 +a(g826 +V\u000a +p15086 +tp15087 +a(g8 +V;;; +p15088 +tp15089 +a(g826 +V\u000a +p15090 +tp15091 +a(g8 +V;;; A hierarchical namespace emerges if we consider some module to be +p15092 +tp15093 +a(g826 +V\u000a +p15094 +tp15095 +a(g8 +V;;; root, and variables bound to modules as nested namespaces. +p15096 +tp15097 +a(g826 +V\u000a +p15098 +tp15099 +a(g8 +V;;; +p15100 +tp15101 +a(g826 +V\u000a +p15102 +tp15103 +a(g8 +V;;; The routines in this file manage variable names in hierarchical namespace. +p15104 +tp15105 +a(g826 +V\u000a +p15106 +tp15107 +a(g8 +V;;; Each variable name is a list of elements, looked up in successively nested +p15108 +tp15109 +a(g826 +V\u000a +p15110 +tp15111 +a(g8 +V;;; modules. +p15112 +tp15113 +a(g826 +V\u000a +p15114 +tp15115 +a(g8 +V;;; +p15116 +tp15117 +a(g826 +V\u000a +p15118 +tp15119 +a(g8 +V;;; (nested-ref some-root-module '(foo bar baz)) +p15120 +tp15121 +a(g826 +V\u000a +p15122 +tp15123 +a(g8 +V;;; => +p15128 +tp15129 +a(g826 +V\u000a +p15130 +tp15131 +a(g8 +V;;; +p15132 +tp15133 +a(g826 +V\u000a +p15134 +tp15135 +a(g8 +V;;; +p15136 +tp15137 +a(g826 +V\u000a +p15138 +tp15139 +a(g8 +V;;; There are: +p15140 +tp15141 +a(g826 +V\u000a +p15142 +tp15143 +a(g8 +V;;; +p15144 +tp15145 +a(g826 +V\u000a +p15146 +tp15147 +a(g8 +V;;; ;; a-root is a module +p15148 +tp15149 +a(g826 +V\u000a +p15150 +tp15151 +a(g8 +V;;; ;; name is a list of symbols +p15152 +tp15153 +a(g826 +V\u000a +p15154 +tp15155 +a(g8 +V;;; +p15156 +tp15157 +a(g826 +V\u000a +p15158 +tp15159 +a(g8 +V;;; nested-ref a-root name +p15160 +tp15161 +a(g826 +V\u000a +p15162 +tp15163 +a(g8 +V;;; nested-set! a-root name val +p15164 +tp15165 +a(g826 +V\u000a +p15166 +tp15167 +a(g8 +V;;; nested-define! a-root name val +p15168 +tp15169 +a(g826 +V\u000a +p15170 +tp15171 +a(g8 +V;;; nested-remove! a-root name +p15172 +tp15173 +a(g826 +V\u000a +p15174 +tp15175 +a(g8 +V;;; +p15176 +tp15177 +a(g826 +V\u000a +p15178 +tp15179 +a(g8 +V;;; +p15180 +tp15181 +a(g826 +V\u000a +p15182 +tp15183 +a(g8 +V;;; (current-module) is a natural choice for a-root so for convenience there are +p15184 +tp15185 +a(g826 +V\u000a +p15186 +tp15187 +a(g8 +V;;; also: +p15188 +tp15189 +a(g826 +V\u000a +p15190 +tp15191 +a(g8 +V;;; +p15192 +tp15193 +a(g826 +V\u000a +p15194 +tp15195 +a(g8 +V;;; local-ref name == nested-ref (current-module) name +p15196 +tp15197 +a(g826 +V\u000a +p15198 +tp15199 +a(g8 +V;;; local-set! name val == nested-set! (current-module) name val +p15200 +tp15201 +a(g826 +V\u000a +p15202 +tp15203 +a(g8 +V;;; local-define! name val == nested-define! (current-module) name val +p15204 +tp15205 +a(g826 +V\u000a +p15206 +tp15207 +a(g8 +V;;; local-remove! name == nested-remove! (current-module) name +p15208 +tp15209 +a(g826 +V\u000a +p15210 +tp15211 +a(g8 +V;;; +p15212 +tp15213 +a(g826 +V\u000a\u000a\u000a +p15214 +tp15215 +a(g705 +g1172 +tp15216 +a(g744 +Vdefine +p15217 +tp15218 +a(g705 +g1172 +tp15219 +a(g573 +Vnested-ref +p15220 +tp15221 +a(g826 +g1245 +tp15222 +a(g440 +Vroot +p15223 +tp15224 +a(g826 +g1245 +tp15225 +a(g440 +Vnames +p15226 +tp15227 +a(g705 +g1193 +tp15228 +a(g826 +V\u000a +p15229 +tp15230 +a(g705 +g1172 +tp15231 +a(g744 +Vlet +p15232 +tp15233 +a(g440 +Vloop +p15234 +tp15235 +a(g826 +g1245 +tp15236 +a(g705 +g1172 +tp15237 +a(g705 +g1172 +tp15238 +a(g573 +Vcur +p15239 +tp15240 +a(g826 +g1245 +tp15241 +a(g440 +Vroot +p15242 +tp15243 +a(g705 +g1193 +tp15244 +a(g826 +V\u000a +p15245 +tp15246 +a(g705 +g1172 +tp15247 +a(g573 +Velts +p15248 +tp15249 +a(g826 +g1245 +tp15250 +a(g440 +Vnames +p15251 +tp15252 +a(g705 +g1193 +tp15253 +a(g705 +g1193 +tp15254 +a(g826 +V\u000a +p15255 +tp15256 +a(g705 +g1172 +tp15257 +a(g573 +Vcond +p15258 +tp15259 +a(g826 +V\u000a +p15260 +tp15261 +a(g705 +g1172 +tp15262 +a(g705 +g1172 +tp15263 +a(g681 +Vnull? +p15264 +tp15265 +a(g440 +Velts +p15266 +tp15267 +a(g705 +g1193 +tp15268 +a(g826 +V +p15269 +tp15270 +a(g440 +Vcur +p15271 +tp15272 +a(g705 +g1193 +tp15273 +a(g826 +V\u000a +p15274 +tp15275 +a(g705 +g1172 +tp15276 +a(g705 +g1172 +tp15277 +a(g681 +Vnot +p15278 +tp15279 +a(g705 +g1172 +tp15280 +a(g573 +Vmodule? +p15281 +tp15282 +a(g826 +g1245 +tp15283 +a(g440 +Vcur +p15284 +tp15285 +a(g705 +g1193 +tp15286 +a(g705 +g1193 +tp15287 +a(g826 +V +p15288 +tp15289 +a(g565 +V#f +p15290 +tp15291 +a(g705 +g1193 +tp15292 +a(g826 +V\u000a +p15293 +tp15294 +a(g705 +g1172 +tp15295 +a(g744 +Velse +p15296 +tp15297 +a(g705 +g1172 +tp15298 +a(g573 +Vloop +p15299 +tp15300 +a(g826 +g1245 +tp15301 +a(g705 +g1172 +tp15302 +a(g573 +Vmodule-ref +p15303 +tp15304 +a(g826 +g1245 +tp15305 +a(g440 +Vcur +p15306 +tp15307 +a(g826 +g1245 +tp15308 +a(g705 +g1172 +tp15309 +a(g681 +Vcar +p15310 +tp15311 +a(g440 +Velts +p15312 +tp15313 +a(g705 +g1193 +tp15314 +a(g826 +g1245 +tp15315 +a(g565 +V#f +p15316 +tp15317 +a(g705 +g1193 +tp15318 +a(g826 +g1245 +tp15319 +a(g705 +g1172 +tp15320 +a(g681 +Vcdr +p15321 +tp15322 +a(g440 +Velts +p15323 +tp15324 +a(g705 +g1193 +tp15325 +a(g705 +g1193 +tp15326 +a(g705 +g1193 +tp15327 +a(g705 +g1193 +tp15328 +a(g705 +g1193 +tp15329 +a(g705 +g1193 +tp15330 +a(g826 +V\u000a\u000a +p15331 +tp15332 +a(g705 +g1172 +tp15333 +a(g744 +Vdefine +p15334 +tp15335 +a(g705 +g1172 +tp15336 +a(g573 +Vnested-set! +p15337 +tp15338 +a(g826 +g1245 +tp15339 +a(g440 +Vroot +p15340 +tp15341 +a(g826 +g1245 +tp15342 +a(g440 +Vnames +p15343 +tp15344 +a(g826 +g1245 +tp15345 +a(g440 +Vval +p15346 +tp15347 +a(g705 +g1193 +tp15348 +a(g826 +V\u000a +p15349 +tp15350 +a(g705 +g1172 +tp15351 +a(g744 +Vlet +p15352 +tp15353 +a(g440 +Vloop +p15354 +tp15355 +a(g826 +g1245 +tp15356 +a(g705 +g1172 +tp15357 +a(g705 +g1172 +tp15358 +a(g573 +Vcur +p15359 +tp15360 +a(g826 +g1245 +tp15361 +a(g440 +Vroot +p15362 +tp15363 +a(g705 +g1193 +tp15364 +a(g826 +V\u000a +p15365 +tp15366 +a(g705 +g1172 +tp15367 +a(g573 +Velts +p15368 +tp15369 +a(g826 +g1245 +tp15370 +a(g440 +Vnames +p15371 +tp15372 +a(g705 +g1193 +tp15373 +a(g705 +g1193 +tp15374 +a(g826 +V\u000a +p15375 +tp15376 +a(g705 +g1172 +tp15377 +a(g744 +Vif +p15378 +tp15379 +a(g705 +g1172 +tp15380 +a(g681 +Vnull? +p15381 +tp15382 +a(g705 +g1172 +tp15383 +a(g681 +Vcdr +p15384 +tp15385 +a(g440 +Velts +p15386 +tp15387 +a(g705 +g1193 +tp15388 +a(g705 +g1193 +tp15389 +a(g826 +V\u000a +p15390 +tp15391 +a(g705 +g1172 +tp15392 +a(g573 +Vmodule-set! +p15393 +tp15394 +a(g826 +g1245 +tp15395 +a(g440 +Vcur +p15396 +tp15397 +a(g826 +g1245 +tp15398 +a(g705 +g1172 +tp15399 +a(g681 +Vcar +p15400 +tp15401 +a(g440 +Velts +p15402 +tp15403 +a(g705 +g1193 +tp15404 +a(g826 +g1245 +tp15405 +a(g440 +Vval +p15406 +tp15407 +a(g705 +g1193 +tp15408 +a(g826 +V\u000a +p15409 +tp15410 +a(g705 +g1172 +tp15411 +a(g573 +Vloop +p15412 +tp15413 +a(g826 +g1245 +tp15414 +a(g705 +g1172 +tp15415 +a(g573 +Vmodule-ref +p15416 +tp15417 +a(g826 +g1245 +tp15418 +a(g440 +Vcur +p15419 +tp15420 +a(g826 +g1245 +tp15421 +a(g705 +g1172 +tp15422 +a(g681 +Vcar +p15423 +tp15424 +a(g440 +Velts +p15425 +tp15426 +a(g705 +g1193 +tp15427 +a(g705 +g1193 +tp15428 +a(g826 +g1245 +tp15429 +a(g705 +g1172 +tp15430 +a(g681 +Vcdr +p15431 +tp15432 +a(g440 +Velts +p15433 +tp15434 +a(g705 +g1193 +tp15435 +a(g705 +g1193 +tp15436 +a(g705 +g1193 +tp15437 +a(g705 +g1193 +tp15438 +a(g705 +g1193 +tp15439 +a(g826 +V\u000a\u000a +p15440 +tp15441 +a(g705 +g1172 +tp15442 +a(g744 +Vdefine +p15443 +tp15444 +a(g705 +g1172 +tp15445 +a(g573 +Vnested-define! +p15446 +tp15447 +a(g826 +g1245 +tp15448 +a(g440 +Vroot +p15449 +tp15450 +a(g826 +g1245 +tp15451 +a(g440 +Vnames +p15452 +tp15453 +a(g826 +g1245 +tp15454 +a(g440 +Vval +p15455 +tp15456 +a(g705 +g1193 +tp15457 +a(g826 +V\u000a +p15458 +tp15459 +a(g705 +g1172 +tp15460 +a(g744 +Vlet +p15461 +tp15462 +a(g440 +Vloop +p15463 +tp15464 +a(g826 +g1245 +tp15465 +a(g705 +g1172 +tp15466 +a(g705 +g1172 +tp15467 +a(g573 +Vcur +p15468 +tp15469 +a(g826 +g1245 +tp15470 +a(g440 +Vroot +p15471 +tp15472 +a(g705 +g1193 +tp15473 +a(g826 +V\u000a +p15474 +tp15475 +a(g705 +g1172 +tp15476 +a(g573 +Velts +p15477 +tp15478 +a(g826 +g1245 +tp15479 +a(g440 +Vnames +p15480 +tp15481 +a(g705 +g1193 +tp15482 +a(g705 +g1193 +tp15483 +a(g826 +V\u000a +p15484 +tp15485 +a(g705 +g1172 +tp15486 +a(g744 +Vif +p15487 +tp15488 +a(g705 +g1172 +tp15489 +a(g681 +Vnull? +p15490 +tp15491 +a(g705 +g1172 +tp15492 +a(g681 +Vcdr +p15493 +tp15494 +a(g440 +Velts +p15495 +tp15496 +a(g705 +g1193 +tp15497 +a(g705 +g1193 +tp15498 +a(g826 +V\u000a +p15499 +tp15500 +a(g705 +g1172 +tp15501 +a(g573 +Vmodule-define! +p15502 +tp15503 +a(g826 +g1245 +tp15504 +a(g440 +Vcur +p15505 +tp15506 +a(g826 +g1245 +tp15507 +a(g705 +g1172 +tp15508 +a(g681 +Vcar +p15509 +tp15510 +a(g440 +Velts +p15511 +tp15512 +a(g705 +g1193 +tp15513 +a(g826 +g1245 +tp15514 +a(g440 +Vval +p15515 +tp15516 +a(g705 +g1193 +tp15517 +a(g826 +V\u000a +p15518 +tp15519 +a(g705 +g1172 +tp15520 +a(g573 +Vloop +p15521 +tp15522 +a(g826 +g1245 +tp15523 +a(g705 +g1172 +tp15524 +a(g573 +Vmodule-ref +p15525 +tp15526 +a(g826 +g1245 +tp15527 +a(g440 +Vcur +p15528 +tp15529 +a(g826 +g1245 +tp15530 +a(g705 +g1172 +tp15531 +a(g681 +Vcar +p15532 +tp15533 +a(g440 +Velts +p15534 +tp15535 +a(g705 +g1193 +tp15536 +a(g705 +g1193 +tp15537 +a(g826 +g1245 +tp15538 +a(g705 +g1172 +tp15539 +a(g681 +Vcdr +p15540 +tp15541 +a(g440 +Velts +p15542 +tp15543 +a(g705 +g1193 +tp15544 +a(g705 +g1193 +tp15545 +a(g705 +g1193 +tp15546 +a(g705 +g1193 +tp15547 +a(g705 +g1193 +tp15548 +a(g826 +V\u000a\u000a +p15549 +tp15550 +a(g705 +g1172 +tp15551 +a(g744 +Vdefine +p15552 +tp15553 +a(g705 +g1172 +tp15554 +a(g573 +Vnested-remove! +p15555 +tp15556 +a(g826 +g1245 +tp15557 +a(g440 +Vroot +p15558 +tp15559 +a(g826 +g1245 +tp15560 +a(g440 +Vnames +p15561 +tp15562 +a(g705 +g1193 +tp15563 +a(g826 +V\u000a +p15564 +tp15565 +a(g705 +g1172 +tp15566 +a(g744 +Vlet +p15567 +tp15568 +a(g440 +Vloop +p15569 +tp15570 +a(g826 +g1245 +tp15571 +a(g705 +g1172 +tp15572 +a(g705 +g1172 +tp15573 +a(g573 +Vcur +p15574 +tp15575 +a(g826 +g1245 +tp15576 +a(g440 +Vroot +p15577 +tp15578 +a(g705 +g1193 +tp15579 +a(g826 +V\u000a +p15580 +tp15581 +a(g705 +g1172 +tp15582 +a(g573 +Velts +p15583 +tp15584 +a(g826 +g1245 +tp15585 +a(g440 +Vnames +p15586 +tp15587 +a(g705 +g1193 +tp15588 +a(g705 +g1193 +tp15589 +a(g826 +V\u000a +p15590 +tp15591 +a(g705 +g1172 +tp15592 +a(g744 +Vif +p15593 +tp15594 +a(g705 +g1172 +tp15595 +a(g681 +Vnull? +p15596 +tp15597 +a(g705 +g1172 +tp15598 +a(g681 +Vcdr +p15599 +tp15600 +a(g440 +Velts +p15601 +tp15602 +a(g705 +g1193 +tp15603 +a(g705 +g1193 +tp15604 +a(g826 +V\u000a +p15605 +tp15606 +a(g705 +g1172 +tp15607 +a(g573 +Vmodule-remove! +p15608 +tp15609 +a(g826 +g1245 +tp15610 +a(g440 +Vcur +p15611 +tp15612 +a(g826 +g1245 +tp15613 +a(g705 +g1172 +tp15614 +a(g681 +Vcar +p15615 +tp15616 +a(g440 +Velts +p15617 +tp15618 +a(g705 +g1193 +tp15619 +a(g705 +g1193 +tp15620 +a(g826 +V\u000a +p15621 +tp15622 +a(g705 +g1172 +tp15623 +a(g573 +Vloop +p15624 +tp15625 +a(g826 +g1245 +tp15626 +a(g705 +g1172 +tp15627 +a(g573 +Vmodule-ref +p15628 +tp15629 +a(g826 +g1245 +tp15630 +a(g440 +Vcur +p15631 +tp15632 +a(g826 +g1245 +tp15633 +a(g705 +g1172 +tp15634 +a(g681 +Vcar +p15635 +tp15636 +a(g440 +Velts +p15637 +tp15638 +a(g705 +g1193 +tp15639 +a(g705 +g1193 +tp15640 +a(g826 +g1245 +tp15641 +a(g705 +g1172 +tp15642 +a(g681 +Vcdr +p15643 +tp15644 +a(g440 +Velts +p15645 +tp15646 +a(g705 +g1193 +tp15647 +a(g705 +g1193 +tp15648 +a(g705 +g1193 +tp15649 +a(g705 +g1193 +tp15650 +a(g705 +g1193 +tp15651 +a(g826 +V\u000a\u000a +p15652 +tp15653 +a(g705 +g1172 +tp15654 +a(g744 +Vdefine +p15655 +tp15656 +a(g705 +g1172 +tp15657 +a(g573 +Vlocal-ref +p15658 +tp15659 +a(g826 +g1245 +tp15660 +a(g440 +Vnames +p15661 +tp15662 +a(g705 +g1193 +tp15663 +a(g826 +g1245 +tp15664 +a(g705 +g1172 +tp15665 +a(g573 +Vnested-ref +p15666 +tp15667 +a(g826 +g1245 +tp15668 +a(g705 +g1172 +tp15669 +a(g573 +Vcurrent-module +p15670 +tp15671 +a(g705 +g1193 +tp15672 +a(g826 +g1245 +tp15673 +a(g440 +Vnames +p15674 +tp15675 +a(g705 +g1193 +tp15676 +a(g705 +g1193 +tp15677 +a(g826 +V\u000a +p15678 +tp15679 +a(g705 +g1172 +tp15680 +a(g744 +Vdefine +p15681 +tp15682 +a(g705 +g1172 +tp15683 +a(g573 +Vlocal-set! +p15684 +tp15685 +a(g826 +g1245 +tp15686 +a(g440 +Vnames +p15687 +tp15688 +a(g826 +g1245 +tp15689 +a(g440 +Vval +p15690 +tp15691 +a(g705 +g1193 +tp15692 +a(g826 +g1245 +tp15693 +a(g705 +g1172 +tp15694 +a(g573 +Vnested-set! +p15695 +tp15696 +a(g826 +g1245 +tp15697 +a(g705 +g1172 +tp15698 +a(g573 +Vcurrent-module +p15699 +tp15700 +a(g705 +g1193 +tp15701 +a(g826 +g1245 +tp15702 +a(g440 +Vnames +p15703 +tp15704 +a(g826 +g1245 +tp15705 +a(g440 +Vval +p15706 +tp15707 +a(g705 +g1193 +tp15708 +a(g705 +g1193 +tp15709 +a(g826 +V\u000a +p15710 +tp15711 +a(g705 +g1172 +tp15712 +a(g744 +Vdefine +p15713 +tp15714 +a(g705 +g1172 +tp15715 +a(g573 +Vlocal-define +p15716 +tp15717 +a(g826 +g1245 +tp15718 +a(g440 +Vnames +p15719 +tp15720 +a(g826 +g1245 +tp15721 +a(g440 +Vval +p15722 +tp15723 +a(g705 +g1193 +tp15724 +a(g826 +g1245 +tp15725 +a(g705 +g1172 +tp15726 +a(g573 +Vnested-define! +p15727 +tp15728 +a(g826 +g1245 +tp15729 +a(g705 +g1172 +tp15730 +a(g573 +Vcurrent-module +p15731 +tp15732 +a(g705 +g1193 +tp15733 +a(g826 +g1245 +tp15734 +a(g440 +Vnames +p15735 +tp15736 +a(g826 +g1245 +tp15737 +a(g440 +Vval +p15738 +tp15739 +a(g705 +g1193 +tp15740 +a(g705 +g1193 +tp15741 +a(g826 +V\u000a +p15742 +tp15743 +a(g705 +g1172 +tp15744 +a(g744 +Vdefine +p15745 +tp15746 +a(g705 +g1172 +tp15747 +a(g573 +Vlocal-remove +p15748 +tp15749 +a(g826 +g1245 +tp15750 +a(g440 +Vnames +p15751 +tp15752 +a(g705 +g1193 +tp15753 +a(g826 +g1245 +tp15754 +a(g705 +g1172 +tp15755 +a(g573 +Vnested-remove! +p15756 +tp15757 +a(g826 +g1245 +tp15758 +a(g705 +g1172 +tp15759 +a(g573 +Vcurrent-module +p15760 +tp15761 +a(g705 +g1193 +tp15762 +a(g826 +g1245 +tp15763 +a(g440 +Vnames +p15764 +tp15765 +a(g705 +g1193 +tp15766 +a(g705 +g1193 +tp15767 +a(g826 +V\u000a +p15768 +tp15769 +a(g8 +V;;; boot-9.scm ends here +p15770 +tp15771 +a(g826 +V\u000a +p15772 +tp15773 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ca65_example b/tests/examplefiles/output/ca65_example new file mode 100644 index 0000000..fd6c230 --- /dev/null +++ b/tests/examplefiles/output/ca65_example @@ -0,0 +1,5623 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;-------------------------------------- +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V; Lychrel numbers. +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V; +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V; :author: Marc 'BlackJack' Rintsch +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V; :date: 2008-03-07 +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V; :version: 0.1 +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V; +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g8 +V; Prints all `Lychrel numbers`_ between 1 and 100000. +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g8 +V; +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g8 +V; The numbers are stored as array of "digits" in little endian +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g8 +V; order. Each digit is a byte with a value between 0 and 9. +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g8 +V; +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g8 +V; Runtime on C64: 00:21:01 +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g8 +V; +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g8 +V; .. _Lychrel numbers: http://en.wikipedia.org/wiki/Lychrel_number +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g8 +V; +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g8 +V; .. cl65 -l -tnone -C simple.cfg lychrel.s -o lychrel.prg +p1020 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g8 +V;-------------------------------------- +p1024 +tp1025 +a(g826 +V\u000a\u000a +p1026 +tp1027 +a(g8 +V;-------------------------------------- +p1028 +tp1029 +a(g826 +V\u000a +p1030 +tp1031 +a(g8 +V; External addresses. +p1032 +tp1033 +a(g826 +V\u000a +p1034 +tp1035 +a(g8 +V;-------------------------------------- +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g435 +Vchrout +p1040 +tp1041 +a(g826 +V +p1042 +tp1043 +a(g412 +V= +p1044 +tp1045 +a(g826 +V +p1046 +tp1047 +a(g297 +V$ffd2 +p1048 +tp1049 +a(g826 +V\u000a\u000a +p1050 +tp1051 +a(g8 +V;-------------------------------------- +p1052 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g8 +V; Constants. +p1056 +tp1057 +a(g826 +V\u000a +p1058 +tp1059 +a(g8 +V;-------------------------------------- +p1060 +tp1061 +a(g826 +V\u000a +p1062 +tp1063 +a(g435 +VTO +p1064 +tp1065 +a(g826 +V +p1066 +tp1067 +a(g412 +g1044 +tp1068 +a(g826 +g1046 +tp1069 +a(g37 +V100000 +p1070 +tp1071 +a(g826 +V\u000a +p1072 +tp1073 +a(g435 +VTO_DIGITS +p1074 +tp1075 +a(g826 +g1042 +tp1076 +a(g412 +g1044 +tp1077 +a(g826 +g1046 +tp1078 +a(g37 +V10 +p1079 +tp1080 +a(g826 +V\u000a +p1081 +tp1082 +a(g435 +VITERATIONS +p1083 +tp1084 +a(g826 +g1042 +tp1085 +a(g412 +g1044 +tp1086 +a(g826 +g1046 +tp1087 +a(g37 +V100 +p1088 +tp1089 +a(g826 +V\u000a +p1090 +tp1091 +a(g435 +VMAX_DIGITS +p1092 +tp1093 +a(g826 +g1042 +tp1094 +a(g412 +g1044 +tp1095 +a(g826 +g1046 +tp1096 +a(g435 +VTO_DIGITS +p1097 +tp1098 +a(g826 +g1046 +tp1099 +a(g412 +V+ +p1100 +tp1101 +a(g826 +g1046 +tp1102 +a(g435 +VITERATIONS +p1103 +tp1104 +a(g826 +V\u000a\u000a +p1105 +tp1106 +a(g8 +V;-------------------------------------- +p1107 +tp1108 +a(g826 +V\u000a +p1109 +tp1110 +a(g8 +V; Global variables. +p1111 +tp1112 +a(g826 +V\u000a +p1113 +tp1114 +a(g8 +V;-------------------------------------- +p1115 +tp1116 +a(g826 +V\u000a +p1117 +tp1118 +a(g785 +V.zeropage +p1119 +tp1120 +a(g826 +V\u000a +p1121 +tp1122 +a(g8 +V; +p1123 +tp1124 +a(g826 +V\u000a +p1125 +tp1126 +a(g8 +V; Length of the currently tested `n` in digits. +p1127 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g8 +V; +p1131 +tp1132 +a(g826 +V\u000a +p1133 +tp1134 +a(g596 +Vn_length: +p1135 +tp1136 +a(g826 +V\u000a +p1137 +tp1138 +a(g785 +V.res +p1139 +tp1140 +a(g826 +g1046 +tp1141 +a(g37 +V1 +p1142 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g8 +V; +p1146 +tp1147 +a(g826 +V\u000a +p1148 +tp1149 +a(g8 +V; Length of the number(s) `xa` and `xb` while testing. +p1150 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g8 +V; +p1154 +tp1155 +a(g826 +V\u000a +p1156 +tp1157 +a(g596 +Vlength: +p1158 +tp1159 +a(g826 +V\u000a +p1160 +tp1161 +a(g785 +V.res +p1162 +tp1163 +a(g826 +g1046 +tp1164 +a(g37 +g1142 +tp1165 +a(g826 +V\u000a\u000a +p1166 +tp1167 +a(g785 +V.bss +p1168 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g8 +V; +p1172 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g8 +V; Number to be tested as digits i.e. bytes with values between +p1176 +tp1177 +a(g826 +V\u000a +p1178 +tp1179 +a(g8 +V; 0 and 9. The length is stored in `n_length`. +p1180 +tp1181 +a(g826 +V\u000a +p1182 +tp1183 +a(g8 +V; +p1184 +tp1185 +a(g826 +V\u000a +p1186 +tp1187 +a(g596 +Vn: +p1188 +tp1189 +a(g826 +V\u000a +p1190 +tp1191 +a(g785 +V.res +p1192 +tp1193 +a(g826 +g1046 +tp1194 +a(g435 +VTO_DIGITS +p1195 +tp1196 +a(g826 +V\u000a +p1197 +tp1198 +a(g8 +V; +p1199 +tp1200 +a(g826 +V\u000a +p1201 +tp1202 +a(g8 +V; Space for calculating the reversed and added values. +p1203 +tp1204 +a(g826 +V\u000a +p1205 +tp1206 +a(g8 +V; In the `main` code the current number is copied into `xa` +p1207 +tp1208 +a(g826 +V\u000a +p1209 +tp1210 +a(g8 +V; and then repeatedly `reverse_add`\u005ced to itself with the +p1211 +tp1212 +a(g826 +V\u000a +p1213 +tp1214 +a(g8 +V; result of that adding stored in `xb`. +p1215 +tp1216 +a(g826 +V\u000a +p1217 +tp1218 +a(g8 +V; +p1219 +tp1220 +a(g826 +V\u000a +p1221 +tp1222 +a(g596 +Vxa: +p1223 +tp1224 +a(g826 +V\u000a +p1225 +tp1226 +a(g785 +V.res +p1227 +tp1228 +a(g826 +g1046 +tp1229 +a(g435 +VMAX_DIGITS +p1230 +tp1231 +a(g826 +V\u000a +p1232 +tp1233 +a(g596 +Vxb: +p1234 +tp1235 +a(g826 +V\u000a +p1236 +tp1237 +a(g785 +V.res +p1238 +tp1239 +a(g826 +g1046 +tp1240 +a(g435 +VMAX_DIGITS +p1241 +tp1242 +a(g826 +V\u000a\u000a +p1243 +tp1244 +a(g8 +V;-------------------------------------- +p1245 +tp1246 +a(g826 +V\u000a +p1247 +tp1248 +a(g8 +V; BASIC header. +p1249 +tp1250 +a(g826 +V\u000a +p1251 +tp1252 +a(g8 +V;-------------------------------------- +p1253 +tp1254 +a(g826 +V\u000a +p1255 +tp1256 +a(g785 +V.code +p1257 +tp1258 +a(g826 +V\u000a +p1259 +tp1260 +a(g785 +V.word +p1261 +tp1262 +a(g826 +g1046 +tp1263 +a(g297 +V0800h +p1264 +tp1265 +a(g826 +V +p1266 +tp1267 +a(g8 +V; Load address. +p1268 +tp1269 +a(g826 +V\u000a +p1270 +tp1271 +a(g785 +V.byte +p1272 +tp1273 +a(g826 +g1046 +tp1274 +a(g37 +V0 +p1275 +tp1276 +a(g826 +V\u000a +p1277 +tp1278 +a(g785 +V.word +p1279 +tp1280 +a(g826 +g1046 +tp1281 +a(g435 +V@line_end +p1282 +tp1283 +a(g826 +V\u000a +p1284 +tp1285 +a(g785 +V.word +p1286 +tp1287 +a(g826 +g1046 +tp1288 +a(g37 +V2008 +p1289 +tp1290 +a(g826 +V +p1291 +tp1292 +a(g8 +V; Line number. +p1293 +tp1294 +a(g826 +V\u000a +p1295 +tp1296 +a(g785 +V.byte +p1297 +tp1298 +a(g826 +g1046 +tp1299 +a(g297 +V$9e +p1300 +tp1301 +a(g826 +V +p1302 +tp1303 +a(g8 +V; SYS token. +p1304 +tp1305 +a(g826 +V\u000a +p1306 +tp1307 +a(g785 +V.byte +p1308 +tp1309 +a(g826 +g1046 +tp1310 +a(g89 +V"2080 " +p1311 +tp1312 +a(g826 +V +p1313 +tp1314 +a(g8 +V; SYS argument. +p1315 +tp1316 +a(g826 +V\u000a +p1317 +tp1318 +a(g785 +V.byte +p1319 +tp1320 +a(g826 +g1046 +tp1321 +a(g89 +V"LYCHREL NUMBERS/BJ" +p1322 +tp1323 +a(g826 +V\u000a +p1324 +tp1325 +a(g596 +V@line_end: +p1326 +tp1327 +a(g826 +V\u000a +p1328 +tp1329 +a(g785 +V.byte +p1330 +tp1331 +a(g826 +g1046 +tp1332 +a(g37 +g1275 +tp1333 +a(g705 +V, +p1334 +tp1335 +a(g826 +g1046 +tp1336 +a(g37 +g1275 +tp1337 +a(g705 +g1334 +tp1338 +a(g826 +g1046 +tp1339 +a(g37 +g1275 +tp1340 +a(g826 +V +p1341 +tp1342 +a(g8 +V; Line and program end marker. +p1343 +tp1344 +a(g826 +V\u000a\u000a +p1345 +tp1346 +a(g8 +V;-------------------------------------- +p1347 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g8 +V; Main program. +p1351 +tp1352 +a(g826 +V\u000a +p1353 +tp1354 +a(g8 +V;-------------------------------------- +p1355 +tp1356 +a(g826 +V\u000a +p1357 +tp1358 +a(g785 +V.proc +p1359 +tp1360 +a(g826 +g1046 +tp1361 +a(g435 +Vmain +p1362 +tp1363 +a(g826 +V\u000a\u000a +p1364 +tp1365 +a(g785 +V.zeropage +p1366 +tp1367 +a(g826 +V\u000a +p1368 +tp1369 +a(g8 +V; +p1370 +tp1371 +a(g826 +V\u000a +p1372 +tp1373 +a(g8 +V; Three byte counter for `TO` iterations (100000 = $0186a0). +p1374 +tp1375 +a(g826 +V\u000a +p1376 +tp1377 +a(g8 +V; +p1378 +tp1379 +a(g826 +V\u000a +p1380 +tp1381 +a(g596 +Vi: +p1382 +tp1383 +a(g826 +V\u000a +p1384 +tp1385 +a(g785 +V.res +p1386 +tp1387 +a(g826 +g1046 +tp1388 +a(g37 +V3 +p1389 +tp1390 +a(g826 +V\u000a\u000a +p1391 +tp1392 +a(g785 +V.code +p1393 +tp1394 +a(g826 +V\u000a +p1395 +tp1396 +a(g8 +V; +p1397 +tp1398 +a(g826 +V\u000a +p1399 +tp1400 +a(g8 +V; Clear and set `n` and `i` to 1. +p1401 +tp1402 +a(g826 +V\u000a +p1403 +tp1404 +a(g8 +V; +p1405 +tp1406 +a(g826 +V\u000a +p1407 +tp1408 +a(g744 +Vlda +p1409 +tp1410 +a(g826 +g1046 +tp1411 +a(g705 +V# +p1412 +tp1413 +a(g37 +g1275 +tp1414 +a(g826 +V +p1415 +tp1416 +a(g8 +V; n := 0; n := 1; i := 1 +p1417 +tp1418 +a(g826 +V\u000a +p1419 +tp1420 +a(g744 +Vsta +p1421 +tp1422 +a(g826 +g1046 +tp1423 +a(g435 +Vi +p1424 +tp1425 +a(g412 +g1100 +tp1426 +a(g37 +g1142 +tp1427 +a(g826 +V\u000a +p1428 +tp1429 +a(g744 +Vsta +p1430 +tp1431 +a(g826 +g1046 +tp1432 +a(g435 +g1424 +tp1433 +a(g412 +g1100 +tp1434 +a(g37 +V2 +p1435 +tp1436 +a(g826 +V\u000a +p1437 +tp1438 +a(g744 +Vldx +p1439 +tp1440 +a(g826 +g1046 +tp1441 +a(g705 +g1412 +tp1442 +a(g435 +VTO_DIGITS +p1443 +tp1444 +a(g826 +V\u000a +p1445 +tp1446 +a(g596 +Vclear_n: +p1447 +tp1448 +a(g826 +V\u000a +p1449 +tp1450 +a(g744 +Vsta +p1451 +tp1452 +a(g826 +g1046 +tp1453 +a(g435 +Vn +p1454 +tp1455 +a(g412 +V- +p1456 +tp1457 +a(g37 +g1142 +tp1458 +a(g705 +g1334 +tp1459 +a(g435 +Vx +p1460 +tp1461 +a(g826 +V\u000a +p1462 +tp1463 +a(g744 +Vdex +p1464 +tp1465 +a(g826 +V\u000a +p1466 +tp1467 +a(g744 +Vbne +p1468 +tp1469 +a(g826 +g1046 +tp1470 +a(g435 +Vclear_n +p1471 +tp1472 +a(g826 +V\u000a +p1473 +tp1474 +a(g744 +Vinx +p1475 +tp1476 +a(g826 +V\u000a +p1477 +tp1478 +a(g744 +Vstx +p1479 +tp1480 +a(g826 +g1046 +tp1481 +a(g435 +g1424 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g744 +Vstx +p1485 +tp1486 +a(g826 +g1046 +tp1487 +a(g435 +g1454 +tp1488 +a(g826 +V\u000a +p1489 +tp1490 +a(g744 +Vstx +p1491 +tp1492 +a(g826 +g1046 +tp1493 +a(g435 +Vn_length +p1494 +tp1495 +a(g826 +V\u000a \u000a +p1496 +tp1497 +a(g596 +Vmainloop: +p1498 +tp1499 +a(g826 +V\u000a +p1500 +tp1501 +a(g744 +Vjsr +p1502 +tp1503 +a(g826 +g1046 +tp1504 +a(g435 +Vis_lychrel +p1505 +tp1506 +a(g826 +V\u000a +p1507 +tp1508 +a(g744 +Vbcc +p1509 +tp1510 +a(g826 +g1046 +tp1511 +a(g435 +Vno_lychrel +p1512 +tp1513 +a(g826 +V\u000a +p1514 +tp1515 +a(g744 +Vjsr +p1516 +tp1517 +a(g826 +g1046 +tp1518 +a(g435 +Vprint_n +p1519 +tp1520 +a(g826 +V\u000a +p1521 +tp1522 +a(g596 +Vno_lychrel: +p1523 +tp1524 +a(g826 +V\u000a +p1525 +tp1526 +a(g744 +Vjsr +p1527 +tp1528 +a(g826 +g1046 +tp1529 +a(g435 +Vincrease_n +p1530 +tp1531 +a(g826 +V\u000a \u000a +p1532 +tp1533 +a(g744 +Vinc +p1534 +tp1535 +a(g826 +g1046 +tp1536 +a(g435 +g1424 +tp1537 +a(g826 +V +p1538 +tp1539 +a(g8 +V; INC(i) +p1540 +tp1541 +a(g826 +V\u000a +p1542 +tp1543 +a(g744 +Vbne +p1544 +tp1545 +a(g826 +g1046 +tp1546 +a(g435 +Vskip +p1547 +tp1548 +a(g826 +V\u000a +p1549 +tp1550 +a(g744 +Vinc +p1551 +tp1552 +a(g826 +g1046 +tp1553 +a(g435 +g1424 +tp1554 +a(g412 +g1100 +tp1555 +a(g37 +g1142 +tp1556 +a(g826 +V\u000a +p1557 +tp1558 +a(g744 +Vbne +p1559 +tp1560 +a(g826 +g1046 +tp1561 +a(g435 +Vskip +p1562 +tp1563 +a(g826 +V\u000a +p1564 +tp1565 +a(g744 +Vinc +p1566 +tp1567 +a(g826 +g1046 +tp1568 +a(g435 +g1424 +tp1569 +a(g412 +g1100 +tp1570 +a(g37 +g1435 +tp1571 +a(g826 +V\u000a +p1572 +tp1573 +a(g596 +Vskip: +p1574 +tp1575 +a(g826 +V\u000a +p1576 +tp1577 +a(g744 +Vlda +p1578 +tp1579 +a(g826 +g1046 +tp1580 +a(g435 +g1424 +tp1581 +a(g826 +V\u000a +p1582 +tp1583 +a(g744 +Vcmp +p1584 +tp1585 +a(g826 +g1046 +tp1586 +a(g705 +g1412 +tp1587 +a(g412 +V< +p1588 +tp1589 +a(g435 +VTO +p1590 +tp1591 +a(g826 +V\u000a +p1592 +tp1593 +a(g744 +Vbne +p1594 +tp1595 +a(g826 +g1046 +tp1596 +a(g435 +Vmainloop +p1597 +tp1598 +a(g826 +V\u000a +p1599 +tp1600 +a(g744 +Vlda +p1601 +tp1602 +a(g826 +g1046 +tp1603 +a(g435 +g1424 +tp1604 +a(g412 +g1100 +tp1605 +a(g37 +g1142 +tp1606 +a(g826 +V\u000a +p1607 +tp1608 +a(g744 +Vcmp +p1609 +tp1610 +a(g826 +g1046 +tp1611 +a(g705 +g1412 +tp1612 +a(g412 +V> +p1613 +tp1614 +a(g435 +VTO +p1615 +tp1616 +a(g826 +V\u000a +p1617 +tp1618 +a(g744 +Vbne +p1619 +tp1620 +a(g826 +g1046 +tp1621 +a(g435 +Vmainloop +p1622 +tp1623 +a(g826 +V\u000a +p1624 +tp1625 +a(g744 +Vlda +p1626 +tp1627 +a(g826 +g1046 +tp1628 +a(g435 +g1424 +tp1629 +a(g412 +g1100 +tp1630 +a(g37 +g1435 +tp1631 +a(g826 +V\u000a +p1632 +tp1633 +a(g744 +Vcmp +p1634 +tp1635 +a(g826 +g1046 +tp1636 +a(g705 +g1412 +tp1637 +a(g412 +V^ +p1638 +tp1639 +a(g435 +VTO +p1640 +tp1641 +a(g826 +V\u000a +p1642 +tp1643 +a(g744 +Vbne +p1644 +tp1645 +a(g826 +g1046 +tp1646 +a(g435 +Vmainloop +p1647 +tp1648 +a(g826 +V\u000a \u000a +p1649 +tp1650 +a(g744 +Vrts +p1651 +tp1652 +a(g826 +V\u000a +p1653 +tp1654 +a(g785 +V.endproc +p1655 +tp1656 +a(g826 +V\u000a\u000a +p1657 +tp1658 +a(g8 +V;-------------------------------------- +p1659 +tp1660 +a(g826 +V\u000a +p1661 +tp1662 +a(g8 +V; Print `n` and a trailing newline. +p1663 +tp1664 +a(g826 +V\u000a +p1665 +tp1666 +a(g8 +V; +p1667 +tp1668 +a(g826 +V\u000a +p1669 +tp1670 +a(g8 +V; :in: `n_length`, `n` +p1671 +tp1672 +a(g826 +V\u000a +p1673 +tp1674 +a(g8 +V;-------------------------------------- +p1675 +tp1676 +a(g826 +V\u000a +p1677 +tp1678 +a(g785 +V.proc +p1679 +tp1680 +a(g826 +g1046 +tp1681 +a(g435 +Vprint_n +p1682 +tp1683 +a(g826 +V\u000a +p1684 +tp1685 +a(g744 +Vldy +p1686 +tp1687 +a(g826 +g1046 +tp1688 +a(g435 +Vn_length +p1689 +tp1690 +a(g826 +V\u000a +p1691 +tp1692 +a(g596 +VL1: +p1693 +tp1694 +a(g826 +V\u000a +p1695 +tp1696 +a(g744 +Vlda +p1697 +tp1698 +a(g826 +g1046 +tp1699 +a(g435 +g1454 +tp1700 +a(g412 +g1456 +tp1701 +a(g37 +g1142 +tp1702 +a(g705 +g1334 +tp1703 +a(g435 +Vy +p1704 +tp1705 +a(g826 +V\u000a +p1706 +tp1707 +a(g744 +Vora +p1708 +tp1709 +a(g826 +g1046 +tp1710 +a(g705 +g1412 +tp1711 +a(g265 +V%110000 +p1712 +tp1713 +a(g826 +V +p1714 +tp1715 +a(g8 +V; = '0' +p1716 +tp1717 +a(g826 +V\u000a +p1718 +tp1719 +a(g744 +Vjsr +p1720 +tp1721 +a(g826 +g1046 +tp1722 +a(g435 +Vchrout +p1723 +tp1724 +a(g826 +V\u000a +p1725 +tp1726 +a(g744 +Vdey +p1727 +tp1728 +a(g826 +V\u000a +p1729 +tp1730 +a(g744 +Vbne +p1731 +tp1732 +a(g826 +g1046 +tp1733 +a(g435 +VL1 +p1734 +tp1735 +a(g826 +V\u000a \u000a +p1736 +tp1737 +a(g744 +Vlda +p1738 +tp1739 +a(g826 +g1046 +tp1740 +a(g705 +g1412 +tp1741 +a(g37 +V13 +p1742 +tp1743 +a(g826 +V\u000a +p1744 +tp1745 +a(g744 +Vjmp +p1746 +tp1747 +a(g826 +g1046 +tp1748 +a(g435 +Vchrout +p1749 +tp1750 +a(g826 +V\u000a +p1751 +tp1752 +a(g785 +V.endproc +p1753 +tp1754 +a(g826 +V\u000a\u000a +p1755 +tp1756 +a(g8 +V;-------------------------------------- +p1757 +tp1758 +a(g826 +V\u000a +p1759 +tp1760 +a(g8 +V; Increase `n` by one. +p1761 +tp1762 +a(g826 +V\u000a +p1763 +tp1764 +a(g8 +V; +p1765 +tp1766 +a(g826 +V\u000a +p1767 +tp1768 +a(g8 +V; This procedure expects n[n_length] == 0 in case the number gets +p1769 +tp1770 +a(g826 +V\u000a +p1771 +tp1772 +a(g8 +V; one digit longer. +p1773 +tp1774 +a(g826 +V\u000a +p1775 +tp1776 +a(g8 +V; +p1777 +tp1778 +a(g826 +V\u000a +p1779 +tp1780 +a(g8 +V; :in: `n`, `n_length` +p1781 +tp1782 +a(g826 +V\u000a +p1783 +tp1784 +a(g8 +V; :out: `n`, `n_length` +p1785 +tp1786 +a(g826 +V\u000a +p1787 +tp1788 +a(g8 +V;-------------------------------------- +p1789 +tp1790 +a(g826 +V\u000a +p1791 +tp1792 +a(g785 +V.proc +p1793 +tp1794 +a(g826 +g1046 +tp1795 +a(g435 +Vincrease_n +p1796 +tp1797 +a(g826 +V\u000a +p1798 +tp1799 +a(g744 +Vldx +p1800 +tp1801 +a(g826 +g1046 +tp1802 +a(g705 +g1412 +tp1803 +a(g37 +g1275 +tp1804 +a(g826 +V\u000a +p1805 +tp1806 +a(g596 +VL1: +p1807 +tp1808 +a(g826 +V\u000a +p1809 +tp1810 +a(g744 +Vinc +p1811 +tp1812 +a(g826 +g1046 +tp1813 +a(g435 +g1454 +tp1814 +a(g705 +g1334 +tp1815 +a(g435 +g1460 +tp1816 +a(g826 +V +p1817 +tp1818 +a(g8 +V; Increase digit. +p1819 +tp1820 +a(g826 +V\u000a +p1821 +tp1822 +a(g744 +Vlda +p1823 +tp1824 +a(g826 +g1046 +tp1825 +a(g435 +g1454 +tp1826 +a(g705 +g1334 +tp1827 +a(g435 +g1460 +tp1828 +a(g826 +V\u000a +p1829 +tp1830 +a(g744 +Vcmp +p1831 +tp1832 +a(g826 +g1046 +tp1833 +a(g705 +g1412 +tp1834 +a(g37 +V10 +p1835 +tp1836 +a(g826 +V +p1837 +tp1838 +a(g8 +V; If "carry", store 0 and go to next digit. +p1839 +tp1840 +a(g826 +V\u000a +p1841 +tp1842 +a(g744 +Vbne +p1843 +tp1844 +a(g826 +g1046 +tp1845 +a(g435 +Vreturn +p1846 +tp1847 +a(g826 +V\u000a +p1848 +tp1849 +a(g744 +Vlda +p1850 +tp1851 +a(g826 +g1046 +tp1852 +a(g705 +g1412 +tp1853 +a(g37 +g1275 +tp1854 +a(g826 +V\u000a +p1855 +tp1856 +a(g744 +Vsta +p1857 +tp1858 +a(g826 +g1046 +tp1859 +a(g435 +g1454 +tp1860 +a(g705 +g1334 +tp1861 +a(g435 +g1460 +tp1862 +a(g826 +V\u000a +p1863 +tp1864 +a(g744 +Vinx +p1865 +tp1866 +a(g826 +V\u000a +p1867 +tp1868 +a(g744 +Vbne +p1869 +tp1870 +a(g826 +g1046 +tp1871 +a(g435 +VL1 +p1872 +tp1873 +a(g826 +V\u000a +p1874 +tp1875 +a(g596 +Vreturn: +p1876 +tp1877 +a(g826 +V\u000a +p1878 +tp1879 +a(g744 +Vcpx +p1880 +tp1881 +a(g826 +g1046 +tp1882 +a(g435 +Vn_length +p1883 +tp1884 +a(g826 +g1042 +tp1885 +a(g8 +V; If "carry" after last digit, increase length. +p1886 +tp1887 +a(g826 +V\u000a +p1888 +tp1889 +a(g744 +Vbcc +p1890 +tp1891 +a(g826 +g1046 +tp1892 +a(g435 +Vskip +p1893 +tp1894 +a(g826 +V\u000a +p1895 +tp1896 +a(g744 +Vinc +p1897 +tp1898 +a(g826 +g1046 +tp1899 +a(g435 +Vn_length +p1900 +tp1901 +a(g826 +V\u000a +p1902 +tp1903 +a(g596 +Vskip: +p1904 +tp1905 +a(g826 +V\u000a +p1906 +tp1907 +a(g744 +Vrts +p1908 +tp1909 +a(g826 +V\u000a +p1910 +tp1911 +a(g785 +V.endproc +p1912 +tp1913 +a(g826 +V\u000a\u000a +p1914 +tp1915 +a(g8 +V;-------------------------------------- +p1916 +tp1917 +a(g826 +V\u000a +p1918 +tp1919 +a(g8 +V; Tests if `n` is a Lychrel number. +p1920 +tp1921 +a(g826 +V\u000a +p1922 +tp1923 +a(g8 +V; +p1924 +tp1925 +a(g826 +V\u000a +p1926 +tp1927 +a(g8 +V; :in: `n`, `n_length` +p1928 +tp1929 +a(g826 +V\u000a +p1930 +tp1931 +a(g8 +V; :out: C is set if yes, cleared otherwise. +p1932 +tp1933 +a(g826 +V\u000a +p1934 +tp1935 +a(g8 +V; :uses: `length`, `xa`, `xb` +p1936 +tp1937 +a(g826 +V\u000a +p1938 +tp1939 +a(g8 +V;-------------------------------------- +p1940 +tp1941 +a(g826 +V\u000a +p1942 +tp1943 +a(g785 +V.proc +p1944 +tp1945 +a(g826 +g1046 +tp1946 +a(g435 +Vis_lychrel +p1947 +tp1948 +a(g826 +V\u000a +p1949 +tp1950 +a(g785 +V.zeropage +p1951 +tp1952 +a(g826 +V\u000a +p1953 +tp1954 +a(g596 +Vi: +p1955 +tp1956 +a(g826 +V\u000a +p1957 +tp1958 +a(g785 +V.res +p1959 +tp1960 +a(g826 +g1046 +tp1961 +a(g37 +g1142 +tp1962 +a(g826 +V\u000a\u000a +p1963 +tp1964 +a(g785 +V.code +p1965 +tp1966 +a(g826 +V\u000a +p1967 +tp1968 +a(g744 +Vldx +p1969 +tp1970 +a(g826 +g1046 +tp1971 +a(g435 +Vn_length +p1972 +tp1973 +a(g826 +V +p1974 +tp1975 +a(g8 +V; xa := n; length := n_length +p1976 +tp1977 +a(g826 +V\u000a +p1978 +tp1979 +a(g744 +Vstx +p1980 +tp1981 +a(g826 +g1046 +tp1982 +a(g435 +Vlength +p1983 +tp1984 +a(g826 +V\u000a +p1985 +tp1986 +a(g596 +VL1: +p1987 +tp1988 +a(g826 +V\u000a +p1989 +tp1990 +a(g744 +Vlda +p1991 +tp1992 +a(g826 +g1046 +tp1993 +a(g435 +g1454 +tp1994 +a(g412 +g1456 +tp1995 +a(g37 +g1142 +tp1996 +a(g705 +g1334 +tp1997 +a(g435 +g1460 +tp1998 +a(g826 +V\u000a +p1999 +tp2000 +a(g744 +Vsta +p2001 +tp2002 +a(g826 +g1046 +tp2003 +a(g435 +Vxa +p2004 +tp2005 +a(g412 +g1456 +tp2006 +a(g37 +g1142 +tp2007 +a(g705 +g1334 +tp2008 +a(g435 +g1460 +tp2009 +a(g826 +V\u000a +p2010 +tp2011 +a(g744 +Vdex +p2012 +tp2013 +a(g826 +V\u000a +p2014 +tp2015 +a(g744 +Vbne +p2016 +tp2017 +a(g826 +g1046 +tp2018 +a(g435 +VL1 +p2019 +tp2020 +a(g826 +V\u000a \u000a +p2021 +tp2022 +a(g744 +Vlda +p2023 +tp2024 +a(g826 +g1046 +tp2025 +a(g705 +g1412 +tp2026 +a(g435 +VITERATIONS +p2027 +tp2028 +a(g826 +V +p2029 +tp2030 +a(g8 +V; i := ITERATIONS +p2031 +tp2032 +a(g826 +V\u000a +p2033 +tp2034 +a(g744 +Vsta +p2035 +tp2036 +a(g826 +g1046 +tp2037 +a(g435 +g1424 +tp2038 +a(g826 +V\u000a +p2039 +tp2040 +a(g596 +VL2: +p2041 +tp2042 +a(g826 +V\u000a +p2043 +tp2044 +a(g744 +Vjsr +p2045 +tp2046 +a(g826 +g1046 +tp2047 +a(g435 +Vreverse_add +p2048 +tp2049 +a(g826 +V\u000a +p2050 +tp2051 +a(g744 +Vjsr +p2052 +tp2053 +a(g826 +g1046 +tp2054 +a(g435 +Vis_palindrome +p2055 +tp2056 +a(g826 +V\u000a +p2057 +tp2058 +a(g744 +Vbne +p2059 +tp2060 +a(g826 +g1046 +tp2061 +a(g435 +Vno_palindrome +p2062 +tp2063 +a(g826 +V\u000a +p2064 +tp2065 +a(g744 +Vclc +p2066 +tp2067 +a(g826 +V\u000a +p2068 +tp2069 +a(g744 +Vrts +p2070 +tp2071 +a(g826 +V\u000a +p2072 +tp2073 +a(g596 +Vno_palindrome: +p2074 +tp2075 +a(g826 +V\u000a +p2076 +tp2077 +a(g744 +Vldx +p2078 +tp2079 +a(g826 +g1046 +tp2080 +a(g435 +Vlength +p2081 +tp2082 +a(g826 +V +p2083 +tp2084 +a(g8 +V; a := b +p2085 +tp2086 +a(g826 +V\u000a +p2087 +tp2088 +a(g596 +VL3: +p2089 +tp2090 +a(g826 +V\u000a +p2091 +tp2092 +a(g744 +Vlda +p2093 +tp2094 +a(g826 +g1046 +tp2095 +a(g435 +Vxb +p2096 +tp2097 +a(g412 +g1456 +tp2098 +a(g37 +g1142 +tp2099 +a(g705 +g1334 +tp2100 +a(g435 +g1460 +tp2101 +a(g826 +V\u000a +p2102 +tp2103 +a(g744 +Vsta +p2104 +tp2105 +a(g826 +g1046 +tp2106 +a(g435 +Vxa +p2107 +tp2108 +a(g412 +g1456 +tp2109 +a(g37 +g1142 +tp2110 +a(g705 +g1334 +tp2111 +a(g435 +g1460 +tp2112 +a(g826 +V\u000a +p2113 +tp2114 +a(g744 +Vdex +p2115 +tp2116 +a(g826 +V\u000a +p2117 +tp2118 +a(g744 +Vbne +p2119 +tp2120 +a(g826 +g1046 +tp2121 +a(g435 +VL3 +p2122 +tp2123 +a(g826 +V\u000a \u000a +p2124 +tp2125 +a(g744 +Vdec +p2126 +tp2127 +a(g826 +g1046 +tp2128 +a(g435 +g1424 +tp2129 +a(g826 +V +p2130 +tp2131 +a(g8 +V; Loop body end. +p2132 +tp2133 +a(g826 +V\u000a +p2134 +tp2135 +a(g744 +Vbne +p2136 +tp2137 +a(g826 +g1046 +tp2138 +a(g435 +VL2 +p2139 +tp2140 +a(g826 +V\u000a \u000a +p2141 +tp2142 +a(g744 +Vsec +p2143 +tp2144 +a(g826 +V\u000a +p2145 +tp2146 +a(g744 +Vrts +p2147 +tp2148 +a(g826 +V\u000a +p2149 +tp2150 +a(g785 +V.endproc +p2151 +tp2152 +a(g826 +V\u000a\u000a +p2153 +tp2154 +a(g8 +V;-------------------------------------- +p2155 +tp2156 +a(g826 +V\u000a +p2157 +tp2158 +a(g8 +V; Add the reverse to `xa` to itself and store the result in `xb`. +p2159 +tp2160 +a(g826 +V\u000a +p2161 +tp2162 +a(g8 +V; +p2163 +tp2164 +a(g826 +V\u000a +p2165 +tp2166 +a(g8 +V; :in: `length`, `xa` +p2167 +tp2168 +a(g826 +V\u000a +p2169 +tp2170 +a(g8 +V; :out: `length`, `xb` +p2171 +tp2172 +a(g826 +V\u000a +p2173 +tp2174 +a(g8 +V;-------------------------------------- +p2175 +tp2176 +a(g826 +V\u000a +p2177 +tp2178 +a(g785 +V.proc +p2179 +tp2180 +a(g826 +g1046 +tp2181 +a(g435 +Vreverse_add +p2182 +tp2183 +a(g826 +V\u000a +p2184 +tp2185 +a(g785 +V.code +p2186 +tp2187 +a(g826 +V\u000a +p2188 +tp2189 +a(g744 +Vldx +p2190 +tp2191 +a(g826 +g1046 +tp2192 +a(g705 +g1412 +tp2193 +a(g37 +g1275 +tp2194 +a(g826 +V\u000a +p2195 +tp2196 +a(g744 +Vldy +p2197 +tp2198 +a(g826 +g1046 +tp2199 +a(g435 +Vlength +p2200 +tp2201 +a(g826 +V\u000a +p2202 +tp2203 +a(g744 +Vclc +p2204 +tp2205 +a(g826 +V\u000a +p2206 +tp2207 +a(g596 +VL1: +p2208 +tp2209 +a(g826 +V\u000a +p2210 +tp2211 +a(g744 +Vlda +p2212 +tp2213 +a(g826 +g1046 +tp2214 +a(g435 +Vxa +p2215 +tp2216 +a(g705 +g1334 +tp2217 +a(g435 +g1460 +tp2218 +a(g826 +V\u000a +p2219 +tp2220 +a(g744 +Vadc +p2221 +tp2222 +a(g826 +g1046 +tp2223 +a(g435 +Vxa +p2224 +tp2225 +a(g412 +g1456 +tp2226 +a(g37 +g1142 +tp2227 +a(g705 +g1334 +tp2228 +a(g435 +g1704 +tp2229 +a(g826 +V\u000a \u000a +p2230 +tp2231 +a(g744 +Vcmp +p2232 +tp2233 +a(g826 +g1046 +tp2234 +a(g705 +g1412 +tp2235 +a(g37 +V10 +p2236 +tp2237 +a(g826 +V\u000a +p2238 +tp2239 +a(g744 +Vbcc +p2240 +tp2241 +a(g826 +g1046 +tp2242 +a(g435 +Vno_adjust +p2243 +tp2244 +a(g826 +V\u000a +p2245 +tp2246 +a(g744 +Vsbc +p2247 +tp2248 +a(g826 +g1046 +tp2249 +a(g705 +g1412 +tp2250 +a(g37 +V10 +p2251 +tp2252 +a(g826 +V\u000a +p2253 +tp2254 +a(g596 +Vno_adjust: +p2255 +tp2256 +a(g826 +V\u000a +p2257 +tp2258 +a(g744 +Vsta +p2259 +tp2260 +a(g826 +g1046 +tp2261 +a(g435 +Vxb +p2262 +tp2263 +a(g705 +g1334 +tp2264 +a(g435 +g1460 +tp2265 +a(g826 +V\u000a \u000a +p2266 +tp2267 +a(g744 +Vdey +p2268 +tp2269 +a(g826 +V\u000a +p2270 +tp2271 +a(g744 +Vinx +p2272 +tp2273 +a(g826 +V\u000a +p2274 +tp2275 +a(g744 +Vtxa +p2276 +tp2277 +a(g826 +V +p2278 +tp2279 +a(g8 +V; ``eor`` instead of ``cpx`` to keep the carry flag +p2280 +tp2281 +a(g826 +V\u000a +p2282 +tp2283 +a(g744 +Veor +p2284 +tp2285 +a(g826 +g1046 +tp2286 +a(g435 +Vlength +p2287 +tp2288 +a(g826 +g1042 +tp2289 +a(g8 +V; of the addition above. +p2290 +tp2291 +a(g826 +V\u000a +p2292 +tp2293 +a(g744 +Vbne +p2294 +tp2295 +a(g826 +g1046 +tp2296 +a(g435 +VL1 +p2297 +tp2298 +a(g826 +V\u000a \u000a +p2299 +tp2300 +a(g744 +Vbcc +p2301 +tp2302 +a(g826 +g1046 +tp2303 +a(g435 +Vno_carry +p2304 +tp2305 +a(g826 +V\u000a +p2306 +tp2307 +a(g744 +Vlda +p2308 +tp2309 +a(g826 +g1046 +tp2310 +a(g705 +g1412 +tp2311 +a(g37 +g1142 +tp2312 +a(g826 +V\u000a +p2313 +tp2314 +a(g744 +Vsta +p2315 +tp2316 +a(g826 +g1046 +tp2317 +a(g435 +Vxb +p2318 +tp2319 +a(g705 +g1334 +tp2320 +a(g435 +g1460 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g744 +Vinc +p2324 +tp2325 +a(g826 +g1046 +tp2326 +a(g435 +Vlength +p2327 +tp2328 +a(g826 +V\u000a +p2329 +tp2330 +a(g596 +Vno_carry: +p2331 +tp2332 +a(g826 +V\u000a +p2333 +tp2334 +a(g744 +Vrts +p2335 +tp2336 +a(g826 +V\u000a +p2337 +tp2338 +a(g785 +V.endproc +p2339 +tp2340 +a(g826 +V\u000a\u000a +p2341 +tp2342 +a(g8 +V;-------------------------------------- +p2343 +tp2344 +a(g826 +V\u000a +p2345 +tp2346 +a(g8 +V; Checks if `xb` is a palindrome. +p2347 +tp2348 +a(g826 +V\u000a +p2349 +tp2350 +a(g8 +V; +p2351 +tp2352 +a(g826 +V\u000a +p2353 +tp2354 +a(g8 +V; :in: `length`, `xb` +p2355 +tp2356 +a(g826 +V\u000a +p2357 +tp2358 +a(g8 +V; :out: Z flag set if `xb` is a palindrome, cleared otherwise. +p2359 +tp2360 +a(g826 +V\u000a +p2361 +tp2362 +a(g8 +V;-------------------------------------- +p2363 +tp2364 +a(g826 +V\u000a +p2365 +tp2366 +a(g785 +V.proc +p2367 +tp2368 +a(g826 +g1046 +tp2369 +a(g435 +Vis_palindrome +p2370 +tp2371 +a(g826 +V\u000a +p2372 +tp2373 +a(g785 +V.code +p2374 +tp2375 +a(g826 +V\u000a +p2376 +tp2377 +a(g744 +Vldx +p2378 +tp2379 +a(g826 +g1046 +tp2380 +a(g705 +g1412 +tp2381 +a(g37 +g1275 +tp2382 +a(g826 +V\u000a +p2383 +tp2384 +a(g744 +Vlda +p2385 +tp2386 +a(g826 +g1046 +tp2387 +a(g435 +Vlength +p2388 +tp2389 +a(g826 +V\u000a +p2390 +tp2391 +a(g744 +Vtay +p2392 +tp2393 +a(g826 +V\u000a +p2394 +tp2395 +a(g744 +Vlsr +p2396 +tp2397 +a(g826 +V\u000a +p2398 +tp2399 +a(g744 +Vsta +p2400 +tp2401 +a(g826 +g1046 +tp2402 +a(g435 +VL1 +p2403 +tp2404 +a(g412 +g1100 +tp2405 +a(g37 +g1142 +tp2406 +a(g826 +g1042 +tp2407 +a(g8 +V; Self modifying code! +p2408 +tp2409 +a(g826 +V\u000a +p2410 +tp2411 +a(g596 +VL1: +p2412 +tp2413 +a(g826 +V\u000a +p2414 +tp2415 +a(g744 +Vcpx +p2416 +tp2417 +a(g826 +g1046 +tp2418 +a(g705 +g1412 +tp2419 +a(g37 +g1275 +tp2420 +a(g826 +V +p2421 +tp2422 +a(g8 +V; <<< 0 replaced by (`length` / 2). +p2423 +tp2424 +a(g826 +V\u000a +p2425 +tp2426 +a(g744 +Vbeq +p2427 +tp2428 +a(g826 +g1046 +tp2429 +a(g435 +Vreturn +p2430 +tp2431 +a(g826 +V\u000a +p2432 +tp2433 +a(g744 +Vlda +p2434 +tp2435 +a(g826 +g1046 +tp2436 +a(g435 +Vxb +p2437 +tp2438 +a(g705 +g1334 +tp2439 +a(g435 +g1460 +tp2440 +a(g826 +V\u000a +p2441 +tp2442 +a(g744 +Vcmp +p2443 +tp2444 +a(g826 +g1046 +tp2445 +a(g435 +Vxb +p2446 +tp2447 +a(g412 +g1456 +tp2448 +a(g37 +g1142 +tp2449 +a(g705 +g1334 +tp2450 +a(g435 +g1704 +tp2451 +a(g826 +V\u000a +p2452 +tp2453 +a(g744 +Vbne +p2454 +tp2455 +a(g826 +g1046 +tp2456 +a(g435 +Vreturn +p2457 +tp2458 +a(g826 +V\u000a +p2459 +tp2460 +a(g744 +Vdey +p2461 +tp2462 +a(g826 +V\u000a +p2463 +tp2464 +a(g744 +Vinx +p2465 +tp2466 +a(g826 +V\u000a +p2467 +tp2468 +a(g744 +Vbne +p2469 +tp2470 +a(g826 +g1046 +tp2471 +a(g435 +VL1 +p2472 +tp2473 +a(g826 +V\u000a +p2474 +tp2475 +a(g596 +Vreturn: +p2476 +tp2477 +a(g826 +V\u000a +p2478 +tp2479 +a(g744 +Vrts +p2480 +tp2481 +a(g826 +V\u000a +p2482 +tp2483 +a(g785 +V.endproc +p2484 +tp2485 +a(g826 +V\u000a +p2486 +tp2487 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/capdl_example.cdl b/tests/examplefiles/output/capdl_example.cdl new file mode 100644 index 0000000..18d5d25 --- /dev/null +++ b/tests/examplefiles/output/capdl_example.cdl @@ -0,0 +1,3392 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#ifdef ARCH_ARM\u000a +p956 +tp957 +a(g745 +Varch +p958 +tp959 +a(g827 +V +p960 +tp961 +a(g745 +Varm11 +p962 +tp963 +a(g827 +V\u000a +p964 +tp965 +a(g8 +V#else\u000a +p966 +tp967 +a(g745 +Varch +p968 +tp969 +a(g827 +g960 +tp970 +a(g745 +Via32 +p971 +tp972 +a(g827 +V\u000a +p973 +tp974 +a(g8 +V#endif\u000a +p975 +tp976 +a(g827 +V\u000a +p977 +tp978 +a(g745 +Vobjects +p979 +tp980 +a(g827 +g960 +tp981 +a(g706 +V{ +p982 +tp983 +a(g827 +V\u000a +p984 +tp985 +a(g436 +Vmy_ep +p986 +tp987 +a(g827 +g960 +tp988 +a(g706 +V= +p989 +tp990 +a(g827 +g960 +tp991 +a(g749 +Vep +p992 +tp993 +a(g827 +g960 +tp994 +a(g13 +V/* A synchronous endpoint */ +p995 +tp996 +a(g827 +V\u000a\u000a +p997 +tp998 +a(g13 +V/* Two thread control blocks */ +p999 +tp1000 +a(g827 +V\u000a +p1001 +tp1002 +a(g436 +Vtcb1 +p1003 +tp1004 +a(g827 +g960 +tp1005 +a(g706 +g989 +tp1006 +a(g827 +g960 +tp1007 +a(g749 +Vtcb +p1008 +tp1009 +a(g827 +V\u000a +p1010 +tp1011 +a(g436 +Vtcb2 +p1012 +tp1013 +a(g827 +g960 +tp1014 +a(g706 +g989 +tp1015 +a(g827 +g960 +tp1016 +a(g749 +Vtcb +p1017 +tp1018 +a(g827 +V\u000a\u000a +p1019 +tp1020 +a(g13 +V/* Four frames of physical memory */ +p1021 +tp1022 +a(g827 +V\u000a +p1023 +tp1024 +a(g436 +Vframe1 +p1025 +tp1026 +a(g827 +g960 +tp1027 +a(g706 +g989 +tp1028 +a(g827 +g960 +tp1029 +a(g749 +Vframe +p1030 +tp1031 +a(g827 +g960 +tp1032 +a(g706 +V( +p1033 +tp1034 +a(g32 +V4k +p1035 +tp1036 +a(g706 +V) +p1037 +tp1038 +a(g827 +V\u000a +p1039 +tp1040 +a(g436 +Vframe2 +p1041 +tp1042 +a(g827 +g960 +tp1043 +a(g706 +g989 +tp1044 +a(g827 +g960 +tp1045 +a(g749 +Vframe +p1046 +tp1047 +a(g827 +g960 +tp1048 +a(g706 +g1033 +tp1049 +a(g32 +V4k +p1050 +tp1051 +a(g706 +g1037 +tp1052 +a(g827 +V\u000a +p1053 +tp1054 +a(g436 +Vframe3 +p1055 +tp1056 +a(g827 +g960 +tp1057 +a(g706 +g989 +tp1058 +a(g827 +g960 +tp1059 +a(g749 +Vframe +p1060 +tp1061 +a(g827 +g960 +tp1062 +a(g706 +g1033 +tp1063 +a(g32 +V4k +p1064 +tp1065 +a(g706 +g1037 +tp1066 +a(g827 +V\u000a +p1067 +tp1068 +a(g436 +Vframe4 +p1069 +tp1070 +a(g827 +g960 +tp1071 +a(g706 +g989 +tp1072 +a(g827 +g960 +tp1073 +a(g749 +Vframe +p1074 +tp1075 +a(g827 +g960 +tp1076 +a(g706 +g1033 +tp1077 +a(g32 +V4k +p1078 +tp1079 +a(g706 +g1037 +tp1080 +a(g827 +V\u000a\u000a +p1081 +tp1082 +a(g13 +V/* Two page tables */ +p1083 +tp1084 +a(g827 +V\u000a +p1085 +tp1086 +a(g436 +Vpt1 +p1087 +tp1088 +a(g827 +g960 +tp1089 +a(g706 +g989 +tp1090 +a(g827 +g960 +tp1091 +a(g749 +Vpt +p1092 +tp1093 +a(g827 +V\u000a +p1094 +tp1095 +a(g436 +Vpt2 +p1096 +tp1097 +a(g827 +g960 +tp1098 +a(g706 +g989 +tp1099 +a(g827 +g960 +tp1100 +a(g749 +Vpt +p1101 +tp1102 +a(g827 +V\u000a\u000a +p1103 +tp1104 +a(g13 +V/* Two page directories */ +p1105 +tp1106 +a(g827 +V\u000a +p1107 +tp1108 +a(g436 +Vpd1 +p1109 +tp1110 +a(g827 +g960 +tp1111 +a(g706 +g989 +tp1112 +a(g827 +g960 +tp1113 +a(g749 +Vpd +p1114 +tp1115 +a(g827 +V\u000a +p1116 +tp1117 +a(g436 +Vpd2 +p1118 +tp1119 +a(g827 +g960 +tp1120 +a(g706 +g989 +tp1121 +a(g827 +g960 +tp1122 +a(g749 +Vpd +p1123 +tp1124 +a(g827 +V\u000a\u000a +p1125 +tp1126 +a(g13 +V/* Two capability nodes */ +p1127 +tp1128 +a(g827 +V\u000a +p1129 +tp1130 +a(g436 +Vcnode1 +p1131 +tp1132 +a(g827 +g960 +tp1133 +a(g706 +g989 +tp1134 +a(g827 +g960 +tp1135 +a(g749 +Vcnode +p1136 +tp1137 +a(g827 +g960 +tp1138 +a(g706 +g1033 +tp1139 +a(g32 +V2 +p1140 +tp1141 +a(g827 +g960 +tp1142 +a(g32 +Vbits +p1143 +tp1144 +a(g706 +g1037 +tp1145 +a(g827 +V\u000a +p1146 +tp1147 +a(g436 +Vcnode2 +p1148 +tp1149 +a(g827 +g960 +tp1150 +a(g706 +g989 +tp1151 +a(g827 +g960 +tp1152 +a(g749 +Vcnode +p1153 +tp1154 +a(g827 +g960 +tp1155 +a(g706 +g1033 +tp1156 +a(g32 +V3 +p1157 +tp1158 +a(g827 +g960 +tp1159 +a(g32 +Vbits +p1160 +tp1161 +a(g706 +g1037 +tp1162 +a(g827 +V\u000a +p1163 +tp1164 +a(g706 +V} +p1165 +tp1166 +a(g827 +V\u000a +p1167 +tp1168 +a(g745 +Vcaps +p1169 +tp1170 +a(g827 +g960 +tp1171 +a(g706 +g982 +tp1172 +a(g827 +V\u000a +p1173 +tp1174 +a(g436 +Vcnode1 +p1175 +tp1176 +a(g827 +g960 +tp1177 +a(g706 +g982 +tp1178 +a(g827 +V\u000a +p1179 +tp1180 +a(g298 +V0x1 +p1181 +tp1182 +a(g706 +V: +p1183 +tp1184 +a(g827 +g960 +tp1185 +a(g436 +Vframe1 +p1186 +tp1187 +a(g827 +g960 +tp1188 +a(g706 +g1033 +tp1189 +a(g794 +VRW +p1190 +tp1191 +a(g706 +g1037 +tp1192 +a(g827 +g960 +tp1193 +a(g13 +V/* read/write */ +p1194 +tp1195 +a(g827 +V\u000a +p1196 +tp1197 +a(g298 +V0x2 +p1198 +tp1199 +a(g706 +g1183 +tp1200 +a(g827 +g960 +tp1201 +a(g436 +Vmy_ep +p1202 +tp1203 +a(g827 +g960 +tp1204 +a(g706 +g1033 +tp1205 +a(g794 +VR +p1206 +tp1207 +a(g706 +g1037 +tp1208 +a(g827 +V +p1209 +tp1210 +a(g13 +V/* read-only */ +p1211 +tp1212 +a(g827 +V\u000a +p1213 +tp1214 +a(g706 +g1165 +tp1215 +a(g827 +V\u000a +p1216 +tp1217 +a(g436 +Vcnode2 +p1218 +tp1219 +a(g827 +g960 +tp1220 +a(g706 +g982 +tp1221 +a(g827 +V\u000a +p1222 +tp1223 +a(g298 +V0x1 +p1224 +tp1225 +a(g706 +g1183 +tp1226 +a(g827 +g960 +tp1227 +a(g436 +Vmy_ep +p1228 +tp1229 +a(g827 +g960 +tp1230 +a(g706 +g1033 +tp1231 +a(g794 +VW +p1232 +tp1233 +a(g706 +g1037 +tp1234 +a(g827 +V +p1235 +tp1236 +a(g13 +V/* write-only */ +p1237 +tp1238 +a(g827 +V\u000a +p1239 +tp1240 +a(g706 +g1165 +tp1241 +a(g827 +V\u000a +p1242 +tp1243 +a(g436 +Vtcb1 +p1244 +tp1245 +a(g827 +g960 +tp1246 +a(g706 +g982 +tp1247 +a(g827 +V\u000a +p1248 +tp1249 +a(g32 +Vvspace +p1250 +tp1251 +a(g706 +g1183 +tp1252 +a(g827 +g960 +tp1253 +a(g436 +Vpd1 +p1254 +tp1255 +a(g827 +V\u000a +p1256 +tp1257 +a(g32 +Vipc_buffer_slot +p1258 +tp1259 +a(g706 +g1183 +tp1260 +a(g827 +g960 +tp1261 +a(g436 +Vframe1 +p1262 +tp1263 +a(g827 +V\u000a +p1264 +tp1265 +a(g32 +Vcspace +p1266 +tp1267 +a(g706 +g1183 +tp1268 +a(g827 +g960 +tp1269 +a(g436 +Vcnode1 +p1270 +tp1271 +a(g827 +V\u000a +p1272 +tp1273 +a(g706 +g1165 +tp1274 +a(g827 +V\u000a +p1275 +tp1276 +a(g436 +Vpd1 +p1277 +tp1278 +a(g827 +g960 +tp1279 +a(g706 +g982 +tp1280 +a(g827 +V\u000a +p1281 +tp1282 +a(g298 +V0x10 +p1283 +tp1284 +a(g706 +g1183 +tp1285 +a(g827 +g960 +tp1286 +a(g436 +Vpt1 +p1287 +tp1288 +a(g827 +V\u000a +p1289 +tp1290 +a(g706 +g1165 +tp1291 +a(g827 +V\u000a +p1292 +tp1293 +a(g436 +Vpt1 +p1294 +tp1295 +a(g827 +g960 +tp1296 +a(g706 +g982 +tp1297 +a(g827 +V\u000a +p1298 +tp1299 +a(g298 +V0x8 +p1300 +tp1301 +a(g706 +g1183 +tp1302 +a(g827 +g960 +tp1303 +a(g436 +Vframe1 +p1304 +tp1305 +a(g827 +g960 +tp1306 +a(g706 +g1033 +tp1307 +a(g794 +VRW +p1308 +tp1309 +a(g706 +g1037 +tp1310 +a(g827 +V\u000a +p1311 +tp1312 +a(g298 +V0x9 +p1313 +tp1314 +a(g706 +g1183 +tp1315 +a(g827 +g960 +tp1316 +a(g436 +Vframe2 +p1317 +tp1318 +a(g827 +g960 +tp1319 +a(g706 +g1033 +tp1320 +a(g794 +g1206 +tp1321 +a(g706 +g1037 +tp1322 +a(g827 +V\u000a +p1323 +tp1324 +a(g706 +g1165 +tp1325 +a(g827 +V\u000a +p1326 +tp1327 +a(g436 +Vtcb2 +p1328 +tp1329 +a(g827 +g960 +tp1330 +a(g706 +g982 +tp1331 +a(g827 +V\u000a +p1332 +tp1333 +a(g32 +Vvspace +p1334 +tp1335 +a(g706 +g1183 +tp1336 +a(g827 +g960 +tp1337 +a(g436 +Vpd2 +p1338 +tp1339 +a(g827 +V\u000a +p1340 +tp1341 +a(g32 +Vipc_buffer_slot +p1342 +tp1343 +a(g706 +g1183 +tp1344 +a(g827 +g960 +tp1345 +a(g436 +Vframe3 +p1346 +tp1347 +a(g827 +V\u000a +p1348 +tp1349 +a(g32 +Vcspace +p1350 +tp1351 +a(g706 +g1183 +tp1352 +a(g827 +g960 +tp1353 +a(g436 +Vcnode2 +p1354 +tp1355 +a(g827 +V\u000a +p1356 +tp1357 +a(g706 +g1165 +tp1358 +a(g827 +V\u000a +p1359 +tp1360 +a(g436 +Vpd2 +p1361 +tp1362 +a(g827 +g960 +tp1363 +a(g706 +g982 +tp1364 +a(g827 +V\u000a +p1365 +tp1366 +a(g298 +V0x10 +p1367 +tp1368 +a(g706 +g1183 +tp1369 +a(g827 +g960 +tp1370 +a(g436 +Vpt2 +p1371 +tp1372 +a(g827 +V\u000a +p1373 +tp1374 +a(g706 +g1165 +tp1375 +a(g827 +V\u000a +p1376 +tp1377 +a(g436 +Vpt2 +p1378 +tp1379 +a(g827 +g960 +tp1380 +a(g706 +g982 +tp1381 +a(g827 +V\u000a +p1382 +tp1383 +a(g298 +V0x10 +p1384 +tp1385 +a(g706 +g1183 +tp1386 +a(g827 +g960 +tp1387 +a(g436 +Vframe3 +p1388 +tp1389 +a(g827 +g960 +tp1390 +a(g706 +g1033 +tp1391 +a(g794 +VRW +p1392 +tp1393 +a(g706 +g1037 +tp1394 +a(g827 +V\u000a +p1395 +tp1396 +a(g298 +V0x12 +p1397 +tp1398 +a(g706 +g1183 +tp1399 +a(g827 +g960 +tp1400 +a(g436 +Vframe4 +p1401 +tp1402 +a(g827 +g960 +tp1403 +a(g706 +g1033 +tp1404 +a(g794 +g1206 +tp1405 +a(g706 +g1037 +tp1406 +a(g827 +V\u000a +p1407 +tp1408 +a(g706 +g1165 +tp1409 +a(g827 +V\u000a +p1410 +tp1411 +a(g706 +g1165 +tp1412 +a(g827 +V\u000a +p1413 +tp1414 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/cbmbas_example b/tests/examplefiles/output/cbmbas_example new file mode 100644 index 0000000..c28016d --- /dev/null +++ b/tests/examplefiles/output/cbmbas_example @@ -0,0 +1,2592 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Literal' +p4 +S'Number' +p5 +S'Float' +p6 +tp7 +tp8 +Rp9 +(dp10 +S'parent' +p11 +g1 +(g2 +g3 +(g4 +g5 +tp12 +tp13 +Rp14 +(dp15 +S'Integer' +p16 +g1 +(g2 +g3 +(g4 +g5 +g16 +tp17 +tp18 +Rp19 +(dp20 +g11 +g14 +sS'Long' +p21 +g1 +(g2 +g3 +(g4 +g5 +g16 +g21 +tp22 +tp23 +Rp24 +(dp25 +g11 +g19 +sS'subtypes' +p26 +c__builtin__ +set +p27 +((lp28 +tp29 +Rp30 +sbsg26 +g27 +((lp31 +g24 +atp32 +Rp33 +sbsg11 +g1 +(g2 +g3 +(g4 +tp34 +tp35 +Rp36 +(dp37 +S'Scalar' +p38 +g1 +(g2 +g3 +(g4 +g38 +tp39 +tp40 +Rp41 +(dp42 +g11 +g36 +sg26 +g27 +((lp43 +g1 +(g2 +g3 +(g4 +g38 +S'Plain' +p44 +tp45 +tp46 +Rp47 +(dp48 +g11 +g41 +sg26 +g27 +((lp49 +tp50 +Rp51 +sbatp52 +Rp53 +sg44 +g47 +sbsg5 +g14 +sg11 +g1 +(g2 +g3 +(ttp54 +Rp55 +(dp56 +g5 +g14 +sS'Generic' +p57 +g1 +(g2 +g3 +(g57 +tp58 +tp59 +Rp60 +(dp61 +g11 +g55 +sS'Deleted' +p62 +g1 +(g2 +g3 +(g57 +g62 +tp63 +tp64 +Rp65 +(dp66 +g11 +g60 +sg26 +g27 +((lp67 +tp68 +Rp69 +sbsS'Subheading' +p70 +g1 +(g2 +g3 +(g57 +g70 +tp71 +tp72 +Rp73 +(dp74 +g11 +g60 +sg26 +g27 +((lp75 +tp76 +Rp77 +sbsS'Heading' +p78 +g1 +(g2 +g3 +(g57 +g78 +tp79 +tp80 +Rp81 +(dp82 +g11 +g60 +sg26 +g27 +((lp83 +tp84 +Rp85 +sbsS'Emph' +p86 +g1 +(g2 +g3 +(g57 +g86 +tp87 +tp88 +Rp89 +(dp90 +g11 +g60 +sg26 +g27 +((lp91 +tp92 +Rp93 +sbsS'Prompt' +p94 +g1 +(g2 +g3 +(g57 +g94 +tp95 +tp96 +Rp97 +(dp98 +g11 +g60 +sg26 +g27 +((lp99 +tp100 +Rp101 +sbsS'Inserted' +p102 +g1 +(g2 +g3 +(g57 +g102 +tp103 +tp104 +Rp105 +(dp106 +g11 +g60 +sg26 +g27 +((lp107 +tp108 +Rp109 +sbsS'Strong' +p110 +g1 +(g2 +g3 +(g57 +g110 +tp111 +tp112 +Rp113 +(dp114 +g11 +g60 +sg26 +g27 +((lp115 +tp116 +Rp117 +sbsS'Error' +p118 +g1 +(g2 +g3 +(g57 +g118 +tp119 +tp120 +Rp121 +(dp122 +g11 +g60 +sg26 +g27 +((lp123 +tp124 +Rp125 +sbsS'Traceback' +p126 +g1 +(g2 +g3 +(g57 +g126 +tp127 +tp128 +Rp129 +(dp130 +g11 +g60 +sg26 +g27 +((lp131 +tp132 +Rp133 +sbsg26 +g27 +((lp134 +g81 +ag73 +ag1 +(g2 +g3 +(g57 +S'Output' +p135 +tp136 +tp137 +Rp138 +(dp139 +g11 +g60 +sg26 +g27 +((lp140 +tp141 +Rp142 +sbag113 +ag89 +ag121 +ag129 +ag105 +ag97 +ag65 +atp143 +Rp144 +sg135 +g138 +sbsS'Operator' +p145 +g1 +(g2 +g3 +(g145 +tp146 +tp147 +Rp148 +(dp149 +g11 +g55 +sS'DBS' +p150 +g1 +(g2 +g3 +(g145 +g150 +tp151 +tp152 +Rp153 +(dp154 +g11 +g148 +sg26 +g27 +((lp155 +tp156 +Rp157 +sbsg26 +g27 +((lp158 +g153 +ag1 +(g2 +g3 +(g145 +S'Word' +p159 +tp160 +tp161 +Rp162 +(dp163 +g11 +g148 +sg26 +g27 +((lp164 +tp165 +Rp166 +sbatp167 +Rp168 +sg159 +g162 +sbsS'String' +p169 +g1 +(g2 +g3 +(g4 +g169 +tp170 +tp171 +Rp172 +(dp173 +S'Char' +p174 +g1 +(g2 +g3 +(g4 +g169 +g174 +tp175 +tp176 +Rp177 +(dp178 +g11 +g172 +sg26 +g27 +((lp179 +tp180 +Rp181 +sbsS'Backtick' +p182 +g1 +(g2 +g3 +(g4 +g169 +g182 +tp183 +tp184 +Rp185 +(dp186 +g11 +g172 +sg26 +g27 +((lp187 +tp188 +Rp189 +sbsS'Heredoc' +p190 +g1 +(g2 +g3 +(g4 +g169 +g190 +tp191 +tp192 +Rp193 +(dp194 +g11 +g172 +sg26 +g27 +((lp195 +tp196 +Rp197 +sbsS'Symbol' +p198 +g1 +(g2 +g3 +(g4 +g169 +g198 +tp199 +tp200 +Rp201 +(dp202 +g11 +g172 +sg26 +g27 +((lp203 +tp204 +Rp205 +sbsS'Interpol' +p206 +g1 +(g2 +g3 +(g4 +g169 +g206 +tp207 +tp208 +Rp209 +(dp210 +g11 +g172 +sg26 +g27 +((lp211 +tp212 +Rp213 +sbsS'Delimiter' +p214 +g1 +(g2 +g3 +(g4 +g169 +g214 +tp215 +tp216 +Rp217 +(dp218 +g11 +g172 +sg26 +g27 +((lp219 +tp220 +Rp221 +sbsS'Boolean' +p222 +g1 +(g2 +g3 +(g4 +g169 +g222 +tp223 +tp224 +Rp225 +(dp226 +g11 +g172 +sg26 +g27 +((lp227 +tp228 +Rp229 +sbsS'Character' +p230 +g1 +(g2 +g3 +(g4 +g169 +g230 +tp231 +tp232 +Rp233 +(dp234 +g11 +g172 +sg26 +g27 +((lp235 +tp236 +Rp237 +sbsS'Double' +p238 +g1 +(g2 +g3 +(g4 +g169 +g238 +tp239 +tp240 +Rp241 +(dp242 +g11 +g172 +sg26 +g27 +((lp243 +tp244 +Rp245 +sbsS'Delimeter' +p246 +g1 +(g2 +g3 +(g4 +g169 +g246 +tp247 +tp248 +Rp249 +(dp250 +g11 +g172 +sg26 +g27 +((lp251 +tp252 +Rp253 +sbsS'Atom' +p254 +g1 +(g2 +g3 +(g4 +g169 +g254 +tp255 +tp256 +Rp257 +(dp258 +g11 +g172 +sg26 +g27 +((lp259 +tp260 +Rp261 +sbsS'Affix' +p262 +g1 +(g2 +g3 +(g4 +g169 +g262 +tp263 +tp264 +Rp265 +(dp266 +g11 +g172 +sg26 +g27 +((lp267 +tp268 +Rp269 +sbsS'Name' +p270 +g1 +(g2 +g3 +(g4 +g169 +g270 +tp271 +tp272 +Rp273 +(dp274 +g11 +g172 +sg26 +g27 +((lp275 +tp276 +Rp277 +sbsS'Regex' +p278 +g1 +(g2 +g3 +(g4 +g169 +g278 +tp279 +tp280 +Rp281 +(dp282 +g11 +g172 +sg26 +g27 +((lp283 +tp284 +Rp285 +sbsS'Interp' +p286 +g1 +(g2 +g3 +(g4 +g169 +g286 +tp287 +tp288 +Rp289 +(dp290 +g11 +g172 +sg26 +g27 +((lp291 +tp292 +Rp293 +sbsS'Escape' +p294 +g1 +(g2 +g3 +(g4 +g169 +g294 +tp295 +tp296 +Rp297 +(dp298 +g11 +g172 +sg26 +g27 +((lp299 +tp300 +Rp301 +sbsg26 +g27 +((lp302 +g217 +ag201 +ag281 +ag1 +(g2 +g3 +(g4 +g169 +S'Doc' +p303 +tp304 +tp305 +Rp306 +(dp307 +g11 +g172 +sg26 +g27 +((lp308 +tp309 +Rp310 +sbag233 +ag225 +ag241 +ag209 +ag257 +ag249 +ag273 +ag297 +ag1 +(g2 +g3 +(g4 +g169 +S'Single' +p311 +tp312 +tp313 +Rp314 +(dp315 +g11 +g172 +sg26 +g27 +((lp316 +tp317 +Rp318 +sbag1 +(g2 +g3 +(g4 +g169 +S'Other' +p319 +tp320 +tp321 +Rp322 +(dp323 +g11 +g172 +sg26 +g27 +((lp324 +tp325 +Rp326 +sbag289 +ag185 +ag265 +ag1 +(g2 +g3 +(g4 +g169 +S'Moment' +p327 +tp328 +tp329 +Rp330 +(dp331 +g11 +g172 +sg26 +g27 +((lp332 +tp333 +Rp334 +sbag177 +ag193 +atp335 +Rp336 +sg311 +g314 +sg327 +g330 +sg11 +g36 +sg319 +g322 +sg303 +g306 +sbsg270 +g1 +(g2 +g3 +(g270 +tp337 +tp338 +Rp339 +(dp340 +S'Variable' +p341 +g1 +(g2 +g3 +(g270 +g341 +tp342 +tp343 +Rp344 +(dp345 +g11 +g339 +sS'Class' +p346 +g1 +(g2 +g3 +(g270 +g341 +g346 +tp347 +tp348 +Rp349 +(dp350 +g11 +g344 +sg26 +g27 +((lp351 +tp352 +Rp353 +sbsS'Anonymous' +p354 +g1 +(g2 +g3 +(g270 +g341 +g354 +tp355 +tp356 +Rp357 +(dp358 +g11 +g344 +sg26 +g27 +((lp359 +tp360 +Rp361 +sbsS'Instance' +p362 +g1 +(g2 +g3 +(g270 +g341 +g362 +tp363 +tp364 +Rp365 +(dp366 +g11 +g344 +sg26 +g27 +((lp367 +tp368 +Rp369 +sbsS'Global' +p370 +g1 +(g2 +g3 +(g270 +g341 +g370 +tp371 +tp372 +Rp373 +(dp374 +g11 +g344 +sg26 +g27 +((lp375 +tp376 +Rp377 +sbsg26 +g27 +((lp378 +g357 +ag365 +ag1 +(g2 +g3 +(g270 +g341 +S'Magic' +p379 +tp380 +tp381 +Rp382 +(dp383 +g11 +g344 +sg26 +g27 +((lp384 +tp385 +Rp386 +sbag373 +ag349 +atp387 +Rp388 +sg379 +g382 +sbsg145 +g1 +(g2 +g3 +(g270 +g145 +tp389 +tp390 +Rp391 +(dp392 +g11 +g339 +sg26 +g27 +((lp393 +tp394 +Rp395 +sbsS'Decorator' +p396 +g1 +(g2 +g3 +(g270 +g396 +tp397 +tp398 +Rp399 +(dp400 +g11 +g339 +sg26 +g27 +((lp401 +tp402 +Rp403 +sbsS'Entity' +p404 +g1 +(g2 +g3 +(g270 +g404 +tp405 +tp406 +Rp407 +(dp408 +g11 +g339 +sg150 +g1 +(g2 +g3 +(g270 +g404 +g150 +tp409 +tp410 +Rp411 +(dp412 +g11 +g407 +sg26 +g27 +((lp413 +tp414 +Rp415 +sbsg26 +g27 +((lp416 +g411 +atp417 +Rp418 +sbsg198 +g1 +(g2 +g3 +(g270 +g198 +tp419 +tp420 +Rp421 +(dp422 +g11 +g339 +sg26 +g27 +((lp423 +tp424 +Rp425 +sbsS'Property' +p426 +g1 +(g2 +g3 +(g270 +g426 +tp427 +tp428 +Rp429 +(dp430 +g11 +g339 +sg26 +g27 +((lp431 +tp432 +Rp433 +sbsS'Pseudo' +p434 +g1 +(g2 +g3 +(g270 +g434 +tp435 +tp436 +Rp437 +(dp438 +g11 +g339 +sg26 +g27 +((lp439 +tp440 +Rp441 +sbsS'Type' +p442 +g1 +(g2 +g3 +(g270 +g442 +tp443 +tp444 +Rp445 +(dp446 +g11 +g339 +sg26 +g27 +((lp447 +tp448 +Rp449 +sbsS'Classes' +p450 +g1 +(g2 +g3 +(g270 +g450 +tp451 +tp452 +Rp453 +(dp454 +g11 +g339 +sg26 +g27 +((lp455 +tp456 +Rp457 +sbsS'Tag' +p458 +g1 +(g2 +g3 +(g270 +g458 +tp459 +tp460 +Rp461 +(dp462 +g11 +g339 +sg26 +g27 +((lp463 +tp464 +Rp465 +sbsS'Constant' +p466 +g1 +(g2 +g3 +(g270 +g466 +tp467 +tp468 +Rp469 +(dp470 +g11 +g339 +sg26 +g27 +((lp471 +tp472 +Rp473 +sbsS'Function' +p474 +g1 +(g2 +g3 +(g270 +g474 +tp475 +tp476 +Rp477 +(dp478 +g11 +g339 +sg26 +g27 +((lp479 +g1 +(g2 +g3 +(g270 +g474 +g379 +tp480 +tp481 +Rp482 +(dp483 +g11 +g477 +sg26 +g27 +((lp484 +tp485 +Rp486 +sbatp487 +Rp488 +sg379 +g482 +sbsS'Blubb' +p489 +g1 +(g2 +g3 +(g270 +g489 +tp490 +tp491 +Rp492 +(dp493 +g11 +g339 +sg26 +g27 +((lp494 +tp495 +Rp496 +sbsS'Label' +p497 +g1 +(g2 +g3 +(g270 +g497 +tp498 +tp499 +Rp500 +(dp501 +g11 +g339 +sg26 +g27 +((lp502 +tp503 +Rp504 +sbsS'Field' +p505 +g1 +(g2 +g3 +(g270 +g505 +tp506 +tp507 +Rp508 +(dp509 +g11 +g339 +sg26 +g27 +((lp510 +tp511 +Rp512 +sbsS'Exception' +p513 +g1 +(g2 +g3 +(g270 +g513 +tp514 +tp515 +Rp516 +(dp517 +g11 +g339 +sg26 +g27 +((lp518 +tp519 +Rp520 +sbsS'Namespace' +p521 +g1 +(g2 +g3 +(g270 +g521 +tp522 +tp523 +Rp524 +(dp525 +g11 +g339 +sg26 +g27 +((lp526 +tp527 +Rp528 +sbsg26 +g27 +((lp529 +g399 +ag492 +ag437 +ag407 +ag344 +ag516 +ag429 +ag461 +ag477 +ag453 +ag1 +(g2 +g3 +(g270 +g346 +tp530 +tp531 +Rp532 +(dp533 +g11 +g339 +sg150 +g1 +(g2 +g3 +(g270 +g346 +g150 +tp534 +tp535 +Rp536 +(dp537 +g11 +g532 +sg26 +g27 +((lp538 +tp539 +Rp540 +sbsg26 +g27 +((lp541 +g1 +(g2 +g3 +(g270 +g346 +S'Start' +p542 +tp543 +tp544 +Rp545 +(dp546 +g11 +g532 +sg26 +g27 +((lp547 +tp548 +Rp549 +sbag536 +atp550 +Rp551 +sg542 +g545 +sbag1 +(g2 +g3 +(g270 +g319 +tp552 +tp553 +Rp554 +(dp555 +g11 +g339 +sS'Member' +p556 +g1 +(g2 +g3 +(g270 +g319 +g556 +tp557 +tp558 +Rp559 +(dp560 +g11 +g554 +sg26 +g27 +((lp561 +tp562 +Rp563 +sbsg26 +g27 +((lp564 +g559 +atp565 +Rp566 +sbag500 +ag391 +ag524 +ag1 +(g2 +g3 +(g270 +S'Attribute' +p567 +tp568 +tp569 +Rp570 +(dp571 +g11 +g339 +sg341 +g1 +(g2 +g3 +(g270 +g567 +g341 +tp572 +tp573 +Rp574 +(dp575 +g11 +g570 +sg26 +g27 +((lp576 +tp577 +Rp578 +sbsg26 +g27 +((lp579 +g574 +atp580 +Rp581 +sbag469 +ag1 +(g2 +g3 +(g270 +S'Builtin' +p582 +tp583 +tp584 +Rp585 +(dp586 +g11 +g339 +sg442 +g1 +(g2 +g3 +(g270 +g582 +g442 +tp587 +tp588 +Rp589 +(dp590 +g11 +g585 +sg26 +g27 +((lp591 +tp592 +Rp593 +sbsg26 +g27 +((lp594 +g1 +(g2 +g3 +(g270 +g582 +g434 +tp595 +tp596 +Rp597 +(dp598 +g11 +g585 +sg26 +g27 +((lp599 +tp600 +Rp601 +sbag589 +atp602 +Rp603 +sg434 +g597 +sbag508 +ag445 +ag421 +atp604 +Rp605 +sg11 +g55 +sg346 +g532 +sg582 +g585 +sg567 +g570 +sg319 +g554 +sbsS'Punctuation' +p606 +g1 +(g2 +g3 +(g606 +tp607 +tp608 +Rp609 +(dp610 +g11 +g55 +sg26 +g27 +((lp611 +g1 +(g2 +g3 +(g606 +S'Indicator' +p612 +tp613 +tp614 +Rp615 +(dp616 +g11 +g609 +sg26 +g27 +((lp617 +tp618 +Rp619 +sbatp620 +Rp621 +sg612 +g615 +sbsS'Comment' +p622 +g1 +(g2 +g3 +(g622 +tp623 +tp624 +Rp625 +(dp626 +S'Multi' +p627 +g1 +(g2 +g3 +(g622 +g627 +tp628 +tp629 +Rp630 +(dp631 +g11 +g625 +sg26 +g27 +((lp632 +tp633 +Rp634 +sbsg11 +g55 +sS'Special' +p635 +g1 +(g2 +g3 +(g622 +g635 +tp636 +tp637 +Rp638 +(dp639 +g11 +g625 +sg26 +g27 +((lp640 +tp641 +Rp642 +sbsS'Hashbang' +p643 +g1 +(g2 +g3 +(g622 +g643 +tp644 +tp645 +Rp646 +(dp647 +g11 +g625 +sg26 +g27 +((lp648 +tp649 +Rp650 +sbsS'Preproc' +p651 +g1 +(g2 +g3 +(g622 +g651 +tp652 +tp653 +Rp654 +(dp655 +g11 +g625 +sg26 +g27 +((lp656 +tp657 +Rp658 +sbsg311 +g1 +(g2 +g3 +(g622 +g311 +tp659 +tp660 +Rp661 +(dp662 +g11 +g625 +sg26 +g27 +((lp663 +tp664 +Rp665 +sbsS'Directive' +p666 +g1 +(g2 +g3 +(g622 +g666 +tp667 +tp668 +Rp669 +(dp670 +g11 +g625 +sg26 +g27 +((lp671 +tp672 +Rp673 +sbsg303 +g1 +(g2 +g3 +(g622 +g303 +tp674 +tp675 +Rp676 +(dp677 +g11 +g625 +sg26 +g27 +((lp678 +tp679 +Rp680 +sbsS'Singleline' +p681 +g1 +(g2 +g3 +(g622 +g681 +tp682 +tp683 +Rp684 +(dp685 +g11 +g625 +sg26 +g27 +((lp686 +tp687 +Rp688 +sbsS'Multiline' +p689 +g1 +(g2 +g3 +(g622 +g689 +tp690 +tp691 +Rp692 +(dp693 +g11 +g625 +sg26 +g27 +((lp694 +tp695 +Rp696 +sbsg26 +g27 +((lp697 +g676 +ag669 +ag646 +ag630 +ag684 +ag654 +ag692 +ag661 +ag1 +(g2 +g3 +(g622 +S'PreprocFile' +p698 +tp699 +tp700 +Rp701 +(dp702 +g11 +g625 +sg26 +g27 +((lp703 +tp704 +Rp705 +sbag1 +(g2 +g3 +(g622 +S'SingleLine' +p706 +tp707 +tp708 +Rp709 +(dp710 +g11 +g625 +sg26 +g27 +((lp711 +tp712 +Rp713 +sbag638 +atp714 +Rp715 +sg698 +g701 +sg706 +g709 +sbsg4 +g36 +sg319 +g1 +(g2 +g3 +(g319 +tp716 +tp717 +Rp718 +(dp719 +g11 +g55 +sg26 +g27 +((lp720 +tp721 +Rp722 +sbsg118 +g1 +(g2 +g3 +(g118 +tp723 +tp724 +Rp725 +(dp726 +g11 +g55 +sg26 +g27 +((lp727 +tp728 +Rp729 +sbsS'Token' +p730 +g55 +sg294 +g1 +(g2 +g3 +(g294 +tp731 +tp732 +Rp733 +(dp734 +g11 +g55 +sg26 +g27 +((lp735 +tp736 +Rp737 +sbsg26 +g27 +((lp738 +g339 +ag718 +ag1 +(g2 +g3 +(S'Keyword' +p739 +tp740 +tp741 +Rp742 +(dp743 +g11 +g55 +sg442 +g1 +(g2 +g3 +(g739 +g442 +tp744 +tp745 +Rp746 +(dp747 +g11 +g742 +sg26 +g27 +((lp748 +tp749 +Rp750 +sbsS'Control' +p751 +g1 +(g2 +g3 +(g739 +g751 +tp752 +tp753 +Rp754 +(dp755 +g11 +g742 +sg26 +g27 +((lp756 +tp757 +Rp758 +sbsg466 +g1 +(g2 +g3 +(g739 +g466 +tp759 +tp760 +Rp761 +(dp762 +g11 +g742 +sg26 +g27 +((lp763 +tp764 +Rp765 +sbsg521 +g1 +(g2 +g3 +(g739 +g521 +tp766 +tp767 +Rp768 +(dp769 +g11 +g742 +sg26 +g27 +((lp770 +tp771 +Rp772 +sbsS'PreProc' +p773 +g1 +(g2 +g3 +(g739 +g773 +tp774 +tp775 +Rp776 +(dp777 +g11 +g742 +sg26 +g27 +((lp778 +tp779 +Rp780 +sbsg434 +g1 +(g2 +g3 +(g739 +g434 +tp781 +tp782 +Rp783 +(dp784 +g11 +g742 +sg26 +g27 +((lp785 +tp786 +Rp787 +sbsS'Reserved' +p788 +g1 +(g2 +g3 +(g739 +g788 +tp789 +tp790 +Rp791 +(dp792 +g11 +g742 +sg26 +g27 +((lp793 +tp794 +Rp795 +sbsg26 +g27 +((lp796 +g768 +ag1 +(g2 +g3 +(g739 +g159 +tp797 +tp798 +Rp799 +(dp800 +g11 +g742 +sg26 +g27 +((lp801 +tp802 +Rp803 +sbag754 +ag1 +(g2 +g3 +(g739 +S'Declaration' +p804 +tp805 +tp806 +Rp807 +(dp808 +g11 +g742 +sg26 +g27 +((lp809 +tp810 +Rp811 +sbag1 +(g2 +g3 +(g739 +g739 +tp812 +tp813 +Rp814 +(dp815 +g11 +g742 +sg26 +g27 +((lp816 +tp817 +Rp818 +sbag783 +ag761 +ag746 +ag791 +ag776 +atp819 +Rp820 +sg739 +g814 +sg804 +g807 +sg159 +g799 +sbag60 +ag1 +(g2 +g3 +(S'Text' +p821 +tp822 +tp823 +Rp824 +(dp825 +S'Beer' +p826 +g1 +(g2 +g3 +(g821 +g826 +tp827 +tp828 +Rp829 +(dp830 +g11 +g824 +sg26 +g27 +((lp831 +tp832 +Rp833 +sbsS'Whitespace' +p834 +g1 +(g2 +g3 +(g821 +g834 +tp835 +tp836 +Rp837 +(dp838 +g11 +g824 +sg26 +g27 +((lp839 +tp840 +Rp841 +sbsg11 +g55 +sS'Root' +p842 +g1 +(g2 +g3 +(g821 +g842 +tp843 +tp844 +Rp845 +(dp846 +g11 +g824 +sg26 +g27 +((lp847 +tp848 +Rp849 +sbsg198 +g1 +(g2 +g3 +(g821 +g198 +tp850 +tp851 +Rp852 +(dp853 +g11 +g824 +sg26 +g27 +((lp854 +tp855 +Rp856 +sbsg606 +g1 +(g2 +g3 +(g821 +g606 +tp857 +tp858 +Rp859 +(dp860 +g11 +g824 +sg26 +g27 +((lp861 +tp862 +Rp863 +sbsg26 +g27 +((lp864 +g845 +ag852 +ag859 +ag837 +ag829 +ag1 +(g2 +g3 +(g821 +S'Rag' +p865 +tp866 +tp867 +Rp868 +(dp869 +g11 +g824 +sg26 +g27 +((lp870 +tp871 +Rp872 +sbatp873 +Rp874 +sg865 +g868 +sbag148 +ag733 +ag609 +ag625 +ag725 +ag36 +atp875 +Rp876 +sg739 +g742 +sg821 +g824 +sbsg319 +g1 +(g2 +g3 +(g4 +g319 +tp877 +tp878 +Rp879 +(dp880 +g11 +g36 +sg26 +g27 +((lp881 +tp882 +Rp883 +sbsg174 +g1 +(g2 +g3 +(g4 +g174 +tp884 +tp885 +Rp886 +(dp887 +g11 +g36 +sg26 +g27 +((lp888 +tp889 +Rp890 +sbsg169 +g172 +sg26 +g27 +((lp891 +g172 +ag886 +ag879 +ag1 +(g2 +g3 +(g4 +S'Date' +p892 +tp893 +tp894 +Rp895 +(dp896 +g11 +g36 +sg26 +g27 +((lp897 +tp898 +Rp899 +sbag41 +ag14 +atp900 +Rp901 +sg892 +g895 +sbsS'Bin' +p902 +g1 +(g2 +g3 +(g4 +g5 +g902 +tp903 +tp904 +Rp905 +(dp906 +g11 +g14 +sg26 +g27 +((lp907 +tp908 +Rp909 +sbsS'Radix' +p910 +g1 +(g2 +g3 +(g4 +g5 +g910 +tp911 +tp912 +Rp913 +(dp914 +g11 +g14 +sg26 +g27 +((lp915 +tp916 +Rp917 +sbsS'Oct' +p918 +g1 +(g2 +g3 +(g4 +g5 +g918 +tp919 +tp920 +Rp921 +(dp922 +g11 +g14 +sg26 +g27 +((lp923 +tp924 +Rp925 +sbsS'Dec' +p926 +g1 +(g2 +g3 +(g4 +g5 +g926 +tp927 +tp928 +Rp929 +(dp930 +g11 +g14 +sg26 +g27 +((lp931 +tp932 +Rp933 +sbsS'Hex' +p934 +g1 +(g2 +g3 +(g4 +g5 +g934 +tp935 +tp936 +Rp937 +(dp938 +g11 +g14 +sg26 +g27 +((lp939 +tp940 +Rp941 +sbsg26 +g27 +((lp942 +g19 +ag913 +ag929 +ag1 +(g2 +g3 +(g4 +g5 +S'Decimal' +p943 +tp944 +tp945 +Rp946 +(dp947 +g11 +g14 +sg26 +g27 +((lp948 +tp949 +Rp950 +sbag905 +ag9 +ag921 +ag937 +atp951 +Rp952 +sg943 +g946 +sg6 +g9 +sbsg26 +g27 +((lp953 +tp954 +Rp955 +sbV10 +p956 +tp957 +a(g824 +V +p958 +tp959 +a(g661 +Vrem cbm basic v2 example\u000a +p960 +tp961 +a(g9 +V20 +p962 +tp963 +a(g824 +g958 +tp964 +a(g661 +Vrem comment with keywords: for, data\u000a +p965 +tp966 +a(g9 +V30 +p967 +tp968 +a(g824 +g958 +tp969 +a(g807 +Vdim +p970 +tp971 +a(g824 +g958 +tp972 +a(g339 +Va$ +p973 +tp974 +a(g609 +V( +p975 +tp976 +a(g9 +V20 +p977 +tp978 +a(g609 +V) +p979 +tp980 +a(g824 +V\u000a +p981 +tp982 +a(g9 +V35 +p983 +tp984 +a(g824 +g958 +tp985 +a(g661 +Vrem the typical space efficient form of leaving spaces out:\u000a +p986 +tp987 +a(g9 +V40 +p988 +tp989 +a(g824 +g958 +tp990 +a(g791 +Vfor +p991 +tp992 +a(g339 +Vt +p993 +tp994 +a(g148 +V= +p995 +tp996 +a(g9 +V0 +p997 +tp998 +a(g791 +Vto +p999 +tp1000 +a(g9 +V15 +p1001 +tp1002 +a(g609 +V: +p1003 +tp1004 +a(g791 +Vpoke +p1005 +tp1006 +a(g9 +V646 +p1007 +tp1008 +a(g609 +V, +p1009 +tp1010 +a(g339 +g993 +tp1011 +a(g609 +g1003 +tp1012 +a(g791 +Vprint +p1013 +tp1014 +a(g172 +V"{revers on} " +p1015 +tp1016 +a(g609 +V; +p1017 +tp1018 +a(g609 +g1003 +tp1019 +a(g791 +Vnext +p1020 +tp1021 +a(g824 +V\u000a +p1022 +tp1023 +a(g9 +V50 +p1024 +tp1025 +a(g824 +g958 +tp1026 +a(g791 +Vget +p1027 +tp1028 +a(g339 +Va$ +p1029 +tp1030 +a(g609 +g1003 +tp1031 +a(g791 +Vif +p1032 +tp1033 +a(g339 +Va$ +p1034 +tp1035 +a(g148 +g995 +tp1036 +a(g585 +Vchr$ +p1037 +tp1038 +a(g609 +g975 +tp1039 +a(g9 +g997 +tp1040 +a(g609 +g979 +tp1041 +a(g609 +g1003 +tp1042 +a(g791 +Vgoto +p1043 +tp1044 +a(g9 +V40 +p1045 +tp1046 +a(g824 +V\u000a +p1047 +tp1048 +a(g9 +V55 +p1049 +tp1050 +a(g824 +g958 +tp1051 +a(g661 +Vrem it is legal to omit the closing " on line end\u000a +p1052 +tp1053 +a(g9 +V60 +p1054 +tp1055 +a(g824 +g958 +tp1056 +a(g791 +Vprint +p1057 +tp1058 +a(g172 +V"{white}" +p1059 +tp1060 +a(g609 +g1003 +tp1061 +a(g791 +Vprint +p1062 +tp1063 +a(g172 +V"bye... +p1064 +tp1065 +a(g824 +V\u000a +p1066 +tp1067 +a(g9 +V70 +p1068 +tp1069 +a(g824 +g958 +tp1070 +a(g791 +Vend +p1071 +tp1072 +a(g824 +V\u000a +p1073 +tp1074 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/cells.ps b/tests/examplefiles/output/cells.ps new file mode 100644 index 0000000..cbe4a81 --- /dev/null +++ b/tests/examplefiles/output/cells.ps @@ -0,0 +1,12372 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV%!PS-Adobe-2.0\u000a +p956 +tp957 +a(g883 +V%%Creator: PS_Write.F\u000a +p958 +tp959 +a(g883 +V%%Title: cells.ps\u000a +p960 +tp961 +a(g883 +V%%Pages: 1\u000a +p962 +tp963 +a(g883 +V%%Document-Fonts: Times-Roman\u000a +p964 +tp965 +a(g883 +V%%CreationDate: 09/29/99 \u000a +p966 +tp967 +a(g883 +V%%BoundingBox: 36 36 576 756\u000a +p968 +tp969 +a(g883 +V%%EndComments\u000a +p970 +tp971 +a(g883 +V%%BeginProlog\u000a +p972 +tp973 +a(g883 +V%%EndProlog\u000a +p974 +tp975 +a(g441 +V/inch +p976 +tp977 +a(g827 +V +p978 +tp979 +a(g706 +V{ +p980 +tp981 +a(g315 +V72 +p982 +tp983 +a(g827 +g978 +tp984 +a(g574 +Vmul +p985 +tp986 +a(g706 +V} +p987 +tp988 +a(g827 +g978 +tp989 +a(g574 +Vdef +p990 +tp991 +a(g827 +V\u000a +p992 +tp993 +a(g441 +V/Palatino-Roman +p994 +tp995 +a(g827 +g978 +tp996 +a(g574 +Vfindfont +p997 +tp998 +a(g827 +V\u000a +p999 +tp1000 +a(g315 +V1.00 +p1001 +tp1002 +a(g827 +g978 +tp1003 +a(g574 +Vinch +p1004 +tp1005 +a(g827 +g978 +tp1006 +a(g574 +Vscalefont +p1007 +tp1008 +a(g827 +V\u000a +p1009 +tp1010 +a(g574 +Vsetfont +p1011 +tp1012 +a(g827 +V\u000a +p1013 +tp1014 +a(g315 +V0.0000 +p1015 +tp1016 +a(g827 +g978 +tp1017 +a(g315 +V0.0000 +p1018 +tp1019 +a(g827 +g978 +tp1020 +a(g315 +V0.0000 +p1021 +tp1022 +a(g827 +g978 +tp1023 +a(g574 +Vsetrgbcolor +p1024 +tp1025 +a(g827 +V\u000a +p1026 +tp1027 +a(g883 +V%%Page: 1 1\u000a +p1028 +tp1029 +a(g574 +Vsave +p1030 +tp1031 +a(g827 +V\u000a +p1032 +tp1033 +a(g315 +V0.7000 +p1034 +tp1035 +a(g827 +g978 +tp1036 +a(g315 +V0.7000 +p1037 +tp1038 +a(g827 +g978 +tp1039 +a(g315 +V0.7000 +p1040 +tp1041 +a(g827 +g978 +tp1042 +a(g574 +Vsetrgbcolor +p1043 +tp1044 +a(g827 +V\u000a +p1045 +tp1046 +a(g574 +Vnewpath +p1047 +tp1048 +a(g827 +V\u000a +p1049 +tp1050 +a(g315 +V497 +p1051 +tp1052 +a(g827 +g978 +tp1053 +a(g315 +V294 +p1054 +tp1055 +a(g827 +g978 +tp1056 +a(g574 +Vmoveto +p1057 +tp1058 +a(g827 +V\u000a +p1059 +tp1060 +a(g315 +V500 +p1061 +tp1062 +a(g827 +g978 +tp1063 +a(g315 +V297 +p1064 +tp1065 +a(g827 +g978 +tp1066 +a(g574 +Vlineto +p1067 +tp1068 +a(g827 +V\u000a +p1069 +tp1070 +a(g315 +V503 +p1071 +tp1072 +a(g827 +g978 +tp1073 +a(g315 +V300 +p1074 +tp1075 +a(g827 +g978 +tp1076 +a(g574 +Vlineto +p1077 +tp1078 +a(g827 +V\u000a +p1079 +tp1080 +a(g315 +V506 +p1081 +tp1082 +a(g827 +g978 +tp1083 +a(g315 +V303 +p1084 +tp1085 +a(g827 +g978 +tp1086 +a(g574 +Vlineto +p1087 +tp1088 +a(g827 +V\u000a +p1089 +tp1090 +a(g315 +V510 +p1091 +tp1092 +a(g827 +g978 +tp1093 +a(g315 +V307 +p1094 +tp1095 +a(g827 +g978 +tp1096 +a(g574 +Vlineto +p1097 +tp1098 +a(g827 +V\u000a +p1099 +tp1100 +a(g315 +V513 +p1101 +tp1102 +a(g827 +g978 +tp1103 +a(g315 +V310 +p1104 +tp1105 +a(g827 +g978 +tp1106 +a(g574 +Vlineto +p1107 +tp1108 +a(g827 +V\u000a +p1109 +tp1110 +a(g315 +V516 +p1111 +tp1112 +a(g827 +g978 +tp1113 +a(g315 +V313 +p1114 +tp1115 +a(g827 +g978 +tp1116 +a(g574 +Vlineto +p1117 +tp1118 +a(g827 +V\u000a +p1119 +tp1120 +a(g315 +V519 +p1121 +tp1122 +a(g827 +g978 +tp1123 +a(g315 +V316 +p1124 +tp1125 +a(g827 +g978 +tp1126 +a(g574 +Vlineto +p1127 +tp1128 +a(g827 +V\u000a +p1129 +tp1130 +a(g315 +V522 +p1131 +tp1132 +a(g827 +g978 +tp1133 +a(g315 +V319 +p1134 +tp1135 +a(g827 +g978 +tp1136 +a(g574 +Vlineto +p1137 +tp1138 +a(g827 +V\u000a +p1139 +tp1140 +a(g315 +V526 +p1141 +tp1142 +a(g827 +g978 +tp1143 +a(g315 +V323 +p1144 +tp1145 +a(g827 +g978 +tp1146 +a(g574 +Vlineto +p1147 +tp1148 +a(g827 +V\u000a +p1149 +tp1150 +a(g315 +V529 +p1151 +tp1152 +a(g827 +g978 +tp1153 +a(g315 +V326 +p1154 +tp1155 +a(g827 +g978 +tp1156 +a(g574 +Vlineto +p1157 +tp1158 +a(g827 +V\u000a +p1159 +tp1160 +a(g315 +V532 +p1161 +tp1162 +a(g827 +g978 +tp1163 +a(g315 +V329 +p1164 +tp1165 +a(g827 +g978 +tp1166 +a(g574 +Vlineto +p1167 +tp1168 +a(g827 +V\u000a +p1169 +tp1170 +a(g315 +V535 +p1171 +tp1172 +a(g827 +g978 +tp1173 +a(g315 +V332 +p1174 +tp1175 +a(g827 +g978 +tp1176 +a(g574 +Vlineto +p1177 +tp1178 +a(g827 +V\u000a +p1179 +tp1180 +a(g315 +V538 +p1181 +tp1182 +a(g827 +g978 +tp1183 +a(g315 +V335 +p1184 +tp1185 +a(g827 +g978 +tp1186 +a(g574 +Vlineto +p1187 +tp1188 +a(g827 +V\u000a +p1189 +tp1190 +a(g315 +V541 +p1191 +tp1192 +a(g827 +g978 +tp1193 +a(g315 +V338 +p1194 +tp1195 +a(g827 +g978 +tp1196 +a(g574 +Vlineto +p1197 +tp1198 +a(g827 +V\u000a +p1199 +tp1200 +a(g315 +V545 +p1201 +tp1202 +a(g827 +g978 +tp1203 +a(g315 +V342 +p1204 +tp1205 +a(g827 +g978 +tp1206 +a(g574 +Vlineto +p1207 +tp1208 +a(g827 +V\u000a +p1209 +tp1210 +a(g315 +V548 +p1211 +tp1212 +a(g827 +g978 +tp1213 +a(g315 +V345 +p1214 +tp1215 +a(g827 +g978 +tp1216 +a(g574 +Vlineto +p1217 +tp1218 +a(g827 +V\u000a +p1219 +tp1220 +a(g315 +V551 +p1221 +tp1222 +a(g827 +g978 +tp1223 +a(g315 +V348 +p1224 +tp1225 +a(g827 +g978 +tp1226 +a(g574 +Vlineto +p1227 +tp1228 +a(g827 +V\u000a +p1229 +tp1230 +a(g315 +V554 +p1231 +tp1232 +a(g827 +g978 +tp1233 +a(g315 +V351 +p1234 +tp1235 +a(g827 +g978 +tp1236 +a(g574 +Vlineto +p1237 +tp1238 +a(g827 +V\u000a +p1239 +tp1240 +a(g315 +V557 +p1241 +tp1242 +a(g827 +g978 +tp1243 +a(g315 +V354 +p1244 +tp1245 +a(g827 +g978 +tp1246 +a(g574 +Vlineto +p1247 +tp1248 +a(g827 +V\u000a +p1249 +tp1250 +a(g315 +V561 +p1251 +tp1252 +a(g827 +g978 +tp1253 +a(g315 +V358 +p1254 +tp1255 +a(g827 +g978 +tp1256 +a(g574 +Vlineto +p1257 +tp1258 +a(g827 +V\u000a +p1259 +tp1260 +a(g315 +V0.7000 +p1261 +tp1262 +a(g827 +g978 +tp1263 +a(g315 +V0.7000 +p1264 +tp1265 +a(g827 +g978 +tp1266 +a(g315 +V0.7000 +p1267 +tp1268 +a(g827 +g978 +tp1269 +a(g574 +Vsetrgbcolor +p1270 +tp1271 +a(g827 +V\u000a +p1272 +tp1273 +a(g574 +Vstroke +p1274 +tp1275 +a(g827 +V\u000a +p1276 +tp1277 +a(g574 +Vnewpath +p1278 +tp1279 +a(g827 +V\u000a +p1280 +tp1281 +a(g315 +V51 +p1282 +tp1283 +a(g827 +g978 +tp1284 +a(g315 +V358 +p1285 +tp1286 +a(g827 +g978 +tp1287 +a(g574 +Vmoveto +p1288 +tp1289 +a(g827 +V\u000a +p1290 +tp1291 +a(g315 +V65 +p1292 +tp1293 +a(g827 +g978 +tp1294 +a(g315 +V385 +p1295 +tp1296 +a(g827 +g978 +tp1297 +a(g574 +Vlineto +p1298 +tp1299 +a(g827 +V\u000a +p1300 +tp1301 +a(g315 +V84 +p1302 +tp1303 +a(g827 +g978 +tp1304 +a(g315 +V408 +p1305 +tp1306 +a(g827 +g978 +tp1307 +a(g574 +Vlineto +p1308 +tp1309 +a(g827 +V\u000a +p1310 +tp1311 +a(g315 +V109 +p1312 +tp1313 +a(g827 +g978 +tp1314 +a(g315 +V425 +p1315 +tp1316 +a(g827 +g978 +tp1317 +a(g574 +Vlineto +p1318 +tp1319 +a(g827 +V\u000a +p1320 +tp1321 +a(g315 +V138 +p1322 +tp1323 +a(g827 +g978 +tp1324 +a(g315 +V433 +p1325 +tp1326 +a(g827 +g978 +tp1327 +a(g574 +Vlineto +p1328 +tp1329 +a(g827 +V\u000a +p1330 +tp1331 +a(g315 +V168 +p1332 +tp1333 +a(g827 +g978 +tp1334 +a(g315 +V436 +p1335 +tp1336 +a(g827 +g978 +tp1337 +a(g574 +Vlineto +p1338 +tp1339 +a(g827 +V\u000a +p1340 +tp1341 +a(g315 +V198 +p1342 +tp1343 +a(g827 +g978 +tp1344 +a(g315 +V435 +p1345 +tp1346 +a(g827 +g978 +tp1347 +a(g574 +Vlineto +p1348 +tp1349 +a(g827 +V\u000a +p1350 +tp1351 +a(g315 +V226 +p1352 +tp1353 +a(g827 +g978 +tp1354 +a(g315 +V436 +p1355 +tp1356 +a(g827 +g978 +tp1357 +a(g574 +Vlineto +p1358 +tp1359 +a(g827 +V\u000a +p1360 +tp1361 +a(g315 +V252 +p1362 +tp1363 +a(g827 +g978 +tp1364 +a(g315 +V442 +p1365 +tp1366 +a(g827 +g978 +tp1367 +a(g574 +Vlineto +p1368 +tp1369 +a(g827 +V\u000a +p1370 +tp1371 +a(g315 +V279 +p1372 +tp1373 +a(g827 +g978 +tp1374 +a(g315 +V451 +p1375 +tp1376 +a(g827 +g978 +tp1377 +a(g574 +Vlineto +p1378 +tp1379 +a(g827 +V\u000a +p1380 +tp1381 +a(g315 +V306 +p1382 +tp1383 +a(g827 +g978 +tp1384 +a(g315 +V463 +p1385 +tp1386 +a(g827 +g978 +tp1387 +a(g574 +Vlineto +p1388 +tp1389 +a(g827 +V\u000a +p1390 +tp1391 +a(g315 +V335 +p1392 +tp1393 +a(g827 +g978 +tp1394 +a(g315 +V472 +p1395 +tp1396 +a(g827 +g978 +tp1397 +a(g574 +Vlineto +p1398 +tp1399 +a(g827 +V\u000a +p1400 +tp1401 +a(g315 +V365 +p1402 +tp1403 +a(g827 +g978 +tp1404 +a(g315 +V475 +p1405 +tp1406 +a(g827 +g978 +tp1407 +a(g574 +Vlineto +p1408 +tp1409 +a(g827 +V\u000a +p1410 +tp1411 +a(g315 +V394 +p1412 +tp1413 +a(g827 +g978 +tp1414 +a(g315 +V470 +p1415 +tp1416 +a(g827 +g978 +tp1417 +a(g574 +Vlineto +p1418 +tp1419 +a(g827 +V\u000a +p1420 +tp1421 +a(g315 +V421 +p1422 +tp1423 +a(g827 +g978 +tp1424 +a(g315 +V455 +p1425 +tp1426 +a(g827 +g978 +tp1427 +a(g574 +Vlineto +p1428 +tp1429 +a(g827 +V\u000a +p1430 +tp1431 +a(g315 +V444 +p1432 +tp1433 +a(g827 +g978 +tp1434 +a(g315 +V436 +p1435 +tp1436 +a(g827 +g978 +tp1437 +a(g574 +Vlineto +p1438 +tp1439 +a(g827 +V\u000a +p1440 +tp1441 +a(g315 +V465 +p1442 +tp1443 +a(g827 +g978 +tp1444 +a(g315 +V414 +p1445 +tp1446 +a(g827 +g978 +tp1447 +a(g574 +Vlineto +p1448 +tp1449 +a(g827 +V\u000a +p1450 +tp1451 +a(g315 +V485 +p1452 +tp1453 +a(g827 +g978 +tp1454 +a(g315 +V395 +p1455 +tp1456 +a(g827 +g978 +tp1457 +a(g574 +Vlineto +p1458 +tp1459 +a(g827 +V\u000a +p1460 +tp1461 +a(g315 +V508 +p1462 +tp1463 +a(g827 +g978 +tp1464 +a(g315 +V380 +p1465 +tp1466 +a(g827 +g978 +tp1467 +a(g574 +Vlineto +p1468 +tp1469 +a(g827 +V\u000a +p1470 +tp1471 +a(g315 +V533 +p1472 +tp1473 +a(g827 +g978 +tp1474 +a(g315 +V369 +p1475 +tp1476 +a(g827 +g978 +tp1477 +a(g574 +Vlineto +p1478 +tp1479 +a(g827 +V\u000a +p1480 +tp1481 +a(g315 +V561 +p1482 +tp1483 +a(g827 +g978 +tp1484 +a(g315 +V358 +p1485 +tp1486 +a(g827 +g978 +tp1487 +a(g574 +Vlineto +p1488 +tp1489 +a(g827 +V\u000a +p1490 +tp1491 +a(g315 +V0.7000 +p1492 +tp1493 +a(g827 +g978 +tp1494 +a(g315 +V0.7000 +p1495 +tp1496 +a(g827 +g978 +tp1497 +a(g315 +V0.7000 +p1498 +tp1499 +a(g827 +g978 +tp1500 +a(g574 +Vsetrgbcolor +p1501 +tp1502 +a(g827 +V\u000a +p1503 +tp1504 +a(g574 +Vstroke +p1505 +tp1506 +a(g827 +V\u000a +p1507 +tp1508 +a(g574 +Vnewpath +p1509 +tp1510 +a(g827 +V\u000a +p1511 +tp1512 +a(g315 +V115 +p1513 +tp1514 +a(g827 +g978 +tp1515 +a(g315 +V294 +p1516 +tp1517 +a(g827 +g978 +tp1518 +a(g574 +Vmoveto +p1519 +tp1520 +a(g827 +V\u000a +p1521 +tp1522 +a(g315 +V112 +p1523 +tp1524 +a(g827 +g978 +tp1525 +a(g315 +V297 +p1526 +tp1527 +a(g827 +g978 +tp1528 +a(g574 +Vlineto +p1529 +tp1530 +a(g827 +V\u000a +p1531 +tp1532 +a(g315 +V109 +p1533 +tp1534 +a(g827 +g978 +tp1535 +a(g315 +V300 +p1536 +tp1537 +a(g827 +g978 +tp1538 +a(g574 +Vlineto +p1539 +tp1540 +a(g827 +V\u000a +p1541 +tp1542 +a(g315 +V106 +p1543 +tp1544 +a(g827 +g978 +tp1545 +a(g315 +V303 +p1546 +tp1547 +a(g827 +g978 +tp1548 +a(g574 +Vlineto +p1549 +tp1550 +a(g827 +V\u000a +p1551 +tp1552 +a(g315 +V102 +p1553 +tp1554 +a(g827 +g978 +tp1555 +a(g315 +V307 +p1556 +tp1557 +a(g827 +g978 +tp1558 +a(g574 +Vlineto +p1559 +tp1560 +a(g827 +V\u000a +p1561 +tp1562 +a(g315 +V99 +p1563 +tp1564 +a(g827 +g978 +tp1565 +a(g315 +V310 +p1566 +tp1567 +a(g827 +g978 +tp1568 +a(g574 +Vlineto +p1569 +tp1570 +a(g827 +V\u000a +p1571 +tp1572 +a(g315 +V96 +p1573 +tp1574 +a(g827 +g978 +tp1575 +a(g315 +V313 +p1576 +tp1577 +a(g827 +g978 +tp1578 +a(g574 +Vlineto +p1579 +tp1580 +a(g827 +V\u000a +p1581 +tp1582 +a(g315 +V93 +p1583 +tp1584 +a(g827 +g978 +tp1585 +a(g315 +V316 +p1586 +tp1587 +a(g827 +g978 +tp1588 +a(g574 +Vlineto +p1589 +tp1590 +a(g827 +V\u000a +p1591 +tp1592 +a(g315 +V90 +p1593 +tp1594 +a(g827 +g978 +tp1595 +a(g315 +V319 +p1596 +tp1597 +a(g827 +g978 +tp1598 +a(g574 +Vlineto +p1599 +tp1600 +a(g827 +V\u000a +p1601 +tp1602 +a(g315 +V86 +p1603 +tp1604 +a(g827 +g978 +tp1605 +a(g315 +V323 +p1606 +tp1607 +a(g827 +g978 +tp1608 +a(g574 +Vlineto +p1609 +tp1610 +a(g827 +V\u000a +p1611 +tp1612 +a(g315 +V83 +p1613 +tp1614 +a(g827 +g978 +tp1615 +a(g315 +V326 +p1616 +tp1617 +a(g827 +g978 +tp1618 +a(g574 +Vlineto +p1619 +tp1620 +a(g827 +V\u000a +p1621 +tp1622 +a(g315 +V80 +p1623 +tp1624 +a(g827 +g978 +tp1625 +a(g315 +V329 +p1626 +tp1627 +a(g827 +g978 +tp1628 +a(g574 +Vlineto +p1629 +tp1630 +a(g827 +V\u000a +p1631 +tp1632 +a(g315 +V77 +p1633 +tp1634 +a(g827 +g978 +tp1635 +a(g315 +V332 +p1636 +tp1637 +a(g827 +g978 +tp1638 +a(g574 +Vlineto +p1639 +tp1640 +a(g827 +V\u000a +p1641 +tp1642 +a(g315 +V74 +p1643 +tp1644 +a(g827 +g978 +tp1645 +a(g315 +V335 +p1646 +tp1647 +a(g827 +g978 +tp1648 +a(g574 +Vlineto +p1649 +tp1650 +a(g827 +V\u000a +p1651 +tp1652 +a(g315 +V71 +p1653 +tp1654 +a(g827 +g978 +tp1655 +a(g315 +V338 +p1656 +tp1657 +a(g827 +g978 +tp1658 +a(g574 +Vlineto +p1659 +tp1660 +a(g827 +V\u000a +p1661 +tp1662 +a(g315 +V67 +p1663 +tp1664 +a(g827 +g978 +tp1665 +a(g315 +V342 +p1666 +tp1667 +a(g827 +g978 +tp1668 +a(g574 +Vlineto +p1669 +tp1670 +a(g827 +V\u000a +p1671 +tp1672 +a(g315 +V64 +p1673 +tp1674 +a(g827 +g978 +tp1675 +a(g315 +V345 +p1676 +tp1677 +a(g827 +g978 +tp1678 +a(g574 +Vlineto +p1679 +tp1680 +a(g827 +V\u000a +p1681 +tp1682 +a(g315 +V61 +p1683 +tp1684 +a(g827 +g978 +tp1685 +a(g315 +V348 +p1686 +tp1687 +a(g827 +g978 +tp1688 +a(g574 +Vlineto +p1689 +tp1690 +a(g827 +V\u000a +p1691 +tp1692 +a(g315 +V58 +p1693 +tp1694 +a(g827 +g978 +tp1695 +a(g315 +V351 +p1696 +tp1697 +a(g827 +g978 +tp1698 +a(g574 +Vlineto +p1699 +tp1700 +a(g827 +V\u000a +p1701 +tp1702 +a(g315 +V55 +p1703 +tp1704 +a(g827 +g978 +tp1705 +a(g315 +V354 +p1706 +tp1707 +a(g827 +g978 +tp1708 +a(g574 +Vlineto +p1709 +tp1710 +a(g827 +V\u000a +p1711 +tp1712 +a(g315 +V51 +p1713 +tp1714 +a(g827 +g978 +tp1715 +a(g315 +V358 +p1716 +tp1717 +a(g827 +g978 +tp1718 +a(g574 +Vlineto +p1719 +tp1720 +a(g827 +V\u000a +p1721 +tp1722 +a(g315 +V0.7000 +p1723 +tp1724 +a(g827 +g978 +tp1725 +a(g315 +V0.7000 +p1726 +tp1727 +a(g827 +g978 +tp1728 +a(g315 +V0.7000 +p1729 +tp1730 +a(g827 +g978 +tp1731 +a(g574 +Vsetrgbcolor +p1732 +tp1733 +a(g827 +V\u000a +p1734 +tp1735 +a(g574 +Vstroke +p1736 +tp1737 +a(g827 +V\u000a +p1738 +tp1739 +a(g574 +Vnewpath +p1740 +tp1741 +a(g827 +V\u000a +p1742 +tp1743 +a(g315 +V115 +p1744 +tp1745 +a(g827 +g978 +tp1746 +a(g315 +V294 +p1747 +tp1748 +a(g827 +g978 +tp1749 +a(g574 +Vmoveto +p1750 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g315 +V131 +p1754 +tp1755 +a(g827 +g978 +tp1756 +a(g315 +V308 +p1757 +tp1758 +a(g827 +g978 +tp1759 +a(g574 +Vlineto +p1760 +tp1761 +a(g827 +V\u000a +p1762 +tp1763 +a(g315 +V147 +p1764 +tp1765 +a(g827 +g978 +tp1766 +a(g315 +V321 +p1767 +tp1768 +a(g827 +g978 +tp1769 +a(g574 +Vlineto +p1770 +tp1771 +a(g827 +V\u000a +p1772 +tp1773 +a(g315 +V165 +p1774 +tp1775 +a(g827 +g978 +tp1776 +a(g315 +V333 +p1777 +tp1778 +a(g827 +g978 +tp1779 +a(g574 +Vlineto +p1780 +tp1781 +a(g827 +V\u000a +p1782 +tp1783 +a(g315 +V183 +p1784 +tp1785 +a(g827 +g978 +tp1786 +a(g315 +V344 +p1787 +tp1788 +a(g827 +g978 +tp1789 +a(g574 +Vlineto +p1790 +tp1791 +a(g827 +V\u000a +p1792 +tp1793 +a(g315 +V203 +p1794 +tp1795 +a(g827 +g978 +tp1796 +a(g315 +V352 +p1797 +tp1798 +a(g827 +g978 +tp1799 +a(g574 +Vlineto +p1800 +tp1801 +a(g827 +V\u000a +p1802 +tp1803 +a(g315 +V223 +p1804 +tp1805 +a(g827 +g978 +tp1806 +a(g315 +V360 +p1807 +tp1808 +a(g827 +g978 +tp1809 +a(g574 +Vlineto +p1810 +tp1811 +a(g827 +V\u000a +p1812 +tp1813 +a(g315 +V243 +p1814 +tp1815 +a(g827 +g978 +tp1816 +a(g315 +V366 +p1817 +tp1818 +a(g827 +g978 +tp1819 +a(g574 +Vlineto +p1820 +tp1821 +a(g827 +V\u000a +p1822 +tp1823 +a(g315 +V264 +p1824 +tp1825 +a(g827 +g978 +tp1826 +a(g315 +V370 +p1827 +tp1828 +a(g827 +g978 +tp1829 +a(g574 +Vlineto +p1830 +tp1831 +a(g827 +V\u000a +p1832 +tp1833 +a(g315 +V285 +p1834 +tp1835 +a(g827 +g978 +tp1836 +a(g315 +V372 +p1837 +tp1838 +a(g827 +g978 +tp1839 +a(g574 +Vlineto +p1840 +tp1841 +a(g827 +V\u000a +p1842 +tp1843 +a(g315 +V306 +p1844 +tp1845 +a(g827 +g978 +tp1846 +a(g315 +V373 +p1847 +tp1848 +a(g827 +g978 +tp1849 +a(g574 +Vlineto +p1850 +tp1851 +a(g827 +V\u000a +p1852 +tp1853 +a(g315 +V327 +p1854 +tp1855 +a(g827 +g978 +tp1856 +a(g315 +V372 +p1857 +tp1858 +a(g827 +g978 +tp1859 +a(g574 +Vlineto +p1860 +tp1861 +a(g827 +V\u000a +p1862 +tp1863 +a(g315 +V348 +p1864 +tp1865 +a(g827 +g978 +tp1866 +a(g315 +V370 +p1867 +tp1868 +a(g827 +g978 +tp1869 +a(g574 +Vlineto +p1870 +tp1871 +a(g827 +V\u000a +p1872 +tp1873 +a(g315 +V369 +p1874 +tp1875 +a(g827 +g978 +tp1876 +a(g315 +V366 +p1877 +tp1878 +a(g827 +g978 +tp1879 +a(g574 +Vlineto +p1880 +tp1881 +a(g827 +V\u000a +p1882 +tp1883 +a(g315 +V389 +p1884 +tp1885 +a(g827 +g978 +tp1886 +a(g315 +V360 +p1887 +tp1888 +a(g827 +g978 +tp1889 +a(g574 +Vlineto +p1890 +tp1891 +a(g827 +V\u000a +p1892 +tp1893 +a(g315 +V409 +p1894 +tp1895 +a(g827 +g978 +tp1896 +a(g315 +V352 +p1897 +tp1898 +a(g827 +g978 +tp1899 +a(g574 +Vlineto +p1900 +tp1901 +a(g827 +V\u000a +p1902 +tp1903 +a(g315 +V429 +p1904 +tp1905 +a(g827 +g978 +tp1906 +a(g315 +V344 +p1907 +tp1908 +a(g827 +g978 +tp1909 +a(g574 +Vlineto +p1910 +tp1911 +a(g827 +V\u000a +p1912 +tp1913 +a(g315 +V447 +p1914 +tp1915 +a(g827 +g978 +tp1916 +a(g315 +V333 +p1917 +tp1918 +a(g827 +g978 +tp1919 +a(g574 +Vlineto +p1920 +tp1921 +a(g827 +V\u000a +p1922 +tp1923 +a(g315 +V465 +p1924 +tp1925 +a(g827 +g978 +tp1926 +a(g315 +V321 +p1927 +tp1928 +a(g827 +g978 +tp1929 +a(g574 +Vlineto +p1930 +tp1931 +a(g827 +V\u000a +p1932 +tp1933 +a(g315 +V481 +p1934 +tp1935 +a(g827 +g978 +tp1936 +a(g315 +V308 +p1937 +tp1938 +a(g827 +g978 +tp1939 +a(g574 +Vlineto +p1940 +tp1941 +a(g827 +V\u000a +p1942 +tp1943 +a(g315 +V497 +p1944 +tp1945 +a(g827 +g978 +tp1946 +a(g315 +V294 +p1947 +tp1948 +a(g827 +g978 +tp1949 +a(g574 +Vlineto +p1950 +tp1951 +a(g827 +V\u000a +p1952 +tp1953 +a(g315 +V0.7000 +p1954 +tp1955 +a(g827 +g978 +tp1956 +a(g315 +V0.7000 +p1957 +tp1958 +a(g827 +g978 +tp1959 +a(g315 +V0.7000 +p1960 +tp1961 +a(g827 +g978 +tp1962 +a(g574 +Vsetrgbcolor +p1963 +tp1964 +a(g827 +V\u000a +p1965 +tp1966 +a(g574 +Vstroke +p1967 +tp1968 +a(g827 +V\u000a +p1969 +tp1970 +a(g315 +V0.0000 +p1971 +tp1972 +a(g827 +g978 +tp1973 +a(g315 +V0.0000 +p1974 +tp1975 +a(g827 +g978 +tp1976 +a(g315 +V0.4000 +p1977 +tp1978 +a(g827 +g978 +tp1979 +a(g574 +Vsetrgbcolor +p1980 +tp1981 +a(g827 +V\u000a +p1982 +tp1983 +a(g574 +Vnewpath +p1984 +tp1985 +a(g827 +V\u000a +p1986 +tp1987 +a(g315 +V171 +p1988 +tp1989 +a(g827 +g978 +tp1990 +a(g315 +V337 +p1991 +tp1992 +a(g827 +g978 +tp1993 +a(g574 +Vmoveto +p1994 +tp1995 +a(g827 +V\u000a +p1996 +tp1997 +a(g315 +V164 +p1998 +tp1999 +a(g827 +g978 +tp2000 +a(g315 +V348 +p2001 +tp2002 +a(g827 +g978 +tp2003 +a(g574 +Vlineto +p2004 +tp2005 +a(g827 +V\u000a +p2006 +tp2007 +a(g315 +V158 +p2008 +tp2009 +a(g827 +g978 +tp2010 +a(g315 +V360 +p2011 +tp2012 +a(g827 +g978 +tp2013 +a(g574 +Vlineto +p2014 +tp2015 +a(g827 +V\u000a +p2016 +tp2017 +a(g315 +V151 +p2018 +tp2019 +a(g827 +g978 +tp2020 +a(g315 +V371 +p2021 +tp2022 +a(g827 +g978 +tp2023 +a(g574 +Vlineto +p2024 +tp2025 +a(g827 +V\u000a +p2026 +tp2027 +a(g315 +V145 +p2028 +tp2029 +a(g827 +g978 +tp2030 +a(g315 +V383 +p2031 +tp2032 +a(g827 +g978 +tp2033 +a(g574 +Vlineto +p2034 +tp2035 +a(g827 +V\u000a +p2036 +tp2037 +a(g315 +V0.0000 +p2038 +tp2039 +a(g827 +g978 +tp2040 +a(g315 +V0.0000 +p2041 +tp2042 +a(g827 +g978 +tp2043 +a(g315 +V0.4000 +p2044 +tp2045 +a(g827 +g978 +tp2046 +a(g574 +Vsetrgbcolor +p2047 +tp2048 +a(g827 +V\u000a +p2049 +tp2050 +a(g574 +Vstroke +p2051 +tp2052 +a(g827 +V\u000a +p2053 +tp2054 +a(g574 +Vnewpath +p2055 +tp2056 +a(g827 +V\u000a +p2057 +tp2058 +a(g315 +V145 +p2059 +tp2060 +a(g827 +g978 +tp2061 +a(g315 +V383 +p2062 +tp2063 +a(g827 +g978 +tp2064 +a(g574 +Vmoveto +p2065 +tp2066 +a(g827 +V\u000a +p2067 +tp2068 +a(g315 +V126 +p2069 +tp2070 +a(g827 +g978 +tp2071 +a(g315 +V372 +p2072 +tp2073 +a(g827 +g978 +tp2074 +a(g574 +Vlineto +p2075 +tp2076 +a(g827 +V\u000a +p2077 +tp2078 +a(g315 +V109 +p2079 +tp2080 +a(g827 +g978 +tp2081 +a(g315 +V359 +p2082 +tp2083 +a(g827 +g978 +tp2084 +a(g574 +Vlineto +p2085 +tp2086 +a(g827 +V\u000a +p2087 +tp2088 +a(g315 +V95 +p2089 +tp2090 +a(g827 +g978 +tp2091 +a(g315 +V343 +p2092 +tp2093 +a(g827 +g978 +tp2094 +a(g574 +Vlineto +p2095 +tp2096 +a(g827 +V\u000a +p2097 +tp2098 +a(g315 +V83 +p2099 +tp2100 +a(g827 +g978 +tp2101 +a(g315 +V326 +p2102 +tp2103 +a(g827 +g978 +tp2104 +a(g574 +Vlineto +p2105 +tp2106 +a(g827 +V\u000a +p2107 +tp2108 +a(g315 +V0.0000 +p2109 +tp2110 +a(g827 +g978 +tp2111 +a(g315 +V0.0000 +p2112 +tp2113 +a(g827 +g978 +tp2114 +a(g315 +V0.4000 +p2115 +tp2116 +a(g827 +g978 +tp2117 +a(g574 +Vsetrgbcolor +p2118 +tp2119 +a(g827 +V\u000a +p2120 +tp2121 +a(g574 +Vstroke +p2122 +tp2123 +a(g827 +V\u000a +p2124 +tp2125 +a(g574 +Vnewpath +p2126 +tp2127 +a(g827 +V\u000a +p2128 +tp2129 +a(g315 +V83 +p2130 +tp2131 +a(g827 +g978 +tp2132 +a(g315 +V326 +p2133 +tp2134 +a(g827 +g978 +tp2135 +a(g574 +Vmoveto +p2136 +tp2137 +a(g827 +V\u000a +p2138 +tp2139 +a(g315 +V91 +p2140 +tp2141 +a(g827 +g978 +tp2142 +a(g315 +V318 +p2143 +tp2144 +a(g827 +g978 +tp2145 +a(g574 +Vlineto +p2146 +tp2147 +a(g827 +V\u000a +p2148 +tp2149 +a(g315 +V99 +p2150 +tp2151 +a(g827 +g978 +tp2152 +a(g315 +V310 +p2153 +tp2154 +a(g827 +g978 +tp2155 +a(g574 +Vlineto +p2156 +tp2157 +a(g827 +V\u000a +p2158 +tp2159 +a(g315 +V107 +p2160 +tp2161 +a(g827 +g978 +tp2162 +a(g315 +V302 +p2163 +tp2164 +a(g827 +g978 +tp2165 +a(g574 +Vlineto +p2166 +tp2167 +a(g827 +V\u000a +p2168 +tp2169 +a(g315 +V115 +p2170 +tp2171 +a(g827 +g978 +tp2172 +a(g315 +V294 +p2173 +tp2174 +a(g827 +g978 +tp2175 +a(g574 +Vlineto +p2176 +tp2177 +a(g827 +V\u000a +p2178 +tp2179 +a(g315 +V0.0000 +p2180 +tp2181 +a(g827 +g978 +tp2182 +a(g315 +V0.0000 +p2183 +tp2184 +a(g827 +g978 +tp2185 +a(g315 +V0.4000 +p2186 +tp2187 +a(g827 +g978 +tp2188 +a(g574 +Vsetrgbcolor +p2189 +tp2190 +a(g827 +V\u000a +p2191 +tp2192 +a(g574 +Vstroke +p2193 +tp2194 +a(g827 +V\u000a +p2195 +tp2196 +a(g574 +Vnewpath +p2197 +tp2198 +a(g827 +V\u000a +p2199 +tp2200 +a(g315 +V115 +p2201 +tp2202 +a(g827 +g978 +tp2203 +a(g315 +V294 +p2204 +tp2205 +a(g827 +g978 +tp2206 +a(g574 +Vmoveto +p2207 +tp2208 +a(g827 +V\u000a +p2209 +tp2210 +a(g315 +V128 +p2211 +tp2212 +a(g827 +g978 +tp2213 +a(g315 +V306 +p2214 +tp2215 +a(g827 +g978 +tp2216 +a(g574 +Vlineto +p2217 +tp2218 +a(g827 +V\u000a +p2219 +tp2220 +a(g315 +V142 +p2221 +tp2222 +a(g827 +g978 +tp2223 +a(g315 +V317 +p2224 +tp2225 +a(g827 +g978 +tp2226 +a(g574 +Vlineto +p2227 +tp2228 +a(g827 +V\u000a +p2229 +tp2230 +a(g315 +V156 +p2231 +tp2232 +a(g827 +g978 +tp2233 +a(g315 +V327 +p2234 +tp2235 +a(g827 +g978 +tp2236 +a(g574 +Vlineto +p2237 +tp2238 +a(g827 +V\u000a +p2239 +tp2240 +a(g315 +V171 +p2241 +tp2242 +a(g827 +g978 +tp2243 +a(g315 +V337 +p2244 +tp2245 +a(g827 +g978 +tp2246 +a(g574 +Vlineto +p2247 +tp2248 +a(g827 +V\u000a +p2249 +tp2250 +a(g315 +V0.0000 +p2251 +tp2252 +a(g827 +g978 +tp2253 +a(g315 +V0.0000 +p2254 +tp2255 +a(g827 +g978 +tp2256 +a(g315 +V0.4000 +p2257 +tp2258 +a(g827 +g978 +tp2259 +a(g574 +Vsetrgbcolor +p2260 +tp2261 +a(g827 +V\u000a +p2262 +tp2263 +a(g574 +Vstroke +p2264 +tp2265 +a(g827 +V\u000a +p2266 +tp2267 +a(g315 +V0.0000 +p2268 +tp2269 +a(g827 +g978 +tp2270 +a(g315 +V0.0000 +p2271 +tp2272 +a(g827 +g978 +tp2273 +a(g315 +V0.4000 +p2274 +tp2275 +a(g827 +g978 +tp2276 +a(g574 +Vsetrgbcolor +p2277 +tp2278 +a(g827 +V\u000a +p2279 +tp2280 +a(g574 +Vnewpath +p2281 +tp2282 +a(g827 +V\u000a +p2283 +tp2284 +a(g315 +V236 +p2285 +tp2286 +a(g827 +g978 +tp2287 +a(g315 +V364 +p2288 +tp2289 +a(g827 +g978 +tp2290 +a(g574 +Vmoveto +p2291 +tp2292 +a(g827 +V\u000a +p2293 +tp2294 +a(g315 +V234 +p2295 +tp2296 +a(g827 +g978 +tp2297 +a(g315 +V373 +p2298 +tp2299 +a(g827 +g978 +tp2300 +a(g574 +Vlineto +p2301 +tp2302 +a(g827 +V\u000a +p2303 +tp2304 +a(g315 +V231 +p2305 +tp2306 +a(g827 +g978 +tp2307 +a(g315 +V382 +p2308 +tp2309 +a(g827 +g978 +tp2310 +a(g574 +Vlineto +p2311 +tp2312 +a(g827 +V\u000a +p2313 +tp2314 +a(g315 +V229 +p2315 +tp2316 +a(g827 +g978 +tp2317 +a(g315 +V391 +p2318 +tp2319 +a(g827 +g978 +tp2320 +a(g574 +Vlineto +p2321 +tp2322 +a(g827 +V\u000a +p2323 +tp2324 +a(g315 +V226 +p2325 +tp2326 +a(g827 +g978 +tp2327 +a(g315 +V400 +p2328 +tp2329 +a(g827 +g978 +tp2330 +a(g574 +Vlineto +p2331 +tp2332 +a(g827 +V\u000a +p2333 +tp2334 +a(g315 +V0.0000 +p2335 +tp2336 +a(g827 +g978 +tp2337 +a(g315 +V0.0000 +p2338 +tp2339 +a(g827 +g978 +tp2340 +a(g315 +V0.4000 +p2341 +tp2342 +a(g827 +g978 +tp2343 +a(g574 +Vsetrgbcolor +p2344 +tp2345 +a(g827 +V\u000a +p2346 +tp2347 +a(g574 +Vstroke +p2348 +tp2349 +a(g827 +V\u000a +p2350 +tp2351 +a(g574 +Vnewpath +p2352 +tp2353 +a(g827 +V\u000a +p2354 +tp2355 +a(g315 +V226 +p2356 +tp2357 +a(g827 +g978 +tp2358 +a(g315 +V400 +p2359 +tp2360 +a(g827 +g978 +tp2361 +a(g574 +Vmoveto +p2362 +tp2363 +a(g827 +V\u000a +p2364 +tp2365 +a(g315 +V206 +p2366 +tp2367 +a(g827 +g978 +tp2368 +a(g315 +V397 +p2369 +tp2370 +a(g827 +g978 +tp2371 +a(g574 +Vlineto +p2372 +tp2373 +a(g827 +V\u000a +p2374 +tp2375 +a(g315 +V185 +p2376 +tp2377 +a(g827 +g978 +tp2378 +a(g315 +V394 +p2379 +tp2380 +a(g827 +g978 +tp2381 +a(g574 +Vlineto +p2382 +tp2383 +a(g827 +V\u000a +p2384 +tp2385 +a(g315 +V165 +p2386 +tp2387 +a(g827 +g978 +tp2388 +a(g315 +V390 +p2389 +tp2390 +a(g827 +g978 +tp2391 +a(g574 +Vlineto +p2392 +tp2393 +a(g827 +V\u000a +p2394 +tp2395 +a(g315 +V145 +p2396 +tp2397 +a(g827 +g978 +tp2398 +a(g315 +V383 +p2399 +tp2400 +a(g827 +g978 +tp2401 +a(g574 +Vlineto +p2402 +tp2403 +a(g827 +V\u000a +p2404 +tp2405 +a(g315 +V0.0000 +p2406 +tp2407 +a(g827 +g978 +tp2408 +a(g315 +V0.0000 +p2409 +tp2410 +a(g827 +g978 +tp2411 +a(g315 +V0.4000 +p2412 +tp2413 +a(g827 +g978 +tp2414 +a(g574 +Vsetrgbcolor +p2415 +tp2416 +a(g827 +V\u000a +p2417 +tp2418 +a(g574 +Vstroke +p2419 +tp2420 +a(g827 +V\u000a +p2421 +tp2422 +a(g574 +Vnewpath +p2423 +tp2424 +a(g827 +V\u000a +p2425 +tp2426 +a(g315 +V145 +p2427 +tp2428 +a(g827 +g978 +tp2429 +a(g315 +V383 +p2430 +tp2431 +a(g827 +g978 +tp2432 +a(g574 +Vmoveto +p2433 +tp2434 +a(g827 +V\u000a +p2435 +tp2436 +a(g315 +V151 +p2437 +tp2438 +a(g827 +g978 +tp2439 +a(g315 +V371 +p2440 +tp2441 +a(g827 +g978 +tp2442 +a(g574 +Vlineto +p2443 +tp2444 +a(g827 +V\u000a +p2445 +tp2446 +a(g315 +V158 +p2447 +tp2448 +a(g827 +g978 +tp2449 +a(g315 +V360 +p2450 +tp2451 +a(g827 +g978 +tp2452 +a(g574 +Vlineto +p2453 +tp2454 +a(g827 +V\u000a +p2455 +tp2456 +a(g315 +V164 +p2457 +tp2458 +a(g827 +g978 +tp2459 +a(g315 +V348 +p2460 +tp2461 +a(g827 +g978 +tp2462 +a(g574 +Vlineto +p2463 +tp2464 +a(g827 +V\u000a +p2465 +tp2466 +a(g315 +V171 +p2467 +tp2468 +a(g827 +g978 +tp2469 +a(g315 +V337 +p2470 +tp2471 +a(g827 +g978 +tp2472 +a(g574 +Vlineto +p2473 +tp2474 +a(g827 +V\u000a +p2475 +tp2476 +a(g315 +V0.0000 +p2477 +tp2478 +a(g827 +g978 +tp2479 +a(g315 +V0.0000 +p2480 +tp2481 +a(g827 +g978 +tp2482 +a(g315 +V0.4000 +p2483 +tp2484 +a(g827 +g978 +tp2485 +a(g574 +Vsetrgbcolor +p2486 +tp2487 +a(g827 +V\u000a +p2488 +tp2489 +a(g574 +Vstroke +p2490 +tp2491 +a(g827 +V\u000a +p2492 +tp2493 +a(g574 +Vnewpath +p2494 +tp2495 +a(g827 +V\u000a +p2496 +tp2497 +a(g315 +V171 +p2498 +tp2499 +a(g827 +g978 +tp2500 +a(g315 +V337 +p2501 +tp2502 +a(g827 +g978 +tp2503 +a(g574 +Vmoveto +p2504 +tp2505 +a(g827 +V\u000a +p2506 +tp2507 +a(g315 +V187 +p2508 +tp2509 +a(g827 +g978 +tp2510 +a(g315 +V345 +p2511 +tp2512 +a(g827 +g978 +tp2513 +a(g574 +Vlineto +p2514 +tp2515 +a(g827 +V\u000a +p2516 +tp2517 +a(g315 +V203 +p2518 +tp2519 +a(g827 +g978 +tp2520 +a(g315 +V352 +p2521 +tp2522 +a(g827 +g978 +tp2523 +a(g574 +Vlineto +p2524 +tp2525 +a(g827 +V\u000a +p2526 +tp2527 +a(g315 +V219 +p2528 +tp2529 +a(g827 +g978 +tp2530 +a(g315 +V359 +p2531 +tp2532 +a(g827 +g978 +tp2533 +a(g574 +Vlineto +p2534 +tp2535 +a(g827 +V\u000a +p2536 +tp2537 +a(g315 +V236 +p2538 +tp2539 +a(g827 +g978 +tp2540 +a(g315 +V364 +p2541 +tp2542 +a(g827 +g978 +tp2543 +a(g574 +Vlineto +p2544 +tp2545 +a(g827 +V\u000a +p2546 +tp2547 +a(g315 +V0.0000 +p2548 +tp2549 +a(g827 +g978 +tp2550 +a(g315 +V0.0000 +p2551 +tp2552 +a(g827 +g978 +tp2553 +a(g315 +V0.4000 +p2554 +tp2555 +a(g827 +g978 +tp2556 +a(g574 +Vsetrgbcolor +p2557 +tp2558 +a(g827 +V\u000a +p2559 +tp2560 +a(g574 +Vstroke +p2561 +tp2562 +a(g827 +V\u000a +p2563 +tp2564 +a(g315 +V0.0000 +p2565 +tp2566 +a(g827 +g978 +tp2567 +a(g315 +V0.0000 +p2568 +tp2569 +a(g827 +g978 +tp2570 +a(g315 +V0.4000 +p2571 +tp2572 +a(g827 +g978 +tp2573 +a(g574 +Vsetrgbcolor +p2574 +tp2575 +a(g827 +V\u000a +p2576 +tp2577 +a(g574 +Vnewpath +p2578 +tp2579 +a(g827 +V\u000a +p2580 +tp2581 +a(g315 +V306 +p2582 +tp2583 +a(g827 +g978 +tp2584 +a(g315 +V373 +p2585 +tp2586 +a(g827 +g978 +tp2587 +a(g574 +Vmoveto +p2588 +tp2589 +a(g827 +V\u000a +p2590 +tp2591 +a(g315 +V306 +p2592 +tp2593 +a(g827 +g978 +tp2594 +a(g315 +V384 +p2595 +tp2596 +a(g827 +g978 +tp2597 +a(g574 +Vlineto +p2598 +tp2599 +a(g827 +V\u000a +p2600 +tp2601 +a(g315 +V306 +p2602 +tp2603 +a(g827 +g978 +tp2604 +a(g315 +V396 +p2605 +tp2606 +a(g827 +g978 +tp2607 +a(g574 +Vlineto +p2608 +tp2609 +a(g827 +V\u000a +p2610 +tp2611 +a(g315 +V306 +p2612 +tp2613 +a(g827 +g978 +tp2614 +a(g315 +V407 +p2615 +tp2616 +a(g827 +g978 +tp2617 +a(g574 +Vlineto +p2618 +tp2619 +a(g827 +V\u000a +p2620 +tp2621 +a(g315 +V306 +p2622 +tp2623 +a(g827 +g978 +tp2624 +a(g315 +V418 +p2625 +tp2626 +a(g827 +g978 +tp2627 +a(g574 +Vlineto +p2628 +tp2629 +a(g827 +V\u000a +p2630 +tp2631 +a(g315 +V0.0000 +p2632 +tp2633 +a(g827 +g978 +tp2634 +a(g315 +V0.0000 +p2635 +tp2636 +a(g827 +g978 +tp2637 +a(g315 +V0.4000 +p2638 +tp2639 +a(g827 +g978 +tp2640 +a(g574 +Vsetrgbcolor +p2641 +tp2642 +a(g827 +V\u000a +p2643 +tp2644 +a(g574 +Vstroke +p2645 +tp2646 +a(g827 +V\u000a +p2647 +tp2648 +a(g574 +Vnewpath +p2649 +tp2650 +a(g827 +V\u000a +p2651 +tp2652 +a(g315 +V306 +p2653 +tp2654 +a(g827 +g978 +tp2655 +a(g315 +V418 +p2656 +tp2657 +a(g827 +g978 +tp2658 +a(g574 +Vmoveto +p2659 +tp2660 +a(g827 +V\u000a +p2661 +tp2662 +a(g315 +V286 +p2663 +tp2664 +a(g827 +g978 +tp2665 +a(g315 +V413 +p2666 +tp2667 +a(g827 +g978 +tp2668 +a(g574 +Vlineto +p2669 +tp2670 +a(g827 +V\u000a +p2671 +tp2672 +a(g315 +V266 +p2673 +tp2674 +a(g827 +g978 +tp2675 +a(g315 +V408 +p2676 +tp2677 +a(g827 +g978 +tp2678 +a(g574 +Vlineto +p2679 +tp2680 +a(g827 +V\u000a +p2681 +tp2682 +a(g315 +V246 +p2683 +tp2684 +a(g827 +g978 +tp2685 +a(g315 +V403 +p2686 +tp2687 +a(g827 +g978 +tp2688 +a(g574 +Vlineto +p2689 +tp2690 +a(g827 +V\u000a +p2691 +tp2692 +a(g315 +V226 +p2693 +tp2694 +a(g827 +g978 +tp2695 +a(g315 +V400 +p2696 +tp2697 +a(g827 +g978 +tp2698 +a(g574 +Vlineto +p2699 +tp2700 +a(g827 +V\u000a +p2701 +tp2702 +a(g315 +V0.0000 +p2703 +tp2704 +a(g827 +g978 +tp2705 +a(g315 +V0.0000 +p2706 +tp2707 +a(g827 +g978 +tp2708 +a(g315 +V0.4000 +p2709 +tp2710 +a(g827 +g978 +tp2711 +a(g574 +Vsetrgbcolor +p2712 +tp2713 +a(g827 +V\u000a +p2714 +tp2715 +a(g574 +Vstroke +p2716 +tp2717 +a(g827 +V\u000a +p2718 +tp2719 +a(g574 +Vnewpath +p2720 +tp2721 +a(g827 +V\u000a +p2722 +tp2723 +a(g315 +V226 +p2724 +tp2725 +a(g827 +g978 +tp2726 +a(g315 +V400 +p2727 +tp2728 +a(g827 +g978 +tp2729 +a(g574 +Vmoveto +p2730 +tp2731 +a(g827 +V\u000a +p2732 +tp2733 +a(g315 +V229 +p2734 +tp2735 +a(g827 +g978 +tp2736 +a(g315 +V391 +p2737 +tp2738 +a(g827 +g978 +tp2739 +a(g574 +Vlineto +p2740 +tp2741 +a(g827 +V\u000a +p2742 +tp2743 +a(g315 +V231 +p2744 +tp2745 +a(g827 +g978 +tp2746 +a(g315 +V382 +p2747 +tp2748 +a(g827 +g978 +tp2749 +a(g574 +Vlineto +p2750 +tp2751 +a(g827 +V\u000a +p2752 +tp2753 +a(g315 +V234 +p2754 +tp2755 +a(g827 +g978 +tp2756 +a(g315 +V373 +p2757 +tp2758 +a(g827 +g978 +tp2759 +a(g574 +Vlineto +p2760 +tp2761 +a(g827 +V\u000a +p2762 +tp2763 +a(g315 +V236 +p2764 +tp2765 +a(g827 +g978 +tp2766 +a(g315 +V364 +p2767 +tp2768 +a(g827 +g978 +tp2769 +a(g574 +Vlineto +p2770 +tp2771 +a(g827 +V\u000a +p2772 +tp2773 +a(g315 +V0.0000 +p2774 +tp2775 +a(g827 +g978 +tp2776 +a(g315 +V0.0000 +p2777 +tp2778 +a(g827 +g978 +tp2779 +a(g315 +V0.4000 +p2780 +tp2781 +a(g827 +g978 +tp2782 +a(g574 +Vsetrgbcolor +p2783 +tp2784 +a(g827 +V\u000a +p2785 +tp2786 +a(g574 +Vstroke +p2787 +tp2788 +a(g827 +V\u000a +p2789 +tp2790 +a(g574 +Vnewpath +p2791 +tp2792 +a(g827 +V\u000a +p2793 +tp2794 +a(g315 +V236 +p2795 +tp2796 +a(g827 +g978 +tp2797 +a(g315 +V364 +p2798 +tp2799 +a(g827 +g978 +tp2800 +a(g574 +Vmoveto +p2801 +tp2802 +a(g827 +V\u000a +p2803 +tp2804 +a(g315 +V253 +p2805 +tp2806 +a(g827 +g978 +tp2807 +a(g315 +V368 +p2808 +tp2809 +a(g827 +g978 +tp2810 +a(g574 +Vlineto +p2811 +tp2812 +a(g827 +V\u000a +p2813 +tp2814 +a(g315 +V271 +p2815 +tp2816 +a(g827 +g978 +tp2817 +a(g315 +V371 +p2818 +tp2819 +a(g827 +g978 +tp2820 +a(g574 +Vlineto +p2821 +tp2822 +a(g827 +V\u000a +p2823 +tp2824 +a(g315 +V288 +p2825 +tp2826 +a(g827 +g978 +tp2827 +a(g315 +V372 +p2828 +tp2829 +a(g827 +g978 +tp2830 +a(g574 +Vlineto +p2831 +tp2832 +a(g827 +V\u000a +p2833 +tp2834 +a(g315 +V306 +p2835 +tp2836 +a(g827 +g978 +tp2837 +a(g315 +V373 +p2838 +tp2839 +a(g827 +g978 +tp2840 +a(g574 +Vlineto +p2841 +tp2842 +a(g827 +V\u000a +p2843 +tp2844 +a(g315 +V0.0000 +p2845 +tp2846 +a(g827 +g978 +tp2847 +a(g315 +V0.0000 +p2848 +tp2849 +a(g827 +g978 +tp2850 +a(g315 +V0.4000 +p2851 +tp2852 +a(g827 +g978 +tp2853 +a(g574 +Vsetrgbcolor +p2854 +tp2855 +a(g827 +V\u000a +p2856 +tp2857 +a(g574 +Vstroke +p2858 +tp2859 +a(g827 +V\u000a +p2860 +tp2861 +a(g315 +V0.0000 +p2862 +tp2863 +a(g827 +g978 +tp2864 +a(g315 +V0.0000 +p2865 +tp2866 +a(g827 +g978 +tp2867 +a(g315 +V0.4000 +p2868 +tp2869 +a(g827 +g978 +tp2870 +a(g574 +Vsetrgbcolor +p2871 +tp2872 +a(g827 +V\u000a +p2873 +tp2874 +a(g574 +Vnewpath +p2875 +tp2876 +a(g827 +V\u000a +p2877 +tp2878 +a(g315 +V376 +p2879 +tp2880 +a(g827 +g978 +tp2881 +a(g315 +V364 +p2882 +tp2883 +a(g827 +g978 +tp2884 +a(g574 +Vmoveto +p2885 +tp2886 +a(g827 +V\u000a +p2887 +tp2888 +a(g315 +V379 +p2889 +tp2890 +a(g827 +g978 +tp2891 +a(g315 +V377 +p2892 +tp2893 +a(g827 +g978 +tp2894 +a(g574 +Vlineto +p2895 +tp2896 +a(g827 +V\u000a +p2897 +tp2898 +a(g315 +V383 +p2899 +tp2900 +a(g827 +g978 +tp2901 +a(g315 +V389 +p2902 +tp2903 +a(g827 +g978 +tp2904 +a(g574 +Vlineto +p2905 +tp2906 +a(g827 +V\u000a +p2907 +tp2908 +a(g315 +V386 +p2909 +tp2910 +a(g827 +g978 +tp2911 +a(g315 +V402 +p2912 +tp2913 +a(g827 +g978 +tp2914 +a(g574 +Vlineto +p2915 +tp2916 +a(g827 +V\u000a +p2917 +tp2918 +a(g315 +V390 +p2919 +tp2920 +a(g827 +g978 +tp2921 +a(g315 +V415 +p2922 +tp2923 +a(g827 +g978 +tp2924 +a(g574 +Vlineto +p2925 +tp2926 +a(g827 +V\u000a +p2927 +tp2928 +a(g315 +V0.0000 +p2929 +tp2930 +a(g827 +g978 +tp2931 +a(g315 +V0.0000 +p2932 +tp2933 +a(g827 +g978 +tp2934 +a(g315 +V0.4000 +p2935 +tp2936 +a(g827 +g978 +tp2937 +a(g574 +Vsetrgbcolor +p2938 +tp2939 +a(g827 +V\u000a +p2940 +tp2941 +a(g574 +Vstroke +p2942 +tp2943 +a(g827 +V\u000a +p2944 +tp2945 +a(g574 +Vnewpath +p2946 +tp2947 +a(g827 +V\u000a +p2948 +tp2949 +a(g315 +V390 +p2950 +tp2951 +a(g827 +g978 +tp2952 +a(g315 +V415 +p2953 +tp2954 +a(g827 +g978 +tp2955 +a(g574 +Vmoveto +p2956 +tp2957 +a(g827 +V\u000a +p2958 +tp2959 +a(g315 +V369 +p2960 +tp2961 +a(g827 +g978 +tp2962 +a(g315 +V421 +p2963 +tp2964 +a(g827 +g978 +tp2965 +a(g574 +Vlineto +p2966 +tp2967 +a(g827 +V\u000a +p2968 +tp2969 +a(g315 +V348 +p2970 +tp2971 +a(g827 +g978 +tp2972 +a(g315 +V423 +p2973 +tp2974 +a(g827 +g978 +tp2975 +a(g574 +Vlineto +p2976 +tp2977 +a(g827 +V\u000a +p2978 +tp2979 +a(g315 +V327 +p2980 +tp2981 +a(g827 +g978 +tp2982 +a(g315 +V422 +p2983 +tp2984 +a(g827 +g978 +tp2985 +a(g574 +Vlineto +p2986 +tp2987 +a(g827 +V\u000a +p2988 +tp2989 +a(g315 +V306 +p2990 +tp2991 +a(g827 +g978 +tp2992 +a(g315 +V418 +p2993 +tp2994 +a(g827 +g978 +tp2995 +a(g574 +Vlineto +p2996 +tp2997 +a(g827 +V\u000a +p2998 +tp2999 +a(g315 +V0.0000 +p3000 +tp3001 +a(g827 +g978 +tp3002 +a(g315 +V0.0000 +p3003 +tp3004 +a(g827 +g978 +tp3005 +a(g315 +V0.4000 +p3006 +tp3007 +a(g827 +g978 +tp3008 +a(g574 +Vsetrgbcolor +p3009 +tp3010 +a(g827 +V\u000a +p3011 +tp3012 +a(g574 +Vstroke +p3013 +tp3014 +a(g827 +V\u000a +p3015 +tp3016 +a(g574 +Vnewpath +p3017 +tp3018 +a(g827 +V\u000a +p3019 +tp3020 +a(g315 +V306 +p3021 +tp3022 +a(g827 +g978 +tp3023 +a(g315 +V418 +p3024 +tp3025 +a(g827 +g978 +tp3026 +a(g574 +Vmoveto +p3027 +tp3028 +a(g827 +V\u000a +p3029 +tp3030 +a(g315 +V306 +p3031 +tp3032 +a(g827 +g978 +tp3033 +a(g315 +V407 +p3034 +tp3035 +a(g827 +g978 +tp3036 +a(g574 +Vlineto +p3037 +tp3038 +a(g827 +V\u000a +p3039 +tp3040 +a(g315 +V306 +p3041 +tp3042 +a(g827 +g978 +tp3043 +a(g315 +V396 +p3044 +tp3045 +a(g827 +g978 +tp3046 +a(g574 +Vlineto +p3047 +tp3048 +a(g827 +V\u000a +p3049 +tp3050 +a(g315 +V306 +p3051 +tp3052 +a(g827 +g978 +tp3053 +a(g315 +V384 +p3054 +tp3055 +a(g827 +g978 +tp3056 +a(g574 +Vlineto +p3057 +tp3058 +a(g827 +V\u000a +p3059 +tp3060 +a(g315 +V306 +p3061 +tp3062 +a(g827 +g978 +tp3063 +a(g315 +V373 +p3064 +tp3065 +a(g827 +g978 +tp3066 +a(g574 +Vlineto +p3067 +tp3068 +a(g827 +V\u000a +p3069 +tp3070 +a(g315 +V0.0000 +p3071 +tp3072 +a(g827 +g978 +tp3073 +a(g315 +V0.0000 +p3074 +tp3075 +a(g827 +g978 +tp3076 +a(g315 +V0.4000 +p3077 +tp3078 +a(g827 +g978 +tp3079 +a(g574 +Vsetrgbcolor +p3080 +tp3081 +a(g827 +V\u000a +p3082 +tp3083 +a(g574 +Vstroke +p3084 +tp3085 +a(g827 +V\u000a +p3086 +tp3087 +a(g574 +Vnewpath +p3088 +tp3089 +a(g827 +V\u000a +p3090 +tp3091 +a(g315 +V306 +p3092 +tp3093 +a(g827 +g978 +tp3094 +a(g315 +V373 +p3095 +tp3096 +a(g827 +g978 +tp3097 +a(g574 +Vmoveto +p3098 +tp3099 +a(g827 +V\u000a +p3100 +tp3101 +a(g315 +V324 +p3102 +tp3103 +a(g827 +g978 +tp3104 +a(g315 +V372 +p3105 +tp3106 +a(g827 +g978 +tp3107 +a(g574 +Vlineto +p3108 +tp3109 +a(g827 +V\u000a +p3110 +tp3111 +a(g315 +V341 +p3112 +tp3113 +a(g827 +g978 +tp3114 +a(g315 +V371 +p3115 +tp3116 +a(g827 +g978 +tp3117 +a(g574 +Vlineto +p3118 +tp3119 +a(g827 +V\u000a +p3120 +tp3121 +a(g315 +V359 +p3122 +tp3123 +a(g827 +g978 +tp3124 +a(g315 +V368 +p3125 +tp3126 +a(g827 +g978 +tp3127 +a(g574 +Vlineto +p3128 +tp3129 +a(g827 +V\u000a +p3130 +tp3131 +a(g315 +V376 +p3132 +tp3133 +a(g827 +g978 +tp3134 +a(g315 +V364 +p3135 +tp3136 +a(g827 +g978 +tp3137 +a(g574 +Vlineto +p3138 +tp3139 +a(g827 +V\u000a +p3140 +tp3141 +a(g315 +V0.0000 +p3142 +tp3143 +a(g827 +g978 +tp3144 +a(g315 +V0.0000 +p3145 +tp3146 +a(g827 +g978 +tp3147 +a(g315 +V0.4000 +p3148 +tp3149 +a(g827 +g978 +tp3150 +a(g574 +Vsetrgbcolor +p3151 +tp3152 +a(g827 +V\u000a +p3153 +tp3154 +a(g574 +Vstroke +p3155 +tp3156 +a(g827 +V\u000a +p3157 +tp3158 +a(g315 +V0.0000 +p3159 +tp3160 +a(g827 +g978 +tp3161 +a(g315 +V0.0000 +p3162 +tp3163 +a(g827 +g978 +tp3164 +a(g315 +V0.4000 +p3165 +tp3166 +a(g827 +g978 +tp3167 +a(g574 +Vsetrgbcolor +p3168 +tp3169 +a(g827 +V\u000a +p3170 +tp3171 +a(g574 +Vnewpath +p3172 +tp3173 +a(g827 +V\u000a +p3174 +tp3175 +a(g315 +V441 +p3176 +tp3177 +a(g827 +g978 +tp3178 +a(g315 +V337 +p3179 +tp3180 +a(g827 +g978 +tp3181 +a(g574 +Vmoveto +p3182 +tp3183 +a(g827 +V\u000a +p3184 +tp3185 +a(g315 +V446 +p3186 +tp3187 +a(g827 +g978 +tp3188 +a(g315 +V345 +p3189 +tp3190 +a(g827 +g978 +tp3191 +a(g574 +Vlineto +p3192 +tp3193 +a(g827 +V\u000a +p3194 +tp3195 +a(g315 +V450 +p3196 +tp3197 +a(g827 +g978 +tp3198 +a(g315 +V353 +p3199 +tp3200 +a(g827 +g978 +tp3201 +a(g574 +Vlineto +p3202 +tp3203 +a(g827 +V\u000a +p3204 +tp3205 +a(g315 +V455 +p3206 +tp3207 +a(g827 +g978 +tp3208 +a(g315 +V361 +p3209 +tp3210 +a(g827 +g978 +tp3211 +a(g574 +Vlineto +p3212 +tp3213 +a(g827 +V\u000a +p3214 +tp3215 +a(g315 +V460 +p3216 +tp3217 +a(g827 +g978 +tp3218 +a(g315 +V369 +p3219 +tp3220 +a(g827 +g978 +tp3221 +a(g574 +Vlineto +p3222 +tp3223 +a(g827 +V\u000a +p3224 +tp3225 +a(g315 +V0.0000 +p3226 +tp3227 +a(g827 +g978 +tp3228 +a(g315 +V0.0000 +p3229 +tp3230 +a(g827 +g978 +tp3231 +a(g315 +V0.4000 +p3232 +tp3233 +a(g827 +g978 +tp3234 +a(g574 +Vsetrgbcolor +p3235 +tp3236 +a(g827 +V\u000a +p3237 +tp3238 +a(g574 +Vstroke +p3239 +tp3240 +a(g827 +V\u000a +p3241 +tp3242 +a(g574 +Vnewpath +p3243 +tp3244 +a(g827 +V\u000a +p3245 +tp3246 +a(g315 +V460 +p3247 +tp3248 +a(g827 +g978 +tp3249 +a(g315 +V369 +p3250 +tp3251 +a(g827 +g978 +tp3252 +a(g574 +Vmoveto +p3253 +tp3254 +a(g827 +V\u000a +p3255 +tp3256 +a(g315 +V443 +p3257 +tp3258 +a(g827 +g978 +tp3259 +a(g315 +V381 +p3260 +tp3261 +a(g827 +g978 +tp3262 +a(g574 +Vlineto +p3263 +tp3264 +a(g827 +V\u000a +p3265 +tp3266 +a(g315 +V427 +p3267 +tp3268 +a(g827 +g978 +tp3269 +a(g315 +V394 +p3270 +tp3271 +a(g827 +g978 +tp3272 +a(g574 +Vlineto +p3273 +tp3274 +a(g827 +V\u000a +p3275 +tp3276 +a(g315 +V409 +p3277 +tp3278 +a(g827 +g978 +tp3279 +a(g315 +V406 +p3280 +tp3281 +a(g827 +g978 +tp3282 +a(g574 +Vlineto +p3283 +tp3284 +a(g827 +V\u000a +p3285 +tp3286 +a(g315 +V390 +p3287 +tp3288 +a(g827 +g978 +tp3289 +a(g315 +V415 +p3290 +tp3291 +a(g827 +g978 +tp3292 +a(g574 +Vlineto +p3293 +tp3294 +a(g827 +V\u000a +p3295 +tp3296 +a(g315 +V0.0000 +p3297 +tp3298 +a(g827 +g978 +tp3299 +a(g315 +V0.0000 +p3300 +tp3301 +a(g827 +g978 +tp3302 +a(g315 +V0.4000 +p3303 +tp3304 +a(g827 +g978 +tp3305 +a(g574 +Vsetrgbcolor +p3306 +tp3307 +a(g827 +V\u000a +p3308 +tp3309 +a(g574 +Vstroke +p3310 +tp3311 +a(g827 +V\u000a +p3312 +tp3313 +a(g574 +Vnewpath +p3314 +tp3315 +a(g827 +V\u000a +p3316 +tp3317 +a(g315 +V390 +p3318 +tp3319 +a(g827 +g978 +tp3320 +a(g315 +V415 +p3321 +tp3322 +a(g827 +g978 +tp3323 +a(g574 +Vmoveto +p3324 +tp3325 +a(g827 +V\u000a +p3326 +tp3327 +a(g315 +V386 +p3328 +tp3329 +a(g827 +g978 +tp3330 +a(g315 +V402 +p3331 +tp3332 +a(g827 +g978 +tp3333 +a(g574 +Vlineto +p3334 +tp3335 +a(g827 +V\u000a +p3336 +tp3337 +a(g315 +V383 +p3338 +tp3339 +a(g827 +g978 +tp3340 +a(g315 +V389 +p3341 +tp3342 +a(g827 +g978 +tp3343 +a(g574 +Vlineto +p3344 +tp3345 +a(g827 +V\u000a +p3346 +tp3347 +a(g315 +V379 +p3348 +tp3349 +a(g827 +g978 +tp3350 +a(g315 +V377 +p3351 +tp3352 +a(g827 +g978 +tp3353 +a(g574 +Vlineto +p3354 +tp3355 +a(g827 +V\u000a +p3356 +tp3357 +a(g315 +V376 +p3358 +tp3359 +a(g827 +g978 +tp3360 +a(g315 +V364 +p3361 +tp3362 +a(g827 +g978 +tp3363 +a(g574 +Vlineto +p3364 +tp3365 +a(g827 +V\u000a +p3366 +tp3367 +a(g315 +V0.0000 +p3368 +tp3369 +a(g827 +g978 +tp3370 +a(g315 +V0.0000 +p3371 +tp3372 +a(g827 +g978 +tp3373 +a(g315 +V0.4000 +p3374 +tp3375 +a(g827 +g978 +tp3376 +a(g574 +Vsetrgbcolor +p3377 +tp3378 +a(g827 +V\u000a +p3379 +tp3380 +a(g574 +Vstroke +p3381 +tp3382 +a(g827 +V\u000a +p3383 +tp3384 +a(g574 +Vnewpath +p3385 +tp3386 +a(g827 +V\u000a +p3387 +tp3388 +a(g315 +V376 +p3389 +tp3390 +a(g827 +g978 +tp3391 +a(g315 +V364 +p3392 +tp3393 +a(g827 +g978 +tp3394 +a(g574 +Vmoveto +p3395 +tp3396 +a(g827 +V\u000a +p3397 +tp3398 +a(g315 +V393 +p3399 +tp3400 +a(g827 +g978 +tp3401 +a(g315 +V359 +p3402 +tp3403 +a(g827 +g978 +tp3404 +a(g574 +Vlineto +p3405 +tp3406 +a(g827 +V\u000a +p3407 +tp3408 +a(g315 +V409 +p3409 +tp3410 +a(g827 +g978 +tp3411 +a(g315 +V352 +p3412 +tp3413 +a(g827 +g978 +tp3414 +a(g574 +Vlineto +p3415 +tp3416 +a(g827 +V\u000a +p3417 +tp3418 +a(g315 +V425 +p3419 +tp3420 +a(g827 +g978 +tp3421 +a(g315 +V345 +p3422 +tp3423 +a(g827 +g978 +tp3424 +a(g574 +Vlineto +p3425 +tp3426 +a(g827 +V\u000a +p3427 +tp3428 +a(g315 +V441 +p3429 +tp3430 +a(g827 +g978 +tp3431 +a(g315 +V337 +p3432 +tp3433 +a(g827 +g978 +tp3434 +a(g574 +Vlineto +p3435 +tp3436 +a(g827 +V\u000a +p3437 +tp3438 +a(g315 +V0.0000 +p3439 +tp3440 +a(g827 +g978 +tp3441 +a(g315 +V0.0000 +p3442 +tp3443 +a(g827 +g978 +tp3444 +a(g315 +V0.4000 +p3445 +tp3446 +a(g827 +g978 +tp3447 +a(g574 +Vsetrgbcolor +p3448 +tp3449 +a(g827 +V\u000a +p3450 +tp3451 +a(g574 +Vstroke +p3452 +tp3453 +a(g827 +V\u000a +p3454 +tp3455 +a(g315 +V0.0000 +p3456 +tp3457 +a(g827 +g978 +tp3458 +a(g315 +V0.0000 +p3459 +tp3460 +a(g827 +g978 +tp3461 +a(g315 +V0.4000 +p3462 +tp3463 +a(g827 +g978 +tp3464 +a(g574 +Vsetrgbcolor +p3465 +tp3466 +a(g827 +V\u000a +p3467 +tp3468 +a(g574 +Vnewpath +p3469 +tp3470 +a(g827 +V\u000a +p3471 +tp3472 +a(g315 +V497 +p3473 +tp3474 +a(g827 +g978 +tp3475 +a(g315 +V294 +p3476 +tp3477 +a(g827 +g978 +tp3478 +a(g574 +Vmoveto +p3479 +tp3480 +a(g827 +V\u000a +p3481 +tp3482 +a(g315 +V505 +p3483 +tp3484 +a(g827 +g978 +tp3485 +a(g315 +V302 +p3486 +tp3487 +a(g827 +g978 +tp3488 +a(g574 +Vlineto +p3489 +tp3490 +a(g827 +V\u000a +p3491 +tp3492 +a(g315 +V513 +p3493 +tp3494 +a(g827 +g978 +tp3495 +a(g315 +V310 +p3496 +tp3497 +a(g827 +g978 +tp3498 +a(g574 +Vlineto +p3499 +tp3500 +a(g827 +V\u000a +p3501 +tp3502 +a(g315 +V521 +p3503 +tp3504 +a(g827 +g978 +tp3505 +a(g315 +V318 +p3506 +tp3507 +a(g827 +g978 +tp3508 +a(g574 +Vlineto +p3509 +tp3510 +a(g827 +V\u000a +p3511 +tp3512 +a(g315 +V529 +p3513 +tp3514 +a(g827 +g978 +tp3515 +a(g315 +V326 +p3516 +tp3517 +a(g827 +g978 +tp3518 +a(g574 +Vlineto +p3519 +tp3520 +a(g827 +V\u000a +p3521 +tp3522 +a(g315 +V0.0000 +p3523 +tp3524 +a(g827 +g978 +tp3525 +a(g315 +V0.0000 +p3526 +tp3527 +a(g827 +g978 +tp3528 +a(g315 +V0.4000 +p3529 +tp3530 +a(g827 +g978 +tp3531 +a(g574 +Vsetrgbcolor +p3532 +tp3533 +a(g827 +V\u000a +p3534 +tp3535 +a(g574 +Vstroke +p3536 +tp3537 +a(g827 +V\u000a +p3538 +tp3539 +a(g574 +Vnewpath +p3540 +tp3541 +a(g827 +V\u000a +p3542 +tp3543 +a(g315 +V529 +p3544 +tp3545 +a(g827 +g978 +tp3546 +a(g315 +V326 +p3547 +tp3548 +a(g827 +g978 +tp3549 +a(g574 +Vmoveto +p3550 +tp3551 +a(g827 +V\u000a +p3552 +tp3553 +a(g315 +V511 +p3554 +tp3555 +a(g827 +g978 +tp3556 +a(g315 +V336 +p3557 +tp3558 +a(g827 +g978 +tp3559 +a(g574 +Vlineto +p3560 +tp3561 +a(g827 +V\u000a +p3562 +tp3563 +a(g315 +V493 +p3564 +tp3565 +a(g827 +g978 +tp3566 +a(g315 +V347 +p3567 +tp3568 +a(g827 +g978 +tp3569 +a(g574 +Vlineto +p3570 +tp3571 +a(g827 +V\u000a +p3572 +tp3573 +a(g315 +V476 +p3574 +tp3575 +a(g827 +g978 +tp3576 +a(g315 +V357 +p3577 +tp3578 +a(g827 +g978 +tp3579 +a(g574 +Vlineto +p3580 +tp3581 +a(g827 +V\u000a +p3582 +tp3583 +a(g315 +V460 +p3584 +tp3585 +a(g827 +g978 +tp3586 +a(g315 +V369 +p3587 +tp3588 +a(g827 +g978 +tp3589 +a(g574 +Vlineto +p3590 +tp3591 +a(g827 +V\u000a +p3592 +tp3593 +a(g315 +V0.0000 +p3594 +tp3595 +a(g827 +g978 +tp3596 +a(g315 +V0.0000 +p3597 +tp3598 +a(g827 +g978 +tp3599 +a(g315 +V0.4000 +p3600 +tp3601 +a(g827 +g978 +tp3602 +a(g574 +Vsetrgbcolor +p3603 +tp3604 +a(g827 +V\u000a +p3605 +tp3606 +a(g574 +Vstroke +p3607 +tp3608 +a(g827 +V\u000a +p3609 +tp3610 +a(g574 +Vnewpath +p3611 +tp3612 +a(g827 +V\u000a +p3613 +tp3614 +a(g315 +V460 +p3615 +tp3616 +a(g827 +g978 +tp3617 +a(g315 +V369 +p3618 +tp3619 +a(g827 +g978 +tp3620 +a(g574 +Vmoveto +p3621 +tp3622 +a(g827 +V\u000a +p3623 +tp3624 +a(g315 +V455 +p3625 +tp3626 +a(g827 +g978 +tp3627 +a(g315 +V361 +p3628 +tp3629 +a(g827 +g978 +tp3630 +a(g574 +Vlineto +p3631 +tp3632 +a(g827 +V\u000a +p3633 +tp3634 +a(g315 +V450 +p3635 +tp3636 +a(g827 +g978 +tp3637 +a(g315 +V353 +p3638 +tp3639 +a(g827 +g978 +tp3640 +a(g574 +Vlineto +p3641 +tp3642 +a(g827 +V\u000a +p3643 +tp3644 +a(g315 +V446 +p3645 +tp3646 +a(g827 +g978 +tp3647 +a(g315 +V345 +p3648 +tp3649 +a(g827 +g978 +tp3650 +a(g574 +Vlineto +p3651 +tp3652 +a(g827 +V\u000a +p3653 +tp3654 +a(g315 +V441 +p3655 +tp3656 +a(g827 +g978 +tp3657 +a(g315 +V337 +p3658 +tp3659 +a(g827 +g978 +tp3660 +a(g574 +Vlineto +p3661 +tp3662 +a(g827 +V\u000a +p3663 +tp3664 +a(g315 +V0.0000 +p3665 +tp3666 +a(g827 +g978 +tp3667 +a(g315 +V0.0000 +p3668 +tp3669 +a(g827 +g978 +tp3670 +a(g315 +V0.4000 +p3671 +tp3672 +a(g827 +g978 +tp3673 +a(g574 +Vsetrgbcolor +p3674 +tp3675 +a(g827 +V\u000a +p3676 +tp3677 +a(g574 +Vstroke +p3678 +tp3679 +a(g827 +V\u000a +p3680 +tp3681 +a(g574 +Vnewpath +p3682 +tp3683 +a(g827 +V\u000a +p3684 +tp3685 +a(g315 +V441 +p3686 +tp3687 +a(g827 +g978 +tp3688 +a(g315 +V337 +p3689 +tp3690 +a(g827 +g978 +tp3691 +a(g574 +Vmoveto +p3692 +tp3693 +a(g827 +V\u000a +p3694 +tp3695 +a(g315 +V456 +p3696 +tp3697 +a(g827 +g978 +tp3698 +a(g315 +V327 +p3699 +tp3700 +a(g827 +g978 +tp3701 +a(g574 +Vlineto +p3702 +tp3703 +a(g827 +V\u000a +p3704 +tp3705 +a(g315 +V470 +p3706 +tp3707 +a(g827 +g978 +tp3708 +a(g315 +V317 +p3709 +tp3710 +a(g827 +g978 +tp3711 +a(g574 +Vlineto +p3712 +tp3713 +a(g827 +V\u000a +p3714 +tp3715 +a(g315 +V484 +p3716 +tp3717 +a(g827 +g978 +tp3718 +a(g315 +V306 +p3719 +tp3720 +a(g827 +g978 +tp3721 +a(g574 +Vlineto +p3722 +tp3723 +a(g827 +V\u000a +p3724 +tp3725 +a(g315 +V497 +p3726 +tp3727 +a(g827 +g978 +tp3728 +a(g315 +V294 +p3729 +tp3730 +a(g827 +g978 +tp3731 +a(g574 +Vlineto +p3732 +tp3733 +a(g827 +V\u000a +p3734 +tp3735 +a(g315 +V0.0000 +p3736 +tp3737 +a(g827 +g978 +tp3738 +a(g315 +V0.0000 +p3739 +tp3740 +a(g827 +g978 +tp3741 +a(g315 +V0.4000 +p3742 +tp3743 +a(g827 +g978 +tp3744 +a(g574 +Vsetrgbcolor +p3745 +tp3746 +a(g827 +V\u000a +p3747 +tp3748 +a(g574 +Vstroke +p3749 +tp3750 +a(g827 +V\u000a +p3751 +tp3752 +a(g315 +V0.0000 +p3753 +tp3754 +a(g827 +g978 +tp3755 +a(g315 +V0.0000 +p3756 +tp3757 +a(g827 +g978 +tp3758 +a(g315 +V0.4000 +p3759 +tp3760 +a(g827 +g978 +tp3761 +a(g574 +Vsetrgbcolor +p3762 +tp3763 +a(g827 +V\u000a +p3764 +tp3765 +a(g574 +Vnewpath +p3766 +tp3767 +a(g827 +V\u000a +p3768 +tp3769 +a(g315 +V145 +p3770 +tp3771 +a(g827 +g978 +tp3772 +a(g315 +V383 +p3773 +tp3774 +a(g827 +g978 +tp3775 +a(g574 +Vmoveto +p3776 +tp3777 +a(g827 +V\u000a +p3778 +tp3779 +a(g315 +V138 +p3780 +tp3781 +a(g827 +g978 +tp3782 +a(g315 +V394 +p3783 +tp3784 +a(g827 +g978 +tp3785 +a(g574 +Vlineto +p3786 +tp3787 +a(g827 +V\u000a +p3788 +tp3789 +a(g315 +V131 +p3790 +tp3791 +a(g827 +g978 +tp3792 +a(g315 +V405 +p3793 +tp3794 +a(g827 +g978 +tp3795 +a(g574 +Vlineto +p3796 +tp3797 +a(g827 +V\u000a +p3798 +tp3799 +a(g315 +V125 +p3800 +tp3801 +a(g827 +g978 +tp3802 +a(g315 +V417 +p3803 +tp3804 +a(g827 +g978 +tp3805 +a(g574 +Vlineto +p3806 +tp3807 +a(g827 +V\u000a +p3808 +tp3809 +a(g315 +V118 +p3810 +tp3811 +a(g827 +g978 +tp3812 +a(g315 +V428 +p3813 +tp3814 +a(g827 +g978 +tp3815 +a(g574 +Vlineto +p3816 +tp3817 +a(g827 +V\u000a +p3818 +tp3819 +a(g315 +V0.0000 +p3820 +tp3821 +a(g827 +g978 +tp3822 +a(g315 +V0.0000 +p3823 +tp3824 +a(g827 +g978 +tp3825 +a(g315 +V0.4000 +p3826 +tp3827 +a(g827 +g978 +tp3828 +a(g574 +Vsetrgbcolor +p3829 +tp3830 +a(g827 +V\u000a +p3831 +tp3832 +a(g574 +Vstroke +p3833 +tp3834 +a(g827 +V\u000a +p3835 +tp3836 +a(g574 +Vnewpath +p3837 +tp3838 +a(g827 +V\u000a +p3839 +tp3840 +a(g315 +V118 +p3841 +tp3842 +a(g827 +g978 +tp3843 +a(g315 +V428 +p3844 +tp3845 +a(g827 +g978 +tp3846 +a(g574 +Vmoveto +p3847 +tp3848 +a(g827 +V\u000a +p3849 +tp3850 +a(g315 +V96 +p3851 +tp3852 +a(g827 +g978 +tp3853 +a(g315 +V417 +p3854 +tp3855 +a(g827 +g978 +tp3856 +a(g574 +Vlineto +p3857 +tp3858 +a(g827 +V\u000a +p3859 +tp3860 +a(g315 +V77 +p3861 +tp3862 +a(g827 +g978 +tp3863 +a(g315 +V401 +p3864 +tp3865 +a(g827 +g978 +tp3866 +a(g574 +Vlineto +p3867 +tp3868 +a(g827 +V\u000a +p3869 +tp3870 +a(g315 +V63 +p3871 +tp3872 +a(g827 +g978 +tp3873 +a(g315 +V380 +p3874 +tp3875 +a(g827 +g978 +tp3876 +a(g574 +Vlineto +p3877 +tp3878 +a(g827 +V\u000a +p3879 +tp3880 +a(g315 +V51 +p3881 +tp3882 +a(g827 +g978 +tp3883 +a(g315 +V358 +p3884 +tp3885 +a(g827 +g978 +tp3886 +a(g574 +Vlineto +p3887 +tp3888 +a(g827 +V\u000a +p3889 +tp3890 +a(g315 +V0.0000 +p3891 +tp3892 +a(g827 +g978 +tp3893 +a(g315 +V0.0000 +p3894 +tp3895 +a(g827 +g978 +tp3896 +a(g315 +V0.4000 +p3897 +tp3898 +a(g827 +g978 +tp3899 +a(g574 +Vsetrgbcolor +p3900 +tp3901 +a(g827 +V\u000a +p3902 +tp3903 +a(g574 +Vstroke +p3904 +tp3905 +a(g827 +V\u000a +p3906 +tp3907 +a(g574 +Vnewpath +p3908 +tp3909 +a(g827 +V\u000a +p3910 +tp3911 +a(g315 +V51 +p3912 +tp3913 +a(g827 +g978 +tp3914 +a(g315 +V358 +p3915 +tp3916 +a(g827 +g978 +tp3917 +a(g574 +Vmoveto +p3918 +tp3919 +a(g827 +V\u000a +p3920 +tp3921 +a(g315 +V59 +p3922 +tp3923 +a(g827 +g978 +tp3924 +a(g315 +V350 +p3925 +tp3926 +a(g827 +g978 +tp3927 +a(g574 +Vlineto +p3928 +tp3929 +a(g827 +V\u000a +p3930 +tp3931 +a(g315 +V67 +p3932 +tp3933 +a(g827 +g978 +tp3934 +a(g315 +V342 +p3935 +tp3936 +a(g827 +g978 +tp3937 +a(g574 +Vlineto +p3938 +tp3939 +a(g827 +V\u000a +p3940 +tp3941 +a(g315 +V75 +p3942 +tp3943 +a(g827 +g978 +tp3944 +a(g315 +V334 +p3945 +tp3946 +a(g827 +g978 +tp3947 +a(g574 +Vlineto +p3948 +tp3949 +a(g827 +V\u000a +p3950 +tp3951 +a(g315 +V83 +p3952 +tp3953 +a(g827 +g978 +tp3954 +a(g315 +V326 +p3955 +tp3956 +a(g827 +g978 +tp3957 +a(g574 +Vlineto +p3958 +tp3959 +a(g827 +V\u000a +p3960 +tp3961 +a(g315 +V0.0000 +p3962 +tp3963 +a(g827 +g978 +tp3964 +a(g315 +V0.0000 +p3965 +tp3966 +a(g827 +g978 +tp3967 +a(g315 +V0.4000 +p3968 +tp3969 +a(g827 +g978 +tp3970 +a(g574 +Vsetrgbcolor +p3971 +tp3972 +a(g827 +V\u000a +p3973 +tp3974 +a(g574 +Vstroke +p3975 +tp3976 +a(g827 +V\u000a +p3977 +tp3978 +a(g574 +Vnewpath +p3979 +tp3980 +a(g827 +V\u000a +p3981 +tp3982 +a(g315 +V83 +p3983 +tp3984 +a(g827 +g978 +tp3985 +a(g315 +V326 +p3986 +tp3987 +a(g827 +g978 +tp3988 +a(g574 +Vmoveto +p3989 +tp3990 +a(g827 +V\u000a +p3991 +tp3992 +a(g315 +V95 +p3993 +tp3994 +a(g827 +g978 +tp3995 +a(g315 +V343 +p3996 +tp3997 +a(g827 +g978 +tp3998 +a(g574 +Vlineto +p3999 +tp4000 +a(g827 +V\u000a +p4001 +tp4002 +a(g315 +V109 +p4003 +tp4004 +a(g827 +g978 +tp4005 +a(g315 +V359 +p4006 +tp4007 +a(g827 +g978 +tp4008 +a(g574 +Vlineto +p4009 +tp4010 +a(g827 +V\u000a +p4011 +tp4012 +a(g315 +V126 +p4013 +tp4014 +a(g827 +g978 +tp4015 +a(g315 +V372 +p4016 +tp4017 +a(g827 +g978 +tp4018 +a(g574 +Vlineto +p4019 +tp4020 +a(g827 +V\u000a +p4021 +tp4022 +a(g315 +V145 +p4023 +tp4024 +a(g827 +g978 +tp4025 +a(g315 +V383 +p4026 +tp4027 +a(g827 +g978 +tp4028 +a(g574 +Vlineto +p4029 +tp4030 +a(g827 +V\u000a +p4031 +tp4032 +a(g315 +V0.0000 +p4033 +tp4034 +a(g827 +g978 +tp4035 +a(g315 +V0.0000 +p4036 +tp4037 +a(g827 +g978 +tp4038 +a(g315 +V0.4000 +p4039 +tp4040 +a(g827 +g978 +tp4041 +a(g574 +Vsetrgbcolor +p4042 +tp4043 +a(g827 +V\u000a +p4044 +tp4045 +a(g574 +Vstroke +p4046 +tp4047 +a(g827 +V\u000a +p4048 +tp4049 +a(g315 +V0.0000 +p4050 +tp4051 +a(g827 +g978 +tp4052 +a(g315 +V0.0000 +p4053 +tp4054 +a(g827 +g978 +tp4055 +a(g315 +V0.4000 +p4056 +tp4057 +a(g827 +g978 +tp4058 +a(g574 +Vsetrgbcolor +p4059 +tp4060 +a(g827 +V\u000a +p4061 +tp4062 +a(g574 +Vnewpath +p4063 +tp4064 +a(g827 +V\u000a +p4065 +tp4066 +a(g315 +V226 +p4067 +tp4068 +a(g827 +g978 +tp4069 +a(g315 +V400 +p4070 +tp4071 +a(g827 +g978 +tp4072 +a(g574 +Vmoveto +p4073 +tp4074 +a(g827 +V\u000a +p4075 +tp4076 +a(g315 +V224 +p4077 +tp4078 +a(g827 +g978 +tp4079 +a(g315 +V409 +p4080 +tp4081 +a(g827 +g978 +tp4082 +a(g574 +Vlineto +p4083 +tp4084 +a(g827 +V\u000a +p4085 +tp4086 +a(g315 +V222 +p4087 +tp4088 +a(g827 +g978 +tp4089 +a(g315 +V418 +p4090 +tp4091 +a(g827 +g978 +tp4092 +a(g574 +Vlineto +p4093 +tp4094 +a(g827 +V\u000a +p4095 +tp4096 +a(g315 +V219 +p4097 +tp4098 +a(g827 +g978 +tp4099 +a(g315 +V427 +p4100 +tp4101 +a(g827 +g978 +tp4102 +a(g574 +Vlineto +p4103 +tp4104 +a(g827 +V\u000a +p4105 +tp4106 +a(g315 +V217 +p4107 +tp4108 +a(g827 +g978 +tp4109 +a(g315 +V436 +p4110 +tp4111 +a(g827 +g978 +tp4112 +a(g574 +Vlineto +p4113 +tp4114 +a(g827 +V\u000a +p4115 +tp4116 +a(g315 +V0.0000 +p4117 +tp4118 +a(g827 +g978 +tp4119 +a(g315 +V0.0000 +p4120 +tp4121 +a(g827 +g978 +tp4122 +a(g315 +V0.4000 +p4123 +tp4124 +a(g827 +g978 +tp4125 +a(g574 +Vsetrgbcolor +p4126 +tp4127 +a(g827 +V\u000a +p4128 +tp4129 +a(g574 +Vstroke +p4130 +tp4131 +a(g827 +V\u000a +p4132 +tp4133 +a(g574 +Vnewpath +p4134 +tp4135 +a(g827 +V\u000a +p4136 +tp4137 +a(g315 +V217 +p4138 +tp4139 +a(g827 +g978 +tp4140 +a(g315 +V436 +p4141 +tp4142 +a(g827 +g978 +tp4143 +a(g574 +Vmoveto +p4144 +tp4145 +a(g827 +V\u000a +p4146 +tp4147 +a(g315 +V193 +p4148 +tp4149 +a(g827 +g978 +tp4150 +a(g315 +V435 +p4151 +tp4152 +a(g827 +g978 +tp4153 +a(g574 +Vlineto +p4154 +tp4155 +a(g827 +V\u000a +p4156 +tp4157 +a(g315 +V168 +p4158 +tp4159 +a(g827 +g978 +tp4160 +a(g315 +V436 +p4161 +tp4162 +a(g827 +g978 +tp4163 +a(g574 +Vlineto +p4164 +tp4165 +a(g827 +V\u000a +p4166 +tp4167 +a(g315 +V143 +p4168 +tp4169 +a(g827 +g978 +tp4170 +a(g315 +V434 +p4171 +tp4172 +a(g827 +g978 +tp4173 +a(g574 +Vlineto +p4174 +tp4175 +a(g827 +V\u000a +p4176 +tp4177 +a(g315 +V118 +p4178 +tp4179 +a(g827 +g978 +tp4180 +a(g315 +V428 +p4181 +tp4182 +a(g827 +g978 +tp4183 +a(g574 +Vlineto +p4184 +tp4185 +a(g827 +V\u000a +p4186 +tp4187 +a(g315 +V0.0000 +p4188 +tp4189 +a(g827 +g978 +tp4190 +a(g315 +V0.0000 +p4191 +tp4192 +a(g827 +g978 +tp4193 +a(g315 +V0.4000 +p4194 +tp4195 +a(g827 +g978 +tp4196 +a(g574 +Vsetrgbcolor +p4197 +tp4198 +a(g827 +V\u000a +p4199 +tp4200 +a(g574 +Vstroke +p4201 +tp4202 +a(g827 +V\u000a +p4203 +tp4204 +a(g574 +Vnewpath +p4205 +tp4206 +a(g827 +V\u000a +p4207 +tp4208 +a(g315 +V118 +p4209 +tp4210 +a(g827 +g978 +tp4211 +a(g315 +V428 +p4212 +tp4213 +a(g827 +g978 +tp4214 +a(g574 +Vmoveto +p4215 +tp4216 +a(g827 +V\u000a +p4217 +tp4218 +a(g315 +V125 +p4219 +tp4220 +a(g827 +g978 +tp4221 +a(g315 +V417 +p4222 +tp4223 +a(g827 +g978 +tp4224 +a(g574 +Vlineto +p4225 +tp4226 +a(g827 +V\u000a +p4227 +tp4228 +a(g315 +V131 +p4229 +tp4230 +a(g827 +g978 +tp4231 +a(g315 +V405 +p4232 +tp4233 +a(g827 +g978 +tp4234 +a(g574 +Vlineto +p4235 +tp4236 +a(g827 +V\u000a +p4237 +tp4238 +a(g315 +V138 +p4239 +tp4240 +a(g827 +g978 +tp4241 +a(g315 +V394 +p4242 +tp4243 +a(g827 +g978 +tp4244 +a(g574 +Vlineto +p4245 +tp4246 +a(g827 +V\u000a +p4247 +tp4248 +a(g315 +V145 +p4249 +tp4250 +a(g827 +g978 +tp4251 +a(g315 +V383 +p4252 +tp4253 +a(g827 +g978 +tp4254 +a(g574 +Vlineto +p4255 +tp4256 +a(g827 +V\u000a +p4257 +tp4258 +a(g315 +V0.0000 +p4259 +tp4260 +a(g827 +g978 +tp4261 +a(g315 +V0.0000 +p4262 +tp4263 +a(g827 +g978 +tp4264 +a(g315 +V0.4000 +p4265 +tp4266 +a(g827 +g978 +tp4267 +a(g574 +Vsetrgbcolor +p4268 +tp4269 +a(g827 +V\u000a +p4270 +tp4271 +a(g574 +Vstroke +p4272 +tp4273 +a(g827 +V\u000a +p4274 +tp4275 +a(g574 +Vnewpath +p4276 +tp4277 +a(g827 +V\u000a +p4278 +tp4279 +a(g315 +V145 +p4280 +tp4281 +a(g827 +g978 +tp4282 +a(g315 +V383 +p4283 +tp4284 +a(g827 +g978 +tp4285 +a(g574 +Vmoveto +p4286 +tp4287 +a(g827 +V\u000a +p4288 +tp4289 +a(g315 +V165 +p4290 +tp4291 +a(g827 +g978 +tp4292 +a(g315 +V390 +p4293 +tp4294 +a(g827 +g978 +tp4295 +a(g574 +Vlineto +p4296 +tp4297 +a(g827 +V\u000a +p4298 +tp4299 +a(g315 +V185 +p4300 +tp4301 +a(g827 +g978 +tp4302 +a(g315 +V394 +p4303 +tp4304 +a(g827 +g978 +tp4305 +a(g574 +Vlineto +p4306 +tp4307 +a(g827 +V\u000a +p4308 +tp4309 +a(g315 +V206 +p4310 +tp4311 +a(g827 +g978 +tp4312 +a(g315 +V397 +p4313 +tp4314 +a(g827 +g978 +tp4315 +a(g574 +Vlineto +p4316 +tp4317 +a(g827 +V\u000a +p4318 +tp4319 +a(g315 +V226 +p4320 +tp4321 +a(g827 +g978 +tp4322 +a(g315 +V400 +p4323 +tp4324 +a(g827 +g978 +tp4325 +a(g574 +Vlineto +p4326 +tp4327 +a(g827 +V\u000a +p4328 +tp4329 +a(g315 +V0.0000 +p4330 +tp4331 +a(g827 +g978 +tp4332 +a(g315 +V0.0000 +p4333 +tp4334 +a(g827 +g978 +tp4335 +a(g315 +V0.4000 +p4336 +tp4337 +a(g827 +g978 +tp4338 +a(g574 +Vsetrgbcolor +p4339 +tp4340 +a(g827 +V\u000a +p4341 +tp4342 +a(g574 +Vstroke +p4343 +tp4344 +a(g827 +V\u000a +p4345 +tp4346 +a(g315 +V0.0000 +p4347 +tp4348 +a(g827 +g978 +tp4349 +a(g315 +V0.0000 +p4350 +tp4351 +a(g827 +g978 +tp4352 +a(g315 +V0.4000 +p4353 +tp4354 +a(g827 +g978 +tp4355 +a(g574 +Vsetrgbcolor +p4356 +tp4357 +a(g827 +V\u000a +p4358 +tp4359 +a(g574 +Vnewpath +p4360 +tp4361 +a(g827 +V\u000a +p4362 +tp4363 +a(g315 +V306 +p4364 +tp4365 +a(g827 +g978 +tp4366 +a(g315 +V418 +p4367 +tp4368 +a(g827 +g978 +tp4369 +a(g574 +Vmoveto +p4370 +tp4371 +a(g827 +V\u000a +p4372 +tp4373 +a(g315 +V306 +p4374 +tp4375 +a(g827 +g978 +tp4376 +a(g315 +V429 +p4377 +tp4378 +a(g827 +g978 +tp4379 +a(g574 +Vlineto +p4380 +tp4381 +a(g827 +V\u000a +p4382 +tp4383 +a(g315 +V306 +p4384 +tp4385 +a(g827 +g978 +tp4386 +a(g315 +V441 +p4387 +tp4388 +a(g827 +g978 +tp4389 +a(g574 +Vlineto +p4390 +tp4391 +a(g827 +V\u000a +p4392 +tp4393 +a(g315 +V306 +p4394 +tp4395 +a(g827 +g978 +tp4396 +a(g315 +V452 +p4397 +tp4398 +a(g827 +g978 +tp4399 +a(g574 +Vlineto +p4400 +tp4401 +a(g827 +V\u000a +p4402 +tp4403 +a(g315 +V306 +p4404 +tp4405 +a(g827 +g978 +tp4406 +a(g315 +V463 +p4407 +tp4408 +a(g827 +g978 +tp4409 +a(g574 +Vlineto +p4410 +tp4411 +a(g827 +V\u000a +p4412 +tp4413 +a(g315 +V0.0000 +p4414 +tp4415 +a(g827 +g978 +tp4416 +a(g315 +V0.0000 +p4417 +tp4418 +a(g827 +g978 +tp4419 +a(g315 +V0.4000 +p4420 +tp4421 +a(g827 +g978 +tp4422 +a(g574 +Vsetrgbcolor +p4423 +tp4424 +a(g827 +V\u000a +p4425 +tp4426 +a(g574 +Vstroke +p4427 +tp4428 +a(g827 +V\u000a +p4429 +tp4430 +a(g574 +Vnewpath +p4431 +tp4432 +a(g827 +V\u000a +p4433 +tp4434 +a(g315 +V306 +p4435 +tp4436 +a(g827 +g978 +tp4437 +a(g315 +V463 +p4438 +tp4439 +a(g827 +g978 +tp4440 +a(g574 +Vmoveto +p4441 +tp4442 +a(g827 +V\u000a +p4443 +tp4444 +a(g315 +V283 +p4445 +tp4446 +a(g827 +g978 +tp4447 +a(g315 +V453 +p4448 +tp4449 +a(g827 +g978 +tp4450 +a(g574 +Vlineto +p4451 +tp4452 +a(g827 +V\u000a +p4453 +tp4454 +a(g315 +V261 +p4455 +tp4456 +a(g827 +g978 +tp4457 +a(g315 +V444 +p4458 +tp4459 +a(g827 +g978 +tp4460 +a(g574 +Vlineto +p4461 +tp4462 +a(g827 +V\u000a +p4463 +tp4464 +a(g315 +V239 +p4465 +tp4466 +a(g827 +g978 +tp4467 +a(g315 +V438 +p4468 +tp4469 +a(g827 +g978 +tp4470 +a(g574 +Vlineto +p4471 +tp4472 +a(g827 +V\u000a +p4473 +tp4474 +a(g315 +V217 +p4475 +tp4476 +a(g827 +g978 +tp4477 +a(g315 +V436 +p4478 +tp4479 +a(g827 +g978 +tp4480 +a(g574 +Vlineto +p4481 +tp4482 +a(g827 +V\u000a +p4483 +tp4484 +a(g315 +V0.0000 +p4485 +tp4486 +a(g827 +g978 +tp4487 +a(g315 +V0.0000 +p4488 +tp4489 +a(g827 +g978 +tp4490 +a(g315 +V0.4000 +p4491 +tp4492 +a(g827 +g978 +tp4493 +a(g574 +Vsetrgbcolor +p4494 +tp4495 +a(g827 +V\u000a +p4496 +tp4497 +a(g574 +Vstroke +p4498 +tp4499 +a(g827 +V\u000a +p4500 +tp4501 +a(g574 +Vnewpath +p4502 +tp4503 +a(g827 +V\u000a +p4504 +tp4505 +a(g315 +V217 +p4506 +tp4507 +a(g827 +g978 +tp4508 +a(g315 +V436 +p4509 +tp4510 +a(g827 +g978 +tp4511 +a(g574 +Vmoveto +p4512 +tp4513 +a(g827 +V\u000a +p4514 +tp4515 +a(g315 +V219 +p4516 +tp4517 +a(g827 +g978 +tp4518 +a(g315 +V427 +p4519 +tp4520 +a(g827 +g978 +tp4521 +a(g574 +Vlineto +p4522 +tp4523 +a(g827 +V\u000a +p4524 +tp4525 +a(g315 +V222 +p4526 +tp4527 +a(g827 +g978 +tp4528 +a(g315 +V418 +p4529 +tp4530 +a(g827 +g978 +tp4531 +a(g574 +Vlineto +p4532 +tp4533 +a(g827 +V\u000a +p4534 +tp4535 +a(g315 +V224 +p4536 +tp4537 +a(g827 +g978 +tp4538 +a(g315 +V409 +p4539 +tp4540 +a(g827 +g978 +tp4541 +a(g574 +Vlineto +p4542 +tp4543 +a(g827 +V\u000a +p4544 +tp4545 +a(g315 +V226 +p4546 +tp4547 +a(g827 +g978 +tp4548 +a(g315 +V400 +p4549 +tp4550 +a(g827 +g978 +tp4551 +a(g574 +Vlineto +p4552 +tp4553 +a(g827 +V\u000a +p4554 +tp4555 +a(g315 +V0.0000 +p4556 +tp4557 +a(g827 +g978 +tp4558 +a(g315 +V0.0000 +p4559 +tp4560 +a(g827 +g978 +tp4561 +a(g315 +V0.4000 +p4562 +tp4563 +a(g827 +g978 +tp4564 +a(g574 +Vsetrgbcolor +p4565 +tp4566 +a(g827 +V\u000a +p4567 +tp4568 +a(g574 +Vstroke +p4569 +tp4570 +a(g827 +V\u000a +p4571 +tp4572 +a(g574 +Vnewpath +p4573 +tp4574 +a(g827 +V\u000a +p4575 +tp4576 +a(g315 +V226 +p4577 +tp4578 +a(g827 +g978 +tp4579 +a(g315 +V400 +p4580 +tp4581 +a(g827 +g978 +tp4582 +a(g574 +Vmoveto +p4583 +tp4584 +a(g827 +V\u000a +p4585 +tp4586 +a(g315 +V246 +p4587 +tp4588 +a(g827 +g978 +tp4589 +a(g315 +V403 +p4590 +tp4591 +a(g827 +g978 +tp4592 +a(g574 +Vlineto +p4593 +tp4594 +a(g827 +V\u000a +p4595 +tp4596 +a(g315 +V266 +p4597 +tp4598 +a(g827 +g978 +tp4599 +a(g315 +V408 +p4600 +tp4601 +a(g827 +g978 +tp4602 +a(g574 +Vlineto +p4603 +tp4604 +a(g827 +V\u000a +p4605 +tp4606 +a(g315 +V286 +p4607 +tp4608 +a(g827 +g978 +tp4609 +a(g315 +V413 +p4610 +tp4611 +a(g827 +g978 +tp4612 +a(g574 +Vlineto +p4613 +tp4614 +a(g827 +V\u000a +p4615 +tp4616 +a(g315 +V306 +p4617 +tp4618 +a(g827 +g978 +tp4619 +a(g315 +V418 +p4620 +tp4621 +a(g827 +g978 +tp4622 +a(g574 +Vlineto +p4623 +tp4624 +a(g827 +V\u000a +p4625 +tp4626 +a(g315 +V0.0000 +p4627 +tp4628 +a(g827 +g978 +tp4629 +a(g315 +V0.0000 +p4630 +tp4631 +a(g827 +g978 +tp4632 +a(g315 +V0.4000 +p4633 +tp4634 +a(g827 +g978 +tp4635 +a(g574 +Vsetrgbcolor +p4636 +tp4637 +a(g827 +V\u000a +p4638 +tp4639 +a(g574 +Vstroke +p4640 +tp4641 +a(g827 +V\u000a +p4642 +tp4643 +a(g315 +V0.0000 +p4644 +tp4645 +a(g827 +g978 +tp4646 +a(g315 +V0.0000 +p4647 +tp4648 +a(g827 +g978 +tp4649 +a(g315 +V0.4000 +p4650 +tp4651 +a(g827 +g978 +tp4652 +a(g574 +Vsetrgbcolor +p4653 +tp4654 +a(g827 +V\u000a +p4655 +tp4656 +a(g574 +Vnewpath +p4657 +tp4658 +a(g827 +V\u000a +p4659 +tp4660 +a(g315 +V390 +p4661 +tp4662 +a(g827 +g978 +tp4663 +a(g315 +V415 +p4664 +tp4665 +a(g827 +g978 +tp4666 +a(g574 +Vmoveto +p4667 +tp4668 +a(g827 +V\u000a +p4669 +tp4670 +a(g315 +V393 +p4671 +tp4672 +a(g827 +g978 +tp4673 +a(g315 +V428 +p4674 +tp4675 +a(g827 +g978 +tp4676 +a(g574 +Vlineto +p4677 +tp4678 +a(g827 +V\u000a +p4679 +tp4680 +a(g315 +V396 +p4681 +tp4682 +a(g827 +g978 +tp4683 +a(g315 +V440 +p4684 +tp4685 +a(g827 +g978 +tp4686 +a(g574 +Vlineto +p4687 +tp4688 +a(g827 +V\u000a +p4689 +tp4690 +a(g315 +V400 +p4691 +tp4692 +a(g827 +g978 +tp4693 +a(g315 +V453 +p4694 +tp4695 +a(g827 +g978 +tp4696 +a(g574 +Vlineto +p4697 +tp4698 +a(g827 +V\u000a +p4699 +tp4700 +a(g315 +V403 +p4701 +tp4702 +a(g827 +g978 +tp4703 +a(g315 +V466 +p4704 +tp4705 +a(g827 +g978 +tp4706 +a(g574 +Vlineto +p4707 +tp4708 +a(g827 +V\u000a +p4709 +tp4710 +a(g315 +V0.0000 +p4711 +tp4712 +a(g827 +g978 +tp4713 +a(g315 +V0.0000 +p4714 +tp4715 +a(g827 +g978 +tp4716 +a(g315 +V0.4000 +p4717 +tp4718 +a(g827 +g978 +tp4719 +a(g574 +Vsetrgbcolor +p4720 +tp4721 +a(g827 +V\u000a +p4722 +tp4723 +a(g574 +Vstroke +p4724 +tp4725 +a(g827 +V\u000a +p4726 +tp4727 +a(g574 +Vnewpath +p4728 +tp4729 +a(g827 +V\u000a +p4730 +tp4731 +a(g315 +V403 +p4732 +tp4733 +a(g827 +g978 +tp4734 +a(g315 +V466 +p4735 +tp4736 +a(g827 +g978 +tp4737 +a(g574 +Vmoveto +p4738 +tp4739 +a(g827 +V\u000a +p4740 +tp4741 +a(g315 +V380 +p4742 +tp4743 +a(g827 +g978 +tp4744 +a(g315 +V474 +p4745 +tp4746 +a(g827 +g978 +tp4747 +a(g574 +Vlineto +p4748 +tp4749 +a(g827 +V\u000a +p4750 +tp4751 +a(g315 +V355 +p4752 +tp4753 +a(g827 +g978 +tp4754 +a(g315 +V475 +p4755 +tp4756 +a(g827 +g978 +tp4757 +a(g574 +Vlineto +p4758 +tp4759 +a(g827 +V\u000a +p4760 +tp4761 +a(g315 +V330 +p4762 +tp4763 +a(g827 +g978 +tp4764 +a(g315 +V471 +p4765 +tp4766 +a(g827 +g978 +tp4767 +a(g574 +Vlineto +p4768 +tp4769 +a(g827 +V\u000a +p4770 +tp4771 +a(g315 +V306 +p4772 +tp4773 +a(g827 +g978 +tp4774 +a(g315 +V463 +p4775 +tp4776 +a(g827 +g978 +tp4777 +a(g574 +Vlineto +p4778 +tp4779 +a(g827 +V\u000a +p4780 +tp4781 +a(g315 +V0.0000 +p4782 +tp4783 +a(g827 +g978 +tp4784 +a(g315 +V0.0000 +p4785 +tp4786 +a(g827 +g978 +tp4787 +a(g315 +V0.4000 +p4788 +tp4789 +a(g827 +g978 +tp4790 +a(g574 +Vsetrgbcolor +p4791 +tp4792 +a(g827 +V\u000a +p4793 +tp4794 +a(g574 +Vstroke +p4795 +tp4796 +a(g827 +V\u000a +p4797 +tp4798 +a(g574 +Vnewpath +p4799 +tp4800 +a(g827 +V\u000a +p4801 +tp4802 +a(g315 +V306 +p4803 +tp4804 +a(g827 +g978 +tp4805 +a(g315 +V463 +p4806 +tp4807 +a(g827 +g978 +tp4808 +a(g574 +Vmoveto +p4809 +tp4810 +a(g827 +V\u000a +p4811 +tp4812 +a(g315 +V306 +p4813 +tp4814 +a(g827 +g978 +tp4815 +a(g315 +V452 +p4816 +tp4817 +a(g827 +g978 +tp4818 +a(g574 +Vlineto +p4819 +tp4820 +a(g827 +V\u000a +p4821 +tp4822 +a(g315 +V306 +p4823 +tp4824 +a(g827 +g978 +tp4825 +a(g315 +V441 +p4826 +tp4827 +a(g827 +g978 +tp4828 +a(g574 +Vlineto +p4829 +tp4830 +a(g827 +V\u000a +p4831 +tp4832 +a(g315 +V306 +p4833 +tp4834 +a(g827 +g978 +tp4835 +a(g315 +V429 +p4836 +tp4837 +a(g827 +g978 +tp4838 +a(g574 +Vlineto +p4839 +tp4840 +a(g827 +V\u000a +p4841 +tp4842 +a(g315 +V306 +p4843 +tp4844 +a(g827 +g978 +tp4845 +a(g315 +V418 +p4846 +tp4847 +a(g827 +g978 +tp4848 +a(g574 +Vlineto +p4849 +tp4850 +a(g827 +V\u000a +p4851 +tp4852 +a(g315 +V0.0000 +p4853 +tp4854 +a(g827 +g978 +tp4855 +a(g315 +V0.0000 +p4856 +tp4857 +a(g827 +g978 +tp4858 +a(g315 +V0.4000 +p4859 +tp4860 +a(g827 +g978 +tp4861 +a(g574 +Vsetrgbcolor +p4862 +tp4863 +a(g827 +V\u000a +p4864 +tp4865 +a(g574 +Vstroke +p4866 +tp4867 +a(g827 +V\u000a +p4868 +tp4869 +a(g574 +Vnewpath +p4870 +tp4871 +a(g827 +V\u000a +p4872 +tp4873 +a(g315 +V306 +p4874 +tp4875 +a(g827 +g978 +tp4876 +a(g315 +V418 +p4877 +tp4878 +a(g827 +g978 +tp4879 +a(g574 +Vmoveto +p4880 +tp4881 +a(g827 +V\u000a +p4882 +tp4883 +a(g315 +V327 +p4884 +tp4885 +a(g827 +g978 +tp4886 +a(g315 +V422 +p4887 +tp4888 +a(g827 +g978 +tp4889 +a(g574 +Vlineto +p4890 +tp4891 +a(g827 +V\u000a +p4892 +tp4893 +a(g315 +V348 +p4894 +tp4895 +a(g827 +g978 +tp4896 +a(g315 +V423 +p4897 +tp4898 +a(g827 +g978 +tp4899 +a(g574 +Vlineto +p4900 +tp4901 +a(g827 +V\u000a +p4902 +tp4903 +a(g315 +V369 +p4904 +tp4905 +a(g827 +g978 +tp4906 +a(g315 +V421 +p4907 +tp4908 +a(g827 +g978 +tp4909 +a(g574 +Vlineto +p4910 +tp4911 +a(g827 +V\u000a +p4912 +tp4913 +a(g315 +V390 +p4914 +tp4915 +a(g827 +g978 +tp4916 +a(g315 +V415 +p4917 +tp4918 +a(g827 +g978 +tp4919 +a(g574 +Vlineto +p4920 +tp4921 +a(g827 +V\u000a +p4922 +tp4923 +a(g315 +V0.0000 +p4924 +tp4925 +a(g827 +g978 +tp4926 +a(g315 +V0.0000 +p4927 +tp4928 +a(g827 +g978 +tp4929 +a(g315 +V0.4000 +p4930 +tp4931 +a(g827 +g978 +tp4932 +a(g574 +Vsetrgbcolor +p4933 +tp4934 +a(g827 +V\u000a +p4935 +tp4936 +a(g574 +Vstroke +p4937 +tp4938 +a(g827 +V\u000a +p4939 +tp4940 +a(g315 +V0.0000 +p4941 +tp4942 +a(g827 +g978 +tp4943 +a(g315 +V0.0000 +p4944 +tp4945 +a(g827 +g978 +tp4946 +a(g315 +V0.4000 +p4947 +tp4948 +a(g827 +g978 +tp4949 +a(g574 +Vsetrgbcolor +p4950 +tp4951 +a(g827 +V\u000a +p4952 +tp4953 +a(g574 +Vnewpath +p4954 +tp4955 +a(g827 +V\u000a +p4956 +tp4957 +a(g315 +V460 +p4958 +tp4959 +a(g827 +g978 +tp4960 +a(g315 +V369 +p4961 +tp4962 +a(g827 +g978 +tp4963 +a(g574 +Vmoveto +p4964 +tp4965 +a(g827 +V\u000a +p4966 +tp4967 +a(g315 +V464 +p4968 +tp4969 +a(g827 +g978 +tp4970 +a(g315 +V377 +p4971 +tp4972 +a(g827 +g978 +tp4973 +a(g574 +Vlineto +p4974 +tp4975 +a(g827 +V\u000a +p4976 +tp4977 +a(g315 +V469 +p4978 +tp4979 +a(g827 +g978 +tp4980 +a(g315 +V385 +p4981 +tp4982 +a(g827 +g978 +tp4983 +a(g574 +Vlineto +p4984 +tp4985 +a(g827 +V\u000a +p4986 +tp4987 +a(g315 +V474 +p4988 +tp4989 +a(g827 +g978 +tp4990 +a(g315 +V393 +p4991 +tp4992 +a(g827 +g978 +tp4993 +a(g574 +Vlineto +p4994 +tp4995 +a(g827 +V\u000a +p4996 +tp4997 +a(g315 +V478 +p4998 +tp4999 +a(g827 +g978 +tp5000 +a(g315 +V401 +p5001 +tp5002 +a(g827 +g978 +tp5003 +a(g574 +Vlineto +p5004 +tp5005 +a(g827 +V\u000a +p5006 +tp5007 +a(g315 +V0.0000 +p5008 +tp5009 +a(g827 +g978 +tp5010 +a(g315 +V0.0000 +p5011 +tp5012 +a(g827 +g978 +tp5013 +a(g315 +V0.4000 +p5014 +tp5015 +a(g827 +g978 +tp5016 +a(g574 +Vsetrgbcolor +p5017 +tp5018 +a(g827 +V\u000a +p5019 +tp5020 +a(g574 +Vstroke +p5021 +tp5022 +a(g827 +V\u000a +p5023 +tp5024 +a(g574 +Vnewpath +p5025 +tp5026 +a(g827 +V\u000a +p5027 +tp5028 +a(g315 +V478 +p5029 +tp5030 +a(g827 +g978 +tp5031 +a(g315 +V401 +p5032 +tp5033 +a(g827 +g978 +tp5034 +a(g574 +Vmoveto +p5035 +tp5036 +a(g827 +V\u000a +p5037 +tp5038 +a(g315 +V461 +p5039 +tp5040 +a(g827 +g978 +tp5041 +a(g315 +V418 +p5042 +tp5043 +a(g827 +g978 +tp5044 +a(g574 +Vlineto +p5045 +tp5046 +a(g827 +V\u000a +p5047 +tp5048 +a(g315 +V444 +p5049 +tp5050 +a(g827 +g978 +tp5051 +a(g315 +V436 +p5052 +tp5053 +a(g827 +g978 +tp5054 +a(g574 +Vlineto +p5055 +tp5056 +a(g827 +V\u000a +p5057 +tp5058 +a(g315 +V425 +p5059 +tp5060 +a(g827 +g978 +tp5061 +a(g315 +V452 +p5062 +tp5063 +a(g827 +g978 +tp5064 +a(g574 +Vlineto +p5065 +tp5066 +a(g827 +V\u000a +p5067 +tp5068 +a(g315 +V403 +p5069 +tp5070 +a(g827 +g978 +tp5071 +a(g315 +V466 +p5072 +tp5073 +a(g827 +g978 +tp5074 +a(g574 +Vlineto +p5075 +tp5076 +a(g827 +V\u000a +p5077 +tp5078 +a(g315 +V0.0000 +p5079 +tp5080 +a(g827 +g978 +tp5081 +a(g315 +V0.0000 +p5082 +tp5083 +a(g827 +g978 +tp5084 +a(g315 +V0.4000 +p5085 +tp5086 +a(g827 +g978 +tp5087 +a(g574 +Vsetrgbcolor +p5088 +tp5089 +a(g827 +V\u000a +p5090 +tp5091 +a(g574 +Vstroke +p5092 +tp5093 +a(g827 +V\u000a +p5094 +tp5095 +a(g574 +Vnewpath +p5096 +tp5097 +a(g827 +V\u000a +p5098 +tp5099 +a(g315 +V403 +p5100 +tp5101 +a(g827 +g978 +tp5102 +a(g315 +V466 +p5103 +tp5104 +a(g827 +g978 +tp5105 +a(g574 +Vmoveto +p5106 +tp5107 +a(g827 +V\u000a +p5108 +tp5109 +a(g315 +V400 +p5110 +tp5111 +a(g827 +g978 +tp5112 +a(g315 +V453 +p5113 +tp5114 +a(g827 +g978 +tp5115 +a(g574 +Vlineto +p5116 +tp5117 +a(g827 +V\u000a +p5118 +tp5119 +a(g315 +V396 +p5120 +tp5121 +a(g827 +g978 +tp5122 +a(g315 +V440 +p5123 +tp5124 +a(g827 +g978 +tp5125 +a(g574 +Vlineto +p5126 +tp5127 +a(g827 +V\u000a +p5128 +tp5129 +a(g315 +V393 +p5130 +tp5131 +a(g827 +g978 +tp5132 +a(g315 +V428 +p5133 +tp5134 +a(g827 +g978 +tp5135 +a(g574 +Vlineto +p5136 +tp5137 +a(g827 +V\u000a +p5138 +tp5139 +a(g315 +V390 +p5140 +tp5141 +a(g827 +g978 +tp5142 +a(g315 +V415 +p5143 +tp5144 +a(g827 +g978 +tp5145 +a(g574 +Vlineto +p5146 +tp5147 +a(g827 +V\u000a +p5148 +tp5149 +a(g315 +V0.0000 +p5150 +tp5151 +a(g827 +g978 +tp5152 +a(g315 +V0.0000 +p5153 +tp5154 +a(g827 +g978 +tp5155 +a(g315 +V0.4000 +p5156 +tp5157 +a(g827 +g978 +tp5158 +a(g574 +Vsetrgbcolor +p5159 +tp5160 +a(g827 +V\u000a +p5161 +tp5162 +a(g574 +Vstroke +p5163 +tp5164 +a(g827 +V\u000a +p5165 +tp5166 +a(g574 +Vnewpath +p5167 +tp5168 +a(g827 +V\u000a +p5169 +tp5170 +a(g315 +V390 +p5171 +tp5172 +a(g827 +g978 +tp5173 +a(g315 +V415 +p5174 +tp5175 +a(g827 +g978 +tp5176 +a(g574 +Vmoveto +p5177 +tp5178 +a(g827 +V\u000a +p5179 +tp5180 +a(g315 +V409 +p5181 +tp5182 +a(g827 +g978 +tp5183 +a(g315 +V406 +p5184 +tp5185 +a(g827 +g978 +tp5186 +a(g574 +Vlineto +p5187 +tp5188 +a(g827 +V\u000a +p5189 +tp5190 +a(g315 +V427 +p5191 +tp5192 +a(g827 +g978 +tp5193 +a(g315 +V394 +p5194 +tp5195 +a(g827 +g978 +tp5196 +a(g574 +Vlineto +p5197 +tp5198 +a(g827 +V\u000a +p5199 +tp5200 +a(g315 +V443 +p5201 +tp5202 +a(g827 +g978 +tp5203 +a(g315 +V381 +p5204 +tp5205 +a(g827 +g978 +tp5206 +a(g574 +Vlineto +p5207 +tp5208 +a(g827 +V\u000a +p5209 +tp5210 +a(g315 +V460 +p5211 +tp5212 +a(g827 +g978 +tp5213 +a(g315 +V369 +p5214 +tp5215 +a(g827 +g978 +tp5216 +a(g574 +Vlineto +p5217 +tp5218 +a(g827 +V\u000a +p5219 +tp5220 +a(g315 +V0.0000 +p5221 +tp5222 +a(g827 +g978 +tp5223 +a(g315 +V0.0000 +p5224 +tp5225 +a(g827 +g978 +tp5226 +a(g315 +V0.4000 +p5227 +tp5228 +a(g827 +g978 +tp5229 +a(g574 +Vsetrgbcolor +p5230 +tp5231 +a(g827 +V\u000a +p5232 +tp5233 +a(g574 +Vstroke +p5234 +tp5235 +a(g827 +V\u000a +p5236 +tp5237 +a(g315 +V0.0000 +p5238 +tp5239 +a(g827 +g978 +tp5240 +a(g315 +V0.0000 +p5241 +tp5242 +a(g827 +g978 +tp5243 +a(g315 +V0.4000 +p5244 +tp5245 +a(g827 +g978 +tp5246 +a(g574 +Vsetrgbcolor +p5247 +tp5248 +a(g827 +V\u000a +p5249 +tp5250 +a(g574 +Vnewpath +p5251 +tp5252 +a(g827 +V\u000a +p5253 +tp5254 +a(g315 +V529 +p5255 +tp5256 +a(g827 +g978 +tp5257 +a(g315 +V326 +p5258 +tp5259 +a(g827 +g978 +tp5260 +a(g574 +Vmoveto +p5261 +tp5262 +a(g827 +V\u000a +p5263 +tp5264 +a(g315 +V537 +p5265 +tp5266 +a(g827 +g978 +tp5267 +a(g315 +V334 +p5268 +tp5269 +a(g827 +g978 +tp5270 +a(g574 +Vlineto +p5271 +tp5272 +a(g827 +V\u000a +p5273 +tp5274 +a(g315 +V545 +p5275 +tp5276 +a(g827 +g978 +tp5277 +a(g315 +V342 +p5278 +tp5279 +a(g827 +g978 +tp5280 +a(g574 +Vlineto +p5281 +tp5282 +a(g827 +V\u000a +p5283 +tp5284 +a(g315 +V553 +p5285 +tp5286 +a(g827 +g978 +tp5287 +a(g315 +V350 +p5288 +tp5289 +a(g827 +g978 +tp5290 +a(g574 +Vlineto +p5291 +tp5292 +a(g827 +V\u000a +p5293 +tp5294 +a(g315 +V561 +p5295 +tp5296 +a(g827 +g978 +tp5297 +a(g315 +V358 +p5298 +tp5299 +a(g827 +g978 +tp5300 +a(g574 +Vlineto +p5301 +tp5302 +a(g827 +V\u000a +p5303 +tp5304 +a(g315 +V0.0000 +p5305 +tp5306 +a(g827 +g978 +tp5307 +a(g315 +V0.0000 +p5308 +tp5309 +a(g827 +g978 +tp5310 +a(g315 +V0.4000 +p5311 +tp5312 +a(g827 +g978 +tp5313 +a(g574 +Vsetrgbcolor +p5314 +tp5315 +a(g827 +V\u000a +p5316 +tp5317 +a(g574 +Vstroke +p5318 +tp5319 +a(g827 +V\u000a +p5320 +tp5321 +a(g574 +Vnewpath +p5322 +tp5323 +a(g827 +V\u000a +p5324 +tp5325 +a(g315 +V561 +p5326 +tp5327 +a(g827 +g978 +tp5328 +a(g315 +V358 +p5329 +tp5330 +a(g827 +g978 +tp5331 +a(g574 +Vmoveto +p5332 +tp5333 +a(g827 +V\u000a +p5334 +tp5335 +a(g315 +V537 +p5336 +tp5337 +a(g827 +g978 +tp5338 +a(g315 +V367 +p5339 +tp5340 +a(g827 +g978 +tp5341 +a(g574 +Vlineto +p5342 +tp5343 +a(g827 +V\u000a +p5344 +tp5345 +a(g315 +V516 +p5346 +tp5347 +a(g827 +g978 +tp5348 +a(g315 +V376 +p5349 +tp5350 +a(g827 +g978 +tp5351 +a(g574 +Vlineto +p5352 +tp5353 +a(g827 +V\u000a +p5354 +tp5355 +a(g315 +V496 +p5356 +tp5357 +a(g827 +g978 +tp5358 +a(g315 +V387 +p5359 +tp5360 +a(g827 +g978 +tp5361 +a(g574 +Vlineto +p5362 +tp5363 +a(g827 +V\u000a +p5364 +tp5365 +a(g315 +V478 +p5366 +tp5367 +a(g827 +g978 +tp5368 +a(g315 +V401 +p5369 +tp5370 +a(g827 +g978 +tp5371 +a(g574 +Vlineto +p5372 +tp5373 +a(g827 +V\u000a +p5374 +tp5375 +a(g315 +V0.0000 +p5376 +tp5377 +a(g827 +g978 +tp5378 +a(g315 +V0.0000 +p5379 +tp5380 +a(g827 +g978 +tp5381 +a(g315 +V0.4000 +p5382 +tp5383 +a(g827 +g978 +tp5384 +a(g574 +Vsetrgbcolor +p5385 +tp5386 +a(g827 +V\u000a +p5387 +tp5388 +a(g574 +Vstroke +p5389 +tp5390 +a(g827 +V\u000a +p5391 +tp5392 +a(g574 +Vnewpath +p5393 +tp5394 +a(g827 +V\u000a +p5395 +tp5396 +a(g315 +V478 +p5397 +tp5398 +a(g827 +g978 +tp5399 +a(g315 +V401 +p5400 +tp5401 +a(g827 +g978 +tp5402 +a(g574 +Vmoveto +p5403 +tp5404 +a(g827 +V\u000a +p5405 +tp5406 +a(g315 +V474 +p5407 +tp5408 +a(g827 +g978 +tp5409 +a(g315 +V393 +p5410 +tp5411 +a(g827 +g978 +tp5412 +a(g574 +Vlineto +p5413 +tp5414 +a(g827 +V\u000a +p5415 +tp5416 +a(g315 +V469 +p5417 +tp5418 +a(g827 +g978 +tp5419 +a(g315 +V385 +p5420 +tp5421 +a(g827 +g978 +tp5422 +a(g574 +Vlineto +p5423 +tp5424 +a(g827 +V\u000a +p5425 +tp5426 +a(g315 +V464 +p5427 +tp5428 +a(g827 +g978 +tp5429 +a(g315 +V377 +p5430 +tp5431 +a(g827 +g978 +tp5432 +a(g574 +Vlineto +p5433 +tp5434 +a(g827 +V\u000a +p5435 +tp5436 +a(g315 +V460 +p5437 +tp5438 +a(g827 +g978 +tp5439 +a(g315 +V369 +p5440 +tp5441 +a(g827 +g978 +tp5442 +a(g574 +Vlineto +p5443 +tp5444 +a(g827 +V\u000a +p5445 +tp5446 +a(g315 +V0.0000 +p5447 +tp5448 +a(g827 +g978 +tp5449 +a(g315 +V0.0000 +p5450 +tp5451 +a(g827 +g978 +tp5452 +a(g315 +V0.4000 +p5453 +tp5454 +a(g827 +g978 +tp5455 +a(g574 +Vsetrgbcolor +p5456 +tp5457 +a(g827 +V\u000a +p5458 +tp5459 +a(g574 +Vstroke +p5460 +tp5461 +a(g827 +V\u000a +p5462 +tp5463 +a(g574 +Vnewpath +p5464 +tp5465 +a(g827 +V\u000a +p5466 +tp5467 +a(g315 +V460 +p5468 +tp5469 +a(g827 +g978 +tp5470 +a(g315 +V369 +p5471 +tp5472 +a(g827 +g978 +tp5473 +a(g574 +Vmoveto +p5474 +tp5475 +a(g827 +V\u000a +p5476 +tp5477 +a(g315 +V476 +p5478 +tp5479 +a(g827 +g978 +tp5480 +a(g315 +V357 +p5481 +tp5482 +a(g827 +g978 +tp5483 +a(g574 +Vlineto +p5484 +tp5485 +a(g827 +V\u000a +p5486 +tp5487 +a(g315 +V493 +p5488 +tp5489 +a(g827 +g978 +tp5490 +a(g315 +V347 +p5491 +tp5492 +a(g827 +g978 +tp5493 +a(g574 +Vlineto +p5494 +tp5495 +a(g827 +V\u000a +p5496 +tp5497 +a(g315 +V511 +p5498 +tp5499 +a(g827 +g978 +tp5500 +a(g315 +V336 +p5501 +tp5502 +a(g827 +g978 +tp5503 +a(g574 +Vlineto +p5504 +tp5505 +a(g827 +V\u000a +p5506 +tp5507 +a(g315 +V529 +p5508 +tp5509 +a(g827 +g978 +tp5510 +a(g315 +V326 +p5511 +tp5512 +a(g827 +g978 +tp5513 +a(g574 +Vlineto +p5514 +tp5515 +a(g827 +V\u000a +p5516 +tp5517 +a(g315 +V0.0000 +p5518 +tp5519 +a(g827 +g978 +tp5520 +a(g315 +V0.0000 +p5521 +tp5522 +a(g827 +g978 +tp5523 +a(g315 +V0.4000 +p5524 +tp5525 +a(g827 +g978 +tp5526 +a(g574 +Vsetrgbcolor +p5527 +tp5528 +a(g827 +V\u000a +p5529 +tp5530 +a(g574 +Vstroke +p5531 +tp5532 +a(g827 +V\u000a +p5533 +tp5534 +a(g574 +Vrestore +p5535 +tp5536 +a(g827 +V \u000a +p5537 +tp5538 +a(g574 +Vshowpage +p5539 +tp5540 +a(g827 +V\u000a +p5541 +tp5542 +a(g883 +V%%Trailer\u000a +p5543 +tp5544 +a(g883 +V%%Pages: 1\u000a +p5545 +tp5546 +a(g883 +V%%EOF\u000a +p5547 +tp5548 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ceval.c b/tests/examplefiles/output/ceval.c new file mode 100644 index 0000000..06ab7b1 --- /dev/null +++ b/tests/examplefiles/output/ceval.c @@ -0,0 +1,64537 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* Execute compiled code */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g827 +V\u000a +p960 +tp961 +a(g8 +V/* XXX TO DO:\u000a XXX speed up searching for keywords by using a dictionary\u000a XXX document it!\u000a */ +p962 +tp963 +a(g827 +V\u000a +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g8 +V/* enable more aggressive intra-module optimizations, where available */ +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g899 +V# +p972 +tp973 +a(g899 +Vdefine PY_LOCAL_AGGRESSIVE +p974 +tp975 +a(g899 +V\u000a +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g899 +g972 +tp980 +a(g899 +Vinclude +p981 +tp982 +a(g827 +V +p983 +tp984 +a(g938 +V"Python.h" +p985 +tp986 +a(g899 +V\u000a +p987 +tp988 +a(g827 +V\u000a +p989 +tp990 +a(g899 +g972 +tp991 +a(g899 +Vinclude +p992 +tp993 +a(g827 +g983 +tp994 +a(g938 +V"code.h" +p995 +tp996 +a(g899 +V\u000a +p997 +tp998 +a(g899 +g972 +tp999 +a(g899 +Vinclude +p1000 +tp1001 +a(g827 +g983 +tp1002 +a(g938 +V"frameobject.h" +p1003 +tp1004 +a(g899 +V\u000a +p1005 +tp1006 +a(g899 +g972 +tp1007 +a(g899 +Vinclude +p1008 +tp1009 +a(g827 +g983 +tp1010 +a(g938 +V"eval.h" +p1011 +tp1012 +a(g899 +V\u000a +p1013 +tp1014 +a(g899 +g972 +tp1015 +a(g899 +Vinclude +p1016 +tp1017 +a(g827 +g983 +tp1018 +a(g938 +V"opcode.h" +p1019 +tp1020 +a(g899 +V\u000a +p1021 +tp1022 +a(g899 +g972 +tp1023 +a(g899 +Vinclude +p1024 +tp1025 +a(g827 +g983 +tp1026 +a(g938 +V"structmember.h" +p1027 +tp1028 +a(g899 +V\u000a +p1029 +tp1030 +a(g827 +V\u000a +p1031 +tp1032 +a(g899 +g972 +tp1033 +a(g899 +Vinclude +p1034 +tp1035 +a(g827 +g983 +tp1036 +a(g938 +V +p1037 +tp1038 +a(g899 +V\u000a +p1039 +tp1040 +a(g827 +V\u000a +p1041 +tp1042 +a(g899 +g972 +tp1043 +a(g899 +Vifndef WITH_TSC +p1044 +tp1045 +a(g899 +V\u000a +p1046 +tp1047 +a(g827 +V\u000a +p1048 +tp1049 +a(g899 +g972 +tp1050 +a(g899 +Vdefine READ_TIMESTAMP(var) +p1051 +tp1052 +a(g899 +V\u000a +p1053 +tp1054 +a(g827 +V\u000a +p1055 +tp1056 +a(g899 +g972 +tp1057 +a(g899 +Velse +p1058 +tp1059 +a(g899 +V\u000a +p1060 +tp1061 +a(g827 +V\u000a +p1062 +tp1063 +a(g745 +Vtypedef +p1064 +tp1065 +a(g827 +g983 +tp1066 +a(g749 +Vunsigned +p1067 +tp1068 +a(g827 +g983 +tp1069 +a(g749 +Vlong +p1070 +tp1071 +a(g827 +g983 +tp1072 +a(g749 +Vlong +p1073 +tp1074 +a(g827 +g983 +tp1075 +a(g436 +Vuint64 +p1076 +tp1077 +a(g706 +V; +p1078 +tp1079 +a(g827 +V\u000a +p1080 +tp1081 +a(g827 +V\u000a +p1082 +tp1083 +a(g899 +g972 +tp1084 +a(g899 +Vif defined(__ppc__) +p1085 +tp1086 +a(g8 +V/* <- Don't know if this is the correct symbol; this\u000a section should work for GCC on any PowerPC platform,\u000a irrespective of OS. POWER? Who knows :-) */ +p1087 +tp1088 +a(g899 +V\u000a +p1089 +tp1090 +a(g827 +V\u000a +p1091 +tp1092 +a(g899 +g972 +tp1093 +a(g899 +Vdefine READ_TIMESTAMP(var) ppc_getcounter(&var) +p1094 +tp1095 +a(g899 +V\u000a +p1096 +tp1097 +a(g827 +V\u000a +p1098 +tp1099 +a(g745 +Vstatic +p1100 +tp1101 +a(g827 +g983 +tp1102 +a(g749 +Vvoid +p1103 +tp1104 +a(g827 +V\u000a +p1105 +tp1106 +a(g574 +Vppc_getcounter +p1107 +tp1108 +a(g706 +V( +p1109 +tp1110 +a(g436 +Vuint64 +p1111 +tp1112 +a(g827 +g983 +tp1113 +a(g413 +V* +p1114 +tp1115 +a(g436 +Vv +p1116 +tp1117 +a(g706 +V) +p1118 +tp1119 +a(g827 +V\u000a +p1120 +tp1121 +a(g706 +V{ +p1122 +tp1123 +a(g827 +V\u000a +p1124 +tp1125 +a(g827 +V +p1126 +tp1127 +a(g745 +Vregister +p1128 +tp1129 +a(g827 +g983 +tp1130 +a(g749 +Vunsigned +p1131 +tp1132 +a(g827 +g983 +tp1133 +a(g749 +Vlong +p1134 +tp1135 +a(g827 +g983 +tp1136 +a(g436 +Vtbu +p1137 +tp1138 +a(g706 +V, +p1139 +tp1140 +a(g827 +g983 +tp1141 +a(g436 +Vtb +p1142 +tp1143 +a(g706 +g1139 +tp1144 +a(g827 +g983 +tp1145 +a(g436 +Vtbu2 +p1146 +tp1147 +a(g706 +g1078 +tp1148 +a(g827 +V\u000a +p1149 +tp1150 +a(g827 +V\u000a +p1151 +tp1152 +a(g827 +V +p1153 +tp1154 +a(g597 +Vloop +p1155 +tp1156 +a(g706 +V: +p1157 +tp1158 +a(g827 +V\u000a +p1159 +tp1160 +a(g827 +g1126 +tp1161 +a(g745 +Vasm +p1162 +tp1163 +a(g827 +g983 +tp1164 +a(g745 +Vvolatile +p1165 +tp1166 +a(g827 +g983 +tp1167 +a(g706 +g1109 +tp1168 +a(g89 +V" +p1169 +tp1170 +a(g89 +Vmftbu %0 +p1171 +tp1172 +a(g89 +g1169 +tp1173 +a(g827 +g983 +tp1174 +a(g413 +g1157 +tp1175 +a(g827 +g983 +tp1176 +a(g89 +g1169 +tp1177 +a(g89 +V=r +p1178 +tp1179 +a(g89 +g1169 +tp1180 +a(g827 +g983 +tp1181 +a(g706 +g1109 +tp1182 +a(g436 +Vtbu +p1183 +tp1184 +a(g706 +g1118 +tp1185 +a(g827 +g983 +tp1186 +a(g706 +g1118 +tp1187 +a(g706 +g1078 +tp1188 +a(g827 +V\u000a +p1189 +tp1190 +a(g827 +g1126 +tp1191 +a(g745 +Vasm +p1192 +tp1193 +a(g827 +g983 +tp1194 +a(g745 +Vvolatile +p1195 +tp1196 +a(g827 +g983 +tp1197 +a(g706 +g1109 +tp1198 +a(g89 +g1169 +tp1199 +a(g89 +Vmftb %0 +p1200 +tp1201 +a(g89 +g1169 +tp1202 +a(g827 +g983 +tp1203 +a(g413 +g1157 +tp1204 +a(g827 +g983 +tp1205 +a(g89 +g1169 +tp1206 +a(g89 +V=r +p1207 +tp1208 +a(g89 +g1169 +tp1209 +a(g827 +g983 +tp1210 +a(g706 +g1109 +tp1211 +a(g436 +Vtb +p1212 +tp1213 +a(g706 +g1118 +tp1214 +a(g827 +V +p1215 +tp1216 +a(g706 +g1118 +tp1217 +a(g706 +g1078 +tp1218 +a(g827 +V\u000a +p1219 +tp1220 +a(g827 +g1126 +tp1221 +a(g745 +Vasm +p1222 +tp1223 +a(g827 +g983 +tp1224 +a(g745 +Vvolatile +p1225 +tp1226 +a(g827 +g983 +tp1227 +a(g706 +g1109 +tp1228 +a(g89 +g1169 +tp1229 +a(g89 +Vmftbu %0 +p1230 +tp1231 +a(g89 +g1169 +tp1232 +a(g827 +g983 +tp1233 +a(g413 +g1157 +tp1234 +a(g827 +g983 +tp1235 +a(g89 +g1169 +tp1236 +a(g89 +V=r +p1237 +tp1238 +a(g89 +g1169 +tp1239 +a(g827 +g983 +tp1240 +a(g706 +g1109 +tp1241 +a(g436 +Vtbu2 +p1242 +tp1243 +a(g706 +g1118 +tp1244 +a(g706 +g1118 +tp1245 +a(g706 +g1078 +tp1246 +a(g827 +V\u000a +p1247 +tp1248 +a(g827 +g1126 +tp1249 +a(g745 +Vif +p1250 +tp1251 +a(g827 +g983 +tp1252 +a(g706 +g1109 +tp1253 +a(g436 +V__builtin_expect +p1254 +tp1255 +a(g706 +g1109 +tp1256 +a(g436 +Vtbu +p1257 +tp1258 +a(g827 +g983 +tp1259 +a(g413 +V! +p1260 +tp1261 +a(g413 +V= +p1262 +tp1263 +a(g827 +g983 +tp1264 +a(g436 +Vtbu2 +p1265 +tp1266 +a(g706 +g1139 +tp1267 +a(g827 +g983 +tp1268 +a(g37 +V0 +p1269 +tp1270 +a(g706 +g1118 +tp1271 +a(g706 +g1118 +tp1272 +a(g827 +g983 +tp1273 +a(g745 +Vgoto +p1274 +tp1275 +a(g827 +g983 +tp1276 +a(g436 +Vloop +p1277 +tp1278 +a(g706 +g1078 +tp1279 +a(g827 +V\u000a +p1280 +tp1281 +a(g827 +V\u000a +p1282 +tp1283 +a(g827 +g1126 +tp1284 +a(g8 +V/* The slightly peculiar way of writing the next lines is\u000a compiled better by GCC than any other way I tried. */ +p1285 +tp1286 +a(g827 +V\u000a +p1287 +tp1288 +a(g827 +g1126 +tp1289 +a(g706 +g1109 +tp1290 +a(g706 +g1109 +tp1291 +a(g749 +Vlong +p1292 +tp1293 +a(g413 +g1114 +tp1294 +a(g706 +g1118 +tp1295 +a(g706 +g1109 +tp1296 +a(g436 +g1116 +tp1297 +a(g706 +g1118 +tp1298 +a(g706 +g1118 +tp1299 +a(g706 +V[ +p1300 +tp1301 +a(g37 +g1269 +tp1302 +a(g706 +V] +p1303 +tp1304 +a(g827 +g983 +tp1305 +a(g413 +g1262 +tp1306 +a(g827 +g983 +tp1307 +a(g436 +Vtbu +p1308 +tp1309 +a(g706 +g1078 +tp1310 +a(g827 +V\u000a +p1311 +tp1312 +a(g827 +g1126 +tp1313 +a(g706 +g1109 +tp1314 +a(g706 +g1109 +tp1315 +a(g749 +Vlong +p1316 +tp1317 +a(g413 +g1114 +tp1318 +a(g706 +g1118 +tp1319 +a(g706 +g1109 +tp1320 +a(g436 +g1116 +tp1321 +a(g706 +g1118 +tp1322 +a(g706 +g1118 +tp1323 +a(g706 +g1300 +tp1324 +a(g37 +V1 +p1325 +tp1326 +a(g706 +g1303 +tp1327 +a(g827 +g983 +tp1328 +a(g413 +g1262 +tp1329 +a(g827 +g983 +tp1330 +a(g436 +Vtb +p1331 +tp1332 +a(g706 +g1078 +tp1333 +a(g827 +V\u000a +p1334 +tp1335 +a(g706 +V} +p1336 +tp1337 +a(g827 +V\u000a +p1338 +tp1339 +a(g827 +V\u000a +p1340 +tp1341 +a(g899 +g972 +tp1342 +a(g899 +Velse +p1343 +tp1344 +a(g8 +V/* this is for linux/x86 (and probably any other GCC/x86 combo) */ +p1345 +tp1346 +a(g899 +V\u000a +p1347 +tp1348 +a(g827 +V\u000a +p1349 +tp1350 +a(g899 +g972 +tp1351 +a(g899 +Vdefine READ_TIMESTAMP(val) \u005c +p1352 +tp1353 +a(g899 +V\u000a +p1354 +tp1355 +a(g899 +V __asm__ __volatile__("rdtsc" : "=A" (val)) +p1356 +tp1357 +a(g899 +V\u000a +p1358 +tp1359 +a(g827 +V\u000a +p1360 +tp1361 +a(g899 +g972 +tp1362 +a(g899 +Vendif +p1363 +tp1364 +a(g899 +V\u000a +p1365 +tp1366 +a(g827 +V\u000a +p1367 +tp1368 +a(g749 +Vvoid +p1369 +tp1370 +a(g827 +g983 +tp1371 +a(g574 +Vdump_tsc +p1372 +tp1373 +a(g706 +g1109 +tp1374 +a(g749 +Vint +p1375 +tp1376 +a(g827 +g983 +tp1377 +a(g436 +Vopcode +p1378 +tp1379 +a(g706 +g1139 +tp1380 +a(g827 +g983 +tp1381 +a(g749 +Vint +p1382 +tp1383 +a(g827 +g983 +tp1384 +a(g436 +Vticked +p1385 +tp1386 +a(g706 +g1139 +tp1387 +a(g827 +g983 +tp1388 +a(g436 +Vuint64 +p1389 +tp1390 +a(g827 +g983 +tp1391 +a(g436 +Vinst0 +p1392 +tp1393 +a(g706 +g1139 +tp1394 +a(g827 +g983 +tp1395 +a(g436 +Vuint64 +p1396 +tp1397 +a(g827 +g983 +tp1398 +a(g436 +Vinst1 +p1399 +tp1400 +a(g706 +g1139 +tp1401 +a(g827 +V\u000a +p1402 +tp1403 +a(g827 +V +p1404 +tp1405 +a(g436 +Vuint64 +p1406 +tp1407 +a(g827 +g983 +tp1408 +a(g436 +Vloop0 +p1409 +tp1410 +a(g706 +g1139 +tp1411 +a(g827 +g983 +tp1412 +a(g436 +Vuint64 +p1413 +tp1414 +a(g827 +g983 +tp1415 +a(g436 +Vloop1 +p1416 +tp1417 +a(g706 +g1139 +tp1418 +a(g827 +g983 +tp1419 +a(g436 +Vuint64 +p1420 +tp1421 +a(g827 +g983 +tp1422 +a(g436 +Vintr0 +p1423 +tp1424 +a(g706 +g1139 +tp1425 +a(g827 +g983 +tp1426 +a(g436 +Vuint64 +p1427 +tp1428 +a(g827 +g983 +tp1429 +a(g436 +Vintr1 +p1430 +tp1431 +a(g706 +g1118 +tp1432 +a(g827 +V\u000a +p1433 +tp1434 +a(g706 +g1122 +tp1435 +a(g827 +V\u000a +p1436 +tp1437 +a(g827 +g1126 +tp1438 +a(g436 +Vuint64 +p1439 +tp1440 +a(g827 +g983 +tp1441 +a(g436 +Vintr +p1442 +tp1443 +a(g706 +g1139 +tp1444 +a(g827 +g983 +tp1445 +a(g436 +Vinst +p1446 +tp1447 +a(g706 +g1139 +tp1448 +a(g827 +g983 +tp1449 +a(g436 +Vloop +p1450 +tp1451 +a(g706 +g1078 +tp1452 +a(g827 +V\u000a +p1453 +tp1454 +a(g827 +g1126 +tp1455 +a(g436 +VPyThreadState +p1456 +tp1457 +a(g827 +g983 +tp1458 +a(g413 +g1114 +tp1459 +a(g436 +Vtstate +p1460 +tp1461 +a(g827 +g983 +tp1462 +a(g413 +g1262 +tp1463 +a(g827 +g983 +tp1464 +a(g436 +VPyThreadState_Get +p1465 +tp1466 +a(g706 +g1109 +tp1467 +a(g706 +g1118 +tp1468 +a(g706 +g1078 +tp1469 +a(g827 +V\u000a +p1470 +tp1471 +a(g827 +g1126 +tp1472 +a(g745 +Vif +p1473 +tp1474 +a(g827 +g983 +tp1475 +a(g706 +g1109 +tp1476 +a(g413 +g1260 +tp1477 +a(g436 +Vtstate +p1478 +tp1479 +a(g413 +V- +p1480 +tp1481 +a(g413 +V> +p1482 +tp1483 +a(g436 +Vinterp +p1484 +tp1485 +a(g413 +g1480 +tp1486 +a(g413 +g1482 +tp1487 +a(g436 +Vtscdump +p1488 +tp1489 +a(g706 +g1118 +tp1490 +a(g827 +V\u000a +p1491 +tp1492 +a(g827 +V +p1493 +tp1494 +a(g745 +Vreturn +p1495 +tp1496 +a(g706 +g1078 +tp1497 +a(g827 +V\u000a +p1498 +tp1499 +a(g827 +g1126 +tp1500 +a(g436 +Vintr +p1501 +tp1502 +a(g827 +g983 +tp1503 +a(g413 +g1262 +tp1504 +a(g827 +g983 +tp1505 +a(g436 +Vintr1 +p1506 +tp1507 +a(g827 +g983 +tp1508 +a(g413 +g1480 +tp1509 +a(g827 +g983 +tp1510 +a(g436 +Vintr0 +p1511 +tp1512 +a(g706 +g1078 +tp1513 +a(g827 +V\u000a +p1514 +tp1515 +a(g827 +g1126 +tp1516 +a(g436 +Vinst +p1517 +tp1518 +a(g827 +g983 +tp1519 +a(g413 +g1262 +tp1520 +a(g827 +g983 +tp1521 +a(g436 +Vinst1 +p1522 +tp1523 +a(g827 +g983 +tp1524 +a(g413 +g1480 +tp1525 +a(g827 +g983 +tp1526 +a(g436 +Vinst0 +p1527 +tp1528 +a(g827 +g983 +tp1529 +a(g413 +g1480 +tp1530 +a(g827 +g983 +tp1531 +a(g436 +Vintr +p1532 +tp1533 +a(g706 +g1078 +tp1534 +a(g827 +V\u000a +p1535 +tp1536 +a(g827 +g1126 +tp1537 +a(g436 +Vloop +p1538 +tp1539 +a(g827 +g983 +tp1540 +a(g413 +g1262 +tp1541 +a(g827 +g983 +tp1542 +a(g436 +Vloop1 +p1543 +tp1544 +a(g827 +g983 +tp1545 +a(g413 +g1480 +tp1546 +a(g827 +g983 +tp1547 +a(g436 +Vloop0 +p1548 +tp1549 +a(g827 +g983 +tp1550 +a(g413 +g1480 +tp1551 +a(g827 +g983 +tp1552 +a(g436 +Vintr +p1553 +tp1554 +a(g706 +g1078 +tp1555 +a(g827 +V\u000a +p1556 +tp1557 +a(g827 +g1126 +tp1558 +a(g436 +Vfprintf +p1559 +tp1560 +a(g706 +g1109 +tp1561 +a(g436 +Vstderr +p1562 +tp1563 +a(g706 +g1139 +tp1564 +a(g827 +g983 +tp1565 +a(g89 +g1169 +tp1566 +a(g89 +Vopcode=%03d t=%d inst=%06lld loop=%06lld +p1567 +tp1568 +a(g213 +V\u005cn +p1569 +tp1570 +a(g89 +g1169 +tp1571 +a(g706 +g1139 +tp1572 +a(g827 +V\u000a +p1573 +tp1574 +a(g827 +V +p1575 +tp1576 +a(g436 +Vopcode +p1577 +tp1578 +a(g706 +g1139 +tp1579 +a(g827 +g983 +tp1580 +a(g436 +Vticked +p1581 +tp1582 +a(g706 +g1139 +tp1583 +a(g827 +g983 +tp1584 +a(g436 +Vinst +p1585 +tp1586 +a(g706 +g1139 +tp1587 +a(g827 +g983 +tp1588 +a(g436 +Vloop +p1589 +tp1590 +a(g706 +g1118 +tp1591 +a(g706 +g1078 +tp1592 +a(g827 +V\u000a +p1593 +tp1594 +a(g706 +g1336 +tp1595 +a(g827 +V\u000a +p1596 +tp1597 +a(g827 +V\u000a +p1598 +tp1599 +a(g899 +g972 +tp1600 +a(g899 +Vendif +p1601 +tp1602 +a(g899 +V\u000a +p1603 +tp1604 +a(g827 +V\u000a +p1605 +tp1606 +a(g8 +V/* Turn this on if your compiler chokes on the big switch: */ +p1607 +tp1608 +a(g827 +V\u000a +p1609 +tp1610 +a(g8 +V/* #define CASE_TOO_BIG 1 */ +p1611 +tp1612 +a(g827 +V\u000a +p1613 +tp1614 +a(g827 +V\u000a +p1615 +tp1616 +a(g899 +g972 +tp1617 +a(g899 +Vifdef Py_DEBUG +p1618 +tp1619 +a(g899 +V\u000a +p1620 +tp1621 +a(g8 +V/* For debugging the interpreter: */ +p1622 +tp1623 +a(g827 +V\u000a +p1624 +tp1625 +a(g899 +g972 +tp1626 +a(g899 +Vdefine LLTRACE 1 +p1627 +tp1628 +a(g8 +V/* Low-level trace feature */ +p1629 +tp1630 +a(g899 +V\u000a +p1631 +tp1632 +a(g899 +g972 +tp1633 +a(g899 +Vdefine CHECKEXC 1 +p1634 +tp1635 +a(g8 +V/* Double-check exception checking */ +p1636 +tp1637 +a(g899 +V\u000a +p1638 +tp1639 +a(g899 +g972 +tp1640 +a(g899 +Vendif +p1641 +tp1642 +a(g899 +V\u000a +p1643 +tp1644 +a(g827 +V\u000a +p1645 +tp1646 +a(g745 +Vtypedef +p1647 +tp1648 +a(g827 +g983 +tp1649 +a(g436 +VPyObject +p1650 +tp1651 +a(g827 +g983 +tp1652 +a(g413 +g1114 +tp1653 +a(g706 +g1109 +tp1654 +a(g413 +g1114 +tp1655 +a(g436 +Vcallproc +p1656 +tp1657 +a(g706 +g1118 +tp1658 +a(g706 +g1109 +tp1659 +a(g436 +VPyObject +p1660 +tp1661 +a(g827 +g983 +tp1662 +a(g413 +g1114 +tp1663 +a(g706 +g1139 +tp1664 +a(g827 +g983 +tp1665 +a(g436 +VPyObject +p1666 +tp1667 +a(g827 +g983 +tp1668 +a(g413 +g1114 +tp1669 +a(g706 +g1139 +tp1670 +a(g827 +g983 +tp1671 +a(g436 +VPyObject +p1672 +tp1673 +a(g827 +g983 +tp1674 +a(g413 +g1114 +tp1675 +a(g706 +g1118 +tp1676 +a(g706 +g1078 +tp1677 +a(g827 +V\u000a +p1678 +tp1679 +a(g827 +V\u000a +p1680 +tp1681 +a(g8 +V/* Forward declarations */ +p1682 +tp1683 +a(g827 +V\u000a +p1684 +tp1685 +a(g899 +g972 +tp1686 +a(g899 +Vifdef WITH_TSC +p1687 +tp1688 +a(g899 +V\u000a +p1689 +tp1690 +a(g745 +Vstatic +p1691 +tp1692 +a(g827 +g983 +tp1693 +a(g436 +VPyObject +p1694 +tp1695 +a(g827 +g983 +tp1696 +a(g413 +g1114 +tp1697 +a(g827 +g983 +tp1698 +a(g574 +Vcall_function +p1699 +tp1700 +a(g706 +g1109 +tp1701 +a(g436 +VPyObject +p1702 +tp1703 +a(g827 +g983 +tp1704 +a(g413 +g1114 +tp1705 +a(g413 +g1114 +tp1706 +a(g413 +g1114 +tp1707 +a(g706 +g1139 +tp1708 +a(g827 +g983 +tp1709 +a(g749 +Vint +p1710 +tp1711 +a(g706 +g1139 +tp1712 +a(g827 +g983 +tp1713 +a(g436 +Vuint64 +p1714 +tp1715 +a(g413 +g1114 +tp1716 +a(g706 +g1139 +tp1717 +a(g827 +g983 +tp1718 +a(g436 +Vuint64 +p1719 +tp1720 +a(g413 +g1114 +tp1721 +a(g706 +g1118 +tp1722 +a(g706 +g1078 +tp1723 +a(g827 +V\u000a +p1724 +tp1725 +a(g899 +g972 +tp1726 +a(g899 +Velse +p1727 +tp1728 +a(g899 +V\u000a +p1729 +tp1730 +a(g745 +Vstatic +p1731 +tp1732 +a(g827 +g983 +tp1733 +a(g436 +VPyObject +p1734 +tp1735 +a(g827 +g983 +tp1736 +a(g413 +g1114 +tp1737 +a(g827 +g983 +tp1738 +a(g574 +Vcall_function +p1739 +tp1740 +a(g706 +g1109 +tp1741 +a(g436 +VPyObject +p1742 +tp1743 +a(g827 +g983 +tp1744 +a(g413 +g1114 +tp1745 +a(g413 +g1114 +tp1746 +a(g413 +g1114 +tp1747 +a(g706 +g1139 +tp1748 +a(g827 +g983 +tp1749 +a(g749 +Vint +p1750 +tp1751 +a(g706 +g1118 +tp1752 +a(g706 +g1078 +tp1753 +a(g827 +V\u000a +p1754 +tp1755 +a(g899 +g972 +tp1756 +a(g899 +Vendif +p1757 +tp1758 +a(g899 +V\u000a +p1759 +tp1760 +a(g745 +Vstatic +p1761 +tp1762 +a(g827 +g983 +tp1763 +a(g436 +VPyObject +p1764 +tp1765 +a(g827 +g983 +tp1766 +a(g413 +g1114 +tp1767 +a(g827 +g983 +tp1768 +a(g574 +Vfast_function +p1769 +tp1770 +a(g706 +g1109 +tp1771 +a(g436 +VPyObject +p1772 +tp1773 +a(g827 +g983 +tp1774 +a(g413 +g1114 +tp1775 +a(g706 +g1139 +tp1776 +a(g827 +g983 +tp1777 +a(g436 +VPyObject +p1778 +tp1779 +a(g827 +g983 +tp1780 +a(g413 +g1114 +tp1781 +a(g413 +g1114 +tp1782 +a(g413 +g1114 +tp1783 +a(g706 +g1139 +tp1784 +a(g827 +g983 +tp1785 +a(g749 +Vint +p1786 +tp1787 +a(g706 +g1139 +tp1788 +a(g827 +g983 +tp1789 +a(g749 +Vint +p1790 +tp1791 +a(g706 +g1139 +tp1792 +a(g827 +g983 +tp1793 +a(g749 +Vint +p1794 +tp1795 +a(g706 +g1118 +tp1796 +a(g706 +g1078 +tp1797 +a(g827 +V\u000a +p1798 +tp1799 +a(g745 +Vstatic +p1800 +tp1801 +a(g827 +g983 +tp1802 +a(g436 +VPyObject +p1803 +tp1804 +a(g827 +g983 +tp1805 +a(g413 +g1114 +tp1806 +a(g827 +g983 +tp1807 +a(g574 +Vdo_call +p1808 +tp1809 +a(g706 +g1109 +tp1810 +a(g436 +VPyObject +p1811 +tp1812 +a(g827 +g983 +tp1813 +a(g413 +g1114 +tp1814 +a(g706 +g1139 +tp1815 +a(g827 +g983 +tp1816 +a(g436 +VPyObject +p1817 +tp1818 +a(g827 +g983 +tp1819 +a(g413 +g1114 +tp1820 +a(g413 +g1114 +tp1821 +a(g413 +g1114 +tp1822 +a(g706 +g1139 +tp1823 +a(g827 +g983 +tp1824 +a(g749 +Vint +p1825 +tp1826 +a(g706 +g1139 +tp1827 +a(g827 +g983 +tp1828 +a(g749 +Vint +p1829 +tp1830 +a(g706 +g1118 +tp1831 +a(g706 +g1078 +tp1832 +a(g827 +V\u000a +p1833 +tp1834 +a(g745 +Vstatic +p1835 +tp1836 +a(g827 +g983 +tp1837 +a(g436 +VPyObject +p1838 +tp1839 +a(g827 +g983 +tp1840 +a(g413 +g1114 +tp1841 +a(g827 +g983 +tp1842 +a(g574 +Vext_do_call +p1843 +tp1844 +a(g706 +g1109 +tp1845 +a(g436 +VPyObject +p1846 +tp1847 +a(g827 +g983 +tp1848 +a(g413 +g1114 +tp1849 +a(g706 +g1139 +tp1850 +a(g827 +g983 +tp1851 +a(g436 +VPyObject +p1852 +tp1853 +a(g827 +g983 +tp1854 +a(g413 +g1114 +tp1855 +a(g413 +g1114 +tp1856 +a(g413 +g1114 +tp1857 +a(g706 +g1139 +tp1858 +a(g827 +g983 +tp1859 +a(g749 +Vint +p1860 +tp1861 +a(g706 +g1139 +tp1862 +a(g827 +g983 +tp1863 +a(g749 +Vint +p1864 +tp1865 +a(g706 +g1139 +tp1866 +a(g827 +g983 +tp1867 +a(g749 +Vint +p1868 +tp1869 +a(g706 +g1118 +tp1870 +a(g706 +g1078 +tp1871 +a(g827 +V\u000a +p1872 +tp1873 +a(g745 +Vstatic +p1874 +tp1875 +a(g827 +g983 +tp1876 +a(g436 +VPyObject +p1877 +tp1878 +a(g827 +g983 +tp1879 +a(g413 +g1114 +tp1880 +a(g827 +g983 +tp1881 +a(g574 +Vupdate_keyword_args +p1882 +tp1883 +a(g706 +g1109 +tp1884 +a(g436 +VPyObject +p1885 +tp1886 +a(g827 +g983 +tp1887 +a(g413 +g1114 +tp1888 +a(g706 +g1139 +tp1889 +a(g827 +g983 +tp1890 +a(g749 +Vint +p1891 +tp1892 +a(g706 +g1139 +tp1893 +a(g827 +g983 +tp1894 +a(g436 +VPyObject +p1895 +tp1896 +a(g827 +g983 +tp1897 +a(g413 +g1114 +tp1898 +a(g413 +g1114 +tp1899 +a(g413 +g1114 +tp1900 +a(g706 +g1139 +tp1901 +a(g436 +VPyObject +p1902 +tp1903 +a(g827 +g983 +tp1904 +a(g413 +g1114 +tp1905 +a(g706 +g1118 +tp1906 +a(g706 +g1078 +tp1907 +a(g827 +V\u000a +p1908 +tp1909 +a(g745 +Vstatic +p1910 +tp1911 +a(g827 +g983 +tp1912 +a(g436 +VPyObject +p1913 +tp1914 +a(g827 +g983 +tp1915 +a(g413 +g1114 +tp1916 +a(g827 +g983 +tp1917 +a(g574 +Vupdate_star_args +p1918 +tp1919 +a(g706 +g1109 +tp1920 +a(g749 +Vint +p1921 +tp1922 +a(g706 +g1139 +tp1923 +a(g827 +g983 +tp1924 +a(g749 +Vint +p1925 +tp1926 +a(g706 +g1139 +tp1927 +a(g827 +g983 +tp1928 +a(g436 +VPyObject +p1929 +tp1930 +a(g827 +g983 +tp1931 +a(g413 +g1114 +tp1932 +a(g706 +g1139 +tp1933 +a(g827 +g983 +tp1934 +a(g436 +VPyObject +p1935 +tp1936 +a(g827 +g983 +tp1937 +a(g413 +g1114 +tp1938 +a(g413 +g1114 +tp1939 +a(g413 +g1114 +tp1940 +a(g706 +g1118 +tp1941 +a(g706 +g1078 +tp1942 +a(g827 +V\u000a +p1943 +tp1944 +a(g745 +Vstatic +p1945 +tp1946 +a(g827 +g983 +tp1947 +a(g436 +VPyObject +p1948 +tp1949 +a(g827 +g983 +tp1950 +a(g413 +g1114 +tp1951 +a(g827 +g983 +tp1952 +a(g574 +Vload_args +p1953 +tp1954 +a(g706 +g1109 +tp1955 +a(g436 +VPyObject +p1956 +tp1957 +a(g827 +g983 +tp1958 +a(g413 +g1114 +tp1959 +a(g413 +g1114 +tp1960 +a(g413 +g1114 +tp1961 +a(g706 +g1139 +tp1962 +a(g827 +g983 +tp1963 +a(g749 +Vint +p1964 +tp1965 +a(g706 +g1118 +tp1966 +a(g706 +g1078 +tp1967 +a(g827 +V\u000a +p1968 +tp1969 +a(g899 +g972 +tp1970 +a(g899 +Vdefine CALL_FLAG_VAR 1 +p1971 +tp1972 +a(g899 +V\u000a +p1973 +tp1974 +a(g899 +g972 +tp1975 +a(g899 +Vdefine CALL_FLAG_KW 2 +p1976 +tp1977 +a(g899 +V\u000a +p1978 +tp1979 +a(g827 +V\u000a +p1980 +tp1981 +a(g899 +g972 +tp1982 +a(g899 +Vifdef LLTRACE +p1983 +tp1984 +a(g899 +V\u000a +p1985 +tp1986 +a(g745 +Vstatic +p1987 +tp1988 +a(g827 +g983 +tp1989 +a(g749 +Vint +p1990 +tp1991 +a(g827 +g983 +tp1992 +a(g436 +Vlltrace +p1993 +tp1994 +a(g706 +g1078 +tp1995 +a(g827 +V\u000a +p1996 +tp1997 +a(g745 +Vstatic +p1998 +tp1999 +a(g827 +g983 +tp2000 +a(g749 +Vint +p2001 +tp2002 +a(g827 +g983 +tp2003 +a(g574 +Vprtrace +p2004 +tp2005 +a(g706 +g1109 +tp2006 +a(g436 +VPyObject +p2007 +tp2008 +a(g827 +g983 +tp2009 +a(g413 +g1114 +tp2010 +a(g706 +g1139 +tp2011 +a(g827 +g983 +tp2012 +a(g749 +Vchar +p2013 +tp2014 +a(g827 +g983 +tp2015 +a(g413 +g1114 +tp2016 +a(g706 +g1118 +tp2017 +a(g706 +g1078 +tp2018 +a(g827 +V\u000a +p2019 +tp2020 +a(g899 +g972 +tp2021 +a(g899 +Vendif +p2022 +tp2023 +a(g899 +V\u000a +p2024 +tp2025 +a(g745 +Vstatic +p2026 +tp2027 +a(g827 +g983 +tp2028 +a(g749 +Vint +p2029 +tp2030 +a(g827 +g983 +tp2031 +a(g574 +Vcall_trace +p2032 +tp2033 +a(g706 +g1109 +tp2034 +a(g436 +VPy_tracefunc +p2035 +tp2036 +a(g706 +g1139 +tp2037 +a(g827 +g983 +tp2038 +a(g436 +VPyObject +p2039 +tp2040 +a(g827 +g983 +tp2041 +a(g413 +g1114 +tp2042 +a(g706 +g1139 +tp2043 +a(g827 +g983 +tp2044 +a(g436 +VPyFrameObject +p2045 +tp2046 +a(g827 +g983 +tp2047 +a(g413 +g1114 +tp2048 +a(g706 +g1139 +tp2049 +a(g827 +V\u000a +p2050 +tp2051 +a(g827 +V +p2052 +tp2053 +a(g749 +Vint +p2054 +tp2055 +a(g706 +g1139 +tp2056 +a(g827 +g983 +tp2057 +a(g436 +VPyObject +p2058 +tp2059 +a(g827 +g983 +tp2060 +a(g413 +g1114 +tp2061 +a(g706 +g1118 +tp2062 +a(g706 +g1078 +tp2063 +a(g827 +V\u000a +p2064 +tp2065 +a(g745 +Vstatic +p2066 +tp2067 +a(g827 +g983 +tp2068 +a(g749 +Vvoid +p2069 +tp2070 +a(g827 +g983 +tp2071 +a(g574 +Vcall_trace_protected +p2072 +tp2073 +a(g706 +g1109 +tp2074 +a(g436 +VPy_tracefunc +p2075 +tp2076 +a(g706 +g1139 +tp2077 +a(g827 +g983 +tp2078 +a(g436 +VPyObject +p2079 +tp2080 +a(g827 +g983 +tp2081 +a(g413 +g1114 +tp2082 +a(g706 +g1139 +tp2083 +a(g827 +V\u000a +p2084 +tp2085 +a(g827 +V +p2086 +tp2087 +a(g436 +VPyFrameObject +p2088 +tp2089 +a(g827 +g983 +tp2090 +a(g413 +g1114 +tp2091 +a(g706 +g1139 +tp2092 +a(g827 +g983 +tp2093 +a(g749 +Vint +p2094 +tp2095 +a(g706 +g1139 +tp2096 +a(g827 +g983 +tp2097 +a(g436 +VPyObject +p2098 +tp2099 +a(g827 +g983 +tp2100 +a(g413 +g1114 +tp2101 +a(g706 +g1118 +tp2102 +a(g706 +g1078 +tp2103 +a(g827 +V\u000a +p2104 +tp2105 +a(g745 +Vstatic +p2106 +tp2107 +a(g827 +g983 +tp2108 +a(g749 +Vvoid +p2109 +tp2110 +a(g827 +g983 +tp2111 +a(g574 +Vcall_exc_trace +p2112 +tp2113 +a(g706 +g1109 +tp2114 +a(g436 +VPy_tracefunc +p2115 +tp2116 +a(g706 +g1139 +tp2117 +a(g827 +g983 +tp2118 +a(g436 +VPyObject +p2119 +tp2120 +a(g827 +g983 +tp2121 +a(g413 +g1114 +tp2122 +a(g706 +g1139 +tp2123 +a(g827 +g983 +tp2124 +a(g436 +VPyFrameObject +p2125 +tp2126 +a(g827 +g983 +tp2127 +a(g413 +g1114 +tp2128 +a(g706 +g1118 +tp2129 +a(g706 +g1078 +tp2130 +a(g827 +V\u000a +p2131 +tp2132 +a(g745 +Vstatic +p2133 +tp2134 +a(g827 +g983 +tp2135 +a(g749 +Vint +p2136 +tp2137 +a(g827 +g983 +tp2138 +a(g574 +Vmaybe_call_line_trace +p2139 +tp2140 +a(g706 +g1109 +tp2141 +a(g436 +VPy_tracefunc +p2142 +tp2143 +a(g706 +g1139 +tp2144 +a(g827 +g983 +tp2145 +a(g436 +VPyObject +p2146 +tp2147 +a(g827 +g983 +tp2148 +a(g413 +g1114 +tp2149 +a(g706 +g1139 +tp2150 +a(g827 +V\u000a +p2151 +tp2152 +a(g827 +V +p2153 +tp2154 +a(g436 +VPyFrameObject +p2155 +tp2156 +a(g827 +g983 +tp2157 +a(g413 +g1114 +tp2158 +a(g706 +g1139 +tp2159 +a(g827 +g983 +tp2160 +a(g749 +Vint +p2161 +tp2162 +a(g827 +g983 +tp2163 +a(g413 +g1114 +tp2164 +a(g706 +g1139 +tp2165 +a(g827 +g983 +tp2166 +a(g749 +Vint +p2167 +tp2168 +a(g827 +g983 +tp2169 +a(g413 +g1114 +tp2170 +a(g706 +g1139 +tp2171 +a(g827 +g983 +tp2172 +a(g749 +Vint +p2173 +tp2174 +a(g827 +g983 +tp2175 +a(g413 +g1114 +tp2176 +a(g706 +g1118 +tp2177 +a(g706 +g1078 +tp2178 +a(g827 +V\u000a +p2179 +tp2180 +a(g827 +V\u000a +p2181 +tp2182 +a(g745 +Vstatic +p2183 +tp2184 +a(g827 +g983 +tp2185 +a(g436 +VPyObject +p2186 +tp2187 +a(g827 +g983 +tp2188 +a(g413 +g1114 +tp2189 +a(g827 +g983 +tp2190 +a(g574 +Vapply_slice +p2191 +tp2192 +a(g706 +g1109 +tp2193 +a(g436 +VPyObject +p2194 +tp2195 +a(g827 +g983 +tp2196 +a(g413 +g1114 +tp2197 +a(g706 +g1139 +tp2198 +a(g827 +g983 +tp2199 +a(g436 +VPyObject +p2200 +tp2201 +a(g827 +g983 +tp2202 +a(g413 +g1114 +tp2203 +a(g706 +g1139 +tp2204 +a(g827 +g983 +tp2205 +a(g436 +VPyObject +p2206 +tp2207 +a(g827 +g983 +tp2208 +a(g413 +g1114 +tp2209 +a(g706 +g1118 +tp2210 +a(g706 +g1078 +tp2211 +a(g827 +V\u000a +p2212 +tp2213 +a(g745 +Vstatic +p2214 +tp2215 +a(g827 +g983 +tp2216 +a(g749 +Vint +p2217 +tp2218 +a(g827 +g983 +tp2219 +a(g574 +Vassign_slice +p2220 +tp2221 +a(g706 +g1109 +tp2222 +a(g436 +VPyObject +p2223 +tp2224 +a(g827 +g983 +tp2225 +a(g413 +g1114 +tp2226 +a(g706 +g1139 +tp2227 +a(g827 +g983 +tp2228 +a(g436 +VPyObject +p2229 +tp2230 +a(g827 +g983 +tp2231 +a(g413 +g1114 +tp2232 +a(g706 +g1139 +tp2233 +a(g827 +V\u000a +p2234 +tp2235 +a(g827 +V +p2236 +tp2237 +a(g436 +VPyObject +p2238 +tp2239 +a(g827 +g983 +tp2240 +a(g413 +g1114 +tp2241 +a(g706 +g1139 +tp2242 +a(g827 +g983 +tp2243 +a(g436 +VPyObject +p2244 +tp2245 +a(g827 +g983 +tp2246 +a(g413 +g1114 +tp2247 +a(g706 +g1118 +tp2248 +a(g706 +g1078 +tp2249 +a(g827 +V\u000a +p2250 +tp2251 +a(g745 +Vstatic +p2252 +tp2253 +a(g827 +g983 +tp2254 +a(g436 +VPyObject +p2255 +tp2256 +a(g827 +g983 +tp2257 +a(g413 +g1114 +tp2258 +a(g827 +g983 +tp2259 +a(g574 +Vcmp_outcome +p2260 +tp2261 +a(g706 +g1109 +tp2262 +a(g749 +Vint +p2263 +tp2264 +a(g706 +g1139 +tp2265 +a(g827 +g983 +tp2266 +a(g436 +VPyObject +p2267 +tp2268 +a(g827 +g983 +tp2269 +a(g413 +g1114 +tp2270 +a(g706 +g1139 +tp2271 +a(g827 +g983 +tp2272 +a(g436 +VPyObject +p2273 +tp2274 +a(g827 +g983 +tp2275 +a(g413 +g1114 +tp2276 +a(g706 +g1118 +tp2277 +a(g706 +g1078 +tp2278 +a(g827 +V\u000a +p2279 +tp2280 +a(g745 +Vstatic +p2281 +tp2282 +a(g827 +g983 +tp2283 +a(g436 +VPyObject +p2284 +tp2285 +a(g827 +g983 +tp2286 +a(g413 +g1114 +tp2287 +a(g827 +g983 +tp2288 +a(g574 +Vimport_from +p2289 +tp2290 +a(g706 +g1109 +tp2291 +a(g436 +VPyObject +p2292 +tp2293 +a(g827 +g983 +tp2294 +a(g413 +g1114 +tp2295 +a(g706 +g1139 +tp2296 +a(g827 +g983 +tp2297 +a(g436 +VPyObject +p2298 +tp2299 +a(g827 +g983 +tp2300 +a(g413 +g1114 +tp2301 +a(g706 +g1118 +tp2302 +a(g706 +g1078 +tp2303 +a(g827 +V\u000a +p2304 +tp2305 +a(g745 +Vstatic +p2306 +tp2307 +a(g827 +g983 +tp2308 +a(g749 +Vint +p2309 +tp2310 +a(g827 +g983 +tp2311 +a(g574 +Vimport_all_from +p2312 +tp2313 +a(g706 +g1109 +tp2314 +a(g436 +VPyObject +p2315 +tp2316 +a(g827 +g983 +tp2317 +a(g413 +g1114 +tp2318 +a(g706 +g1139 +tp2319 +a(g827 +g983 +tp2320 +a(g436 +VPyObject +p2321 +tp2322 +a(g827 +g983 +tp2323 +a(g413 +g1114 +tp2324 +a(g706 +g1118 +tp2325 +a(g706 +g1078 +tp2326 +a(g827 +V\u000a +p2327 +tp2328 +a(g745 +Vstatic +p2329 +tp2330 +a(g827 +g983 +tp2331 +a(g436 +VPyObject +p2332 +tp2333 +a(g827 +g983 +tp2334 +a(g413 +g1114 +tp2335 +a(g827 +g983 +tp2336 +a(g574 +Vbuild_class +p2337 +tp2338 +a(g706 +g1109 +tp2339 +a(g436 +VPyObject +p2340 +tp2341 +a(g827 +g983 +tp2342 +a(g413 +g1114 +tp2343 +a(g706 +g1139 +tp2344 +a(g827 +g983 +tp2345 +a(g436 +VPyObject +p2346 +tp2347 +a(g827 +g983 +tp2348 +a(g413 +g1114 +tp2349 +a(g706 +g1139 +tp2350 +a(g827 +g983 +tp2351 +a(g436 +VPyObject +p2352 +tp2353 +a(g827 +g983 +tp2354 +a(g413 +g1114 +tp2355 +a(g706 +g1118 +tp2356 +a(g706 +g1078 +tp2357 +a(g827 +V\u000a +p2358 +tp2359 +a(g745 +Vstatic +p2360 +tp2361 +a(g827 +g983 +tp2362 +a(g749 +Vint +p2363 +tp2364 +a(g827 +g983 +tp2365 +a(g574 +Vexec_statement +p2366 +tp2367 +a(g706 +g1109 +tp2368 +a(g436 +VPyFrameObject +p2369 +tp2370 +a(g827 +g983 +tp2371 +a(g413 +g1114 +tp2372 +a(g706 +g1139 +tp2373 +a(g827 +V\u000a +p2374 +tp2375 +a(g827 +V +p2376 +tp2377 +a(g436 +VPyObject +p2378 +tp2379 +a(g827 +g983 +tp2380 +a(g413 +g1114 +tp2381 +a(g706 +g1139 +tp2382 +a(g827 +g983 +tp2383 +a(g436 +VPyObject +p2384 +tp2385 +a(g827 +g983 +tp2386 +a(g413 +g1114 +tp2387 +a(g706 +g1139 +tp2388 +a(g827 +g983 +tp2389 +a(g436 +VPyObject +p2390 +tp2391 +a(g827 +g983 +tp2392 +a(g413 +g1114 +tp2393 +a(g706 +g1118 +tp2394 +a(g706 +g1078 +tp2395 +a(g827 +V\u000a +p2396 +tp2397 +a(g745 +Vstatic +p2398 +tp2399 +a(g827 +g983 +tp2400 +a(g749 +Vvoid +p2401 +tp2402 +a(g827 +g983 +tp2403 +a(g574 +Vset_exc_info +p2404 +tp2405 +a(g706 +g1109 +tp2406 +a(g436 +VPyThreadState +p2407 +tp2408 +a(g827 +g983 +tp2409 +a(g413 +g1114 +tp2410 +a(g706 +g1139 +tp2411 +a(g827 +g983 +tp2412 +a(g436 +VPyObject +p2413 +tp2414 +a(g827 +g983 +tp2415 +a(g413 +g1114 +tp2416 +a(g706 +g1139 +tp2417 +a(g827 +g983 +tp2418 +a(g436 +VPyObject +p2419 +tp2420 +a(g827 +g983 +tp2421 +a(g413 +g1114 +tp2422 +a(g706 +g1139 +tp2423 +a(g827 +g983 +tp2424 +a(g436 +VPyObject +p2425 +tp2426 +a(g827 +g983 +tp2427 +a(g413 +g1114 +tp2428 +a(g706 +g1118 +tp2429 +a(g706 +g1078 +tp2430 +a(g827 +V\u000a +p2431 +tp2432 +a(g745 +Vstatic +p2433 +tp2434 +a(g827 +g983 +tp2435 +a(g749 +Vvoid +p2436 +tp2437 +a(g827 +g983 +tp2438 +a(g574 +Vreset_exc_info +p2439 +tp2440 +a(g706 +g1109 +tp2441 +a(g436 +VPyThreadState +p2442 +tp2443 +a(g827 +g983 +tp2444 +a(g413 +g1114 +tp2445 +a(g706 +g1118 +tp2446 +a(g706 +g1078 +tp2447 +a(g827 +V\u000a +p2448 +tp2449 +a(g745 +Vstatic +p2450 +tp2451 +a(g827 +g983 +tp2452 +a(g749 +Vvoid +p2453 +tp2454 +a(g827 +g983 +tp2455 +a(g574 +Vformat_exc_check_arg +p2456 +tp2457 +a(g706 +g1109 +tp2458 +a(g436 +VPyObject +p2459 +tp2460 +a(g827 +g983 +tp2461 +a(g413 +g1114 +tp2462 +a(g706 +g1139 +tp2463 +a(g827 +g983 +tp2464 +a(g749 +Vchar +p2465 +tp2466 +a(g827 +g983 +tp2467 +a(g413 +g1114 +tp2468 +a(g706 +g1139 +tp2469 +a(g827 +g983 +tp2470 +a(g436 +VPyObject +p2471 +tp2472 +a(g827 +g983 +tp2473 +a(g413 +g1114 +tp2474 +a(g706 +g1118 +tp2475 +a(g706 +g1078 +tp2476 +a(g827 +V\u000a +p2477 +tp2478 +a(g745 +Vstatic +p2479 +tp2480 +a(g827 +g983 +tp2481 +a(g436 +VPyObject +p2482 +tp2483 +a(g827 +g983 +tp2484 +a(g413 +g1114 +tp2485 +a(g827 +g983 +tp2486 +a(g574 +Vstring_concatenate +p2487 +tp2488 +a(g706 +g1109 +tp2489 +a(g436 +VPyObject +p2490 +tp2491 +a(g827 +g983 +tp2492 +a(g413 +g1114 +tp2493 +a(g706 +g1139 +tp2494 +a(g827 +g983 +tp2495 +a(g436 +VPyObject +p2496 +tp2497 +a(g827 +g983 +tp2498 +a(g413 +g1114 +tp2499 +a(g706 +g1139 +tp2500 +a(g827 +V\u000a +p2501 +tp2502 +a(g827 +V +p2503 +tp2504 +a(g436 +VPyFrameObject +p2505 +tp2506 +a(g827 +g983 +tp2507 +a(g413 +g1114 +tp2508 +a(g706 +g1139 +tp2509 +a(g827 +g983 +tp2510 +a(g749 +Vunsigned +p2511 +tp2512 +a(g827 +g983 +tp2513 +a(g749 +Vchar +p2514 +tp2515 +a(g827 +g983 +tp2516 +a(g413 +g1114 +tp2517 +a(g706 +g1118 +tp2518 +a(g706 +g1078 +tp2519 +a(g827 +V\u000a +p2520 +tp2521 +a(g827 +V\u000a +p2522 +tp2523 +a(g899 +g972 +tp2524 +a(g899 +Vdefine NAME_ERROR_MSG \u005c +p2525 +tp2526 +a(g899 +V\u000a +p2527 +tp2528 +a(g899 +V "name '%.200s' is not defined" +p2529 +tp2530 +a(g899 +V\u000a +p2531 +tp2532 +a(g899 +g972 +tp2533 +a(g899 +Vdefine GLOBAL_NAME_ERROR_MSG \u005c +p2534 +tp2535 +a(g899 +V\u000a +p2536 +tp2537 +a(g899 +V "global name '%.200s' is not defined" +p2538 +tp2539 +a(g899 +V\u000a +p2540 +tp2541 +a(g899 +g972 +tp2542 +a(g899 +Vdefine UNBOUNDLOCAL_ERROR_MSG \u005c +p2543 +tp2544 +a(g899 +V\u000a +p2545 +tp2546 +a(g899 +V "local variable '%.200s' referenced before assignment" +p2547 +tp2548 +a(g899 +V\u000a +p2549 +tp2550 +a(g899 +g972 +tp2551 +a(g899 +Vdefine UNBOUNDFREE_ERROR_MSG \u005c +p2552 +tp2553 +a(g899 +V\u000a +p2554 +tp2555 +a(g899 +V "free variable '%.200s' referenced before assignment" \u005c +p2556 +tp2557 +a(g899 +V\u000a +p2558 +tp2559 +a(g899 +V " in enclosing scope" +p2560 +tp2561 +a(g899 +V\u000a +p2562 +tp2563 +a(g827 +V\u000a +p2564 +tp2565 +a(g8 +V/* Dynamic execution profile */ +p2566 +tp2567 +a(g827 +V\u000a +p2568 +tp2569 +a(g899 +g972 +tp2570 +a(g899 +Vifdef DYNAMIC_EXECUTION_PROFILE +p2571 +tp2572 +a(g899 +V\u000a +p2573 +tp2574 +a(g899 +g972 +tp2575 +a(g899 +Vifdef DXPAIRS +p2576 +tp2577 +a(g899 +V\u000a +p2578 +tp2579 +a(g745 +Vstatic +p2580 +tp2581 +a(g827 +g983 +tp2582 +a(g749 +Vlong +p2583 +tp2584 +a(g827 +g983 +tp2585 +a(g436 +Vdxpairs +p2586 +tp2587 +a(g706 +g1300 +tp2588 +a(g37 +V257 +p2589 +tp2590 +a(g706 +g1303 +tp2591 +a(g706 +g1300 +tp2592 +a(g37 +V256 +p2593 +tp2594 +a(g706 +g1303 +tp2595 +a(g706 +g1078 +tp2596 +a(g827 +V\u000a +p2597 +tp2598 +a(g899 +g972 +tp2599 +a(g899 +Vdefine dxp dxpairs[256] +p2600 +tp2601 +a(g899 +V\u000a +p2602 +tp2603 +a(g899 +g972 +tp2604 +a(g899 +Velse +p2605 +tp2606 +a(g899 +V\u000a +p2607 +tp2608 +a(g745 +Vstatic +p2609 +tp2610 +a(g827 +g983 +tp2611 +a(g749 +Vlong +p2612 +tp2613 +a(g827 +g983 +tp2614 +a(g436 +Vdxp +p2615 +tp2616 +a(g706 +g1300 +tp2617 +a(g37 +V256 +p2618 +tp2619 +a(g706 +g1303 +tp2620 +a(g706 +g1078 +tp2621 +a(g827 +V\u000a +p2622 +tp2623 +a(g899 +g972 +tp2624 +a(g899 +Vendif +p2625 +tp2626 +a(g899 +V\u000a +p2627 +tp2628 +a(g899 +g972 +tp2629 +a(g899 +Vendif +p2630 +tp2631 +a(g899 +V\u000a +p2632 +tp2633 +a(g827 +V\u000a +p2634 +tp2635 +a(g8 +V/* Function call profile */ +p2636 +tp2637 +a(g827 +V\u000a +p2638 +tp2639 +a(g899 +g972 +tp2640 +a(g899 +Vifdef CALL_PROFILE +p2641 +tp2642 +a(g899 +V\u000a +p2643 +tp2644 +a(g899 +g972 +tp2645 +a(g899 +Vdefine PCALL_NUM 11 +p2646 +tp2647 +a(g899 +V\u000a +p2648 +tp2649 +a(g745 +Vstatic +p2650 +tp2651 +a(g827 +g983 +tp2652 +a(g749 +Vint +p2653 +tp2654 +a(g827 +g983 +tp2655 +a(g436 +Vpcall +p2656 +tp2657 +a(g706 +g1300 +tp2658 +a(g436 +VPCALL_NUM +p2659 +tp2660 +a(g706 +g1303 +tp2661 +a(g706 +g1078 +tp2662 +a(g827 +V\u000a +p2663 +tp2664 +a(g827 +V\u000a +p2665 +tp2666 +a(g899 +g972 +tp2667 +a(g899 +Vdefine PCALL_ALL 0 +p2668 +tp2669 +a(g899 +V\u000a +p2670 +tp2671 +a(g899 +g972 +tp2672 +a(g899 +Vdefine PCALL_FUNCTION 1 +p2673 +tp2674 +a(g899 +V\u000a +p2675 +tp2676 +a(g899 +g972 +tp2677 +a(g899 +Vdefine PCALL_FAST_FUNCTION 2 +p2678 +tp2679 +a(g899 +V\u000a +p2680 +tp2681 +a(g899 +g972 +tp2682 +a(g899 +Vdefine PCALL_FASTER_FUNCTION 3 +p2683 +tp2684 +a(g899 +V\u000a +p2685 +tp2686 +a(g899 +g972 +tp2687 +a(g899 +Vdefine PCALL_METHOD 4 +p2688 +tp2689 +a(g899 +V\u000a +p2690 +tp2691 +a(g899 +g972 +tp2692 +a(g899 +Vdefine PCALL_BOUND_METHOD 5 +p2693 +tp2694 +a(g899 +V\u000a +p2695 +tp2696 +a(g899 +g972 +tp2697 +a(g899 +Vdefine PCALL_CFUNCTION 6 +p2698 +tp2699 +a(g899 +V\u000a +p2700 +tp2701 +a(g899 +g972 +tp2702 +a(g899 +Vdefine PCALL_TYPE 7 +p2703 +tp2704 +a(g899 +V\u000a +p2705 +tp2706 +a(g899 +g972 +tp2707 +a(g899 +Vdefine PCALL_GENERATOR 8 +p2708 +tp2709 +a(g899 +V\u000a +p2710 +tp2711 +a(g899 +g972 +tp2712 +a(g899 +Vdefine PCALL_OTHER 9 +p2713 +tp2714 +a(g899 +V\u000a +p2715 +tp2716 +a(g899 +g972 +tp2717 +a(g899 +Vdefine PCALL_POP 10 +p2718 +tp2719 +a(g899 +V\u000a +p2720 +tp2721 +a(g827 +V\u000a +p2722 +tp2723 +a(g8 +V/* Notes about the statistics\u000a\u000a PCALL_FAST stats\u000a\u000a FAST_FUNCTION means no argument tuple needs to be created.\u000a FASTER_FUNCTION means that the fast-path frame setup code is used.\u000a\u000a If there is a method call where the call can be optimized by changing\u000a the argument tuple and calling the function directly, it gets recorded\u000a twice.\u000a\u000a As a result, the relationship among the statistics appears to be\u000a PCALL_ALL == PCALL_FUNCTION + PCALL_METHOD - PCALL_BOUND_METHOD +\u000a PCALL_CFUNCTION + PCALL_TYPE + PCALL_GENERATOR + PCALL_OTHER\u000a PCALL_FUNCTION > PCALL_FAST_FUNCTION > PCALL_FASTER_FUNCTION\u000a PCALL_METHOD > PCALL_BOUND_METHOD\u000a*/ +p2724 +tp2725 +a(g827 +V\u000a +p2726 +tp2727 +a(g827 +V\u000a +p2728 +tp2729 +a(g899 +g972 +tp2730 +a(g899 +Vdefine PCALL(POS) pcall[POS]++ +p2731 +tp2732 +a(g899 +V\u000a +p2733 +tp2734 +a(g827 +V\u000a +p2735 +tp2736 +a(g436 +VPyObject +p2737 +tp2738 +a(g827 +g983 +tp2739 +a(g413 +g1114 +tp2740 +a(g827 +V\u000a +p2741 +tp2742 +a(g574 +VPyEval_GetCallStats +p2743 +tp2744 +a(g706 +g1109 +tp2745 +a(g436 +VPyObject +p2746 +tp2747 +a(g827 +g983 +tp2748 +a(g413 +g1114 +tp2749 +a(g436 +Vself +p2750 +tp2751 +a(g706 +g1118 +tp2752 +a(g827 +V\u000a +p2753 +tp2754 +a(g706 +g1122 +tp2755 +a(g827 +V\u000a +p2756 +tp2757 +a(g827 +g1126 +tp2758 +a(g745 +Vreturn +p2759 +tp2760 +a(g827 +g983 +tp2761 +a(g436 +VPy_BuildValue +p2762 +tp2763 +a(g706 +g1109 +tp2764 +a(g89 +g1169 +tp2765 +a(g89 +Viiiiiiiiii +p2766 +tp2767 +a(g89 +g1169 +tp2768 +a(g706 +g1139 +tp2769 +a(g827 +V\u000a +p2770 +tp2771 +a(g827 +V +p2772 +tp2773 +a(g436 +Vpcall +p2774 +tp2775 +a(g706 +g1300 +tp2776 +a(g37 +g1269 +tp2777 +a(g706 +g1303 +tp2778 +a(g706 +g1139 +tp2779 +a(g827 +g983 +tp2780 +a(g436 +Vpcall +p2781 +tp2782 +a(g706 +g1300 +tp2783 +a(g37 +g1325 +tp2784 +a(g706 +g1303 +tp2785 +a(g706 +g1139 +tp2786 +a(g827 +g983 +tp2787 +a(g436 +Vpcall +p2788 +tp2789 +a(g706 +g1300 +tp2790 +a(g37 +V2 +p2791 +tp2792 +a(g706 +g1303 +tp2793 +a(g706 +g1139 +tp2794 +a(g827 +g983 +tp2795 +a(g436 +Vpcall +p2796 +tp2797 +a(g706 +g1300 +tp2798 +a(g37 +V3 +p2799 +tp2800 +a(g706 +g1303 +tp2801 +a(g706 +g1139 +tp2802 +a(g827 +V\u000a +p2803 +tp2804 +a(g827 +V +p2805 +tp2806 +a(g436 +Vpcall +p2807 +tp2808 +a(g706 +g1300 +tp2809 +a(g37 +V4 +p2810 +tp2811 +a(g706 +g1303 +tp2812 +a(g706 +g1139 +tp2813 +a(g827 +g983 +tp2814 +a(g436 +Vpcall +p2815 +tp2816 +a(g706 +g1300 +tp2817 +a(g37 +V5 +p2818 +tp2819 +a(g706 +g1303 +tp2820 +a(g706 +g1139 +tp2821 +a(g827 +g983 +tp2822 +a(g436 +Vpcall +p2823 +tp2824 +a(g706 +g1300 +tp2825 +a(g37 +V6 +p2826 +tp2827 +a(g706 +g1303 +tp2828 +a(g706 +g1139 +tp2829 +a(g827 +g983 +tp2830 +a(g436 +Vpcall +p2831 +tp2832 +a(g706 +g1300 +tp2833 +a(g37 +V7 +p2834 +tp2835 +a(g706 +g1303 +tp2836 +a(g706 +g1139 +tp2837 +a(g827 +V\u000a +p2838 +tp2839 +a(g827 +V +p2840 +tp2841 +a(g436 +Vpcall +p2842 +tp2843 +a(g706 +g1300 +tp2844 +a(g37 +V8 +p2845 +tp2846 +a(g706 +g1303 +tp2847 +a(g706 +g1139 +tp2848 +a(g827 +g983 +tp2849 +a(g436 +Vpcall +p2850 +tp2851 +a(g706 +g1300 +tp2852 +a(g37 +V9 +p2853 +tp2854 +a(g706 +g1303 +tp2855 +a(g706 +g1118 +tp2856 +a(g706 +g1078 +tp2857 +a(g827 +V\u000a +p2858 +tp2859 +a(g706 +g1336 +tp2860 +a(g827 +V\u000a +p2861 +tp2862 +a(g899 +g972 +tp2863 +a(g899 +Velse +p2864 +tp2865 +a(g899 +V\u000a +p2866 +tp2867 +a(g899 +g972 +tp2868 +a(g899 +Vdefine PCALL(O) +p2869 +tp2870 +a(g899 +V\u000a +p2871 +tp2872 +a(g827 +V\u000a +p2873 +tp2874 +a(g436 +VPyObject +p2875 +tp2876 +a(g827 +g983 +tp2877 +a(g413 +g1114 +tp2878 +a(g827 +V\u000a +p2879 +tp2880 +a(g574 +VPyEval_GetCallStats +p2881 +tp2882 +a(g706 +g1109 +tp2883 +a(g436 +VPyObject +p2884 +tp2885 +a(g827 +g983 +tp2886 +a(g413 +g1114 +tp2887 +a(g436 +Vself +p2888 +tp2889 +a(g706 +g1118 +tp2890 +a(g827 +V\u000a +p2891 +tp2892 +a(g706 +g1122 +tp2893 +a(g827 +V\u000a +p2894 +tp2895 +a(g827 +g1126 +tp2896 +a(g436 +VPy_INCREF +p2897 +tp2898 +a(g706 +g1109 +tp2899 +a(g436 +VPy_None +p2900 +tp2901 +a(g706 +g1118 +tp2902 +a(g706 +g1078 +tp2903 +a(g827 +V\u000a +p2904 +tp2905 +a(g827 +g1126 +tp2906 +a(g745 +Vreturn +p2907 +tp2908 +a(g827 +g983 +tp2909 +a(g436 +VPy_None +p2910 +tp2911 +a(g706 +g1078 +tp2912 +a(g827 +V\u000a +p2913 +tp2914 +a(g706 +g1336 +tp2915 +a(g827 +V\u000a +p2916 +tp2917 +a(g899 +g972 +tp2918 +a(g899 +Vendif +p2919 +tp2920 +a(g899 +V\u000a +p2921 +tp2922 +a(g827 +V\u000a +p2923 +tp2924 +a(g827 +V\u000a +p2925 +tp2926 +a(g899 +g972 +tp2927 +a(g899 +Vifdef WITH_THREAD +p2928 +tp2929 +a(g899 +V\u000a +p2930 +tp2931 +a(g827 +V\u000a +p2932 +tp2933 +a(g899 +g972 +tp2934 +a(g899 +Vifdef HAVE_ERRNO_H +p2935 +tp2936 +a(g899 +V\u000a +p2937 +tp2938 +a(g899 +g972 +tp2939 +a(g899 +Vinclude +p2940 +tp2941 +a(g827 +g983 +tp2942 +a(g938 +V +p2943 +tp2944 +a(g899 +V\u000a +p2945 +tp2946 +a(g899 +g972 +tp2947 +a(g899 +Vendif +p2948 +tp2949 +a(g899 +V\u000a +p2950 +tp2951 +a(g899 +g972 +tp2952 +a(g899 +Vinclude +p2953 +tp2954 +a(g827 +g983 +tp2955 +a(g938 +V"pythread.h" +p2956 +tp2957 +a(g899 +V\u000a +p2958 +tp2959 +a(g827 +V\u000a +p2960 +tp2961 +a(g745 +Vstatic +p2962 +tp2963 +a(g827 +g983 +tp2964 +a(g436 +VPyThread_type_lock +p2965 +tp2966 +a(g827 +g983 +tp2967 +a(g436 +Vinterpreter_lock +p2968 +tp2969 +a(g827 +g983 +tp2970 +a(g413 +g1262 +tp2971 +a(g827 +g983 +tp2972 +a(g37 +g1269 +tp2973 +a(g706 +g1078 +tp2974 +a(g827 +g983 +tp2975 +a(g8 +V/* This is the GIL */ +p2976 +tp2977 +a(g827 +V\u000a +p2978 +tp2979 +a(g745 +Vstatic +p2980 +tp2981 +a(g827 +g983 +tp2982 +a(g749 +Vlong +p2983 +tp2984 +a(g827 +g983 +tp2985 +a(g436 +Vmain_thread +p2986 +tp2987 +a(g827 +g983 +tp2988 +a(g413 +g1262 +tp2989 +a(g827 +g983 +tp2990 +a(g37 +g1269 +tp2991 +a(g706 +g1078 +tp2992 +a(g827 +V\u000a +p2993 +tp2994 +a(g827 +V\u000a +p2995 +tp2996 +a(g749 +Vint +p2997 +tp2998 +a(g827 +V\u000a +p2999 +tp3000 +a(g574 +VPyEval_ThreadsInitialized +p3001 +tp3002 +a(g706 +g1109 +tp3003 +a(g749 +Vvoid +p3004 +tp3005 +a(g706 +g1118 +tp3006 +a(g827 +V\u000a +p3007 +tp3008 +a(g706 +g1122 +tp3009 +a(g827 +V\u000a +p3010 +tp3011 +a(g827 +g1126 +tp3012 +a(g745 +Vreturn +p3013 +tp3014 +a(g827 +g983 +tp3015 +a(g436 +Vinterpreter_lock +p3016 +tp3017 +a(g827 +g983 +tp3018 +a(g413 +g1260 +tp3019 +a(g413 +g1262 +tp3020 +a(g827 +g983 +tp3021 +a(g37 +g1269 +tp3022 +a(g706 +g1078 +tp3023 +a(g827 +V\u000a +p3024 +tp3025 +a(g706 +g1336 +tp3026 +a(g827 +V\u000a +p3027 +tp3028 +a(g827 +V\u000a +p3029 +tp3030 +a(g749 +Vvoid +p3031 +tp3032 +a(g827 +V\u000a +p3033 +tp3034 +a(g574 +VPyEval_InitThreads +p3035 +tp3036 +a(g706 +g1109 +tp3037 +a(g749 +Vvoid +p3038 +tp3039 +a(g706 +g1118 +tp3040 +a(g827 +V\u000a +p3041 +tp3042 +a(g706 +g1122 +tp3043 +a(g827 +V\u000a +p3044 +tp3045 +a(g827 +g1126 +tp3046 +a(g745 +Vif +p3047 +tp3048 +a(g827 +g983 +tp3049 +a(g706 +g1109 +tp3050 +a(g436 +Vinterpreter_lock +p3051 +tp3052 +a(g706 +g1118 +tp3053 +a(g827 +V\u000a +p3054 +tp3055 +a(g827 +V +p3056 +tp3057 +a(g745 +Vreturn +p3058 +tp3059 +a(g706 +g1078 +tp3060 +a(g827 +V\u000a +p3061 +tp3062 +a(g827 +g1126 +tp3063 +a(g436 +Vinterpreter_lock +p3064 +tp3065 +a(g827 +g983 +tp3066 +a(g413 +g1262 +tp3067 +a(g827 +g983 +tp3068 +a(g436 +VPyThread_allocate_lock +p3069 +tp3070 +a(g706 +g1109 +tp3071 +a(g706 +g1118 +tp3072 +a(g706 +g1078 +tp3073 +a(g827 +V\u000a +p3074 +tp3075 +a(g827 +g1126 +tp3076 +a(g436 +VPyThread_acquire_lock +p3077 +tp3078 +a(g706 +g1109 +tp3079 +a(g436 +Vinterpreter_lock +p3080 +tp3081 +a(g706 +g1139 +tp3082 +a(g827 +g983 +tp3083 +a(g37 +g1325 +tp3084 +a(g706 +g1118 +tp3085 +a(g706 +g1078 +tp3086 +a(g827 +V\u000a +p3087 +tp3088 +a(g827 +g1126 +tp3089 +a(g436 +Vmain_thread +p3090 +tp3091 +a(g827 +g983 +tp3092 +a(g413 +g1262 +tp3093 +a(g827 +g983 +tp3094 +a(g436 +VPyThread_get_thread_ident +p3095 +tp3096 +a(g706 +g1109 +tp3097 +a(g706 +g1118 +tp3098 +a(g706 +g1078 +tp3099 +a(g827 +V\u000a +p3100 +tp3101 +a(g706 +g1336 +tp3102 +a(g827 +V\u000a +p3103 +tp3104 +a(g827 +V\u000a +p3105 +tp3106 +a(g749 +Vvoid +p3107 +tp3108 +a(g827 +V\u000a +p3109 +tp3110 +a(g574 +VPyEval_AcquireLock +p3111 +tp3112 +a(g706 +g1109 +tp3113 +a(g749 +Vvoid +p3114 +tp3115 +a(g706 +g1118 +tp3116 +a(g827 +V\u000a +p3117 +tp3118 +a(g706 +g1122 +tp3119 +a(g827 +V\u000a +p3120 +tp3121 +a(g827 +g1126 +tp3122 +a(g436 +VPyThread_acquire_lock +p3123 +tp3124 +a(g706 +g1109 +tp3125 +a(g436 +Vinterpreter_lock +p3126 +tp3127 +a(g706 +g1139 +tp3128 +a(g827 +g983 +tp3129 +a(g37 +g1325 +tp3130 +a(g706 +g1118 +tp3131 +a(g706 +g1078 +tp3132 +a(g827 +V\u000a +p3133 +tp3134 +a(g706 +g1336 +tp3135 +a(g827 +V\u000a +p3136 +tp3137 +a(g827 +V\u000a +p3138 +tp3139 +a(g749 +Vvoid +p3140 +tp3141 +a(g827 +V\u000a +p3142 +tp3143 +a(g574 +VPyEval_ReleaseLock +p3144 +tp3145 +a(g706 +g1109 +tp3146 +a(g749 +Vvoid +p3147 +tp3148 +a(g706 +g1118 +tp3149 +a(g827 +V\u000a +p3150 +tp3151 +a(g706 +g1122 +tp3152 +a(g827 +V\u000a +p3153 +tp3154 +a(g827 +g1126 +tp3155 +a(g436 +VPyThread_release_lock +p3156 +tp3157 +a(g706 +g1109 +tp3158 +a(g436 +Vinterpreter_lock +p3159 +tp3160 +a(g706 +g1118 +tp3161 +a(g706 +g1078 +tp3162 +a(g827 +V\u000a +p3163 +tp3164 +a(g706 +g1336 +tp3165 +a(g827 +V\u000a +p3166 +tp3167 +a(g827 +V\u000a +p3168 +tp3169 +a(g749 +Vvoid +p3170 +tp3171 +a(g827 +V\u000a +p3172 +tp3173 +a(g574 +VPyEval_AcquireThread +p3174 +tp3175 +a(g706 +g1109 +tp3176 +a(g436 +VPyThreadState +p3177 +tp3178 +a(g827 +g983 +tp3179 +a(g413 +g1114 +tp3180 +a(g436 +Vtstate +p3181 +tp3182 +a(g706 +g1118 +tp3183 +a(g827 +V\u000a +p3184 +tp3185 +a(g706 +g1122 +tp3186 +a(g827 +V\u000a +p3187 +tp3188 +a(g827 +g1126 +tp3189 +a(g745 +Vif +p3190 +tp3191 +a(g827 +g983 +tp3192 +a(g706 +g1109 +tp3193 +a(g436 +Vtstate +p3194 +tp3195 +a(g827 +g983 +tp3196 +a(g413 +g1262 +tp3197 +a(g413 +g1262 +tp3198 +a(g827 +g983 +tp3199 +a(g682 +VNULL +p3200 +tp3201 +a(g706 +g1118 +tp3202 +a(g827 +V\u000a +p3203 +tp3204 +a(g827 +V +p3205 +tp3206 +a(g436 +VPy_FatalError +p3207 +tp3208 +a(g706 +g1109 +tp3209 +a(g89 +g1169 +tp3210 +a(g89 +VPyEval_AcquireThread: NULL new thread state +p3211 +tp3212 +a(g89 +g1169 +tp3213 +a(g706 +g1118 +tp3214 +a(g706 +g1078 +tp3215 +a(g827 +V\u000a +p3216 +tp3217 +a(g827 +g1126 +tp3218 +a(g8 +V/* Check someone has called PyEval_InitThreads() to create the lock */ +p3219 +tp3220 +a(g827 +V\u000a +p3221 +tp3222 +a(g827 +g1126 +tp3223 +a(g436 +Vassert +p3224 +tp3225 +a(g706 +g1109 +tp3226 +a(g436 +Vinterpreter_lock +p3227 +tp3228 +a(g706 +g1118 +tp3229 +a(g706 +g1078 +tp3230 +a(g827 +V\u000a +p3231 +tp3232 +a(g827 +g1126 +tp3233 +a(g436 +VPyThread_acquire_lock +p3234 +tp3235 +a(g706 +g1109 +tp3236 +a(g436 +Vinterpreter_lock +p3237 +tp3238 +a(g706 +g1139 +tp3239 +a(g827 +g983 +tp3240 +a(g37 +g1325 +tp3241 +a(g706 +g1118 +tp3242 +a(g706 +g1078 +tp3243 +a(g827 +V\u000a +p3244 +tp3245 +a(g827 +g1126 +tp3246 +a(g745 +Vif +p3247 +tp3248 +a(g827 +g983 +tp3249 +a(g706 +g1109 +tp3250 +a(g436 +VPyThreadState_Swap +p3251 +tp3252 +a(g706 +g1109 +tp3253 +a(g436 +Vtstate +p3254 +tp3255 +a(g706 +g1118 +tp3256 +a(g827 +g983 +tp3257 +a(g413 +g1260 +tp3258 +a(g413 +g1262 +tp3259 +a(g827 +g983 +tp3260 +a(g682 +VNULL +p3261 +tp3262 +a(g706 +g1118 +tp3263 +a(g827 +V\u000a +p3264 +tp3265 +a(g827 +V +p3266 +tp3267 +a(g436 +VPy_FatalError +p3268 +tp3269 +a(g706 +g1109 +tp3270 +a(g827 +V\u000a +p3271 +tp3272 +a(g827 +V +p3273 +tp3274 +a(g89 +g1169 +tp3275 +a(g89 +VPyEval_AcquireThread: non-NULL old thread state +p3276 +tp3277 +a(g89 +g1169 +tp3278 +a(g706 +g1118 +tp3279 +a(g706 +g1078 +tp3280 +a(g827 +V\u000a +p3281 +tp3282 +a(g706 +g1336 +tp3283 +a(g827 +V\u000a +p3284 +tp3285 +a(g827 +V\u000a +p3286 +tp3287 +a(g749 +Vvoid +p3288 +tp3289 +a(g827 +V\u000a +p3290 +tp3291 +a(g574 +VPyEval_ReleaseThread +p3292 +tp3293 +a(g706 +g1109 +tp3294 +a(g436 +VPyThreadState +p3295 +tp3296 +a(g827 +g983 +tp3297 +a(g413 +g1114 +tp3298 +a(g436 +Vtstate +p3299 +tp3300 +a(g706 +g1118 +tp3301 +a(g827 +V\u000a +p3302 +tp3303 +a(g706 +g1122 +tp3304 +a(g827 +V\u000a +p3305 +tp3306 +a(g827 +g1126 +tp3307 +a(g745 +Vif +p3308 +tp3309 +a(g827 +g983 +tp3310 +a(g706 +g1109 +tp3311 +a(g436 +Vtstate +p3312 +tp3313 +a(g827 +g983 +tp3314 +a(g413 +g1262 +tp3315 +a(g413 +g1262 +tp3316 +a(g827 +g983 +tp3317 +a(g682 +VNULL +p3318 +tp3319 +a(g706 +g1118 +tp3320 +a(g827 +V\u000a +p3321 +tp3322 +a(g827 +V +p3323 +tp3324 +a(g436 +VPy_FatalError +p3325 +tp3326 +a(g706 +g1109 +tp3327 +a(g89 +g1169 +tp3328 +a(g89 +VPyEval_ReleaseThread: NULL thread state +p3329 +tp3330 +a(g89 +g1169 +tp3331 +a(g706 +g1118 +tp3332 +a(g706 +g1078 +tp3333 +a(g827 +V\u000a +p3334 +tp3335 +a(g827 +g1126 +tp3336 +a(g745 +Vif +p3337 +tp3338 +a(g827 +g983 +tp3339 +a(g706 +g1109 +tp3340 +a(g436 +VPyThreadState_Swap +p3341 +tp3342 +a(g706 +g1109 +tp3343 +a(g682 +VNULL +p3344 +tp3345 +a(g706 +g1118 +tp3346 +a(g827 +g983 +tp3347 +a(g413 +g1260 +tp3348 +a(g413 +g1262 +tp3349 +a(g827 +g983 +tp3350 +a(g436 +Vtstate +p3351 +tp3352 +a(g706 +g1118 +tp3353 +a(g827 +V\u000a +p3354 +tp3355 +a(g827 +V +p3356 +tp3357 +a(g436 +VPy_FatalError +p3358 +tp3359 +a(g706 +g1109 +tp3360 +a(g89 +g1169 +tp3361 +a(g89 +VPyEval_ReleaseThread: wrong thread state +p3362 +tp3363 +a(g89 +g1169 +tp3364 +a(g706 +g1118 +tp3365 +a(g706 +g1078 +tp3366 +a(g827 +V\u000a +p3367 +tp3368 +a(g827 +g1126 +tp3369 +a(g436 +VPyThread_release_lock +p3370 +tp3371 +a(g706 +g1109 +tp3372 +a(g436 +Vinterpreter_lock +p3373 +tp3374 +a(g706 +g1118 +tp3375 +a(g706 +g1078 +tp3376 +a(g827 +V\u000a +p3377 +tp3378 +a(g706 +g1336 +tp3379 +a(g827 +V\u000a +p3380 +tp3381 +a(g827 +V\u000a +p3382 +tp3383 +a(g8 +V/* This function is called from PyOS_AfterFork to ensure that newly\u000a created child processes don't hold locks referring to threads which\u000a are not running in the child process. (This could also be done using\u000a pthread_atfork mechanism, at least for the pthreads implementation.) */ +p3384 +tp3385 +a(g827 +V\u000a +p3386 +tp3387 +a(g827 +V\u000a +p3388 +tp3389 +a(g749 +Vvoid +p3390 +tp3391 +a(g827 +V\u000a +p3392 +tp3393 +a(g574 +VPyEval_ReInitThreads +p3394 +tp3395 +a(g706 +g1109 +tp3396 +a(g749 +Vvoid +p3397 +tp3398 +a(g706 +g1118 +tp3399 +a(g827 +V\u000a +p3400 +tp3401 +a(g706 +g1122 +tp3402 +a(g827 +V\u000a +p3403 +tp3404 +a(g827 +g1126 +tp3405 +a(g745 +Vif +p3406 +tp3407 +a(g827 +g983 +tp3408 +a(g706 +g1109 +tp3409 +a(g413 +g1260 +tp3410 +a(g436 +Vinterpreter_lock +p3411 +tp3412 +a(g706 +g1118 +tp3413 +a(g827 +V\u000a +p3414 +tp3415 +a(g827 +V +p3416 +tp3417 +a(g745 +Vreturn +p3418 +tp3419 +a(g706 +g1078 +tp3420 +a(g827 +V\u000a +p3421 +tp3422 +a(g827 +g1126 +tp3423 +a(g8 +V/*XXX Can't use PyThread_free_lock here because it does too\u000a much error-checking. Doing this cleanly would require\u000a adding a new function to each thread_*.h. Instead, just\u000a create a new lock and waste a little bit of memory */ +p3424 +tp3425 +a(g827 +V\u000a +p3426 +tp3427 +a(g827 +g1126 +tp3428 +a(g436 +Vinterpreter_lock +p3429 +tp3430 +a(g827 +g983 +tp3431 +a(g413 +g1262 +tp3432 +a(g827 +g983 +tp3433 +a(g436 +VPyThread_allocate_lock +p3434 +tp3435 +a(g706 +g1109 +tp3436 +a(g706 +g1118 +tp3437 +a(g706 +g1078 +tp3438 +a(g827 +V\u000a +p3439 +tp3440 +a(g827 +g1126 +tp3441 +a(g436 +VPyThread_acquire_lock +p3442 +tp3443 +a(g706 +g1109 +tp3444 +a(g436 +Vinterpreter_lock +p3445 +tp3446 +a(g706 +g1139 +tp3447 +a(g827 +g983 +tp3448 +a(g37 +g1325 +tp3449 +a(g706 +g1118 +tp3450 +a(g706 +g1078 +tp3451 +a(g827 +V\u000a +p3452 +tp3453 +a(g827 +g1126 +tp3454 +a(g436 +Vmain_thread +p3455 +tp3456 +a(g827 +g983 +tp3457 +a(g413 +g1262 +tp3458 +a(g827 +g983 +tp3459 +a(g436 +VPyThread_get_thread_ident +p3460 +tp3461 +a(g706 +g1109 +tp3462 +a(g706 +g1118 +tp3463 +a(g706 +g1078 +tp3464 +a(g827 +V\u000a +p3465 +tp3466 +a(g706 +g1336 +tp3467 +a(g827 +V\u000a +p3468 +tp3469 +a(g899 +g972 +tp3470 +a(g899 +Vendif +p3471 +tp3472 +a(g899 +V\u000a +p3473 +tp3474 +a(g827 +V\u000a +p3475 +tp3476 +a(g8 +V/* Functions save_thread and restore_thread are always defined so\u000a dynamically loaded modules needn't be compiled separately for use\u000a with and without threads: */ +p3477 +tp3478 +a(g827 +V\u000a +p3479 +tp3480 +a(g827 +V\u000a +p3481 +tp3482 +a(g436 +VPyThreadState +p3483 +tp3484 +a(g827 +g983 +tp3485 +a(g413 +g1114 +tp3486 +a(g827 +V\u000a +p3487 +tp3488 +a(g574 +VPyEval_SaveThread +p3489 +tp3490 +a(g706 +g1109 +tp3491 +a(g749 +Vvoid +p3492 +tp3493 +a(g706 +g1118 +tp3494 +a(g827 +V\u000a +p3495 +tp3496 +a(g706 +g1122 +tp3497 +a(g827 +V\u000a +p3498 +tp3499 +a(g827 +g1126 +tp3500 +a(g436 +VPyThreadState +p3501 +tp3502 +a(g827 +g983 +tp3503 +a(g413 +g1114 +tp3504 +a(g436 +Vtstate +p3505 +tp3506 +a(g827 +g983 +tp3507 +a(g413 +g1262 +tp3508 +a(g827 +g983 +tp3509 +a(g436 +VPyThreadState_Swap +p3510 +tp3511 +a(g706 +g1109 +tp3512 +a(g682 +VNULL +p3513 +tp3514 +a(g706 +g1118 +tp3515 +a(g706 +g1078 +tp3516 +a(g827 +V\u000a +p3517 +tp3518 +a(g827 +g1126 +tp3519 +a(g745 +Vif +p3520 +tp3521 +a(g827 +g983 +tp3522 +a(g706 +g1109 +tp3523 +a(g436 +Vtstate +p3524 +tp3525 +a(g827 +g983 +tp3526 +a(g413 +g1262 +tp3527 +a(g413 +g1262 +tp3528 +a(g827 +g983 +tp3529 +a(g682 +VNULL +p3530 +tp3531 +a(g706 +g1118 +tp3532 +a(g827 +V\u000a +p3533 +tp3534 +a(g827 +V +p3535 +tp3536 +a(g436 +VPy_FatalError +p3537 +tp3538 +a(g706 +g1109 +tp3539 +a(g89 +g1169 +tp3540 +a(g89 +VPyEval_SaveThread: NULL tstate +p3541 +tp3542 +a(g89 +g1169 +tp3543 +a(g706 +g1118 +tp3544 +a(g706 +g1078 +tp3545 +a(g827 +V\u000a +p3546 +tp3547 +a(g899 +g972 +tp3548 +a(g899 +Vifdef WITH_THREAD +p3549 +tp3550 +a(g899 +V\u000a +p3551 +tp3552 +a(g827 +g1126 +tp3553 +a(g745 +Vif +p3554 +tp3555 +a(g827 +g983 +tp3556 +a(g706 +g1109 +tp3557 +a(g436 +Vinterpreter_lock +p3558 +tp3559 +a(g706 +g1118 +tp3560 +a(g827 +V\u000a +p3561 +tp3562 +a(g827 +V +p3563 +tp3564 +a(g436 +VPyThread_release_lock +p3565 +tp3566 +a(g706 +g1109 +tp3567 +a(g436 +Vinterpreter_lock +p3568 +tp3569 +a(g706 +g1118 +tp3570 +a(g706 +g1078 +tp3571 +a(g827 +V\u000a +p3572 +tp3573 +a(g899 +g972 +tp3574 +a(g899 +Vendif +p3575 +tp3576 +a(g899 +V\u000a +p3577 +tp3578 +a(g827 +g1126 +tp3579 +a(g745 +Vreturn +p3580 +tp3581 +a(g827 +g983 +tp3582 +a(g436 +Vtstate +p3583 +tp3584 +a(g706 +g1078 +tp3585 +a(g827 +V\u000a +p3586 +tp3587 +a(g706 +g1336 +tp3588 +a(g827 +V\u000a +p3589 +tp3590 +a(g827 +V\u000a +p3591 +tp3592 +a(g749 +Vvoid +p3593 +tp3594 +a(g827 +V\u000a +p3595 +tp3596 +a(g574 +VPyEval_RestoreThread +p3597 +tp3598 +a(g706 +g1109 +tp3599 +a(g436 +VPyThreadState +p3600 +tp3601 +a(g827 +g983 +tp3602 +a(g413 +g1114 +tp3603 +a(g436 +Vtstate +p3604 +tp3605 +a(g706 +g1118 +tp3606 +a(g827 +V\u000a +p3607 +tp3608 +a(g706 +g1122 +tp3609 +a(g827 +V\u000a +p3610 +tp3611 +a(g827 +g1126 +tp3612 +a(g745 +Vif +p3613 +tp3614 +a(g827 +g983 +tp3615 +a(g706 +g1109 +tp3616 +a(g436 +Vtstate +p3617 +tp3618 +a(g827 +g983 +tp3619 +a(g413 +g1262 +tp3620 +a(g413 +g1262 +tp3621 +a(g827 +g983 +tp3622 +a(g682 +VNULL +p3623 +tp3624 +a(g706 +g1118 +tp3625 +a(g827 +V\u000a +p3626 +tp3627 +a(g827 +V +p3628 +tp3629 +a(g436 +VPy_FatalError +p3630 +tp3631 +a(g706 +g1109 +tp3632 +a(g89 +g1169 +tp3633 +a(g89 +VPyEval_RestoreThread: NULL tstate +p3634 +tp3635 +a(g89 +g1169 +tp3636 +a(g706 +g1118 +tp3637 +a(g706 +g1078 +tp3638 +a(g827 +V\u000a +p3639 +tp3640 +a(g899 +g972 +tp3641 +a(g899 +Vifdef WITH_THREAD +p3642 +tp3643 +a(g899 +V\u000a +p3644 +tp3645 +a(g827 +g1126 +tp3646 +a(g745 +Vif +p3647 +tp3648 +a(g827 +g983 +tp3649 +a(g706 +g1109 +tp3650 +a(g436 +Vinterpreter_lock +p3651 +tp3652 +a(g706 +g1118 +tp3653 +a(g827 +g983 +tp3654 +a(g706 +g1122 +tp3655 +a(g827 +V\u000a +p3656 +tp3657 +a(g827 +V +p3658 +tp3659 +a(g749 +Vint +p3660 +tp3661 +a(g827 +g983 +tp3662 +a(g436 +Verr +p3663 +tp3664 +a(g827 +g983 +tp3665 +a(g413 +g1262 +tp3666 +a(g827 +g983 +tp3667 +a(g436 +Verrno +p3668 +tp3669 +a(g706 +g1078 +tp3670 +a(g827 +V\u000a +p3671 +tp3672 +a(g827 +V +p3673 +tp3674 +a(g436 +VPyThread_acquire_lock +p3675 +tp3676 +a(g706 +g1109 +tp3677 +a(g436 +Vinterpreter_lock +p3678 +tp3679 +a(g706 +g1139 +tp3680 +a(g827 +g983 +tp3681 +a(g37 +g1325 +tp3682 +a(g706 +g1118 +tp3683 +a(g706 +g1078 +tp3684 +a(g827 +V\u000a +p3685 +tp3686 +a(g827 +V +p3687 +tp3688 +a(g436 +Verrno +p3689 +tp3690 +a(g827 +g983 +tp3691 +a(g413 +g1262 +tp3692 +a(g827 +g983 +tp3693 +a(g436 +Verr +p3694 +tp3695 +a(g706 +g1078 +tp3696 +a(g827 +V\u000a +p3697 +tp3698 +a(g827 +g1126 +tp3699 +a(g706 +g1336 +tp3700 +a(g827 +V\u000a +p3701 +tp3702 +a(g899 +g972 +tp3703 +a(g899 +Vendif +p3704 +tp3705 +a(g899 +V\u000a +p3706 +tp3707 +a(g827 +g1126 +tp3708 +a(g436 +VPyThreadState_Swap +p3709 +tp3710 +a(g706 +g1109 +tp3711 +a(g436 +Vtstate +p3712 +tp3713 +a(g706 +g1118 +tp3714 +a(g706 +g1078 +tp3715 +a(g827 +V\u000a +p3716 +tp3717 +a(g706 +g1336 +tp3718 +a(g827 +V\u000a +p3719 +tp3720 +a(g827 +V\u000a +p3721 +tp3722 +a(g827 +V\u000a +p3723 +tp3724 +a(g8 +V/* Mechanism whereby asynchronously executing callbacks (e.g. UNIX\u000a signal handlers or Mac I/O completion routines) can schedule calls\u000a to a function to be called synchronously.\u000a The synchronous function is called with one void* argument.\u000a It should return 0 for success or -1 for failure -- failure should\u000a be accompanied by an exception.\u000a\u000a If registry succeeds, the registry function returns 0; if it fails\u000a (e.g. due to too many pending calls) it returns -1 (without setting\u000a an exception condition).\u000a\u000a Note that because registry may occur from within signal handlers,\u000a or other asynchronous events, calling malloc() is unsafe!\u000a\u000a#ifdef WITH_THREAD\u000a Any thread can schedule pending calls, but only the main thread\u000a will execute them.\u000a#endif\u000a\u000a XXX WARNING! ASYNCHRONOUSLY EXECUTING CODE!\u000a There are two possible race conditions:\u000a (1) nested asynchronous registry calls;\u000a (2) registry calls made while pending calls are being processed.\u000a While (1) is very unlikely, (2) is a real possibility.\u000a The current code is safe against (2), but not against (1).\u000a The safety against (2) is derived from the fact that only one\u000a thread (the main thread) ever takes things out of the queue.\u000a\u000a XXX Darn! With the advent of thread state, we should have an array\u000a of pending calls per thread in the thread state! Later...\u000a*/ +p3725 +tp3726 +a(g827 +V\u000a +p3727 +tp3728 +a(g827 +V\u000a +p3729 +tp3730 +a(g899 +g972 +tp3731 +a(g899 +Vdefine NPENDINGCALLS 32 +p3732 +tp3733 +a(g899 +V\u000a +p3734 +tp3735 +a(g745 +Vstatic +p3736 +tp3737 +a(g827 +g983 +tp3738 +a(g745 +Vstruct +p3739 +tp3740 +a(g827 +g983 +tp3741 +a(g706 +g1122 +tp3742 +a(g827 +V\u000a +p3743 +tp3744 +a(g827 +g1126 +tp3745 +a(g749 +Vint +p3746 +tp3747 +a(g827 +g983 +tp3748 +a(g706 +g1109 +tp3749 +a(g413 +g1114 +tp3750 +a(g436 +Vfunc +p3751 +tp3752 +a(g706 +g1118 +tp3753 +a(g706 +g1109 +tp3754 +a(g749 +Vvoid +p3755 +tp3756 +a(g827 +g983 +tp3757 +a(g413 +g1114 +tp3758 +a(g706 +g1118 +tp3759 +a(g706 +g1078 +tp3760 +a(g827 +V\u000a +p3761 +tp3762 +a(g827 +g1126 +tp3763 +a(g749 +Vvoid +p3764 +tp3765 +a(g827 +g983 +tp3766 +a(g413 +g1114 +tp3767 +a(g436 +Varg +p3768 +tp3769 +a(g706 +g1078 +tp3770 +a(g827 +V\u000a +p3771 +tp3772 +a(g706 +g1336 +tp3773 +a(g827 +g983 +tp3774 +a(g436 +Vpendingcalls +p3775 +tp3776 +a(g706 +g1300 +tp3777 +a(g436 +VNPENDINGCALLS +p3778 +tp3779 +a(g706 +g1303 +tp3780 +a(g706 +g1078 +tp3781 +a(g827 +V\u000a +p3782 +tp3783 +a(g745 +Vstatic +p3784 +tp3785 +a(g827 +g983 +tp3786 +a(g745 +Vvolatile +p3787 +tp3788 +a(g827 +g983 +tp3789 +a(g749 +Vint +p3790 +tp3791 +a(g827 +g983 +tp3792 +a(g436 +Vpendingfirst +p3793 +tp3794 +a(g827 +g983 +tp3795 +a(g413 +g1262 +tp3796 +a(g827 +g983 +tp3797 +a(g37 +g1269 +tp3798 +a(g706 +g1078 +tp3799 +a(g827 +V\u000a +p3800 +tp3801 +a(g745 +Vstatic +p3802 +tp3803 +a(g827 +g983 +tp3804 +a(g745 +Vvolatile +p3805 +tp3806 +a(g827 +g983 +tp3807 +a(g749 +Vint +p3808 +tp3809 +a(g827 +g983 +tp3810 +a(g436 +Vpendinglast +p3811 +tp3812 +a(g827 +g983 +tp3813 +a(g413 +g1262 +tp3814 +a(g827 +g983 +tp3815 +a(g37 +g1269 +tp3816 +a(g706 +g1078 +tp3817 +a(g827 +V\u000a +p3818 +tp3819 +a(g745 +Vstatic +p3820 +tp3821 +a(g827 +g983 +tp3822 +a(g745 +Vvolatile +p3823 +tp3824 +a(g827 +g983 +tp3825 +a(g749 +Vint +p3826 +tp3827 +a(g827 +g983 +tp3828 +a(g436 +Vthings_to_do +p3829 +tp3830 +a(g827 +g983 +tp3831 +a(g413 +g1262 +tp3832 +a(g827 +g983 +tp3833 +a(g37 +g1269 +tp3834 +a(g706 +g1078 +tp3835 +a(g827 +V\u000a +p3836 +tp3837 +a(g827 +V\u000a +p3838 +tp3839 +a(g749 +Vint +p3840 +tp3841 +a(g827 +V\u000a +p3842 +tp3843 +a(g574 +VPy_AddPendingCall +p3844 +tp3845 +a(g706 +g1109 +tp3846 +a(g749 +Vint +p3847 +tp3848 +a(g827 +g983 +tp3849 +a(g706 +g1109 +tp3850 +a(g413 +g1114 +tp3851 +a(g436 +Vfunc +p3852 +tp3853 +a(g706 +g1118 +tp3854 +a(g706 +g1109 +tp3855 +a(g749 +Vvoid +p3856 +tp3857 +a(g827 +g983 +tp3858 +a(g413 +g1114 +tp3859 +a(g706 +g1118 +tp3860 +a(g706 +g1139 +tp3861 +a(g827 +g983 +tp3862 +a(g749 +Vvoid +p3863 +tp3864 +a(g827 +g983 +tp3865 +a(g413 +g1114 +tp3866 +a(g436 +Varg +p3867 +tp3868 +a(g706 +g1118 +tp3869 +a(g827 +V\u000a +p3870 +tp3871 +a(g706 +g1122 +tp3872 +a(g827 +V\u000a +p3873 +tp3874 +a(g827 +g1126 +tp3875 +a(g745 +Vstatic +p3876 +tp3877 +a(g827 +g983 +tp3878 +a(g745 +Vvolatile +p3879 +tp3880 +a(g827 +g983 +tp3881 +a(g749 +Vint +p3882 +tp3883 +a(g827 +g983 +tp3884 +a(g436 +Vbusy +p3885 +tp3886 +a(g827 +g983 +tp3887 +a(g413 +g1262 +tp3888 +a(g827 +g983 +tp3889 +a(g37 +g1269 +tp3890 +a(g706 +g1078 +tp3891 +a(g827 +V\u000a +p3892 +tp3893 +a(g827 +g1126 +tp3894 +a(g749 +Vint +p3895 +tp3896 +a(g827 +g983 +tp3897 +a(g436 +Vi +p3898 +tp3899 +a(g706 +g1139 +tp3900 +a(g827 +g983 +tp3901 +a(g436 +Vj +p3902 +tp3903 +a(g706 +g1078 +tp3904 +a(g827 +V\u000a +p3905 +tp3906 +a(g827 +g1126 +tp3907 +a(g8 +V/* XXX Begin critical section */ +p3908 +tp3909 +a(g827 +V\u000a +p3910 +tp3911 +a(g827 +g1126 +tp3912 +a(g8 +V/* XXX If you want this to be safe against nested\u000a XXX asynchronous calls, you'll have to work harder! */ +p3913 +tp3914 +a(g827 +V\u000a +p3915 +tp3916 +a(g827 +g1126 +tp3917 +a(g745 +Vif +p3918 +tp3919 +a(g827 +g983 +tp3920 +a(g706 +g1109 +tp3921 +a(g436 +Vbusy +p3922 +tp3923 +a(g706 +g1118 +tp3924 +a(g827 +V\u000a +p3925 +tp3926 +a(g827 +V +p3927 +tp3928 +a(g745 +Vreturn +p3929 +tp3930 +a(g827 +g983 +tp3931 +a(g413 +g1480 +tp3932 +a(g37 +g1325 +tp3933 +a(g706 +g1078 +tp3934 +a(g827 +V\u000a +p3935 +tp3936 +a(g827 +g1126 +tp3937 +a(g436 +Vbusy +p3938 +tp3939 +a(g827 +g983 +tp3940 +a(g413 +g1262 +tp3941 +a(g827 +g983 +tp3942 +a(g37 +g1325 +tp3943 +a(g706 +g1078 +tp3944 +a(g827 +V\u000a +p3945 +tp3946 +a(g827 +g1126 +tp3947 +a(g436 +g3898 +tp3948 +a(g827 +g983 +tp3949 +a(g413 +g1262 +tp3950 +a(g827 +g983 +tp3951 +a(g436 +Vpendinglast +p3952 +tp3953 +a(g706 +g1078 +tp3954 +a(g827 +V\u000a +p3955 +tp3956 +a(g827 +g1126 +tp3957 +a(g436 +g3902 +tp3958 +a(g827 +g983 +tp3959 +a(g413 +g1262 +tp3960 +a(g827 +g983 +tp3961 +a(g706 +g1109 +tp3962 +a(g436 +g3898 +tp3963 +a(g827 +g983 +tp3964 +a(g413 +V+ +p3965 +tp3966 +a(g827 +g983 +tp3967 +a(g37 +g1325 +tp3968 +a(g706 +g1118 +tp3969 +a(g827 +g983 +tp3970 +a(g413 +V% +p3971 +tp3972 +a(g827 +g983 +tp3973 +a(g436 +VNPENDINGCALLS +p3974 +tp3975 +a(g706 +g1078 +tp3976 +a(g827 +V\u000a +p3977 +tp3978 +a(g827 +g1126 +tp3979 +a(g745 +Vif +p3980 +tp3981 +a(g827 +g983 +tp3982 +a(g706 +g1109 +tp3983 +a(g436 +g3902 +tp3984 +a(g827 +g983 +tp3985 +a(g413 +g1262 +tp3986 +a(g413 +g1262 +tp3987 +a(g827 +g983 +tp3988 +a(g436 +Vpendingfirst +p3989 +tp3990 +a(g706 +g1118 +tp3991 +a(g827 +g983 +tp3992 +a(g706 +g1122 +tp3993 +a(g827 +V\u000a +p3994 +tp3995 +a(g827 +V +p3996 +tp3997 +a(g436 +Vbusy +p3998 +tp3999 +a(g827 +g983 +tp4000 +a(g413 +g1262 +tp4001 +a(g827 +g983 +tp4002 +a(g37 +g1269 +tp4003 +a(g706 +g1078 +tp4004 +a(g827 +V\u000a +p4005 +tp4006 +a(g827 +V +p4007 +tp4008 +a(g745 +Vreturn +p4009 +tp4010 +a(g827 +g983 +tp4011 +a(g413 +g1480 +tp4012 +a(g37 +g1325 +tp4013 +a(g706 +g1078 +tp4014 +a(g827 +g983 +tp4015 +a(g8 +V/* Queue full */ +p4016 +tp4017 +a(g827 +V\u000a +p4018 +tp4019 +a(g827 +g1126 +tp4020 +a(g706 +g1336 +tp4021 +a(g827 +V\u000a +p4022 +tp4023 +a(g827 +g1126 +tp4024 +a(g436 +Vpendingcalls +p4025 +tp4026 +a(g706 +g1300 +tp4027 +a(g436 +g3898 +tp4028 +a(g706 +g1303 +tp4029 +a(g706 +V. +p4030 +tp4031 +a(g436 +Vfunc +p4032 +tp4033 +a(g827 +g983 +tp4034 +a(g413 +g1262 +tp4035 +a(g827 +g983 +tp4036 +a(g436 +Vfunc +p4037 +tp4038 +a(g706 +g1078 +tp4039 +a(g827 +V\u000a +p4040 +tp4041 +a(g827 +g1126 +tp4042 +a(g436 +Vpendingcalls +p4043 +tp4044 +a(g706 +g1300 +tp4045 +a(g436 +g3898 +tp4046 +a(g706 +g1303 +tp4047 +a(g706 +g4030 +tp4048 +a(g436 +Varg +p4049 +tp4050 +a(g827 +g983 +tp4051 +a(g413 +g1262 +tp4052 +a(g827 +g983 +tp4053 +a(g436 +Varg +p4054 +tp4055 +a(g706 +g1078 +tp4056 +a(g827 +V\u000a +p4057 +tp4058 +a(g827 +g1126 +tp4059 +a(g436 +Vpendinglast +p4060 +tp4061 +a(g827 +g983 +tp4062 +a(g413 +g1262 +tp4063 +a(g827 +g983 +tp4064 +a(g436 +g3902 +tp4065 +a(g706 +g1078 +tp4066 +a(g827 +V\u000a +p4067 +tp4068 +a(g827 +V\u000a +p4069 +tp4070 +a(g827 +g1126 +tp4071 +a(g436 +V_Py_Ticker +p4072 +tp4073 +a(g827 +g983 +tp4074 +a(g413 +g1262 +tp4075 +a(g827 +g983 +tp4076 +a(g37 +g1269 +tp4077 +a(g706 +g1078 +tp4078 +a(g827 +V\u000a +p4079 +tp4080 +a(g827 +g1126 +tp4081 +a(g436 +Vthings_to_do +p4082 +tp4083 +a(g827 +g983 +tp4084 +a(g413 +g1262 +tp4085 +a(g827 +g983 +tp4086 +a(g37 +g1325 +tp4087 +a(g706 +g1078 +tp4088 +a(g827 +g983 +tp4089 +a(g8 +V/* Signal main loop */ +p4090 +tp4091 +a(g827 +V\u000a +p4092 +tp4093 +a(g827 +g1126 +tp4094 +a(g436 +Vbusy +p4095 +tp4096 +a(g827 +g983 +tp4097 +a(g413 +g1262 +tp4098 +a(g827 +g983 +tp4099 +a(g37 +g1269 +tp4100 +a(g706 +g1078 +tp4101 +a(g827 +V\u000a +p4102 +tp4103 +a(g827 +g1126 +tp4104 +a(g8 +V/* XXX End critical section */ +p4105 +tp4106 +a(g827 +V\u000a +p4107 +tp4108 +a(g827 +g1126 +tp4109 +a(g745 +Vreturn +p4110 +tp4111 +a(g827 +g983 +tp4112 +a(g37 +g1269 +tp4113 +a(g706 +g1078 +tp4114 +a(g827 +V\u000a +p4115 +tp4116 +a(g706 +g1336 +tp4117 +a(g827 +V\u000a +p4118 +tp4119 +a(g827 +V\u000a +p4120 +tp4121 +a(g749 +Vint +p4122 +tp4123 +a(g827 +V\u000a +p4124 +tp4125 +a(g574 +VPy_MakePendingCalls +p4126 +tp4127 +a(g706 +g1109 +tp4128 +a(g749 +Vvoid +p4129 +tp4130 +a(g706 +g1118 +tp4131 +a(g827 +V\u000a +p4132 +tp4133 +a(g706 +g1122 +tp4134 +a(g827 +V\u000a +p4135 +tp4136 +a(g827 +g1126 +tp4137 +a(g745 +Vstatic +p4138 +tp4139 +a(g827 +g983 +tp4140 +a(g749 +Vint +p4141 +tp4142 +a(g827 +g983 +tp4143 +a(g436 +Vbusy +p4144 +tp4145 +a(g827 +g983 +tp4146 +a(g413 +g1262 +tp4147 +a(g827 +g983 +tp4148 +a(g37 +g1269 +tp4149 +a(g706 +g1078 +tp4150 +a(g827 +V\u000a +p4151 +tp4152 +a(g899 +g972 +tp4153 +a(g899 +Vifdef WITH_THREAD +p4154 +tp4155 +a(g899 +V\u000a +p4156 +tp4157 +a(g827 +g1126 +tp4158 +a(g745 +Vif +p4159 +tp4160 +a(g827 +g983 +tp4161 +a(g706 +g1109 +tp4162 +a(g436 +Vmain_thread +p4163 +tp4164 +a(g827 +g983 +tp4165 +a(g413 +V& +p4166 +tp4167 +a(g413 +g4166 +tp4168 +a(g827 +g983 +tp4169 +a(g436 +VPyThread_get_thread_ident +p4170 +tp4171 +a(g706 +g1109 +tp4172 +a(g706 +g1118 +tp4173 +a(g827 +g983 +tp4174 +a(g413 +g1260 +tp4175 +a(g413 +g1262 +tp4176 +a(g827 +g983 +tp4177 +a(g436 +Vmain_thread +p4178 +tp4179 +a(g706 +g1118 +tp4180 +a(g827 +V\u000a +p4181 +tp4182 +a(g827 +V +p4183 +tp4184 +a(g745 +Vreturn +p4185 +tp4186 +a(g827 +g983 +tp4187 +a(g37 +g1269 +tp4188 +a(g706 +g1078 +tp4189 +a(g827 +V\u000a +p4190 +tp4191 +a(g899 +g972 +tp4192 +a(g899 +Vendif +p4193 +tp4194 +a(g899 +V\u000a +p4195 +tp4196 +a(g827 +g1126 +tp4197 +a(g745 +Vif +p4198 +tp4199 +a(g827 +g983 +tp4200 +a(g706 +g1109 +tp4201 +a(g436 +Vbusy +p4202 +tp4203 +a(g706 +g1118 +tp4204 +a(g827 +V\u000a +p4205 +tp4206 +a(g827 +V +p4207 +tp4208 +a(g745 +Vreturn +p4209 +tp4210 +a(g827 +g983 +tp4211 +a(g37 +g1269 +tp4212 +a(g706 +g1078 +tp4213 +a(g827 +V\u000a +p4214 +tp4215 +a(g827 +g1126 +tp4216 +a(g436 +Vbusy +p4217 +tp4218 +a(g827 +g983 +tp4219 +a(g413 +g1262 +tp4220 +a(g827 +g983 +tp4221 +a(g37 +g1325 +tp4222 +a(g706 +g1078 +tp4223 +a(g827 +V\u000a +p4224 +tp4225 +a(g827 +g1126 +tp4226 +a(g436 +Vthings_to_do +p4227 +tp4228 +a(g827 +g983 +tp4229 +a(g413 +g1262 +tp4230 +a(g827 +g983 +tp4231 +a(g37 +g1269 +tp4232 +a(g706 +g1078 +tp4233 +a(g827 +V\u000a +p4234 +tp4235 +a(g827 +g1126 +tp4236 +a(g745 +Vfor +p4237 +tp4238 +a(g827 +g983 +tp4239 +a(g706 +g1109 +tp4240 +a(g706 +g1078 +tp4241 +a(g706 +g1078 +tp4242 +a(g706 +g1118 +tp4243 +a(g827 +g983 +tp4244 +a(g706 +g1122 +tp4245 +a(g827 +V\u000a +p4246 +tp4247 +a(g827 +V +p4248 +tp4249 +a(g749 +Vint +p4250 +tp4251 +a(g827 +g983 +tp4252 +a(g436 +g3898 +tp4253 +a(g706 +g1078 +tp4254 +a(g827 +V\u000a +p4255 +tp4256 +a(g827 +V +p4257 +tp4258 +a(g749 +Vint +p4259 +tp4260 +a(g827 +g983 +tp4261 +a(g706 +g1109 +tp4262 +a(g413 +g1114 +tp4263 +a(g436 +Vfunc +p4264 +tp4265 +a(g706 +g1118 +tp4266 +a(g706 +g1109 +tp4267 +a(g749 +Vvoid +p4268 +tp4269 +a(g827 +g983 +tp4270 +a(g413 +g1114 +tp4271 +a(g706 +g1118 +tp4272 +a(g706 +g1078 +tp4273 +a(g827 +V\u000a +p4274 +tp4275 +a(g827 +V +p4276 +tp4277 +a(g749 +Vvoid +p4278 +tp4279 +a(g827 +g983 +tp4280 +a(g413 +g1114 +tp4281 +a(g436 +Varg +p4282 +tp4283 +a(g706 +g1078 +tp4284 +a(g827 +V\u000a +p4285 +tp4286 +a(g827 +V +p4287 +tp4288 +a(g436 +g3898 +tp4289 +a(g827 +g983 +tp4290 +a(g413 +g1262 +tp4291 +a(g827 +g983 +tp4292 +a(g436 +Vpendingfirst +p4293 +tp4294 +a(g706 +g1078 +tp4295 +a(g827 +V\u000a +p4296 +tp4297 +a(g827 +V +p4298 +tp4299 +a(g745 +Vif +p4300 +tp4301 +a(g827 +g983 +tp4302 +a(g706 +g1109 +tp4303 +a(g436 +g3898 +tp4304 +a(g827 +g983 +tp4305 +a(g413 +g1262 +tp4306 +a(g413 +g1262 +tp4307 +a(g827 +g983 +tp4308 +a(g436 +Vpendinglast +p4309 +tp4310 +a(g706 +g1118 +tp4311 +a(g827 +V\u000a +p4312 +tp4313 +a(g827 +V +p4314 +tp4315 +a(g745 +Vbreak +p4316 +tp4317 +a(g706 +g1078 +tp4318 +a(g827 +g983 +tp4319 +a(g8 +V/* Queue empty */ +p4320 +tp4321 +a(g827 +V\u000a +p4322 +tp4323 +a(g827 +V +p4324 +tp4325 +a(g436 +Vfunc +p4326 +tp4327 +a(g827 +g983 +tp4328 +a(g413 +g1262 +tp4329 +a(g827 +g983 +tp4330 +a(g436 +Vpendingcalls +p4331 +tp4332 +a(g706 +g1300 +tp4333 +a(g436 +g3898 +tp4334 +a(g706 +g1303 +tp4335 +a(g706 +g4030 +tp4336 +a(g436 +Vfunc +p4337 +tp4338 +a(g706 +g1078 +tp4339 +a(g827 +V\u000a +p4340 +tp4341 +a(g827 +V +p4342 +tp4343 +a(g436 +Varg +p4344 +tp4345 +a(g827 +g983 +tp4346 +a(g413 +g1262 +tp4347 +a(g827 +g983 +tp4348 +a(g436 +Vpendingcalls +p4349 +tp4350 +a(g706 +g1300 +tp4351 +a(g436 +g3898 +tp4352 +a(g706 +g1303 +tp4353 +a(g706 +g4030 +tp4354 +a(g436 +Varg +p4355 +tp4356 +a(g706 +g1078 +tp4357 +a(g827 +V\u000a +p4358 +tp4359 +a(g827 +V +p4360 +tp4361 +a(g436 +Vpendingfirst +p4362 +tp4363 +a(g827 +g983 +tp4364 +a(g413 +g1262 +tp4365 +a(g827 +g983 +tp4366 +a(g706 +g1109 +tp4367 +a(g436 +g3898 +tp4368 +a(g827 +g983 +tp4369 +a(g413 +g3965 +tp4370 +a(g827 +g983 +tp4371 +a(g37 +g1325 +tp4372 +a(g706 +g1118 +tp4373 +a(g827 +g983 +tp4374 +a(g413 +g3971 +tp4375 +a(g827 +g983 +tp4376 +a(g436 +VNPENDINGCALLS +p4377 +tp4378 +a(g706 +g1078 +tp4379 +a(g827 +V\u000a +p4380 +tp4381 +a(g827 +V +p4382 +tp4383 +a(g745 +Vif +p4384 +tp4385 +a(g827 +g983 +tp4386 +a(g706 +g1109 +tp4387 +a(g436 +Vfunc +p4388 +tp4389 +a(g706 +g1109 +tp4390 +a(g436 +Varg +p4391 +tp4392 +a(g706 +g1118 +tp4393 +a(g827 +g983 +tp4394 +a(g413 +V< +p4395 +tp4396 +a(g827 +g983 +tp4397 +a(g37 +g1269 +tp4398 +a(g706 +g1118 +tp4399 +a(g827 +g983 +tp4400 +a(g706 +g1122 +tp4401 +a(g827 +V\u000a +p4402 +tp4403 +a(g827 +V +p4404 +tp4405 +a(g436 +Vbusy +p4406 +tp4407 +a(g827 +g983 +tp4408 +a(g413 +g1262 +tp4409 +a(g827 +g983 +tp4410 +a(g37 +g1269 +tp4411 +a(g706 +g1078 +tp4412 +a(g827 +V\u000a +p4413 +tp4414 +a(g827 +V +p4415 +tp4416 +a(g436 +Vthings_to_do +p4417 +tp4418 +a(g827 +g983 +tp4419 +a(g413 +g1262 +tp4420 +a(g827 +g983 +tp4421 +a(g37 +g1325 +tp4422 +a(g706 +g1078 +tp4423 +a(g827 +g983 +tp4424 +a(g8 +V/* We're not done yet */ +p4425 +tp4426 +a(g827 +V\u000a +p4427 +tp4428 +a(g827 +V +p4429 +tp4430 +a(g745 +Vreturn +p4431 +tp4432 +a(g827 +g983 +tp4433 +a(g413 +g1480 +tp4434 +a(g37 +g1325 +tp4435 +a(g706 +g1078 +tp4436 +a(g827 +V\u000a +p4437 +tp4438 +a(g827 +V +p4439 +tp4440 +a(g706 +g1336 +tp4441 +a(g827 +V\u000a +p4442 +tp4443 +a(g827 +g1126 +tp4444 +a(g706 +g1336 +tp4445 +a(g827 +V\u000a +p4446 +tp4447 +a(g827 +g1126 +tp4448 +a(g436 +Vbusy +p4449 +tp4450 +a(g827 +g983 +tp4451 +a(g413 +g1262 +tp4452 +a(g827 +g983 +tp4453 +a(g37 +g1269 +tp4454 +a(g706 +g1078 +tp4455 +a(g827 +V\u000a +p4456 +tp4457 +a(g827 +g1126 +tp4458 +a(g745 +Vreturn +p4459 +tp4460 +a(g827 +g983 +tp4461 +a(g37 +g1269 +tp4462 +a(g706 +g1078 +tp4463 +a(g827 +V\u000a +p4464 +tp4465 +a(g706 +g1336 +tp4466 +a(g827 +V\u000a +p4467 +tp4468 +a(g827 +V\u000a +p4469 +tp4470 +a(g827 +V\u000a +p4471 +tp4472 +a(g8 +V/* The interpreter's recursion limit */ +p4473 +tp4474 +a(g827 +V\u000a +p4475 +tp4476 +a(g827 +V\u000a +p4477 +tp4478 +a(g899 +g972 +tp4479 +a(g899 +Vifndef Py_DEFAULT_RECURSION_LIMIT +p4480 +tp4481 +a(g899 +V\u000a +p4482 +tp4483 +a(g899 +g972 +tp4484 +a(g899 +Vdefine Py_DEFAULT_RECURSION_LIMIT 1000 +p4485 +tp4486 +a(g899 +V\u000a +p4487 +tp4488 +a(g899 +g972 +tp4489 +a(g899 +Vendif +p4490 +tp4491 +a(g899 +V\u000a +p4492 +tp4493 +a(g745 +Vstatic +p4494 +tp4495 +a(g827 +g983 +tp4496 +a(g749 +Vint +p4497 +tp4498 +a(g827 +g983 +tp4499 +a(g436 +Vrecursion_limit +p4500 +tp4501 +a(g827 +g983 +tp4502 +a(g413 +g1262 +tp4503 +a(g827 +g983 +tp4504 +a(g436 +VPy_DEFAULT_RECURSION_LIMIT +p4505 +tp4506 +a(g706 +g1078 +tp4507 +a(g827 +V\u000a +p4508 +tp4509 +a(g749 +Vint +p4510 +tp4511 +a(g827 +g983 +tp4512 +a(g436 +V_Py_CheckRecursionLimit +p4513 +tp4514 +a(g827 +g983 +tp4515 +a(g413 +g1262 +tp4516 +a(g827 +g983 +tp4517 +a(g436 +VPy_DEFAULT_RECURSION_LIMIT +p4518 +tp4519 +a(g706 +g1078 +tp4520 +a(g827 +V\u000a +p4521 +tp4522 +a(g827 +V\u000a +p4523 +tp4524 +a(g749 +Vint +p4525 +tp4526 +a(g827 +V\u000a +p4527 +tp4528 +a(g574 +VPy_GetRecursionLimit +p4529 +tp4530 +a(g706 +g1109 +tp4531 +a(g749 +Vvoid +p4532 +tp4533 +a(g706 +g1118 +tp4534 +a(g827 +V\u000a +p4535 +tp4536 +a(g706 +g1122 +tp4537 +a(g827 +V\u000a +p4538 +tp4539 +a(g827 +g1126 +tp4540 +a(g745 +Vreturn +p4541 +tp4542 +a(g827 +g983 +tp4543 +a(g436 +Vrecursion_limit +p4544 +tp4545 +a(g706 +g1078 +tp4546 +a(g827 +V\u000a +p4547 +tp4548 +a(g706 +g1336 +tp4549 +a(g827 +V\u000a +p4550 +tp4551 +a(g827 +V\u000a +p4552 +tp4553 +a(g749 +Vvoid +p4554 +tp4555 +a(g827 +V\u000a +p4556 +tp4557 +a(g574 +VPy_SetRecursionLimit +p4558 +tp4559 +a(g706 +g1109 +tp4560 +a(g749 +Vint +p4561 +tp4562 +a(g827 +g983 +tp4563 +a(g436 +Vnew_limit +p4564 +tp4565 +a(g706 +g1118 +tp4566 +a(g827 +V\u000a +p4567 +tp4568 +a(g706 +g1122 +tp4569 +a(g827 +V\u000a +p4570 +tp4571 +a(g827 +g1126 +tp4572 +a(g436 +Vrecursion_limit +p4573 +tp4574 +a(g827 +g983 +tp4575 +a(g413 +g1262 +tp4576 +a(g827 +g983 +tp4577 +a(g436 +Vnew_limit +p4578 +tp4579 +a(g706 +g1078 +tp4580 +a(g827 +V\u000a +p4581 +tp4582 +a(g827 +V +p4583 +tp4584 +a(g436 +V_Py_CheckRecursionLimit +p4585 +tp4586 +a(g827 +g983 +tp4587 +a(g413 +g1262 +tp4588 +a(g827 +g983 +tp4589 +a(g436 +Vrecursion_limit +p4590 +tp4591 +a(g706 +g1078 +tp4592 +a(g827 +V\u000a +p4593 +tp4594 +a(g706 +g1336 +tp4595 +a(g827 +V\u000a +p4596 +tp4597 +a(g827 +V\u000a +p4598 +tp4599 +a(g8 +V/* the macro Py_EnterRecursiveCall() only calls _Py_CheckRecursiveCall()\u000a if the recursion_depth reaches _Py_CheckRecursionLimit.\u000a If USE_STACKCHECK, the macro decrements _Py_CheckRecursionLimit\u000a to guarantee that _Py_CheckRecursiveCall() is regularly called.\u000a Without USE_STACKCHECK, there is no need for this. */ +p4600 +tp4601 +a(g827 +V\u000a +p4602 +tp4603 +a(g749 +Vint +p4604 +tp4605 +a(g827 +V\u000a +p4606 +tp4607 +a(g574 +V_Py_CheckRecursiveCall +p4608 +tp4609 +a(g706 +g1109 +tp4610 +a(g749 +Vchar +p4611 +tp4612 +a(g827 +g983 +tp4613 +a(g413 +g1114 +tp4614 +a(g436 +Vwhere +p4615 +tp4616 +a(g706 +g1118 +tp4617 +a(g827 +V\u000a +p4618 +tp4619 +a(g706 +g1122 +tp4620 +a(g827 +V\u000a +p4621 +tp4622 +a(g827 +g1126 +tp4623 +a(g436 +VPyThreadState +p4624 +tp4625 +a(g827 +g983 +tp4626 +a(g413 +g1114 +tp4627 +a(g436 +Vtstate +p4628 +tp4629 +a(g827 +g983 +tp4630 +a(g413 +g1262 +tp4631 +a(g827 +g983 +tp4632 +a(g436 +VPyThreadState_GET +p4633 +tp4634 +a(g706 +g1109 +tp4635 +a(g706 +g1118 +tp4636 +a(g706 +g1078 +tp4637 +a(g827 +V\u000a +p4638 +tp4639 +a(g827 +V\u000a +p4640 +tp4641 +a(g899 +g972 +tp4642 +a(g899 +Vifdef USE_STACKCHECK +p4643 +tp4644 +a(g899 +V\u000a +p4645 +tp4646 +a(g827 +g1126 +tp4647 +a(g745 +Vif +p4648 +tp4649 +a(g827 +g983 +tp4650 +a(g706 +g1109 +tp4651 +a(g436 +VPyOS_CheckStack +p4652 +tp4653 +a(g706 +g1109 +tp4654 +a(g706 +g1118 +tp4655 +a(g706 +g1118 +tp4656 +a(g827 +g983 +tp4657 +a(g706 +g1122 +tp4658 +a(g827 +V\u000a +p4659 +tp4660 +a(g827 +V +p4661 +tp4662 +a(g413 +g1480 +tp4663 +a(g413 +g1480 +tp4664 +a(g436 +Vtstate +p4665 +tp4666 +a(g413 +g1480 +tp4667 +a(g413 +g1482 +tp4668 +a(g436 +Vrecursion_depth +p4669 +tp4670 +a(g706 +g1078 +tp4671 +a(g827 +V\u000a +p4672 +tp4673 +a(g827 +V +p4674 +tp4675 +a(g436 +VPyErr_SetString +p4676 +tp4677 +a(g706 +g1109 +tp4678 +a(g436 +VPyExc_MemoryError +p4679 +tp4680 +a(g706 +g1139 +tp4681 +a(g827 +g983 +tp4682 +a(g89 +g1169 +tp4683 +a(g89 +VStack overflow +p4684 +tp4685 +a(g89 +g1169 +tp4686 +a(g706 +g1118 +tp4687 +a(g706 +g1078 +tp4688 +a(g827 +V\u000a +p4689 +tp4690 +a(g827 +V +p4691 +tp4692 +a(g745 +Vreturn +p4693 +tp4694 +a(g827 +g983 +tp4695 +a(g413 +g1480 +tp4696 +a(g37 +g1325 +tp4697 +a(g706 +g1078 +tp4698 +a(g827 +V\u000a +p4699 +tp4700 +a(g827 +g1126 +tp4701 +a(g706 +g1336 +tp4702 +a(g827 +V\u000a +p4703 +tp4704 +a(g899 +g972 +tp4705 +a(g899 +Vendif +p4706 +tp4707 +a(g899 +V\u000a +p4708 +tp4709 +a(g827 +g1126 +tp4710 +a(g745 +Vif +p4711 +tp4712 +a(g827 +g983 +tp4713 +a(g706 +g1109 +tp4714 +a(g436 +Vtstate +p4715 +tp4716 +a(g413 +g1480 +tp4717 +a(g413 +g1482 +tp4718 +a(g436 +Vrecursion_depth +p4719 +tp4720 +a(g827 +g983 +tp4721 +a(g413 +g1482 +tp4722 +a(g827 +g983 +tp4723 +a(g436 +Vrecursion_limit +p4724 +tp4725 +a(g706 +g1118 +tp4726 +a(g827 +g983 +tp4727 +a(g706 +g1122 +tp4728 +a(g827 +V\u000a +p4729 +tp4730 +a(g827 +V +p4731 +tp4732 +a(g413 +g1480 +tp4733 +a(g413 +g1480 +tp4734 +a(g436 +Vtstate +p4735 +tp4736 +a(g413 +g1480 +tp4737 +a(g413 +g1482 +tp4738 +a(g436 +Vrecursion_depth +p4739 +tp4740 +a(g706 +g1078 +tp4741 +a(g827 +V\u000a +p4742 +tp4743 +a(g827 +V +p4744 +tp4745 +a(g436 +VPyErr_Format +p4746 +tp4747 +a(g706 +g1109 +tp4748 +a(g436 +VPyExc_RuntimeError +p4749 +tp4750 +a(g706 +g1139 +tp4751 +a(g827 +V\u000a +p4752 +tp4753 +a(g827 +V +p4754 +tp4755 +a(g89 +g1169 +tp4756 +a(g89 +Vmaximum recursion depth exceeded%s +p4757 +tp4758 +a(g89 +g1169 +tp4759 +a(g706 +g1139 +tp4760 +a(g827 +V\u000a +p4761 +tp4762 +a(g827 +V +p4763 +tp4764 +a(g436 +Vwhere +p4765 +tp4766 +a(g706 +g1118 +tp4767 +a(g706 +g1078 +tp4768 +a(g827 +V\u000a +p4769 +tp4770 +a(g827 +V +p4771 +tp4772 +a(g745 +Vreturn +p4773 +tp4774 +a(g827 +g983 +tp4775 +a(g413 +g1480 +tp4776 +a(g37 +g1325 +tp4777 +a(g706 +g1078 +tp4778 +a(g827 +V\u000a +p4779 +tp4780 +a(g827 +g1126 +tp4781 +a(g706 +g1336 +tp4782 +a(g827 +V\u000a +p4783 +tp4784 +a(g827 +V +p4785 +tp4786 +a(g436 +V_Py_CheckRecursionLimit +p4787 +tp4788 +a(g827 +g983 +tp4789 +a(g413 +g1262 +tp4790 +a(g827 +g983 +tp4791 +a(g436 +Vrecursion_limit +p4792 +tp4793 +a(g706 +g1078 +tp4794 +a(g827 +V\u000a +p4795 +tp4796 +a(g827 +g1126 +tp4797 +a(g745 +Vreturn +p4798 +tp4799 +a(g827 +g983 +tp4800 +a(g37 +g1269 +tp4801 +a(g706 +g1078 +tp4802 +a(g827 +V\u000a +p4803 +tp4804 +a(g706 +g1336 +tp4805 +a(g827 +V\u000a +p4806 +tp4807 +a(g827 +V\u000a +p4808 +tp4809 +a(g8 +V/* Status code for main loop (reason for stack unwind) */ +p4810 +tp4811 +a(g827 +V\u000a +p4812 +tp4813 +a(g745 +Venum +p4814 +tp4815 +a(g827 +g983 +tp4816 +a(g436 +Vwhy_code +p4817 +tp4818 +a(g827 +g983 +tp4819 +a(g706 +g1122 +tp4820 +a(g827 +V\u000a +p4821 +tp4822 +a(g827 +V +p4823 +tp4824 +a(g436 +VWHY_NOT +p4825 +tp4826 +a(g827 +g983 +tp4827 +a(g413 +g1262 +tp4828 +a(g827 +g1126 +tp4829 +a(g298 +V0x0001 +p4830 +tp4831 +a(g706 +g1139 +tp4832 +a(g827 +g1126 +tp4833 +a(g8 +V/* No error */ +p4834 +tp4835 +a(g827 +V\u000a +p4836 +tp4837 +a(g827 +V +p4838 +tp4839 +a(g436 +VWHY_EXCEPTION +p4840 +tp4841 +a(g827 +g983 +tp4842 +a(g413 +g1262 +tp4843 +a(g827 +g983 +tp4844 +a(g298 +V0x0002 +p4845 +tp4846 +a(g706 +g1139 +tp4847 +a(g827 +g1126 +tp4848 +a(g8 +V/* Exception occurred */ +p4849 +tp4850 +a(g827 +V\u000a +p4851 +tp4852 +a(g827 +V +p4853 +tp4854 +a(g436 +VWHY_RERAISE +p4855 +tp4856 +a(g827 +g983 +tp4857 +a(g413 +g1262 +tp4858 +a(g827 +g1126 +tp4859 +a(g298 +V0x0004 +p4860 +tp4861 +a(g706 +g1139 +tp4862 +a(g827 +g1126 +tp4863 +a(g8 +V/* Exception re-raised by 'finally' */ +p4864 +tp4865 +a(g827 +V\u000a +p4866 +tp4867 +a(g827 +V +p4868 +tp4869 +a(g436 +VWHY_RETURN +p4870 +tp4871 +a(g827 +g983 +tp4872 +a(g413 +g1262 +tp4873 +a(g827 +g1126 +tp4874 +a(g298 +V0x0008 +p4875 +tp4876 +a(g706 +g1139 +tp4877 +a(g827 +g1126 +tp4878 +a(g8 +V/* 'return' statement */ +p4879 +tp4880 +a(g827 +V\u000a +p4881 +tp4882 +a(g827 +V +p4883 +tp4884 +a(g436 +VWHY_BREAK +p4885 +tp4886 +a(g827 +g983 +tp4887 +a(g413 +g1262 +tp4888 +a(g827 +g1126 +tp4889 +a(g298 +V0x0010 +p4890 +tp4891 +a(g706 +g1139 +tp4892 +a(g827 +g1126 +tp4893 +a(g8 +V/* 'break' statement */ +p4894 +tp4895 +a(g827 +V\u000a +p4896 +tp4897 +a(g827 +V +p4898 +tp4899 +a(g436 +VWHY_CONTINUE +p4900 +tp4901 +a(g827 +g983 +tp4902 +a(g413 +g1262 +tp4903 +a(g827 +g1126 +tp4904 +a(g298 +V0x0020 +p4905 +tp4906 +a(g706 +g1139 +tp4907 +a(g827 +g1126 +tp4908 +a(g8 +V/* 'continue' statement */ +p4909 +tp4910 +a(g827 +V\u000a +p4911 +tp4912 +a(g827 +V +p4913 +tp4914 +a(g436 +VWHY_YIELD +p4915 +tp4916 +a(g827 +g983 +tp4917 +a(g413 +g1262 +tp4918 +a(g827 +g1126 +tp4919 +a(g298 +V0x0040 +p4920 +tp4921 +a(g827 +g1126 +tp4922 +a(g8 +V/* 'yield' operator */ +p4923 +tp4924 +a(g827 +V\u000a +p4925 +tp4926 +a(g706 +g1336 +tp4927 +a(g706 +g1078 +tp4928 +a(g827 +V\u000a +p4929 +tp4930 +a(g827 +V\u000a +p4931 +tp4932 +a(g745 +Vstatic +p4933 +tp4934 +a(g827 +g983 +tp4935 +a(g745 +Venum +p4936 +tp4937 +a(g827 +g983 +tp4938 +a(g436 +Vwhy_code +p4939 +tp4940 +a(g827 +g983 +tp4941 +a(g574 +Vdo_raise +p4942 +tp4943 +a(g706 +g1109 +tp4944 +a(g436 +VPyObject +p4945 +tp4946 +a(g827 +g983 +tp4947 +a(g413 +g1114 +tp4948 +a(g706 +g1139 +tp4949 +a(g827 +g983 +tp4950 +a(g436 +VPyObject +p4951 +tp4952 +a(g827 +g983 +tp4953 +a(g413 +g1114 +tp4954 +a(g706 +g1139 +tp4955 +a(g827 +g983 +tp4956 +a(g436 +VPyObject +p4957 +tp4958 +a(g827 +g983 +tp4959 +a(g413 +g1114 +tp4960 +a(g706 +g1118 +tp4961 +a(g706 +g1078 +tp4962 +a(g827 +V\u000a +p4963 +tp4964 +a(g745 +Vstatic +p4965 +tp4966 +a(g827 +g983 +tp4967 +a(g749 +Vint +p4968 +tp4969 +a(g827 +g983 +tp4970 +a(g574 +Vunpack_iterable +p4971 +tp4972 +a(g706 +g1109 +tp4973 +a(g436 +VPyObject +p4974 +tp4975 +a(g827 +g983 +tp4976 +a(g413 +g1114 +tp4977 +a(g706 +g1139 +tp4978 +a(g827 +g983 +tp4979 +a(g749 +Vint +p4980 +tp4981 +a(g706 +g1139 +tp4982 +a(g827 +g983 +tp4983 +a(g436 +VPyObject +p4984 +tp4985 +a(g827 +g983 +tp4986 +a(g413 +g1114 +tp4987 +a(g413 +g1114 +tp4988 +a(g706 +g1118 +tp4989 +a(g706 +g1078 +tp4990 +a(g827 +V\u000a +p4991 +tp4992 +a(g827 +V\u000a +p4993 +tp4994 +a(g8 +V/* for manipulating the thread switch and periodic "stuff" - used to be\u000a per thread, now just a pair o' globals */ +p4995 +tp4996 +a(g827 +V\u000a +p4997 +tp4998 +a(g749 +Vint +p4999 +tp5000 +a(g827 +g983 +tp5001 +a(g436 +V_Py_CheckInterval +p5002 +tp5003 +a(g827 +g983 +tp5004 +a(g413 +g1262 +tp5005 +a(g827 +g983 +tp5006 +a(g37 +V100 +p5007 +tp5008 +a(g706 +g1078 +tp5009 +a(g827 +V\u000a +p5010 +tp5011 +a(g745 +Vvolatile +p5012 +tp5013 +a(g827 +g983 +tp5014 +a(g749 +Vint +p5015 +tp5016 +a(g827 +g983 +tp5017 +a(g436 +V_Py_Ticker +p5018 +tp5019 +a(g827 +g983 +tp5020 +a(g413 +g1262 +tp5021 +a(g827 +g983 +tp5022 +a(g37 +V100 +p5023 +tp5024 +a(g706 +g1078 +tp5025 +a(g827 +V\u000a +p5026 +tp5027 +a(g827 +V\u000a +p5028 +tp5029 +a(g436 +VPyObject +p5030 +tp5031 +a(g827 +g983 +tp5032 +a(g413 +g1114 +tp5033 +a(g827 +V\u000a +p5034 +tp5035 +a(g574 +VPyEval_EvalCode +p5036 +tp5037 +a(g706 +g1109 +tp5038 +a(g436 +VPyCodeObject +p5039 +tp5040 +a(g827 +g983 +tp5041 +a(g413 +g1114 +tp5042 +a(g436 +Vco +p5043 +tp5044 +a(g706 +g1139 +tp5045 +a(g827 +g983 +tp5046 +a(g436 +VPyObject +p5047 +tp5048 +a(g827 +g983 +tp5049 +a(g413 +g1114 +tp5050 +a(g436 +Vglobals +p5051 +tp5052 +a(g706 +g1139 +tp5053 +a(g827 +g983 +tp5054 +a(g436 +VPyObject +p5055 +tp5056 +a(g827 +g983 +tp5057 +a(g413 +g1114 +tp5058 +a(g436 +Vlocals +p5059 +tp5060 +a(g706 +g1118 +tp5061 +a(g827 +V\u000a +p5062 +tp5063 +a(g706 +g1122 +tp5064 +a(g827 +V\u000a +p5065 +tp5066 +a(g827 +g1126 +tp5067 +a(g8 +V/* XXX raise SystemError if globals is NULL */ +p5068 +tp5069 +a(g827 +V\u000a +p5070 +tp5071 +a(g827 +g1126 +tp5072 +a(g745 +Vreturn +p5073 +tp5074 +a(g827 +g983 +tp5075 +a(g436 +VPyEval_EvalCodeEx +p5076 +tp5077 +a(g706 +g1109 +tp5078 +a(g436 +Vco +p5079 +tp5080 +a(g706 +g1139 +tp5081 +a(g827 +V\u000a +p5082 +tp5083 +a(g827 +V +p5084 +tp5085 +a(g436 +Vglobals +p5086 +tp5087 +a(g706 +g1139 +tp5088 +a(g827 +g983 +tp5089 +a(g436 +Vlocals +p5090 +tp5091 +a(g706 +g1139 +tp5092 +a(g827 +V\u000a +p5093 +tp5094 +a(g827 +V +p5095 +tp5096 +a(g706 +g1109 +tp5097 +a(g436 +VPyObject +p5098 +tp5099 +a(g827 +g983 +tp5100 +a(g413 +g1114 +tp5101 +a(g413 +g1114 +tp5102 +a(g706 +g1118 +tp5103 +a(g682 +VNULL +p5104 +tp5105 +a(g706 +g1139 +tp5106 +a(g827 +g983 +tp5107 +a(g37 +g1269 +tp5108 +a(g706 +g1139 +tp5109 +a(g827 +V\u000a +p5110 +tp5111 +a(g827 +V +p5112 +tp5113 +a(g706 +g1109 +tp5114 +a(g436 +VPyObject +p5115 +tp5116 +a(g827 +g983 +tp5117 +a(g413 +g1114 +tp5118 +a(g413 +g1114 +tp5119 +a(g706 +g1118 +tp5120 +a(g682 +VNULL +p5121 +tp5122 +a(g706 +g1139 +tp5123 +a(g827 +g983 +tp5124 +a(g37 +g1269 +tp5125 +a(g706 +g1139 +tp5126 +a(g827 +V\u000a +p5127 +tp5128 +a(g827 +V +p5129 +tp5130 +a(g706 +g1109 +tp5131 +a(g436 +VPyObject +p5132 +tp5133 +a(g827 +g983 +tp5134 +a(g413 +g1114 +tp5135 +a(g413 +g1114 +tp5136 +a(g706 +g1118 +tp5137 +a(g682 +VNULL +p5138 +tp5139 +a(g706 +g1139 +tp5140 +a(g827 +g983 +tp5141 +a(g37 +g1269 +tp5142 +a(g706 +g1139 +tp5143 +a(g827 +V\u000a +p5144 +tp5145 +a(g827 +V +p5146 +tp5147 +a(g682 +VNULL +p5148 +tp5149 +a(g706 +g1118 +tp5150 +a(g706 +g1078 +tp5151 +a(g827 +V\u000a +p5152 +tp5153 +a(g706 +g1336 +tp5154 +a(g827 +V\u000a +p5155 +tp5156 +a(g827 +V\u000a +p5157 +tp5158 +a(g827 +V\u000a +p5159 +tp5160 +a(g8 +V/* Interpreter main loop */ +p5161 +tp5162 +a(g827 +V\u000a +p5163 +tp5164 +a(g827 +V\u000a +p5165 +tp5166 +a(g436 +VPyObject +p5167 +tp5168 +a(g827 +g983 +tp5169 +a(g413 +g1114 +tp5170 +a(g827 +V\u000a +p5171 +tp5172 +a(g574 +VPyEval_EvalFrame +p5173 +tp5174 +a(g706 +g1109 +tp5175 +a(g436 +VPyFrameObject +p5176 +tp5177 +a(g827 +g983 +tp5178 +a(g413 +g1114 +tp5179 +a(g436 +Vf +p5180 +tp5181 +a(g706 +g1118 +tp5182 +a(g827 +g983 +tp5183 +a(g706 +g1122 +tp5184 +a(g827 +V\u000a +p5185 +tp5186 +a(g827 +g1126 +tp5187 +a(g8 +V/* This is for backward compatibility with extension modules that\u000a used this API; core interpreter code should call PyEval_EvalFrameEx() */ +p5188 +tp5189 +a(g827 +V\u000a +p5190 +tp5191 +a(g827 +g1126 +tp5192 +a(g745 +Vreturn +p5193 +tp5194 +a(g827 +g983 +tp5195 +a(g436 +VPyEval_EvalFrameEx +p5196 +tp5197 +a(g706 +g1109 +tp5198 +a(g436 +g5180 +tp5199 +a(g706 +g1139 +tp5200 +a(g827 +g983 +tp5201 +a(g37 +g1269 +tp5202 +a(g706 +g1118 +tp5203 +a(g706 +g1078 +tp5204 +a(g827 +V\u000a +p5205 +tp5206 +a(g706 +g1336 +tp5207 +a(g827 +V\u000a +p5208 +tp5209 +a(g827 +V\u000a +p5210 +tp5211 +a(g436 +VPyObject +p5212 +tp5213 +a(g827 +g983 +tp5214 +a(g413 +g1114 +tp5215 +a(g827 +V\u000a +p5216 +tp5217 +a(g574 +VPyEval_EvalFrameEx +p5218 +tp5219 +a(g706 +g1109 +tp5220 +a(g436 +VPyFrameObject +p5221 +tp5222 +a(g827 +g983 +tp5223 +a(g413 +g1114 +tp5224 +a(g436 +g5180 +tp5225 +a(g706 +g1139 +tp5226 +a(g827 +g983 +tp5227 +a(g749 +Vint +p5228 +tp5229 +a(g827 +g983 +tp5230 +a(g436 +Vthrowflag +p5231 +tp5232 +a(g706 +g1118 +tp5233 +a(g827 +V\u000a +p5234 +tp5235 +a(g706 +g1122 +tp5236 +a(g827 +V\u000a +p5237 +tp5238 +a(g899 +g972 +tp5239 +a(g899 +Vifdef DXPAIRS +p5240 +tp5241 +a(g899 +V\u000a +p5242 +tp5243 +a(g827 +g1126 +tp5244 +a(g749 +Vint +p5245 +tp5246 +a(g827 +g983 +tp5247 +a(g436 +Vlastopcode +p5248 +tp5249 +a(g827 +g983 +tp5250 +a(g413 +g1262 +tp5251 +a(g827 +g983 +tp5252 +a(g37 +g1269 +tp5253 +a(g706 +g1078 +tp5254 +a(g827 +V\u000a +p5255 +tp5256 +a(g899 +g972 +tp5257 +a(g899 +Vendif +p5258 +tp5259 +a(g899 +V\u000a +p5260 +tp5261 +a(g827 +g1126 +tp5262 +a(g745 +Vregister +p5263 +tp5264 +a(g827 +g983 +tp5265 +a(g436 +VPyObject +p5266 +tp5267 +a(g827 +g983 +tp5268 +a(g413 +g1114 +tp5269 +a(g413 +g1114 +tp5270 +a(g436 +Vstack_pointer +p5271 +tp5272 +a(g706 +g1078 +tp5273 +a(g827 +V +p5274 +tp5275 +a(g8 +V/* Next free slot in value stack */ +p5276 +tp5277 +a(g827 +V\u000a +p5278 +tp5279 +a(g827 +g1126 +tp5280 +a(g745 +Vregister +p5281 +tp5282 +a(g827 +g983 +tp5283 +a(g749 +Vunsigned +p5284 +tp5285 +a(g827 +g983 +tp5286 +a(g749 +Vchar +p5287 +tp5288 +a(g827 +g983 +tp5289 +a(g413 +g1114 +tp5290 +a(g436 +Vnext_instr +p5291 +tp5292 +a(g706 +g1078 +tp5293 +a(g827 +V\u000a +p5294 +tp5295 +a(g827 +g1126 +tp5296 +a(g745 +Vregister +p5297 +tp5298 +a(g827 +g983 +tp5299 +a(g749 +Vint +p5300 +tp5301 +a(g827 +g983 +tp5302 +a(g436 +Vopcode +p5303 +tp5304 +a(g706 +g1078 +tp5305 +a(g827 +g1126 +tp5306 +a(g8 +V/* Current opcode */ +p5307 +tp5308 +a(g827 +V\u000a +p5309 +tp5310 +a(g827 +g1126 +tp5311 +a(g745 +Vregister +p5312 +tp5313 +a(g827 +g983 +tp5314 +a(g749 +Vint +p5315 +tp5316 +a(g827 +g983 +tp5317 +a(g436 +Voparg +p5318 +tp5319 +a(g706 +g1078 +tp5320 +a(g827 +g1126 +tp5321 +a(g8 +V/* Current opcode argument, if any */ +p5322 +tp5323 +a(g827 +V\u000a +p5324 +tp5325 +a(g827 +g1126 +tp5326 +a(g745 +Vregister +p5327 +tp5328 +a(g827 +g983 +tp5329 +a(g745 +Venum +p5330 +tp5331 +a(g827 +g983 +tp5332 +a(g436 +Vwhy_code +p5333 +tp5334 +a(g827 +g983 +tp5335 +a(g436 +Vwhy +p5336 +tp5337 +a(g706 +g1078 +tp5338 +a(g827 +g983 +tp5339 +a(g8 +V/* Reason for block stack unwind */ +p5340 +tp5341 +a(g827 +V\u000a +p5342 +tp5343 +a(g827 +g1126 +tp5344 +a(g745 +Vregister +p5345 +tp5346 +a(g827 +g983 +tp5347 +a(g749 +Vint +p5348 +tp5349 +a(g827 +g983 +tp5350 +a(g436 +Verr +p5351 +tp5352 +a(g706 +g1078 +tp5353 +a(g827 +g1126 +tp5354 +a(g8 +V/* Error status -- nonzero if error */ +p5355 +tp5356 +a(g827 +V\u000a +p5357 +tp5358 +a(g827 +g1126 +tp5359 +a(g745 +Vregister +p5360 +tp5361 +a(g827 +g983 +tp5362 +a(g436 +VPyObject +p5363 +tp5364 +a(g827 +g983 +tp5365 +a(g413 +g1114 +tp5366 +a(g436 +Vx +p5367 +tp5368 +a(g706 +g1078 +tp5369 +a(g827 +g1126 +tp5370 +a(g8 +V/* Result object -- NULL if error */ +p5371 +tp5372 +a(g827 +V\u000a +p5373 +tp5374 +a(g827 +g1126 +tp5375 +a(g745 +Vregister +p5376 +tp5377 +a(g827 +g983 +tp5378 +a(g436 +VPyObject +p5379 +tp5380 +a(g827 +g983 +tp5381 +a(g413 +g1114 +tp5382 +a(g436 +g1116 +tp5383 +a(g706 +g1078 +tp5384 +a(g827 +g1126 +tp5385 +a(g8 +V/* Temporary objects popped off stack */ +p5386 +tp5387 +a(g827 +V\u000a +p5388 +tp5389 +a(g827 +g1126 +tp5390 +a(g745 +Vregister +p5391 +tp5392 +a(g827 +g983 +tp5393 +a(g436 +VPyObject +p5394 +tp5395 +a(g827 +g983 +tp5396 +a(g413 +g1114 +tp5397 +a(g436 +Vw +p5398 +tp5399 +a(g706 +g1078 +tp5400 +a(g827 +V\u000a +p5401 +tp5402 +a(g827 +g1126 +tp5403 +a(g745 +Vregister +p5404 +tp5405 +a(g827 +g983 +tp5406 +a(g436 +VPyObject +p5407 +tp5408 +a(g827 +g983 +tp5409 +a(g413 +g1114 +tp5410 +a(g436 +Vu +p5411 +tp5412 +a(g706 +g1078 +tp5413 +a(g827 +V\u000a +p5414 +tp5415 +a(g827 +g1126 +tp5416 +a(g745 +Vregister +p5417 +tp5418 +a(g827 +g983 +tp5419 +a(g436 +VPyObject +p5420 +tp5421 +a(g827 +g983 +tp5422 +a(g413 +g1114 +tp5423 +a(g436 +Vt +p5424 +tp5425 +a(g706 +g1078 +tp5426 +a(g827 +V\u000a +p5427 +tp5428 +a(g827 +g1126 +tp5429 +a(g745 +Vregister +p5430 +tp5431 +a(g827 +g983 +tp5432 +a(g436 +VPyObject +p5433 +tp5434 +a(g827 +g983 +tp5435 +a(g413 +g1114 +tp5436 +a(g436 +Vstream +p5437 +tp5438 +a(g827 +g983 +tp5439 +a(g413 +g1262 +tp5440 +a(g827 +g983 +tp5441 +a(g682 +VNULL +p5442 +tp5443 +a(g706 +g1078 +tp5444 +a(g827 +V +p5445 +tp5446 +a(g8 +V/* for PRINT opcodes */ +p5447 +tp5448 +a(g827 +V\u000a +p5449 +tp5450 +a(g827 +g1126 +tp5451 +a(g745 +Vregister +p5452 +tp5453 +a(g827 +g983 +tp5454 +a(g436 +VPyObject +p5455 +tp5456 +a(g827 +g983 +tp5457 +a(g413 +g1114 +tp5458 +a(g413 +g1114 +tp5459 +a(g436 +Vfastlocals +p5460 +tp5461 +a(g706 +g1139 +tp5462 +a(g827 +g983 +tp5463 +a(g413 +g1114 +tp5464 +a(g413 +g1114 +tp5465 +a(g436 +Vfreevars +p5466 +tp5467 +a(g706 +g1078 +tp5468 +a(g827 +V\u000a +p5469 +tp5470 +a(g827 +g1126 +tp5471 +a(g436 +VPyObject +p5472 +tp5473 +a(g827 +g983 +tp5474 +a(g413 +g1114 +tp5475 +a(g436 +Vretval +p5476 +tp5477 +a(g827 +g983 +tp5478 +a(g413 +g1262 +tp5479 +a(g827 +g983 +tp5480 +a(g682 +VNULL +p5481 +tp5482 +a(g706 +g1078 +tp5483 +a(g827 +g1126 +tp5484 +a(g8 +V/* Return value */ +p5485 +tp5486 +a(g827 +V\u000a +p5487 +tp5488 +a(g827 +g1126 +tp5489 +a(g436 +VPyThreadState +p5490 +tp5491 +a(g827 +g983 +tp5492 +a(g413 +g1114 +tp5493 +a(g436 +Vtstate +p5494 +tp5495 +a(g827 +g983 +tp5496 +a(g413 +g1262 +tp5497 +a(g827 +g983 +tp5498 +a(g436 +VPyThreadState_GET +p5499 +tp5500 +a(g706 +g1109 +tp5501 +a(g706 +g1118 +tp5502 +a(g706 +g1078 +tp5503 +a(g827 +V\u000a +p5504 +tp5505 +a(g827 +g1126 +tp5506 +a(g436 +VPyCodeObject +p5507 +tp5508 +a(g827 +g983 +tp5509 +a(g413 +g1114 +tp5510 +a(g436 +Vco +p5511 +tp5512 +a(g706 +g1078 +tp5513 +a(g827 +V\u000a +p5514 +tp5515 +a(g827 +V\u000a +p5516 +tp5517 +a(g827 +g1126 +tp5518 +a(g8 +V/* when tracing we set things up so that\u000a\u000a not (instr_lb <= current_bytecode_offset < instr_ub)\u000a\u000a is true when the line being executed has changed. The\u000a initial values are such as to make this false the first\u000a time it is tested. */ +p5519 +tp5520 +a(g827 +V\u000a +p5521 +tp5522 +a(g827 +g1126 +tp5523 +a(g749 +Vint +p5524 +tp5525 +a(g827 +g983 +tp5526 +a(g436 +Vinstr_ub +p5527 +tp5528 +a(g827 +g983 +tp5529 +a(g413 +g1262 +tp5530 +a(g827 +g983 +tp5531 +a(g413 +g1480 +tp5532 +a(g37 +g1325 +tp5533 +a(g706 +g1139 +tp5534 +a(g827 +g983 +tp5535 +a(g436 +Vinstr_lb +p5536 +tp5537 +a(g827 +g983 +tp5538 +a(g413 +g1262 +tp5539 +a(g827 +g983 +tp5540 +a(g37 +g1269 +tp5541 +a(g706 +g1139 +tp5542 +a(g827 +g983 +tp5543 +a(g436 +Vinstr_prev +p5544 +tp5545 +a(g827 +g983 +tp5546 +a(g413 +g1262 +tp5547 +a(g827 +g983 +tp5548 +a(g413 +g1480 +tp5549 +a(g37 +g1325 +tp5550 +a(g706 +g1078 +tp5551 +a(g827 +V\u000a +p5552 +tp5553 +a(g827 +V\u000a +p5554 +tp5555 +a(g827 +g1126 +tp5556 +a(g749 +Vunsigned +p5557 +tp5558 +a(g827 +g983 +tp5559 +a(g749 +Vchar +p5560 +tp5561 +a(g827 +g983 +tp5562 +a(g413 +g1114 +tp5563 +a(g436 +Vfirst_instr +p5564 +tp5565 +a(g706 +g1078 +tp5566 +a(g827 +V\u000a +p5567 +tp5568 +a(g827 +g1126 +tp5569 +a(g436 +VPyObject +p5570 +tp5571 +a(g827 +g983 +tp5572 +a(g413 +g1114 +tp5573 +a(g436 +Vnames +p5574 +tp5575 +a(g706 +g1078 +tp5576 +a(g827 +V\u000a +p5577 +tp5578 +a(g827 +g1126 +tp5579 +a(g436 +VPyObject +p5580 +tp5581 +a(g827 +g983 +tp5582 +a(g413 +g1114 +tp5583 +a(g436 +Vconsts +p5584 +tp5585 +a(g706 +g1078 +tp5586 +a(g827 +V\u000a +p5587 +tp5588 +a(g899 +g972 +tp5589 +a(g899 +Vif defined(Py_DEBUG) || defined(LLTRACE) +p5590 +tp5591 +a(g899 +V\u000a +p5592 +tp5593 +a(g827 +g1126 +tp5594 +a(g8 +V/* Make it easier to find out where we are with a debugger */ +p5595 +tp5596 +a(g827 +V\u000a +p5597 +tp5598 +a(g827 +g1126 +tp5599 +a(g749 +Vchar +p5600 +tp5601 +a(g827 +g983 +tp5602 +a(g413 +g1114 +tp5603 +a(g436 +Vfilename +p5604 +tp5605 +a(g706 +g1078 +tp5606 +a(g827 +V\u000a +p5607 +tp5608 +a(g899 +g972 +tp5609 +a(g899 +Vendif +p5610 +tp5611 +a(g899 +V\u000a +p5612 +tp5613 +a(g827 +V\u000a +p5614 +tp5615 +a(g8 +V/* Tuple access macros */ +p5616 +tp5617 +a(g827 +V\u000a +p5618 +tp5619 +a(g827 +V\u000a +p5620 +tp5621 +a(g899 +g972 +tp5622 +a(g899 +Vifndef Py_DEBUG +p5623 +tp5624 +a(g899 +V\u000a +p5625 +tp5626 +a(g899 +g972 +tp5627 +a(g899 +Vdefine GETITEM(v, i) PyTuple_GET_ITEM((PyTupleObject *)(v), (i)) +p5628 +tp5629 +a(g899 +V\u000a +p5630 +tp5631 +a(g899 +g972 +tp5632 +a(g899 +Velse +p5633 +tp5634 +a(g899 +V\u000a +p5635 +tp5636 +a(g899 +g972 +tp5637 +a(g899 +Vdefine GETITEM(v, i) PyTuple_GetItem((v), (i)) +p5638 +tp5639 +a(g899 +V\u000a +p5640 +tp5641 +a(g899 +g972 +tp5642 +a(g899 +Vendif +p5643 +tp5644 +a(g899 +V\u000a +p5645 +tp5646 +a(g827 +V\u000a +p5647 +tp5648 +a(g899 +g972 +tp5649 +a(g899 +Vifdef WITH_TSC +p5650 +tp5651 +a(g899 +V\u000a +p5652 +tp5653 +a(g8 +V/* Use Pentium timestamp counter to mark certain events:\u000a inst0 -- beginning of switch statement for opcode dispatch\u000a inst1 -- end of switch statement (may be skipped)\u000a loop0 -- the top of the mainloop\u000a loop1 -- place where control returns again to top of mainloop\u000a (may be skipped)\u000a intr1 -- beginning of long interruption\u000a intr2 -- end of long interruption\u000a\u000a Many opcodes call out to helper C functions. In some cases, the\u000a time in those functions should be counted towards the time for the\u000a opcode, but not in all cases. For example, a CALL_FUNCTION opcode\u000a calls another Python function; there's no point in charge all the\u000a bytecode executed by the called function to the caller.\u000a\u000a It's hard to make a useful judgement statically. In the presence\u000a of operator overloading, it's impossible to tell if a call will\u000a execute new Python code or not.\u000a\u000a It's a case-by-case judgement. I'll use intr1 for the following\u000a cases:\u000a\u000a EXEC_STMT\u000a IMPORT_STAR\u000a IMPORT_FROM\u000a CALL_FUNCTION (and friends)\u000a\u000a */ +p5654 +tp5655 +a(g827 +V\u000a +p5656 +tp5657 +a(g827 +g1126 +tp5658 +a(g436 +Vuint64 +p5659 +tp5660 +a(g827 +g983 +tp5661 +a(g436 +Vinst0 +p5662 +tp5663 +a(g706 +g1139 +tp5664 +a(g827 +g983 +tp5665 +a(g436 +Vinst1 +p5666 +tp5667 +a(g706 +g1139 +tp5668 +a(g827 +g983 +tp5669 +a(g436 +Vloop0 +p5670 +tp5671 +a(g706 +g1139 +tp5672 +a(g827 +g983 +tp5673 +a(g436 +Vloop1 +p5674 +tp5675 +a(g706 +g1139 +tp5676 +a(g827 +g983 +tp5677 +a(g436 +Vintr0 +p5678 +tp5679 +a(g827 +g983 +tp5680 +a(g413 +g1262 +tp5681 +a(g827 +g983 +tp5682 +a(g37 +g1269 +tp5683 +a(g706 +g1139 +tp5684 +a(g827 +g983 +tp5685 +a(g436 +Vintr1 +p5686 +tp5687 +a(g827 +g983 +tp5688 +a(g413 +g1262 +tp5689 +a(g827 +g983 +tp5690 +a(g37 +g1269 +tp5691 +a(g706 +g1078 +tp5692 +a(g827 +V\u000a +p5693 +tp5694 +a(g827 +g1126 +tp5695 +a(g749 +Vint +p5696 +tp5697 +a(g827 +g983 +tp5698 +a(g436 +Vticked +p5699 +tp5700 +a(g827 +g983 +tp5701 +a(g413 +g1262 +tp5702 +a(g827 +g983 +tp5703 +a(g37 +g1269 +tp5704 +a(g706 +g1078 +tp5705 +a(g827 +V\u000a +p5706 +tp5707 +a(g827 +V\u000a +p5708 +tp5709 +a(g827 +g1126 +tp5710 +a(g436 +VREAD_TIMESTAMP +p5711 +tp5712 +a(g706 +g1109 +tp5713 +a(g436 +Vinst0 +p5714 +tp5715 +a(g706 +g1118 +tp5716 +a(g706 +g1078 +tp5717 +a(g827 +V\u000a +p5718 +tp5719 +a(g827 +g1126 +tp5720 +a(g436 +VREAD_TIMESTAMP +p5721 +tp5722 +a(g706 +g1109 +tp5723 +a(g436 +Vinst1 +p5724 +tp5725 +a(g706 +g1118 +tp5726 +a(g706 +g1078 +tp5727 +a(g827 +V\u000a +p5728 +tp5729 +a(g827 +g1126 +tp5730 +a(g436 +VREAD_TIMESTAMP +p5731 +tp5732 +a(g706 +g1109 +tp5733 +a(g436 +Vloop0 +p5734 +tp5735 +a(g706 +g1118 +tp5736 +a(g706 +g1078 +tp5737 +a(g827 +V\u000a +p5738 +tp5739 +a(g827 +g1126 +tp5740 +a(g436 +VREAD_TIMESTAMP +p5741 +tp5742 +a(g706 +g1109 +tp5743 +a(g436 +Vloop1 +p5744 +tp5745 +a(g706 +g1118 +tp5746 +a(g706 +g1078 +tp5747 +a(g827 +V\u000a +p5748 +tp5749 +a(g827 +V\u000a +p5750 +tp5751 +a(g827 +g1126 +tp5752 +a(g8 +V/* shut up the compiler */ +p5753 +tp5754 +a(g827 +V\u000a +p5755 +tp5756 +a(g827 +g1126 +tp5757 +a(g436 +Vopcode +p5758 +tp5759 +a(g827 +g983 +tp5760 +a(g413 +g1262 +tp5761 +a(g827 +g983 +tp5762 +a(g37 +g1269 +tp5763 +a(g706 +g1078 +tp5764 +a(g827 +V\u000a +p5765 +tp5766 +a(g899 +g972 +tp5767 +a(g899 +Vendif +p5768 +tp5769 +a(g899 +V\u000a +p5770 +tp5771 +a(g827 +V\u000a +p5772 +tp5773 +a(g8 +V/* Code access macros */ +p5774 +tp5775 +a(g827 +V\u000a +p5776 +tp5777 +a(g827 +V\u000a +p5778 +tp5779 +a(g899 +g972 +tp5780 +a(g899 +Vdefine INSTR_OFFSET() ((int)(next_instr - first_instr)) +p5781 +tp5782 +a(g899 +V\u000a +p5783 +tp5784 +a(g899 +g972 +tp5785 +a(g899 +Vdefine NEXTOP() (*next_instr++) +p5786 +tp5787 +a(g899 +V\u000a +p5788 +tp5789 +a(g899 +g972 +tp5790 +a(g899 +Vdefine NEXTARG() (next_instr += 2, (next_instr[-1]<<8) + next_instr[-2]) +p5791 +tp5792 +a(g899 +V\u000a +p5793 +tp5794 +a(g899 +g972 +tp5795 +a(g899 +Vdefine PEEKARG() ((next_instr[2]<<8) + next_instr[1]) +p5796 +tp5797 +a(g899 +V\u000a +p5798 +tp5799 +a(g899 +g972 +tp5800 +a(g899 +Vdefine JUMPTO(x) (next_instr = first_instr + (x)) +p5801 +tp5802 +a(g899 +V\u000a +p5803 +tp5804 +a(g899 +g972 +tp5805 +a(g899 +Vdefine JUMPBY(x) (next_instr += (x)) +p5806 +tp5807 +a(g899 +V\u000a +p5808 +tp5809 +a(g827 +V\u000a +p5810 +tp5811 +a(g8 +V/* OpCode prediction macros\u000a Some opcodes tend to come in pairs thus making it possible to predict\u000a the second code when the first is run. For example, COMPARE_OP is often\u000a followed by JUMP_IF_FALSE or JUMP_IF_TRUE. And, those opcodes are often\u000a followed by a POP_TOP.\u000a\u000a Verifying the prediction costs a single high-speed test of register\u000a variable against a constant. If the pairing was good, then the\u000a processor has a high likelihood of making its own successful branch\u000a prediction which results in a nearly zero overhead transition to the\u000a next opcode.\u000a\u000a A successful prediction saves a trip through the eval-loop including\u000a its two unpredictable branches, the HASARG test and the switch-case.\u000a\u000a If collecting opcode statistics, turn off prediction so that\u000a statistics are accurately maintained (the predictions bypass\u000a the opcode frequency counter updates).\u000a*/ +p5812 +tp5813 +a(g827 +V\u000a +p5814 +tp5815 +a(g827 +V\u000a +p5816 +tp5817 +a(g899 +g972 +tp5818 +a(g899 +Vifdef DYNAMIC_EXECUTION_PROFILE +p5819 +tp5820 +a(g899 +V\u000a +p5821 +tp5822 +a(g899 +g972 +tp5823 +a(g899 +Vdefine PREDICT(op) if (0) goto PRED_##op +p5824 +tp5825 +a(g899 +V\u000a +p5826 +tp5827 +a(g899 +g972 +tp5828 +a(g899 +Velse +p5829 +tp5830 +a(g899 +V\u000a +p5831 +tp5832 +a(g899 +g972 +tp5833 +a(g899 +Vdefine PREDICT(op) if (*next_instr == op) goto PRED_##op +p5834 +tp5835 +a(g899 +V\u000a +p5836 +tp5837 +a(g899 +g972 +tp5838 +a(g899 +Vendif +p5839 +tp5840 +a(g899 +V\u000a +p5841 +tp5842 +a(g827 +V\u000a +p5843 +tp5844 +a(g899 +g972 +tp5845 +a(g899 +Vdefine PREDICTED(op) PRED_##op: next_instr++ +p5846 +tp5847 +a(g899 +V\u000a +p5848 +tp5849 +a(g899 +g972 +tp5850 +a(g899 +Vdefine PREDICTED_WITH_ARG(op) PRED_##op: oparg = PEEKARG(); next_instr += 3 +p5851 +tp5852 +a(g899 +V\u000a +p5853 +tp5854 +a(g827 +V\u000a +p5855 +tp5856 +a(g8 +V/* Stack manipulation macros */ +p5857 +tp5858 +a(g827 +V\u000a +p5859 +tp5860 +a(g827 +V\u000a +p5861 +tp5862 +a(g8 +V/* The stack can grow at most MAXINT deep, as co_nlocals and\u000a co_stacksize are ints. */ +p5863 +tp5864 +a(g827 +V\u000a +p5865 +tp5866 +a(g899 +g972 +tp5867 +a(g899 +Vdefine STACK_LEVEL() ((int)(stack_pointer - f->f_valuestack)) +p5868 +tp5869 +a(g899 +V\u000a +p5870 +tp5871 +a(g899 +g972 +tp5872 +a(g899 +Vdefine EMPTY() (STACK_LEVEL() == 0) +p5873 +tp5874 +a(g899 +V\u000a +p5875 +tp5876 +a(g899 +g972 +tp5877 +a(g899 +Vdefine TOP() (stack_pointer[-1]) +p5878 +tp5879 +a(g899 +V\u000a +p5880 +tp5881 +a(g899 +g972 +tp5882 +a(g899 +Vdefine SECOND() (stack_pointer[-2]) +p5883 +tp5884 +a(g899 +V\u000a +p5885 +tp5886 +a(g899 +g972 +tp5887 +a(g899 +Vdefine THIRD() (stack_pointer[-3]) +p5888 +tp5889 +a(g899 +V\u000a +p5890 +tp5891 +a(g899 +g972 +tp5892 +a(g899 +Vdefine FOURTH() (stack_pointer[-4]) +p5893 +tp5894 +a(g899 +V\u000a +p5895 +tp5896 +a(g899 +g972 +tp5897 +a(g899 +Vdefine SET_TOP(v) (stack_pointer[-1] = (v)) +p5898 +tp5899 +a(g899 +V\u000a +p5900 +tp5901 +a(g899 +g972 +tp5902 +a(g899 +Vdefine SET_SECOND(v) (stack_pointer[-2] = (v)) +p5903 +tp5904 +a(g899 +V\u000a +p5905 +tp5906 +a(g899 +g972 +tp5907 +a(g899 +Vdefine SET_THIRD(v) (stack_pointer[-3] = (v)) +p5908 +tp5909 +a(g899 +V\u000a +p5910 +tp5911 +a(g899 +g972 +tp5912 +a(g899 +Vdefine SET_FOURTH(v) (stack_pointer[-4] = (v)) +p5913 +tp5914 +a(g899 +V\u000a +p5915 +tp5916 +a(g899 +g972 +tp5917 +a(g899 +Vdefine BASIC_STACKADJ(n) (stack_pointer += n) +p5918 +tp5919 +a(g899 +V\u000a +p5920 +tp5921 +a(g899 +g972 +tp5922 +a(g899 +Vdefine BASIC_PUSH(v) (*stack_pointer++ = (v)) +p5923 +tp5924 +a(g899 +V\u000a +p5925 +tp5926 +a(g899 +g972 +tp5927 +a(g899 +Vdefine BASIC_POP() (*--stack_pointer) +p5928 +tp5929 +a(g899 +V\u000a +p5930 +tp5931 +a(g827 +V\u000a +p5932 +tp5933 +a(g899 +g972 +tp5934 +a(g899 +Vifdef LLTRACE +p5935 +tp5936 +a(g899 +V\u000a +p5937 +tp5938 +a(g899 +g972 +tp5939 +a(g899 +Vdefine PUSH(v) { (void)(BASIC_PUSH(v), \u005c +p5940 +tp5941 +a(g899 +V\u000a +p5942 +tp5943 +a(g899 +V lltrace && prtrace(TOP(), "push")); \u005c +p5944 +tp5945 +a(g899 +V\u000a +p5946 +tp5947 +a(g899 +V assert(STACK_LEVEL() <= co->co_stacksize); } +p5948 +tp5949 +a(g899 +V\u000a +p5950 +tp5951 +a(g899 +g972 +tp5952 +a(g899 +Vdefine POP() ((void)(lltrace && prtrace(TOP(), "pop")), BASIC_POP()) +p5953 +tp5954 +a(g899 +V\u000a +p5955 +tp5956 +a(g899 +g972 +tp5957 +a(g899 +Vdefine STACKADJ(n) { (void)(BASIC_STACKADJ(n), \u005c +p5958 +tp5959 +a(g899 +V\u000a +p5960 +tp5961 +a(g899 +V lltrace && prtrace(TOP(), "stackadj")); \u005c +p5962 +tp5963 +a(g899 +V\u000a +p5964 +tp5965 +a(g899 +V assert(STACK_LEVEL() <= co->co_stacksize); } +p5966 +tp5967 +a(g899 +V\u000a +p5968 +tp5969 +a(g899 +g972 +tp5970 +a(g899 +Vdefine EXT_POP(STACK_POINTER) (lltrace && prtrace(*(STACK_POINTER), "ext_pop"), *--(STACK_POINTER)) +p5971 +tp5972 +a(g899 +V\u000a +p5973 +tp5974 +a(g899 +g972 +tp5975 +a(g899 +Velse +p5976 +tp5977 +a(g899 +V\u000a +p5978 +tp5979 +a(g899 +g972 +tp5980 +a(g899 +Vdefine PUSH(v) BASIC_PUSH(v) +p5981 +tp5982 +a(g899 +V\u000a +p5983 +tp5984 +a(g899 +g972 +tp5985 +a(g899 +Vdefine POP() BASIC_POP() +p5986 +tp5987 +a(g899 +V\u000a +p5988 +tp5989 +a(g899 +g972 +tp5990 +a(g899 +Vdefine STACKADJ(n) BASIC_STACKADJ(n) +p5991 +tp5992 +a(g899 +V\u000a +p5993 +tp5994 +a(g899 +g972 +tp5995 +a(g899 +Vdefine EXT_POP(STACK_POINTER) (*--(STACK_POINTER)) +p5996 +tp5997 +a(g899 +V\u000a +p5998 +tp5999 +a(g899 +g972 +tp6000 +a(g899 +Vendif +p6001 +tp6002 +a(g899 +V\u000a +p6003 +tp6004 +a(g827 +V\u000a +p6005 +tp6006 +a(g8 +V/* Local variable macros */ +p6007 +tp6008 +a(g827 +V\u000a +p6009 +tp6010 +a(g827 +V\u000a +p6011 +tp6012 +a(g899 +g972 +tp6013 +a(g899 +Vdefine GETLOCAL(i) (fastlocals[i]) +p6014 +tp6015 +a(g899 +V\u000a +p6016 +tp6017 +a(g827 +V\u000a +p6018 +tp6019 +a(g8 +V/* The SETLOCAL() macro must not DECREF the local variable in-place and\u000a then store the new value; it must copy the old value to a temporary\u000a value, then store the new value, and then DECREF the temporary value.\u000a This is because it is possible that during the DECREF the frame is\u000a accessed by other code (e.g. a __del__ method or gc.collect()) and the\u000a variable would be pointing to already-freed memory. */ +p6020 +tp6021 +a(g827 +V\u000a +p6022 +tp6023 +a(g899 +g972 +tp6024 +a(g899 +Vdefine SETLOCAL(i, value) do { PyObject *tmp = GETLOCAL(i); \u005c +p6025 +tp6026 +a(g899 +V\u000a +p6027 +tp6028 +a(g899 +V GETLOCAL(i) = value; \u005c +p6029 +tp6030 +a(g899 +V\u000a +p6031 +tp6032 +a(g899 +V Py_XDECREF(tmp); } while (0) +p6033 +tp6034 +a(g899 +V\u000a +p6035 +tp6036 +a(g827 +V\u000a +p6037 +tp6038 +a(g8 +V/* Start of code */ +p6039 +tp6040 +a(g827 +V\u000a +p6041 +tp6042 +a(g827 +V\u000a +p6043 +tp6044 +a(g827 +g1126 +tp6045 +a(g745 +Vif +p6046 +tp6047 +a(g827 +g983 +tp6048 +a(g706 +g1109 +tp6049 +a(g436 +g5180 +tp6050 +a(g827 +g983 +tp6051 +a(g413 +g1262 +tp6052 +a(g413 +g1262 +tp6053 +a(g827 +g983 +tp6054 +a(g682 +VNULL +p6055 +tp6056 +a(g706 +g1118 +tp6057 +a(g827 +V\u000a +p6058 +tp6059 +a(g827 +V +p6060 +tp6061 +a(g745 +Vreturn +p6062 +tp6063 +a(g827 +g983 +tp6064 +a(g682 +VNULL +p6065 +tp6066 +a(g706 +g1078 +tp6067 +a(g827 +V\u000a +p6068 +tp6069 +a(g827 +V\u000a +p6070 +tp6071 +a(g827 +g1126 +tp6072 +a(g8 +V/* push frame */ +p6073 +tp6074 +a(g827 +V\u000a +p6075 +tp6076 +a(g827 +g1126 +tp6077 +a(g745 +Vif +p6078 +tp6079 +a(g827 +g983 +tp6080 +a(g706 +g1109 +tp6081 +a(g436 +VPy_EnterRecursiveCall +p6082 +tp6083 +a(g706 +g1109 +tp6084 +a(g89 +g1169 +tp6085 +a(g89 +g1169 +tp6086 +a(g706 +g1118 +tp6087 +a(g706 +g1118 +tp6088 +a(g827 +V\u000a +p6089 +tp6090 +a(g827 +V +p6091 +tp6092 +a(g745 +Vreturn +p6093 +tp6094 +a(g827 +g983 +tp6095 +a(g682 +VNULL +p6096 +tp6097 +a(g706 +g1078 +tp6098 +a(g827 +V\u000a +p6099 +tp6100 +a(g827 +V\u000a +p6101 +tp6102 +a(g827 +g1126 +tp6103 +a(g436 +Vtstate +p6104 +tp6105 +a(g413 +g1480 +tp6106 +a(g413 +g1482 +tp6107 +a(g436 +Vframe +p6108 +tp6109 +a(g827 +g983 +tp6110 +a(g413 +g1262 +tp6111 +a(g827 +g983 +tp6112 +a(g436 +g5180 +tp6113 +a(g706 +g1078 +tp6114 +a(g827 +V\u000a +p6115 +tp6116 +a(g827 +V\u000a +p6117 +tp6118 +a(g827 +g1126 +tp6119 +a(g745 +Vif +p6120 +tp6121 +a(g827 +g983 +tp6122 +a(g706 +g1109 +tp6123 +a(g436 +Vtstate +p6124 +tp6125 +a(g413 +g1480 +tp6126 +a(g413 +g1482 +tp6127 +a(g436 +Vuse_tracing +p6128 +tp6129 +a(g706 +g1118 +tp6130 +a(g827 +g983 +tp6131 +a(g706 +g1122 +tp6132 +a(g827 +V\u000a +p6133 +tp6134 +a(g827 +V +p6135 +tp6136 +a(g745 +Vif +p6137 +tp6138 +a(g827 +g983 +tp6139 +a(g706 +g1109 +tp6140 +a(g436 +Vtstate +p6141 +tp6142 +a(g413 +g1480 +tp6143 +a(g413 +g1482 +tp6144 +a(g436 +Vc_tracefunc +p6145 +tp6146 +a(g827 +g983 +tp6147 +a(g413 +g1260 +tp6148 +a(g413 +g1262 +tp6149 +a(g827 +g983 +tp6150 +a(g682 +VNULL +p6151 +tp6152 +a(g706 +g1118 +tp6153 +a(g827 +g983 +tp6154 +a(g706 +g1122 +tp6155 +a(g827 +V\u000a +p6156 +tp6157 +a(g827 +V +p6158 +tp6159 +a(g8 +V/* tstate->c_tracefunc, if defined, is a\u000a function that will be called on *every* entry\u000a to a code block. Its return value, if not\u000a None, is a function that will be called at\u000a the start of each executed line of code.\u000a (Actually, the function must return itself\u000a in order to continue tracing.) The trace\u000a functions are called with three arguments:\u000a a pointer to the current frame, a string\u000a indicating why the function is called, and\u000a an argument which depends on the situation.\u000a The global trace function is also called\u000a whenever an exception is detected. */ +p6160 +tp6161 +a(g827 +V\u000a +p6162 +tp6163 +a(g827 +V +p6164 +tp6165 +a(g745 +Vif +p6166 +tp6167 +a(g827 +g983 +tp6168 +a(g706 +g1109 +tp6169 +a(g436 +Vcall_trace +p6170 +tp6171 +a(g706 +g1109 +tp6172 +a(g436 +Vtstate +p6173 +tp6174 +a(g413 +g1480 +tp6175 +a(g413 +g1482 +tp6176 +a(g436 +Vc_tracefunc +p6177 +tp6178 +a(g706 +g1139 +tp6179 +a(g827 +g983 +tp6180 +a(g436 +Vtstate +p6181 +tp6182 +a(g413 +g1480 +tp6183 +a(g413 +g1482 +tp6184 +a(g436 +Vc_traceobj +p6185 +tp6186 +a(g706 +g1139 +tp6187 +a(g827 +V\u000a +p6188 +tp6189 +a(g827 +V +p6190 +tp6191 +a(g436 +g5180 +tp6192 +a(g706 +g1139 +tp6193 +a(g827 +g983 +tp6194 +a(g436 +VPyTrace_CALL +p6195 +tp6196 +a(g706 +g1139 +tp6197 +a(g827 +g983 +tp6198 +a(g436 +VPy_None +p6199 +tp6200 +a(g706 +g1118 +tp6201 +a(g706 +g1118 +tp6202 +a(g827 +g983 +tp6203 +a(g706 +g1122 +tp6204 +a(g827 +V\u000a +p6205 +tp6206 +a(g827 +V +p6207 +tp6208 +a(g8 +V/* Trace function raised an error */ +p6209 +tp6210 +a(g827 +V\u000a +p6211 +tp6212 +a(g827 +V +p6213 +tp6214 +a(g745 +Vgoto +p6215 +tp6216 +a(g827 +g983 +tp6217 +a(g436 +Vexit_eval_frame +p6218 +tp6219 +a(g706 +g1078 +tp6220 +a(g827 +V\u000a +p6221 +tp6222 +a(g827 +V +p6223 +tp6224 +a(g706 +g1336 +tp6225 +a(g827 +V\u000a +p6226 +tp6227 +a(g827 +V +p6228 +tp6229 +a(g706 +g1336 +tp6230 +a(g827 +V\u000a +p6231 +tp6232 +a(g827 +V +p6233 +tp6234 +a(g745 +Vif +p6235 +tp6236 +a(g827 +g983 +tp6237 +a(g706 +g1109 +tp6238 +a(g436 +Vtstate +p6239 +tp6240 +a(g413 +g1480 +tp6241 +a(g413 +g1482 +tp6242 +a(g436 +Vc_profilefunc +p6243 +tp6244 +a(g827 +g983 +tp6245 +a(g413 +g1260 +tp6246 +a(g413 +g1262 +tp6247 +a(g827 +g983 +tp6248 +a(g682 +VNULL +p6249 +tp6250 +a(g706 +g1118 +tp6251 +a(g827 +g983 +tp6252 +a(g706 +g1122 +tp6253 +a(g827 +V\u000a +p6254 +tp6255 +a(g827 +V +p6256 +tp6257 +a(g8 +V/* Similar for c_profilefunc, except it needn't\u000a return itself and isn't called for "line" events */ +p6258 +tp6259 +a(g827 +V\u000a +p6260 +tp6261 +a(g827 +V +p6262 +tp6263 +a(g745 +Vif +p6264 +tp6265 +a(g827 +g983 +tp6266 +a(g706 +g1109 +tp6267 +a(g436 +Vcall_trace +p6268 +tp6269 +a(g706 +g1109 +tp6270 +a(g436 +Vtstate +p6271 +tp6272 +a(g413 +g1480 +tp6273 +a(g413 +g1482 +tp6274 +a(g436 +Vc_profilefunc +p6275 +tp6276 +a(g706 +g1139 +tp6277 +a(g827 +V\u000a +p6278 +tp6279 +a(g827 +V +p6280 +tp6281 +a(g436 +Vtstate +p6282 +tp6283 +a(g413 +g1480 +tp6284 +a(g413 +g1482 +tp6285 +a(g436 +Vc_profileobj +p6286 +tp6287 +a(g706 +g1139 +tp6288 +a(g827 +V\u000a +p6289 +tp6290 +a(g827 +V +p6291 +tp6292 +a(g436 +g5180 +tp6293 +a(g706 +g1139 +tp6294 +a(g827 +g983 +tp6295 +a(g436 +VPyTrace_CALL +p6296 +tp6297 +a(g706 +g1139 +tp6298 +a(g827 +g983 +tp6299 +a(g436 +VPy_None +p6300 +tp6301 +a(g706 +g1118 +tp6302 +a(g706 +g1118 +tp6303 +a(g827 +g983 +tp6304 +a(g706 +g1122 +tp6305 +a(g827 +V\u000a +p6306 +tp6307 +a(g827 +V +p6308 +tp6309 +a(g8 +V/* Profile function raised an error */ +p6310 +tp6311 +a(g827 +V\u000a +p6312 +tp6313 +a(g827 +V +p6314 +tp6315 +a(g745 +Vgoto +p6316 +tp6317 +a(g827 +g983 +tp6318 +a(g436 +Vexit_eval_frame +p6319 +tp6320 +a(g706 +g1078 +tp6321 +a(g827 +V\u000a +p6322 +tp6323 +a(g827 +V +p6324 +tp6325 +a(g706 +g1336 +tp6326 +a(g827 +V\u000a +p6327 +tp6328 +a(g827 +V +p6329 +tp6330 +a(g706 +g1336 +tp6331 +a(g827 +V\u000a +p6332 +tp6333 +a(g827 +g1126 +tp6334 +a(g706 +g1336 +tp6335 +a(g827 +V\u000a +p6336 +tp6337 +a(g827 +V\u000a +p6338 +tp6339 +a(g827 +g1126 +tp6340 +a(g436 +Vco +p6341 +tp6342 +a(g827 +g983 +tp6343 +a(g413 +g1262 +tp6344 +a(g827 +g983 +tp6345 +a(g436 +g5180 +tp6346 +a(g413 +g1480 +tp6347 +a(g413 +g1482 +tp6348 +a(g436 +Vf_code +p6349 +tp6350 +a(g706 +g1078 +tp6351 +a(g827 +V\u000a +p6352 +tp6353 +a(g827 +g1126 +tp6354 +a(g436 +Vnames +p6355 +tp6356 +a(g827 +g983 +tp6357 +a(g413 +g1262 +tp6358 +a(g827 +g983 +tp6359 +a(g436 +Vco +p6360 +tp6361 +a(g413 +g1480 +tp6362 +a(g413 +g1482 +tp6363 +a(g436 +Vco_names +p6364 +tp6365 +a(g706 +g1078 +tp6366 +a(g827 +V\u000a +p6367 +tp6368 +a(g827 +g1126 +tp6369 +a(g436 +Vconsts +p6370 +tp6371 +a(g827 +g983 +tp6372 +a(g413 +g1262 +tp6373 +a(g827 +g983 +tp6374 +a(g436 +Vco +p6375 +tp6376 +a(g413 +g1480 +tp6377 +a(g413 +g1482 +tp6378 +a(g436 +Vco_consts +p6379 +tp6380 +a(g706 +g1078 +tp6381 +a(g827 +V\u000a +p6382 +tp6383 +a(g827 +g1126 +tp6384 +a(g436 +Vfastlocals +p6385 +tp6386 +a(g827 +g983 +tp6387 +a(g413 +g1262 +tp6388 +a(g827 +g983 +tp6389 +a(g436 +g5180 +tp6390 +a(g413 +g1480 +tp6391 +a(g413 +g1482 +tp6392 +a(g436 +Vf_localsplus +p6393 +tp6394 +a(g706 +g1078 +tp6395 +a(g827 +V\u000a +p6396 +tp6397 +a(g827 +g1126 +tp6398 +a(g436 +Vfreevars +p6399 +tp6400 +a(g827 +g983 +tp6401 +a(g413 +g1262 +tp6402 +a(g827 +g983 +tp6403 +a(g436 +g5180 +tp6404 +a(g413 +g1480 +tp6405 +a(g413 +g1482 +tp6406 +a(g436 +Vf_localsplus +p6407 +tp6408 +a(g827 +g983 +tp6409 +a(g413 +g3965 +tp6410 +a(g827 +g983 +tp6411 +a(g436 +Vco +p6412 +tp6413 +a(g413 +g1480 +tp6414 +a(g413 +g1482 +tp6415 +a(g436 +Vco_nlocals +p6416 +tp6417 +a(g706 +g1078 +tp6418 +a(g827 +V\u000a +p6419 +tp6420 +a(g827 +g1126 +tp6421 +a(g436 +Vfirst_instr +p6422 +tp6423 +a(g827 +g983 +tp6424 +a(g413 +g1262 +tp6425 +a(g827 +g983 +tp6426 +a(g706 +g1109 +tp6427 +a(g749 +Vunsigned +p6428 +tp6429 +a(g827 +g983 +tp6430 +a(g749 +Vchar +p6431 +tp6432 +a(g413 +g1114 +tp6433 +a(g706 +g1118 +tp6434 +a(g827 +g983 +tp6435 +a(g436 +VPyString_AS_STRING +p6436 +tp6437 +a(g706 +g1109 +tp6438 +a(g436 +Vco +p6439 +tp6440 +a(g413 +g1480 +tp6441 +a(g413 +g1482 +tp6442 +a(g436 +Vco_code +p6443 +tp6444 +a(g706 +g1118 +tp6445 +a(g706 +g1078 +tp6446 +a(g827 +V\u000a +p6447 +tp6448 +a(g827 +g1126 +tp6449 +a(g8 +V/* An explanation is in order for the next line.\u000a\u000a f->f_lasti now refers to the index of the last instruction\u000a executed. You might think this was obvious from the name, but\u000a this wasn't always true before 2.3! PyFrame_New now sets\u000a f->f_lasti to -1 (i.e. the index *before* the first instruction)\u000a and YIELD_VALUE doesn't fiddle with f_lasti any more. So this\u000a does work. Promise. */ +p6450 +tp6451 +a(g827 +V\u000a +p6452 +tp6453 +a(g827 +g1126 +tp6454 +a(g436 +Vnext_instr +p6455 +tp6456 +a(g827 +g983 +tp6457 +a(g413 +g1262 +tp6458 +a(g827 +g983 +tp6459 +a(g436 +Vfirst_instr +p6460 +tp6461 +a(g827 +g983 +tp6462 +a(g413 +g3965 +tp6463 +a(g827 +g983 +tp6464 +a(g436 +g5180 +tp6465 +a(g413 +g1480 +tp6466 +a(g413 +g1482 +tp6467 +a(g436 +Vf_lasti +p6468 +tp6469 +a(g827 +g983 +tp6470 +a(g413 +g3965 +tp6471 +a(g827 +g983 +tp6472 +a(g37 +g1325 +tp6473 +a(g706 +g1078 +tp6474 +a(g827 +V\u000a +p6475 +tp6476 +a(g827 +g1126 +tp6477 +a(g436 +Vstack_pointer +p6478 +tp6479 +a(g827 +g983 +tp6480 +a(g413 +g1262 +tp6481 +a(g827 +g983 +tp6482 +a(g436 +g5180 +tp6483 +a(g413 +g1480 +tp6484 +a(g413 +g1482 +tp6485 +a(g436 +Vf_stacktop +p6486 +tp6487 +a(g706 +g1078 +tp6488 +a(g827 +V\u000a +p6489 +tp6490 +a(g827 +g1126 +tp6491 +a(g436 +Vassert +p6492 +tp6493 +a(g706 +g1109 +tp6494 +a(g436 +Vstack_pointer +p6495 +tp6496 +a(g827 +g983 +tp6497 +a(g413 +g1260 +tp6498 +a(g413 +g1262 +tp6499 +a(g827 +g983 +tp6500 +a(g682 +VNULL +p6501 +tp6502 +a(g706 +g1118 +tp6503 +a(g706 +g1078 +tp6504 +a(g827 +V\u000a +p6505 +tp6506 +a(g827 +g1126 +tp6507 +a(g436 +g5180 +tp6508 +a(g413 +g1480 +tp6509 +a(g413 +g1482 +tp6510 +a(g436 +Vf_stacktop +p6511 +tp6512 +a(g827 +g983 +tp6513 +a(g413 +g1262 +tp6514 +a(g827 +g983 +tp6515 +a(g682 +VNULL +p6516 +tp6517 +a(g706 +g1078 +tp6518 +a(g827 +g1126 +tp6519 +a(g8 +V/* remains NULL unless yield suspends frame */ +p6520 +tp6521 +a(g827 +V\u000a +p6522 +tp6523 +a(g827 +V\u000a +p6524 +tp6525 +a(g899 +g972 +tp6526 +a(g899 +Vifdef LLTRACE +p6527 +tp6528 +a(g899 +V\u000a +p6529 +tp6530 +a(g827 +g1126 +tp6531 +a(g436 +Vlltrace +p6532 +tp6533 +a(g827 +g983 +tp6534 +a(g413 +g1262 +tp6535 +a(g827 +g983 +tp6536 +a(g436 +VPyDict_GetItemString +p6537 +tp6538 +a(g706 +g1109 +tp6539 +a(g436 +g5180 +tp6540 +a(g413 +g1480 +tp6541 +a(g413 +g1482 +tp6542 +a(g436 +Vf_globals +p6543 +tp6544 +a(g706 +g1139 +tp6545 +a(g827 +g983 +tp6546 +a(g89 +g1169 +tp6547 +a(g89 +V__lltrace__ +p6548 +tp6549 +a(g89 +g1169 +tp6550 +a(g706 +g1118 +tp6551 +a(g827 +g983 +tp6552 +a(g413 +g1260 +tp6553 +a(g413 +g1262 +tp6554 +a(g827 +g983 +tp6555 +a(g682 +VNULL +p6556 +tp6557 +a(g706 +g1078 +tp6558 +a(g827 +V\u000a +p6559 +tp6560 +a(g899 +g972 +tp6561 +a(g899 +Vendif +p6562 +tp6563 +a(g899 +V\u000a +p6564 +tp6565 +a(g899 +g972 +tp6566 +a(g899 +Vif defined(Py_DEBUG) || defined(LLTRACE) +p6567 +tp6568 +a(g899 +V\u000a +p6569 +tp6570 +a(g827 +g1126 +tp6571 +a(g436 +Vfilename +p6572 +tp6573 +a(g827 +g983 +tp6574 +a(g413 +g1262 +tp6575 +a(g827 +g983 +tp6576 +a(g436 +VPyString_AsString +p6577 +tp6578 +a(g706 +g1109 +tp6579 +a(g436 +Vco +p6580 +tp6581 +a(g413 +g1480 +tp6582 +a(g413 +g1482 +tp6583 +a(g436 +Vco_filename +p6584 +tp6585 +a(g706 +g1118 +tp6586 +a(g706 +g1078 +tp6587 +a(g827 +V\u000a +p6588 +tp6589 +a(g899 +g972 +tp6590 +a(g899 +Vendif +p6591 +tp6592 +a(g899 +V\u000a +p6593 +tp6594 +a(g827 +V\u000a +p6595 +tp6596 +a(g827 +g1126 +tp6597 +a(g436 +Vwhy +p6598 +tp6599 +a(g827 +g983 +tp6600 +a(g413 +g1262 +tp6601 +a(g827 +g983 +tp6602 +a(g436 +VWHY_NOT +p6603 +tp6604 +a(g706 +g1078 +tp6605 +a(g827 +V\u000a +p6606 +tp6607 +a(g827 +g1126 +tp6608 +a(g436 +Verr +p6609 +tp6610 +a(g827 +g983 +tp6611 +a(g413 +g1262 +tp6612 +a(g827 +g983 +tp6613 +a(g37 +g1269 +tp6614 +a(g706 +g1078 +tp6615 +a(g827 +V\u000a +p6616 +tp6617 +a(g827 +g1126 +tp6618 +a(g436 +g5367 +tp6619 +a(g827 +g983 +tp6620 +a(g413 +g1262 +tp6621 +a(g827 +g983 +tp6622 +a(g436 +VPy_None +p6623 +tp6624 +a(g706 +g1078 +tp6625 +a(g827 +g1126 +tp6626 +a(g8 +V/* Not a reference, just anything non-NULL */ +p6627 +tp6628 +a(g827 +V\u000a +p6629 +tp6630 +a(g827 +g1126 +tp6631 +a(g436 +g5398 +tp6632 +a(g827 +g983 +tp6633 +a(g413 +g1262 +tp6634 +a(g827 +g983 +tp6635 +a(g682 +VNULL +p6636 +tp6637 +a(g706 +g1078 +tp6638 +a(g827 +V\u000a +p6639 +tp6640 +a(g827 +V\u000a +p6641 +tp6642 +a(g827 +g1126 +tp6643 +a(g745 +Vif +p6644 +tp6645 +a(g827 +g983 +tp6646 +a(g706 +g1109 +tp6647 +a(g436 +Vthrowflag +p6648 +tp6649 +a(g706 +g1118 +tp6650 +a(g827 +g983 +tp6651 +a(g706 +g1122 +tp6652 +a(g827 +g983 +tp6653 +a(g8 +V/* support for generator.throw() */ +p6654 +tp6655 +a(g827 +V\u000a +p6656 +tp6657 +a(g827 +V +p6658 +tp6659 +a(g436 +Vwhy +p6660 +tp6661 +a(g827 +g983 +tp6662 +a(g413 +g1262 +tp6663 +a(g827 +g983 +tp6664 +a(g436 +VWHY_EXCEPTION +p6665 +tp6666 +a(g706 +g1078 +tp6667 +a(g827 +V\u000a +p6668 +tp6669 +a(g827 +V +p6670 +tp6671 +a(g745 +Vgoto +p6672 +tp6673 +a(g827 +g983 +tp6674 +a(g436 +Von_error +p6675 +tp6676 +a(g706 +g1078 +tp6677 +a(g827 +V\u000a +p6678 +tp6679 +a(g827 +g1126 +tp6680 +a(g706 +g1336 +tp6681 +a(g827 +V\u000a +p6682 +tp6683 +a(g827 +V\u000a +p6684 +tp6685 +a(g827 +g1126 +tp6686 +a(g745 +Vfor +p6687 +tp6688 +a(g827 +g983 +tp6689 +a(g706 +g1109 +tp6690 +a(g706 +g1078 +tp6691 +a(g706 +g1078 +tp6692 +a(g706 +g1118 +tp6693 +a(g827 +g983 +tp6694 +a(g706 +g1122 +tp6695 +a(g827 +V\u000a +p6696 +tp6697 +a(g899 +g972 +tp6698 +a(g899 +Vifdef WITH_TSC +p6699 +tp6700 +a(g899 +V\u000a +p6701 +tp6702 +a(g827 +V +p6703 +tp6704 +a(g745 +Vif +p6705 +tp6706 +a(g827 +g983 +tp6707 +a(g706 +g1109 +tp6708 +a(g436 +Vinst1 +p6709 +tp6710 +a(g827 +g983 +tp6711 +a(g413 +g1262 +tp6712 +a(g413 +g1262 +tp6713 +a(g827 +g983 +tp6714 +a(g37 +g1269 +tp6715 +a(g706 +g1118 +tp6716 +a(g827 +g983 +tp6717 +a(g706 +g1122 +tp6718 +a(g827 +V\u000a +p6719 +tp6720 +a(g827 +V +p6721 +tp6722 +a(g8 +V/* Almost surely, the opcode executed a break\u000a or a continue, preventing inst1 from being set\u000a on the way out of the loop.\u000a */ +p6723 +tp6724 +a(g827 +V\u000a +p6725 +tp6726 +a(g827 +V +p6727 +tp6728 +a(g436 +VREAD_TIMESTAMP +p6729 +tp6730 +a(g706 +g1109 +tp6731 +a(g436 +Vinst1 +p6732 +tp6733 +a(g706 +g1118 +tp6734 +a(g706 +g1078 +tp6735 +a(g827 +V\u000a +p6736 +tp6737 +a(g827 +V +p6738 +tp6739 +a(g436 +Vloop1 +p6740 +tp6741 +a(g827 +g983 +tp6742 +a(g413 +g1262 +tp6743 +a(g827 +g983 +tp6744 +a(g436 +Vinst1 +p6745 +tp6746 +a(g706 +g1078 +tp6747 +a(g827 +V\u000a +p6748 +tp6749 +a(g827 +V +p6750 +tp6751 +a(g706 +g1336 +tp6752 +a(g827 +V\u000a +p6753 +tp6754 +a(g827 +V +p6755 +tp6756 +a(g436 +Vdump_tsc +p6757 +tp6758 +a(g706 +g1109 +tp6759 +a(g436 +Vopcode +p6760 +tp6761 +a(g706 +g1139 +tp6762 +a(g827 +g983 +tp6763 +a(g436 +Vticked +p6764 +tp6765 +a(g706 +g1139 +tp6766 +a(g827 +g983 +tp6767 +a(g436 +Vinst0 +p6768 +tp6769 +a(g706 +g1139 +tp6770 +a(g827 +g983 +tp6771 +a(g436 +Vinst1 +p6772 +tp6773 +a(g706 +g1139 +tp6774 +a(g827 +g983 +tp6775 +a(g436 +Vloop0 +p6776 +tp6777 +a(g706 +g1139 +tp6778 +a(g827 +g983 +tp6779 +a(g436 +Vloop1 +p6780 +tp6781 +a(g706 +g1139 +tp6782 +a(g827 +V\u000a +p6783 +tp6784 +a(g827 +V +p6785 +tp6786 +a(g436 +Vintr0 +p6787 +tp6788 +a(g706 +g1139 +tp6789 +a(g827 +g983 +tp6790 +a(g436 +Vintr1 +p6791 +tp6792 +a(g706 +g1118 +tp6793 +a(g706 +g1078 +tp6794 +a(g827 +V\u000a +p6795 +tp6796 +a(g827 +V +p6797 +tp6798 +a(g436 +Vticked +p6799 +tp6800 +a(g827 +g983 +tp6801 +a(g413 +g1262 +tp6802 +a(g827 +g983 +tp6803 +a(g37 +g1269 +tp6804 +a(g706 +g1078 +tp6805 +a(g827 +V\u000a +p6806 +tp6807 +a(g827 +V +p6808 +tp6809 +a(g436 +Vinst1 +p6810 +tp6811 +a(g827 +g983 +tp6812 +a(g413 +g1262 +tp6813 +a(g827 +g983 +tp6814 +a(g37 +g1269 +tp6815 +a(g706 +g1078 +tp6816 +a(g827 +V\u000a +p6817 +tp6818 +a(g827 +V +p6819 +tp6820 +a(g436 +Vintr0 +p6821 +tp6822 +a(g827 +g983 +tp6823 +a(g413 +g1262 +tp6824 +a(g827 +g983 +tp6825 +a(g37 +g1269 +tp6826 +a(g706 +g1078 +tp6827 +a(g827 +V\u000a +p6828 +tp6829 +a(g827 +V +p6830 +tp6831 +a(g436 +Vintr1 +p6832 +tp6833 +a(g827 +g983 +tp6834 +a(g413 +g1262 +tp6835 +a(g827 +g983 +tp6836 +a(g37 +g1269 +tp6837 +a(g706 +g1078 +tp6838 +a(g827 +V\u000a +p6839 +tp6840 +a(g827 +V +p6841 +tp6842 +a(g436 +VREAD_TIMESTAMP +p6843 +tp6844 +a(g706 +g1109 +tp6845 +a(g436 +Vloop0 +p6846 +tp6847 +a(g706 +g1118 +tp6848 +a(g706 +g1078 +tp6849 +a(g827 +V\u000a +p6850 +tp6851 +a(g899 +g972 +tp6852 +a(g899 +Vendif +p6853 +tp6854 +a(g899 +V\u000a +p6855 +tp6856 +a(g827 +V +p6857 +tp6858 +a(g436 +Vassert +p6859 +tp6860 +a(g706 +g1109 +tp6861 +a(g436 +Vstack_pointer +p6862 +tp6863 +a(g827 +g983 +tp6864 +a(g413 +g1482 +tp6865 +a(g413 +g1262 +tp6866 +a(g827 +g983 +tp6867 +a(g436 +g5180 +tp6868 +a(g413 +g1480 +tp6869 +a(g413 +g1482 +tp6870 +a(g436 +Vf_valuestack +p6871 +tp6872 +a(g706 +g1118 +tp6873 +a(g706 +g1078 +tp6874 +a(g827 +g983 +tp6875 +a(g8 +V/* else underflow */ +p6876 +tp6877 +a(g827 +V\u000a +p6878 +tp6879 +a(g827 +V +p6880 +tp6881 +a(g436 +Vassert +p6882 +tp6883 +a(g706 +g1109 +tp6884 +a(g436 +VSTACK_LEVEL +p6885 +tp6886 +a(g706 +g1109 +tp6887 +a(g706 +g1118 +tp6888 +a(g827 +g983 +tp6889 +a(g413 +g4395 +tp6890 +a(g413 +g1262 +tp6891 +a(g827 +g983 +tp6892 +a(g436 +Vco +p6893 +tp6894 +a(g413 +g1480 +tp6895 +a(g413 +g1482 +tp6896 +a(g436 +Vco_stacksize +p6897 +tp6898 +a(g706 +g1118 +tp6899 +a(g706 +g1078 +tp6900 +a(g827 +V +p6901 +tp6902 +a(g8 +V/* else overflow */ +p6903 +tp6904 +a(g827 +V\u000a +p6905 +tp6906 +a(g827 +V\u000a +p6907 +tp6908 +a(g827 +V +p6909 +tp6910 +a(g8 +V/* Do periodic things. Doing this every time through\u000a the loop would add too much overhead, so we do it\u000a only every Nth instruction. We also do it if\u000a ``things_to_do'' is set, i.e. when an asynchronous\u000a event needs attention (e.g. a signal handler or\u000a async I/O handler); see Py_AddPendingCall() and\u000a Py_MakePendingCalls() above. */ +p6911 +tp6912 +a(g827 +V\u000a +p6913 +tp6914 +a(g827 +V\u000a +p6915 +tp6916 +a(g827 +V +p6917 +tp6918 +a(g745 +Vif +p6919 +tp6920 +a(g827 +g983 +tp6921 +a(g706 +g1109 +tp6922 +a(g413 +g1480 +tp6923 +a(g413 +g1480 +tp6924 +a(g436 +V_Py_Ticker +p6925 +tp6926 +a(g827 +g983 +tp6927 +a(g413 +g4395 +tp6928 +a(g827 +g983 +tp6929 +a(g37 +g1269 +tp6930 +a(g706 +g1118 +tp6931 +a(g827 +g983 +tp6932 +a(g706 +g1122 +tp6933 +a(g827 +V\u000a +p6934 +tp6935 +a(g827 +V +p6936 +tp6937 +a(g745 +Vif +p6938 +tp6939 +a(g827 +g983 +tp6940 +a(g706 +g1109 +tp6941 +a(g413 +g1114 +tp6942 +a(g436 +Vnext_instr +p6943 +tp6944 +a(g827 +g983 +tp6945 +a(g413 +g1262 +tp6946 +a(g413 +g1262 +tp6947 +a(g827 +g983 +tp6948 +a(g436 +VSETUP_FINALLY +p6949 +tp6950 +a(g706 +g1118 +tp6951 +a(g827 +g983 +tp6952 +a(g706 +g1122 +tp6953 +a(g827 +V\u000a +p6954 +tp6955 +a(g827 +V +p6956 +tp6957 +a(g8 +V/* Make the last opcode before\u000a a try: finally: block uninterruptable. */ +p6958 +tp6959 +a(g827 +V\u000a +p6960 +tp6961 +a(g827 +V +p6962 +tp6963 +a(g745 +Vgoto +p6964 +tp6965 +a(g827 +g983 +tp6966 +a(g436 +Vfast_next_opcode +p6967 +tp6968 +a(g706 +g1078 +tp6969 +a(g827 +V\u000a +p6970 +tp6971 +a(g827 +V +p6972 +tp6973 +a(g706 +g1336 +tp6974 +a(g827 +V\u000a +p6975 +tp6976 +a(g827 +V +p6977 +tp6978 +a(g436 +V_Py_Ticker +p6979 +tp6980 +a(g827 +g983 +tp6981 +a(g413 +g1262 +tp6982 +a(g827 +g983 +tp6983 +a(g436 +V_Py_CheckInterval +p6984 +tp6985 +a(g706 +g1078 +tp6986 +a(g827 +V\u000a +p6987 +tp6988 +a(g827 +V +p6989 +tp6990 +a(g436 +Vtstate +p6991 +tp6992 +a(g413 +g1480 +tp6993 +a(g413 +g1482 +tp6994 +a(g436 +Vtick_counter +p6995 +tp6996 +a(g413 +g3965 +tp6997 +a(g413 +g3965 +tp6998 +a(g706 +g1078 +tp6999 +a(g827 +V\u000a +p7000 +tp7001 +a(g899 +g972 +tp7002 +a(g899 +Vifdef WITH_TSC +p7003 +tp7004 +a(g899 +V\u000a +p7005 +tp7006 +a(g827 +V +p7007 +tp7008 +a(g436 +Vticked +p7009 +tp7010 +a(g827 +g983 +tp7011 +a(g413 +g1262 +tp7012 +a(g827 +g983 +tp7013 +a(g37 +g1325 +tp7014 +a(g706 +g1078 +tp7015 +a(g827 +V\u000a +p7016 +tp7017 +a(g899 +g972 +tp7018 +a(g899 +Vendif +p7019 +tp7020 +a(g899 +V\u000a +p7021 +tp7022 +a(g827 +V +p7023 +tp7024 +a(g745 +Vif +p7025 +tp7026 +a(g827 +g983 +tp7027 +a(g706 +g1109 +tp7028 +a(g436 +Vthings_to_do +p7029 +tp7030 +a(g706 +g1118 +tp7031 +a(g827 +g983 +tp7032 +a(g706 +g1122 +tp7033 +a(g827 +V\u000a +p7034 +tp7035 +a(g827 +V +p7036 +tp7037 +a(g745 +Vif +p7038 +tp7039 +a(g827 +g983 +tp7040 +a(g706 +g1109 +tp7041 +a(g436 +VPy_MakePendingCalls +p7042 +tp7043 +a(g706 +g1109 +tp7044 +a(g706 +g1118 +tp7045 +a(g827 +g983 +tp7046 +a(g413 +g4395 +tp7047 +a(g827 +g983 +tp7048 +a(g37 +g1269 +tp7049 +a(g706 +g1118 +tp7050 +a(g827 +g983 +tp7051 +a(g706 +g1122 +tp7052 +a(g827 +V\u000a +p7053 +tp7054 +a(g827 +V +p7055 +tp7056 +a(g436 +Vwhy +p7057 +tp7058 +a(g827 +g983 +tp7059 +a(g413 +g1262 +tp7060 +a(g827 +g983 +tp7061 +a(g436 +VWHY_EXCEPTION +p7062 +tp7063 +a(g706 +g1078 +tp7064 +a(g827 +V\u000a +p7065 +tp7066 +a(g827 +V +p7067 +tp7068 +a(g745 +Vgoto +p7069 +tp7070 +a(g827 +g983 +tp7071 +a(g436 +Von_error +p7072 +tp7073 +a(g706 +g1078 +tp7074 +a(g827 +V\u000a +p7075 +tp7076 +a(g827 +V +p7077 +tp7078 +a(g706 +g1336 +tp7079 +a(g827 +V\u000a +p7080 +tp7081 +a(g827 +V +p7082 +tp7083 +a(g745 +Vif +p7084 +tp7085 +a(g827 +g983 +tp7086 +a(g706 +g1109 +tp7087 +a(g436 +Vthings_to_do +p7088 +tp7089 +a(g706 +g1118 +tp7090 +a(g827 +V\u000a +p7091 +tp7092 +a(g827 +V +p7093 +tp7094 +a(g8 +V/* MakePendingCalls() didn't succeed.\u000a Force early re-execution of this\u000a "periodic" code, possibly after\u000a a thread switch */ +p7095 +tp7096 +a(g827 +V\u000a +p7097 +tp7098 +a(g827 +V +p7099 +tp7100 +a(g436 +V_Py_Ticker +p7101 +tp7102 +a(g827 +g983 +tp7103 +a(g413 +g1262 +tp7104 +a(g827 +g983 +tp7105 +a(g37 +g1269 +tp7106 +a(g706 +g1078 +tp7107 +a(g827 +V\u000a +p7108 +tp7109 +a(g827 +V +p7110 +tp7111 +a(g706 +g1336 +tp7112 +a(g827 +V\u000a +p7113 +tp7114 +a(g899 +g972 +tp7115 +a(g899 +Vifdef WITH_THREAD +p7116 +tp7117 +a(g899 +V\u000a +p7118 +tp7119 +a(g827 +V +p7120 +tp7121 +a(g745 +Vif +p7122 +tp7123 +a(g827 +g983 +tp7124 +a(g706 +g1109 +tp7125 +a(g436 +Vinterpreter_lock +p7126 +tp7127 +a(g706 +g1118 +tp7128 +a(g827 +g983 +tp7129 +a(g706 +g1122 +tp7130 +a(g827 +V\u000a +p7131 +tp7132 +a(g827 +V +p7133 +tp7134 +a(g8 +V/* Give another thread a chance */ +p7135 +tp7136 +a(g827 +V\u000a +p7137 +tp7138 +a(g827 +V\u000a +p7139 +tp7140 +a(g827 +V +p7141 +tp7142 +a(g745 +Vif +p7143 +tp7144 +a(g827 +g983 +tp7145 +a(g706 +g1109 +tp7146 +a(g436 +VPyThreadState_Swap +p7147 +tp7148 +a(g706 +g1109 +tp7149 +a(g682 +VNULL +p7150 +tp7151 +a(g706 +g1118 +tp7152 +a(g827 +g983 +tp7153 +a(g413 +g1260 +tp7154 +a(g413 +g1262 +tp7155 +a(g827 +g983 +tp7156 +a(g436 +Vtstate +p7157 +tp7158 +a(g706 +g1118 +tp7159 +a(g827 +V\u000a +p7160 +tp7161 +a(g827 +V +p7162 +tp7163 +a(g436 +VPy_FatalError +p7164 +tp7165 +a(g706 +g1109 +tp7166 +a(g89 +g1169 +tp7167 +a(g89 +Vceval: tstate mix-up +p7168 +tp7169 +a(g89 +g1169 +tp7170 +a(g706 +g1118 +tp7171 +a(g706 +g1078 +tp7172 +a(g827 +V\u000a +p7173 +tp7174 +a(g827 +V +p7175 +tp7176 +a(g436 +VPyThread_release_lock +p7177 +tp7178 +a(g706 +g1109 +tp7179 +a(g436 +Vinterpreter_lock +p7180 +tp7181 +a(g706 +g1118 +tp7182 +a(g706 +g1078 +tp7183 +a(g827 +V\u000a +p7184 +tp7185 +a(g827 +V\u000a +p7186 +tp7187 +a(g827 +V +p7188 +tp7189 +a(g8 +V/* Other threads may run now */ +p7190 +tp7191 +a(g827 +V\u000a +p7192 +tp7193 +a(g827 +V\u000a +p7194 +tp7195 +a(g827 +V +p7196 +tp7197 +a(g436 +VPyThread_acquire_lock +p7198 +tp7199 +a(g706 +g1109 +tp7200 +a(g436 +Vinterpreter_lock +p7201 +tp7202 +a(g706 +g1139 +tp7203 +a(g827 +g983 +tp7204 +a(g37 +g1325 +tp7205 +a(g706 +g1118 +tp7206 +a(g706 +g1078 +tp7207 +a(g827 +V\u000a +p7208 +tp7209 +a(g827 +V +p7210 +tp7211 +a(g745 +Vif +p7212 +tp7213 +a(g827 +g983 +tp7214 +a(g706 +g1109 +tp7215 +a(g436 +VPyThreadState_Swap +p7216 +tp7217 +a(g706 +g1109 +tp7218 +a(g436 +Vtstate +p7219 +tp7220 +a(g706 +g1118 +tp7221 +a(g827 +g983 +tp7222 +a(g413 +g1260 +tp7223 +a(g413 +g1262 +tp7224 +a(g827 +g983 +tp7225 +a(g682 +VNULL +p7226 +tp7227 +a(g706 +g1118 +tp7228 +a(g827 +V\u000a +p7229 +tp7230 +a(g827 +V +p7231 +tp7232 +a(g436 +VPy_FatalError +p7233 +tp7234 +a(g706 +g1109 +tp7235 +a(g89 +g1169 +tp7236 +a(g89 +Vceval: orphan tstate +p7237 +tp7238 +a(g89 +g1169 +tp7239 +a(g706 +g1118 +tp7240 +a(g706 +g1078 +tp7241 +a(g827 +V\u000a +p7242 +tp7243 +a(g827 +V\u000a +p7244 +tp7245 +a(g827 +V +p7246 +tp7247 +a(g8 +V/* Check for thread interrupts */ +p7248 +tp7249 +a(g827 +V\u000a +p7250 +tp7251 +a(g827 +V\u000a +p7252 +tp7253 +a(g827 +V +p7254 +tp7255 +a(g745 +Vif +p7256 +tp7257 +a(g827 +g983 +tp7258 +a(g706 +g1109 +tp7259 +a(g436 +Vtstate +p7260 +tp7261 +a(g413 +g1480 +tp7262 +a(g413 +g1482 +tp7263 +a(g436 +Vasync_exc +p7264 +tp7265 +a(g827 +g983 +tp7266 +a(g413 +g1260 +tp7267 +a(g413 +g1262 +tp7268 +a(g827 +g983 +tp7269 +a(g682 +VNULL +p7270 +tp7271 +a(g706 +g1118 +tp7272 +a(g827 +g983 +tp7273 +a(g706 +g1122 +tp7274 +a(g827 +V\u000a +p7275 +tp7276 +a(g827 +V +p7277 +tp7278 +a(g436 +g5367 +tp7279 +a(g827 +g983 +tp7280 +a(g413 +g1262 +tp7281 +a(g827 +g983 +tp7282 +a(g436 +Vtstate +p7283 +tp7284 +a(g413 +g1480 +tp7285 +a(g413 +g1482 +tp7286 +a(g436 +Vasync_exc +p7287 +tp7288 +a(g706 +g1078 +tp7289 +a(g827 +V\u000a +p7290 +tp7291 +a(g827 +V +p7292 +tp7293 +a(g436 +Vtstate +p7294 +tp7295 +a(g413 +g1480 +tp7296 +a(g413 +g1482 +tp7297 +a(g436 +Vasync_exc +p7298 +tp7299 +a(g827 +g983 +tp7300 +a(g413 +g1262 +tp7301 +a(g827 +g983 +tp7302 +a(g682 +VNULL +p7303 +tp7304 +a(g706 +g1078 +tp7305 +a(g827 +V\u000a +p7306 +tp7307 +a(g827 +V +p7308 +tp7309 +a(g436 +VPyErr_SetNone +p7310 +tp7311 +a(g706 +g1109 +tp7312 +a(g436 +g5367 +tp7313 +a(g706 +g1118 +tp7314 +a(g706 +g1078 +tp7315 +a(g827 +V\u000a +p7316 +tp7317 +a(g827 +V +p7318 +tp7319 +a(g436 +VPy_DECREF +p7320 +tp7321 +a(g706 +g1109 +tp7322 +a(g436 +g5367 +tp7323 +a(g706 +g1118 +tp7324 +a(g706 +g1078 +tp7325 +a(g827 +V\u000a +p7326 +tp7327 +a(g827 +V +p7328 +tp7329 +a(g436 +Vwhy +p7330 +tp7331 +a(g827 +g983 +tp7332 +a(g413 +g1262 +tp7333 +a(g827 +g983 +tp7334 +a(g436 +VWHY_EXCEPTION +p7335 +tp7336 +a(g706 +g1078 +tp7337 +a(g827 +V\u000a +p7338 +tp7339 +a(g827 +V +p7340 +tp7341 +a(g745 +Vgoto +p7342 +tp7343 +a(g827 +g983 +tp7344 +a(g436 +Von_error +p7345 +tp7346 +a(g706 +g1078 +tp7347 +a(g827 +V\u000a +p7348 +tp7349 +a(g827 +V +p7350 +tp7351 +a(g706 +g1336 +tp7352 +a(g827 +V\u000a +p7353 +tp7354 +a(g827 +V +p7355 +tp7356 +a(g706 +g1336 +tp7357 +a(g827 +V\u000a +p7358 +tp7359 +a(g899 +g972 +tp7360 +a(g899 +Vendif +p7361 +tp7362 +a(g899 +V\u000a +p7363 +tp7364 +a(g827 +V +p7365 +tp7366 +a(g706 +g1336 +tp7367 +a(g827 +V\u000a +p7368 +tp7369 +a(g827 +V\u000a +p7370 +tp7371 +a(g827 +g1126 +tp7372 +a(g597 +Vfast_next_opcode +p7373 +tp7374 +a(g706 +g1157 +tp7375 +a(g827 +V\u000a +p7376 +tp7377 +a(g827 +V +p7378 +tp7379 +a(g436 +g5180 +tp7380 +a(g413 +g1480 +tp7381 +a(g413 +g1482 +tp7382 +a(g436 +Vf_lasti +p7383 +tp7384 +a(g827 +g983 +tp7385 +a(g413 +g1262 +tp7386 +a(g827 +g983 +tp7387 +a(g436 +VINSTR_OFFSET +p7388 +tp7389 +a(g706 +g1109 +tp7390 +a(g706 +g1118 +tp7391 +a(g706 +g1078 +tp7392 +a(g827 +V\u000a +p7393 +tp7394 +a(g827 +V\u000a +p7395 +tp7396 +a(g827 +V +p7397 +tp7398 +a(g8 +V/* line-by-line tracing support */ +p7399 +tp7400 +a(g827 +V\u000a +p7401 +tp7402 +a(g827 +V\u000a +p7403 +tp7404 +a(g827 +V +p7405 +tp7406 +a(g745 +Vif +p7407 +tp7408 +a(g827 +g983 +tp7409 +a(g706 +g1109 +tp7410 +a(g436 +Vtstate +p7411 +tp7412 +a(g413 +g1480 +tp7413 +a(g413 +g1482 +tp7414 +a(g436 +Vc_tracefunc +p7415 +tp7416 +a(g827 +g983 +tp7417 +a(g413 +g1260 +tp7418 +a(g413 +g1262 +tp7419 +a(g827 +g983 +tp7420 +a(g682 +VNULL +p7421 +tp7422 +a(g827 +g983 +tp7423 +a(g413 +g4166 +tp7424 +a(g413 +g4166 +tp7425 +a(g827 +g983 +tp7426 +a(g413 +g1260 +tp7427 +a(g436 +Vtstate +p7428 +tp7429 +a(g413 +g1480 +tp7430 +a(g413 +g1482 +tp7431 +a(g436 +Vtracing +p7432 +tp7433 +a(g706 +g1118 +tp7434 +a(g827 +g983 +tp7435 +a(g706 +g1122 +tp7436 +a(g827 +V\u000a +p7437 +tp7438 +a(g827 +V +p7439 +tp7440 +a(g8 +V/* see maybe_call_line_trace\u000a for expository comments */ +p7441 +tp7442 +a(g827 +V\u000a +p7443 +tp7444 +a(g827 +V +p7445 +tp7446 +a(g436 +g5180 +tp7447 +a(g413 +g1480 +tp7448 +a(g413 +g1482 +tp7449 +a(g436 +Vf_stacktop +p7450 +tp7451 +a(g827 +g983 +tp7452 +a(g413 +g1262 +tp7453 +a(g827 +g983 +tp7454 +a(g436 +Vstack_pointer +p7455 +tp7456 +a(g706 +g1078 +tp7457 +a(g827 +V\u000a +p7458 +tp7459 +a(g827 +V\u000a +p7460 +tp7461 +a(g827 +V +p7462 +tp7463 +a(g436 +Verr +p7464 +tp7465 +a(g827 +g983 +tp7466 +a(g413 +g1262 +tp7467 +a(g827 +g983 +tp7468 +a(g436 +Vmaybe_call_line_trace +p7469 +tp7470 +a(g706 +g1109 +tp7471 +a(g436 +Vtstate +p7472 +tp7473 +a(g413 +g1480 +tp7474 +a(g413 +g1482 +tp7475 +a(g436 +Vc_tracefunc +p7476 +tp7477 +a(g706 +g1139 +tp7478 +a(g827 +V\u000a +p7479 +tp7480 +a(g827 +V +p7481 +tp7482 +a(g436 +Vtstate +p7483 +tp7484 +a(g413 +g1480 +tp7485 +a(g413 +g1482 +tp7486 +a(g436 +Vc_traceobj +p7487 +tp7488 +a(g706 +g1139 +tp7489 +a(g827 +V\u000a +p7490 +tp7491 +a(g827 +V +p7492 +tp7493 +a(g436 +g5180 +tp7494 +a(g706 +g1139 +tp7495 +a(g827 +g983 +tp7496 +a(g413 +g4166 +tp7497 +a(g436 +Vinstr_lb +p7498 +tp7499 +a(g706 +g1139 +tp7500 +a(g827 +g983 +tp7501 +a(g413 +g4166 +tp7502 +a(g436 +Vinstr_ub +p7503 +tp7504 +a(g706 +g1139 +tp7505 +a(g827 +V\u000a +p7506 +tp7507 +a(g827 +V +p7508 +tp7509 +a(g413 +g4166 +tp7510 +a(g436 +Vinstr_prev +p7511 +tp7512 +a(g706 +g1118 +tp7513 +a(g706 +g1078 +tp7514 +a(g827 +V\u000a +p7515 +tp7516 +a(g827 +V +p7517 +tp7518 +a(g8 +V/* Reload possibly changed frame fields */ +p7519 +tp7520 +a(g827 +V\u000a +p7521 +tp7522 +a(g827 +V +p7523 +tp7524 +a(g436 +VJUMPTO +p7525 +tp7526 +a(g706 +g1109 +tp7527 +a(g436 +g5180 +tp7528 +a(g413 +g1480 +tp7529 +a(g413 +g1482 +tp7530 +a(g436 +Vf_lasti +p7531 +tp7532 +a(g706 +g1118 +tp7533 +a(g706 +g1078 +tp7534 +a(g827 +V\u000a +p7535 +tp7536 +a(g827 +V +p7537 +tp7538 +a(g745 +Vif +p7539 +tp7540 +a(g827 +g983 +tp7541 +a(g706 +g1109 +tp7542 +a(g436 +g5180 +tp7543 +a(g413 +g1480 +tp7544 +a(g413 +g1482 +tp7545 +a(g436 +Vf_stacktop +p7546 +tp7547 +a(g827 +g983 +tp7548 +a(g413 +g1260 +tp7549 +a(g413 +g1262 +tp7550 +a(g827 +g983 +tp7551 +a(g682 +VNULL +p7552 +tp7553 +a(g706 +g1118 +tp7554 +a(g827 +g983 +tp7555 +a(g706 +g1122 +tp7556 +a(g827 +V\u000a +p7557 +tp7558 +a(g827 +V +p7559 +tp7560 +a(g436 +Vstack_pointer +p7561 +tp7562 +a(g827 +g983 +tp7563 +a(g413 +g1262 +tp7564 +a(g827 +g983 +tp7565 +a(g436 +g5180 +tp7566 +a(g413 +g1480 +tp7567 +a(g413 +g1482 +tp7568 +a(g436 +Vf_stacktop +p7569 +tp7570 +a(g706 +g1078 +tp7571 +a(g827 +V\u000a +p7572 +tp7573 +a(g827 +V +p7574 +tp7575 +a(g436 +g5180 +tp7576 +a(g413 +g1480 +tp7577 +a(g413 +g1482 +tp7578 +a(g436 +Vf_stacktop +p7579 +tp7580 +a(g827 +g983 +tp7581 +a(g413 +g1262 +tp7582 +a(g827 +g983 +tp7583 +a(g682 +VNULL +p7584 +tp7585 +a(g706 +g1078 +tp7586 +a(g827 +V\u000a +p7587 +tp7588 +a(g827 +V +p7589 +tp7590 +a(g706 +g1336 +tp7591 +a(g827 +V\u000a +p7592 +tp7593 +a(g827 +V +p7594 +tp7595 +a(g745 +Vif +p7596 +tp7597 +a(g827 +g983 +tp7598 +a(g706 +g1109 +tp7599 +a(g436 +Verr +p7600 +tp7601 +a(g706 +g1118 +tp7602 +a(g827 +g983 +tp7603 +a(g706 +g1122 +tp7604 +a(g827 +V\u000a +p7605 +tp7606 +a(g827 +V +p7607 +tp7608 +a(g8 +V/* trace function raised an exception */ +p7609 +tp7610 +a(g827 +V\u000a +p7611 +tp7612 +a(g827 +V +p7613 +tp7614 +a(g745 +Vgoto +p7615 +tp7616 +a(g827 +g983 +tp7617 +a(g436 +Von_error +p7618 +tp7619 +a(g706 +g1078 +tp7620 +a(g827 +V\u000a +p7621 +tp7622 +a(g827 +V +p7623 +tp7624 +a(g706 +g1336 +tp7625 +a(g827 +V\u000a +p7626 +tp7627 +a(g827 +V +p7628 +tp7629 +a(g706 +g1336 +tp7630 +a(g827 +V\u000a +p7631 +tp7632 +a(g827 +V\u000a +p7633 +tp7634 +a(g827 +V +p7635 +tp7636 +a(g8 +V/* Extract opcode and argument */ +p7637 +tp7638 +a(g827 +V\u000a +p7639 +tp7640 +a(g827 +V\u000a +p7641 +tp7642 +a(g827 +V +p7643 +tp7644 +a(g436 +Vopcode +p7645 +tp7646 +a(g827 +g983 +tp7647 +a(g413 +g1262 +tp7648 +a(g827 +g983 +tp7649 +a(g436 +VNEXTOP +p7650 +tp7651 +a(g706 +g1109 +tp7652 +a(g706 +g1118 +tp7653 +a(g706 +g1078 +tp7654 +a(g827 +V\u000a +p7655 +tp7656 +a(g827 +V +p7657 +tp7658 +a(g436 +Voparg +p7659 +tp7660 +a(g827 +g983 +tp7661 +a(g413 +g1262 +tp7662 +a(g827 +g983 +tp7663 +a(g37 +g1269 +tp7664 +a(g706 +g1078 +tp7665 +a(g827 +V +p7666 +tp7667 +a(g8 +V/* allows oparg to be stored in a register because\u000a it doesn't have to be remembered across a full loop */ +p7668 +tp7669 +a(g827 +V\u000a +p7670 +tp7671 +a(g827 +V +p7672 +tp7673 +a(g745 +Vif +p7674 +tp7675 +a(g827 +g983 +tp7676 +a(g706 +g1109 +tp7677 +a(g436 +VHAS_ARG +p7678 +tp7679 +a(g706 +g1109 +tp7680 +a(g436 +Vopcode +p7681 +tp7682 +a(g706 +g1118 +tp7683 +a(g706 +g1118 +tp7684 +a(g827 +V\u000a +p7685 +tp7686 +a(g827 +V +p7687 +tp7688 +a(g436 +Voparg +p7689 +tp7690 +a(g827 +g983 +tp7691 +a(g413 +g1262 +tp7692 +a(g827 +g983 +tp7693 +a(g436 +VNEXTARG +p7694 +tp7695 +a(g706 +g1109 +tp7696 +a(g706 +g1118 +tp7697 +a(g706 +g1078 +tp7698 +a(g827 +V\u000a +p7699 +tp7700 +a(g827 +V +p7701 +tp7702 +a(g597 +Vdispatch_opcode +p7703 +tp7704 +a(g706 +g1157 +tp7705 +a(g827 +V\u000a +p7706 +tp7707 +a(g899 +g972 +tp7708 +a(g899 +Vifdef DYNAMIC_EXECUTION_PROFILE +p7709 +tp7710 +a(g899 +V\u000a +p7711 +tp7712 +a(g899 +g972 +tp7713 +a(g899 +Vifdef DXPAIRS +p7714 +tp7715 +a(g899 +V\u000a +p7716 +tp7717 +a(g827 +V +p7718 +tp7719 +a(g436 +Vdxpairs +p7720 +tp7721 +a(g706 +g1300 +tp7722 +a(g436 +Vlastopcode +p7723 +tp7724 +a(g706 +g1303 +tp7725 +a(g706 +g1300 +tp7726 +a(g436 +Vopcode +p7727 +tp7728 +a(g706 +g1303 +tp7729 +a(g413 +g3965 +tp7730 +a(g413 +g3965 +tp7731 +a(g706 +g1078 +tp7732 +a(g827 +V\u000a +p7733 +tp7734 +a(g827 +V +p7735 +tp7736 +a(g436 +Vlastopcode +p7737 +tp7738 +a(g827 +g983 +tp7739 +a(g413 +g1262 +tp7740 +a(g827 +g983 +tp7741 +a(g436 +Vopcode +p7742 +tp7743 +a(g706 +g1078 +tp7744 +a(g827 +V\u000a +p7745 +tp7746 +a(g899 +g972 +tp7747 +a(g899 +Vendif +p7748 +tp7749 +a(g899 +V\u000a +p7750 +tp7751 +a(g827 +V +p7752 +tp7753 +a(g436 +Vdxp +p7754 +tp7755 +a(g706 +g1300 +tp7756 +a(g436 +Vopcode +p7757 +tp7758 +a(g706 +g1303 +tp7759 +a(g413 +g3965 +tp7760 +a(g413 +g3965 +tp7761 +a(g706 +g1078 +tp7762 +a(g827 +V\u000a +p7763 +tp7764 +a(g899 +g972 +tp7765 +a(g899 +Vendif +p7766 +tp7767 +a(g899 +V\u000a +p7768 +tp7769 +a(g827 +V\u000a +p7770 +tp7771 +a(g899 +g972 +tp7772 +a(g899 +Vifdef LLTRACE +p7773 +tp7774 +a(g899 +V\u000a +p7775 +tp7776 +a(g827 +V +p7777 +tp7778 +a(g8 +V/* Instruction tracing */ +p7779 +tp7780 +a(g827 +V\u000a +p7781 +tp7782 +a(g827 +V\u000a +p7783 +tp7784 +a(g827 +V +p7785 +tp7786 +a(g745 +Vif +p7787 +tp7788 +a(g827 +g983 +tp7789 +a(g706 +g1109 +tp7790 +a(g436 +Vlltrace +p7791 +tp7792 +a(g706 +g1118 +tp7793 +a(g827 +g983 +tp7794 +a(g706 +g1122 +tp7795 +a(g827 +V\u000a +p7796 +tp7797 +a(g827 +V +p7798 +tp7799 +a(g745 +Vif +p7800 +tp7801 +a(g827 +g983 +tp7802 +a(g706 +g1109 +tp7803 +a(g436 +VHAS_ARG +p7804 +tp7805 +a(g706 +g1109 +tp7806 +a(g436 +Vopcode +p7807 +tp7808 +a(g706 +g1118 +tp7809 +a(g706 +g1118 +tp7810 +a(g827 +g983 +tp7811 +a(g706 +g1122 +tp7812 +a(g827 +V\u000a +p7813 +tp7814 +a(g827 +V +p7815 +tp7816 +a(g436 +Vprintf +p7817 +tp7818 +a(g706 +g1109 +tp7819 +a(g89 +g1169 +tp7820 +a(g89 +V%d: %d, %d +p7821 +tp7822 +a(g213 +V\u005cn +p7823 +tp7824 +a(g89 +g1169 +tp7825 +a(g706 +g1139 +tp7826 +a(g827 +V\u000a +p7827 +tp7828 +a(g827 +V +p7829 +tp7830 +a(g436 +g5180 +tp7831 +a(g413 +g1480 +tp7832 +a(g413 +g1482 +tp7833 +a(g436 +Vf_lasti +p7834 +tp7835 +a(g706 +g1139 +tp7836 +a(g827 +g983 +tp7837 +a(g436 +Vopcode +p7838 +tp7839 +a(g706 +g1139 +tp7840 +a(g827 +g983 +tp7841 +a(g436 +Voparg +p7842 +tp7843 +a(g706 +g1118 +tp7844 +a(g706 +g1078 +tp7845 +a(g827 +V\u000a +p7846 +tp7847 +a(g827 +V +p7848 +tp7849 +a(g706 +g1336 +tp7850 +a(g827 +V\u000a +p7851 +tp7852 +a(g827 +V +p7853 +tp7854 +a(g745 +Velse +p7855 +tp7856 +a(g827 +g983 +tp7857 +a(g706 +g1122 +tp7858 +a(g827 +V\u000a +p7859 +tp7860 +a(g827 +V +p7861 +tp7862 +a(g436 +Vprintf +p7863 +tp7864 +a(g706 +g1109 +tp7865 +a(g89 +g1169 +tp7866 +a(g89 +V%d: %d +p7867 +tp7868 +a(g213 +V\u005cn +p7869 +tp7870 +a(g89 +g1169 +tp7871 +a(g706 +g1139 +tp7872 +a(g827 +V\u000a +p7873 +tp7874 +a(g827 +V +p7875 +tp7876 +a(g436 +g5180 +tp7877 +a(g413 +g1480 +tp7878 +a(g413 +g1482 +tp7879 +a(g436 +Vf_lasti +p7880 +tp7881 +a(g706 +g1139 +tp7882 +a(g827 +g983 +tp7883 +a(g436 +Vopcode +p7884 +tp7885 +a(g706 +g1118 +tp7886 +a(g706 +g1078 +tp7887 +a(g827 +V\u000a +p7888 +tp7889 +a(g827 +V +p7890 +tp7891 +a(g706 +g1336 +tp7892 +a(g827 +V\u000a +p7893 +tp7894 +a(g827 +V +p7895 +tp7896 +a(g706 +g1336 +tp7897 +a(g827 +V\u000a +p7898 +tp7899 +a(g899 +g972 +tp7900 +a(g899 +Vendif +p7901 +tp7902 +a(g899 +V\u000a +p7903 +tp7904 +a(g827 +V\u000a +p7905 +tp7906 +a(g827 +V +p7907 +tp7908 +a(g8 +V/* Main switch on opcode */ +p7909 +tp7910 +a(g827 +V\u000a +p7911 +tp7912 +a(g827 +V +p7913 +tp7914 +a(g436 +VREAD_TIMESTAMP +p7915 +tp7916 +a(g706 +g1109 +tp7917 +a(g436 +Vinst0 +p7918 +tp7919 +a(g706 +g1118 +tp7920 +a(g706 +g1078 +tp7921 +a(g827 +V\u000a +p7922 +tp7923 +a(g827 +V\u000a +p7924 +tp7925 +a(g827 +V +p7926 +tp7927 +a(g745 +Vswitch +p7928 +tp7929 +a(g827 +g983 +tp7930 +a(g706 +g1109 +tp7931 +a(g436 +Vopcode +p7932 +tp7933 +a(g706 +g1118 +tp7934 +a(g827 +g983 +tp7935 +a(g706 +g1122 +tp7936 +a(g827 +V\u000a +p7937 +tp7938 +a(g827 +V\u000a +p7939 +tp7940 +a(g827 +V +p7941 +tp7942 +a(g8 +V/* BEWARE!\u000a It is essential that any operation that fails sets either\u000a x to NULL, err to nonzero, or why to anything but WHY_NOT,\u000a and that no operation that succeeds does this! */ +p7943 +tp7944 +a(g827 +V\u000a +p7945 +tp7946 +a(g827 +V\u000a +p7947 +tp7948 +a(g827 +V +p7949 +tp7950 +a(g8 +V/* case STOP_CODE: this is an error! */ +p7951 +tp7952 +a(g827 +V\u000a +p7953 +tp7954 +a(g827 +V\u000a +p7955 +tp7956 +a(g827 +V +p7957 +tp7958 +a(g745 +Vcase +p7959 +tp7960 +a(g827 +g983 +tp7961 +a(g597 +VNOP +p7962 +tp7963 +a(g706 +g1157 +tp7964 +a(g827 +V\u000a +p7965 +tp7966 +a(g827 +V +p7967 +tp7968 +a(g745 +Vgoto +p7969 +tp7970 +a(g827 +g983 +tp7971 +a(g436 +Vfast_next_opcode +p7972 +tp7973 +a(g706 +g1078 +tp7974 +a(g827 +V\u000a +p7975 +tp7976 +a(g827 +V\u000a +p7977 +tp7978 +a(g827 +V +p7979 +tp7980 +a(g745 +Vcase +p7981 +tp7982 +a(g827 +g983 +tp7983 +a(g597 +VLOAD_FAST +p7984 +tp7985 +a(g706 +g1157 +tp7986 +a(g827 +V\u000a +p7987 +tp7988 +a(g827 +V +p7989 +tp7990 +a(g436 +g5367 +tp7991 +a(g827 +g983 +tp7992 +a(g413 +g1262 +tp7993 +a(g827 +g983 +tp7994 +a(g436 +VGETLOCAL +p7995 +tp7996 +a(g706 +g1109 +tp7997 +a(g436 +Voparg +p7998 +tp7999 +a(g706 +g1118 +tp8000 +a(g706 +g1078 +tp8001 +a(g827 +V\u000a +p8002 +tp8003 +a(g827 +V +p8004 +tp8005 +a(g745 +Vif +p8006 +tp8007 +a(g827 +g983 +tp8008 +a(g706 +g1109 +tp8009 +a(g436 +g5367 +tp8010 +a(g827 +g983 +tp8011 +a(g413 +g1260 +tp8012 +a(g413 +g1262 +tp8013 +a(g827 +g983 +tp8014 +a(g682 +VNULL +p8015 +tp8016 +a(g706 +g1118 +tp8017 +a(g827 +g983 +tp8018 +a(g706 +g1122 +tp8019 +a(g827 +V\u000a +p8020 +tp8021 +a(g827 +V +p8022 +tp8023 +a(g436 +VPy_INCREF +p8024 +tp8025 +a(g706 +g1109 +tp8026 +a(g436 +g5367 +tp8027 +a(g706 +g1118 +tp8028 +a(g706 +g1078 +tp8029 +a(g827 +V\u000a +p8030 +tp8031 +a(g827 +V +p8032 +tp8033 +a(g436 +VPUSH +p8034 +tp8035 +a(g706 +g1109 +tp8036 +a(g436 +g5367 +tp8037 +a(g706 +g1118 +tp8038 +a(g706 +g1078 +tp8039 +a(g827 +V\u000a +p8040 +tp8041 +a(g827 +V +p8042 +tp8043 +a(g745 +Vgoto +p8044 +tp8045 +a(g827 +g983 +tp8046 +a(g436 +Vfast_next_opcode +p8047 +tp8048 +a(g706 +g1078 +tp8049 +a(g827 +V\u000a +p8050 +tp8051 +a(g827 +V +p8052 +tp8053 +a(g706 +g1336 +tp8054 +a(g827 +V\u000a +p8055 +tp8056 +a(g827 +V +p8057 +tp8058 +a(g436 +Vformat_exc_check_arg +p8059 +tp8060 +a(g706 +g1109 +tp8061 +a(g436 +VPyExc_UnboundLocalError +p8062 +tp8063 +a(g706 +g1139 +tp8064 +a(g827 +V\u000a +p8065 +tp8066 +a(g827 +V +p8067 +tp8068 +a(g436 +VUNBOUNDLOCAL_ERROR_MSG +p8069 +tp8070 +a(g706 +g1139 +tp8071 +a(g827 +V\u000a +p8072 +tp8073 +a(g827 +V +p8074 +tp8075 +a(g436 +VPyTuple_GetItem +p8076 +tp8077 +a(g706 +g1109 +tp8078 +a(g436 +Vco +p8079 +tp8080 +a(g413 +g1480 +tp8081 +a(g413 +g1482 +tp8082 +a(g436 +Vco_varnames +p8083 +tp8084 +a(g706 +g1139 +tp8085 +a(g827 +g983 +tp8086 +a(g436 +Voparg +p8087 +tp8088 +a(g706 +g1118 +tp8089 +a(g706 +g1118 +tp8090 +a(g706 +g1078 +tp8091 +a(g827 +V\u000a +p8092 +tp8093 +a(g827 +V +p8094 +tp8095 +a(g745 +Vbreak +p8096 +tp8097 +a(g706 +g1078 +tp8098 +a(g827 +V\u000a +p8099 +tp8100 +a(g827 +V\u000a +p8101 +tp8102 +a(g827 +V +p8103 +tp8104 +a(g745 +Vcase +p8105 +tp8106 +a(g827 +g983 +tp8107 +a(g597 +VLOAD_CONST +p8108 +tp8109 +a(g706 +g1157 +tp8110 +a(g827 +V\u000a +p8111 +tp8112 +a(g827 +V +p8113 +tp8114 +a(g436 +g5367 +tp8115 +a(g827 +g983 +tp8116 +a(g413 +g1262 +tp8117 +a(g827 +g983 +tp8118 +a(g436 +VGETITEM +p8119 +tp8120 +a(g706 +g1109 +tp8121 +a(g436 +Vconsts +p8122 +tp8123 +a(g706 +g1139 +tp8124 +a(g827 +g983 +tp8125 +a(g436 +Voparg +p8126 +tp8127 +a(g706 +g1118 +tp8128 +a(g706 +g1078 +tp8129 +a(g827 +V\u000a +p8130 +tp8131 +a(g827 +V +p8132 +tp8133 +a(g436 +VPy_INCREF +p8134 +tp8135 +a(g706 +g1109 +tp8136 +a(g436 +g5367 +tp8137 +a(g706 +g1118 +tp8138 +a(g706 +g1078 +tp8139 +a(g827 +V\u000a +p8140 +tp8141 +a(g827 +V +p8142 +tp8143 +a(g436 +VPUSH +p8144 +tp8145 +a(g706 +g1109 +tp8146 +a(g436 +g5367 +tp8147 +a(g706 +g1118 +tp8148 +a(g706 +g1078 +tp8149 +a(g827 +V\u000a +p8150 +tp8151 +a(g827 +V +p8152 +tp8153 +a(g745 +Vgoto +p8154 +tp8155 +a(g827 +g983 +tp8156 +a(g436 +Vfast_next_opcode +p8157 +tp8158 +a(g706 +g1078 +tp8159 +a(g827 +V\u000a +p8160 +tp8161 +a(g827 +V\u000a +p8162 +tp8163 +a(g827 +V +p8164 +tp8165 +a(g436 +VPREDICTED_WITH_ARG +p8166 +tp8167 +a(g706 +g1109 +tp8168 +a(g436 +VSTORE_FAST +p8169 +tp8170 +a(g706 +g1118 +tp8171 +a(g706 +g1078 +tp8172 +a(g827 +V\u000a +p8173 +tp8174 +a(g827 +V +p8175 +tp8176 +a(g745 +Vcase +p8177 +tp8178 +a(g827 +g983 +tp8179 +a(g597 +VSTORE_FAST +p8180 +tp8181 +a(g706 +g1157 +tp8182 +a(g827 +V\u000a +p8183 +tp8184 +a(g827 +V +p8185 +tp8186 +a(g436 +g1116 +tp8187 +a(g827 +g983 +tp8188 +a(g413 +g1262 +tp8189 +a(g827 +g983 +tp8190 +a(g436 +VPOP +p8191 +tp8192 +a(g706 +g1109 +tp8193 +a(g706 +g1118 +tp8194 +a(g706 +g1078 +tp8195 +a(g827 +V\u000a +p8196 +tp8197 +a(g827 +V +p8198 +tp8199 +a(g436 +VSETLOCAL +p8200 +tp8201 +a(g706 +g1109 +tp8202 +a(g436 +Voparg +p8203 +tp8204 +a(g706 +g1139 +tp8205 +a(g827 +g983 +tp8206 +a(g436 +g1116 +tp8207 +a(g706 +g1118 +tp8208 +a(g706 +g1078 +tp8209 +a(g827 +V\u000a +p8210 +tp8211 +a(g827 +V +p8212 +tp8213 +a(g745 +Vgoto +p8214 +tp8215 +a(g827 +g983 +tp8216 +a(g436 +Vfast_next_opcode +p8217 +tp8218 +a(g706 +g1078 +tp8219 +a(g827 +V\u000a +p8220 +tp8221 +a(g827 +V\u000a +p8222 +tp8223 +a(g827 +V +p8224 +tp8225 +a(g436 +VPREDICTED +p8226 +tp8227 +a(g706 +g1109 +tp8228 +a(g436 +VPOP_TOP +p8229 +tp8230 +a(g706 +g1118 +tp8231 +a(g706 +g1078 +tp8232 +a(g827 +V\u000a +p8233 +tp8234 +a(g827 +V +p8235 +tp8236 +a(g745 +Vcase +p8237 +tp8238 +a(g827 +g983 +tp8239 +a(g597 +VPOP_TOP +p8240 +tp8241 +a(g706 +g1157 +tp8242 +a(g827 +V\u000a +p8243 +tp8244 +a(g827 +V +p8245 +tp8246 +a(g436 +g1116 +tp8247 +a(g827 +g983 +tp8248 +a(g413 +g1262 +tp8249 +a(g827 +g983 +tp8250 +a(g436 +VPOP +p8251 +tp8252 +a(g706 +g1109 +tp8253 +a(g706 +g1118 +tp8254 +a(g706 +g1078 +tp8255 +a(g827 +V\u000a +p8256 +tp8257 +a(g827 +V +p8258 +tp8259 +a(g436 +VPy_DECREF +p8260 +tp8261 +a(g706 +g1109 +tp8262 +a(g436 +g1116 +tp8263 +a(g706 +g1118 +tp8264 +a(g706 +g1078 +tp8265 +a(g827 +V\u000a +p8266 +tp8267 +a(g827 +V +p8268 +tp8269 +a(g745 +Vgoto +p8270 +tp8271 +a(g827 +g983 +tp8272 +a(g436 +Vfast_next_opcode +p8273 +tp8274 +a(g706 +g1078 +tp8275 +a(g827 +V\u000a +p8276 +tp8277 +a(g827 +V\u000a +p8278 +tp8279 +a(g827 +V +p8280 +tp8281 +a(g745 +Vcase +p8282 +tp8283 +a(g827 +g983 +tp8284 +a(g597 +VROT_TWO +p8285 +tp8286 +a(g706 +g1157 +tp8287 +a(g827 +V\u000a +p8288 +tp8289 +a(g827 +V +p8290 +tp8291 +a(g436 +g1116 +tp8292 +a(g827 +g983 +tp8293 +a(g413 +g1262 +tp8294 +a(g827 +g983 +tp8295 +a(g436 +VTOP +p8296 +tp8297 +a(g706 +g1109 +tp8298 +a(g706 +g1118 +tp8299 +a(g706 +g1078 +tp8300 +a(g827 +V\u000a +p8301 +tp8302 +a(g827 +V +p8303 +tp8304 +a(g436 +g5398 +tp8305 +a(g827 +g983 +tp8306 +a(g413 +g1262 +tp8307 +a(g827 +g983 +tp8308 +a(g436 +VSECOND +p8309 +tp8310 +a(g706 +g1109 +tp8311 +a(g706 +g1118 +tp8312 +a(g706 +g1078 +tp8313 +a(g827 +V\u000a +p8314 +tp8315 +a(g827 +V +p8316 +tp8317 +a(g436 +VSET_TOP +p8318 +tp8319 +a(g706 +g1109 +tp8320 +a(g436 +g5398 +tp8321 +a(g706 +g1118 +tp8322 +a(g706 +g1078 +tp8323 +a(g827 +V\u000a +p8324 +tp8325 +a(g827 +V +p8326 +tp8327 +a(g436 +VSET_SECOND +p8328 +tp8329 +a(g706 +g1109 +tp8330 +a(g436 +g1116 +tp8331 +a(g706 +g1118 +tp8332 +a(g706 +g1078 +tp8333 +a(g827 +V\u000a +p8334 +tp8335 +a(g827 +V +p8336 +tp8337 +a(g745 +Vgoto +p8338 +tp8339 +a(g827 +g983 +tp8340 +a(g436 +Vfast_next_opcode +p8341 +tp8342 +a(g706 +g1078 +tp8343 +a(g827 +V\u000a +p8344 +tp8345 +a(g827 +V\u000a +p8346 +tp8347 +a(g827 +V +p8348 +tp8349 +a(g745 +Vcase +p8350 +tp8351 +a(g827 +g983 +tp8352 +a(g597 +VROT_THREE +p8353 +tp8354 +a(g706 +g1157 +tp8355 +a(g827 +V\u000a +p8356 +tp8357 +a(g827 +V +p8358 +tp8359 +a(g436 +g1116 +tp8360 +a(g827 +g983 +tp8361 +a(g413 +g1262 +tp8362 +a(g827 +g983 +tp8363 +a(g436 +VTOP +p8364 +tp8365 +a(g706 +g1109 +tp8366 +a(g706 +g1118 +tp8367 +a(g706 +g1078 +tp8368 +a(g827 +V\u000a +p8369 +tp8370 +a(g827 +V +p8371 +tp8372 +a(g436 +g5398 +tp8373 +a(g827 +g983 +tp8374 +a(g413 +g1262 +tp8375 +a(g827 +g983 +tp8376 +a(g436 +VSECOND +p8377 +tp8378 +a(g706 +g1109 +tp8379 +a(g706 +g1118 +tp8380 +a(g706 +g1078 +tp8381 +a(g827 +V\u000a +p8382 +tp8383 +a(g827 +V +p8384 +tp8385 +a(g436 +g5367 +tp8386 +a(g827 +g983 +tp8387 +a(g413 +g1262 +tp8388 +a(g827 +g983 +tp8389 +a(g436 +VTHIRD +p8390 +tp8391 +a(g706 +g1109 +tp8392 +a(g706 +g1118 +tp8393 +a(g706 +g1078 +tp8394 +a(g827 +V\u000a +p8395 +tp8396 +a(g827 +V +p8397 +tp8398 +a(g436 +VSET_TOP +p8399 +tp8400 +a(g706 +g1109 +tp8401 +a(g436 +g5398 +tp8402 +a(g706 +g1118 +tp8403 +a(g706 +g1078 +tp8404 +a(g827 +V\u000a +p8405 +tp8406 +a(g827 +V +p8407 +tp8408 +a(g436 +VSET_SECOND +p8409 +tp8410 +a(g706 +g1109 +tp8411 +a(g436 +g5367 +tp8412 +a(g706 +g1118 +tp8413 +a(g706 +g1078 +tp8414 +a(g827 +V\u000a +p8415 +tp8416 +a(g827 +V +p8417 +tp8418 +a(g436 +VSET_THIRD +p8419 +tp8420 +a(g706 +g1109 +tp8421 +a(g436 +g1116 +tp8422 +a(g706 +g1118 +tp8423 +a(g706 +g1078 +tp8424 +a(g827 +V\u000a +p8425 +tp8426 +a(g827 +V +p8427 +tp8428 +a(g745 +Vgoto +p8429 +tp8430 +a(g827 +g983 +tp8431 +a(g436 +Vfast_next_opcode +p8432 +tp8433 +a(g706 +g1078 +tp8434 +a(g827 +V\u000a +p8435 +tp8436 +a(g827 +V\u000a +p8437 +tp8438 +a(g827 +V +p8439 +tp8440 +a(g745 +Vcase +p8441 +tp8442 +a(g827 +g983 +tp8443 +a(g597 +VROT_FOUR +p8444 +tp8445 +a(g706 +g1157 +tp8446 +a(g827 +V\u000a +p8447 +tp8448 +a(g827 +V +p8449 +tp8450 +a(g436 +g5411 +tp8451 +a(g827 +g983 +tp8452 +a(g413 +g1262 +tp8453 +a(g827 +g983 +tp8454 +a(g436 +VTOP +p8455 +tp8456 +a(g706 +g1109 +tp8457 +a(g706 +g1118 +tp8458 +a(g706 +g1078 +tp8459 +a(g827 +V\u000a +p8460 +tp8461 +a(g827 +V +p8462 +tp8463 +a(g436 +g1116 +tp8464 +a(g827 +g983 +tp8465 +a(g413 +g1262 +tp8466 +a(g827 +g983 +tp8467 +a(g436 +VSECOND +p8468 +tp8469 +a(g706 +g1109 +tp8470 +a(g706 +g1118 +tp8471 +a(g706 +g1078 +tp8472 +a(g827 +V\u000a +p8473 +tp8474 +a(g827 +V +p8475 +tp8476 +a(g436 +g5398 +tp8477 +a(g827 +g983 +tp8478 +a(g413 +g1262 +tp8479 +a(g827 +g983 +tp8480 +a(g436 +VTHIRD +p8481 +tp8482 +a(g706 +g1109 +tp8483 +a(g706 +g1118 +tp8484 +a(g706 +g1078 +tp8485 +a(g827 +V\u000a +p8486 +tp8487 +a(g827 +V +p8488 +tp8489 +a(g436 +g5367 +tp8490 +a(g827 +g983 +tp8491 +a(g413 +g1262 +tp8492 +a(g827 +g983 +tp8493 +a(g436 +VFOURTH +p8494 +tp8495 +a(g706 +g1109 +tp8496 +a(g706 +g1118 +tp8497 +a(g706 +g1078 +tp8498 +a(g827 +V\u000a +p8499 +tp8500 +a(g827 +V +p8501 +tp8502 +a(g436 +VSET_TOP +p8503 +tp8504 +a(g706 +g1109 +tp8505 +a(g436 +g1116 +tp8506 +a(g706 +g1118 +tp8507 +a(g706 +g1078 +tp8508 +a(g827 +V\u000a +p8509 +tp8510 +a(g827 +V +p8511 +tp8512 +a(g436 +VSET_SECOND +p8513 +tp8514 +a(g706 +g1109 +tp8515 +a(g436 +g5398 +tp8516 +a(g706 +g1118 +tp8517 +a(g706 +g1078 +tp8518 +a(g827 +V\u000a +p8519 +tp8520 +a(g827 +V +p8521 +tp8522 +a(g436 +VSET_THIRD +p8523 +tp8524 +a(g706 +g1109 +tp8525 +a(g436 +g5367 +tp8526 +a(g706 +g1118 +tp8527 +a(g706 +g1078 +tp8528 +a(g827 +V\u000a +p8529 +tp8530 +a(g827 +V +p8531 +tp8532 +a(g436 +VSET_FOURTH +p8533 +tp8534 +a(g706 +g1109 +tp8535 +a(g436 +g5411 +tp8536 +a(g706 +g1118 +tp8537 +a(g706 +g1078 +tp8538 +a(g827 +V\u000a +p8539 +tp8540 +a(g827 +V +p8541 +tp8542 +a(g745 +Vgoto +p8543 +tp8544 +a(g827 +g983 +tp8545 +a(g436 +Vfast_next_opcode +p8546 +tp8547 +a(g706 +g1078 +tp8548 +a(g827 +V\u000a +p8549 +tp8550 +a(g827 +V\u000a +p8551 +tp8552 +a(g827 +V +p8553 +tp8554 +a(g745 +Vcase +p8555 +tp8556 +a(g827 +g983 +tp8557 +a(g597 +VDUP_TOP +p8558 +tp8559 +a(g706 +g1157 +tp8560 +a(g827 +V\u000a +p8561 +tp8562 +a(g827 +V +p8563 +tp8564 +a(g436 +g1116 +tp8565 +a(g827 +g983 +tp8566 +a(g413 +g1262 +tp8567 +a(g827 +g983 +tp8568 +a(g436 +VTOP +p8569 +tp8570 +a(g706 +g1109 +tp8571 +a(g706 +g1118 +tp8572 +a(g706 +g1078 +tp8573 +a(g827 +V\u000a +p8574 +tp8575 +a(g827 +V +p8576 +tp8577 +a(g436 +VPy_INCREF +p8578 +tp8579 +a(g706 +g1109 +tp8580 +a(g436 +g1116 +tp8581 +a(g706 +g1118 +tp8582 +a(g706 +g1078 +tp8583 +a(g827 +V\u000a +p8584 +tp8585 +a(g827 +V +p8586 +tp8587 +a(g436 +VPUSH +p8588 +tp8589 +a(g706 +g1109 +tp8590 +a(g436 +g1116 +tp8591 +a(g706 +g1118 +tp8592 +a(g706 +g1078 +tp8593 +a(g827 +V\u000a +p8594 +tp8595 +a(g827 +V +p8596 +tp8597 +a(g745 +Vgoto +p8598 +tp8599 +a(g827 +g983 +tp8600 +a(g436 +Vfast_next_opcode +p8601 +tp8602 +a(g706 +g1078 +tp8603 +a(g827 +V\u000a +p8604 +tp8605 +a(g827 +V\u000a +p8606 +tp8607 +a(g827 +V +p8608 +tp8609 +a(g745 +Vcase +p8610 +tp8611 +a(g827 +g983 +tp8612 +a(g597 +VDUP_TOPX +p8613 +tp8614 +a(g706 +g1157 +tp8615 +a(g827 +V\u000a +p8616 +tp8617 +a(g827 +V +p8618 +tp8619 +a(g745 +Vif +p8620 +tp8621 +a(g827 +g983 +tp8622 +a(g706 +g1109 +tp8623 +a(g436 +Voparg +p8624 +tp8625 +a(g827 +g983 +tp8626 +a(g413 +g1262 +tp8627 +a(g413 +g1262 +tp8628 +a(g827 +g983 +tp8629 +a(g37 +g2791 +tp8630 +a(g706 +g1118 +tp8631 +a(g827 +g983 +tp8632 +a(g706 +g1122 +tp8633 +a(g827 +V\u000a +p8634 +tp8635 +a(g827 +V +p8636 +tp8637 +a(g436 +g5367 +tp8638 +a(g827 +g983 +tp8639 +a(g413 +g1262 +tp8640 +a(g827 +g983 +tp8641 +a(g436 +VTOP +p8642 +tp8643 +a(g706 +g1109 +tp8644 +a(g706 +g1118 +tp8645 +a(g706 +g1078 +tp8646 +a(g827 +V\u000a +p8647 +tp8648 +a(g827 +V +p8649 +tp8650 +a(g436 +VPy_INCREF +p8651 +tp8652 +a(g706 +g1109 +tp8653 +a(g436 +g5367 +tp8654 +a(g706 +g1118 +tp8655 +a(g706 +g1078 +tp8656 +a(g827 +V\u000a +p8657 +tp8658 +a(g827 +V +p8659 +tp8660 +a(g436 +g5398 +tp8661 +a(g827 +g983 +tp8662 +a(g413 +g1262 +tp8663 +a(g827 +g983 +tp8664 +a(g436 +VSECOND +p8665 +tp8666 +a(g706 +g1109 +tp8667 +a(g706 +g1118 +tp8668 +a(g706 +g1078 +tp8669 +a(g827 +V\u000a +p8670 +tp8671 +a(g827 +V +p8672 +tp8673 +a(g436 +VPy_INCREF +p8674 +tp8675 +a(g706 +g1109 +tp8676 +a(g436 +g5398 +tp8677 +a(g706 +g1118 +tp8678 +a(g706 +g1078 +tp8679 +a(g827 +V\u000a +p8680 +tp8681 +a(g827 +V +p8682 +tp8683 +a(g436 +VSTACKADJ +p8684 +tp8685 +a(g706 +g1109 +tp8686 +a(g37 +g2791 +tp8687 +a(g706 +g1118 +tp8688 +a(g706 +g1078 +tp8689 +a(g827 +V\u000a +p8690 +tp8691 +a(g827 +V +p8692 +tp8693 +a(g436 +VSET_TOP +p8694 +tp8695 +a(g706 +g1109 +tp8696 +a(g436 +g5367 +tp8697 +a(g706 +g1118 +tp8698 +a(g706 +g1078 +tp8699 +a(g827 +V\u000a +p8700 +tp8701 +a(g827 +V +p8702 +tp8703 +a(g436 +VSET_SECOND +p8704 +tp8705 +a(g706 +g1109 +tp8706 +a(g436 +g5398 +tp8707 +a(g706 +g1118 +tp8708 +a(g706 +g1078 +tp8709 +a(g827 +V\u000a +p8710 +tp8711 +a(g827 +V +p8712 +tp8713 +a(g745 +Vgoto +p8714 +tp8715 +a(g827 +g983 +tp8716 +a(g436 +Vfast_next_opcode +p8717 +tp8718 +a(g706 +g1078 +tp8719 +a(g827 +V\u000a +p8720 +tp8721 +a(g827 +V +p8722 +tp8723 +a(g706 +g1336 +tp8724 +a(g827 +g983 +tp8725 +a(g745 +Velse +p8726 +tp8727 +a(g827 +g983 +tp8728 +a(g745 +Vif +p8729 +tp8730 +a(g827 +g983 +tp8731 +a(g706 +g1109 +tp8732 +a(g436 +Voparg +p8733 +tp8734 +a(g827 +g983 +tp8735 +a(g413 +g1262 +tp8736 +a(g413 +g1262 +tp8737 +a(g827 +g983 +tp8738 +a(g37 +g2799 +tp8739 +a(g706 +g1118 +tp8740 +a(g827 +g983 +tp8741 +a(g706 +g1122 +tp8742 +a(g827 +V\u000a +p8743 +tp8744 +a(g827 +V +p8745 +tp8746 +a(g436 +g5367 +tp8747 +a(g827 +g983 +tp8748 +a(g413 +g1262 +tp8749 +a(g827 +g983 +tp8750 +a(g436 +VTOP +p8751 +tp8752 +a(g706 +g1109 +tp8753 +a(g706 +g1118 +tp8754 +a(g706 +g1078 +tp8755 +a(g827 +V\u000a +p8756 +tp8757 +a(g827 +V +p8758 +tp8759 +a(g436 +VPy_INCREF +p8760 +tp8761 +a(g706 +g1109 +tp8762 +a(g436 +g5367 +tp8763 +a(g706 +g1118 +tp8764 +a(g706 +g1078 +tp8765 +a(g827 +V\u000a +p8766 +tp8767 +a(g827 +V +p8768 +tp8769 +a(g436 +g5398 +tp8770 +a(g827 +g983 +tp8771 +a(g413 +g1262 +tp8772 +a(g827 +g983 +tp8773 +a(g436 +VSECOND +p8774 +tp8775 +a(g706 +g1109 +tp8776 +a(g706 +g1118 +tp8777 +a(g706 +g1078 +tp8778 +a(g827 +V\u000a +p8779 +tp8780 +a(g827 +V +p8781 +tp8782 +a(g436 +VPy_INCREF +p8783 +tp8784 +a(g706 +g1109 +tp8785 +a(g436 +g5398 +tp8786 +a(g706 +g1118 +tp8787 +a(g706 +g1078 +tp8788 +a(g827 +V\u000a +p8789 +tp8790 +a(g827 +V +p8791 +tp8792 +a(g436 +g1116 +tp8793 +a(g827 +g983 +tp8794 +a(g413 +g1262 +tp8795 +a(g827 +g983 +tp8796 +a(g436 +VTHIRD +p8797 +tp8798 +a(g706 +g1109 +tp8799 +a(g706 +g1118 +tp8800 +a(g706 +g1078 +tp8801 +a(g827 +V\u000a +p8802 +tp8803 +a(g827 +V +p8804 +tp8805 +a(g436 +VPy_INCREF +p8806 +tp8807 +a(g706 +g1109 +tp8808 +a(g436 +g1116 +tp8809 +a(g706 +g1118 +tp8810 +a(g706 +g1078 +tp8811 +a(g827 +V\u000a +p8812 +tp8813 +a(g827 +V +p8814 +tp8815 +a(g436 +VSTACKADJ +p8816 +tp8817 +a(g706 +g1109 +tp8818 +a(g37 +g2799 +tp8819 +a(g706 +g1118 +tp8820 +a(g706 +g1078 +tp8821 +a(g827 +V\u000a +p8822 +tp8823 +a(g827 +V +p8824 +tp8825 +a(g436 +VSET_TOP +p8826 +tp8827 +a(g706 +g1109 +tp8828 +a(g436 +g5367 +tp8829 +a(g706 +g1118 +tp8830 +a(g706 +g1078 +tp8831 +a(g827 +V\u000a +p8832 +tp8833 +a(g827 +V +p8834 +tp8835 +a(g436 +VSET_SECOND +p8836 +tp8837 +a(g706 +g1109 +tp8838 +a(g436 +g5398 +tp8839 +a(g706 +g1118 +tp8840 +a(g706 +g1078 +tp8841 +a(g827 +V\u000a +p8842 +tp8843 +a(g827 +V +p8844 +tp8845 +a(g436 +VSET_THIRD +p8846 +tp8847 +a(g706 +g1109 +tp8848 +a(g436 +g1116 +tp8849 +a(g706 +g1118 +tp8850 +a(g706 +g1078 +tp8851 +a(g827 +V\u000a +p8852 +tp8853 +a(g827 +V +p8854 +tp8855 +a(g745 +Vgoto +p8856 +tp8857 +a(g827 +g983 +tp8858 +a(g436 +Vfast_next_opcode +p8859 +tp8860 +a(g706 +g1078 +tp8861 +a(g827 +V\u000a +p8862 +tp8863 +a(g827 +V +p8864 +tp8865 +a(g706 +g1336 +tp8866 +a(g827 +V\u000a +p8867 +tp8868 +a(g827 +V +p8869 +tp8870 +a(g436 +VPy_FatalError +p8871 +tp8872 +a(g706 +g1109 +tp8873 +a(g89 +g1169 +tp8874 +a(g89 +Vinvalid argument to DUP_TOPX +p8875 +tp8876 +a(g89 +g1169 +tp8877 +a(g827 +V\u000a +p8878 +tp8879 +a(g827 +V +p8880 +tp8881 +a(g89 +g1169 +tp8882 +a(g89 +V (bytecode corruption?) +p8883 +tp8884 +a(g89 +g1169 +tp8885 +a(g706 +g1118 +tp8886 +a(g706 +g1078 +tp8887 +a(g827 +V\u000a +p8888 +tp8889 +a(g827 +V +p8890 +tp8891 +a(g745 +Vbreak +p8892 +tp8893 +a(g706 +g1078 +tp8894 +a(g827 +V\u000a +p8895 +tp8896 +a(g827 +V\u000a +p8897 +tp8898 +a(g827 +V +p8899 +tp8900 +a(g745 +Vcase +p8901 +tp8902 +a(g827 +g983 +tp8903 +a(g597 +VUNARY_POSITIVE +p8904 +tp8905 +a(g706 +g1157 +tp8906 +a(g827 +V\u000a +p8907 +tp8908 +a(g827 +V +p8909 +tp8910 +a(g436 +g1116 +tp8911 +a(g827 +g983 +tp8912 +a(g413 +g1262 +tp8913 +a(g827 +g983 +tp8914 +a(g436 +VTOP +p8915 +tp8916 +a(g706 +g1109 +tp8917 +a(g706 +g1118 +tp8918 +a(g706 +g1078 +tp8919 +a(g827 +V\u000a +p8920 +tp8921 +a(g827 +V +p8922 +tp8923 +a(g436 +g5367 +tp8924 +a(g827 +g983 +tp8925 +a(g413 +g1262 +tp8926 +a(g827 +g983 +tp8927 +a(g436 +VPyNumber_Positive +p8928 +tp8929 +a(g706 +g1109 +tp8930 +a(g436 +g1116 +tp8931 +a(g706 +g1118 +tp8932 +a(g706 +g1078 +tp8933 +a(g827 +V\u000a +p8934 +tp8935 +a(g827 +V +p8936 +tp8937 +a(g436 +VPy_DECREF +p8938 +tp8939 +a(g706 +g1109 +tp8940 +a(g436 +g1116 +tp8941 +a(g706 +g1118 +tp8942 +a(g706 +g1078 +tp8943 +a(g827 +V\u000a +p8944 +tp8945 +a(g827 +V +p8946 +tp8947 +a(g436 +VSET_TOP +p8948 +tp8949 +a(g706 +g1109 +tp8950 +a(g436 +g5367 +tp8951 +a(g706 +g1118 +tp8952 +a(g706 +g1078 +tp8953 +a(g827 +V\u000a +p8954 +tp8955 +a(g827 +V +p8956 +tp8957 +a(g745 +Vif +p8958 +tp8959 +a(g827 +g983 +tp8960 +a(g706 +g1109 +tp8961 +a(g436 +g5367 +tp8962 +a(g827 +g983 +tp8963 +a(g413 +g1260 +tp8964 +a(g413 +g1262 +tp8965 +a(g827 +g983 +tp8966 +a(g682 +VNULL +p8967 +tp8968 +a(g706 +g1118 +tp8969 +a(g827 +g983 +tp8970 +a(g745 +Vcontinue +p8971 +tp8972 +a(g706 +g1078 +tp8973 +a(g827 +V\u000a +p8974 +tp8975 +a(g827 +V +p8976 +tp8977 +a(g745 +Vbreak +p8978 +tp8979 +a(g706 +g1078 +tp8980 +a(g827 +V\u000a +p8981 +tp8982 +a(g827 +V\u000a +p8983 +tp8984 +a(g827 +V +p8985 +tp8986 +a(g745 +Vcase +p8987 +tp8988 +a(g827 +g983 +tp8989 +a(g597 +VUNARY_NEGATIVE +p8990 +tp8991 +a(g706 +g1157 +tp8992 +a(g827 +V\u000a +p8993 +tp8994 +a(g827 +V +p8995 +tp8996 +a(g436 +g1116 +tp8997 +a(g827 +g983 +tp8998 +a(g413 +g1262 +tp8999 +a(g827 +g983 +tp9000 +a(g436 +VTOP +p9001 +tp9002 +a(g706 +g1109 +tp9003 +a(g706 +g1118 +tp9004 +a(g706 +g1078 +tp9005 +a(g827 +V\u000a +p9006 +tp9007 +a(g827 +V +p9008 +tp9009 +a(g436 +g5367 +tp9010 +a(g827 +g983 +tp9011 +a(g413 +g1262 +tp9012 +a(g827 +g983 +tp9013 +a(g436 +VPyNumber_Negative +p9014 +tp9015 +a(g706 +g1109 +tp9016 +a(g436 +g1116 +tp9017 +a(g706 +g1118 +tp9018 +a(g706 +g1078 +tp9019 +a(g827 +V\u000a +p9020 +tp9021 +a(g827 +V +p9022 +tp9023 +a(g436 +VPy_DECREF +p9024 +tp9025 +a(g706 +g1109 +tp9026 +a(g436 +g1116 +tp9027 +a(g706 +g1118 +tp9028 +a(g706 +g1078 +tp9029 +a(g827 +V\u000a +p9030 +tp9031 +a(g827 +V +p9032 +tp9033 +a(g436 +VSET_TOP +p9034 +tp9035 +a(g706 +g1109 +tp9036 +a(g436 +g5367 +tp9037 +a(g706 +g1118 +tp9038 +a(g706 +g1078 +tp9039 +a(g827 +V\u000a +p9040 +tp9041 +a(g827 +V +p9042 +tp9043 +a(g745 +Vif +p9044 +tp9045 +a(g827 +g983 +tp9046 +a(g706 +g1109 +tp9047 +a(g436 +g5367 +tp9048 +a(g827 +g983 +tp9049 +a(g413 +g1260 +tp9050 +a(g413 +g1262 +tp9051 +a(g827 +g983 +tp9052 +a(g682 +VNULL +p9053 +tp9054 +a(g706 +g1118 +tp9055 +a(g827 +g983 +tp9056 +a(g745 +Vcontinue +p9057 +tp9058 +a(g706 +g1078 +tp9059 +a(g827 +V\u000a +p9060 +tp9061 +a(g827 +V +p9062 +tp9063 +a(g745 +Vbreak +p9064 +tp9065 +a(g706 +g1078 +tp9066 +a(g827 +V\u000a +p9067 +tp9068 +a(g827 +V\u000a +p9069 +tp9070 +a(g827 +V +p9071 +tp9072 +a(g745 +Vcase +p9073 +tp9074 +a(g827 +g983 +tp9075 +a(g597 +VUNARY_NOT +p9076 +tp9077 +a(g706 +g1157 +tp9078 +a(g827 +V\u000a +p9079 +tp9080 +a(g827 +V +p9081 +tp9082 +a(g436 +g1116 +tp9083 +a(g827 +g983 +tp9084 +a(g413 +g1262 +tp9085 +a(g827 +g983 +tp9086 +a(g436 +VTOP +p9087 +tp9088 +a(g706 +g1109 +tp9089 +a(g706 +g1118 +tp9090 +a(g706 +g1078 +tp9091 +a(g827 +V\u000a +p9092 +tp9093 +a(g827 +V +p9094 +tp9095 +a(g436 +Verr +p9096 +tp9097 +a(g827 +g983 +tp9098 +a(g413 +g1262 +tp9099 +a(g827 +g983 +tp9100 +a(g436 +VPyObject_IsTrue +p9101 +tp9102 +a(g706 +g1109 +tp9103 +a(g436 +g1116 +tp9104 +a(g706 +g1118 +tp9105 +a(g706 +g1078 +tp9106 +a(g827 +V\u000a +p9107 +tp9108 +a(g827 +V +p9109 +tp9110 +a(g436 +VPy_DECREF +p9111 +tp9112 +a(g706 +g1109 +tp9113 +a(g436 +g1116 +tp9114 +a(g706 +g1118 +tp9115 +a(g706 +g1078 +tp9116 +a(g827 +V\u000a +p9117 +tp9118 +a(g827 +V +p9119 +tp9120 +a(g745 +Vif +p9121 +tp9122 +a(g827 +g983 +tp9123 +a(g706 +g1109 +tp9124 +a(g436 +Verr +p9125 +tp9126 +a(g827 +g983 +tp9127 +a(g413 +g1262 +tp9128 +a(g413 +g1262 +tp9129 +a(g827 +g983 +tp9130 +a(g37 +g1269 +tp9131 +a(g706 +g1118 +tp9132 +a(g827 +g983 +tp9133 +a(g706 +g1122 +tp9134 +a(g827 +V\u000a +p9135 +tp9136 +a(g827 +V +p9137 +tp9138 +a(g436 +VPy_INCREF +p9139 +tp9140 +a(g706 +g1109 +tp9141 +a(g436 +VPy_True +p9142 +tp9143 +a(g706 +g1118 +tp9144 +a(g706 +g1078 +tp9145 +a(g827 +V\u000a +p9146 +tp9147 +a(g827 +V +p9148 +tp9149 +a(g436 +VSET_TOP +p9150 +tp9151 +a(g706 +g1109 +tp9152 +a(g436 +VPy_True +p9153 +tp9154 +a(g706 +g1118 +tp9155 +a(g706 +g1078 +tp9156 +a(g827 +V\u000a +p9157 +tp9158 +a(g827 +V +p9159 +tp9160 +a(g745 +Vcontinue +p9161 +tp9162 +a(g706 +g1078 +tp9163 +a(g827 +V\u000a +p9164 +tp9165 +a(g827 +V +p9166 +tp9167 +a(g706 +g1336 +tp9168 +a(g827 +V\u000a +p9169 +tp9170 +a(g827 +V +p9171 +tp9172 +a(g745 +Velse +p9173 +tp9174 +a(g827 +g983 +tp9175 +a(g745 +Vif +p9176 +tp9177 +a(g827 +g983 +tp9178 +a(g706 +g1109 +tp9179 +a(g436 +Verr +p9180 +tp9181 +a(g827 +g983 +tp9182 +a(g413 +g1482 +tp9183 +a(g827 +g983 +tp9184 +a(g37 +g1269 +tp9185 +a(g706 +g1118 +tp9186 +a(g827 +g983 +tp9187 +a(g706 +g1122 +tp9188 +a(g827 +V\u000a +p9189 +tp9190 +a(g827 +V +p9191 +tp9192 +a(g436 +VPy_INCREF +p9193 +tp9194 +a(g706 +g1109 +tp9195 +a(g436 +VPy_False +p9196 +tp9197 +a(g706 +g1118 +tp9198 +a(g706 +g1078 +tp9199 +a(g827 +V\u000a +p9200 +tp9201 +a(g827 +V +p9202 +tp9203 +a(g436 +VSET_TOP +p9204 +tp9205 +a(g706 +g1109 +tp9206 +a(g436 +VPy_False +p9207 +tp9208 +a(g706 +g1118 +tp9209 +a(g706 +g1078 +tp9210 +a(g827 +V\u000a +p9211 +tp9212 +a(g827 +V +p9213 +tp9214 +a(g436 +Verr +p9215 +tp9216 +a(g827 +g983 +tp9217 +a(g413 +g1262 +tp9218 +a(g827 +g983 +tp9219 +a(g37 +g1269 +tp9220 +a(g706 +g1078 +tp9221 +a(g827 +V\u000a +p9222 +tp9223 +a(g827 +V +p9224 +tp9225 +a(g745 +Vcontinue +p9226 +tp9227 +a(g706 +g1078 +tp9228 +a(g827 +V\u000a +p9229 +tp9230 +a(g827 +V +p9231 +tp9232 +a(g706 +g1336 +tp9233 +a(g827 +V\u000a +p9234 +tp9235 +a(g827 +V +p9236 +tp9237 +a(g436 +VSTACKADJ +p9238 +tp9239 +a(g706 +g1109 +tp9240 +a(g413 +g1480 +tp9241 +a(g37 +g1325 +tp9242 +a(g706 +g1118 +tp9243 +a(g706 +g1078 +tp9244 +a(g827 +V\u000a +p9245 +tp9246 +a(g827 +V +p9247 +tp9248 +a(g745 +Vbreak +p9249 +tp9250 +a(g706 +g1078 +tp9251 +a(g827 +V\u000a +p9252 +tp9253 +a(g827 +V\u000a +p9254 +tp9255 +a(g827 +V +p9256 +tp9257 +a(g745 +Vcase +p9258 +tp9259 +a(g827 +g983 +tp9260 +a(g597 +VUNARY_CONVERT +p9261 +tp9262 +a(g706 +g1157 +tp9263 +a(g827 +V\u000a +p9264 +tp9265 +a(g827 +V +p9266 +tp9267 +a(g436 +g1116 +tp9268 +a(g827 +g983 +tp9269 +a(g413 +g1262 +tp9270 +a(g827 +g983 +tp9271 +a(g436 +VTOP +p9272 +tp9273 +a(g706 +g1109 +tp9274 +a(g706 +g1118 +tp9275 +a(g706 +g1078 +tp9276 +a(g827 +V\u000a +p9277 +tp9278 +a(g827 +V +p9279 +tp9280 +a(g436 +g5367 +tp9281 +a(g827 +g983 +tp9282 +a(g413 +g1262 +tp9283 +a(g827 +g983 +tp9284 +a(g436 +VPyObject_Repr +p9285 +tp9286 +a(g706 +g1109 +tp9287 +a(g436 +g1116 +tp9288 +a(g706 +g1118 +tp9289 +a(g706 +g1078 +tp9290 +a(g827 +V\u000a +p9291 +tp9292 +a(g827 +V +p9293 +tp9294 +a(g436 +VPy_DECREF +p9295 +tp9296 +a(g706 +g1109 +tp9297 +a(g436 +g1116 +tp9298 +a(g706 +g1118 +tp9299 +a(g706 +g1078 +tp9300 +a(g827 +V\u000a +p9301 +tp9302 +a(g827 +V +p9303 +tp9304 +a(g436 +VSET_TOP +p9305 +tp9306 +a(g706 +g1109 +tp9307 +a(g436 +g5367 +tp9308 +a(g706 +g1118 +tp9309 +a(g706 +g1078 +tp9310 +a(g827 +V\u000a +p9311 +tp9312 +a(g827 +V +p9313 +tp9314 +a(g745 +Vif +p9315 +tp9316 +a(g827 +g983 +tp9317 +a(g706 +g1109 +tp9318 +a(g436 +g5367 +tp9319 +a(g827 +g983 +tp9320 +a(g413 +g1260 +tp9321 +a(g413 +g1262 +tp9322 +a(g827 +g983 +tp9323 +a(g682 +VNULL +p9324 +tp9325 +a(g706 +g1118 +tp9326 +a(g827 +g983 +tp9327 +a(g745 +Vcontinue +p9328 +tp9329 +a(g706 +g1078 +tp9330 +a(g827 +V\u000a +p9331 +tp9332 +a(g827 +V +p9333 +tp9334 +a(g745 +Vbreak +p9335 +tp9336 +a(g706 +g1078 +tp9337 +a(g827 +V\u000a +p9338 +tp9339 +a(g827 +V\u000a +p9340 +tp9341 +a(g827 +V +p9342 +tp9343 +a(g745 +Vcase +p9344 +tp9345 +a(g827 +g983 +tp9346 +a(g597 +VUNARY_INVERT +p9347 +tp9348 +a(g706 +g1157 +tp9349 +a(g827 +V\u000a +p9350 +tp9351 +a(g827 +V +p9352 +tp9353 +a(g436 +g1116 +tp9354 +a(g827 +g983 +tp9355 +a(g413 +g1262 +tp9356 +a(g827 +g983 +tp9357 +a(g436 +VTOP +p9358 +tp9359 +a(g706 +g1109 +tp9360 +a(g706 +g1118 +tp9361 +a(g706 +g1078 +tp9362 +a(g827 +V\u000a +p9363 +tp9364 +a(g827 +V +p9365 +tp9366 +a(g436 +g5367 +tp9367 +a(g827 +g983 +tp9368 +a(g413 +g1262 +tp9369 +a(g827 +g983 +tp9370 +a(g436 +VPyNumber_Invert +p9371 +tp9372 +a(g706 +g1109 +tp9373 +a(g436 +g1116 +tp9374 +a(g706 +g1118 +tp9375 +a(g706 +g1078 +tp9376 +a(g827 +V\u000a +p9377 +tp9378 +a(g827 +V +p9379 +tp9380 +a(g436 +VPy_DECREF +p9381 +tp9382 +a(g706 +g1109 +tp9383 +a(g436 +g1116 +tp9384 +a(g706 +g1118 +tp9385 +a(g706 +g1078 +tp9386 +a(g827 +V\u000a +p9387 +tp9388 +a(g827 +V +p9389 +tp9390 +a(g436 +VSET_TOP +p9391 +tp9392 +a(g706 +g1109 +tp9393 +a(g436 +g5367 +tp9394 +a(g706 +g1118 +tp9395 +a(g706 +g1078 +tp9396 +a(g827 +V\u000a +p9397 +tp9398 +a(g827 +V +p9399 +tp9400 +a(g745 +Vif +p9401 +tp9402 +a(g827 +g983 +tp9403 +a(g706 +g1109 +tp9404 +a(g436 +g5367 +tp9405 +a(g827 +g983 +tp9406 +a(g413 +g1260 +tp9407 +a(g413 +g1262 +tp9408 +a(g827 +g983 +tp9409 +a(g682 +VNULL +p9410 +tp9411 +a(g706 +g1118 +tp9412 +a(g827 +g983 +tp9413 +a(g745 +Vcontinue +p9414 +tp9415 +a(g706 +g1078 +tp9416 +a(g827 +V\u000a +p9417 +tp9418 +a(g827 +V +p9419 +tp9420 +a(g745 +Vbreak +p9421 +tp9422 +a(g706 +g1078 +tp9423 +a(g827 +V\u000a +p9424 +tp9425 +a(g827 +V\u000a +p9426 +tp9427 +a(g827 +V +p9428 +tp9429 +a(g745 +Vcase +p9430 +tp9431 +a(g827 +g983 +tp9432 +a(g597 +VBINARY_POWER +p9433 +tp9434 +a(g706 +g1157 +tp9435 +a(g827 +V\u000a +p9436 +tp9437 +a(g827 +V +p9438 +tp9439 +a(g436 +g5398 +tp9440 +a(g827 +g983 +tp9441 +a(g413 +g1262 +tp9442 +a(g827 +g983 +tp9443 +a(g436 +VPOP +p9444 +tp9445 +a(g706 +g1109 +tp9446 +a(g706 +g1118 +tp9447 +a(g706 +g1078 +tp9448 +a(g827 +V\u000a +p9449 +tp9450 +a(g827 +V +p9451 +tp9452 +a(g436 +g1116 +tp9453 +a(g827 +g983 +tp9454 +a(g413 +g1262 +tp9455 +a(g827 +g983 +tp9456 +a(g436 +VTOP +p9457 +tp9458 +a(g706 +g1109 +tp9459 +a(g706 +g1118 +tp9460 +a(g706 +g1078 +tp9461 +a(g827 +V\u000a +p9462 +tp9463 +a(g827 +V +p9464 +tp9465 +a(g436 +g5367 +tp9466 +a(g827 +g983 +tp9467 +a(g413 +g1262 +tp9468 +a(g827 +g983 +tp9469 +a(g436 +VPyNumber_Power +p9470 +tp9471 +a(g706 +g1109 +tp9472 +a(g436 +g1116 +tp9473 +a(g706 +g1139 +tp9474 +a(g827 +g983 +tp9475 +a(g436 +g5398 +tp9476 +a(g706 +g1139 +tp9477 +a(g827 +g983 +tp9478 +a(g436 +VPy_None +p9479 +tp9480 +a(g706 +g1118 +tp9481 +a(g706 +g1078 +tp9482 +a(g827 +V\u000a +p9483 +tp9484 +a(g827 +V +p9485 +tp9486 +a(g436 +VPy_DECREF +p9487 +tp9488 +a(g706 +g1109 +tp9489 +a(g436 +g1116 +tp9490 +a(g706 +g1118 +tp9491 +a(g706 +g1078 +tp9492 +a(g827 +V\u000a +p9493 +tp9494 +a(g827 +V +p9495 +tp9496 +a(g436 +VPy_DECREF +p9497 +tp9498 +a(g706 +g1109 +tp9499 +a(g436 +g5398 +tp9500 +a(g706 +g1118 +tp9501 +a(g706 +g1078 +tp9502 +a(g827 +V\u000a +p9503 +tp9504 +a(g827 +V +p9505 +tp9506 +a(g436 +VSET_TOP +p9507 +tp9508 +a(g706 +g1109 +tp9509 +a(g436 +g5367 +tp9510 +a(g706 +g1118 +tp9511 +a(g706 +g1078 +tp9512 +a(g827 +V\u000a +p9513 +tp9514 +a(g827 +V +p9515 +tp9516 +a(g745 +Vif +p9517 +tp9518 +a(g827 +g983 +tp9519 +a(g706 +g1109 +tp9520 +a(g436 +g5367 +tp9521 +a(g827 +g983 +tp9522 +a(g413 +g1260 +tp9523 +a(g413 +g1262 +tp9524 +a(g827 +g983 +tp9525 +a(g682 +VNULL +p9526 +tp9527 +a(g706 +g1118 +tp9528 +a(g827 +g983 +tp9529 +a(g745 +Vcontinue +p9530 +tp9531 +a(g706 +g1078 +tp9532 +a(g827 +V\u000a +p9533 +tp9534 +a(g827 +V +p9535 +tp9536 +a(g745 +Vbreak +p9537 +tp9538 +a(g706 +g1078 +tp9539 +a(g827 +V\u000a +p9540 +tp9541 +a(g827 +V\u000a +p9542 +tp9543 +a(g827 +V +p9544 +tp9545 +a(g745 +Vcase +p9546 +tp9547 +a(g827 +g983 +tp9548 +a(g597 +VBINARY_MULTIPLY +p9549 +tp9550 +a(g706 +g1157 +tp9551 +a(g827 +V\u000a +p9552 +tp9553 +a(g827 +V +p9554 +tp9555 +a(g436 +g5398 +tp9556 +a(g827 +g983 +tp9557 +a(g413 +g1262 +tp9558 +a(g827 +g983 +tp9559 +a(g436 +VPOP +p9560 +tp9561 +a(g706 +g1109 +tp9562 +a(g706 +g1118 +tp9563 +a(g706 +g1078 +tp9564 +a(g827 +V\u000a +p9565 +tp9566 +a(g827 +V +p9567 +tp9568 +a(g436 +g1116 +tp9569 +a(g827 +g983 +tp9570 +a(g413 +g1262 +tp9571 +a(g827 +g983 +tp9572 +a(g436 +VTOP +p9573 +tp9574 +a(g706 +g1109 +tp9575 +a(g706 +g1118 +tp9576 +a(g706 +g1078 +tp9577 +a(g827 +V\u000a +p9578 +tp9579 +a(g827 +V +p9580 +tp9581 +a(g436 +g5367 +tp9582 +a(g827 +g983 +tp9583 +a(g413 +g1262 +tp9584 +a(g827 +g983 +tp9585 +a(g436 +VPyNumber_Multiply +p9586 +tp9587 +a(g706 +g1109 +tp9588 +a(g436 +g1116 +tp9589 +a(g706 +g1139 +tp9590 +a(g827 +g983 +tp9591 +a(g436 +g5398 +tp9592 +a(g706 +g1118 +tp9593 +a(g706 +g1078 +tp9594 +a(g827 +V\u000a +p9595 +tp9596 +a(g827 +V +p9597 +tp9598 +a(g436 +VPy_DECREF +p9599 +tp9600 +a(g706 +g1109 +tp9601 +a(g436 +g1116 +tp9602 +a(g706 +g1118 +tp9603 +a(g706 +g1078 +tp9604 +a(g827 +V\u000a +p9605 +tp9606 +a(g827 +V +p9607 +tp9608 +a(g436 +VPy_DECREF +p9609 +tp9610 +a(g706 +g1109 +tp9611 +a(g436 +g5398 +tp9612 +a(g706 +g1118 +tp9613 +a(g706 +g1078 +tp9614 +a(g827 +V\u000a +p9615 +tp9616 +a(g827 +V +p9617 +tp9618 +a(g436 +VSET_TOP +p9619 +tp9620 +a(g706 +g1109 +tp9621 +a(g436 +g5367 +tp9622 +a(g706 +g1118 +tp9623 +a(g706 +g1078 +tp9624 +a(g827 +V\u000a +p9625 +tp9626 +a(g827 +V +p9627 +tp9628 +a(g745 +Vif +p9629 +tp9630 +a(g827 +g983 +tp9631 +a(g706 +g1109 +tp9632 +a(g436 +g5367 +tp9633 +a(g827 +g983 +tp9634 +a(g413 +g1260 +tp9635 +a(g413 +g1262 +tp9636 +a(g827 +g983 +tp9637 +a(g682 +VNULL +p9638 +tp9639 +a(g706 +g1118 +tp9640 +a(g827 +g983 +tp9641 +a(g745 +Vcontinue +p9642 +tp9643 +a(g706 +g1078 +tp9644 +a(g827 +V\u000a +p9645 +tp9646 +a(g827 +V +p9647 +tp9648 +a(g745 +Vbreak +p9649 +tp9650 +a(g706 +g1078 +tp9651 +a(g827 +V\u000a +p9652 +tp9653 +a(g827 +V\u000a +p9654 +tp9655 +a(g827 +V +p9656 +tp9657 +a(g745 +Vcase +p9658 +tp9659 +a(g827 +g983 +tp9660 +a(g597 +VBINARY_DIVIDE +p9661 +tp9662 +a(g706 +g1157 +tp9663 +a(g827 +V\u000a +p9664 +tp9665 +a(g827 +V +p9666 +tp9667 +a(g745 +Vif +p9668 +tp9669 +a(g827 +g983 +tp9670 +a(g706 +g1109 +tp9671 +a(g413 +g1260 +tp9672 +a(g436 +V_Py_QnewFlag +p9673 +tp9674 +a(g706 +g1118 +tp9675 +a(g827 +g983 +tp9676 +a(g706 +g1122 +tp9677 +a(g827 +V\u000a +p9678 +tp9679 +a(g827 +V +p9680 +tp9681 +a(g436 +g5398 +tp9682 +a(g827 +g983 +tp9683 +a(g413 +g1262 +tp9684 +a(g827 +g983 +tp9685 +a(g436 +VPOP +p9686 +tp9687 +a(g706 +g1109 +tp9688 +a(g706 +g1118 +tp9689 +a(g706 +g1078 +tp9690 +a(g827 +V\u000a +p9691 +tp9692 +a(g827 +V +p9693 +tp9694 +a(g436 +g1116 +tp9695 +a(g827 +g983 +tp9696 +a(g413 +g1262 +tp9697 +a(g827 +g983 +tp9698 +a(g436 +VTOP +p9699 +tp9700 +a(g706 +g1109 +tp9701 +a(g706 +g1118 +tp9702 +a(g706 +g1078 +tp9703 +a(g827 +V\u000a +p9704 +tp9705 +a(g827 +V +p9706 +tp9707 +a(g436 +g5367 +tp9708 +a(g827 +g983 +tp9709 +a(g413 +g1262 +tp9710 +a(g827 +g983 +tp9711 +a(g436 +VPyNumber_Divide +p9712 +tp9713 +a(g706 +g1109 +tp9714 +a(g436 +g1116 +tp9715 +a(g706 +g1139 +tp9716 +a(g827 +g983 +tp9717 +a(g436 +g5398 +tp9718 +a(g706 +g1118 +tp9719 +a(g706 +g1078 +tp9720 +a(g827 +V\u000a +p9721 +tp9722 +a(g827 +V +p9723 +tp9724 +a(g436 +VPy_DECREF +p9725 +tp9726 +a(g706 +g1109 +tp9727 +a(g436 +g1116 +tp9728 +a(g706 +g1118 +tp9729 +a(g706 +g1078 +tp9730 +a(g827 +V\u000a +p9731 +tp9732 +a(g827 +V +p9733 +tp9734 +a(g436 +VPy_DECREF +p9735 +tp9736 +a(g706 +g1109 +tp9737 +a(g436 +g5398 +tp9738 +a(g706 +g1118 +tp9739 +a(g706 +g1078 +tp9740 +a(g827 +V\u000a +p9741 +tp9742 +a(g827 +V +p9743 +tp9744 +a(g436 +VSET_TOP +p9745 +tp9746 +a(g706 +g1109 +tp9747 +a(g436 +g5367 +tp9748 +a(g706 +g1118 +tp9749 +a(g706 +g1078 +tp9750 +a(g827 +V\u000a +p9751 +tp9752 +a(g827 +V +p9753 +tp9754 +a(g745 +Vif +p9755 +tp9756 +a(g827 +g983 +tp9757 +a(g706 +g1109 +tp9758 +a(g436 +g5367 +tp9759 +a(g827 +g983 +tp9760 +a(g413 +g1260 +tp9761 +a(g413 +g1262 +tp9762 +a(g827 +g983 +tp9763 +a(g682 +VNULL +p9764 +tp9765 +a(g706 +g1118 +tp9766 +a(g827 +g983 +tp9767 +a(g745 +Vcontinue +p9768 +tp9769 +a(g706 +g1078 +tp9770 +a(g827 +V\u000a +p9771 +tp9772 +a(g827 +V +p9773 +tp9774 +a(g745 +Vbreak +p9775 +tp9776 +a(g706 +g1078 +tp9777 +a(g827 +V\u000a +p9778 +tp9779 +a(g827 +V +p9780 +tp9781 +a(g706 +g1336 +tp9782 +a(g827 +V\u000a +p9783 +tp9784 +a(g827 +V +p9785 +tp9786 +a(g8 +V/* -Qnew is in effect: fall through to\u000a BINARY_TRUE_DIVIDE */ +p9787 +tp9788 +a(g827 +V\u000a +p9789 +tp9790 +a(g827 +V +p9791 +tp9792 +a(g745 +Vcase +p9793 +tp9794 +a(g827 +g983 +tp9795 +a(g597 +VBINARY_TRUE_DIVIDE +p9796 +tp9797 +a(g706 +g1157 +tp9798 +a(g827 +V\u000a +p9799 +tp9800 +a(g827 +V +p9801 +tp9802 +a(g436 +g5398 +tp9803 +a(g827 +g983 +tp9804 +a(g413 +g1262 +tp9805 +a(g827 +g983 +tp9806 +a(g436 +VPOP +p9807 +tp9808 +a(g706 +g1109 +tp9809 +a(g706 +g1118 +tp9810 +a(g706 +g1078 +tp9811 +a(g827 +V\u000a +p9812 +tp9813 +a(g827 +V +p9814 +tp9815 +a(g436 +g1116 +tp9816 +a(g827 +g983 +tp9817 +a(g413 +g1262 +tp9818 +a(g827 +g983 +tp9819 +a(g436 +VTOP +p9820 +tp9821 +a(g706 +g1109 +tp9822 +a(g706 +g1118 +tp9823 +a(g706 +g1078 +tp9824 +a(g827 +V\u000a +p9825 +tp9826 +a(g827 +V +p9827 +tp9828 +a(g436 +g5367 +tp9829 +a(g827 +g983 +tp9830 +a(g413 +g1262 +tp9831 +a(g827 +g983 +tp9832 +a(g436 +VPyNumber_TrueDivide +p9833 +tp9834 +a(g706 +g1109 +tp9835 +a(g436 +g1116 +tp9836 +a(g706 +g1139 +tp9837 +a(g827 +g983 +tp9838 +a(g436 +g5398 +tp9839 +a(g706 +g1118 +tp9840 +a(g706 +g1078 +tp9841 +a(g827 +V\u000a +p9842 +tp9843 +a(g827 +V +p9844 +tp9845 +a(g436 +VPy_DECREF +p9846 +tp9847 +a(g706 +g1109 +tp9848 +a(g436 +g1116 +tp9849 +a(g706 +g1118 +tp9850 +a(g706 +g1078 +tp9851 +a(g827 +V\u000a +p9852 +tp9853 +a(g827 +V +p9854 +tp9855 +a(g436 +VPy_DECREF +p9856 +tp9857 +a(g706 +g1109 +tp9858 +a(g436 +g5398 +tp9859 +a(g706 +g1118 +tp9860 +a(g706 +g1078 +tp9861 +a(g827 +V\u000a +p9862 +tp9863 +a(g827 +V +p9864 +tp9865 +a(g436 +VSET_TOP +p9866 +tp9867 +a(g706 +g1109 +tp9868 +a(g436 +g5367 +tp9869 +a(g706 +g1118 +tp9870 +a(g706 +g1078 +tp9871 +a(g827 +V\u000a +p9872 +tp9873 +a(g827 +V +p9874 +tp9875 +a(g745 +Vif +p9876 +tp9877 +a(g827 +g983 +tp9878 +a(g706 +g1109 +tp9879 +a(g436 +g5367 +tp9880 +a(g827 +g983 +tp9881 +a(g413 +g1260 +tp9882 +a(g413 +g1262 +tp9883 +a(g827 +g983 +tp9884 +a(g682 +VNULL +p9885 +tp9886 +a(g706 +g1118 +tp9887 +a(g827 +g983 +tp9888 +a(g745 +Vcontinue +p9889 +tp9890 +a(g706 +g1078 +tp9891 +a(g827 +V\u000a +p9892 +tp9893 +a(g827 +V +p9894 +tp9895 +a(g745 +Vbreak +p9896 +tp9897 +a(g706 +g1078 +tp9898 +a(g827 +V\u000a +p9899 +tp9900 +a(g827 +V\u000a +p9901 +tp9902 +a(g827 +V +p9903 +tp9904 +a(g745 +Vcase +p9905 +tp9906 +a(g827 +g983 +tp9907 +a(g597 +VBINARY_FLOOR_DIVIDE +p9908 +tp9909 +a(g706 +g1157 +tp9910 +a(g827 +V\u000a +p9911 +tp9912 +a(g827 +V +p9913 +tp9914 +a(g436 +g5398 +tp9915 +a(g827 +g983 +tp9916 +a(g413 +g1262 +tp9917 +a(g827 +g983 +tp9918 +a(g436 +VPOP +p9919 +tp9920 +a(g706 +g1109 +tp9921 +a(g706 +g1118 +tp9922 +a(g706 +g1078 +tp9923 +a(g827 +V\u000a +p9924 +tp9925 +a(g827 +V +p9926 +tp9927 +a(g436 +g1116 +tp9928 +a(g827 +g983 +tp9929 +a(g413 +g1262 +tp9930 +a(g827 +g983 +tp9931 +a(g436 +VTOP +p9932 +tp9933 +a(g706 +g1109 +tp9934 +a(g706 +g1118 +tp9935 +a(g706 +g1078 +tp9936 +a(g827 +V\u000a +p9937 +tp9938 +a(g827 +V +p9939 +tp9940 +a(g436 +g5367 +tp9941 +a(g827 +g983 +tp9942 +a(g413 +g1262 +tp9943 +a(g827 +g983 +tp9944 +a(g436 +VPyNumber_FloorDivide +p9945 +tp9946 +a(g706 +g1109 +tp9947 +a(g436 +g1116 +tp9948 +a(g706 +g1139 +tp9949 +a(g827 +g983 +tp9950 +a(g436 +g5398 +tp9951 +a(g706 +g1118 +tp9952 +a(g706 +g1078 +tp9953 +a(g827 +V\u000a +p9954 +tp9955 +a(g827 +V +p9956 +tp9957 +a(g436 +VPy_DECREF +p9958 +tp9959 +a(g706 +g1109 +tp9960 +a(g436 +g1116 +tp9961 +a(g706 +g1118 +tp9962 +a(g706 +g1078 +tp9963 +a(g827 +V\u000a +p9964 +tp9965 +a(g827 +V +p9966 +tp9967 +a(g436 +VPy_DECREF +p9968 +tp9969 +a(g706 +g1109 +tp9970 +a(g436 +g5398 +tp9971 +a(g706 +g1118 +tp9972 +a(g706 +g1078 +tp9973 +a(g827 +V\u000a +p9974 +tp9975 +a(g827 +V +p9976 +tp9977 +a(g436 +VSET_TOP +p9978 +tp9979 +a(g706 +g1109 +tp9980 +a(g436 +g5367 +tp9981 +a(g706 +g1118 +tp9982 +a(g706 +g1078 +tp9983 +a(g827 +V\u000a +p9984 +tp9985 +a(g827 +V +p9986 +tp9987 +a(g745 +Vif +p9988 +tp9989 +a(g827 +g983 +tp9990 +a(g706 +g1109 +tp9991 +a(g436 +g5367 +tp9992 +a(g827 +g983 +tp9993 +a(g413 +g1260 +tp9994 +a(g413 +g1262 +tp9995 +a(g827 +g983 +tp9996 +a(g682 +VNULL +p9997 +tp9998 +a(g706 +g1118 +tp9999 +a(g827 +g983 +tp10000 +a(g745 +Vcontinue +p10001 +tp10002 +a(g706 +g1078 +tp10003 +a(g827 +V\u000a +p10004 +tp10005 +a(g827 +V +p10006 +tp10007 +a(g745 +Vbreak +p10008 +tp10009 +a(g706 +g1078 +tp10010 +a(g827 +V\u000a +p10011 +tp10012 +a(g827 +V\u000a +p10013 +tp10014 +a(g827 +V +p10015 +tp10016 +a(g745 +Vcase +p10017 +tp10018 +a(g827 +g983 +tp10019 +a(g597 +VBINARY_MODULO +p10020 +tp10021 +a(g706 +g1157 +tp10022 +a(g827 +V\u000a +p10023 +tp10024 +a(g827 +V +p10025 +tp10026 +a(g436 +g5398 +tp10027 +a(g827 +g983 +tp10028 +a(g413 +g1262 +tp10029 +a(g827 +g983 +tp10030 +a(g436 +VPOP +p10031 +tp10032 +a(g706 +g1109 +tp10033 +a(g706 +g1118 +tp10034 +a(g706 +g1078 +tp10035 +a(g827 +V\u000a +p10036 +tp10037 +a(g827 +V +p10038 +tp10039 +a(g436 +g1116 +tp10040 +a(g827 +g983 +tp10041 +a(g413 +g1262 +tp10042 +a(g827 +g983 +tp10043 +a(g436 +VTOP +p10044 +tp10045 +a(g706 +g1109 +tp10046 +a(g706 +g1118 +tp10047 +a(g706 +g1078 +tp10048 +a(g827 +V\u000a +p10049 +tp10050 +a(g827 +V +p10051 +tp10052 +a(g436 +g5367 +tp10053 +a(g827 +g983 +tp10054 +a(g413 +g1262 +tp10055 +a(g827 +g983 +tp10056 +a(g436 +VPyNumber_Remainder +p10057 +tp10058 +a(g706 +g1109 +tp10059 +a(g436 +g1116 +tp10060 +a(g706 +g1139 +tp10061 +a(g827 +g983 +tp10062 +a(g436 +g5398 +tp10063 +a(g706 +g1118 +tp10064 +a(g706 +g1078 +tp10065 +a(g827 +V\u000a +p10066 +tp10067 +a(g827 +V +p10068 +tp10069 +a(g436 +VPy_DECREF +p10070 +tp10071 +a(g706 +g1109 +tp10072 +a(g436 +g1116 +tp10073 +a(g706 +g1118 +tp10074 +a(g706 +g1078 +tp10075 +a(g827 +V\u000a +p10076 +tp10077 +a(g827 +V +p10078 +tp10079 +a(g436 +VPy_DECREF +p10080 +tp10081 +a(g706 +g1109 +tp10082 +a(g436 +g5398 +tp10083 +a(g706 +g1118 +tp10084 +a(g706 +g1078 +tp10085 +a(g827 +V\u000a +p10086 +tp10087 +a(g827 +V +p10088 +tp10089 +a(g436 +VSET_TOP +p10090 +tp10091 +a(g706 +g1109 +tp10092 +a(g436 +g5367 +tp10093 +a(g706 +g1118 +tp10094 +a(g706 +g1078 +tp10095 +a(g827 +V\u000a +p10096 +tp10097 +a(g827 +V +p10098 +tp10099 +a(g745 +Vif +p10100 +tp10101 +a(g827 +g983 +tp10102 +a(g706 +g1109 +tp10103 +a(g436 +g5367 +tp10104 +a(g827 +g983 +tp10105 +a(g413 +g1260 +tp10106 +a(g413 +g1262 +tp10107 +a(g827 +g983 +tp10108 +a(g682 +VNULL +p10109 +tp10110 +a(g706 +g1118 +tp10111 +a(g827 +g983 +tp10112 +a(g745 +Vcontinue +p10113 +tp10114 +a(g706 +g1078 +tp10115 +a(g827 +V\u000a +p10116 +tp10117 +a(g827 +V +p10118 +tp10119 +a(g745 +Vbreak +p10120 +tp10121 +a(g706 +g1078 +tp10122 +a(g827 +V\u000a +p10123 +tp10124 +a(g827 +V\u000a +p10125 +tp10126 +a(g827 +V +p10127 +tp10128 +a(g745 +Vcase +p10129 +tp10130 +a(g827 +g983 +tp10131 +a(g597 +VBINARY_ADD +p10132 +tp10133 +a(g706 +g1157 +tp10134 +a(g827 +V\u000a +p10135 +tp10136 +a(g827 +V +p10137 +tp10138 +a(g436 +g5398 +tp10139 +a(g827 +g983 +tp10140 +a(g413 +g1262 +tp10141 +a(g827 +g983 +tp10142 +a(g436 +VPOP +p10143 +tp10144 +a(g706 +g1109 +tp10145 +a(g706 +g1118 +tp10146 +a(g706 +g1078 +tp10147 +a(g827 +V\u000a +p10148 +tp10149 +a(g827 +V +p10150 +tp10151 +a(g436 +g1116 +tp10152 +a(g827 +g983 +tp10153 +a(g413 +g1262 +tp10154 +a(g827 +g983 +tp10155 +a(g436 +VTOP +p10156 +tp10157 +a(g706 +g1109 +tp10158 +a(g706 +g1118 +tp10159 +a(g706 +g1078 +tp10160 +a(g827 +V\u000a +p10161 +tp10162 +a(g827 +V +p10163 +tp10164 +a(g745 +Vif +p10165 +tp10166 +a(g827 +g983 +tp10167 +a(g706 +g1109 +tp10168 +a(g436 +VPyInt_CheckExact +p10169 +tp10170 +a(g706 +g1109 +tp10171 +a(g436 +g1116 +tp10172 +a(g706 +g1118 +tp10173 +a(g827 +g983 +tp10174 +a(g413 +g4166 +tp10175 +a(g413 +g4166 +tp10176 +a(g827 +g983 +tp10177 +a(g436 +VPyInt_CheckExact +p10178 +tp10179 +a(g706 +g1109 +tp10180 +a(g436 +g5398 +tp10181 +a(g706 +g1118 +tp10182 +a(g706 +g1118 +tp10183 +a(g827 +g983 +tp10184 +a(g706 +g1122 +tp10185 +a(g827 +V\u000a +p10186 +tp10187 +a(g827 +V +p10188 +tp10189 +a(g8 +V/* INLINE: int + int */ +p10190 +tp10191 +a(g827 +V\u000a +p10192 +tp10193 +a(g827 +V +p10194 +tp10195 +a(g745 +Vregister +p10196 +tp10197 +a(g827 +g983 +tp10198 +a(g749 +Vlong +p10199 +tp10200 +a(g827 +g983 +tp10201 +a(g436 +Va +p10202 +tp10203 +a(g706 +g1139 +tp10204 +a(g827 +g983 +tp10205 +a(g436 +Vb +p10206 +tp10207 +a(g706 +g1139 +tp10208 +a(g827 +g983 +tp10209 +a(g436 +g3898 +tp10210 +a(g706 +g1078 +tp10211 +a(g827 +V\u000a +p10212 +tp10213 +a(g827 +V +p10214 +tp10215 +a(g436 +g10202 +tp10216 +a(g827 +g983 +tp10217 +a(g413 +g1262 +tp10218 +a(g827 +g983 +tp10219 +a(g436 +VPyInt_AS_LONG +p10220 +tp10221 +a(g706 +g1109 +tp10222 +a(g436 +g1116 +tp10223 +a(g706 +g1118 +tp10224 +a(g706 +g1078 +tp10225 +a(g827 +V\u000a +p10226 +tp10227 +a(g827 +V +p10228 +tp10229 +a(g436 +g10206 +tp10230 +a(g827 +g983 +tp10231 +a(g413 +g1262 +tp10232 +a(g827 +g983 +tp10233 +a(g436 +VPyInt_AS_LONG +p10234 +tp10235 +a(g706 +g1109 +tp10236 +a(g436 +g5398 +tp10237 +a(g706 +g1118 +tp10238 +a(g706 +g1078 +tp10239 +a(g827 +V\u000a +p10240 +tp10241 +a(g827 +V +p10242 +tp10243 +a(g436 +g3898 +tp10244 +a(g827 +g983 +tp10245 +a(g413 +g1262 +tp10246 +a(g827 +g983 +tp10247 +a(g436 +g10202 +tp10248 +a(g827 +g983 +tp10249 +a(g413 +g3965 +tp10250 +a(g827 +g983 +tp10251 +a(g436 +g10206 +tp10252 +a(g706 +g1078 +tp10253 +a(g827 +V\u000a +p10254 +tp10255 +a(g827 +V +p10256 +tp10257 +a(g745 +Vif +p10258 +tp10259 +a(g827 +g983 +tp10260 +a(g706 +g1109 +tp10261 +a(g706 +g1109 +tp10262 +a(g436 +g3898 +tp10263 +a(g413 +V^ +p10264 +tp10265 +a(g436 +g10202 +tp10266 +a(g706 +g1118 +tp10267 +a(g827 +g983 +tp10268 +a(g413 +g4395 +tp10269 +a(g827 +g983 +tp10270 +a(g37 +g1269 +tp10271 +a(g827 +g983 +tp10272 +a(g413 +g4166 +tp10273 +a(g413 +g4166 +tp10274 +a(g827 +g983 +tp10275 +a(g706 +g1109 +tp10276 +a(g436 +g3898 +tp10277 +a(g413 +g10264 +tp10278 +a(g436 +g10206 +tp10279 +a(g706 +g1118 +tp10280 +a(g827 +g983 +tp10281 +a(g413 +g4395 +tp10282 +a(g827 +g983 +tp10283 +a(g37 +g1269 +tp10284 +a(g706 +g1118 +tp10285 +a(g827 +V\u000a +p10286 +tp10287 +a(g827 +V +p10288 +tp10289 +a(g745 +Vgoto +p10290 +tp10291 +a(g827 +g983 +tp10292 +a(g436 +Vslow_add +p10293 +tp10294 +a(g706 +g1078 +tp10295 +a(g827 +V\u000a +p10296 +tp10297 +a(g827 +V +p10298 +tp10299 +a(g436 +g5367 +tp10300 +a(g827 +g983 +tp10301 +a(g413 +g1262 +tp10302 +a(g827 +g983 +tp10303 +a(g436 +VPyInt_FromLong +p10304 +tp10305 +a(g706 +g1109 +tp10306 +a(g436 +g3898 +tp10307 +a(g706 +g1118 +tp10308 +a(g706 +g1078 +tp10309 +a(g827 +V\u000a +p10310 +tp10311 +a(g827 +V +p10312 +tp10313 +a(g706 +g1336 +tp10314 +a(g827 +V\u000a +p10315 +tp10316 +a(g827 +V +p10317 +tp10318 +a(g745 +Velse +p10319 +tp10320 +a(g827 +g983 +tp10321 +a(g745 +Vif +p10322 +tp10323 +a(g827 +g983 +tp10324 +a(g706 +g1109 +tp10325 +a(g436 +VPyString_CheckExact +p10326 +tp10327 +a(g706 +g1109 +tp10328 +a(g436 +g1116 +tp10329 +a(g706 +g1118 +tp10330 +a(g827 +g983 +tp10331 +a(g413 +g4166 +tp10332 +a(g413 +g4166 +tp10333 +a(g827 +V\u000a +p10334 +tp10335 +a(g827 +V +p10336 +tp10337 +a(g436 +VPyString_CheckExact +p10338 +tp10339 +a(g706 +g1109 +tp10340 +a(g436 +g5398 +tp10341 +a(g706 +g1118 +tp10342 +a(g706 +g1118 +tp10343 +a(g827 +g983 +tp10344 +a(g706 +g1122 +tp10345 +a(g827 +V\u000a +p10346 +tp10347 +a(g827 +V +p10348 +tp10349 +a(g436 +g5367 +tp10350 +a(g827 +g983 +tp10351 +a(g413 +g1262 +tp10352 +a(g827 +g983 +tp10353 +a(g436 +Vstring_concatenate +p10354 +tp10355 +a(g706 +g1109 +tp10356 +a(g436 +g1116 +tp10357 +a(g706 +g1139 +tp10358 +a(g827 +g983 +tp10359 +a(g436 +g5398 +tp10360 +a(g706 +g1139 +tp10361 +a(g827 +g983 +tp10362 +a(g436 +g5180 +tp10363 +a(g706 +g1139 +tp10364 +a(g827 +g983 +tp10365 +a(g436 +Vnext_instr +p10366 +tp10367 +a(g706 +g1118 +tp10368 +a(g706 +g1078 +tp10369 +a(g827 +V\u000a +p10370 +tp10371 +a(g827 +V +p10372 +tp10373 +a(g8 +V/* string_concatenate consumed the ref to v */ +p10374 +tp10375 +a(g827 +V\u000a +p10376 +tp10377 +a(g827 +V +p10378 +tp10379 +a(g745 +Vgoto +p10380 +tp10381 +a(g827 +g983 +tp10382 +a(g436 +Vskip_decref_vx +p10383 +tp10384 +a(g706 +g1078 +tp10385 +a(g827 +V\u000a +p10386 +tp10387 +a(g827 +V +p10388 +tp10389 +a(g706 +g1336 +tp10390 +a(g827 +V\u000a +p10391 +tp10392 +a(g827 +V +p10393 +tp10394 +a(g745 +Velse +p10395 +tp10396 +a(g827 +g983 +tp10397 +a(g706 +g1122 +tp10398 +a(g827 +V\u000a +p10399 +tp10400 +a(g827 +V +p10401 +tp10402 +a(g597 +Vslow_add +p10403 +tp10404 +a(g706 +g1157 +tp10405 +a(g827 +V\u000a +p10406 +tp10407 +a(g827 +V +p10408 +tp10409 +a(g436 +g5367 +tp10410 +a(g827 +g983 +tp10411 +a(g413 +g1262 +tp10412 +a(g827 +g983 +tp10413 +a(g436 +VPyNumber_Add +p10414 +tp10415 +a(g706 +g1109 +tp10416 +a(g436 +g1116 +tp10417 +a(g706 +g1139 +tp10418 +a(g827 +g983 +tp10419 +a(g436 +g5398 +tp10420 +a(g706 +g1118 +tp10421 +a(g706 +g1078 +tp10422 +a(g827 +V\u000a +p10423 +tp10424 +a(g827 +V +p10425 +tp10426 +a(g706 +g1336 +tp10427 +a(g827 +V\u000a +p10428 +tp10429 +a(g827 +V +p10430 +tp10431 +a(g436 +VPy_DECREF +p10432 +tp10433 +a(g706 +g1109 +tp10434 +a(g436 +g1116 +tp10435 +a(g706 +g1118 +tp10436 +a(g706 +g1078 +tp10437 +a(g827 +V\u000a +p10438 +tp10439 +a(g827 +V +p10440 +tp10441 +a(g597 +Vskip_decref_vx +p10442 +tp10443 +a(g706 +g1157 +tp10444 +a(g827 +V\u000a +p10445 +tp10446 +a(g827 +V +p10447 +tp10448 +a(g436 +VPy_DECREF +p10449 +tp10450 +a(g706 +g1109 +tp10451 +a(g436 +g5398 +tp10452 +a(g706 +g1118 +tp10453 +a(g706 +g1078 +tp10454 +a(g827 +V\u000a +p10455 +tp10456 +a(g827 +V +p10457 +tp10458 +a(g436 +VSET_TOP +p10459 +tp10460 +a(g706 +g1109 +tp10461 +a(g436 +g5367 +tp10462 +a(g706 +g1118 +tp10463 +a(g706 +g1078 +tp10464 +a(g827 +V\u000a +p10465 +tp10466 +a(g827 +V +p10467 +tp10468 +a(g745 +Vif +p10469 +tp10470 +a(g827 +g983 +tp10471 +a(g706 +g1109 +tp10472 +a(g436 +g5367 +tp10473 +a(g827 +g983 +tp10474 +a(g413 +g1260 +tp10475 +a(g413 +g1262 +tp10476 +a(g827 +g983 +tp10477 +a(g682 +VNULL +p10478 +tp10479 +a(g706 +g1118 +tp10480 +a(g827 +g983 +tp10481 +a(g745 +Vcontinue +p10482 +tp10483 +a(g706 +g1078 +tp10484 +a(g827 +V\u000a +p10485 +tp10486 +a(g827 +V +p10487 +tp10488 +a(g745 +Vbreak +p10489 +tp10490 +a(g706 +g1078 +tp10491 +a(g827 +V\u000a +p10492 +tp10493 +a(g827 +V\u000a +p10494 +tp10495 +a(g827 +V +p10496 +tp10497 +a(g745 +Vcase +p10498 +tp10499 +a(g827 +g983 +tp10500 +a(g597 +VBINARY_SUBTRACT +p10501 +tp10502 +a(g706 +g1157 +tp10503 +a(g827 +V\u000a +p10504 +tp10505 +a(g827 +V +p10506 +tp10507 +a(g436 +g5398 +tp10508 +a(g827 +g983 +tp10509 +a(g413 +g1262 +tp10510 +a(g827 +g983 +tp10511 +a(g436 +VPOP +p10512 +tp10513 +a(g706 +g1109 +tp10514 +a(g706 +g1118 +tp10515 +a(g706 +g1078 +tp10516 +a(g827 +V\u000a +p10517 +tp10518 +a(g827 +V +p10519 +tp10520 +a(g436 +g1116 +tp10521 +a(g827 +g983 +tp10522 +a(g413 +g1262 +tp10523 +a(g827 +g983 +tp10524 +a(g436 +VTOP +p10525 +tp10526 +a(g706 +g1109 +tp10527 +a(g706 +g1118 +tp10528 +a(g706 +g1078 +tp10529 +a(g827 +V\u000a +p10530 +tp10531 +a(g827 +V +p10532 +tp10533 +a(g745 +Vif +p10534 +tp10535 +a(g827 +g983 +tp10536 +a(g706 +g1109 +tp10537 +a(g436 +VPyInt_CheckExact +p10538 +tp10539 +a(g706 +g1109 +tp10540 +a(g436 +g1116 +tp10541 +a(g706 +g1118 +tp10542 +a(g827 +g983 +tp10543 +a(g413 +g4166 +tp10544 +a(g413 +g4166 +tp10545 +a(g827 +g983 +tp10546 +a(g436 +VPyInt_CheckExact +p10547 +tp10548 +a(g706 +g1109 +tp10549 +a(g436 +g5398 +tp10550 +a(g706 +g1118 +tp10551 +a(g706 +g1118 +tp10552 +a(g827 +g983 +tp10553 +a(g706 +g1122 +tp10554 +a(g827 +V\u000a +p10555 +tp10556 +a(g827 +V +p10557 +tp10558 +a(g8 +V/* INLINE: int - int */ +p10559 +tp10560 +a(g827 +V\u000a +p10561 +tp10562 +a(g827 +V +p10563 +tp10564 +a(g745 +Vregister +p10565 +tp10566 +a(g827 +g983 +tp10567 +a(g749 +Vlong +p10568 +tp10569 +a(g827 +g983 +tp10570 +a(g436 +g10202 +tp10571 +a(g706 +g1139 +tp10572 +a(g827 +g983 +tp10573 +a(g436 +g10206 +tp10574 +a(g706 +g1139 +tp10575 +a(g827 +g983 +tp10576 +a(g436 +g3898 +tp10577 +a(g706 +g1078 +tp10578 +a(g827 +V\u000a +p10579 +tp10580 +a(g827 +V +p10581 +tp10582 +a(g436 +g10202 +tp10583 +a(g827 +g983 +tp10584 +a(g413 +g1262 +tp10585 +a(g827 +g983 +tp10586 +a(g436 +VPyInt_AS_LONG +p10587 +tp10588 +a(g706 +g1109 +tp10589 +a(g436 +g1116 +tp10590 +a(g706 +g1118 +tp10591 +a(g706 +g1078 +tp10592 +a(g827 +V\u000a +p10593 +tp10594 +a(g827 +V +p10595 +tp10596 +a(g436 +g10206 +tp10597 +a(g827 +g983 +tp10598 +a(g413 +g1262 +tp10599 +a(g827 +g983 +tp10600 +a(g436 +VPyInt_AS_LONG +p10601 +tp10602 +a(g706 +g1109 +tp10603 +a(g436 +g5398 +tp10604 +a(g706 +g1118 +tp10605 +a(g706 +g1078 +tp10606 +a(g827 +V\u000a +p10607 +tp10608 +a(g827 +V +p10609 +tp10610 +a(g436 +g3898 +tp10611 +a(g827 +g983 +tp10612 +a(g413 +g1262 +tp10613 +a(g827 +g983 +tp10614 +a(g436 +g10202 +tp10615 +a(g827 +g983 +tp10616 +a(g413 +g1480 +tp10617 +a(g827 +g983 +tp10618 +a(g436 +g10206 +tp10619 +a(g706 +g1078 +tp10620 +a(g827 +V\u000a +p10621 +tp10622 +a(g827 +V +p10623 +tp10624 +a(g745 +Vif +p10625 +tp10626 +a(g827 +g983 +tp10627 +a(g706 +g1109 +tp10628 +a(g706 +g1109 +tp10629 +a(g436 +g3898 +tp10630 +a(g413 +g10264 +tp10631 +a(g436 +g10202 +tp10632 +a(g706 +g1118 +tp10633 +a(g827 +g983 +tp10634 +a(g413 +g4395 +tp10635 +a(g827 +g983 +tp10636 +a(g37 +g1269 +tp10637 +a(g827 +g983 +tp10638 +a(g413 +g4166 +tp10639 +a(g413 +g4166 +tp10640 +a(g827 +g983 +tp10641 +a(g706 +g1109 +tp10642 +a(g436 +g3898 +tp10643 +a(g413 +g10264 +tp10644 +a(g413 +V~ +p10645 +tp10646 +a(g436 +g10206 +tp10647 +a(g706 +g1118 +tp10648 +a(g827 +g983 +tp10649 +a(g413 +g4395 +tp10650 +a(g827 +g983 +tp10651 +a(g37 +g1269 +tp10652 +a(g706 +g1118 +tp10653 +a(g827 +V\u000a +p10654 +tp10655 +a(g827 +V +p10656 +tp10657 +a(g745 +Vgoto +p10658 +tp10659 +a(g827 +g983 +tp10660 +a(g436 +Vslow_sub +p10661 +tp10662 +a(g706 +g1078 +tp10663 +a(g827 +V\u000a +p10664 +tp10665 +a(g827 +V +p10666 +tp10667 +a(g436 +g5367 +tp10668 +a(g827 +g983 +tp10669 +a(g413 +g1262 +tp10670 +a(g827 +g983 +tp10671 +a(g436 +VPyInt_FromLong +p10672 +tp10673 +a(g706 +g1109 +tp10674 +a(g436 +g3898 +tp10675 +a(g706 +g1118 +tp10676 +a(g706 +g1078 +tp10677 +a(g827 +V\u000a +p10678 +tp10679 +a(g827 +V +p10680 +tp10681 +a(g706 +g1336 +tp10682 +a(g827 +V\u000a +p10683 +tp10684 +a(g827 +V +p10685 +tp10686 +a(g745 +Velse +p10687 +tp10688 +a(g827 +g983 +tp10689 +a(g706 +g1122 +tp10690 +a(g827 +V\u000a +p10691 +tp10692 +a(g827 +V +p10693 +tp10694 +a(g597 +Vslow_sub +p10695 +tp10696 +a(g706 +g1157 +tp10697 +a(g827 +V\u000a +p10698 +tp10699 +a(g827 +V +p10700 +tp10701 +a(g436 +g5367 +tp10702 +a(g827 +g983 +tp10703 +a(g413 +g1262 +tp10704 +a(g827 +g983 +tp10705 +a(g436 +VPyNumber_Subtract +p10706 +tp10707 +a(g706 +g1109 +tp10708 +a(g436 +g1116 +tp10709 +a(g706 +g1139 +tp10710 +a(g827 +g983 +tp10711 +a(g436 +g5398 +tp10712 +a(g706 +g1118 +tp10713 +a(g706 +g1078 +tp10714 +a(g827 +V\u000a +p10715 +tp10716 +a(g827 +V +p10717 +tp10718 +a(g706 +g1336 +tp10719 +a(g827 +V\u000a +p10720 +tp10721 +a(g827 +V +p10722 +tp10723 +a(g436 +VPy_DECREF +p10724 +tp10725 +a(g706 +g1109 +tp10726 +a(g436 +g1116 +tp10727 +a(g706 +g1118 +tp10728 +a(g706 +g1078 +tp10729 +a(g827 +V\u000a +p10730 +tp10731 +a(g827 +V +p10732 +tp10733 +a(g436 +VPy_DECREF +p10734 +tp10735 +a(g706 +g1109 +tp10736 +a(g436 +g5398 +tp10737 +a(g706 +g1118 +tp10738 +a(g706 +g1078 +tp10739 +a(g827 +V\u000a +p10740 +tp10741 +a(g827 +V +p10742 +tp10743 +a(g436 +VSET_TOP +p10744 +tp10745 +a(g706 +g1109 +tp10746 +a(g436 +g5367 +tp10747 +a(g706 +g1118 +tp10748 +a(g706 +g1078 +tp10749 +a(g827 +V\u000a +p10750 +tp10751 +a(g827 +V +p10752 +tp10753 +a(g745 +Vif +p10754 +tp10755 +a(g827 +g983 +tp10756 +a(g706 +g1109 +tp10757 +a(g436 +g5367 +tp10758 +a(g827 +g983 +tp10759 +a(g413 +g1260 +tp10760 +a(g413 +g1262 +tp10761 +a(g827 +g983 +tp10762 +a(g682 +VNULL +p10763 +tp10764 +a(g706 +g1118 +tp10765 +a(g827 +g983 +tp10766 +a(g745 +Vcontinue +p10767 +tp10768 +a(g706 +g1078 +tp10769 +a(g827 +V\u000a +p10770 +tp10771 +a(g827 +V +p10772 +tp10773 +a(g745 +Vbreak +p10774 +tp10775 +a(g706 +g1078 +tp10776 +a(g827 +V\u000a +p10777 +tp10778 +a(g827 +V\u000a +p10779 +tp10780 +a(g827 +V +p10781 +tp10782 +a(g745 +Vcase +p10783 +tp10784 +a(g827 +g983 +tp10785 +a(g597 +VBINARY_SUBSCR +p10786 +tp10787 +a(g706 +g1157 +tp10788 +a(g827 +V\u000a +p10789 +tp10790 +a(g827 +V +p10791 +tp10792 +a(g436 +g5398 +tp10793 +a(g827 +g983 +tp10794 +a(g413 +g1262 +tp10795 +a(g827 +g983 +tp10796 +a(g436 +VPOP +p10797 +tp10798 +a(g706 +g1109 +tp10799 +a(g706 +g1118 +tp10800 +a(g706 +g1078 +tp10801 +a(g827 +V\u000a +p10802 +tp10803 +a(g827 +V +p10804 +tp10805 +a(g436 +g1116 +tp10806 +a(g827 +g983 +tp10807 +a(g413 +g1262 +tp10808 +a(g827 +g983 +tp10809 +a(g436 +VTOP +p10810 +tp10811 +a(g706 +g1109 +tp10812 +a(g706 +g1118 +tp10813 +a(g706 +g1078 +tp10814 +a(g827 +V\u000a +p10815 +tp10816 +a(g827 +V +p10817 +tp10818 +a(g745 +Vif +p10819 +tp10820 +a(g827 +g983 +tp10821 +a(g706 +g1109 +tp10822 +a(g436 +VPyList_CheckExact +p10823 +tp10824 +a(g706 +g1109 +tp10825 +a(g436 +g1116 +tp10826 +a(g706 +g1118 +tp10827 +a(g827 +g983 +tp10828 +a(g413 +g4166 +tp10829 +a(g413 +g4166 +tp10830 +a(g827 +g983 +tp10831 +a(g436 +VPyInt_CheckExact +p10832 +tp10833 +a(g706 +g1109 +tp10834 +a(g436 +g5398 +tp10835 +a(g706 +g1118 +tp10836 +a(g706 +g1118 +tp10837 +a(g827 +g983 +tp10838 +a(g706 +g1122 +tp10839 +a(g827 +V\u000a +p10840 +tp10841 +a(g827 +V +p10842 +tp10843 +a(g8 +V/* INLINE: list[int] */ +p10844 +tp10845 +a(g827 +V\u000a +p10846 +tp10847 +a(g827 +V +p10848 +tp10849 +a(g436 +VPy_ssize_t +p10850 +tp10851 +a(g827 +g983 +tp10852 +a(g436 +g3898 +tp10853 +a(g827 +g983 +tp10854 +a(g413 +g1262 +tp10855 +a(g827 +g983 +tp10856 +a(g436 +VPyInt_AsSsize_t +p10857 +tp10858 +a(g706 +g1109 +tp10859 +a(g436 +g5398 +tp10860 +a(g706 +g1118 +tp10861 +a(g706 +g1078 +tp10862 +a(g827 +V\u000a +p10863 +tp10864 +a(g827 +V +p10865 +tp10866 +a(g745 +Vif +p10867 +tp10868 +a(g827 +g983 +tp10869 +a(g706 +g1109 +tp10870 +a(g436 +g3898 +tp10871 +a(g827 +g983 +tp10872 +a(g413 +g4395 +tp10873 +a(g827 +g983 +tp10874 +a(g37 +g1269 +tp10875 +a(g706 +g1118 +tp10876 +a(g827 +V\u000a +p10877 +tp10878 +a(g827 +V +p10879 +tp10880 +a(g436 +g3898 +tp10881 +a(g827 +g983 +tp10882 +a(g413 +g3965 +tp10883 +a(g413 +g1262 +tp10884 +a(g827 +g983 +tp10885 +a(g436 +VPyList_GET_SIZE +p10886 +tp10887 +a(g706 +g1109 +tp10888 +a(g436 +g1116 +tp10889 +a(g706 +g1118 +tp10890 +a(g706 +g1078 +tp10891 +a(g827 +V\u000a +p10892 +tp10893 +a(g827 +V +p10894 +tp10895 +a(g745 +Vif +p10896 +tp10897 +a(g827 +g983 +tp10898 +a(g706 +g1109 +tp10899 +a(g436 +g3898 +tp10900 +a(g827 +g983 +tp10901 +a(g413 +g1482 +tp10902 +a(g413 +g1262 +tp10903 +a(g827 +g983 +tp10904 +a(g37 +g1269 +tp10905 +a(g827 +g983 +tp10906 +a(g413 +g4166 +tp10907 +a(g413 +g4166 +tp10908 +a(g827 +g983 +tp10909 +a(g436 +g3898 +tp10910 +a(g827 +g983 +tp10911 +a(g413 +g4395 +tp10912 +a(g827 +g983 +tp10913 +a(g436 +VPyList_GET_SIZE +p10914 +tp10915 +a(g706 +g1109 +tp10916 +a(g436 +g1116 +tp10917 +a(g706 +g1118 +tp10918 +a(g706 +g1118 +tp10919 +a(g827 +g983 +tp10920 +a(g706 +g1122 +tp10921 +a(g827 +V\u000a +p10922 +tp10923 +a(g827 +V +p10924 +tp10925 +a(g436 +g5367 +tp10926 +a(g827 +g983 +tp10927 +a(g413 +g1262 +tp10928 +a(g827 +g983 +tp10929 +a(g436 +VPyList_GET_ITEM +p10930 +tp10931 +a(g706 +g1109 +tp10932 +a(g436 +g1116 +tp10933 +a(g706 +g1139 +tp10934 +a(g827 +g983 +tp10935 +a(g436 +g3898 +tp10936 +a(g706 +g1118 +tp10937 +a(g706 +g1078 +tp10938 +a(g827 +V\u000a +p10939 +tp10940 +a(g827 +V +p10941 +tp10942 +a(g436 +VPy_INCREF +p10943 +tp10944 +a(g706 +g1109 +tp10945 +a(g436 +g5367 +tp10946 +a(g706 +g1118 +tp10947 +a(g706 +g1078 +tp10948 +a(g827 +V\u000a +p10949 +tp10950 +a(g827 +V +p10951 +tp10952 +a(g706 +g1336 +tp10953 +a(g827 +V\u000a +p10954 +tp10955 +a(g827 +V +p10956 +tp10957 +a(g745 +Velse +p10958 +tp10959 +a(g827 +V\u000a +p10960 +tp10961 +a(g827 +V +p10962 +tp10963 +a(g745 +Vgoto +p10964 +tp10965 +a(g827 +g983 +tp10966 +a(g436 +Vslow_get +p10967 +tp10968 +a(g706 +g1078 +tp10969 +a(g827 +V\u000a +p10970 +tp10971 +a(g827 +V +p10972 +tp10973 +a(g706 +g1336 +tp10974 +a(g827 +V\u000a +p10975 +tp10976 +a(g827 +V +p10977 +tp10978 +a(g745 +Velse +p10979 +tp10980 +a(g827 +V\u000a +p10981 +tp10982 +a(g827 +V +p10983 +tp10984 +a(g597 +Vslow_get +p10985 +tp10986 +a(g706 +g1157 +tp10987 +a(g827 +V\u000a +p10988 +tp10989 +a(g827 +V +p10990 +tp10991 +a(g436 +g5367 +tp10992 +a(g827 +g983 +tp10993 +a(g413 +g1262 +tp10994 +a(g827 +g983 +tp10995 +a(g436 +VPyObject_GetItem +p10996 +tp10997 +a(g706 +g1109 +tp10998 +a(g436 +g1116 +tp10999 +a(g706 +g1139 +tp11000 +a(g827 +g983 +tp11001 +a(g436 +g5398 +tp11002 +a(g706 +g1118 +tp11003 +a(g706 +g1078 +tp11004 +a(g827 +V\u000a +p11005 +tp11006 +a(g827 +V +p11007 +tp11008 +a(g436 +VPy_DECREF +p11009 +tp11010 +a(g706 +g1109 +tp11011 +a(g436 +g1116 +tp11012 +a(g706 +g1118 +tp11013 +a(g706 +g1078 +tp11014 +a(g827 +V\u000a +p11015 +tp11016 +a(g827 +V +p11017 +tp11018 +a(g436 +VPy_DECREF +p11019 +tp11020 +a(g706 +g1109 +tp11021 +a(g436 +g5398 +tp11022 +a(g706 +g1118 +tp11023 +a(g706 +g1078 +tp11024 +a(g827 +V\u000a +p11025 +tp11026 +a(g827 +V +p11027 +tp11028 +a(g436 +VSET_TOP +p11029 +tp11030 +a(g706 +g1109 +tp11031 +a(g436 +g5367 +tp11032 +a(g706 +g1118 +tp11033 +a(g706 +g1078 +tp11034 +a(g827 +V\u000a +p11035 +tp11036 +a(g827 +V +p11037 +tp11038 +a(g745 +Vif +p11039 +tp11040 +a(g827 +g983 +tp11041 +a(g706 +g1109 +tp11042 +a(g436 +g5367 +tp11043 +a(g827 +g983 +tp11044 +a(g413 +g1260 +tp11045 +a(g413 +g1262 +tp11046 +a(g827 +g983 +tp11047 +a(g682 +VNULL +p11048 +tp11049 +a(g706 +g1118 +tp11050 +a(g827 +g983 +tp11051 +a(g745 +Vcontinue +p11052 +tp11053 +a(g706 +g1078 +tp11054 +a(g827 +V\u000a +p11055 +tp11056 +a(g827 +V +p11057 +tp11058 +a(g745 +Vbreak +p11059 +tp11060 +a(g706 +g1078 +tp11061 +a(g827 +V\u000a +p11062 +tp11063 +a(g827 +V\u000a +p11064 +tp11065 +a(g827 +V +p11066 +tp11067 +a(g745 +Vcase +p11068 +tp11069 +a(g827 +g983 +tp11070 +a(g597 +VBINARY_LSHIFT +p11071 +tp11072 +a(g706 +g1157 +tp11073 +a(g827 +V\u000a +p11074 +tp11075 +a(g827 +V +p11076 +tp11077 +a(g436 +g5398 +tp11078 +a(g827 +g983 +tp11079 +a(g413 +g1262 +tp11080 +a(g827 +g983 +tp11081 +a(g436 +VPOP +p11082 +tp11083 +a(g706 +g1109 +tp11084 +a(g706 +g1118 +tp11085 +a(g706 +g1078 +tp11086 +a(g827 +V\u000a +p11087 +tp11088 +a(g827 +V +p11089 +tp11090 +a(g436 +g1116 +tp11091 +a(g827 +g983 +tp11092 +a(g413 +g1262 +tp11093 +a(g827 +g983 +tp11094 +a(g436 +VTOP +p11095 +tp11096 +a(g706 +g1109 +tp11097 +a(g706 +g1118 +tp11098 +a(g706 +g1078 +tp11099 +a(g827 +V\u000a +p11100 +tp11101 +a(g827 +V +p11102 +tp11103 +a(g436 +g5367 +tp11104 +a(g827 +g983 +tp11105 +a(g413 +g1262 +tp11106 +a(g827 +g983 +tp11107 +a(g436 +VPyNumber_Lshift +p11108 +tp11109 +a(g706 +g1109 +tp11110 +a(g436 +g1116 +tp11111 +a(g706 +g1139 +tp11112 +a(g827 +g983 +tp11113 +a(g436 +g5398 +tp11114 +a(g706 +g1118 +tp11115 +a(g706 +g1078 +tp11116 +a(g827 +V\u000a +p11117 +tp11118 +a(g827 +V +p11119 +tp11120 +a(g436 +VPy_DECREF +p11121 +tp11122 +a(g706 +g1109 +tp11123 +a(g436 +g1116 +tp11124 +a(g706 +g1118 +tp11125 +a(g706 +g1078 +tp11126 +a(g827 +V\u000a +p11127 +tp11128 +a(g827 +V +p11129 +tp11130 +a(g436 +VPy_DECREF +p11131 +tp11132 +a(g706 +g1109 +tp11133 +a(g436 +g5398 +tp11134 +a(g706 +g1118 +tp11135 +a(g706 +g1078 +tp11136 +a(g827 +V\u000a +p11137 +tp11138 +a(g827 +V +p11139 +tp11140 +a(g436 +VSET_TOP +p11141 +tp11142 +a(g706 +g1109 +tp11143 +a(g436 +g5367 +tp11144 +a(g706 +g1118 +tp11145 +a(g706 +g1078 +tp11146 +a(g827 +V\u000a +p11147 +tp11148 +a(g827 +V +p11149 +tp11150 +a(g745 +Vif +p11151 +tp11152 +a(g827 +g983 +tp11153 +a(g706 +g1109 +tp11154 +a(g436 +g5367 +tp11155 +a(g827 +g983 +tp11156 +a(g413 +g1260 +tp11157 +a(g413 +g1262 +tp11158 +a(g827 +g983 +tp11159 +a(g682 +VNULL +p11160 +tp11161 +a(g706 +g1118 +tp11162 +a(g827 +g983 +tp11163 +a(g745 +Vcontinue +p11164 +tp11165 +a(g706 +g1078 +tp11166 +a(g827 +V\u000a +p11167 +tp11168 +a(g827 +V +p11169 +tp11170 +a(g745 +Vbreak +p11171 +tp11172 +a(g706 +g1078 +tp11173 +a(g827 +V\u000a +p11174 +tp11175 +a(g827 +V\u000a +p11176 +tp11177 +a(g827 +V +p11178 +tp11179 +a(g745 +Vcase +p11180 +tp11181 +a(g827 +g983 +tp11182 +a(g597 +VBINARY_RSHIFT +p11183 +tp11184 +a(g706 +g1157 +tp11185 +a(g827 +V\u000a +p11186 +tp11187 +a(g827 +V +p11188 +tp11189 +a(g436 +g5398 +tp11190 +a(g827 +g983 +tp11191 +a(g413 +g1262 +tp11192 +a(g827 +g983 +tp11193 +a(g436 +VPOP +p11194 +tp11195 +a(g706 +g1109 +tp11196 +a(g706 +g1118 +tp11197 +a(g706 +g1078 +tp11198 +a(g827 +V\u000a +p11199 +tp11200 +a(g827 +V +p11201 +tp11202 +a(g436 +g1116 +tp11203 +a(g827 +g983 +tp11204 +a(g413 +g1262 +tp11205 +a(g827 +g983 +tp11206 +a(g436 +VTOP +p11207 +tp11208 +a(g706 +g1109 +tp11209 +a(g706 +g1118 +tp11210 +a(g706 +g1078 +tp11211 +a(g827 +V\u000a +p11212 +tp11213 +a(g827 +V +p11214 +tp11215 +a(g436 +g5367 +tp11216 +a(g827 +g983 +tp11217 +a(g413 +g1262 +tp11218 +a(g827 +g983 +tp11219 +a(g436 +VPyNumber_Rshift +p11220 +tp11221 +a(g706 +g1109 +tp11222 +a(g436 +g1116 +tp11223 +a(g706 +g1139 +tp11224 +a(g827 +g983 +tp11225 +a(g436 +g5398 +tp11226 +a(g706 +g1118 +tp11227 +a(g706 +g1078 +tp11228 +a(g827 +V\u000a +p11229 +tp11230 +a(g827 +V +p11231 +tp11232 +a(g436 +VPy_DECREF +p11233 +tp11234 +a(g706 +g1109 +tp11235 +a(g436 +g1116 +tp11236 +a(g706 +g1118 +tp11237 +a(g706 +g1078 +tp11238 +a(g827 +V\u000a +p11239 +tp11240 +a(g827 +V +p11241 +tp11242 +a(g436 +VPy_DECREF +p11243 +tp11244 +a(g706 +g1109 +tp11245 +a(g436 +g5398 +tp11246 +a(g706 +g1118 +tp11247 +a(g706 +g1078 +tp11248 +a(g827 +V\u000a +p11249 +tp11250 +a(g827 +V +p11251 +tp11252 +a(g436 +VSET_TOP +p11253 +tp11254 +a(g706 +g1109 +tp11255 +a(g436 +g5367 +tp11256 +a(g706 +g1118 +tp11257 +a(g706 +g1078 +tp11258 +a(g827 +V\u000a +p11259 +tp11260 +a(g827 +V +p11261 +tp11262 +a(g745 +Vif +p11263 +tp11264 +a(g827 +g983 +tp11265 +a(g706 +g1109 +tp11266 +a(g436 +g5367 +tp11267 +a(g827 +g983 +tp11268 +a(g413 +g1260 +tp11269 +a(g413 +g1262 +tp11270 +a(g827 +g983 +tp11271 +a(g682 +VNULL +p11272 +tp11273 +a(g706 +g1118 +tp11274 +a(g827 +g983 +tp11275 +a(g745 +Vcontinue +p11276 +tp11277 +a(g706 +g1078 +tp11278 +a(g827 +V\u000a +p11279 +tp11280 +a(g827 +V +p11281 +tp11282 +a(g745 +Vbreak +p11283 +tp11284 +a(g706 +g1078 +tp11285 +a(g827 +V\u000a +p11286 +tp11287 +a(g827 +V\u000a +p11288 +tp11289 +a(g827 +V +p11290 +tp11291 +a(g745 +Vcase +p11292 +tp11293 +a(g827 +g983 +tp11294 +a(g597 +VBINARY_AND +p11295 +tp11296 +a(g706 +g1157 +tp11297 +a(g827 +V\u000a +p11298 +tp11299 +a(g827 +V +p11300 +tp11301 +a(g436 +g5398 +tp11302 +a(g827 +g983 +tp11303 +a(g413 +g1262 +tp11304 +a(g827 +g983 +tp11305 +a(g436 +VPOP +p11306 +tp11307 +a(g706 +g1109 +tp11308 +a(g706 +g1118 +tp11309 +a(g706 +g1078 +tp11310 +a(g827 +V\u000a +p11311 +tp11312 +a(g827 +V +p11313 +tp11314 +a(g436 +g1116 +tp11315 +a(g827 +g983 +tp11316 +a(g413 +g1262 +tp11317 +a(g827 +g983 +tp11318 +a(g436 +VTOP +p11319 +tp11320 +a(g706 +g1109 +tp11321 +a(g706 +g1118 +tp11322 +a(g706 +g1078 +tp11323 +a(g827 +V\u000a +p11324 +tp11325 +a(g827 +V +p11326 +tp11327 +a(g436 +g5367 +tp11328 +a(g827 +g983 +tp11329 +a(g413 +g1262 +tp11330 +a(g827 +g983 +tp11331 +a(g436 +VPyNumber_And +p11332 +tp11333 +a(g706 +g1109 +tp11334 +a(g436 +g1116 +tp11335 +a(g706 +g1139 +tp11336 +a(g827 +g983 +tp11337 +a(g436 +g5398 +tp11338 +a(g706 +g1118 +tp11339 +a(g706 +g1078 +tp11340 +a(g827 +V\u000a +p11341 +tp11342 +a(g827 +V +p11343 +tp11344 +a(g436 +VPy_DECREF +p11345 +tp11346 +a(g706 +g1109 +tp11347 +a(g436 +g1116 +tp11348 +a(g706 +g1118 +tp11349 +a(g706 +g1078 +tp11350 +a(g827 +V\u000a +p11351 +tp11352 +a(g827 +V +p11353 +tp11354 +a(g436 +VPy_DECREF +p11355 +tp11356 +a(g706 +g1109 +tp11357 +a(g436 +g5398 +tp11358 +a(g706 +g1118 +tp11359 +a(g706 +g1078 +tp11360 +a(g827 +V\u000a +p11361 +tp11362 +a(g827 +V +p11363 +tp11364 +a(g436 +VSET_TOP +p11365 +tp11366 +a(g706 +g1109 +tp11367 +a(g436 +g5367 +tp11368 +a(g706 +g1118 +tp11369 +a(g706 +g1078 +tp11370 +a(g827 +V\u000a +p11371 +tp11372 +a(g827 +V +p11373 +tp11374 +a(g745 +Vif +p11375 +tp11376 +a(g827 +g983 +tp11377 +a(g706 +g1109 +tp11378 +a(g436 +g5367 +tp11379 +a(g827 +g983 +tp11380 +a(g413 +g1260 +tp11381 +a(g413 +g1262 +tp11382 +a(g827 +g983 +tp11383 +a(g682 +VNULL +p11384 +tp11385 +a(g706 +g1118 +tp11386 +a(g827 +g983 +tp11387 +a(g745 +Vcontinue +p11388 +tp11389 +a(g706 +g1078 +tp11390 +a(g827 +V\u000a +p11391 +tp11392 +a(g827 +V +p11393 +tp11394 +a(g745 +Vbreak +p11395 +tp11396 +a(g706 +g1078 +tp11397 +a(g827 +V\u000a +p11398 +tp11399 +a(g827 +V\u000a +p11400 +tp11401 +a(g827 +V +p11402 +tp11403 +a(g745 +Vcase +p11404 +tp11405 +a(g827 +g983 +tp11406 +a(g597 +VBINARY_XOR +p11407 +tp11408 +a(g706 +g1157 +tp11409 +a(g827 +V\u000a +p11410 +tp11411 +a(g827 +V +p11412 +tp11413 +a(g436 +g5398 +tp11414 +a(g827 +g983 +tp11415 +a(g413 +g1262 +tp11416 +a(g827 +g983 +tp11417 +a(g436 +VPOP +p11418 +tp11419 +a(g706 +g1109 +tp11420 +a(g706 +g1118 +tp11421 +a(g706 +g1078 +tp11422 +a(g827 +V\u000a +p11423 +tp11424 +a(g827 +V +p11425 +tp11426 +a(g436 +g1116 +tp11427 +a(g827 +g983 +tp11428 +a(g413 +g1262 +tp11429 +a(g827 +g983 +tp11430 +a(g436 +VTOP +p11431 +tp11432 +a(g706 +g1109 +tp11433 +a(g706 +g1118 +tp11434 +a(g706 +g1078 +tp11435 +a(g827 +V\u000a +p11436 +tp11437 +a(g827 +V +p11438 +tp11439 +a(g436 +g5367 +tp11440 +a(g827 +g983 +tp11441 +a(g413 +g1262 +tp11442 +a(g827 +g983 +tp11443 +a(g436 +VPyNumber_Xor +p11444 +tp11445 +a(g706 +g1109 +tp11446 +a(g436 +g1116 +tp11447 +a(g706 +g1139 +tp11448 +a(g827 +g983 +tp11449 +a(g436 +g5398 +tp11450 +a(g706 +g1118 +tp11451 +a(g706 +g1078 +tp11452 +a(g827 +V\u000a +p11453 +tp11454 +a(g827 +V +p11455 +tp11456 +a(g436 +VPy_DECREF +p11457 +tp11458 +a(g706 +g1109 +tp11459 +a(g436 +g1116 +tp11460 +a(g706 +g1118 +tp11461 +a(g706 +g1078 +tp11462 +a(g827 +V\u000a +p11463 +tp11464 +a(g827 +V +p11465 +tp11466 +a(g436 +VPy_DECREF +p11467 +tp11468 +a(g706 +g1109 +tp11469 +a(g436 +g5398 +tp11470 +a(g706 +g1118 +tp11471 +a(g706 +g1078 +tp11472 +a(g827 +V\u000a +p11473 +tp11474 +a(g827 +V +p11475 +tp11476 +a(g436 +VSET_TOP +p11477 +tp11478 +a(g706 +g1109 +tp11479 +a(g436 +g5367 +tp11480 +a(g706 +g1118 +tp11481 +a(g706 +g1078 +tp11482 +a(g827 +V\u000a +p11483 +tp11484 +a(g827 +V +p11485 +tp11486 +a(g745 +Vif +p11487 +tp11488 +a(g827 +g983 +tp11489 +a(g706 +g1109 +tp11490 +a(g436 +g5367 +tp11491 +a(g827 +g983 +tp11492 +a(g413 +g1260 +tp11493 +a(g413 +g1262 +tp11494 +a(g827 +g983 +tp11495 +a(g682 +VNULL +p11496 +tp11497 +a(g706 +g1118 +tp11498 +a(g827 +g983 +tp11499 +a(g745 +Vcontinue +p11500 +tp11501 +a(g706 +g1078 +tp11502 +a(g827 +V\u000a +p11503 +tp11504 +a(g827 +V +p11505 +tp11506 +a(g745 +Vbreak +p11507 +tp11508 +a(g706 +g1078 +tp11509 +a(g827 +V\u000a +p11510 +tp11511 +a(g827 +V\u000a +p11512 +tp11513 +a(g827 +V +p11514 +tp11515 +a(g745 +Vcase +p11516 +tp11517 +a(g827 +g983 +tp11518 +a(g597 +VBINARY_OR +p11519 +tp11520 +a(g706 +g1157 +tp11521 +a(g827 +V\u000a +p11522 +tp11523 +a(g827 +V +p11524 +tp11525 +a(g436 +g5398 +tp11526 +a(g827 +g983 +tp11527 +a(g413 +g1262 +tp11528 +a(g827 +g983 +tp11529 +a(g436 +VPOP +p11530 +tp11531 +a(g706 +g1109 +tp11532 +a(g706 +g1118 +tp11533 +a(g706 +g1078 +tp11534 +a(g827 +V\u000a +p11535 +tp11536 +a(g827 +V +p11537 +tp11538 +a(g436 +g1116 +tp11539 +a(g827 +g983 +tp11540 +a(g413 +g1262 +tp11541 +a(g827 +g983 +tp11542 +a(g436 +VTOP +p11543 +tp11544 +a(g706 +g1109 +tp11545 +a(g706 +g1118 +tp11546 +a(g706 +g1078 +tp11547 +a(g827 +V\u000a +p11548 +tp11549 +a(g827 +V +p11550 +tp11551 +a(g436 +g5367 +tp11552 +a(g827 +g983 +tp11553 +a(g413 +g1262 +tp11554 +a(g827 +g983 +tp11555 +a(g436 +VPyNumber_Or +p11556 +tp11557 +a(g706 +g1109 +tp11558 +a(g436 +g1116 +tp11559 +a(g706 +g1139 +tp11560 +a(g827 +g983 +tp11561 +a(g436 +g5398 +tp11562 +a(g706 +g1118 +tp11563 +a(g706 +g1078 +tp11564 +a(g827 +V\u000a +p11565 +tp11566 +a(g827 +V +p11567 +tp11568 +a(g436 +VPy_DECREF +p11569 +tp11570 +a(g706 +g1109 +tp11571 +a(g436 +g1116 +tp11572 +a(g706 +g1118 +tp11573 +a(g706 +g1078 +tp11574 +a(g827 +V\u000a +p11575 +tp11576 +a(g827 +V +p11577 +tp11578 +a(g436 +VPy_DECREF +p11579 +tp11580 +a(g706 +g1109 +tp11581 +a(g436 +g5398 +tp11582 +a(g706 +g1118 +tp11583 +a(g706 +g1078 +tp11584 +a(g827 +V\u000a +p11585 +tp11586 +a(g827 +V +p11587 +tp11588 +a(g436 +VSET_TOP +p11589 +tp11590 +a(g706 +g1109 +tp11591 +a(g436 +g5367 +tp11592 +a(g706 +g1118 +tp11593 +a(g706 +g1078 +tp11594 +a(g827 +V\u000a +p11595 +tp11596 +a(g827 +V +p11597 +tp11598 +a(g745 +Vif +p11599 +tp11600 +a(g827 +g983 +tp11601 +a(g706 +g1109 +tp11602 +a(g436 +g5367 +tp11603 +a(g827 +g983 +tp11604 +a(g413 +g1260 +tp11605 +a(g413 +g1262 +tp11606 +a(g827 +g983 +tp11607 +a(g682 +VNULL +p11608 +tp11609 +a(g706 +g1118 +tp11610 +a(g827 +g983 +tp11611 +a(g745 +Vcontinue +p11612 +tp11613 +a(g706 +g1078 +tp11614 +a(g827 +V\u000a +p11615 +tp11616 +a(g827 +V +p11617 +tp11618 +a(g745 +Vbreak +p11619 +tp11620 +a(g706 +g1078 +tp11621 +a(g827 +V\u000a +p11622 +tp11623 +a(g827 +V\u000a +p11624 +tp11625 +a(g827 +V +p11626 +tp11627 +a(g745 +Vcase +p11628 +tp11629 +a(g827 +g983 +tp11630 +a(g597 +VLIST_APPEND +p11631 +tp11632 +a(g706 +g1157 +tp11633 +a(g827 +V\u000a +p11634 +tp11635 +a(g827 +V +p11636 +tp11637 +a(g436 +g5398 +tp11638 +a(g827 +g983 +tp11639 +a(g413 +g1262 +tp11640 +a(g827 +g983 +tp11641 +a(g436 +VPOP +p11642 +tp11643 +a(g706 +g1109 +tp11644 +a(g706 +g1118 +tp11645 +a(g706 +g1078 +tp11646 +a(g827 +V\u000a +p11647 +tp11648 +a(g827 +V +p11649 +tp11650 +a(g436 +g1116 +tp11651 +a(g827 +g983 +tp11652 +a(g413 +g1262 +tp11653 +a(g827 +g983 +tp11654 +a(g436 +VPOP +p11655 +tp11656 +a(g706 +g1109 +tp11657 +a(g706 +g1118 +tp11658 +a(g706 +g1078 +tp11659 +a(g827 +V\u000a +p11660 +tp11661 +a(g827 +V +p11662 +tp11663 +a(g436 +Verr +p11664 +tp11665 +a(g827 +g983 +tp11666 +a(g413 +g1262 +tp11667 +a(g827 +g983 +tp11668 +a(g436 +VPyList_Append +p11669 +tp11670 +a(g706 +g1109 +tp11671 +a(g436 +g1116 +tp11672 +a(g706 +g1139 +tp11673 +a(g827 +g983 +tp11674 +a(g436 +g5398 +tp11675 +a(g706 +g1118 +tp11676 +a(g706 +g1078 +tp11677 +a(g827 +V\u000a +p11678 +tp11679 +a(g827 +V +p11680 +tp11681 +a(g436 +VPy_DECREF +p11682 +tp11683 +a(g706 +g1109 +tp11684 +a(g436 +g1116 +tp11685 +a(g706 +g1118 +tp11686 +a(g706 +g1078 +tp11687 +a(g827 +V\u000a +p11688 +tp11689 +a(g827 +V +p11690 +tp11691 +a(g436 +VPy_DECREF +p11692 +tp11693 +a(g706 +g1109 +tp11694 +a(g436 +g5398 +tp11695 +a(g706 +g1118 +tp11696 +a(g706 +g1078 +tp11697 +a(g827 +V\u000a +p11698 +tp11699 +a(g827 +V +p11700 +tp11701 +a(g745 +Vif +p11702 +tp11703 +a(g827 +g983 +tp11704 +a(g706 +g1109 +tp11705 +a(g436 +Verr +p11706 +tp11707 +a(g827 +g983 +tp11708 +a(g413 +g1262 +tp11709 +a(g413 +g1262 +tp11710 +a(g827 +g983 +tp11711 +a(g37 +g1269 +tp11712 +a(g706 +g1118 +tp11713 +a(g827 +g983 +tp11714 +a(g706 +g1122 +tp11715 +a(g827 +V\u000a +p11716 +tp11717 +a(g827 +V +p11718 +tp11719 +a(g436 +VPREDICT +p11720 +tp11721 +a(g706 +g1109 +tp11722 +a(g436 +VJUMP_ABSOLUTE +p11723 +tp11724 +a(g706 +g1118 +tp11725 +a(g706 +g1078 +tp11726 +a(g827 +V\u000a +p11727 +tp11728 +a(g827 +V +p11729 +tp11730 +a(g745 +Vcontinue +p11731 +tp11732 +a(g706 +g1078 +tp11733 +a(g827 +V\u000a +p11734 +tp11735 +a(g827 +V +p11736 +tp11737 +a(g706 +g1336 +tp11738 +a(g827 +V\u000a +p11739 +tp11740 +a(g827 +V +p11741 +tp11742 +a(g745 +Vbreak +p11743 +tp11744 +a(g706 +g1078 +tp11745 +a(g827 +V\u000a +p11746 +tp11747 +a(g827 +V\u000a +p11748 +tp11749 +a(g827 +V +p11750 +tp11751 +a(g745 +Vcase +p11752 +tp11753 +a(g827 +g983 +tp11754 +a(g597 +VINPLACE_POWER +p11755 +tp11756 +a(g706 +g1157 +tp11757 +a(g827 +V\u000a +p11758 +tp11759 +a(g827 +V +p11760 +tp11761 +a(g436 +g5398 +tp11762 +a(g827 +g983 +tp11763 +a(g413 +g1262 +tp11764 +a(g827 +g983 +tp11765 +a(g436 +VPOP +p11766 +tp11767 +a(g706 +g1109 +tp11768 +a(g706 +g1118 +tp11769 +a(g706 +g1078 +tp11770 +a(g827 +V\u000a +p11771 +tp11772 +a(g827 +V +p11773 +tp11774 +a(g436 +g1116 +tp11775 +a(g827 +g983 +tp11776 +a(g413 +g1262 +tp11777 +a(g827 +g983 +tp11778 +a(g436 +VTOP +p11779 +tp11780 +a(g706 +g1109 +tp11781 +a(g706 +g1118 +tp11782 +a(g706 +g1078 +tp11783 +a(g827 +V\u000a +p11784 +tp11785 +a(g827 +V +p11786 +tp11787 +a(g436 +g5367 +tp11788 +a(g827 +g983 +tp11789 +a(g413 +g1262 +tp11790 +a(g827 +g983 +tp11791 +a(g436 +VPyNumber_InPlacePower +p11792 +tp11793 +a(g706 +g1109 +tp11794 +a(g436 +g1116 +tp11795 +a(g706 +g1139 +tp11796 +a(g827 +g983 +tp11797 +a(g436 +g5398 +tp11798 +a(g706 +g1139 +tp11799 +a(g827 +g983 +tp11800 +a(g436 +VPy_None +p11801 +tp11802 +a(g706 +g1118 +tp11803 +a(g706 +g1078 +tp11804 +a(g827 +V\u000a +p11805 +tp11806 +a(g827 +V +p11807 +tp11808 +a(g436 +VPy_DECREF +p11809 +tp11810 +a(g706 +g1109 +tp11811 +a(g436 +g1116 +tp11812 +a(g706 +g1118 +tp11813 +a(g706 +g1078 +tp11814 +a(g827 +V\u000a +p11815 +tp11816 +a(g827 +V +p11817 +tp11818 +a(g436 +VPy_DECREF +p11819 +tp11820 +a(g706 +g1109 +tp11821 +a(g436 +g5398 +tp11822 +a(g706 +g1118 +tp11823 +a(g706 +g1078 +tp11824 +a(g827 +V\u000a +p11825 +tp11826 +a(g827 +V +p11827 +tp11828 +a(g436 +VSET_TOP +p11829 +tp11830 +a(g706 +g1109 +tp11831 +a(g436 +g5367 +tp11832 +a(g706 +g1118 +tp11833 +a(g706 +g1078 +tp11834 +a(g827 +V\u000a +p11835 +tp11836 +a(g827 +V +p11837 +tp11838 +a(g745 +Vif +p11839 +tp11840 +a(g827 +g983 +tp11841 +a(g706 +g1109 +tp11842 +a(g436 +g5367 +tp11843 +a(g827 +g983 +tp11844 +a(g413 +g1260 +tp11845 +a(g413 +g1262 +tp11846 +a(g827 +g983 +tp11847 +a(g682 +VNULL +p11848 +tp11849 +a(g706 +g1118 +tp11850 +a(g827 +g983 +tp11851 +a(g745 +Vcontinue +p11852 +tp11853 +a(g706 +g1078 +tp11854 +a(g827 +V\u000a +p11855 +tp11856 +a(g827 +V +p11857 +tp11858 +a(g745 +Vbreak +p11859 +tp11860 +a(g706 +g1078 +tp11861 +a(g827 +V\u000a +p11862 +tp11863 +a(g827 +V\u000a +p11864 +tp11865 +a(g827 +V +p11866 +tp11867 +a(g745 +Vcase +p11868 +tp11869 +a(g827 +g983 +tp11870 +a(g597 +VINPLACE_MULTIPLY +p11871 +tp11872 +a(g706 +g1157 +tp11873 +a(g827 +V\u000a +p11874 +tp11875 +a(g827 +V +p11876 +tp11877 +a(g436 +g5398 +tp11878 +a(g827 +g983 +tp11879 +a(g413 +g1262 +tp11880 +a(g827 +g983 +tp11881 +a(g436 +VPOP +p11882 +tp11883 +a(g706 +g1109 +tp11884 +a(g706 +g1118 +tp11885 +a(g706 +g1078 +tp11886 +a(g827 +V\u000a +p11887 +tp11888 +a(g827 +V +p11889 +tp11890 +a(g436 +g1116 +tp11891 +a(g827 +g983 +tp11892 +a(g413 +g1262 +tp11893 +a(g827 +g983 +tp11894 +a(g436 +VTOP +p11895 +tp11896 +a(g706 +g1109 +tp11897 +a(g706 +g1118 +tp11898 +a(g706 +g1078 +tp11899 +a(g827 +V\u000a +p11900 +tp11901 +a(g827 +V +p11902 +tp11903 +a(g436 +g5367 +tp11904 +a(g827 +g983 +tp11905 +a(g413 +g1262 +tp11906 +a(g827 +g983 +tp11907 +a(g436 +VPyNumber_InPlaceMultiply +p11908 +tp11909 +a(g706 +g1109 +tp11910 +a(g436 +g1116 +tp11911 +a(g706 +g1139 +tp11912 +a(g827 +g983 +tp11913 +a(g436 +g5398 +tp11914 +a(g706 +g1118 +tp11915 +a(g706 +g1078 +tp11916 +a(g827 +V\u000a +p11917 +tp11918 +a(g827 +V +p11919 +tp11920 +a(g436 +VPy_DECREF +p11921 +tp11922 +a(g706 +g1109 +tp11923 +a(g436 +g1116 +tp11924 +a(g706 +g1118 +tp11925 +a(g706 +g1078 +tp11926 +a(g827 +V\u000a +p11927 +tp11928 +a(g827 +V +p11929 +tp11930 +a(g436 +VPy_DECREF +p11931 +tp11932 +a(g706 +g1109 +tp11933 +a(g436 +g5398 +tp11934 +a(g706 +g1118 +tp11935 +a(g706 +g1078 +tp11936 +a(g827 +V\u000a +p11937 +tp11938 +a(g827 +V +p11939 +tp11940 +a(g436 +VSET_TOP +p11941 +tp11942 +a(g706 +g1109 +tp11943 +a(g436 +g5367 +tp11944 +a(g706 +g1118 +tp11945 +a(g706 +g1078 +tp11946 +a(g827 +V\u000a +p11947 +tp11948 +a(g827 +V +p11949 +tp11950 +a(g745 +Vif +p11951 +tp11952 +a(g827 +g983 +tp11953 +a(g706 +g1109 +tp11954 +a(g436 +g5367 +tp11955 +a(g827 +g983 +tp11956 +a(g413 +g1260 +tp11957 +a(g413 +g1262 +tp11958 +a(g827 +g983 +tp11959 +a(g682 +VNULL +p11960 +tp11961 +a(g706 +g1118 +tp11962 +a(g827 +g983 +tp11963 +a(g745 +Vcontinue +p11964 +tp11965 +a(g706 +g1078 +tp11966 +a(g827 +V\u000a +p11967 +tp11968 +a(g827 +V +p11969 +tp11970 +a(g745 +Vbreak +p11971 +tp11972 +a(g706 +g1078 +tp11973 +a(g827 +V\u000a +p11974 +tp11975 +a(g827 +V\u000a +p11976 +tp11977 +a(g827 +V +p11978 +tp11979 +a(g745 +Vcase +p11980 +tp11981 +a(g827 +g983 +tp11982 +a(g597 +VINPLACE_DIVIDE +p11983 +tp11984 +a(g706 +g1157 +tp11985 +a(g827 +V\u000a +p11986 +tp11987 +a(g827 +V +p11988 +tp11989 +a(g745 +Vif +p11990 +tp11991 +a(g827 +g983 +tp11992 +a(g706 +g1109 +tp11993 +a(g413 +g1260 +tp11994 +a(g436 +V_Py_QnewFlag +p11995 +tp11996 +a(g706 +g1118 +tp11997 +a(g827 +g983 +tp11998 +a(g706 +g1122 +tp11999 +a(g827 +V\u000a +p12000 +tp12001 +a(g827 +V +p12002 +tp12003 +a(g436 +g5398 +tp12004 +a(g827 +g983 +tp12005 +a(g413 +g1262 +tp12006 +a(g827 +g983 +tp12007 +a(g436 +VPOP +p12008 +tp12009 +a(g706 +g1109 +tp12010 +a(g706 +g1118 +tp12011 +a(g706 +g1078 +tp12012 +a(g827 +V\u000a +p12013 +tp12014 +a(g827 +V +p12015 +tp12016 +a(g436 +g1116 +tp12017 +a(g827 +g983 +tp12018 +a(g413 +g1262 +tp12019 +a(g827 +g983 +tp12020 +a(g436 +VTOP +p12021 +tp12022 +a(g706 +g1109 +tp12023 +a(g706 +g1118 +tp12024 +a(g706 +g1078 +tp12025 +a(g827 +V\u000a +p12026 +tp12027 +a(g827 +V +p12028 +tp12029 +a(g436 +g5367 +tp12030 +a(g827 +g983 +tp12031 +a(g413 +g1262 +tp12032 +a(g827 +g983 +tp12033 +a(g436 +VPyNumber_InPlaceDivide +p12034 +tp12035 +a(g706 +g1109 +tp12036 +a(g436 +g1116 +tp12037 +a(g706 +g1139 +tp12038 +a(g827 +g983 +tp12039 +a(g436 +g5398 +tp12040 +a(g706 +g1118 +tp12041 +a(g706 +g1078 +tp12042 +a(g827 +V\u000a +p12043 +tp12044 +a(g827 +V +p12045 +tp12046 +a(g436 +VPy_DECREF +p12047 +tp12048 +a(g706 +g1109 +tp12049 +a(g436 +g1116 +tp12050 +a(g706 +g1118 +tp12051 +a(g706 +g1078 +tp12052 +a(g827 +V\u000a +p12053 +tp12054 +a(g827 +V +p12055 +tp12056 +a(g436 +VPy_DECREF +p12057 +tp12058 +a(g706 +g1109 +tp12059 +a(g436 +g5398 +tp12060 +a(g706 +g1118 +tp12061 +a(g706 +g1078 +tp12062 +a(g827 +V\u000a +p12063 +tp12064 +a(g827 +V +p12065 +tp12066 +a(g436 +VSET_TOP +p12067 +tp12068 +a(g706 +g1109 +tp12069 +a(g436 +g5367 +tp12070 +a(g706 +g1118 +tp12071 +a(g706 +g1078 +tp12072 +a(g827 +V\u000a +p12073 +tp12074 +a(g827 +V +p12075 +tp12076 +a(g745 +Vif +p12077 +tp12078 +a(g827 +g983 +tp12079 +a(g706 +g1109 +tp12080 +a(g436 +g5367 +tp12081 +a(g827 +g983 +tp12082 +a(g413 +g1260 +tp12083 +a(g413 +g1262 +tp12084 +a(g827 +g983 +tp12085 +a(g682 +VNULL +p12086 +tp12087 +a(g706 +g1118 +tp12088 +a(g827 +g983 +tp12089 +a(g745 +Vcontinue +p12090 +tp12091 +a(g706 +g1078 +tp12092 +a(g827 +V\u000a +p12093 +tp12094 +a(g827 +V +p12095 +tp12096 +a(g745 +Vbreak +p12097 +tp12098 +a(g706 +g1078 +tp12099 +a(g827 +V\u000a +p12100 +tp12101 +a(g827 +V +p12102 +tp12103 +a(g706 +g1336 +tp12104 +a(g827 +V\u000a +p12105 +tp12106 +a(g827 +V +p12107 +tp12108 +a(g8 +V/* -Qnew is in effect: fall through to\u000a INPLACE_TRUE_DIVIDE */ +p12109 +tp12110 +a(g827 +V\u000a +p12111 +tp12112 +a(g827 +V +p12113 +tp12114 +a(g745 +Vcase +p12115 +tp12116 +a(g827 +g983 +tp12117 +a(g597 +VINPLACE_TRUE_DIVIDE +p12118 +tp12119 +a(g706 +g1157 +tp12120 +a(g827 +V\u000a +p12121 +tp12122 +a(g827 +V +p12123 +tp12124 +a(g436 +g5398 +tp12125 +a(g827 +g983 +tp12126 +a(g413 +g1262 +tp12127 +a(g827 +g983 +tp12128 +a(g436 +VPOP +p12129 +tp12130 +a(g706 +g1109 +tp12131 +a(g706 +g1118 +tp12132 +a(g706 +g1078 +tp12133 +a(g827 +V\u000a +p12134 +tp12135 +a(g827 +V +p12136 +tp12137 +a(g436 +g1116 +tp12138 +a(g827 +g983 +tp12139 +a(g413 +g1262 +tp12140 +a(g827 +g983 +tp12141 +a(g436 +VTOP +p12142 +tp12143 +a(g706 +g1109 +tp12144 +a(g706 +g1118 +tp12145 +a(g706 +g1078 +tp12146 +a(g827 +V\u000a +p12147 +tp12148 +a(g827 +V +p12149 +tp12150 +a(g436 +g5367 +tp12151 +a(g827 +g983 +tp12152 +a(g413 +g1262 +tp12153 +a(g827 +g983 +tp12154 +a(g436 +VPyNumber_InPlaceTrueDivide +p12155 +tp12156 +a(g706 +g1109 +tp12157 +a(g436 +g1116 +tp12158 +a(g706 +g1139 +tp12159 +a(g827 +g983 +tp12160 +a(g436 +g5398 +tp12161 +a(g706 +g1118 +tp12162 +a(g706 +g1078 +tp12163 +a(g827 +V\u000a +p12164 +tp12165 +a(g827 +V +p12166 +tp12167 +a(g436 +VPy_DECREF +p12168 +tp12169 +a(g706 +g1109 +tp12170 +a(g436 +g1116 +tp12171 +a(g706 +g1118 +tp12172 +a(g706 +g1078 +tp12173 +a(g827 +V\u000a +p12174 +tp12175 +a(g827 +V +p12176 +tp12177 +a(g436 +VPy_DECREF +p12178 +tp12179 +a(g706 +g1109 +tp12180 +a(g436 +g5398 +tp12181 +a(g706 +g1118 +tp12182 +a(g706 +g1078 +tp12183 +a(g827 +V\u000a +p12184 +tp12185 +a(g827 +V +p12186 +tp12187 +a(g436 +VSET_TOP +p12188 +tp12189 +a(g706 +g1109 +tp12190 +a(g436 +g5367 +tp12191 +a(g706 +g1118 +tp12192 +a(g706 +g1078 +tp12193 +a(g827 +V\u000a +p12194 +tp12195 +a(g827 +V +p12196 +tp12197 +a(g745 +Vif +p12198 +tp12199 +a(g827 +g983 +tp12200 +a(g706 +g1109 +tp12201 +a(g436 +g5367 +tp12202 +a(g827 +g983 +tp12203 +a(g413 +g1260 +tp12204 +a(g413 +g1262 +tp12205 +a(g827 +g983 +tp12206 +a(g682 +VNULL +p12207 +tp12208 +a(g706 +g1118 +tp12209 +a(g827 +g983 +tp12210 +a(g745 +Vcontinue +p12211 +tp12212 +a(g706 +g1078 +tp12213 +a(g827 +V\u000a +p12214 +tp12215 +a(g827 +V +p12216 +tp12217 +a(g745 +Vbreak +p12218 +tp12219 +a(g706 +g1078 +tp12220 +a(g827 +V\u000a +p12221 +tp12222 +a(g827 +V\u000a +p12223 +tp12224 +a(g827 +V +p12225 +tp12226 +a(g745 +Vcase +p12227 +tp12228 +a(g827 +g983 +tp12229 +a(g597 +VINPLACE_FLOOR_DIVIDE +p12230 +tp12231 +a(g706 +g1157 +tp12232 +a(g827 +V\u000a +p12233 +tp12234 +a(g827 +V +p12235 +tp12236 +a(g436 +g5398 +tp12237 +a(g827 +g983 +tp12238 +a(g413 +g1262 +tp12239 +a(g827 +g983 +tp12240 +a(g436 +VPOP +p12241 +tp12242 +a(g706 +g1109 +tp12243 +a(g706 +g1118 +tp12244 +a(g706 +g1078 +tp12245 +a(g827 +V\u000a +p12246 +tp12247 +a(g827 +V +p12248 +tp12249 +a(g436 +g1116 +tp12250 +a(g827 +g983 +tp12251 +a(g413 +g1262 +tp12252 +a(g827 +g983 +tp12253 +a(g436 +VTOP +p12254 +tp12255 +a(g706 +g1109 +tp12256 +a(g706 +g1118 +tp12257 +a(g706 +g1078 +tp12258 +a(g827 +V\u000a +p12259 +tp12260 +a(g827 +V +p12261 +tp12262 +a(g436 +g5367 +tp12263 +a(g827 +g983 +tp12264 +a(g413 +g1262 +tp12265 +a(g827 +g983 +tp12266 +a(g436 +VPyNumber_InPlaceFloorDivide +p12267 +tp12268 +a(g706 +g1109 +tp12269 +a(g436 +g1116 +tp12270 +a(g706 +g1139 +tp12271 +a(g827 +g983 +tp12272 +a(g436 +g5398 +tp12273 +a(g706 +g1118 +tp12274 +a(g706 +g1078 +tp12275 +a(g827 +V\u000a +p12276 +tp12277 +a(g827 +V +p12278 +tp12279 +a(g436 +VPy_DECREF +p12280 +tp12281 +a(g706 +g1109 +tp12282 +a(g436 +g1116 +tp12283 +a(g706 +g1118 +tp12284 +a(g706 +g1078 +tp12285 +a(g827 +V\u000a +p12286 +tp12287 +a(g827 +V +p12288 +tp12289 +a(g436 +VPy_DECREF +p12290 +tp12291 +a(g706 +g1109 +tp12292 +a(g436 +g5398 +tp12293 +a(g706 +g1118 +tp12294 +a(g706 +g1078 +tp12295 +a(g827 +V\u000a +p12296 +tp12297 +a(g827 +V +p12298 +tp12299 +a(g436 +VSET_TOP +p12300 +tp12301 +a(g706 +g1109 +tp12302 +a(g436 +g5367 +tp12303 +a(g706 +g1118 +tp12304 +a(g706 +g1078 +tp12305 +a(g827 +V\u000a +p12306 +tp12307 +a(g827 +V +p12308 +tp12309 +a(g745 +Vif +p12310 +tp12311 +a(g827 +g983 +tp12312 +a(g706 +g1109 +tp12313 +a(g436 +g5367 +tp12314 +a(g827 +g983 +tp12315 +a(g413 +g1260 +tp12316 +a(g413 +g1262 +tp12317 +a(g827 +g983 +tp12318 +a(g682 +VNULL +p12319 +tp12320 +a(g706 +g1118 +tp12321 +a(g827 +g983 +tp12322 +a(g745 +Vcontinue +p12323 +tp12324 +a(g706 +g1078 +tp12325 +a(g827 +V\u000a +p12326 +tp12327 +a(g827 +V +p12328 +tp12329 +a(g745 +Vbreak +p12330 +tp12331 +a(g706 +g1078 +tp12332 +a(g827 +V\u000a +p12333 +tp12334 +a(g827 +V\u000a +p12335 +tp12336 +a(g827 +V +p12337 +tp12338 +a(g745 +Vcase +p12339 +tp12340 +a(g827 +g983 +tp12341 +a(g597 +VINPLACE_MODULO +p12342 +tp12343 +a(g706 +g1157 +tp12344 +a(g827 +V\u000a +p12345 +tp12346 +a(g827 +V +p12347 +tp12348 +a(g436 +g5398 +tp12349 +a(g827 +g983 +tp12350 +a(g413 +g1262 +tp12351 +a(g827 +g983 +tp12352 +a(g436 +VPOP +p12353 +tp12354 +a(g706 +g1109 +tp12355 +a(g706 +g1118 +tp12356 +a(g706 +g1078 +tp12357 +a(g827 +V\u000a +p12358 +tp12359 +a(g827 +V +p12360 +tp12361 +a(g436 +g1116 +tp12362 +a(g827 +g983 +tp12363 +a(g413 +g1262 +tp12364 +a(g827 +g983 +tp12365 +a(g436 +VTOP +p12366 +tp12367 +a(g706 +g1109 +tp12368 +a(g706 +g1118 +tp12369 +a(g706 +g1078 +tp12370 +a(g827 +V\u000a +p12371 +tp12372 +a(g827 +V +p12373 +tp12374 +a(g436 +g5367 +tp12375 +a(g827 +g983 +tp12376 +a(g413 +g1262 +tp12377 +a(g827 +g983 +tp12378 +a(g436 +VPyNumber_InPlaceRemainder +p12379 +tp12380 +a(g706 +g1109 +tp12381 +a(g436 +g1116 +tp12382 +a(g706 +g1139 +tp12383 +a(g827 +g983 +tp12384 +a(g436 +g5398 +tp12385 +a(g706 +g1118 +tp12386 +a(g706 +g1078 +tp12387 +a(g827 +V\u000a +p12388 +tp12389 +a(g827 +V +p12390 +tp12391 +a(g436 +VPy_DECREF +p12392 +tp12393 +a(g706 +g1109 +tp12394 +a(g436 +g1116 +tp12395 +a(g706 +g1118 +tp12396 +a(g706 +g1078 +tp12397 +a(g827 +V\u000a +p12398 +tp12399 +a(g827 +V +p12400 +tp12401 +a(g436 +VPy_DECREF +p12402 +tp12403 +a(g706 +g1109 +tp12404 +a(g436 +g5398 +tp12405 +a(g706 +g1118 +tp12406 +a(g706 +g1078 +tp12407 +a(g827 +V\u000a +p12408 +tp12409 +a(g827 +V +p12410 +tp12411 +a(g436 +VSET_TOP +p12412 +tp12413 +a(g706 +g1109 +tp12414 +a(g436 +g5367 +tp12415 +a(g706 +g1118 +tp12416 +a(g706 +g1078 +tp12417 +a(g827 +V\u000a +p12418 +tp12419 +a(g827 +V +p12420 +tp12421 +a(g745 +Vif +p12422 +tp12423 +a(g827 +g983 +tp12424 +a(g706 +g1109 +tp12425 +a(g436 +g5367 +tp12426 +a(g827 +g983 +tp12427 +a(g413 +g1260 +tp12428 +a(g413 +g1262 +tp12429 +a(g827 +g983 +tp12430 +a(g682 +VNULL +p12431 +tp12432 +a(g706 +g1118 +tp12433 +a(g827 +g983 +tp12434 +a(g745 +Vcontinue +p12435 +tp12436 +a(g706 +g1078 +tp12437 +a(g827 +V\u000a +p12438 +tp12439 +a(g827 +V +p12440 +tp12441 +a(g745 +Vbreak +p12442 +tp12443 +a(g706 +g1078 +tp12444 +a(g827 +V\u000a +p12445 +tp12446 +a(g827 +V\u000a +p12447 +tp12448 +a(g827 +V +p12449 +tp12450 +a(g745 +Vcase +p12451 +tp12452 +a(g827 +g983 +tp12453 +a(g597 +VINPLACE_ADD +p12454 +tp12455 +a(g706 +g1157 +tp12456 +a(g827 +V\u000a +p12457 +tp12458 +a(g827 +V +p12459 +tp12460 +a(g436 +g5398 +tp12461 +a(g827 +g983 +tp12462 +a(g413 +g1262 +tp12463 +a(g827 +g983 +tp12464 +a(g436 +VPOP +p12465 +tp12466 +a(g706 +g1109 +tp12467 +a(g706 +g1118 +tp12468 +a(g706 +g1078 +tp12469 +a(g827 +V\u000a +p12470 +tp12471 +a(g827 +V +p12472 +tp12473 +a(g436 +g1116 +tp12474 +a(g827 +g983 +tp12475 +a(g413 +g1262 +tp12476 +a(g827 +g983 +tp12477 +a(g436 +VTOP +p12478 +tp12479 +a(g706 +g1109 +tp12480 +a(g706 +g1118 +tp12481 +a(g706 +g1078 +tp12482 +a(g827 +V\u000a +p12483 +tp12484 +a(g827 +V +p12485 +tp12486 +a(g745 +Vif +p12487 +tp12488 +a(g827 +g983 +tp12489 +a(g706 +g1109 +tp12490 +a(g436 +VPyInt_CheckExact +p12491 +tp12492 +a(g706 +g1109 +tp12493 +a(g436 +g1116 +tp12494 +a(g706 +g1118 +tp12495 +a(g827 +g983 +tp12496 +a(g413 +g4166 +tp12497 +a(g413 +g4166 +tp12498 +a(g827 +g983 +tp12499 +a(g436 +VPyInt_CheckExact +p12500 +tp12501 +a(g706 +g1109 +tp12502 +a(g436 +g5398 +tp12503 +a(g706 +g1118 +tp12504 +a(g706 +g1118 +tp12505 +a(g827 +g983 +tp12506 +a(g706 +g1122 +tp12507 +a(g827 +V\u000a +p12508 +tp12509 +a(g827 +V +p12510 +tp12511 +a(g8 +V/* INLINE: int + int */ +p12512 +tp12513 +a(g827 +V\u000a +p12514 +tp12515 +a(g827 +V +p12516 +tp12517 +a(g745 +Vregister +p12518 +tp12519 +a(g827 +g983 +tp12520 +a(g749 +Vlong +p12521 +tp12522 +a(g827 +g983 +tp12523 +a(g436 +g10202 +tp12524 +a(g706 +g1139 +tp12525 +a(g827 +g983 +tp12526 +a(g436 +g10206 +tp12527 +a(g706 +g1139 +tp12528 +a(g827 +g983 +tp12529 +a(g436 +g3898 +tp12530 +a(g706 +g1078 +tp12531 +a(g827 +V\u000a +p12532 +tp12533 +a(g827 +V +p12534 +tp12535 +a(g436 +g10202 +tp12536 +a(g827 +g983 +tp12537 +a(g413 +g1262 +tp12538 +a(g827 +g983 +tp12539 +a(g436 +VPyInt_AS_LONG +p12540 +tp12541 +a(g706 +g1109 +tp12542 +a(g436 +g1116 +tp12543 +a(g706 +g1118 +tp12544 +a(g706 +g1078 +tp12545 +a(g827 +V\u000a +p12546 +tp12547 +a(g827 +V +p12548 +tp12549 +a(g436 +g10206 +tp12550 +a(g827 +g983 +tp12551 +a(g413 +g1262 +tp12552 +a(g827 +g983 +tp12553 +a(g436 +VPyInt_AS_LONG +p12554 +tp12555 +a(g706 +g1109 +tp12556 +a(g436 +g5398 +tp12557 +a(g706 +g1118 +tp12558 +a(g706 +g1078 +tp12559 +a(g827 +V\u000a +p12560 +tp12561 +a(g827 +V +p12562 +tp12563 +a(g436 +g3898 +tp12564 +a(g827 +g983 +tp12565 +a(g413 +g1262 +tp12566 +a(g827 +g983 +tp12567 +a(g436 +g10202 +tp12568 +a(g827 +g983 +tp12569 +a(g413 +g3965 +tp12570 +a(g827 +g983 +tp12571 +a(g436 +g10206 +tp12572 +a(g706 +g1078 +tp12573 +a(g827 +V\u000a +p12574 +tp12575 +a(g827 +V +p12576 +tp12577 +a(g745 +Vif +p12578 +tp12579 +a(g827 +g983 +tp12580 +a(g706 +g1109 +tp12581 +a(g706 +g1109 +tp12582 +a(g436 +g3898 +tp12583 +a(g413 +g10264 +tp12584 +a(g436 +g10202 +tp12585 +a(g706 +g1118 +tp12586 +a(g827 +g983 +tp12587 +a(g413 +g4395 +tp12588 +a(g827 +g983 +tp12589 +a(g37 +g1269 +tp12590 +a(g827 +g983 +tp12591 +a(g413 +g4166 +tp12592 +a(g413 +g4166 +tp12593 +a(g827 +g983 +tp12594 +a(g706 +g1109 +tp12595 +a(g436 +g3898 +tp12596 +a(g413 +g10264 +tp12597 +a(g436 +g10206 +tp12598 +a(g706 +g1118 +tp12599 +a(g827 +g983 +tp12600 +a(g413 +g4395 +tp12601 +a(g827 +g983 +tp12602 +a(g37 +g1269 +tp12603 +a(g706 +g1118 +tp12604 +a(g827 +V\u000a +p12605 +tp12606 +a(g827 +V +p12607 +tp12608 +a(g745 +Vgoto +p12609 +tp12610 +a(g827 +g983 +tp12611 +a(g436 +Vslow_iadd +p12612 +tp12613 +a(g706 +g1078 +tp12614 +a(g827 +V\u000a +p12615 +tp12616 +a(g827 +V +p12617 +tp12618 +a(g436 +g5367 +tp12619 +a(g827 +g983 +tp12620 +a(g413 +g1262 +tp12621 +a(g827 +g983 +tp12622 +a(g436 +VPyInt_FromLong +p12623 +tp12624 +a(g706 +g1109 +tp12625 +a(g436 +g3898 +tp12626 +a(g706 +g1118 +tp12627 +a(g706 +g1078 +tp12628 +a(g827 +V\u000a +p12629 +tp12630 +a(g827 +V +p12631 +tp12632 +a(g706 +g1336 +tp12633 +a(g827 +V\u000a +p12634 +tp12635 +a(g827 +V +p12636 +tp12637 +a(g745 +Velse +p12638 +tp12639 +a(g827 +g983 +tp12640 +a(g745 +Vif +p12641 +tp12642 +a(g827 +g983 +tp12643 +a(g706 +g1109 +tp12644 +a(g436 +VPyString_CheckExact +p12645 +tp12646 +a(g706 +g1109 +tp12647 +a(g436 +g1116 +tp12648 +a(g706 +g1118 +tp12649 +a(g827 +g983 +tp12650 +a(g413 +g4166 +tp12651 +a(g413 +g4166 +tp12652 +a(g827 +V\u000a +p12653 +tp12654 +a(g827 +V +p12655 +tp12656 +a(g436 +VPyString_CheckExact +p12657 +tp12658 +a(g706 +g1109 +tp12659 +a(g436 +g5398 +tp12660 +a(g706 +g1118 +tp12661 +a(g706 +g1118 +tp12662 +a(g827 +g983 +tp12663 +a(g706 +g1122 +tp12664 +a(g827 +V\u000a +p12665 +tp12666 +a(g827 +V +p12667 +tp12668 +a(g436 +g5367 +tp12669 +a(g827 +g983 +tp12670 +a(g413 +g1262 +tp12671 +a(g827 +g983 +tp12672 +a(g436 +Vstring_concatenate +p12673 +tp12674 +a(g706 +g1109 +tp12675 +a(g436 +g1116 +tp12676 +a(g706 +g1139 +tp12677 +a(g827 +g983 +tp12678 +a(g436 +g5398 +tp12679 +a(g706 +g1139 +tp12680 +a(g827 +g983 +tp12681 +a(g436 +g5180 +tp12682 +a(g706 +g1139 +tp12683 +a(g827 +g983 +tp12684 +a(g436 +Vnext_instr +p12685 +tp12686 +a(g706 +g1118 +tp12687 +a(g706 +g1078 +tp12688 +a(g827 +V\u000a +p12689 +tp12690 +a(g827 +V +p12691 +tp12692 +a(g8 +V/* string_concatenate consumed the ref to v */ +p12693 +tp12694 +a(g827 +V\u000a +p12695 +tp12696 +a(g827 +V +p12697 +tp12698 +a(g745 +Vgoto +p12699 +tp12700 +a(g827 +g983 +tp12701 +a(g436 +Vskip_decref_v +p12702 +tp12703 +a(g706 +g1078 +tp12704 +a(g827 +V\u000a +p12705 +tp12706 +a(g827 +V +p12707 +tp12708 +a(g706 +g1336 +tp12709 +a(g827 +V\u000a +p12710 +tp12711 +a(g827 +V +p12712 +tp12713 +a(g745 +Velse +p12714 +tp12715 +a(g827 +g983 +tp12716 +a(g706 +g1122 +tp12717 +a(g827 +V\u000a +p12718 +tp12719 +a(g827 +V +p12720 +tp12721 +a(g597 +Vslow_iadd +p12722 +tp12723 +a(g706 +g1157 +tp12724 +a(g827 +V\u000a +p12725 +tp12726 +a(g827 +V +p12727 +tp12728 +a(g436 +g5367 +tp12729 +a(g827 +g983 +tp12730 +a(g413 +g1262 +tp12731 +a(g827 +g983 +tp12732 +a(g436 +VPyNumber_InPlaceAdd +p12733 +tp12734 +a(g706 +g1109 +tp12735 +a(g436 +g1116 +tp12736 +a(g706 +g1139 +tp12737 +a(g827 +g983 +tp12738 +a(g436 +g5398 +tp12739 +a(g706 +g1118 +tp12740 +a(g706 +g1078 +tp12741 +a(g827 +V\u000a +p12742 +tp12743 +a(g827 +V +p12744 +tp12745 +a(g706 +g1336 +tp12746 +a(g827 +V\u000a +p12747 +tp12748 +a(g827 +V +p12749 +tp12750 +a(g436 +VPy_DECREF +p12751 +tp12752 +a(g706 +g1109 +tp12753 +a(g436 +g1116 +tp12754 +a(g706 +g1118 +tp12755 +a(g706 +g1078 +tp12756 +a(g827 +V\u000a +p12757 +tp12758 +a(g827 +V +p12759 +tp12760 +a(g597 +Vskip_decref_v +p12761 +tp12762 +a(g706 +g1157 +tp12763 +a(g827 +V\u000a +p12764 +tp12765 +a(g827 +V +p12766 +tp12767 +a(g436 +VPy_DECREF +p12768 +tp12769 +a(g706 +g1109 +tp12770 +a(g436 +g5398 +tp12771 +a(g706 +g1118 +tp12772 +a(g706 +g1078 +tp12773 +a(g827 +V\u000a +p12774 +tp12775 +a(g827 +V +p12776 +tp12777 +a(g436 +VSET_TOP +p12778 +tp12779 +a(g706 +g1109 +tp12780 +a(g436 +g5367 +tp12781 +a(g706 +g1118 +tp12782 +a(g706 +g1078 +tp12783 +a(g827 +V\u000a +p12784 +tp12785 +a(g827 +V +p12786 +tp12787 +a(g745 +Vif +p12788 +tp12789 +a(g827 +g983 +tp12790 +a(g706 +g1109 +tp12791 +a(g436 +g5367 +tp12792 +a(g827 +g983 +tp12793 +a(g413 +g1260 +tp12794 +a(g413 +g1262 +tp12795 +a(g827 +g983 +tp12796 +a(g682 +VNULL +p12797 +tp12798 +a(g706 +g1118 +tp12799 +a(g827 +g983 +tp12800 +a(g745 +Vcontinue +p12801 +tp12802 +a(g706 +g1078 +tp12803 +a(g827 +V\u000a +p12804 +tp12805 +a(g827 +V +p12806 +tp12807 +a(g745 +Vbreak +p12808 +tp12809 +a(g706 +g1078 +tp12810 +a(g827 +V\u000a +p12811 +tp12812 +a(g827 +V\u000a +p12813 +tp12814 +a(g827 +V +p12815 +tp12816 +a(g745 +Vcase +p12817 +tp12818 +a(g827 +g983 +tp12819 +a(g597 +VINPLACE_SUBTRACT +p12820 +tp12821 +a(g706 +g1157 +tp12822 +a(g827 +V\u000a +p12823 +tp12824 +a(g827 +V +p12825 +tp12826 +a(g436 +g5398 +tp12827 +a(g827 +g983 +tp12828 +a(g413 +g1262 +tp12829 +a(g827 +g983 +tp12830 +a(g436 +VPOP +p12831 +tp12832 +a(g706 +g1109 +tp12833 +a(g706 +g1118 +tp12834 +a(g706 +g1078 +tp12835 +a(g827 +V\u000a +p12836 +tp12837 +a(g827 +V +p12838 +tp12839 +a(g436 +g1116 +tp12840 +a(g827 +g983 +tp12841 +a(g413 +g1262 +tp12842 +a(g827 +g983 +tp12843 +a(g436 +VTOP +p12844 +tp12845 +a(g706 +g1109 +tp12846 +a(g706 +g1118 +tp12847 +a(g706 +g1078 +tp12848 +a(g827 +V\u000a +p12849 +tp12850 +a(g827 +V +p12851 +tp12852 +a(g745 +Vif +p12853 +tp12854 +a(g827 +g983 +tp12855 +a(g706 +g1109 +tp12856 +a(g436 +VPyInt_CheckExact +p12857 +tp12858 +a(g706 +g1109 +tp12859 +a(g436 +g1116 +tp12860 +a(g706 +g1118 +tp12861 +a(g827 +g983 +tp12862 +a(g413 +g4166 +tp12863 +a(g413 +g4166 +tp12864 +a(g827 +g983 +tp12865 +a(g436 +VPyInt_CheckExact +p12866 +tp12867 +a(g706 +g1109 +tp12868 +a(g436 +g5398 +tp12869 +a(g706 +g1118 +tp12870 +a(g706 +g1118 +tp12871 +a(g827 +g983 +tp12872 +a(g706 +g1122 +tp12873 +a(g827 +V\u000a +p12874 +tp12875 +a(g827 +V +p12876 +tp12877 +a(g8 +V/* INLINE: int - int */ +p12878 +tp12879 +a(g827 +V\u000a +p12880 +tp12881 +a(g827 +V +p12882 +tp12883 +a(g745 +Vregister +p12884 +tp12885 +a(g827 +g983 +tp12886 +a(g749 +Vlong +p12887 +tp12888 +a(g827 +g983 +tp12889 +a(g436 +g10202 +tp12890 +a(g706 +g1139 +tp12891 +a(g827 +g983 +tp12892 +a(g436 +g10206 +tp12893 +a(g706 +g1139 +tp12894 +a(g827 +g983 +tp12895 +a(g436 +g3898 +tp12896 +a(g706 +g1078 +tp12897 +a(g827 +V\u000a +p12898 +tp12899 +a(g827 +V +p12900 +tp12901 +a(g436 +g10202 +tp12902 +a(g827 +g983 +tp12903 +a(g413 +g1262 +tp12904 +a(g827 +g983 +tp12905 +a(g436 +VPyInt_AS_LONG +p12906 +tp12907 +a(g706 +g1109 +tp12908 +a(g436 +g1116 +tp12909 +a(g706 +g1118 +tp12910 +a(g706 +g1078 +tp12911 +a(g827 +V\u000a +p12912 +tp12913 +a(g827 +V +p12914 +tp12915 +a(g436 +g10206 +tp12916 +a(g827 +g983 +tp12917 +a(g413 +g1262 +tp12918 +a(g827 +g983 +tp12919 +a(g436 +VPyInt_AS_LONG +p12920 +tp12921 +a(g706 +g1109 +tp12922 +a(g436 +g5398 +tp12923 +a(g706 +g1118 +tp12924 +a(g706 +g1078 +tp12925 +a(g827 +V\u000a +p12926 +tp12927 +a(g827 +V +p12928 +tp12929 +a(g436 +g3898 +tp12930 +a(g827 +g983 +tp12931 +a(g413 +g1262 +tp12932 +a(g827 +g983 +tp12933 +a(g436 +g10202 +tp12934 +a(g827 +g983 +tp12935 +a(g413 +g1480 +tp12936 +a(g827 +g983 +tp12937 +a(g436 +g10206 +tp12938 +a(g706 +g1078 +tp12939 +a(g827 +V\u000a +p12940 +tp12941 +a(g827 +V +p12942 +tp12943 +a(g745 +Vif +p12944 +tp12945 +a(g827 +g983 +tp12946 +a(g706 +g1109 +tp12947 +a(g706 +g1109 +tp12948 +a(g436 +g3898 +tp12949 +a(g413 +g10264 +tp12950 +a(g436 +g10202 +tp12951 +a(g706 +g1118 +tp12952 +a(g827 +g983 +tp12953 +a(g413 +g4395 +tp12954 +a(g827 +g983 +tp12955 +a(g37 +g1269 +tp12956 +a(g827 +g983 +tp12957 +a(g413 +g4166 +tp12958 +a(g413 +g4166 +tp12959 +a(g827 +g983 +tp12960 +a(g706 +g1109 +tp12961 +a(g436 +g3898 +tp12962 +a(g413 +g10264 +tp12963 +a(g413 +g10645 +tp12964 +a(g436 +g10206 +tp12965 +a(g706 +g1118 +tp12966 +a(g827 +g983 +tp12967 +a(g413 +g4395 +tp12968 +a(g827 +g983 +tp12969 +a(g37 +g1269 +tp12970 +a(g706 +g1118 +tp12971 +a(g827 +V\u000a +p12972 +tp12973 +a(g827 +V +p12974 +tp12975 +a(g745 +Vgoto +p12976 +tp12977 +a(g827 +g983 +tp12978 +a(g436 +Vslow_isub +p12979 +tp12980 +a(g706 +g1078 +tp12981 +a(g827 +V\u000a +p12982 +tp12983 +a(g827 +V +p12984 +tp12985 +a(g436 +g5367 +tp12986 +a(g827 +g983 +tp12987 +a(g413 +g1262 +tp12988 +a(g827 +g983 +tp12989 +a(g436 +VPyInt_FromLong +p12990 +tp12991 +a(g706 +g1109 +tp12992 +a(g436 +g3898 +tp12993 +a(g706 +g1118 +tp12994 +a(g706 +g1078 +tp12995 +a(g827 +V\u000a +p12996 +tp12997 +a(g827 +V +p12998 +tp12999 +a(g706 +g1336 +tp13000 +a(g827 +V\u000a +p13001 +tp13002 +a(g827 +V +p13003 +tp13004 +a(g745 +Velse +p13005 +tp13006 +a(g827 +g983 +tp13007 +a(g706 +g1122 +tp13008 +a(g827 +V\u000a +p13009 +tp13010 +a(g827 +V +p13011 +tp13012 +a(g597 +Vslow_isub +p13013 +tp13014 +a(g706 +g1157 +tp13015 +a(g827 +V\u000a +p13016 +tp13017 +a(g827 +V +p13018 +tp13019 +a(g436 +g5367 +tp13020 +a(g827 +g983 +tp13021 +a(g413 +g1262 +tp13022 +a(g827 +g983 +tp13023 +a(g436 +VPyNumber_InPlaceSubtract +p13024 +tp13025 +a(g706 +g1109 +tp13026 +a(g436 +g1116 +tp13027 +a(g706 +g1139 +tp13028 +a(g827 +g983 +tp13029 +a(g436 +g5398 +tp13030 +a(g706 +g1118 +tp13031 +a(g706 +g1078 +tp13032 +a(g827 +V\u000a +p13033 +tp13034 +a(g827 +V +p13035 +tp13036 +a(g706 +g1336 +tp13037 +a(g827 +V\u000a +p13038 +tp13039 +a(g827 +V +p13040 +tp13041 +a(g436 +VPy_DECREF +p13042 +tp13043 +a(g706 +g1109 +tp13044 +a(g436 +g1116 +tp13045 +a(g706 +g1118 +tp13046 +a(g706 +g1078 +tp13047 +a(g827 +V\u000a +p13048 +tp13049 +a(g827 +V +p13050 +tp13051 +a(g436 +VPy_DECREF +p13052 +tp13053 +a(g706 +g1109 +tp13054 +a(g436 +g5398 +tp13055 +a(g706 +g1118 +tp13056 +a(g706 +g1078 +tp13057 +a(g827 +V\u000a +p13058 +tp13059 +a(g827 +V +p13060 +tp13061 +a(g436 +VSET_TOP +p13062 +tp13063 +a(g706 +g1109 +tp13064 +a(g436 +g5367 +tp13065 +a(g706 +g1118 +tp13066 +a(g706 +g1078 +tp13067 +a(g827 +V\u000a +p13068 +tp13069 +a(g827 +V +p13070 +tp13071 +a(g745 +Vif +p13072 +tp13073 +a(g827 +g983 +tp13074 +a(g706 +g1109 +tp13075 +a(g436 +g5367 +tp13076 +a(g827 +g983 +tp13077 +a(g413 +g1260 +tp13078 +a(g413 +g1262 +tp13079 +a(g827 +g983 +tp13080 +a(g682 +VNULL +p13081 +tp13082 +a(g706 +g1118 +tp13083 +a(g827 +g983 +tp13084 +a(g745 +Vcontinue +p13085 +tp13086 +a(g706 +g1078 +tp13087 +a(g827 +V\u000a +p13088 +tp13089 +a(g827 +V +p13090 +tp13091 +a(g745 +Vbreak +p13092 +tp13093 +a(g706 +g1078 +tp13094 +a(g827 +V\u000a +p13095 +tp13096 +a(g827 +V\u000a +p13097 +tp13098 +a(g827 +V +p13099 +tp13100 +a(g745 +Vcase +p13101 +tp13102 +a(g827 +g983 +tp13103 +a(g597 +VINPLACE_LSHIFT +p13104 +tp13105 +a(g706 +g1157 +tp13106 +a(g827 +V\u000a +p13107 +tp13108 +a(g827 +V +p13109 +tp13110 +a(g436 +g5398 +tp13111 +a(g827 +g983 +tp13112 +a(g413 +g1262 +tp13113 +a(g827 +g983 +tp13114 +a(g436 +VPOP +p13115 +tp13116 +a(g706 +g1109 +tp13117 +a(g706 +g1118 +tp13118 +a(g706 +g1078 +tp13119 +a(g827 +V\u000a +p13120 +tp13121 +a(g827 +V +p13122 +tp13123 +a(g436 +g1116 +tp13124 +a(g827 +g983 +tp13125 +a(g413 +g1262 +tp13126 +a(g827 +g983 +tp13127 +a(g436 +VTOP +p13128 +tp13129 +a(g706 +g1109 +tp13130 +a(g706 +g1118 +tp13131 +a(g706 +g1078 +tp13132 +a(g827 +V\u000a +p13133 +tp13134 +a(g827 +V +p13135 +tp13136 +a(g436 +g5367 +tp13137 +a(g827 +g983 +tp13138 +a(g413 +g1262 +tp13139 +a(g827 +g983 +tp13140 +a(g436 +VPyNumber_InPlaceLshift +p13141 +tp13142 +a(g706 +g1109 +tp13143 +a(g436 +g1116 +tp13144 +a(g706 +g1139 +tp13145 +a(g827 +g983 +tp13146 +a(g436 +g5398 +tp13147 +a(g706 +g1118 +tp13148 +a(g706 +g1078 +tp13149 +a(g827 +V\u000a +p13150 +tp13151 +a(g827 +V +p13152 +tp13153 +a(g436 +VPy_DECREF +p13154 +tp13155 +a(g706 +g1109 +tp13156 +a(g436 +g1116 +tp13157 +a(g706 +g1118 +tp13158 +a(g706 +g1078 +tp13159 +a(g827 +V\u000a +p13160 +tp13161 +a(g827 +V +p13162 +tp13163 +a(g436 +VPy_DECREF +p13164 +tp13165 +a(g706 +g1109 +tp13166 +a(g436 +g5398 +tp13167 +a(g706 +g1118 +tp13168 +a(g706 +g1078 +tp13169 +a(g827 +V\u000a +p13170 +tp13171 +a(g827 +V +p13172 +tp13173 +a(g436 +VSET_TOP +p13174 +tp13175 +a(g706 +g1109 +tp13176 +a(g436 +g5367 +tp13177 +a(g706 +g1118 +tp13178 +a(g706 +g1078 +tp13179 +a(g827 +V\u000a +p13180 +tp13181 +a(g827 +V +p13182 +tp13183 +a(g745 +Vif +p13184 +tp13185 +a(g827 +g983 +tp13186 +a(g706 +g1109 +tp13187 +a(g436 +g5367 +tp13188 +a(g827 +g983 +tp13189 +a(g413 +g1260 +tp13190 +a(g413 +g1262 +tp13191 +a(g827 +g983 +tp13192 +a(g682 +VNULL +p13193 +tp13194 +a(g706 +g1118 +tp13195 +a(g827 +g983 +tp13196 +a(g745 +Vcontinue +p13197 +tp13198 +a(g706 +g1078 +tp13199 +a(g827 +V\u000a +p13200 +tp13201 +a(g827 +V +p13202 +tp13203 +a(g745 +Vbreak +p13204 +tp13205 +a(g706 +g1078 +tp13206 +a(g827 +V\u000a +p13207 +tp13208 +a(g827 +V\u000a +p13209 +tp13210 +a(g827 +V +p13211 +tp13212 +a(g745 +Vcase +p13213 +tp13214 +a(g827 +g983 +tp13215 +a(g597 +VINPLACE_RSHIFT +p13216 +tp13217 +a(g706 +g1157 +tp13218 +a(g827 +V\u000a +p13219 +tp13220 +a(g827 +V +p13221 +tp13222 +a(g436 +g5398 +tp13223 +a(g827 +g983 +tp13224 +a(g413 +g1262 +tp13225 +a(g827 +g983 +tp13226 +a(g436 +VPOP +p13227 +tp13228 +a(g706 +g1109 +tp13229 +a(g706 +g1118 +tp13230 +a(g706 +g1078 +tp13231 +a(g827 +V\u000a +p13232 +tp13233 +a(g827 +V +p13234 +tp13235 +a(g436 +g1116 +tp13236 +a(g827 +g983 +tp13237 +a(g413 +g1262 +tp13238 +a(g827 +g983 +tp13239 +a(g436 +VTOP +p13240 +tp13241 +a(g706 +g1109 +tp13242 +a(g706 +g1118 +tp13243 +a(g706 +g1078 +tp13244 +a(g827 +V\u000a +p13245 +tp13246 +a(g827 +V +p13247 +tp13248 +a(g436 +g5367 +tp13249 +a(g827 +g983 +tp13250 +a(g413 +g1262 +tp13251 +a(g827 +g983 +tp13252 +a(g436 +VPyNumber_InPlaceRshift +p13253 +tp13254 +a(g706 +g1109 +tp13255 +a(g436 +g1116 +tp13256 +a(g706 +g1139 +tp13257 +a(g827 +g983 +tp13258 +a(g436 +g5398 +tp13259 +a(g706 +g1118 +tp13260 +a(g706 +g1078 +tp13261 +a(g827 +V\u000a +p13262 +tp13263 +a(g827 +V +p13264 +tp13265 +a(g436 +VPy_DECREF +p13266 +tp13267 +a(g706 +g1109 +tp13268 +a(g436 +g1116 +tp13269 +a(g706 +g1118 +tp13270 +a(g706 +g1078 +tp13271 +a(g827 +V\u000a +p13272 +tp13273 +a(g827 +V +p13274 +tp13275 +a(g436 +VPy_DECREF +p13276 +tp13277 +a(g706 +g1109 +tp13278 +a(g436 +g5398 +tp13279 +a(g706 +g1118 +tp13280 +a(g706 +g1078 +tp13281 +a(g827 +V\u000a +p13282 +tp13283 +a(g827 +V +p13284 +tp13285 +a(g436 +VSET_TOP +p13286 +tp13287 +a(g706 +g1109 +tp13288 +a(g436 +g5367 +tp13289 +a(g706 +g1118 +tp13290 +a(g706 +g1078 +tp13291 +a(g827 +V\u000a +p13292 +tp13293 +a(g827 +V +p13294 +tp13295 +a(g745 +Vif +p13296 +tp13297 +a(g827 +g983 +tp13298 +a(g706 +g1109 +tp13299 +a(g436 +g5367 +tp13300 +a(g827 +g983 +tp13301 +a(g413 +g1260 +tp13302 +a(g413 +g1262 +tp13303 +a(g827 +g983 +tp13304 +a(g682 +VNULL +p13305 +tp13306 +a(g706 +g1118 +tp13307 +a(g827 +g983 +tp13308 +a(g745 +Vcontinue +p13309 +tp13310 +a(g706 +g1078 +tp13311 +a(g827 +V\u000a +p13312 +tp13313 +a(g827 +V +p13314 +tp13315 +a(g745 +Vbreak +p13316 +tp13317 +a(g706 +g1078 +tp13318 +a(g827 +V\u000a +p13319 +tp13320 +a(g827 +V\u000a +p13321 +tp13322 +a(g827 +V +p13323 +tp13324 +a(g745 +Vcase +p13325 +tp13326 +a(g827 +g983 +tp13327 +a(g597 +VINPLACE_AND +p13328 +tp13329 +a(g706 +g1157 +tp13330 +a(g827 +V\u000a +p13331 +tp13332 +a(g827 +V +p13333 +tp13334 +a(g436 +g5398 +tp13335 +a(g827 +g983 +tp13336 +a(g413 +g1262 +tp13337 +a(g827 +g983 +tp13338 +a(g436 +VPOP +p13339 +tp13340 +a(g706 +g1109 +tp13341 +a(g706 +g1118 +tp13342 +a(g706 +g1078 +tp13343 +a(g827 +V\u000a +p13344 +tp13345 +a(g827 +V +p13346 +tp13347 +a(g436 +g1116 +tp13348 +a(g827 +g983 +tp13349 +a(g413 +g1262 +tp13350 +a(g827 +g983 +tp13351 +a(g436 +VTOP +p13352 +tp13353 +a(g706 +g1109 +tp13354 +a(g706 +g1118 +tp13355 +a(g706 +g1078 +tp13356 +a(g827 +V\u000a +p13357 +tp13358 +a(g827 +V +p13359 +tp13360 +a(g436 +g5367 +tp13361 +a(g827 +g983 +tp13362 +a(g413 +g1262 +tp13363 +a(g827 +g983 +tp13364 +a(g436 +VPyNumber_InPlaceAnd +p13365 +tp13366 +a(g706 +g1109 +tp13367 +a(g436 +g1116 +tp13368 +a(g706 +g1139 +tp13369 +a(g827 +g983 +tp13370 +a(g436 +g5398 +tp13371 +a(g706 +g1118 +tp13372 +a(g706 +g1078 +tp13373 +a(g827 +V\u000a +p13374 +tp13375 +a(g827 +V +p13376 +tp13377 +a(g436 +VPy_DECREF +p13378 +tp13379 +a(g706 +g1109 +tp13380 +a(g436 +g1116 +tp13381 +a(g706 +g1118 +tp13382 +a(g706 +g1078 +tp13383 +a(g827 +V\u000a +p13384 +tp13385 +a(g827 +V +p13386 +tp13387 +a(g436 +VPy_DECREF +p13388 +tp13389 +a(g706 +g1109 +tp13390 +a(g436 +g5398 +tp13391 +a(g706 +g1118 +tp13392 +a(g706 +g1078 +tp13393 +a(g827 +V\u000a +p13394 +tp13395 +a(g827 +V +p13396 +tp13397 +a(g436 +VSET_TOP +p13398 +tp13399 +a(g706 +g1109 +tp13400 +a(g436 +g5367 +tp13401 +a(g706 +g1118 +tp13402 +a(g706 +g1078 +tp13403 +a(g827 +V\u000a +p13404 +tp13405 +a(g827 +V +p13406 +tp13407 +a(g745 +Vif +p13408 +tp13409 +a(g827 +g983 +tp13410 +a(g706 +g1109 +tp13411 +a(g436 +g5367 +tp13412 +a(g827 +g983 +tp13413 +a(g413 +g1260 +tp13414 +a(g413 +g1262 +tp13415 +a(g827 +g983 +tp13416 +a(g682 +VNULL +p13417 +tp13418 +a(g706 +g1118 +tp13419 +a(g827 +g983 +tp13420 +a(g745 +Vcontinue +p13421 +tp13422 +a(g706 +g1078 +tp13423 +a(g827 +V\u000a +p13424 +tp13425 +a(g827 +V +p13426 +tp13427 +a(g745 +Vbreak +p13428 +tp13429 +a(g706 +g1078 +tp13430 +a(g827 +V\u000a +p13431 +tp13432 +a(g827 +V\u000a +p13433 +tp13434 +a(g827 +V +p13435 +tp13436 +a(g745 +Vcase +p13437 +tp13438 +a(g827 +g983 +tp13439 +a(g597 +VINPLACE_XOR +p13440 +tp13441 +a(g706 +g1157 +tp13442 +a(g827 +V\u000a +p13443 +tp13444 +a(g827 +V +p13445 +tp13446 +a(g436 +g5398 +tp13447 +a(g827 +g983 +tp13448 +a(g413 +g1262 +tp13449 +a(g827 +g983 +tp13450 +a(g436 +VPOP +p13451 +tp13452 +a(g706 +g1109 +tp13453 +a(g706 +g1118 +tp13454 +a(g706 +g1078 +tp13455 +a(g827 +V\u000a +p13456 +tp13457 +a(g827 +V +p13458 +tp13459 +a(g436 +g1116 +tp13460 +a(g827 +g983 +tp13461 +a(g413 +g1262 +tp13462 +a(g827 +g983 +tp13463 +a(g436 +VTOP +p13464 +tp13465 +a(g706 +g1109 +tp13466 +a(g706 +g1118 +tp13467 +a(g706 +g1078 +tp13468 +a(g827 +V\u000a +p13469 +tp13470 +a(g827 +V +p13471 +tp13472 +a(g436 +g5367 +tp13473 +a(g827 +g983 +tp13474 +a(g413 +g1262 +tp13475 +a(g827 +g983 +tp13476 +a(g436 +VPyNumber_InPlaceXor +p13477 +tp13478 +a(g706 +g1109 +tp13479 +a(g436 +g1116 +tp13480 +a(g706 +g1139 +tp13481 +a(g827 +g983 +tp13482 +a(g436 +g5398 +tp13483 +a(g706 +g1118 +tp13484 +a(g706 +g1078 +tp13485 +a(g827 +V\u000a +p13486 +tp13487 +a(g827 +V +p13488 +tp13489 +a(g436 +VPy_DECREF +p13490 +tp13491 +a(g706 +g1109 +tp13492 +a(g436 +g1116 +tp13493 +a(g706 +g1118 +tp13494 +a(g706 +g1078 +tp13495 +a(g827 +V\u000a +p13496 +tp13497 +a(g827 +V +p13498 +tp13499 +a(g436 +VPy_DECREF +p13500 +tp13501 +a(g706 +g1109 +tp13502 +a(g436 +g5398 +tp13503 +a(g706 +g1118 +tp13504 +a(g706 +g1078 +tp13505 +a(g827 +V\u000a +p13506 +tp13507 +a(g827 +V +p13508 +tp13509 +a(g436 +VSET_TOP +p13510 +tp13511 +a(g706 +g1109 +tp13512 +a(g436 +g5367 +tp13513 +a(g706 +g1118 +tp13514 +a(g706 +g1078 +tp13515 +a(g827 +V\u000a +p13516 +tp13517 +a(g827 +V +p13518 +tp13519 +a(g745 +Vif +p13520 +tp13521 +a(g827 +g983 +tp13522 +a(g706 +g1109 +tp13523 +a(g436 +g5367 +tp13524 +a(g827 +g983 +tp13525 +a(g413 +g1260 +tp13526 +a(g413 +g1262 +tp13527 +a(g827 +g983 +tp13528 +a(g682 +VNULL +p13529 +tp13530 +a(g706 +g1118 +tp13531 +a(g827 +g983 +tp13532 +a(g745 +Vcontinue +p13533 +tp13534 +a(g706 +g1078 +tp13535 +a(g827 +V\u000a +p13536 +tp13537 +a(g827 +V +p13538 +tp13539 +a(g745 +Vbreak +p13540 +tp13541 +a(g706 +g1078 +tp13542 +a(g827 +V\u000a +p13543 +tp13544 +a(g827 +V\u000a +p13545 +tp13546 +a(g827 +V +p13547 +tp13548 +a(g745 +Vcase +p13549 +tp13550 +a(g827 +g983 +tp13551 +a(g597 +VINPLACE_OR +p13552 +tp13553 +a(g706 +g1157 +tp13554 +a(g827 +V\u000a +p13555 +tp13556 +a(g827 +V +p13557 +tp13558 +a(g436 +g5398 +tp13559 +a(g827 +g983 +tp13560 +a(g413 +g1262 +tp13561 +a(g827 +g983 +tp13562 +a(g436 +VPOP +p13563 +tp13564 +a(g706 +g1109 +tp13565 +a(g706 +g1118 +tp13566 +a(g706 +g1078 +tp13567 +a(g827 +V\u000a +p13568 +tp13569 +a(g827 +V +p13570 +tp13571 +a(g436 +g1116 +tp13572 +a(g827 +g983 +tp13573 +a(g413 +g1262 +tp13574 +a(g827 +g983 +tp13575 +a(g436 +VTOP +p13576 +tp13577 +a(g706 +g1109 +tp13578 +a(g706 +g1118 +tp13579 +a(g706 +g1078 +tp13580 +a(g827 +V\u000a +p13581 +tp13582 +a(g827 +V +p13583 +tp13584 +a(g436 +g5367 +tp13585 +a(g827 +g983 +tp13586 +a(g413 +g1262 +tp13587 +a(g827 +g983 +tp13588 +a(g436 +VPyNumber_InPlaceOr +p13589 +tp13590 +a(g706 +g1109 +tp13591 +a(g436 +g1116 +tp13592 +a(g706 +g1139 +tp13593 +a(g827 +g983 +tp13594 +a(g436 +g5398 +tp13595 +a(g706 +g1118 +tp13596 +a(g706 +g1078 +tp13597 +a(g827 +V\u000a +p13598 +tp13599 +a(g827 +V +p13600 +tp13601 +a(g436 +VPy_DECREF +p13602 +tp13603 +a(g706 +g1109 +tp13604 +a(g436 +g1116 +tp13605 +a(g706 +g1118 +tp13606 +a(g706 +g1078 +tp13607 +a(g827 +V\u000a +p13608 +tp13609 +a(g827 +V +p13610 +tp13611 +a(g436 +VPy_DECREF +p13612 +tp13613 +a(g706 +g1109 +tp13614 +a(g436 +g5398 +tp13615 +a(g706 +g1118 +tp13616 +a(g706 +g1078 +tp13617 +a(g827 +V\u000a +p13618 +tp13619 +a(g827 +V +p13620 +tp13621 +a(g436 +VSET_TOP +p13622 +tp13623 +a(g706 +g1109 +tp13624 +a(g436 +g5367 +tp13625 +a(g706 +g1118 +tp13626 +a(g706 +g1078 +tp13627 +a(g827 +V\u000a +p13628 +tp13629 +a(g827 +V +p13630 +tp13631 +a(g745 +Vif +p13632 +tp13633 +a(g827 +g983 +tp13634 +a(g706 +g1109 +tp13635 +a(g436 +g5367 +tp13636 +a(g827 +g983 +tp13637 +a(g413 +g1260 +tp13638 +a(g413 +g1262 +tp13639 +a(g827 +g983 +tp13640 +a(g682 +VNULL +p13641 +tp13642 +a(g706 +g1118 +tp13643 +a(g827 +g983 +tp13644 +a(g745 +Vcontinue +p13645 +tp13646 +a(g706 +g1078 +tp13647 +a(g827 +V\u000a +p13648 +tp13649 +a(g827 +V +p13650 +tp13651 +a(g745 +Vbreak +p13652 +tp13653 +a(g706 +g1078 +tp13654 +a(g827 +V\u000a +p13655 +tp13656 +a(g827 +V\u000a +p13657 +tp13658 +a(g827 +V +p13659 +tp13660 +a(g745 +Vcase +p13661 +tp13662 +a(g827 +g983 +tp13663 +a(g436 +VSLICE +p13664 +tp13665 +a(g413 +g3965 +tp13666 +a(g37 +g1269 +tp13667 +a(g413 +g1157 +tp13668 +a(g827 +V\u000a +p13669 +tp13670 +a(g827 +V +p13671 +tp13672 +a(g745 +Vcase +p13673 +tp13674 +a(g827 +g983 +tp13675 +a(g436 +VSLICE +p13676 +tp13677 +a(g413 +g3965 +tp13678 +a(g37 +g1325 +tp13679 +a(g413 +g1157 +tp13680 +a(g827 +V\u000a +p13681 +tp13682 +a(g827 +V +p13683 +tp13684 +a(g745 +Vcase +p13685 +tp13686 +a(g827 +g983 +tp13687 +a(g436 +VSLICE +p13688 +tp13689 +a(g413 +g3965 +tp13690 +a(g37 +g2791 +tp13691 +a(g413 +g1157 +tp13692 +a(g827 +V\u000a +p13693 +tp13694 +a(g827 +V +p13695 +tp13696 +a(g745 +Vcase +p13697 +tp13698 +a(g827 +g983 +tp13699 +a(g436 +VSLICE +p13700 +tp13701 +a(g413 +g3965 +tp13702 +a(g37 +g2799 +tp13703 +a(g413 +g1157 +tp13704 +a(g827 +V\u000a +p13705 +tp13706 +a(g827 +V +p13707 +tp13708 +a(g745 +Vif +p13709 +tp13710 +a(g827 +g983 +tp13711 +a(g706 +g1109 +tp13712 +a(g706 +g1109 +tp13713 +a(g436 +Vopcode +p13714 +tp13715 +a(g413 +g1480 +tp13716 +a(g436 +VSLICE +p13717 +tp13718 +a(g706 +g1118 +tp13719 +a(g827 +g983 +tp13720 +a(g413 +g4166 +tp13721 +a(g827 +g983 +tp13722 +a(g37 +g2791 +tp13723 +a(g706 +g1118 +tp13724 +a(g827 +V\u000a +p13725 +tp13726 +a(g827 +V +p13727 +tp13728 +a(g436 +g5398 +tp13729 +a(g827 +g983 +tp13730 +a(g413 +g1262 +tp13731 +a(g827 +g983 +tp13732 +a(g436 +VPOP +p13733 +tp13734 +a(g706 +g1109 +tp13735 +a(g706 +g1118 +tp13736 +a(g706 +g1078 +tp13737 +a(g827 +V\u000a +p13738 +tp13739 +a(g827 +V +p13740 +tp13741 +a(g745 +Velse +p13742 +tp13743 +a(g827 +V\u000a +p13744 +tp13745 +a(g827 +V +p13746 +tp13747 +a(g436 +g5398 +tp13748 +a(g827 +g983 +tp13749 +a(g413 +g1262 +tp13750 +a(g827 +g983 +tp13751 +a(g682 +VNULL +p13752 +tp13753 +a(g706 +g1078 +tp13754 +a(g827 +V\u000a +p13755 +tp13756 +a(g827 +V +p13757 +tp13758 +a(g745 +Vif +p13759 +tp13760 +a(g827 +g983 +tp13761 +a(g706 +g1109 +tp13762 +a(g706 +g1109 +tp13763 +a(g436 +Vopcode +p13764 +tp13765 +a(g413 +g1480 +tp13766 +a(g436 +VSLICE +p13767 +tp13768 +a(g706 +g1118 +tp13769 +a(g827 +g983 +tp13770 +a(g413 +g4166 +tp13771 +a(g827 +g983 +tp13772 +a(g37 +g1325 +tp13773 +a(g706 +g1118 +tp13774 +a(g827 +V\u000a +p13775 +tp13776 +a(g827 +V +p13777 +tp13778 +a(g436 +g1116 +tp13779 +a(g827 +g983 +tp13780 +a(g413 +g1262 +tp13781 +a(g827 +g983 +tp13782 +a(g436 +VPOP +p13783 +tp13784 +a(g706 +g1109 +tp13785 +a(g706 +g1118 +tp13786 +a(g706 +g1078 +tp13787 +a(g827 +V\u000a +p13788 +tp13789 +a(g827 +V +p13790 +tp13791 +a(g745 +Velse +p13792 +tp13793 +a(g827 +V\u000a +p13794 +tp13795 +a(g827 +V +p13796 +tp13797 +a(g436 +g1116 +tp13798 +a(g827 +g983 +tp13799 +a(g413 +g1262 +tp13800 +a(g827 +g983 +tp13801 +a(g682 +VNULL +p13802 +tp13803 +a(g706 +g1078 +tp13804 +a(g827 +V\u000a +p13805 +tp13806 +a(g827 +V +p13807 +tp13808 +a(g436 +g5411 +tp13809 +a(g827 +g983 +tp13810 +a(g413 +g1262 +tp13811 +a(g827 +g983 +tp13812 +a(g436 +VTOP +p13813 +tp13814 +a(g706 +g1109 +tp13815 +a(g706 +g1118 +tp13816 +a(g706 +g1078 +tp13817 +a(g827 +V\u000a +p13818 +tp13819 +a(g827 +V +p13820 +tp13821 +a(g436 +g5367 +tp13822 +a(g827 +g983 +tp13823 +a(g413 +g1262 +tp13824 +a(g827 +g983 +tp13825 +a(g436 +Vapply_slice +p13826 +tp13827 +a(g706 +g1109 +tp13828 +a(g436 +g5411 +tp13829 +a(g706 +g1139 +tp13830 +a(g827 +g983 +tp13831 +a(g436 +g1116 +tp13832 +a(g706 +g1139 +tp13833 +a(g827 +g983 +tp13834 +a(g436 +g5398 +tp13835 +a(g706 +g1118 +tp13836 +a(g706 +g1078 +tp13837 +a(g827 +V\u000a +p13838 +tp13839 +a(g827 +V +p13840 +tp13841 +a(g436 +VPy_DECREF +p13842 +tp13843 +a(g706 +g1109 +tp13844 +a(g436 +g5411 +tp13845 +a(g706 +g1118 +tp13846 +a(g706 +g1078 +tp13847 +a(g827 +V\u000a +p13848 +tp13849 +a(g827 +V +p13850 +tp13851 +a(g436 +VPy_XDECREF +p13852 +tp13853 +a(g706 +g1109 +tp13854 +a(g436 +g1116 +tp13855 +a(g706 +g1118 +tp13856 +a(g706 +g1078 +tp13857 +a(g827 +V\u000a +p13858 +tp13859 +a(g827 +V +p13860 +tp13861 +a(g436 +VPy_XDECREF +p13862 +tp13863 +a(g706 +g1109 +tp13864 +a(g436 +g5398 +tp13865 +a(g706 +g1118 +tp13866 +a(g706 +g1078 +tp13867 +a(g827 +V\u000a +p13868 +tp13869 +a(g827 +V +p13870 +tp13871 +a(g436 +VSET_TOP +p13872 +tp13873 +a(g706 +g1109 +tp13874 +a(g436 +g5367 +tp13875 +a(g706 +g1118 +tp13876 +a(g706 +g1078 +tp13877 +a(g827 +V\u000a +p13878 +tp13879 +a(g827 +V +p13880 +tp13881 +a(g745 +Vif +p13882 +tp13883 +a(g827 +g983 +tp13884 +a(g706 +g1109 +tp13885 +a(g436 +g5367 +tp13886 +a(g827 +g983 +tp13887 +a(g413 +g1260 +tp13888 +a(g413 +g1262 +tp13889 +a(g827 +g983 +tp13890 +a(g682 +VNULL +p13891 +tp13892 +a(g706 +g1118 +tp13893 +a(g827 +g983 +tp13894 +a(g745 +Vcontinue +p13895 +tp13896 +a(g706 +g1078 +tp13897 +a(g827 +V\u000a +p13898 +tp13899 +a(g827 +V +p13900 +tp13901 +a(g745 +Vbreak +p13902 +tp13903 +a(g706 +g1078 +tp13904 +a(g827 +V\u000a +p13905 +tp13906 +a(g827 +V\u000a +p13907 +tp13908 +a(g827 +V +p13909 +tp13910 +a(g745 +Vcase +p13911 +tp13912 +a(g827 +g983 +tp13913 +a(g436 +VSTORE_SLICE +p13914 +tp13915 +a(g413 +g3965 +tp13916 +a(g37 +g1269 +tp13917 +a(g413 +g1157 +tp13918 +a(g827 +V\u000a +p13919 +tp13920 +a(g827 +V +p13921 +tp13922 +a(g745 +Vcase +p13923 +tp13924 +a(g827 +g983 +tp13925 +a(g436 +VSTORE_SLICE +p13926 +tp13927 +a(g413 +g3965 +tp13928 +a(g37 +g1325 +tp13929 +a(g413 +g1157 +tp13930 +a(g827 +V\u000a +p13931 +tp13932 +a(g827 +V +p13933 +tp13934 +a(g745 +Vcase +p13935 +tp13936 +a(g827 +g983 +tp13937 +a(g436 +VSTORE_SLICE +p13938 +tp13939 +a(g413 +g3965 +tp13940 +a(g37 +g2791 +tp13941 +a(g413 +g1157 +tp13942 +a(g827 +V\u000a +p13943 +tp13944 +a(g827 +V +p13945 +tp13946 +a(g745 +Vcase +p13947 +tp13948 +a(g827 +g983 +tp13949 +a(g436 +VSTORE_SLICE +p13950 +tp13951 +a(g413 +g3965 +tp13952 +a(g37 +g2799 +tp13953 +a(g413 +g1157 +tp13954 +a(g827 +V\u000a +p13955 +tp13956 +a(g827 +V +p13957 +tp13958 +a(g745 +Vif +p13959 +tp13960 +a(g827 +g983 +tp13961 +a(g706 +g1109 +tp13962 +a(g706 +g1109 +tp13963 +a(g436 +Vopcode +p13964 +tp13965 +a(g413 +g1480 +tp13966 +a(g436 +VSTORE_SLICE +p13967 +tp13968 +a(g706 +g1118 +tp13969 +a(g827 +g983 +tp13970 +a(g413 +g4166 +tp13971 +a(g827 +g983 +tp13972 +a(g37 +g2791 +tp13973 +a(g706 +g1118 +tp13974 +a(g827 +V\u000a +p13975 +tp13976 +a(g827 +V +p13977 +tp13978 +a(g436 +g5398 +tp13979 +a(g827 +g983 +tp13980 +a(g413 +g1262 +tp13981 +a(g827 +g983 +tp13982 +a(g436 +VPOP +p13983 +tp13984 +a(g706 +g1109 +tp13985 +a(g706 +g1118 +tp13986 +a(g706 +g1078 +tp13987 +a(g827 +V\u000a +p13988 +tp13989 +a(g827 +V +p13990 +tp13991 +a(g745 +Velse +p13992 +tp13993 +a(g827 +V\u000a +p13994 +tp13995 +a(g827 +V +p13996 +tp13997 +a(g436 +g5398 +tp13998 +a(g827 +g983 +tp13999 +a(g413 +g1262 +tp14000 +a(g827 +g983 +tp14001 +a(g682 +VNULL +p14002 +tp14003 +a(g706 +g1078 +tp14004 +a(g827 +V\u000a +p14005 +tp14006 +a(g827 +V +p14007 +tp14008 +a(g745 +Vif +p14009 +tp14010 +a(g827 +g983 +tp14011 +a(g706 +g1109 +tp14012 +a(g706 +g1109 +tp14013 +a(g436 +Vopcode +p14014 +tp14015 +a(g413 +g1480 +tp14016 +a(g436 +VSTORE_SLICE +p14017 +tp14018 +a(g706 +g1118 +tp14019 +a(g827 +g983 +tp14020 +a(g413 +g4166 +tp14021 +a(g827 +g983 +tp14022 +a(g37 +g1325 +tp14023 +a(g706 +g1118 +tp14024 +a(g827 +V\u000a +p14025 +tp14026 +a(g827 +V +p14027 +tp14028 +a(g436 +g1116 +tp14029 +a(g827 +g983 +tp14030 +a(g413 +g1262 +tp14031 +a(g827 +g983 +tp14032 +a(g436 +VPOP +p14033 +tp14034 +a(g706 +g1109 +tp14035 +a(g706 +g1118 +tp14036 +a(g706 +g1078 +tp14037 +a(g827 +V\u000a +p14038 +tp14039 +a(g827 +V +p14040 +tp14041 +a(g745 +Velse +p14042 +tp14043 +a(g827 +V\u000a +p14044 +tp14045 +a(g827 +V +p14046 +tp14047 +a(g436 +g1116 +tp14048 +a(g827 +g983 +tp14049 +a(g413 +g1262 +tp14050 +a(g827 +g983 +tp14051 +a(g682 +VNULL +p14052 +tp14053 +a(g706 +g1078 +tp14054 +a(g827 +V\u000a +p14055 +tp14056 +a(g827 +V +p14057 +tp14058 +a(g436 +g5411 +tp14059 +a(g827 +g983 +tp14060 +a(g413 +g1262 +tp14061 +a(g827 +g983 +tp14062 +a(g436 +VPOP +p14063 +tp14064 +a(g706 +g1109 +tp14065 +a(g706 +g1118 +tp14066 +a(g706 +g1078 +tp14067 +a(g827 +V\u000a +p14068 +tp14069 +a(g827 +V +p14070 +tp14071 +a(g436 +g5424 +tp14072 +a(g827 +g983 +tp14073 +a(g413 +g1262 +tp14074 +a(g827 +g983 +tp14075 +a(g436 +VPOP +p14076 +tp14077 +a(g706 +g1109 +tp14078 +a(g706 +g1118 +tp14079 +a(g706 +g1078 +tp14080 +a(g827 +V\u000a +p14081 +tp14082 +a(g827 +V +p14083 +tp14084 +a(g436 +Verr +p14085 +tp14086 +a(g827 +g983 +tp14087 +a(g413 +g1262 +tp14088 +a(g827 +g983 +tp14089 +a(g436 +Vassign_slice +p14090 +tp14091 +a(g706 +g1109 +tp14092 +a(g436 +g5411 +tp14093 +a(g706 +g1139 +tp14094 +a(g827 +g983 +tp14095 +a(g436 +g1116 +tp14096 +a(g706 +g1139 +tp14097 +a(g827 +g983 +tp14098 +a(g436 +g5398 +tp14099 +a(g706 +g1139 +tp14100 +a(g827 +g983 +tp14101 +a(g436 +g5424 +tp14102 +a(g706 +g1118 +tp14103 +a(g706 +g1078 +tp14104 +a(g827 +g983 +tp14105 +a(g8 +V/* u[v:w] = t */ +p14106 +tp14107 +a(g827 +V\u000a +p14108 +tp14109 +a(g827 +V +p14110 +tp14111 +a(g436 +VPy_DECREF +p14112 +tp14113 +a(g706 +g1109 +tp14114 +a(g436 +g5424 +tp14115 +a(g706 +g1118 +tp14116 +a(g706 +g1078 +tp14117 +a(g827 +V\u000a +p14118 +tp14119 +a(g827 +V +p14120 +tp14121 +a(g436 +VPy_DECREF +p14122 +tp14123 +a(g706 +g1109 +tp14124 +a(g436 +g5411 +tp14125 +a(g706 +g1118 +tp14126 +a(g706 +g1078 +tp14127 +a(g827 +V\u000a +p14128 +tp14129 +a(g827 +V +p14130 +tp14131 +a(g436 +VPy_XDECREF +p14132 +tp14133 +a(g706 +g1109 +tp14134 +a(g436 +g1116 +tp14135 +a(g706 +g1118 +tp14136 +a(g706 +g1078 +tp14137 +a(g827 +V\u000a +p14138 +tp14139 +a(g827 +V +p14140 +tp14141 +a(g436 +VPy_XDECREF +p14142 +tp14143 +a(g706 +g1109 +tp14144 +a(g436 +g5398 +tp14145 +a(g706 +g1118 +tp14146 +a(g706 +g1078 +tp14147 +a(g827 +V\u000a +p14148 +tp14149 +a(g827 +V +p14150 +tp14151 +a(g745 +Vif +p14152 +tp14153 +a(g827 +g983 +tp14154 +a(g706 +g1109 +tp14155 +a(g436 +Verr +p14156 +tp14157 +a(g827 +g983 +tp14158 +a(g413 +g1262 +tp14159 +a(g413 +g1262 +tp14160 +a(g827 +g983 +tp14161 +a(g37 +g1269 +tp14162 +a(g706 +g1118 +tp14163 +a(g827 +g983 +tp14164 +a(g745 +Vcontinue +p14165 +tp14166 +a(g706 +g1078 +tp14167 +a(g827 +V\u000a +p14168 +tp14169 +a(g827 +V +p14170 +tp14171 +a(g745 +Vbreak +p14172 +tp14173 +a(g706 +g1078 +tp14174 +a(g827 +V\u000a +p14175 +tp14176 +a(g827 +V\u000a +p14177 +tp14178 +a(g827 +V +p14179 +tp14180 +a(g745 +Vcase +p14181 +tp14182 +a(g827 +g983 +tp14183 +a(g436 +VDELETE_SLICE +p14184 +tp14185 +a(g413 +g3965 +tp14186 +a(g37 +g1269 +tp14187 +a(g413 +g1157 +tp14188 +a(g827 +V\u000a +p14189 +tp14190 +a(g827 +V +p14191 +tp14192 +a(g745 +Vcase +p14193 +tp14194 +a(g827 +g983 +tp14195 +a(g436 +VDELETE_SLICE +p14196 +tp14197 +a(g413 +g3965 +tp14198 +a(g37 +g1325 +tp14199 +a(g413 +g1157 +tp14200 +a(g827 +V\u000a +p14201 +tp14202 +a(g827 +V +p14203 +tp14204 +a(g745 +Vcase +p14205 +tp14206 +a(g827 +g983 +tp14207 +a(g436 +VDELETE_SLICE +p14208 +tp14209 +a(g413 +g3965 +tp14210 +a(g37 +g2791 +tp14211 +a(g413 +g1157 +tp14212 +a(g827 +V\u000a +p14213 +tp14214 +a(g827 +V +p14215 +tp14216 +a(g745 +Vcase +p14217 +tp14218 +a(g827 +g983 +tp14219 +a(g436 +VDELETE_SLICE +p14220 +tp14221 +a(g413 +g3965 +tp14222 +a(g37 +g2799 +tp14223 +a(g413 +g1157 +tp14224 +a(g827 +V\u000a +p14225 +tp14226 +a(g827 +V +p14227 +tp14228 +a(g745 +Vif +p14229 +tp14230 +a(g827 +g983 +tp14231 +a(g706 +g1109 +tp14232 +a(g706 +g1109 +tp14233 +a(g436 +Vopcode +p14234 +tp14235 +a(g413 +g1480 +tp14236 +a(g436 +VDELETE_SLICE +p14237 +tp14238 +a(g706 +g1118 +tp14239 +a(g827 +g983 +tp14240 +a(g413 +g4166 +tp14241 +a(g827 +g983 +tp14242 +a(g37 +g2791 +tp14243 +a(g706 +g1118 +tp14244 +a(g827 +V\u000a +p14245 +tp14246 +a(g827 +V +p14247 +tp14248 +a(g436 +g5398 +tp14249 +a(g827 +g983 +tp14250 +a(g413 +g1262 +tp14251 +a(g827 +g983 +tp14252 +a(g436 +VPOP +p14253 +tp14254 +a(g706 +g1109 +tp14255 +a(g706 +g1118 +tp14256 +a(g706 +g1078 +tp14257 +a(g827 +V\u000a +p14258 +tp14259 +a(g827 +V +p14260 +tp14261 +a(g745 +Velse +p14262 +tp14263 +a(g827 +V\u000a +p14264 +tp14265 +a(g827 +V +p14266 +tp14267 +a(g436 +g5398 +tp14268 +a(g827 +g983 +tp14269 +a(g413 +g1262 +tp14270 +a(g827 +g983 +tp14271 +a(g682 +VNULL +p14272 +tp14273 +a(g706 +g1078 +tp14274 +a(g827 +V\u000a +p14275 +tp14276 +a(g827 +V +p14277 +tp14278 +a(g745 +Vif +p14279 +tp14280 +a(g827 +g983 +tp14281 +a(g706 +g1109 +tp14282 +a(g706 +g1109 +tp14283 +a(g436 +Vopcode +p14284 +tp14285 +a(g413 +g1480 +tp14286 +a(g436 +VDELETE_SLICE +p14287 +tp14288 +a(g706 +g1118 +tp14289 +a(g827 +g983 +tp14290 +a(g413 +g4166 +tp14291 +a(g827 +g983 +tp14292 +a(g37 +g1325 +tp14293 +a(g706 +g1118 +tp14294 +a(g827 +V\u000a +p14295 +tp14296 +a(g827 +V +p14297 +tp14298 +a(g436 +g1116 +tp14299 +a(g827 +g983 +tp14300 +a(g413 +g1262 +tp14301 +a(g827 +g983 +tp14302 +a(g436 +VPOP +p14303 +tp14304 +a(g706 +g1109 +tp14305 +a(g706 +g1118 +tp14306 +a(g706 +g1078 +tp14307 +a(g827 +V\u000a +p14308 +tp14309 +a(g827 +V +p14310 +tp14311 +a(g745 +Velse +p14312 +tp14313 +a(g827 +V\u000a +p14314 +tp14315 +a(g827 +V +p14316 +tp14317 +a(g436 +g1116 +tp14318 +a(g827 +g983 +tp14319 +a(g413 +g1262 +tp14320 +a(g827 +g983 +tp14321 +a(g682 +VNULL +p14322 +tp14323 +a(g706 +g1078 +tp14324 +a(g827 +V\u000a +p14325 +tp14326 +a(g827 +V +p14327 +tp14328 +a(g436 +g5411 +tp14329 +a(g827 +g983 +tp14330 +a(g413 +g1262 +tp14331 +a(g827 +g983 +tp14332 +a(g436 +VPOP +p14333 +tp14334 +a(g706 +g1109 +tp14335 +a(g706 +g1118 +tp14336 +a(g706 +g1078 +tp14337 +a(g827 +V\u000a +p14338 +tp14339 +a(g827 +V +p14340 +tp14341 +a(g436 +Verr +p14342 +tp14343 +a(g827 +g983 +tp14344 +a(g413 +g1262 +tp14345 +a(g827 +g983 +tp14346 +a(g436 +Vassign_slice +p14347 +tp14348 +a(g706 +g1109 +tp14349 +a(g436 +g5411 +tp14350 +a(g706 +g1139 +tp14351 +a(g827 +g983 +tp14352 +a(g436 +g1116 +tp14353 +a(g706 +g1139 +tp14354 +a(g827 +g983 +tp14355 +a(g436 +g5398 +tp14356 +a(g706 +g1139 +tp14357 +a(g827 +g983 +tp14358 +a(g706 +g1109 +tp14359 +a(g436 +VPyObject +p14360 +tp14361 +a(g827 +g983 +tp14362 +a(g413 +g1114 +tp14363 +a(g706 +g1118 +tp14364 +a(g682 +VNULL +p14365 +tp14366 +a(g706 +g1118 +tp14367 +a(g706 +g1078 +tp14368 +a(g827 +V\u000a +p14369 +tp14370 +a(g827 +V +p14371 +tp14372 +a(g8 +V/* del u[v:w] */ +p14373 +tp14374 +a(g827 +V\u000a +p14375 +tp14376 +a(g827 +V +p14377 +tp14378 +a(g436 +VPy_DECREF +p14379 +tp14380 +a(g706 +g1109 +tp14381 +a(g436 +g5411 +tp14382 +a(g706 +g1118 +tp14383 +a(g706 +g1078 +tp14384 +a(g827 +V\u000a +p14385 +tp14386 +a(g827 +V +p14387 +tp14388 +a(g436 +VPy_XDECREF +p14389 +tp14390 +a(g706 +g1109 +tp14391 +a(g436 +g1116 +tp14392 +a(g706 +g1118 +tp14393 +a(g706 +g1078 +tp14394 +a(g827 +V\u000a +p14395 +tp14396 +a(g827 +V +p14397 +tp14398 +a(g436 +VPy_XDECREF +p14399 +tp14400 +a(g706 +g1109 +tp14401 +a(g436 +g5398 +tp14402 +a(g706 +g1118 +tp14403 +a(g706 +g1078 +tp14404 +a(g827 +V\u000a +p14405 +tp14406 +a(g827 +V +p14407 +tp14408 +a(g745 +Vif +p14409 +tp14410 +a(g827 +g983 +tp14411 +a(g706 +g1109 +tp14412 +a(g436 +Verr +p14413 +tp14414 +a(g827 +g983 +tp14415 +a(g413 +g1262 +tp14416 +a(g413 +g1262 +tp14417 +a(g827 +g983 +tp14418 +a(g37 +g1269 +tp14419 +a(g706 +g1118 +tp14420 +a(g827 +g983 +tp14421 +a(g745 +Vcontinue +p14422 +tp14423 +a(g706 +g1078 +tp14424 +a(g827 +V\u000a +p14425 +tp14426 +a(g827 +V +p14427 +tp14428 +a(g745 +Vbreak +p14429 +tp14430 +a(g706 +g1078 +tp14431 +a(g827 +V\u000a +p14432 +tp14433 +a(g827 +V\u000a +p14434 +tp14435 +a(g827 +V +p14436 +tp14437 +a(g745 +Vcase +p14438 +tp14439 +a(g827 +g983 +tp14440 +a(g597 +VSTORE_SUBSCR +p14441 +tp14442 +a(g706 +g1157 +tp14443 +a(g827 +V\u000a +p14444 +tp14445 +a(g827 +V +p14446 +tp14447 +a(g436 +g5398 +tp14448 +a(g827 +g983 +tp14449 +a(g413 +g1262 +tp14450 +a(g827 +g983 +tp14451 +a(g436 +VTOP +p14452 +tp14453 +a(g706 +g1109 +tp14454 +a(g706 +g1118 +tp14455 +a(g706 +g1078 +tp14456 +a(g827 +V\u000a +p14457 +tp14458 +a(g827 +V +p14459 +tp14460 +a(g436 +g1116 +tp14461 +a(g827 +g983 +tp14462 +a(g413 +g1262 +tp14463 +a(g827 +g983 +tp14464 +a(g436 +VSECOND +p14465 +tp14466 +a(g706 +g1109 +tp14467 +a(g706 +g1118 +tp14468 +a(g706 +g1078 +tp14469 +a(g827 +V\u000a +p14470 +tp14471 +a(g827 +V +p14472 +tp14473 +a(g436 +g5411 +tp14474 +a(g827 +g983 +tp14475 +a(g413 +g1262 +tp14476 +a(g827 +g983 +tp14477 +a(g436 +VTHIRD +p14478 +tp14479 +a(g706 +g1109 +tp14480 +a(g706 +g1118 +tp14481 +a(g706 +g1078 +tp14482 +a(g827 +V\u000a +p14483 +tp14484 +a(g827 +V +p14485 +tp14486 +a(g436 +VSTACKADJ +p14487 +tp14488 +a(g706 +g1109 +tp14489 +a(g413 +g1480 +tp14490 +a(g37 +g2799 +tp14491 +a(g706 +g1118 +tp14492 +a(g706 +g1078 +tp14493 +a(g827 +V\u000a +p14494 +tp14495 +a(g827 +V +p14496 +tp14497 +a(g8 +V/* v[w] = u */ +p14498 +tp14499 +a(g827 +V\u000a +p14500 +tp14501 +a(g827 +V +p14502 +tp14503 +a(g436 +Verr +p14504 +tp14505 +a(g827 +g983 +tp14506 +a(g413 +g1262 +tp14507 +a(g827 +g983 +tp14508 +a(g436 +VPyObject_SetItem +p14509 +tp14510 +a(g706 +g1109 +tp14511 +a(g436 +g1116 +tp14512 +a(g706 +g1139 +tp14513 +a(g827 +g983 +tp14514 +a(g436 +g5398 +tp14515 +a(g706 +g1139 +tp14516 +a(g827 +g983 +tp14517 +a(g436 +g5411 +tp14518 +a(g706 +g1118 +tp14519 +a(g706 +g1078 +tp14520 +a(g827 +V\u000a +p14521 +tp14522 +a(g827 +V +p14523 +tp14524 +a(g436 +VPy_DECREF +p14525 +tp14526 +a(g706 +g1109 +tp14527 +a(g436 +g5411 +tp14528 +a(g706 +g1118 +tp14529 +a(g706 +g1078 +tp14530 +a(g827 +V\u000a +p14531 +tp14532 +a(g827 +V +p14533 +tp14534 +a(g436 +VPy_DECREF +p14535 +tp14536 +a(g706 +g1109 +tp14537 +a(g436 +g1116 +tp14538 +a(g706 +g1118 +tp14539 +a(g706 +g1078 +tp14540 +a(g827 +V\u000a +p14541 +tp14542 +a(g827 +V +p14543 +tp14544 +a(g436 +VPy_DECREF +p14545 +tp14546 +a(g706 +g1109 +tp14547 +a(g436 +g5398 +tp14548 +a(g706 +g1118 +tp14549 +a(g706 +g1078 +tp14550 +a(g827 +V\u000a +p14551 +tp14552 +a(g827 +V +p14553 +tp14554 +a(g745 +Vif +p14555 +tp14556 +a(g827 +g983 +tp14557 +a(g706 +g1109 +tp14558 +a(g436 +Verr +p14559 +tp14560 +a(g827 +g983 +tp14561 +a(g413 +g1262 +tp14562 +a(g413 +g1262 +tp14563 +a(g827 +g983 +tp14564 +a(g37 +g1269 +tp14565 +a(g706 +g1118 +tp14566 +a(g827 +g983 +tp14567 +a(g745 +Vcontinue +p14568 +tp14569 +a(g706 +g1078 +tp14570 +a(g827 +V\u000a +p14571 +tp14572 +a(g827 +V +p14573 +tp14574 +a(g745 +Vbreak +p14575 +tp14576 +a(g706 +g1078 +tp14577 +a(g827 +V\u000a +p14578 +tp14579 +a(g827 +V\u000a +p14580 +tp14581 +a(g827 +V +p14582 +tp14583 +a(g745 +Vcase +p14584 +tp14585 +a(g827 +g983 +tp14586 +a(g597 +VDELETE_SUBSCR +p14587 +tp14588 +a(g706 +g1157 +tp14589 +a(g827 +V\u000a +p14590 +tp14591 +a(g827 +V +p14592 +tp14593 +a(g436 +g5398 +tp14594 +a(g827 +g983 +tp14595 +a(g413 +g1262 +tp14596 +a(g827 +g983 +tp14597 +a(g436 +VTOP +p14598 +tp14599 +a(g706 +g1109 +tp14600 +a(g706 +g1118 +tp14601 +a(g706 +g1078 +tp14602 +a(g827 +V\u000a +p14603 +tp14604 +a(g827 +V +p14605 +tp14606 +a(g436 +g1116 +tp14607 +a(g827 +g983 +tp14608 +a(g413 +g1262 +tp14609 +a(g827 +g983 +tp14610 +a(g436 +VSECOND +p14611 +tp14612 +a(g706 +g1109 +tp14613 +a(g706 +g1118 +tp14614 +a(g706 +g1078 +tp14615 +a(g827 +V\u000a +p14616 +tp14617 +a(g827 +V +p14618 +tp14619 +a(g436 +VSTACKADJ +p14620 +tp14621 +a(g706 +g1109 +tp14622 +a(g413 +g1480 +tp14623 +a(g37 +g2791 +tp14624 +a(g706 +g1118 +tp14625 +a(g706 +g1078 +tp14626 +a(g827 +V\u000a +p14627 +tp14628 +a(g827 +V +p14629 +tp14630 +a(g8 +V/* del v[w] */ +p14631 +tp14632 +a(g827 +V\u000a +p14633 +tp14634 +a(g827 +V +p14635 +tp14636 +a(g436 +Verr +p14637 +tp14638 +a(g827 +g983 +tp14639 +a(g413 +g1262 +tp14640 +a(g827 +g983 +tp14641 +a(g436 +VPyObject_DelItem +p14642 +tp14643 +a(g706 +g1109 +tp14644 +a(g436 +g1116 +tp14645 +a(g706 +g1139 +tp14646 +a(g827 +g983 +tp14647 +a(g436 +g5398 +tp14648 +a(g706 +g1118 +tp14649 +a(g706 +g1078 +tp14650 +a(g827 +V\u000a +p14651 +tp14652 +a(g827 +V +p14653 +tp14654 +a(g436 +VPy_DECREF +p14655 +tp14656 +a(g706 +g1109 +tp14657 +a(g436 +g1116 +tp14658 +a(g706 +g1118 +tp14659 +a(g706 +g1078 +tp14660 +a(g827 +V\u000a +p14661 +tp14662 +a(g827 +V +p14663 +tp14664 +a(g436 +VPy_DECREF +p14665 +tp14666 +a(g706 +g1109 +tp14667 +a(g436 +g5398 +tp14668 +a(g706 +g1118 +tp14669 +a(g706 +g1078 +tp14670 +a(g827 +V\u000a +p14671 +tp14672 +a(g827 +V +p14673 +tp14674 +a(g745 +Vif +p14675 +tp14676 +a(g827 +g983 +tp14677 +a(g706 +g1109 +tp14678 +a(g436 +Verr +p14679 +tp14680 +a(g827 +g983 +tp14681 +a(g413 +g1262 +tp14682 +a(g413 +g1262 +tp14683 +a(g827 +g983 +tp14684 +a(g37 +g1269 +tp14685 +a(g706 +g1118 +tp14686 +a(g827 +g983 +tp14687 +a(g745 +Vcontinue +p14688 +tp14689 +a(g706 +g1078 +tp14690 +a(g827 +V\u000a +p14691 +tp14692 +a(g827 +V +p14693 +tp14694 +a(g745 +Vbreak +p14695 +tp14696 +a(g706 +g1078 +tp14697 +a(g827 +V\u000a +p14698 +tp14699 +a(g827 +V\u000a +p14700 +tp14701 +a(g827 +V +p14702 +tp14703 +a(g745 +Vcase +p14704 +tp14705 +a(g827 +g983 +tp14706 +a(g597 +VPRINT_EXPR +p14707 +tp14708 +a(g706 +g1157 +tp14709 +a(g827 +V\u000a +p14710 +tp14711 +a(g827 +V +p14712 +tp14713 +a(g436 +g1116 +tp14714 +a(g827 +g983 +tp14715 +a(g413 +g1262 +tp14716 +a(g827 +g983 +tp14717 +a(g436 +VPOP +p14718 +tp14719 +a(g706 +g1109 +tp14720 +a(g706 +g1118 +tp14721 +a(g706 +g1078 +tp14722 +a(g827 +V\u000a +p14723 +tp14724 +a(g827 +V +p14725 +tp14726 +a(g436 +g5398 +tp14727 +a(g827 +g983 +tp14728 +a(g413 +g1262 +tp14729 +a(g827 +g983 +tp14730 +a(g436 +VPySys_GetObject +p14731 +tp14732 +a(g706 +g1109 +tp14733 +a(g89 +g1169 +tp14734 +a(g89 +Vdisplayhook +p14735 +tp14736 +a(g89 +g1169 +tp14737 +a(g706 +g1118 +tp14738 +a(g706 +g1078 +tp14739 +a(g827 +V\u000a +p14740 +tp14741 +a(g827 +V +p14742 +tp14743 +a(g745 +Vif +p14744 +tp14745 +a(g827 +g983 +tp14746 +a(g706 +g1109 +tp14747 +a(g436 +g5398 +tp14748 +a(g827 +g983 +tp14749 +a(g413 +g1262 +tp14750 +a(g413 +g1262 +tp14751 +a(g827 +g983 +tp14752 +a(g682 +VNULL +p14753 +tp14754 +a(g706 +g1118 +tp14755 +a(g827 +g983 +tp14756 +a(g706 +g1122 +tp14757 +a(g827 +V\u000a +p14758 +tp14759 +a(g827 +V +p14760 +tp14761 +a(g436 +VPyErr_SetString +p14762 +tp14763 +a(g706 +g1109 +tp14764 +a(g436 +VPyExc_RuntimeError +p14765 +tp14766 +a(g706 +g1139 +tp14767 +a(g827 +V\u000a +p14768 +tp14769 +a(g827 +V +p14770 +tp14771 +a(g89 +g1169 +tp14772 +a(g89 +Vlost sys.displayhook +p14773 +tp14774 +a(g89 +g1169 +tp14775 +a(g706 +g1118 +tp14776 +a(g706 +g1078 +tp14777 +a(g827 +V\u000a +p14778 +tp14779 +a(g827 +V +p14780 +tp14781 +a(g436 +Verr +p14782 +tp14783 +a(g827 +g983 +tp14784 +a(g413 +g1262 +tp14785 +a(g827 +g983 +tp14786 +a(g413 +g1480 +tp14787 +a(g37 +g1325 +tp14788 +a(g706 +g1078 +tp14789 +a(g827 +V\u000a +p14790 +tp14791 +a(g827 +V +p14792 +tp14793 +a(g436 +g5367 +tp14794 +a(g827 +g983 +tp14795 +a(g413 +g1262 +tp14796 +a(g827 +g983 +tp14797 +a(g682 +VNULL +p14798 +tp14799 +a(g706 +g1078 +tp14800 +a(g827 +V\u000a +p14801 +tp14802 +a(g827 +V +p14803 +tp14804 +a(g706 +g1336 +tp14805 +a(g827 +V\u000a +p14806 +tp14807 +a(g827 +V +p14808 +tp14809 +a(g745 +Vif +p14810 +tp14811 +a(g827 +g983 +tp14812 +a(g706 +g1109 +tp14813 +a(g436 +Verr +p14814 +tp14815 +a(g827 +g983 +tp14816 +a(g413 +g1262 +tp14817 +a(g413 +g1262 +tp14818 +a(g827 +g983 +tp14819 +a(g37 +g1269 +tp14820 +a(g706 +g1118 +tp14821 +a(g827 +g983 +tp14822 +a(g706 +g1122 +tp14823 +a(g827 +V\u000a +p14824 +tp14825 +a(g827 +V +p14826 +tp14827 +a(g436 +g5367 +tp14828 +a(g827 +g983 +tp14829 +a(g413 +g1262 +tp14830 +a(g827 +g983 +tp14831 +a(g436 +VPyTuple_Pack +p14832 +tp14833 +a(g706 +g1109 +tp14834 +a(g37 +g1325 +tp14835 +a(g706 +g1139 +tp14836 +a(g827 +g983 +tp14837 +a(g436 +g1116 +tp14838 +a(g706 +g1118 +tp14839 +a(g706 +g1078 +tp14840 +a(g827 +V\u000a +p14841 +tp14842 +a(g827 +V +p14843 +tp14844 +a(g745 +Vif +p14845 +tp14846 +a(g827 +g983 +tp14847 +a(g706 +g1109 +tp14848 +a(g436 +g5367 +tp14849 +a(g827 +g983 +tp14850 +a(g413 +g1262 +tp14851 +a(g413 +g1262 +tp14852 +a(g827 +g983 +tp14853 +a(g682 +VNULL +p14854 +tp14855 +a(g706 +g1118 +tp14856 +a(g827 +V\u000a +p14857 +tp14858 +a(g827 +V +p14859 +tp14860 +a(g436 +Verr +p14861 +tp14862 +a(g827 +g983 +tp14863 +a(g413 +g1262 +tp14864 +a(g827 +g983 +tp14865 +a(g413 +g1480 +tp14866 +a(g37 +g1325 +tp14867 +a(g706 +g1078 +tp14868 +a(g827 +V\u000a +p14869 +tp14870 +a(g827 +V +p14871 +tp14872 +a(g706 +g1336 +tp14873 +a(g827 +V\u000a +p14874 +tp14875 +a(g827 +V +p14876 +tp14877 +a(g745 +Vif +p14878 +tp14879 +a(g827 +g983 +tp14880 +a(g706 +g1109 +tp14881 +a(g436 +Verr +p14882 +tp14883 +a(g827 +g983 +tp14884 +a(g413 +g1262 +tp14885 +a(g413 +g1262 +tp14886 +a(g827 +g983 +tp14887 +a(g37 +g1269 +tp14888 +a(g706 +g1118 +tp14889 +a(g827 +g983 +tp14890 +a(g706 +g1122 +tp14891 +a(g827 +V\u000a +p14892 +tp14893 +a(g827 +V +p14894 +tp14895 +a(g436 +g5398 +tp14896 +a(g827 +g983 +tp14897 +a(g413 +g1262 +tp14898 +a(g827 +g983 +tp14899 +a(g436 +VPyEval_CallObject +p14900 +tp14901 +a(g706 +g1109 +tp14902 +a(g436 +g5398 +tp14903 +a(g706 +g1139 +tp14904 +a(g827 +g983 +tp14905 +a(g436 +g5367 +tp14906 +a(g706 +g1118 +tp14907 +a(g706 +g1078 +tp14908 +a(g827 +V\u000a +p14909 +tp14910 +a(g827 +V +p14911 +tp14912 +a(g436 +VPy_XDECREF +p14913 +tp14914 +a(g706 +g1109 +tp14915 +a(g436 +g5398 +tp14916 +a(g706 +g1118 +tp14917 +a(g706 +g1078 +tp14918 +a(g827 +V\u000a +p14919 +tp14920 +a(g827 +V +p14921 +tp14922 +a(g745 +Vif +p14923 +tp14924 +a(g827 +g983 +tp14925 +a(g706 +g1109 +tp14926 +a(g436 +g5398 +tp14927 +a(g827 +g983 +tp14928 +a(g413 +g1262 +tp14929 +a(g413 +g1262 +tp14930 +a(g827 +g983 +tp14931 +a(g682 +VNULL +p14932 +tp14933 +a(g706 +g1118 +tp14934 +a(g827 +V\u000a +p14935 +tp14936 +a(g827 +V +p14937 +tp14938 +a(g436 +Verr +p14939 +tp14940 +a(g827 +g983 +tp14941 +a(g413 +g1262 +tp14942 +a(g827 +g983 +tp14943 +a(g413 +g1480 +tp14944 +a(g37 +g1325 +tp14945 +a(g706 +g1078 +tp14946 +a(g827 +V\u000a +p14947 +tp14948 +a(g827 +V +p14949 +tp14950 +a(g706 +g1336 +tp14951 +a(g827 +V\u000a +p14952 +tp14953 +a(g827 +V +p14954 +tp14955 +a(g436 +VPy_DECREF +p14956 +tp14957 +a(g706 +g1109 +tp14958 +a(g436 +g1116 +tp14959 +a(g706 +g1118 +tp14960 +a(g706 +g1078 +tp14961 +a(g827 +V\u000a +p14962 +tp14963 +a(g827 +V +p14964 +tp14965 +a(g436 +VPy_XDECREF +p14966 +tp14967 +a(g706 +g1109 +tp14968 +a(g436 +g5367 +tp14969 +a(g706 +g1118 +tp14970 +a(g706 +g1078 +tp14971 +a(g827 +V\u000a +p14972 +tp14973 +a(g827 +V +p14974 +tp14975 +a(g745 +Vbreak +p14976 +tp14977 +a(g706 +g1078 +tp14978 +a(g827 +V\u000a +p14979 +tp14980 +a(g827 +V\u000a +p14981 +tp14982 +a(g827 +V +p14983 +tp14984 +a(g745 +Vcase +p14985 +tp14986 +a(g827 +g983 +tp14987 +a(g597 +VPRINT_ITEM_TO +p14988 +tp14989 +a(g706 +g1157 +tp14990 +a(g827 +V\u000a +p14991 +tp14992 +a(g827 +V +p14993 +tp14994 +a(g436 +g5398 +tp14995 +a(g827 +g983 +tp14996 +a(g413 +g1262 +tp14997 +a(g827 +g983 +tp14998 +a(g436 +Vstream +p14999 +tp15000 +a(g827 +g983 +tp15001 +a(g413 +g1262 +tp15002 +a(g827 +g983 +tp15003 +a(g436 +VPOP +p15004 +tp15005 +a(g706 +g1109 +tp15006 +a(g706 +g1118 +tp15007 +a(g706 +g1078 +tp15008 +a(g827 +V\u000a +p15009 +tp15010 +a(g827 +V +p15011 +tp15012 +a(g8 +V/* fall through to PRINT_ITEM */ +p15013 +tp15014 +a(g827 +V\u000a +p15015 +tp15016 +a(g827 +V\u000a +p15017 +tp15018 +a(g827 +V +p15019 +tp15020 +a(g745 +Vcase +p15021 +tp15022 +a(g827 +g983 +tp15023 +a(g597 +VPRINT_ITEM +p15024 +tp15025 +a(g706 +g1157 +tp15026 +a(g827 +V\u000a +p15027 +tp15028 +a(g827 +V +p15029 +tp15030 +a(g436 +g1116 +tp15031 +a(g827 +g983 +tp15032 +a(g413 +g1262 +tp15033 +a(g827 +g983 +tp15034 +a(g436 +VPOP +p15035 +tp15036 +a(g706 +g1109 +tp15037 +a(g706 +g1118 +tp15038 +a(g706 +g1078 +tp15039 +a(g827 +V\u000a +p15040 +tp15041 +a(g827 +V +p15042 +tp15043 +a(g745 +Vif +p15044 +tp15045 +a(g827 +g983 +tp15046 +a(g706 +g1109 +tp15047 +a(g436 +Vstream +p15048 +tp15049 +a(g827 +g983 +tp15050 +a(g413 +g1262 +tp15051 +a(g413 +g1262 +tp15052 +a(g827 +g983 +tp15053 +a(g682 +VNULL +p15054 +tp15055 +a(g827 +g983 +tp15056 +a(g413 +V| +p15057 +tp15058 +a(g413 +g15057 +tp15059 +a(g827 +g983 +tp15060 +a(g436 +Vstream +p15061 +tp15062 +a(g827 +g983 +tp15063 +a(g413 +g1262 +tp15064 +a(g413 +g1262 +tp15065 +a(g827 +g983 +tp15066 +a(g436 +VPy_None +p15067 +tp15068 +a(g706 +g1118 +tp15069 +a(g827 +g983 +tp15070 +a(g706 +g1122 +tp15071 +a(g827 +V\u000a +p15072 +tp15073 +a(g827 +V +p15074 +tp15075 +a(g436 +g5398 +tp15076 +a(g827 +g983 +tp15077 +a(g413 +g1262 +tp15078 +a(g827 +g983 +tp15079 +a(g436 +VPySys_GetObject +p15080 +tp15081 +a(g706 +g1109 +tp15082 +a(g89 +g1169 +tp15083 +a(g89 +Vstdout +p15084 +tp15085 +a(g89 +g1169 +tp15086 +a(g706 +g1118 +tp15087 +a(g706 +g1078 +tp15088 +a(g827 +V\u000a +p15089 +tp15090 +a(g827 +V +p15091 +tp15092 +a(g745 +Vif +p15093 +tp15094 +a(g827 +g983 +tp15095 +a(g706 +g1109 +tp15096 +a(g436 +g5398 +tp15097 +a(g827 +g983 +tp15098 +a(g413 +g1262 +tp15099 +a(g413 +g1262 +tp15100 +a(g827 +g983 +tp15101 +a(g682 +VNULL +p15102 +tp15103 +a(g706 +g1118 +tp15104 +a(g827 +g983 +tp15105 +a(g706 +g1122 +tp15106 +a(g827 +V\u000a +p15107 +tp15108 +a(g827 +V +p15109 +tp15110 +a(g436 +VPyErr_SetString +p15111 +tp15112 +a(g706 +g1109 +tp15113 +a(g436 +VPyExc_RuntimeError +p15114 +tp15115 +a(g706 +g1139 +tp15116 +a(g827 +V\u000a +p15117 +tp15118 +a(g827 +V +p15119 +tp15120 +a(g89 +g1169 +tp15121 +a(g89 +Vlost sys.stdout +p15122 +tp15123 +a(g89 +g1169 +tp15124 +a(g706 +g1118 +tp15125 +a(g706 +g1078 +tp15126 +a(g827 +V\u000a +p15127 +tp15128 +a(g827 +V +p15129 +tp15130 +a(g436 +Verr +p15131 +tp15132 +a(g827 +g983 +tp15133 +a(g413 +g1262 +tp15134 +a(g827 +g983 +tp15135 +a(g413 +g1480 +tp15136 +a(g37 +g1325 +tp15137 +a(g706 +g1078 +tp15138 +a(g827 +V\u000a +p15139 +tp15140 +a(g827 +V +p15141 +tp15142 +a(g706 +g1336 +tp15143 +a(g827 +V\u000a +p15144 +tp15145 +a(g827 +V +p15146 +tp15147 +a(g706 +g1336 +tp15148 +a(g827 +V\u000a +p15149 +tp15150 +a(g827 +V +p15151 +tp15152 +a(g8 +V/* PyFile_SoftSpace() can exececute arbitrary code\u000a if sys.stdout is an instance with a __getattr__.\u000a If __getattr__ raises an exception, w will\u000a be freed, so we need to prevent that temporarily. */ +p15153 +tp15154 +a(g827 +V\u000a +p15155 +tp15156 +a(g827 +V +p15157 +tp15158 +a(g436 +VPy_XINCREF +p15159 +tp15160 +a(g706 +g1109 +tp15161 +a(g436 +g5398 +tp15162 +a(g706 +g1118 +tp15163 +a(g706 +g1078 +tp15164 +a(g827 +V\u000a +p15165 +tp15166 +a(g827 +V +p15167 +tp15168 +a(g745 +Vif +p15169 +tp15170 +a(g827 +g983 +tp15171 +a(g706 +g1109 +tp15172 +a(g436 +g5398 +tp15173 +a(g827 +g983 +tp15174 +a(g413 +g1260 +tp15175 +a(g413 +g1262 +tp15176 +a(g827 +g983 +tp15177 +a(g682 +VNULL +p15178 +tp15179 +a(g827 +g983 +tp15180 +a(g413 +g4166 +tp15181 +a(g413 +g4166 +tp15182 +a(g827 +g983 +tp15183 +a(g436 +VPyFile_SoftSpace +p15184 +tp15185 +a(g706 +g1109 +tp15186 +a(g436 +g5398 +tp15187 +a(g706 +g1139 +tp15188 +a(g827 +g983 +tp15189 +a(g37 +g1269 +tp15190 +a(g706 +g1118 +tp15191 +a(g706 +g1118 +tp15192 +a(g827 +V\u000a +p15193 +tp15194 +a(g827 +V +p15195 +tp15196 +a(g436 +Verr +p15197 +tp15198 +a(g827 +g983 +tp15199 +a(g413 +g1262 +tp15200 +a(g827 +g983 +tp15201 +a(g436 +VPyFile_WriteString +p15202 +tp15203 +a(g706 +g1109 +tp15204 +a(g89 +g1169 +tp15205 +a(g89 +g983 +tp15206 +a(g89 +g1169 +tp15207 +a(g706 +g1139 +tp15208 +a(g827 +g983 +tp15209 +a(g436 +g5398 +tp15210 +a(g706 +g1118 +tp15211 +a(g706 +g1078 +tp15212 +a(g827 +V\u000a +p15213 +tp15214 +a(g827 +V +p15215 +tp15216 +a(g745 +Vif +p15217 +tp15218 +a(g827 +g983 +tp15219 +a(g706 +g1109 +tp15220 +a(g436 +Verr +p15221 +tp15222 +a(g827 +g983 +tp15223 +a(g413 +g1262 +tp15224 +a(g413 +g1262 +tp15225 +a(g827 +g983 +tp15226 +a(g37 +g1269 +tp15227 +a(g706 +g1118 +tp15228 +a(g827 +V\u000a +p15229 +tp15230 +a(g827 +V +p15231 +tp15232 +a(g436 +Verr +p15233 +tp15234 +a(g827 +g983 +tp15235 +a(g413 +g1262 +tp15236 +a(g827 +g983 +tp15237 +a(g436 +VPyFile_WriteObject +p15238 +tp15239 +a(g706 +g1109 +tp15240 +a(g436 +g1116 +tp15241 +a(g706 +g1139 +tp15242 +a(g827 +g983 +tp15243 +a(g436 +g5398 +tp15244 +a(g706 +g1139 +tp15245 +a(g827 +g983 +tp15246 +a(g436 +VPy_PRINT_RAW +p15247 +tp15248 +a(g706 +g1118 +tp15249 +a(g706 +g1078 +tp15250 +a(g827 +V\u000a +p15251 +tp15252 +a(g827 +V +p15253 +tp15254 +a(g745 +Vif +p15255 +tp15256 +a(g827 +g983 +tp15257 +a(g706 +g1109 +tp15258 +a(g436 +Verr +p15259 +tp15260 +a(g827 +g983 +tp15261 +a(g413 +g1262 +tp15262 +a(g413 +g1262 +tp15263 +a(g827 +g983 +tp15264 +a(g37 +g1269 +tp15265 +a(g706 +g1118 +tp15266 +a(g827 +g983 +tp15267 +a(g706 +g1122 +tp15268 +a(g827 +V\u000a +p15269 +tp15270 +a(g827 +V +p15271 +tp15272 +a(g8 +V/* XXX move into writeobject() ? */ +p15273 +tp15274 +a(g827 +V\u000a +p15275 +tp15276 +a(g827 +V +p15277 +tp15278 +a(g745 +Vif +p15279 +tp15280 +a(g827 +g983 +tp15281 +a(g706 +g1109 +tp15282 +a(g436 +VPyString_Check +p15283 +tp15284 +a(g706 +g1109 +tp15285 +a(g436 +g1116 +tp15286 +a(g706 +g1118 +tp15287 +a(g706 +g1118 +tp15288 +a(g827 +g983 +tp15289 +a(g706 +g1122 +tp15290 +a(g827 +V\u000a +p15291 +tp15292 +a(g827 +V +p15293 +tp15294 +a(g749 +Vchar +p15295 +tp15296 +a(g827 +g983 +tp15297 +a(g413 +g1114 +tp15298 +a(g436 +Vs +p15299 +tp15300 +a(g827 +g983 +tp15301 +a(g413 +g1262 +tp15302 +a(g827 +g983 +tp15303 +a(g436 +VPyString_AS_STRING +p15304 +tp15305 +a(g706 +g1109 +tp15306 +a(g436 +g1116 +tp15307 +a(g706 +g1118 +tp15308 +a(g706 +g1078 +tp15309 +a(g827 +V\u000a +p15310 +tp15311 +a(g827 +V +p15312 +tp15313 +a(g436 +VPy_ssize_t +p15314 +tp15315 +a(g827 +g983 +tp15316 +a(g436 +Vlen +p15317 +tp15318 +a(g827 +g983 +tp15319 +a(g413 +g1262 +tp15320 +a(g827 +g983 +tp15321 +a(g436 +VPyString_GET_SIZE +p15322 +tp15323 +a(g706 +g1109 +tp15324 +a(g436 +g1116 +tp15325 +a(g706 +g1118 +tp15326 +a(g706 +g1078 +tp15327 +a(g827 +V\u000a +p15328 +tp15329 +a(g827 +V +p15330 +tp15331 +a(g745 +Vif +p15332 +tp15333 +a(g827 +g983 +tp15334 +a(g706 +g1109 +tp15335 +a(g436 +Vlen +p15336 +tp15337 +a(g827 +g983 +tp15338 +a(g413 +g1262 +tp15339 +a(g413 +g1262 +tp15340 +a(g827 +g983 +tp15341 +a(g37 +g1269 +tp15342 +a(g827 +g983 +tp15343 +a(g413 +g15057 +tp15344 +a(g413 +g15057 +tp15345 +a(g827 +V\u000a +p15346 +tp15347 +a(g827 +V +p15348 +tp15349 +a(g413 +g1260 +tp15350 +a(g436 +Visspace +p15351 +tp15352 +a(g706 +g1109 +tp15353 +a(g436 +VPy_CHARMASK +p15354 +tp15355 +a(g706 +g1109 +tp15356 +a(g436 +g15299 +tp15357 +a(g706 +g1300 +tp15358 +a(g436 +Vlen +p15359 +tp15360 +a(g413 +g1480 +tp15361 +a(g37 +g1325 +tp15362 +a(g706 +g1303 +tp15363 +a(g706 +g1118 +tp15364 +a(g706 +g1118 +tp15365 +a(g827 +g983 +tp15366 +a(g413 +g15057 +tp15367 +a(g413 +g15057 +tp15368 +a(g827 +V\u000a +p15369 +tp15370 +a(g827 +V +p15371 +tp15372 +a(g436 +g15299 +tp15373 +a(g706 +g1300 +tp15374 +a(g436 +Vlen +p15375 +tp15376 +a(g413 +g1480 +tp15377 +a(g37 +g1325 +tp15378 +a(g706 +g1303 +tp15379 +a(g827 +g983 +tp15380 +a(g413 +g1262 +tp15381 +a(g413 +g1262 +tp15382 +a(g827 +g983 +tp15383 +a(g93 +V' +p15384 +tp15385 +a(g93 +g983 +tp15386 +a(g93 +g15384 +tp15387 +a(g706 +g1118 +tp15388 +a(g827 +V\u000a +p15389 +tp15390 +a(g827 +V +p15391 +tp15392 +a(g436 +VPyFile_SoftSpace +p15393 +tp15394 +a(g706 +g1109 +tp15395 +a(g436 +g5398 +tp15396 +a(g706 +g1139 +tp15397 +a(g827 +g983 +tp15398 +a(g37 +g1325 +tp15399 +a(g706 +g1118 +tp15400 +a(g706 +g1078 +tp15401 +a(g827 +V\u000a +p15402 +tp15403 +a(g827 +V +p15404 +tp15405 +a(g706 +g1336 +tp15406 +a(g827 +V\u000a +p15407 +tp15408 +a(g899 +g972 +tp15409 +a(g899 +Vifdef Py_USING_UNICODE +p15410 +tp15411 +a(g899 +V\u000a +p15412 +tp15413 +a(g827 +V +p15414 +tp15415 +a(g745 +Velse +p15416 +tp15417 +a(g827 +g983 +tp15418 +a(g745 +Vif +p15419 +tp15420 +a(g827 +g983 +tp15421 +a(g706 +g1109 +tp15422 +a(g436 +VPyUnicode_Check +p15423 +tp15424 +a(g706 +g1109 +tp15425 +a(g436 +g1116 +tp15426 +a(g706 +g1118 +tp15427 +a(g706 +g1118 +tp15428 +a(g827 +g983 +tp15429 +a(g706 +g1122 +tp15430 +a(g827 +V\u000a +p15431 +tp15432 +a(g827 +V +p15433 +tp15434 +a(g436 +VPy_UNICODE +p15435 +tp15436 +a(g827 +g983 +tp15437 +a(g413 +g1114 +tp15438 +a(g436 +g15299 +tp15439 +a(g827 +g983 +tp15440 +a(g413 +g1262 +tp15441 +a(g827 +g983 +tp15442 +a(g436 +VPyUnicode_AS_UNICODE +p15443 +tp15444 +a(g706 +g1109 +tp15445 +a(g436 +g1116 +tp15446 +a(g706 +g1118 +tp15447 +a(g706 +g1078 +tp15448 +a(g827 +V\u000a +p15449 +tp15450 +a(g827 +V +p15451 +tp15452 +a(g436 +VPy_ssize_t +p15453 +tp15454 +a(g827 +g983 +tp15455 +a(g436 +Vlen +p15456 +tp15457 +a(g827 +g983 +tp15458 +a(g413 +g1262 +tp15459 +a(g827 +g983 +tp15460 +a(g436 +VPyUnicode_GET_SIZE +p15461 +tp15462 +a(g706 +g1109 +tp15463 +a(g436 +g1116 +tp15464 +a(g706 +g1118 +tp15465 +a(g706 +g1078 +tp15466 +a(g827 +V\u000a +p15467 +tp15468 +a(g827 +V +p15469 +tp15470 +a(g745 +Vif +p15471 +tp15472 +a(g827 +g983 +tp15473 +a(g706 +g1109 +tp15474 +a(g436 +Vlen +p15475 +tp15476 +a(g827 +g983 +tp15477 +a(g413 +g1262 +tp15478 +a(g413 +g1262 +tp15479 +a(g827 +g983 +tp15480 +a(g37 +g1269 +tp15481 +a(g827 +g983 +tp15482 +a(g413 +g15057 +tp15483 +a(g413 +g15057 +tp15484 +a(g827 +V\u000a +p15485 +tp15486 +a(g827 +V +p15487 +tp15488 +a(g413 +g1260 +tp15489 +a(g436 +VPy_UNICODE_ISSPACE +p15490 +tp15491 +a(g706 +g1109 +tp15492 +a(g436 +g15299 +tp15493 +a(g706 +g1300 +tp15494 +a(g436 +Vlen +p15495 +tp15496 +a(g413 +g1480 +tp15497 +a(g37 +g1325 +tp15498 +a(g706 +g1303 +tp15499 +a(g706 +g1118 +tp15500 +a(g827 +g983 +tp15501 +a(g413 +g15057 +tp15502 +a(g413 +g15057 +tp15503 +a(g827 +V\u000a +p15504 +tp15505 +a(g827 +V +p15506 +tp15507 +a(g436 +g15299 +tp15508 +a(g706 +g1300 +tp15509 +a(g436 +Vlen +p15510 +tp15511 +a(g413 +g1480 +tp15512 +a(g37 +g1325 +tp15513 +a(g706 +g1303 +tp15514 +a(g827 +g983 +tp15515 +a(g413 +g1262 +tp15516 +a(g413 +g1262 +tp15517 +a(g827 +g983 +tp15518 +a(g93 +g15384 +tp15519 +a(g93 +g983 +tp15520 +a(g93 +g15384 +tp15521 +a(g706 +g1118 +tp15522 +a(g827 +V\u000a +p15523 +tp15524 +a(g827 +V +p15525 +tp15526 +a(g436 +VPyFile_SoftSpace +p15527 +tp15528 +a(g706 +g1109 +tp15529 +a(g436 +g5398 +tp15530 +a(g706 +g1139 +tp15531 +a(g827 +g983 +tp15532 +a(g37 +g1325 +tp15533 +a(g706 +g1118 +tp15534 +a(g706 +g1078 +tp15535 +a(g827 +V\u000a +p15536 +tp15537 +a(g827 +V +p15538 +tp15539 +a(g706 +g1336 +tp15540 +a(g827 +V\u000a +p15541 +tp15542 +a(g899 +g972 +tp15543 +a(g899 +Vendif +p15544 +tp15545 +a(g899 +V\u000a +p15546 +tp15547 +a(g827 +V +p15548 +tp15549 +a(g745 +Velse +p15550 +tp15551 +a(g827 +V\u000a +p15552 +tp15553 +a(g827 +V +p15554 +tp15555 +a(g436 +VPyFile_SoftSpace +p15556 +tp15557 +a(g706 +g1109 +tp15558 +a(g436 +g5398 +tp15559 +a(g706 +g1139 +tp15560 +a(g827 +g983 +tp15561 +a(g37 +g1325 +tp15562 +a(g706 +g1118 +tp15563 +a(g706 +g1078 +tp15564 +a(g827 +V\u000a +p15565 +tp15566 +a(g827 +V +p15567 +tp15568 +a(g706 +g1336 +tp15569 +a(g827 +V\u000a +p15570 +tp15571 +a(g827 +V +p15572 +tp15573 +a(g436 +VPy_XDECREF +p15574 +tp15575 +a(g706 +g1109 +tp15576 +a(g436 +g5398 +tp15577 +a(g706 +g1118 +tp15578 +a(g706 +g1078 +tp15579 +a(g827 +V\u000a +p15580 +tp15581 +a(g827 +V +p15582 +tp15583 +a(g436 +VPy_DECREF +p15584 +tp15585 +a(g706 +g1109 +tp15586 +a(g436 +g1116 +tp15587 +a(g706 +g1118 +tp15588 +a(g706 +g1078 +tp15589 +a(g827 +V\u000a +p15590 +tp15591 +a(g827 +V +p15592 +tp15593 +a(g436 +VPy_XDECREF +p15594 +tp15595 +a(g706 +g1109 +tp15596 +a(g436 +Vstream +p15597 +tp15598 +a(g706 +g1118 +tp15599 +a(g706 +g1078 +tp15600 +a(g827 +V\u000a +p15601 +tp15602 +a(g827 +V +p15603 +tp15604 +a(g436 +Vstream +p15605 +tp15606 +a(g827 +g983 +tp15607 +a(g413 +g1262 +tp15608 +a(g827 +g983 +tp15609 +a(g682 +VNULL +p15610 +tp15611 +a(g706 +g1078 +tp15612 +a(g827 +V\u000a +p15613 +tp15614 +a(g827 +V +p15615 +tp15616 +a(g745 +Vif +p15617 +tp15618 +a(g827 +g983 +tp15619 +a(g706 +g1109 +tp15620 +a(g436 +Verr +p15621 +tp15622 +a(g827 +g983 +tp15623 +a(g413 +g1262 +tp15624 +a(g413 +g1262 +tp15625 +a(g827 +g983 +tp15626 +a(g37 +g1269 +tp15627 +a(g706 +g1118 +tp15628 +a(g827 +V\u000a +p15629 +tp15630 +a(g827 +V +p15631 +tp15632 +a(g745 +Vcontinue +p15633 +tp15634 +a(g706 +g1078 +tp15635 +a(g827 +V\u000a +p15636 +tp15637 +a(g827 +V +p15638 +tp15639 +a(g745 +Vbreak +p15640 +tp15641 +a(g706 +g1078 +tp15642 +a(g827 +V\u000a +p15643 +tp15644 +a(g827 +V\u000a +p15645 +tp15646 +a(g827 +V +p15647 +tp15648 +a(g745 +Vcase +p15649 +tp15650 +a(g827 +g983 +tp15651 +a(g597 +VPRINT_NEWLINE_TO +p15652 +tp15653 +a(g706 +g1157 +tp15654 +a(g827 +V\u000a +p15655 +tp15656 +a(g827 +V +p15657 +tp15658 +a(g436 +g5398 +tp15659 +a(g827 +g983 +tp15660 +a(g413 +g1262 +tp15661 +a(g827 +g983 +tp15662 +a(g436 +Vstream +p15663 +tp15664 +a(g827 +g983 +tp15665 +a(g413 +g1262 +tp15666 +a(g827 +g983 +tp15667 +a(g436 +VPOP +p15668 +tp15669 +a(g706 +g1109 +tp15670 +a(g706 +g1118 +tp15671 +a(g706 +g1078 +tp15672 +a(g827 +V\u000a +p15673 +tp15674 +a(g827 +V +p15675 +tp15676 +a(g8 +V/* fall through to PRINT_NEWLINE */ +p15677 +tp15678 +a(g827 +V\u000a +p15679 +tp15680 +a(g827 +V\u000a +p15681 +tp15682 +a(g827 +V +p15683 +tp15684 +a(g745 +Vcase +p15685 +tp15686 +a(g827 +g983 +tp15687 +a(g597 +VPRINT_NEWLINE +p15688 +tp15689 +a(g706 +g1157 +tp15690 +a(g827 +V\u000a +p15691 +tp15692 +a(g827 +V +p15693 +tp15694 +a(g745 +Vif +p15695 +tp15696 +a(g827 +g983 +tp15697 +a(g706 +g1109 +tp15698 +a(g436 +Vstream +p15699 +tp15700 +a(g827 +g983 +tp15701 +a(g413 +g1262 +tp15702 +a(g413 +g1262 +tp15703 +a(g827 +g983 +tp15704 +a(g682 +VNULL +p15705 +tp15706 +a(g827 +g983 +tp15707 +a(g413 +g15057 +tp15708 +a(g413 +g15057 +tp15709 +a(g827 +g983 +tp15710 +a(g436 +Vstream +p15711 +tp15712 +a(g827 +g983 +tp15713 +a(g413 +g1262 +tp15714 +a(g413 +g1262 +tp15715 +a(g827 +g983 +tp15716 +a(g436 +VPy_None +p15717 +tp15718 +a(g706 +g1118 +tp15719 +a(g827 +g983 +tp15720 +a(g706 +g1122 +tp15721 +a(g827 +V\u000a +p15722 +tp15723 +a(g827 +V +p15724 +tp15725 +a(g436 +g5398 +tp15726 +a(g827 +g983 +tp15727 +a(g413 +g1262 +tp15728 +a(g827 +g983 +tp15729 +a(g436 +VPySys_GetObject +p15730 +tp15731 +a(g706 +g1109 +tp15732 +a(g89 +g1169 +tp15733 +a(g89 +Vstdout +p15734 +tp15735 +a(g89 +g1169 +tp15736 +a(g706 +g1118 +tp15737 +a(g706 +g1078 +tp15738 +a(g827 +V\u000a +p15739 +tp15740 +a(g827 +V +p15741 +tp15742 +a(g745 +Vif +p15743 +tp15744 +a(g827 +g983 +tp15745 +a(g706 +g1109 +tp15746 +a(g436 +g5398 +tp15747 +a(g827 +g983 +tp15748 +a(g413 +g1262 +tp15749 +a(g413 +g1262 +tp15750 +a(g827 +g983 +tp15751 +a(g682 +VNULL +p15752 +tp15753 +a(g706 +g1118 +tp15754 +a(g827 +V\u000a +p15755 +tp15756 +a(g827 +V +p15757 +tp15758 +a(g436 +VPyErr_SetString +p15759 +tp15760 +a(g706 +g1109 +tp15761 +a(g436 +VPyExc_RuntimeError +p15762 +tp15763 +a(g706 +g1139 +tp15764 +a(g827 +V\u000a +p15765 +tp15766 +a(g827 +V +p15767 +tp15768 +a(g89 +g1169 +tp15769 +a(g89 +Vlost sys.stdout +p15770 +tp15771 +a(g89 +g1169 +tp15772 +a(g706 +g1118 +tp15773 +a(g706 +g1078 +tp15774 +a(g827 +V\u000a +p15775 +tp15776 +a(g827 +V +p15777 +tp15778 +a(g706 +g1336 +tp15779 +a(g827 +V\u000a +p15780 +tp15781 +a(g827 +V +p15782 +tp15783 +a(g745 +Vif +p15784 +tp15785 +a(g827 +g983 +tp15786 +a(g706 +g1109 +tp15787 +a(g436 +g5398 +tp15788 +a(g827 +g983 +tp15789 +a(g413 +g1260 +tp15790 +a(g413 +g1262 +tp15791 +a(g827 +g983 +tp15792 +a(g682 +VNULL +p15793 +tp15794 +a(g706 +g1118 +tp15795 +a(g827 +g983 +tp15796 +a(g706 +g1122 +tp15797 +a(g827 +V\u000a +p15798 +tp15799 +a(g827 +V +p15800 +tp15801 +a(g436 +Verr +p15802 +tp15803 +a(g827 +g983 +tp15804 +a(g413 +g1262 +tp15805 +a(g827 +g983 +tp15806 +a(g436 +VPyFile_WriteString +p15807 +tp15808 +a(g706 +g1109 +tp15809 +a(g89 +g1169 +tp15810 +a(g213 +V\u005cn +p15811 +tp15812 +a(g89 +g1169 +tp15813 +a(g706 +g1139 +tp15814 +a(g827 +g983 +tp15815 +a(g436 +g5398 +tp15816 +a(g706 +g1118 +tp15817 +a(g706 +g1078 +tp15818 +a(g827 +V\u000a +p15819 +tp15820 +a(g827 +V +p15821 +tp15822 +a(g745 +Vif +p15823 +tp15824 +a(g827 +g983 +tp15825 +a(g706 +g1109 +tp15826 +a(g436 +Verr +p15827 +tp15828 +a(g827 +g983 +tp15829 +a(g413 +g1262 +tp15830 +a(g413 +g1262 +tp15831 +a(g827 +g983 +tp15832 +a(g37 +g1269 +tp15833 +a(g706 +g1118 +tp15834 +a(g827 +V\u000a +p15835 +tp15836 +a(g827 +V +p15837 +tp15838 +a(g436 +VPyFile_SoftSpace +p15839 +tp15840 +a(g706 +g1109 +tp15841 +a(g436 +g5398 +tp15842 +a(g706 +g1139 +tp15843 +a(g827 +g983 +tp15844 +a(g37 +g1269 +tp15845 +a(g706 +g1118 +tp15846 +a(g706 +g1078 +tp15847 +a(g827 +V\u000a +p15848 +tp15849 +a(g827 +V +p15850 +tp15851 +a(g706 +g1336 +tp15852 +a(g827 +V\u000a +p15853 +tp15854 +a(g827 +V +p15855 +tp15856 +a(g436 +VPy_XDECREF +p15857 +tp15858 +a(g706 +g1109 +tp15859 +a(g436 +Vstream +p15860 +tp15861 +a(g706 +g1118 +tp15862 +a(g706 +g1078 +tp15863 +a(g827 +V\u000a +p15864 +tp15865 +a(g827 +V +p15866 +tp15867 +a(g436 +Vstream +p15868 +tp15869 +a(g827 +g983 +tp15870 +a(g413 +g1262 +tp15871 +a(g827 +g983 +tp15872 +a(g682 +VNULL +p15873 +tp15874 +a(g706 +g1078 +tp15875 +a(g827 +V\u000a +p15876 +tp15877 +a(g827 +V +p15878 +tp15879 +a(g745 +Vbreak +p15880 +tp15881 +a(g706 +g1078 +tp15882 +a(g827 +V\u000a +p15883 +tp15884 +a(g827 +V\u000a +p15885 +tp15886 +a(g827 +V\u000a +p15887 +tp15888 +a(g899 +g972 +tp15889 +a(g899 +Vifdef CASE_TOO_BIG +p15890 +tp15891 +a(g899 +V\u000a +p15892 +tp15893 +a(g827 +V +p15894 +tp15895 +a(g745 +Vdefault +p15896 +tp15897 +a(g413 +g1157 +tp15898 +a(g827 +g983 +tp15899 +a(g745 +Vswitch +p15900 +tp15901 +a(g827 +g983 +tp15902 +a(g706 +g1109 +tp15903 +a(g436 +Vopcode +p15904 +tp15905 +a(g706 +g1118 +tp15906 +a(g827 +g983 +tp15907 +a(g706 +g1122 +tp15908 +a(g827 +V\u000a +p15909 +tp15910 +a(g899 +g972 +tp15911 +a(g899 +Vendif +p15912 +tp15913 +a(g899 +V\u000a +p15914 +tp15915 +a(g827 +V +p15916 +tp15917 +a(g745 +Vcase +p15918 +tp15919 +a(g827 +g983 +tp15920 +a(g597 +VRAISE_VARARGS +p15921 +tp15922 +a(g706 +g1157 +tp15923 +a(g827 +V\u000a +p15924 +tp15925 +a(g827 +V +p15926 +tp15927 +a(g436 +g5411 +tp15928 +a(g827 +g983 +tp15929 +a(g413 +g1262 +tp15930 +a(g827 +g983 +tp15931 +a(g436 +g1116 +tp15932 +a(g827 +g983 +tp15933 +a(g413 +g1262 +tp15934 +a(g827 +g983 +tp15935 +a(g436 +g5398 +tp15936 +a(g827 +g983 +tp15937 +a(g413 +g1262 +tp15938 +a(g827 +g983 +tp15939 +a(g682 +VNULL +p15940 +tp15941 +a(g706 +g1078 +tp15942 +a(g827 +V\u000a +p15943 +tp15944 +a(g827 +V +p15945 +tp15946 +a(g745 +Vswitch +p15947 +tp15948 +a(g827 +g983 +tp15949 +a(g706 +g1109 +tp15950 +a(g436 +Voparg +p15951 +tp15952 +a(g706 +g1118 +tp15953 +a(g827 +g983 +tp15954 +a(g706 +g1122 +tp15955 +a(g827 +V\u000a +p15956 +tp15957 +a(g827 +V +p15958 +tp15959 +a(g745 +Vcase +p15960 +tp15961 +a(g827 +g983 +tp15962 +a(g37 +g2799 +tp15963 +a(g413 +g1157 +tp15964 +a(g827 +V\u000a +p15965 +tp15966 +a(g827 +V +p15967 +tp15968 +a(g436 +g5411 +tp15969 +a(g827 +g983 +tp15970 +a(g413 +g1262 +tp15971 +a(g827 +g983 +tp15972 +a(g436 +VPOP +p15973 +tp15974 +a(g706 +g1109 +tp15975 +a(g706 +g1118 +tp15976 +a(g706 +g1078 +tp15977 +a(g827 +g983 +tp15978 +a(g8 +V/* traceback */ +p15979 +tp15980 +a(g827 +V\u000a +p15981 +tp15982 +a(g827 +V +p15983 +tp15984 +a(g8 +V/* Fallthrough */ +p15985 +tp15986 +a(g827 +V\u000a +p15987 +tp15988 +a(g827 +V +p15989 +tp15990 +a(g745 +Vcase +p15991 +tp15992 +a(g827 +g983 +tp15993 +a(g37 +g2791 +tp15994 +a(g413 +g1157 +tp15995 +a(g827 +V\u000a +p15996 +tp15997 +a(g827 +V +p15998 +tp15999 +a(g436 +g1116 +tp16000 +a(g827 +g983 +tp16001 +a(g413 +g1262 +tp16002 +a(g827 +g983 +tp16003 +a(g436 +VPOP +p16004 +tp16005 +a(g706 +g1109 +tp16006 +a(g706 +g1118 +tp16007 +a(g706 +g1078 +tp16008 +a(g827 +g983 +tp16009 +a(g8 +V/* value */ +p16010 +tp16011 +a(g827 +V\u000a +p16012 +tp16013 +a(g827 +V +p16014 +tp16015 +a(g8 +V/* Fallthrough */ +p16016 +tp16017 +a(g827 +V\u000a +p16018 +tp16019 +a(g827 +V +p16020 +tp16021 +a(g745 +Vcase +p16022 +tp16023 +a(g827 +g983 +tp16024 +a(g37 +g1325 +tp16025 +a(g413 +g1157 +tp16026 +a(g827 +V\u000a +p16027 +tp16028 +a(g827 +V +p16029 +tp16030 +a(g436 +g5398 +tp16031 +a(g827 +g983 +tp16032 +a(g413 +g1262 +tp16033 +a(g827 +g983 +tp16034 +a(g436 +VPOP +p16035 +tp16036 +a(g706 +g1109 +tp16037 +a(g706 +g1118 +tp16038 +a(g706 +g1078 +tp16039 +a(g827 +g983 +tp16040 +a(g8 +V/* exc */ +p16041 +tp16042 +a(g827 +V\u000a +p16043 +tp16044 +a(g827 +V +p16045 +tp16046 +a(g745 +Vcase +p16047 +tp16048 +a(g827 +g983 +tp16049 +a(g37 +g1269 +tp16050 +a(g413 +g1157 +tp16051 +a(g827 +g983 +tp16052 +a(g8 +V/* Fallthrough */ +p16053 +tp16054 +a(g827 +V\u000a +p16055 +tp16056 +a(g827 +V +p16057 +tp16058 +a(g436 +Vwhy +p16059 +tp16060 +a(g827 +g983 +tp16061 +a(g413 +g1262 +tp16062 +a(g827 +g983 +tp16063 +a(g436 +Vdo_raise +p16064 +tp16065 +a(g706 +g1109 +tp16066 +a(g436 +g5398 +tp16067 +a(g706 +g1139 +tp16068 +a(g827 +g983 +tp16069 +a(g436 +g1116 +tp16070 +a(g706 +g1139 +tp16071 +a(g827 +g983 +tp16072 +a(g436 +g5411 +tp16073 +a(g706 +g1118 +tp16074 +a(g706 +g1078 +tp16075 +a(g827 +V\u000a +p16076 +tp16077 +a(g827 +V +p16078 +tp16079 +a(g745 +Vbreak +p16080 +tp16081 +a(g706 +g1078 +tp16082 +a(g827 +V\u000a +p16083 +tp16084 +a(g827 +V +p16085 +tp16086 +a(g745 +Vdefault +p16087 +tp16088 +a(g413 +g1157 +tp16089 +a(g827 +V\u000a +p16090 +tp16091 +a(g827 +V +p16092 +tp16093 +a(g436 +VPyErr_SetString +p16094 +tp16095 +a(g706 +g1109 +tp16096 +a(g436 +VPyExc_SystemError +p16097 +tp16098 +a(g706 +g1139 +tp16099 +a(g827 +V\u000a +p16100 +tp16101 +a(g827 +V +p16102 +tp16103 +a(g89 +g1169 +tp16104 +a(g89 +Vbad RAISE_VARARGS oparg +p16105 +tp16106 +a(g89 +g1169 +tp16107 +a(g706 +g1118 +tp16108 +a(g706 +g1078 +tp16109 +a(g827 +V\u000a +p16110 +tp16111 +a(g827 +V +p16112 +tp16113 +a(g436 +Vwhy +p16114 +tp16115 +a(g827 +g983 +tp16116 +a(g413 +g1262 +tp16117 +a(g827 +g983 +tp16118 +a(g436 +VWHY_EXCEPTION +p16119 +tp16120 +a(g706 +g1078 +tp16121 +a(g827 +V\u000a +p16122 +tp16123 +a(g827 +V +p16124 +tp16125 +a(g745 +Vbreak +p16126 +tp16127 +a(g706 +g1078 +tp16128 +a(g827 +V\u000a +p16129 +tp16130 +a(g827 +V +p16131 +tp16132 +a(g706 +g1336 +tp16133 +a(g827 +V\u000a +p16134 +tp16135 +a(g827 +V +p16136 +tp16137 +a(g745 +Vbreak +p16138 +tp16139 +a(g706 +g1078 +tp16140 +a(g827 +V\u000a +p16141 +tp16142 +a(g827 +V\u000a +p16143 +tp16144 +a(g827 +V +p16145 +tp16146 +a(g745 +Vcase +p16147 +tp16148 +a(g827 +g983 +tp16149 +a(g597 +VLOAD_LOCALS +p16150 +tp16151 +a(g706 +g1157 +tp16152 +a(g827 +V\u000a +p16153 +tp16154 +a(g827 +V +p16155 +tp16156 +a(g745 +Vif +p16157 +tp16158 +a(g827 +g983 +tp16159 +a(g706 +g1109 +tp16160 +a(g706 +g1109 +tp16161 +a(g436 +g5367 +tp16162 +a(g827 +g983 +tp16163 +a(g413 +g1262 +tp16164 +a(g827 +g983 +tp16165 +a(g436 +g5180 +tp16166 +a(g413 +g1480 +tp16167 +a(g413 +g1482 +tp16168 +a(g436 +Vf_locals +p16169 +tp16170 +a(g706 +g1118 +tp16171 +a(g827 +g983 +tp16172 +a(g413 +g1260 +tp16173 +a(g413 +g1262 +tp16174 +a(g827 +g983 +tp16175 +a(g682 +VNULL +p16176 +tp16177 +a(g706 +g1118 +tp16178 +a(g827 +g983 +tp16179 +a(g706 +g1122 +tp16180 +a(g827 +V\u000a +p16181 +tp16182 +a(g827 +V +p16183 +tp16184 +a(g436 +VPy_INCREF +p16185 +tp16186 +a(g706 +g1109 +tp16187 +a(g436 +g5367 +tp16188 +a(g706 +g1118 +tp16189 +a(g706 +g1078 +tp16190 +a(g827 +V\u000a +p16191 +tp16192 +a(g827 +V +p16193 +tp16194 +a(g436 +VPUSH +p16195 +tp16196 +a(g706 +g1109 +tp16197 +a(g436 +g5367 +tp16198 +a(g706 +g1118 +tp16199 +a(g706 +g1078 +tp16200 +a(g827 +V\u000a +p16201 +tp16202 +a(g827 +V +p16203 +tp16204 +a(g745 +Vcontinue +p16205 +tp16206 +a(g706 +g1078 +tp16207 +a(g827 +V\u000a +p16208 +tp16209 +a(g827 +V +p16210 +tp16211 +a(g706 +g1336 +tp16212 +a(g827 +V\u000a +p16213 +tp16214 +a(g827 +V +p16215 +tp16216 +a(g436 +VPyErr_SetString +p16217 +tp16218 +a(g706 +g1109 +tp16219 +a(g436 +VPyExc_SystemError +p16220 +tp16221 +a(g706 +g1139 +tp16222 +a(g827 +g983 +tp16223 +a(g89 +g1169 +tp16224 +a(g89 +Vno locals +p16225 +tp16226 +a(g89 +g1169 +tp16227 +a(g706 +g1118 +tp16228 +a(g706 +g1078 +tp16229 +a(g827 +V\u000a +p16230 +tp16231 +a(g827 +V +p16232 +tp16233 +a(g745 +Vbreak +p16234 +tp16235 +a(g706 +g1078 +tp16236 +a(g827 +V\u000a +p16237 +tp16238 +a(g827 +V\u000a +p16239 +tp16240 +a(g827 +V +p16241 +tp16242 +a(g745 +Vcase +p16243 +tp16244 +a(g827 +g983 +tp16245 +a(g597 +VRETURN_VALUE +p16246 +tp16247 +a(g706 +g1157 +tp16248 +a(g827 +V\u000a +p16249 +tp16250 +a(g827 +V +p16251 +tp16252 +a(g436 +Vretval +p16253 +tp16254 +a(g827 +g983 +tp16255 +a(g413 +g1262 +tp16256 +a(g827 +g983 +tp16257 +a(g436 +VPOP +p16258 +tp16259 +a(g706 +g1109 +tp16260 +a(g706 +g1118 +tp16261 +a(g706 +g1078 +tp16262 +a(g827 +V\u000a +p16263 +tp16264 +a(g827 +V +p16265 +tp16266 +a(g436 +Vwhy +p16267 +tp16268 +a(g827 +g983 +tp16269 +a(g413 +g1262 +tp16270 +a(g827 +g983 +tp16271 +a(g436 +VWHY_RETURN +p16272 +tp16273 +a(g706 +g1078 +tp16274 +a(g827 +V\u000a +p16275 +tp16276 +a(g827 +V +p16277 +tp16278 +a(g745 +Vgoto +p16279 +tp16280 +a(g827 +g983 +tp16281 +a(g436 +Vfast_block_end +p16282 +tp16283 +a(g706 +g1078 +tp16284 +a(g827 +V\u000a +p16285 +tp16286 +a(g827 +V\u000a +p16287 +tp16288 +a(g827 +V +p16289 +tp16290 +a(g745 +Vcase +p16291 +tp16292 +a(g827 +g983 +tp16293 +a(g597 +VYIELD_VALUE +p16294 +tp16295 +a(g706 +g1157 +tp16296 +a(g827 +V\u000a +p16297 +tp16298 +a(g827 +V +p16299 +tp16300 +a(g436 +Vretval +p16301 +tp16302 +a(g827 +g983 +tp16303 +a(g413 +g1262 +tp16304 +a(g827 +g983 +tp16305 +a(g436 +VPOP +p16306 +tp16307 +a(g706 +g1109 +tp16308 +a(g706 +g1118 +tp16309 +a(g706 +g1078 +tp16310 +a(g827 +V\u000a +p16311 +tp16312 +a(g827 +V +p16313 +tp16314 +a(g436 +g5180 +tp16315 +a(g413 +g1480 +tp16316 +a(g413 +g1482 +tp16317 +a(g436 +Vf_stacktop +p16318 +tp16319 +a(g827 +g983 +tp16320 +a(g413 +g1262 +tp16321 +a(g827 +g983 +tp16322 +a(g436 +Vstack_pointer +p16323 +tp16324 +a(g706 +g1078 +tp16325 +a(g827 +V\u000a +p16326 +tp16327 +a(g827 +V +p16328 +tp16329 +a(g436 +Vwhy +p16330 +tp16331 +a(g827 +g983 +tp16332 +a(g413 +g1262 +tp16333 +a(g827 +g983 +tp16334 +a(g436 +VWHY_YIELD +p16335 +tp16336 +a(g706 +g1078 +tp16337 +a(g827 +V\u000a +p16338 +tp16339 +a(g827 +V +p16340 +tp16341 +a(g745 +Vgoto +p16342 +tp16343 +a(g827 +g983 +tp16344 +a(g436 +Vfast_yield +p16345 +tp16346 +a(g706 +g1078 +tp16347 +a(g827 +V\u000a +p16348 +tp16349 +a(g827 +V\u000a +p16350 +tp16351 +a(g827 +V +p16352 +tp16353 +a(g745 +Vcase +p16354 +tp16355 +a(g827 +g983 +tp16356 +a(g597 +VEXEC_STMT +p16357 +tp16358 +a(g706 +g1157 +tp16359 +a(g827 +V\u000a +p16360 +tp16361 +a(g827 +V +p16362 +tp16363 +a(g436 +g5398 +tp16364 +a(g827 +g983 +tp16365 +a(g413 +g1262 +tp16366 +a(g827 +g983 +tp16367 +a(g436 +VTOP +p16368 +tp16369 +a(g706 +g1109 +tp16370 +a(g706 +g1118 +tp16371 +a(g706 +g1078 +tp16372 +a(g827 +V\u000a +p16373 +tp16374 +a(g827 +V +p16375 +tp16376 +a(g436 +g1116 +tp16377 +a(g827 +g983 +tp16378 +a(g413 +g1262 +tp16379 +a(g827 +g983 +tp16380 +a(g436 +VSECOND +p16381 +tp16382 +a(g706 +g1109 +tp16383 +a(g706 +g1118 +tp16384 +a(g706 +g1078 +tp16385 +a(g827 +V\u000a +p16386 +tp16387 +a(g827 +V +p16388 +tp16389 +a(g436 +g5411 +tp16390 +a(g827 +g983 +tp16391 +a(g413 +g1262 +tp16392 +a(g827 +g983 +tp16393 +a(g436 +VTHIRD +p16394 +tp16395 +a(g706 +g1109 +tp16396 +a(g706 +g1118 +tp16397 +a(g706 +g1078 +tp16398 +a(g827 +V\u000a +p16399 +tp16400 +a(g827 +V +p16401 +tp16402 +a(g436 +VSTACKADJ +p16403 +tp16404 +a(g706 +g1109 +tp16405 +a(g413 +g1480 +tp16406 +a(g37 +g2799 +tp16407 +a(g706 +g1118 +tp16408 +a(g706 +g1078 +tp16409 +a(g827 +V\u000a +p16410 +tp16411 +a(g827 +V +p16412 +tp16413 +a(g436 +VREAD_TIMESTAMP +p16414 +tp16415 +a(g706 +g1109 +tp16416 +a(g436 +Vintr0 +p16417 +tp16418 +a(g706 +g1118 +tp16419 +a(g706 +g1078 +tp16420 +a(g827 +V\u000a +p16421 +tp16422 +a(g827 +V +p16423 +tp16424 +a(g436 +Verr +p16425 +tp16426 +a(g827 +g983 +tp16427 +a(g413 +g1262 +tp16428 +a(g827 +g983 +tp16429 +a(g436 +Vexec_statement +p16430 +tp16431 +a(g706 +g1109 +tp16432 +a(g436 +g5180 +tp16433 +a(g706 +g1139 +tp16434 +a(g827 +g983 +tp16435 +a(g436 +g5411 +tp16436 +a(g706 +g1139 +tp16437 +a(g827 +g983 +tp16438 +a(g436 +g1116 +tp16439 +a(g706 +g1139 +tp16440 +a(g827 +g983 +tp16441 +a(g436 +g5398 +tp16442 +a(g706 +g1118 +tp16443 +a(g706 +g1078 +tp16444 +a(g827 +V\u000a +p16445 +tp16446 +a(g827 +V +p16447 +tp16448 +a(g436 +VREAD_TIMESTAMP +p16449 +tp16450 +a(g706 +g1109 +tp16451 +a(g436 +Vintr1 +p16452 +tp16453 +a(g706 +g1118 +tp16454 +a(g706 +g1078 +tp16455 +a(g827 +V\u000a +p16456 +tp16457 +a(g827 +V +p16458 +tp16459 +a(g436 +VPy_DECREF +p16460 +tp16461 +a(g706 +g1109 +tp16462 +a(g436 +g5411 +tp16463 +a(g706 +g1118 +tp16464 +a(g706 +g1078 +tp16465 +a(g827 +V\u000a +p16466 +tp16467 +a(g827 +V +p16468 +tp16469 +a(g436 +VPy_DECREF +p16470 +tp16471 +a(g706 +g1109 +tp16472 +a(g436 +g1116 +tp16473 +a(g706 +g1118 +tp16474 +a(g706 +g1078 +tp16475 +a(g827 +V\u000a +p16476 +tp16477 +a(g827 +V +p16478 +tp16479 +a(g436 +VPy_DECREF +p16480 +tp16481 +a(g706 +g1109 +tp16482 +a(g436 +g5398 +tp16483 +a(g706 +g1118 +tp16484 +a(g706 +g1078 +tp16485 +a(g827 +V\u000a +p16486 +tp16487 +a(g827 +V +p16488 +tp16489 +a(g745 +Vbreak +p16490 +tp16491 +a(g706 +g1078 +tp16492 +a(g827 +V\u000a +p16493 +tp16494 +a(g827 +V\u000a +p16495 +tp16496 +a(g827 +V +p16497 +tp16498 +a(g745 +Vcase +p16499 +tp16500 +a(g827 +g983 +tp16501 +a(g597 +VPOP_BLOCK +p16502 +tp16503 +a(g706 +g1157 +tp16504 +a(g827 +V\u000a +p16505 +tp16506 +a(g827 +V +p16507 +tp16508 +a(g706 +g1122 +tp16509 +a(g827 +V\u000a +p16510 +tp16511 +a(g827 +V +p16512 +tp16513 +a(g436 +VPyTryBlock +p16514 +tp16515 +a(g827 +g983 +tp16516 +a(g413 +g1114 +tp16517 +a(g436 +g10206 +tp16518 +a(g827 +g983 +tp16519 +a(g413 +g1262 +tp16520 +a(g827 +g983 +tp16521 +a(g436 +VPyFrame_BlockPop +p16522 +tp16523 +a(g706 +g1109 +tp16524 +a(g436 +g5180 +tp16525 +a(g706 +g1118 +tp16526 +a(g706 +g1078 +tp16527 +a(g827 +V\u000a +p16528 +tp16529 +a(g827 +V +p16530 +tp16531 +a(g745 +Vwhile +p16532 +tp16533 +a(g827 +g983 +tp16534 +a(g706 +g1109 +tp16535 +a(g436 +VSTACK_LEVEL +p16536 +tp16537 +a(g706 +g1109 +tp16538 +a(g706 +g1118 +tp16539 +a(g827 +g983 +tp16540 +a(g413 +g1482 +tp16541 +a(g827 +g983 +tp16542 +a(g436 +g10206 +tp16543 +a(g413 +g1480 +tp16544 +a(g413 +g1482 +tp16545 +a(g436 +Vb_level +p16546 +tp16547 +a(g706 +g1118 +tp16548 +a(g827 +g983 +tp16549 +a(g706 +g1122 +tp16550 +a(g827 +V\u000a +p16551 +tp16552 +a(g827 +V +p16553 +tp16554 +a(g436 +g1116 +tp16555 +a(g827 +g983 +tp16556 +a(g413 +g1262 +tp16557 +a(g827 +g983 +tp16558 +a(g436 +VPOP +p16559 +tp16560 +a(g706 +g1109 +tp16561 +a(g706 +g1118 +tp16562 +a(g706 +g1078 +tp16563 +a(g827 +V\u000a +p16564 +tp16565 +a(g827 +V +p16566 +tp16567 +a(g436 +VPy_DECREF +p16568 +tp16569 +a(g706 +g1109 +tp16570 +a(g436 +g1116 +tp16571 +a(g706 +g1118 +tp16572 +a(g706 +g1078 +tp16573 +a(g827 +V\u000a +p16574 +tp16575 +a(g827 +V +p16576 +tp16577 +a(g706 +g1336 +tp16578 +a(g827 +V\u000a +p16579 +tp16580 +a(g827 +V +p16581 +tp16582 +a(g706 +g1336 +tp16583 +a(g827 +V\u000a +p16584 +tp16585 +a(g827 +V +p16586 +tp16587 +a(g745 +Vcontinue +p16588 +tp16589 +a(g706 +g1078 +tp16590 +a(g827 +V\u000a +p16591 +tp16592 +a(g827 +V\u000a +p16593 +tp16594 +a(g827 +V +p16595 +tp16596 +a(g745 +Vcase +p16597 +tp16598 +a(g827 +g983 +tp16599 +a(g597 +VEND_FINALLY +p16600 +tp16601 +a(g706 +g1157 +tp16602 +a(g827 +V\u000a +p16603 +tp16604 +a(g827 +V +p16605 +tp16606 +a(g436 +g1116 +tp16607 +a(g827 +g983 +tp16608 +a(g413 +g1262 +tp16609 +a(g827 +g983 +tp16610 +a(g436 +VPOP +p16611 +tp16612 +a(g706 +g1109 +tp16613 +a(g706 +g1118 +tp16614 +a(g706 +g1078 +tp16615 +a(g827 +V\u000a +p16616 +tp16617 +a(g827 +V +p16618 +tp16619 +a(g745 +Vif +p16620 +tp16621 +a(g827 +g983 +tp16622 +a(g706 +g1109 +tp16623 +a(g436 +VPyInt_Check +p16624 +tp16625 +a(g706 +g1109 +tp16626 +a(g436 +g1116 +tp16627 +a(g706 +g1118 +tp16628 +a(g706 +g1118 +tp16629 +a(g827 +g983 +tp16630 +a(g706 +g1122 +tp16631 +a(g827 +V\u000a +p16632 +tp16633 +a(g827 +V +p16634 +tp16635 +a(g436 +Vwhy +p16636 +tp16637 +a(g827 +g983 +tp16638 +a(g413 +g1262 +tp16639 +a(g827 +g983 +tp16640 +a(g706 +g1109 +tp16641 +a(g745 +Venum +p16642 +tp16643 +a(g827 +g983 +tp16644 +a(g436 +Vwhy_code +p16645 +tp16646 +a(g706 +g1118 +tp16647 +a(g827 +g983 +tp16648 +a(g436 +VPyInt_AS_LONG +p16649 +tp16650 +a(g706 +g1109 +tp16651 +a(g436 +g1116 +tp16652 +a(g706 +g1118 +tp16653 +a(g706 +g1078 +tp16654 +a(g827 +V\u000a +p16655 +tp16656 +a(g827 +V +p16657 +tp16658 +a(g436 +Vassert +p16659 +tp16660 +a(g706 +g1109 +tp16661 +a(g436 +Vwhy +p16662 +tp16663 +a(g827 +g983 +tp16664 +a(g413 +g1260 +tp16665 +a(g413 +g1262 +tp16666 +a(g827 +g983 +tp16667 +a(g436 +VWHY_YIELD +p16668 +tp16669 +a(g706 +g1118 +tp16670 +a(g706 +g1078 +tp16671 +a(g827 +V\u000a +p16672 +tp16673 +a(g827 +V +p16674 +tp16675 +a(g745 +Vif +p16676 +tp16677 +a(g827 +g983 +tp16678 +a(g706 +g1109 +tp16679 +a(g436 +Vwhy +p16680 +tp16681 +a(g827 +g983 +tp16682 +a(g413 +g1262 +tp16683 +a(g413 +g1262 +tp16684 +a(g827 +g983 +tp16685 +a(g436 +VWHY_RETURN +p16686 +tp16687 +a(g827 +g983 +tp16688 +a(g413 +g15057 +tp16689 +a(g413 +g15057 +tp16690 +a(g827 +V\u000a +p16691 +tp16692 +a(g827 +V +p16693 +tp16694 +a(g436 +Vwhy +p16695 +tp16696 +a(g827 +g983 +tp16697 +a(g413 +g1262 +tp16698 +a(g413 +g1262 +tp16699 +a(g827 +g983 +tp16700 +a(g436 +VWHY_CONTINUE +p16701 +tp16702 +a(g706 +g1118 +tp16703 +a(g827 +V\u000a +p16704 +tp16705 +a(g827 +V +p16706 +tp16707 +a(g436 +Vretval +p16708 +tp16709 +a(g827 +g983 +tp16710 +a(g413 +g1262 +tp16711 +a(g827 +g983 +tp16712 +a(g436 +VPOP +p16713 +tp16714 +a(g706 +g1109 +tp16715 +a(g706 +g1118 +tp16716 +a(g706 +g1078 +tp16717 +a(g827 +V\u000a +p16718 +tp16719 +a(g827 +V +p16720 +tp16721 +a(g706 +g1336 +tp16722 +a(g827 +V\u000a +p16723 +tp16724 +a(g827 +V +p16725 +tp16726 +a(g745 +Velse +p16727 +tp16728 +a(g827 +g983 +tp16729 +a(g745 +Vif +p16730 +tp16731 +a(g827 +g983 +tp16732 +a(g706 +g1109 +tp16733 +a(g436 +VPyExceptionClass_Check +p16734 +tp16735 +a(g706 +g1109 +tp16736 +a(g436 +g1116 +tp16737 +a(g706 +g1118 +tp16738 +a(g827 +g983 +tp16739 +a(g413 +g15057 +tp16740 +a(g413 +g15057 +tp16741 +a(g827 +g983 +tp16742 +a(g436 +VPyString_Check +p16743 +tp16744 +a(g706 +g1109 +tp16745 +a(g436 +g1116 +tp16746 +a(g706 +g1118 +tp16747 +a(g706 +g1118 +tp16748 +a(g827 +g983 +tp16749 +a(g706 +g1122 +tp16750 +a(g827 +V\u000a +p16751 +tp16752 +a(g827 +V +p16753 +tp16754 +a(g436 +g5398 +tp16755 +a(g827 +g983 +tp16756 +a(g413 +g1262 +tp16757 +a(g827 +g983 +tp16758 +a(g436 +VPOP +p16759 +tp16760 +a(g706 +g1109 +tp16761 +a(g706 +g1118 +tp16762 +a(g706 +g1078 +tp16763 +a(g827 +V\u000a +p16764 +tp16765 +a(g827 +V +p16766 +tp16767 +a(g436 +g5411 +tp16768 +a(g827 +g983 +tp16769 +a(g413 +g1262 +tp16770 +a(g827 +g983 +tp16771 +a(g436 +VPOP +p16772 +tp16773 +a(g706 +g1109 +tp16774 +a(g706 +g1118 +tp16775 +a(g706 +g1078 +tp16776 +a(g827 +V\u000a +p16777 +tp16778 +a(g827 +V +p16779 +tp16780 +a(g436 +VPyErr_Restore +p16781 +tp16782 +a(g706 +g1109 +tp16783 +a(g436 +g1116 +tp16784 +a(g706 +g1139 +tp16785 +a(g827 +g983 +tp16786 +a(g436 +g5398 +tp16787 +a(g706 +g1139 +tp16788 +a(g827 +g983 +tp16789 +a(g436 +g5411 +tp16790 +a(g706 +g1118 +tp16791 +a(g706 +g1078 +tp16792 +a(g827 +V\u000a +p16793 +tp16794 +a(g827 +V +p16795 +tp16796 +a(g436 +Vwhy +p16797 +tp16798 +a(g827 +g983 +tp16799 +a(g413 +g1262 +tp16800 +a(g827 +g983 +tp16801 +a(g436 +VWHY_RERAISE +p16802 +tp16803 +a(g706 +g1078 +tp16804 +a(g827 +V\u000a +p16805 +tp16806 +a(g827 +V +p16807 +tp16808 +a(g745 +Vbreak +p16809 +tp16810 +a(g706 +g1078 +tp16811 +a(g827 +V\u000a +p16812 +tp16813 +a(g827 +V +p16814 +tp16815 +a(g706 +g1336 +tp16816 +a(g827 +V\u000a +p16817 +tp16818 +a(g827 +V +p16819 +tp16820 +a(g745 +Velse +p16821 +tp16822 +a(g827 +g983 +tp16823 +a(g745 +Vif +p16824 +tp16825 +a(g827 +g983 +tp16826 +a(g706 +g1109 +tp16827 +a(g436 +g1116 +tp16828 +a(g827 +g983 +tp16829 +a(g413 +g1260 +tp16830 +a(g413 +g1262 +tp16831 +a(g827 +g983 +tp16832 +a(g436 +VPy_None +p16833 +tp16834 +a(g706 +g1118 +tp16835 +a(g827 +g983 +tp16836 +a(g706 +g1122 +tp16837 +a(g827 +V\u000a +p16838 +tp16839 +a(g827 +V +p16840 +tp16841 +a(g436 +VPyErr_SetString +p16842 +tp16843 +a(g706 +g1109 +tp16844 +a(g436 +VPyExc_SystemError +p16845 +tp16846 +a(g706 +g1139 +tp16847 +a(g827 +V\u000a +p16848 +tp16849 +a(g827 +V +p16850 +tp16851 +a(g89 +g1169 +tp16852 +a(g89 +V'finally' pops bad exception +p16853 +tp16854 +a(g89 +g1169 +tp16855 +a(g706 +g1118 +tp16856 +a(g706 +g1078 +tp16857 +a(g827 +V\u000a +p16858 +tp16859 +a(g827 +V +p16860 +tp16861 +a(g436 +Vwhy +p16862 +tp16863 +a(g827 +g983 +tp16864 +a(g413 +g1262 +tp16865 +a(g827 +g983 +tp16866 +a(g436 +VWHY_EXCEPTION +p16867 +tp16868 +a(g706 +g1078 +tp16869 +a(g827 +V\u000a +p16870 +tp16871 +a(g827 +V +p16872 +tp16873 +a(g706 +g1336 +tp16874 +a(g827 +V\u000a +p16875 +tp16876 +a(g827 +V +p16877 +tp16878 +a(g436 +VPy_DECREF +p16879 +tp16880 +a(g706 +g1109 +tp16881 +a(g436 +g1116 +tp16882 +a(g706 +g1118 +tp16883 +a(g706 +g1078 +tp16884 +a(g827 +V\u000a +p16885 +tp16886 +a(g827 +V +p16887 +tp16888 +a(g745 +Vbreak +p16889 +tp16890 +a(g706 +g1078 +tp16891 +a(g827 +V\u000a +p16892 +tp16893 +a(g827 +V\u000a +p16894 +tp16895 +a(g827 +V +p16896 +tp16897 +a(g745 +Vcase +p16898 +tp16899 +a(g827 +g983 +tp16900 +a(g597 +VBUILD_CLASS +p16901 +tp16902 +a(g706 +g1157 +tp16903 +a(g827 +V\u000a +p16904 +tp16905 +a(g827 +V +p16906 +tp16907 +a(g436 +g5411 +tp16908 +a(g827 +g983 +tp16909 +a(g413 +g1262 +tp16910 +a(g827 +g983 +tp16911 +a(g436 +VTOP +p16912 +tp16913 +a(g706 +g1109 +tp16914 +a(g706 +g1118 +tp16915 +a(g706 +g1078 +tp16916 +a(g827 +V\u000a +p16917 +tp16918 +a(g827 +V +p16919 +tp16920 +a(g436 +g1116 +tp16921 +a(g827 +g983 +tp16922 +a(g413 +g1262 +tp16923 +a(g827 +g983 +tp16924 +a(g436 +VSECOND +p16925 +tp16926 +a(g706 +g1109 +tp16927 +a(g706 +g1118 +tp16928 +a(g706 +g1078 +tp16929 +a(g827 +V\u000a +p16930 +tp16931 +a(g827 +V +p16932 +tp16933 +a(g436 +g5398 +tp16934 +a(g827 +g983 +tp16935 +a(g413 +g1262 +tp16936 +a(g827 +g983 +tp16937 +a(g436 +VTHIRD +p16938 +tp16939 +a(g706 +g1109 +tp16940 +a(g706 +g1118 +tp16941 +a(g706 +g1078 +tp16942 +a(g827 +V\u000a +p16943 +tp16944 +a(g827 +V +p16945 +tp16946 +a(g436 +VSTACKADJ +p16947 +tp16948 +a(g706 +g1109 +tp16949 +a(g413 +g1480 +tp16950 +a(g37 +g2791 +tp16951 +a(g706 +g1118 +tp16952 +a(g706 +g1078 +tp16953 +a(g827 +V\u000a +p16954 +tp16955 +a(g827 +V +p16956 +tp16957 +a(g436 +g5367 +tp16958 +a(g827 +g983 +tp16959 +a(g413 +g1262 +tp16960 +a(g827 +g983 +tp16961 +a(g436 +Vbuild_class +p16962 +tp16963 +a(g706 +g1109 +tp16964 +a(g436 +g5411 +tp16965 +a(g706 +g1139 +tp16966 +a(g827 +g983 +tp16967 +a(g436 +g1116 +tp16968 +a(g706 +g1139 +tp16969 +a(g827 +g983 +tp16970 +a(g436 +g5398 +tp16971 +a(g706 +g1118 +tp16972 +a(g706 +g1078 +tp16973 +a(g827 +V\u000a +p16974 +tp16975 +a(g827 +V +p16976 +tp16977 +a(g436 +VSET_TOP +p16978 +tp16979 +a(g706 +g1109 +tp16980 +a(g436 +g5367 +tp16981 +a(g706 +g1118 +tp16982 +a(g706 +g1078 +tp16983 +a(g827 +V\u000a +p16984 +tp16985 +a(g827 +V +p16986 +tp16987 +a(g436 +VPy_DECREF +p16988 +tp16989 +a(g706 +g1109 +tp16990 +a(g436 +g5411 +tp16991 +a(g706 +g1118 +tp16992 +a(g706 +g1078 +tp16993 +a(g827 +V\u000a +p16994 +tp16995 +a(g827 +V +p16996 +tp16997 +a(g436 +VPy_DECREF +p16998 +tp16999 +a(g706 +g1109 +tp17000 +a(g436 +g1116 +tp17001 +a(g706 +g1118 +tp17002 +a(g706 +g1078 +tp17003 +a(g827 +V\u000a +p17004 +tp17005 +a(g827 +V +p17006 +tp17007 +a(g436 +VPy_DECREF +p17008 +tp17009 +a(g706 +g1109 +tp17010 +a(g436 +g5398 +tp17011 +a(g706 +g1118 +tp17012 +a(g706 +g1078 +tp17013 +a(g827 +V\u000a +p17014 +tp17015 +a(g827 +V +p17016 +tp17017 +a(g745 +Vbreak +p17018 +tp17019 +a(g706 +g1078 +tp17020 +a(g827 +V\u000a +p17021 +tp17022 +a(g827 +V\u000a +p17023 +tp17024 +a(g827 +V +p17025 +tp17026 +a(g745 +Vcase +p17027 +tp17028 +a(g827 +g983 +tp17029 +a(g597 +VSTORE_NAME +p17030 +tp17031 +a(g706 +g1157 +tp17032 +a(g827 +V\u000a +p17033 +tp17034 +a(g827 +V +p17035 +tp17036 +a(g436 +g5398 +tp17037 +a(g827 +g983 +tp17038 +a(g413 +g1262 +tp17039 +a(g827 +g983 +tp17040 +a(g436 +VGETITEM +p17041 +tp17042 +a(g706 +g1109 +tp17043 +a(g436 +Vnames +p17044 +tp17045 +a(g706 +g1139 +tp17046 +a(g827 +g983 +tp17047 +a(g436 +Voparg +p17048 +tp17049 +a(g706 +g1118 +tp17050 +a(g706 +g1078 +tp17051 +a(g827 +V\u000a +p17052 +tp17053 +a(g827 +V +p17054 +tp17055 +a(g436 +g1116 +tp17056 +a(g827 +g983 +tp17057 +a(g413 +g1262 +tp17058 +a(g827 +g983 +tp17059 +a(g436 +VPOP +p17060 +tp17061 +a(g706 +g1109 +tp17062 +a(g706 +g1118 +tp17063 +a(g706 +g1078 +tp17064 +a(g827 +V\u000a +p17065 +tp17066 +a(g827 +V +p17067 +tp17068 +a(g745 +Vif +p17069 +tp17070 +a(g827 +g983 +tp17071 +a(g706 +g1109 +tp17072 +a(g706 +g1109 +tp17073 +a(g436 +g5367 +tp17074 +a(g827 +g983 +tp17075 +a(g413 +g1262 +tp17076 +a(g827 +g983 +tp17077 +a(g436 +g5180 +tp17078 +a(g413 +g1480 +tp17079 +a(g413 +g1482 +tp17080 +a(g436 +Vf_locals +p17081 +tp17082 +a(g706 +g1118 +tp17083 +a(g827 +g983 +tp17084 +a(g413 +g1260 +tp17085 +a(g413 +g1262 +tp17086 +a(g827 +g983 +tp17087 +a(g682 +VNULL +p17088 +tp17089 +a(g706 +g1118 +tp17090 +a(g827 +g983 +tp17091 +a(g706 +g1122 +tp17092 +a(g827 +V\u000a +p17093 +tp17094 +a(g827 +V +p17095 +tp17096 +a(g745 +Vif +p17097 +tp17098 +a(g827 +g983 +tp17099 +a(g706 +g1109 +tp17100 +a(g436 +VPyDict_CheckExact +p17101 +tp17102 +a(g706 +g1109 +tp17103 +a(g436 +g5367 +tp17104 +a(g706 +g1118 +tp17105 +a(g706 +g1118 +tp17106 +a(g827 +V\u000a +p17107 +tp17108 +a(g827 +V +p17109 +tp17110 +a(g436 +Verr +p17111 +tp17112 +a(g827 +g983 +tp17113 +a(g413 +g1262 +tp17114 +a(g827 +g983 +tp17115 +a(g436 +VPyDict_SetItem +p17116 +tp17117 +a(g706 +g1109 +tp17118 +a(g436 +g5367 +tp17119 +a(g706 +g1139 +tp17120 +a(g827 +g983 +tp17121 +a(g436 +g5398 +tp17122 +a(g706 +g1139 +tp17123 +a(g827 +g983 +tp17124 +a(g436 +g1116 +tp17125 +a(g706 +g1118 +tp17126 +a(g706 +g1078 +tp17127 +a(g827 +V\u000a +p17128 +tp17129 +a(g827 +V +p17130 +tp17131 +a(g745 +Velse +p17132 +tp17133 +a(g827 +V\u000a +p17134 +tp17135 +a(g827 +V +p17136 +tp17137 +a(g436 +Verr +p17138 +tp17139 +a(g827 +g983 +tp17140 +a(g413 +g1262 +tp17141 +a(g827 +g983 +tp17142 +a(g436 +VPyObject_SetItem +p17143 +tp17144 +a(g706 +g1109 +tp17145 +a(g436 +g5367 +tp17146 +a(g706 +g1139 +tp17147 +a(g827 +g983 +tp17148 +a(g436 +g5398 +tp17149 +a(g706 +g1139 +tp17150 +a(g827 +g983 +tp17151 +a(g436 +g1116 +tp17152 +a(g706 +g1118 +tp17153 +a(g706 +g1078 +tp17154 +a(g827 +V\u000a +p17155 +tp17156 +a(g827 +V +p17157 +tp17158 +a(g436 +VPy_DECREF +p17159 +tp17160 +a(g706 +g1109 +tp17161 +a(g436 +g1116 +tp17162 +a(g706 +g1118 +tp17163 +a(g706 +g1078 +tp17164 +a(g827 +V\u000a +p17165 +tp17166 +a(g827 +V +p17167 +tp17168 +a(g745 +Vif +p17169 +tp17170 +a(g827 +g983 +tp17171 +a(g706 +g1109 +tp17172 +a(g436 +Verr +p17173 +tp17174 +a(g827 +g983 +tp17175 +a(g413 +g1262 +tp17176 +a(g413 +g1262 +tp17177 +a(g827 +g983 +tp17178 +a(g37 +g1269 +tp17179 +a(g706 +g1118 +tp17180 +a(g827 +g983 +tp17181 +a(g745 +Vcontinue +p17182 +tp17183 +a(g706 +g1078 +tp17184 +a(g827 +V\u000a +p17185 +tp17186 +a(g827 +V +p17187 +tp17188 +a(g745 +Vbreak +p17189 +tp17190 +a(g706 +g1078 +tp17191 +a(g827 +V\u000a +p17192 +tp17193 +a(g827 +V +p17194 +tp17195 +a(g706 +g1336 +tp17196 +a(g827 +V\u000a +p17197 +tp17198 +a(g827 +V +p17199 +tp17200 +a(g436 +VPyErr_Format +p17201 +tp17202 +a(g706 +g1109 +tp17203 +a(g436 +VPyExc_SystemError +p17204 +tp17205 +a(g706 +g1139 +tp17206 +a(g827 +V\u000a +p17207 +tp17208 +a(g827 +V +p17209 +tp17210 +a(g89 +g1169 +tp17211 +a(g89 +Vno locals found when storing %s +p17212 +tp17213 +a(g89 +g1169 +tp17214 +a(g706 +g1139 +tp17215 +a(g827 +V\u000a +p17216 +tp17217 +a(g827 +V +p17218 +tp17219 +a(g436 +VPyObject_REPR +p17220 +tp17221 +a(g706 +g1109 +tp17222 +a(g436 +g5398 +tp17223 +a(g706 +g1118 +tp17224 +a(g706 +g1118 +tp17225 +a(g706 +g1078 +tp17226 +a(g827 +V\u000a +p17227 +tp17228 +a(g827 +V +p17229 +tp17230 +a(g745 +Vbreak +p17231 +tp17232 +a(g706 +g1078 +tp17233 +a(g827 +V\u000a +p17234 +tp17235 +a(g827 +V\u000a +p17236 +tp17237 +a(g827 +V +p17238 +tp17239 +a(g745 +Vcase +p17240 +tp17241 +a(g827 +g983 +tp17242 +a(g597 +VDELETE_NAME +p17243 +tp17244 +a(g706 +g1157 +tp17245 +a(g827 +V\u000a +p17246 +tp17247 +a(g827 +V +p17248 +tp17249 +a(g436 +g5398 +tp17250 +a(g827 +g983 +tp17251 +a(g413 +g1262 +tp17252 +a(g827 +g983 +tp17253 +a(g436 +VGETITEM +p17254 +tp17255 +a(g706 +g1109 +tp17256 +a(g436 +Vnames +p17257 +tp17258 +a(g706 +g1139 +tp17259 +a(g827 +g983 +tp17260 +a(g436 +Voparg +p17261 +tp17262 +a(g706 +g1118 +tp17263 +a(g706 +g1078 +tp17264 +a(g827 +V\u000a +p17265 +tp17266 +a(g827 +V +p17267 +tp17268 +a(g745 +Vif +p17269 +tp17270 +a(g827 +g983 +tp17271 +a(g706 +g1109 +tp17272 +a(g706 +g1109 +tp17273 +a(g436 +g5367 +tp17274 +a(g827 +g983 +tp17275 +a(g413 +g1262 +tp17276 +a(g827 +g983 +tp17277 +a(g436 +g5180 +tp17278 +a(g413 +g1480 +tp17279 +a(g413 +g1482 +tp17280 +a(g436 +Vf_locals +p17281 +tp17282 +a(g706 +g1118 +tp17283 +a(g827 +g983 +tp17284 +a(g413 +g1260 +tp17285 +a(g413 +g1262 +tp17286 +a(g827 +g983 +tp17287 +a(g682 +VNULL +p17288 +tp17289 +a(g706 +g1118 +tp17290 +a(g827 +g983 +tp17291 +a(g706 +g1122 +tp17292 +a(g827 +V\u000a +p17293 +tp17294 +a(g827 +V +p17295 +tp17296 +a(g745 +Vif +p17297 +tp17298 +a(g827 +g983 +tp17299 +a(g706 +g1109 +tp17300 +a(g706 +g1109 +tp17301 +a(g436 +Verr +p17302 +tp17303 +a(g827 +g983 +tp17304 +a(g413 +g1262 +tp17305 +a(g827 +g983 +tp17306 +a(g436 +VPyObject_DelItem +p17307 +tp17308 +a(g706 +g1109 +tp17309 +a(g436 +g5367 +tp17310 +a(g706 +g1139 +tp17311 +a(g827 +g983 +tp17312 +a(g436 +g5398 +tp17313 +a(g706 +g1118 +tp17314 +a(g706 +g1118 +tp17315 +a(g827 +g983 +tp17316 +a(g413 +g1260 +tp17317 +a(g413 +g1262 +tp17318 +a(g827 +g983 +tp17319 +a(g37 +g1269 +tp17320 +a(g706 +g1118 +tp17321 +a(g827 +V\u000a +p17322 +tp17323 +a(g827 +V +p17324 +tp17325 +a(g436 +Vformat_exc_check_arg +p17326 +tp17327 +a(g706 +g1109 +tp17328 +a(g436 +VPyExc_NameError +p17329 +tp17330 +a(g706 +g1139 +tp17331 +a(g827 +V\u000a +p17332 +tp17333 +a(g827 +V +p17334 +tp17335 +a(g436 +VNAME_ERROR_MSG +p17336 +tp17337 +a(g827 +g983 +tp17338 +a(g706 +g1139 +tp17339 +a(g436 +g5398 +tp17340 +a(g706 +g1118 +tp17341 +a(g706 +g1078 +tp17342 +a(g827 +V\u000a +p17343 +tp17344 +a(g827 +V +p17345 +tp17346 +a(g745 +Vbreak +p17347 +tp17348 +a(g706 +g1078 +tp17349 +a(g827 +V\u000a +p17350 +tp17351 +a(g827 +V +p17352 +tp17353 +a(g706 +g1336 +tp17354 +a(g827 +V\u000a +p17355 +tp17356 +a(g827 +V +p17357 +tp17358 +a(g436 +VPyErr_Format +p17359 +tp17360 +a(g706 +g1109 +tp17361 +a(g436 +VPyExc_SystemError +p17362 +tp17363 +a(g706 +g1139 +tp17364 +a(g827 +V\u000a +p17365 +tp17366 +a(g827 +V +p17367 +tp17368 +a(g89 +g1169 +tp17369 +a(g89 +Vno locals when deleting %s +p17370 +tp17371 +a(g89 +g1169 +tp17372 +a(g706 +g1139 +tp17373 +a(g827 +V\u000a +p17374 +tp17375 +a(g827 +V +p17376 +tp17377 +a(g436 +VPyObject_REPR +p17378 +tp17379 +a(g706 +g1109 +tp17380 +a(g436 +g5398 +tp17381 +a(g706 +g1118 +tp17382 +a(g706 +g1118 +tp17383 +a(g706 +g1078 +tp17384 +a(g827 +V\u000a +p17385 +tp17386 +a(g827 +V +p17387 +tp17388 +a(g745 +Vbreak +p17389 +tp17390 +a(g706 +g1078 +tp17391 +a(g827 +V\u000a +p17392 +tp17393 +a(g827 +V\u000a +p17394 +tp17395 +a(g827 +V +p17396 +tp17397 +a(g436 +VPREDICTED_WITH_ARG +p17398 +tp17399 +a(g706 +g1109 +tp17400 +a(g436 +VUNPACK_SEQUENCE +p17401 +tp17402 +a(g706 +g1118 +tp17403 +a(g706 +g1078 +tp17404 +a(g827 +V\u000a +p17405 +tp17406 +a(g827 +V +p17407 +tp17408 +a(g745 +Vcase +p17409 +tp17410 +a(g827 +g983 +tp17411 +a(g597 +VUNPACK_SEQUENCE +p17412 +tp17413 +a(g706 +g1157 +tp17414 +a(g827 +V\u000a +p17415 +tp17416 +a(g827 +V +p17417 +tp17418 +a(g436 +g1116 +tp17419 +a(g827 +g983 +tp17420 +a(g413 +g1262 +tp17421 +a(g827 +g983 +tp17422 +a(g436 +VPOP +p17423 +tp17424 +a(g706 +g1109 +tp17425 +a(g706 +g1118 +tp17426 +a(g706 +g1078 +tp17427 +a(g827 +V\u000a +p17428 +tp17429 +a(g827 +V +p17430 +tp17431 +a(g745 +Vif +p17432 +tp17433 +a(g827 +g983 +tp17434 +a(g706 +g1109 +tp17435 +a(g436 +VPyTuple_CheckExact +p17436 +tp17437 +a(g706 +g1109 +tp17438 +a(g436 +g1116 +tp17439 +a(g706 +g1118 +tp17440 +a(g827 +g983 +tp17441 +a(g413 +g4166 +tp17442 +a(g413 +g4166 +tp17443 +a(g827 +g983 +tp17444 +a(g436 +VPyTuple_GET_SIZE +p17445 +tp17446 +a(g706 +g1109 +tp17447 +a(g436 +g1116 +tp17448 +a(g706 +g1118 +tp17449 +a(g827 +g983 +tp17450 +a(g413 +g1262 +tp17451 +a(g413 +g1262 +tp17452 +a(g827 +g983 +tp17453 +a(g436 +Voparg +p17454 +tp17455 +a(g706 +g1118 +tp17456 +a(g827 +g983 +tp17457 +a(g706 +g1122 +tp17458 +a(g827 +V\u000a +p17459 +tp17460 +a(g827 +V +p17461 +tp17462 +a(g436 +VPyObject +p17463 +tp17464 +a(g827 +g983 +tp17465 +a(g413 +g1114 +tp17466 +a(g413 +g1114 +tp17467 +a(g436 +Vitems +p17468 +tp17469 +a(g827 +g983 +tp17470 +a(g413 +g1262 +tp17471 +a(g827 +g983 +tp17472 +a(g706 +g1109 +tp17473 +a(g706 +g1109 +tp17474 +a(g436 +VPyTupleObject +p17475 +tp17476 +a(g827 +g983 +tp17477 +a(g413 +g1114 +tp17478 +a(g706 +g1118 +tp17479 +a(g436 +g1116 +tp17480 +a(g706 +g1118 +tp17481 +a(g413 +g1480 +tp17482 +a(g413 +g1482 +tp17483 +a(g436 +Vob_item +p17484 +tp17485 +a(g706 +g1078 +tp17486 +a(g827 +V\u000a +p17487 +tp17488 +a(g827 +V +p17489 +tp17490 +a(g745 +Vwhile +p17491 +tp17492 +a(g827 +g983 +tp17493 +a(g706 +g1109 +tp17494 +a(g436 +Voparg +p17495 +tp17496 +a(g413 +g1480 +tp17497 +a(g413 +g1480 +tp17498 +a(g706 +g1118 +tp17499 +a(g827 +g983 +tp17500 +a(g706 +g1122 +tp17501 +a(g827 +V\u000a +p17502 +tp17503 +a(g827 +V +p17504 +tp17505 +a(g436 +g5398 +tp17506 +a(g827 +g983 +tp17507 +a(g413 +g1262 +tp17508 +a(g827 +g983 +tp17509 +a(g436 +Vitems +p17510 +tp17511 +a(g706 +g1300 +tp17512 +a(g436 +Voparg +p17513 +tp17514 +a(g706 +g1303 +tp17515 +a(g706 +g1078 +tp17516 +a(g827 +V\u000a +p17517 +tp17518 +a(g827 +V +p17519 +tp17520 +a(g436 +VPy_INCREF +p17521 +tp17522 +a(g706 +g1109 +tp17523 +a(g436 +g5398 +tp17524 +a(g706 +g1118 +tp17525 +a(g706 +g1078 +tp17526 +a(g827 +V\u000a +p17527 +tp17528 +a(g827 +V +p17529 +tp17530 +a(g436 +VPUSH +p17531 +tp17532 +a(g706 +g1109 +tp17533 +a(g436 +g5398 +tp17534 +a(g706 +g1118 +tp17535 +a(g706 +g1078 +tp17536 +a(g827 +V\u000a +p17537 +tp17538 +a(g827 +V +p17539 +tp17540 +a(g706 +g1336 +tp17541 +a(g827 +V\u000a +p17542 +tp17543 +a(g827 +V +p17544 +tp17545 +a(g436 +VPy_DECREF +p17546 +tp17547 +a(g706 +g1109 +tp17548 +a(g436 +g1116 +tp17549 +a(g706 +g1118 +tp17550 +a(g706 +g1078 +tp17551 +a(g827 +V\u000a +p17552 +tp17553 +a(g827 +V +p17554 +tp17555 +a(g745 +Vcontinue +p17556 +tp17557 +a(g706 +g1078 +tp17558 +a(g827 +V\u000a +p17559 +tp17560 +a(g827 +V +p17561 +tp17562 +a(g706 +g1336 +tp17563 +a(g827 +g983 +tp17564 +a(g745 +Velse +p17565 +tp17566 +a(g827 +g983 +tp17567 +a(g745 +Vif +p17568 +tp17569 +a(g827 +g983 +tp17570 +a(g706 +g1109 +tp17571 +a(g436 +VPyList_CheckExact +p17572 +tp17573 +a(g706 +g1109 +tp17574 +a(g436 +g1116 +tp17575 +a(g706 +g1118 +tp17576 +a(g827 +g983 +tp17577 +a(g413 +g4166 +tp17578 +a(g413 +g4166 +tp17579 +a(g827 +g983 +tp17580 +a(g436 +VPyList_GET_SIZE +p17581 +tp17582 +a(g706 +g1109 +tp17583 +a(g436 +g1116 +tp17584 +a(g706 +g1118 +tp17585 +a(g827 +g983 +tp17586 +a(g413 +g1262 +tp17587 +a(g413 +g1262 +tp17588 +a(g827 +g983 +tp17589 +a(g436 +Voparg +p17590 +tp17591 +a(g706 +g1118 +tp17592 +a(g827 +g983 +tp17593 +a(g706 +g1122 +tp17594 +a(g827 +V\u000a +p17595 +tp17596 +a(g827 +V +p17597 +tp17598 +a(g436 +VPyObject +p17599 +tp17600 +a(g827 +g983 +tp17601 +a(g413 +g1114 +tp17602 +a(g413 +g1114 +tp17603 +a(g436 +Vitems +p17604 +tp17605 +a(g827 +g983 +tp17606 +a(g413 +g1262 +tp17607 +a(g827 +g983 +tp17608 +a(g706 +g1109 +tp17609 +a(g706 +g1109 +tp17610 +a(g436 +VPyListObject +p17611 +tp17612 +a(g827 +g983 +tp17613 +a(g413 +g1114 +tp17614 +a(g706 +g1118 +tp17615 +a(g436 +g1116 +tp17616 +a(g706 +g1118 +tp17617 +a(g413 +g1480 +tp17618 +a(g413 +g1482 +tp17619 +a(g436 +Vob_item +p17620 +tp17621 +a(g706 +g1078 +tp17622 +a(g827 +V\u000a +p17623 +tp17624 +a(g827 +V +p17625 +tp17626 +a(g745 +Vwhile +p17627 +tp17628 +a(g827 +g983 +tp17629 +a(g706 +g1109 +tp17630 +a(g436 +Voparg +p17631 +tp17632 +a(g413 +g1480 +tp17633 +a(g413 +g1480 +tp17634 +a(g706 +g1118 +tp17635 +a(g827 +g983 +tp17636 +a(g706 +g1122 +tp17637 +a(g827 +V\u000a +p17638 +tp17639 +a(g827 +V +p17640 +tp17641 +a(g436 +g5398 +tp17642 +a(g827 +g983 +tp17643 +a(g413 +g1262 +tp17644 +a(g827 +g983 +tp17645 +a(g436 +Vitems +p17646 +tp17647 +a(g706 +g1300 +tp17648 +a(g436 +Voparg +p17649 +tp17650 +a(g706 +g1303 +tp17651 +a(g706 +g1078 +tp17652 +a(g827 +V\u000a +p17653 +tp17654 +a(g827 +V +p17655 +tp17656 +a(g436 +VPy_INCREF +p17657 +tp17658 +a(g706 +g1109 +tp17659 +a(g436 +g5398 +tp17660 +a(g706 +g1118 +tp17661 +a(g706 +g1078 +tp17662 +a(g827 +V\u000a +p17663 +tp17664 +a(g827 +V +p17665 +tp17666 +a(g436 +VPUSH +p17667 +tp17668 +a(g706 +g1109 +tp17669 +a(g436 +g5398 +tp17670 +a(g706 +g1118 +tp17671 +a(g706 +g1078 +tp17672 +a(g827 +V\u000a +p17673 +tp17674 +a(g827 +V +p17675 +tp17676 +a(g706 +g1336 +tp17677 +a(g827 +V\u000a +p17678 +tp17679 +a(g827 +V +p17680 +tp17681 +a(g706 +g1336 +tp17682 +a(g827 +g983 +tp17683 +a(g745 +Velse +p17684 +tp17685 +a(g827 +g983 +tp17686 +a(g745 +Vif +p17687 +tp17688 +a(g827 +g983 +tp17689 +a(g706 +g1109 +tp17690 +a(g436 +Vunpack_iterable +p17691 +tp17692 +a(g706 +g1109 +tp17693 +a(g436 +g1116 +tp17694 +a(g706 +g1139 +tp17695 +a(g827 +g983 +tp17696 +a(g436 +Voparg +p17697 +tp17698 +a(g706 +g1139 +tp17699 +a(g827 +V\u000a +p17700 +tp17701 +a(g827 +V +p17702 +tp17703 +a(g436 +Vstack_pointer +p17704 +tp17705 +a(g827 +g983 +tp17706 +a(g413 +g3965 +tp17707 +a(g827 +g983 +tp17708 +a(g436 +Voparg +p17709 +tp17710 +a(g706 +g1118 +tp17711 +a(g706 +g1118 +tp17712 +a(g827 +V\u000a +p17713 +tp17714 +a(g827 +V +p17715 +tp17716 +a(g436 +Vstack_pointer +p17717 +tp17718 +a(g827 +g983 +tp17719 +a(g413 +g3965 +tp17720 +a(g413 +g1262 +tp17721 +a(g827 +g983 +tp17722 +a(g436 +Voparg +p17723 +tp17724 +a(g706 +g1078 +tp17725 +a(g827 +V\u000a +p17726 +tp17727 +a(g827 +V +p17728 +tp17729 +a(g745 +Velse +p17730 +tp17731 +a(g827 +g983 +tp17732 +a(g706 +g1122 +tp17733 +a(g827 +V\u000a +p17734 +tp17735 +a(g827 +V +p17736 +tp17737 +a(g745 +Vif +p17738 +tp17739 +a(g827 +g983 +tp17740 +a(g706 +g1109 +tp17741 +a(g436 +VPyErr_ExceptionMatches +p17742 +tp17743 +a(g706 +g1109 +tp17744 +a(g436 +VPyExc_TypeError +p17745 +tp17746 +a(g706 +g1118 +tp17747 +a(g706 +g1118 +tp17748 +a(g827 +V\u000a +p17749 +tp17750 +a(g827 +V +p17751 +tp17752 +a(g436 +VPyErr_SetString +p17753 +tp17754 +a(g706 +g1109 +tp17755 +a(g436 +VPyExc_TypeError +p17756 +tp17757 +a(g706 +g1139 +tp17758 +a(g827 +V\u000a +p17759 +tp17760 +a(g827 +V +p17761 +tp17762 +a(g89 +g1169 +tp17763 +a(g89 +Vunpack non-sequence +p17764 +tp17765 +a(g89 +g1169 +tp17766 +a(g706 +g1118 +tp17767 +a(g706 +g1078 +tp17768 +a(g827 +V\u000a +p17769 +tp17770 +a(g827 +V +p17771 +tp17772 +a(g436 +Vwhy +p17773 +tp17774 +a(g827 +g983 +tp17775 +a(g413 +g1262 +tp17776 +a(g827 +g983 +tp17777 +a(g436 +VWHY_EXCEPTION +p17778 +tp17779 +a(g706 +g1078 +tp17780 +a(g827 +V\u000a +p17781 +tp17782 +a(g827 +V +p17783 +tp17784 +a(g706 +g1336 +tp17785 +a(g827 +V\u000a +p17786 +tp17787 +a(g827 +V +p17788 +tp17789 +a(g436 +VPy_DECREF +p17790 +tp17791 +a(g706 +g1109 +tp17792 +a(g436 +g1116 +tp17793 +a(g706 +g1118 +tp17794 +a(g706 +g1078 +tp17795 +a(g827 +V\u000a +p17796 +tp17797 +a(g827 +V +p17798 +tp17799 +a(g745 +Vbreak +p17800 +tp17801 +a(g706 +g1078 +tp17802 +a(g827 +V\u000a +p17803 +tp17804 +a(g827 +V\u000a +p17805 +tp17806 +a(g827 +V +p17807 +tp17808 +a(g745 +Vcase +p17809 +tp17810 +a(g827 +g983 +tp17811 +a(g597 +VSTORE_ATTR +p17812 +tp17813 +a(g706 +g1157 +tp17814 +a(g827 +V\u000a +p17815 +tp17816 +a(g827 +V +p17817 +tp17818 +a(g436 +g5398 +tp17819 +a(g827 +g983 +tp17820 +a(g413 +g1262 +tp17821 +a(g827 +g983 +tp17822 +a(g436 +VGETITEM +p17823 +tp17824 +a(g706 +g1109 +tp17825 +a(g436 +Vnames +p17826 +tp17827 +a(g706 +g1139 +tp17828 +a(g827 +g983 +tp17829 +a(g436 +Voparg +p17830 +tp17831 +a(g706 +g1118 +tp17832 +a(g706 +g1078 +tp17833 +a(g827 +V\u000a +p17834 +tp17835 +a(g827 +V +p17836 +tp17837 +a(g436 +g1116 +tp17838 +a(g827 +g983 +tp17839 +a(g413 +g1262 +tp17840 +a(g827 +g983 +tp17841 +a(g436 +VTOP +p17842 +tp17843 +a(g706 +g1109 +tp17844 +a(g706 +g1118 +tp17845 +a(g706 +g1078 +tp17846 +a(g827 +V\u000a +p17847 +tp17848 +a(g827 +V +p17849 +tp17850 +a(g436 +g5411 +tp17851 +a(g827 +g983 +tp17852 +a(g413 +g1262 +tp17853 +a(g827 +g983 +tp17854 +a(g436 +VSECOND +p17855 +tp17856 +a(g706 +g1109 +tp17857 +a(g706 +g1118 +tp17858 +a(g706 +g1078 +tp17859 +a(g827 +V\u000a +p17860 +tp17861 +a(g827 +V +p17862 +tp17863 +a(g436 +VSTACKADJ +p17864 +tp17865 +a(g706 +g1109 +tp17866 +a(g413 +g1480 +tp17867 +a(g37 +g2791 +tp17868 +a(g706 +g1118 +tp17869 +a(g706 +g1078 +tp17870 +a(g827 +V\u000a +p17871 +tp17872 +a(g827 +V +p17873 +tp17874 +a(g436 +Verr +p17875 +tp17876 +a(g827 +g983 +tp17877 +a(g413 +g1262 +tp17878 +a(g827 +g983 +tp17879 +a(g436 +VPyObject_SetAttr +p17880 +tp17881 +a(g706 +g1109 +tp17882 +a(g436 +g1116 +tp17883 +a(g706 +g1139 +tp17884 +a(g827 +g983 +tp17885 +a(g436 +g5398 +tp17886 +a(g706 +g1139 +tp17887 +a(g827 +g983 +tp17888 +a(g436 +g5411 +tp17889 +a(g706 +g1118 +tp17890 +a(g706 +g1078 +tp17891 +a(g827 +g983 +tp17892 +a(g8 +V/* v.w = u */ +p17893 +tp17894 +a(g827 +V\u000a +p17895 +tp17896 +a(g827 +V +p17897 +tp17898 +a(g436 +VPy_DECREF +p17899 +tp17900 +a(g706 +g1109 +tp17901 +a(g436 +g1116 +tp17902 +a(g706 +g1118 +tp17903 +a(g706 +g1078 +tp17904 +a(g827 +V\u000a +p17905 +tp17906 +a(g827 +V +p17907 +tp17908 +a(g436 +VPy_DECREF +p17909 +tp17910 +a(g706 +g1109 +tp17911 +a(g436 +g5411 +tp17912 +a(g706 +g1118 +tp17913 +a(g706 +g1078 +tp17914 +a(g827 +V\u000a +p17915 +tp17916 +a(g827 +V +p17917 +tp17918 +a(g745 +Vif +p17919 +tp17920 +a(g827 +g983 +tp17921 +a(g706 +g1109 +tp17922 +a(g436 +Verr +p17923 +tp17924 +a(g827 +g983 +tp17925 +a(g413 +g1262 +tp17926 +a(g413 +g1262 +tp17927 +a(g827 +g983 +tp17928 +a(g37 +g1269 +tp17929 +a(g706 +g1118 +tp17930 +a(g827 +g983 +tp17931 +a(g745 +Vcontinue +p17932 +tp17933 +a(g706 +g1078 +tp17934 +a(g827 +V\u000a +p17935 +tp17936 +a(g827 +V +p17937 +tp17938 +a(g745 +Vbreak +p17939 +tp17940 +a(g706 +g1078 +tp17941 +a(g827 +V\u000a +p17942 +tp17943 +a(g827 +V\u000a +p17944 +tp17945 +a(g827 +V +p17946 +tp17947 +a(g745 +Vcase +p17948 +tp17949 +a(g827 +g983 +tp17950 +a(g597 +VDELETE_ATTR +p17951 +tp17952 +a(g706 +g1157 +tp17953 +a(g827 +V\u000a +p17954 +tp17955 +a(g827 +V +p17956 +tp17957 +a(g436 +g5398 +tp17958 +a(g827 +g983 +tp17959 +a(g413 +g1262 +tp17960 +a(g827 +g983 +tp17961 +a(g436 +VGETITEM +p17962 +tp17963 +a(g706 +g1109 +tp17964 +a(g436 +Vnames +p17965 +tp17966 +a(g706 +g1139 +tp17967 +a(g827 +g983 +tp17968 +a(g436 +Voparg +p17969 +tp17970 +a(g706 +g1118 +tp17971 +a(g706 +g1078 +tp17972 +a(g827 +V\u000a +p17973 +tp17974 +a(g827 +V +p17975 +tp17976 +a(g436 +g1116 +tp17977 +a(g827 +g983 +tp17978 +a(g413 +g1262 +tp17979 +a(g827 +g983 +tp17980 +a(g436 +VPOP +p17981 +tp17982 +a(g706 +g1109 +tp17983 +a(g706 +g1118 +tp17984 +a(g706 +g1078 +tp17985 +a(g827 +V\u000a +p17986 +tp17987 +a(g827 +V +p17988 +tp17989 +a(g436 +Verr +p17990 +tp17991 +a(g827 +g983 +tp17992 +a(g413 +g1262 +tp17993 +a(g827 +g983 +tp17994 +a(g436 +VPyObject_SetAttr +p17995 +tp17996 +a(g706 +g1109 +tp17997 +a(g436 +g1116 +tp17998 +a(g706 +g1139 +tp17999 +a(g827 +g983 +tp18000 +a(g436 +g5398 +tp18001 +a(g706 +g1139 +tp18002 +a(g827 +g983 +tp18003 +a(g706 +g1109 +tp18004 +a(g436 +VPyObject +p18005 +tp18006 +a(g827 +g983 +tp18007 +a(g413 +g1114 +tp18008 +a(g706 +g1118 +tp18009 +a(g682 +VNULL +p18010 +tp18011 +a(g706 +g1118 +tp18012 +a(g706 +g1078 +tp18013 +a(g827 +V\u000a +p18014 +tp18015 +a(g827 +V +p18016 +tp18017 +a(g8 +V/* del v.w */ +p18018 +tp18019 +a(g827 +V\u000a +p18020 +tp18021 +a(g827 +V +p18022 +tp18023 +a(g436 +VPy_DECREF +p18024 +tp18025 +a(g706 +g1109 +tp18026 +a(g436 +g1116 +tp18027 +a(g706 +g1118 +tp18028 +a(g706 +g1078 +tp18029 +a(g827 +V\u000a +p18030 +tp18031 +a(g827 +V +p18032 +tp18033 +a(g745 +Vbreak +p18034 +tp18035 +a(g706 +g1078 +tp18036 +a(g827 +V\u000a +p18037 +tp18038 +a(g827 +V\u000a +p18039 +tp18040 +a(g827 +V +p18041 +tp18042 +a(g745 +Vcase +p18043 +tp18044 +a(g827 +g983 +tp18045 +a(g597 +VSTORE_GLOBAL +p18046 +tp18047 +a(g706 +g1157 +tp18048 +a(g827 +V\u000a +p18049 +tp18050 +a(g827 +V +p18051 +tp18052 +a(g436 +g5398 +tp18053 +a(g827 +g983 +tp18054 +a(g413 +g1262 +tp18055 +a(g827 +g983 +tp18056 +a(g436 +VGETITEM +p18057 +tp18058 +a(g706 +g1109 +tp18059 +a(g436 +Vnames +p18060 +tp18061 +a(g706 +g1139 +tp18062 +a(g827 +g983 +tp18063 +a(g436 +Voparg +p18064 +tp18065 +a(g706 +g1118 +tp18066 +a(g706 +g1078 +tp18067 +a(g827 +V\u000a +p18068 +tp18069 +a(g827 +V +p18070 +tp18071 +a(g436 +g1116 +tp18072 +a(g827 +g983 +tp18073 +a(g413 +g1262 +tp18074 +a(g827 +g983 +tp18075 +a(g436 +VPOP +p18076 +tp18077 +a(g706 +g1109 +tp18078 +a(g706 +g1118 +tp18079 +a(g706 +g1078 +tp18080 +a(g827 +V\u000a +p18081 +tp18082 +a(g827 +V +p18083 +tp18084 +a(g436 +Verr +p18085 +tp18086 +a(g827 +g983 +tp18087 +a(g413 +g1262 +tp18088 +a(g827 +g983 +tp18089 +a(g436 +VPyDict_SetItem +p18090 +tp18091 +a(g706 +g1109 +tp18092 +a(g436 +g5180 +tp18093 +a(g413 +g1480 +tp18094 +a(g413 +g1482 +tp18095 +a(g436 +Vf_globals +p18096 +tp18097 +a(g706 +g1139 +tp18098 +a(g827 +g983 +tp18099 +a(g436 +g5398 +tp18100 +a(g706 +g1139 +tp18101 +a(g827 +g983 +tp18102 +a(g436 +g1116 +tp18103 +a(g706 +g1118 +tp18104 +a(g706 +g1078 +tp18105 +a(g827 +V\u000a +p18106 +tp18107 +a(g827 +V +p18108 +tp18109 +a(g436 +VPy_DECREF +p18110 +tp18111 +a(g706 +g1109 +tp18112 +a(g436 +g1116 +tp18113 +a(g706 +g1118 +tp18114 +a(g706 +g1078 +tp18115 +a(g827 +V\u000a +p18116 +tp18117 +a(g827 +V +p18118 +tp18119 +a(g745 +Vif +p18120 +tp18121 +a(g827 +g983 +tp18122 +a(g706 +g1109 +tp18123 +a(g436 +Verr +p18124 +tp18125 +a(g827 +g983 +tp18126 +a(g413 +g1262 +tp18127 +a(g413 +g1262 +tp18128 +a(g827 +g983 +tp18129 +a(g37 +g1269 +tp18130 +a(g706 +g1118 +tp18131 +a(g827 +g983 +tp18132 +a(g745 +Vcontinue +p18133 +tp18134 +a(g706 +g1078 +tp18135 +a(g827 +V\u000a +p18136 +tp18137 +a(g827 +V +p18138 +tp18139 +a(g745 +Vbreak +p18140 +tp18141 +a(g706 +g1078 +tp18142 +a(g827 +V\u000a +p18143 +tp18144 +a(g827 +V\u000a +p18145 +tp18146 +a(g827 +V +p18147 +tp18148 +a(g745 +Vcase +p18149 +tp18150 +a(g827 +g983 +tp18151 +a(g597 +VDELETE_GLOBAL +p18152 +tp18153 +a(g706 +g1157 +tp18154 +a(g827 +V\u000a +p18155 +tp18156 +a(g827 +V +p18157 +tp18158 +a(g436 +g5398 +tp18159 +a(g827 +g983 +tp18160 +a(g413 +g1262 +tp18161 +a(g827 +g983 +tp18162 +a(g436 +VGETITEM +p18163 +tp18164 +a(g706 +g1109 +tp18165 +a(g436 +Vnames +p18166 +tp18167 +a(g706 +g1139 +tp18168 +a(g827 +g983 +tp18169 +a(g436 +Voparg +p18170 +tp18171 +a(g706 +g1118 +tp18172 +a(g706 +g1078 +tp18173 +a(g827 +V\u000a +p18174 +tp18175 +a(g827 +V +p18176 +tp18177 +a(g745 +Vif +p18178 +tp18179 +a(g827 +g983 +tp18180 +a(g706 +g1109 +tp18181 +a(g706 +g1109 +tp18182 +a(g436 +Verr +p18183 +tp18184 +a(g827 +g983 +tp18185 +a(g413 +g1262 +tp18186 +a(g827 +g983 +tp18187 +a(g436 +VPyDict_DelItem +p18188 +tp18189 +a(g706 +g1109 +tp18190 +a(g436 +g5180 +tp18191 +a(g413 +g1480 +tp18192 +a(g413 +g1482 +tp18193 +a(g436 +Vf_globals +p18194 +tp18195 +a(g706 +g1139 +tp18196 +a(g827 +g983 +tp18197 +a(g436 +g5398 +tp18198 +a(g706 +g1118 +tp18199 +a(g706 +g1118 +tp18200 +a(g827 +g983 +tp18201 +a(g413 +g1260 +tp18202 +a(g413 +g1262 +tp18203 +a(g827 +g983 +tp18204 +a(g37 +g1269 +tp18205 +a(g706 +g1118 +tp18206 +a(g827 +V\u000a +p18207 +tp18208 +a(g827 +V +p18209 +tp18210 +a(g436 +Vformat_exc_check_arg +p18211 +tp18212 +a(g706 +g1109 +tp18213 +a(g827 +V\u000a +p18214 +tp18215 +a(g827 +V +p18216 +tp18217 +a(g436 +VPyExc_NameError +p18218 +tp18219 +a(g706 +g1139 +tp18220 +a(g827 +g983 +tp18221 +a(g436 +VGLOBAL_NAME_ERROR_MSG +p18222 +tp18223 +a(g706 +g1139 +tp18224 +a(g827 +g983 +tp18225 +a(g436 +g5398 +tp18226 +a(g706 +g1118 +tp18227 +a(g706 +g1078 +tp18228 +a(g827 +V\u000a +p18229 +tp18230 +a(g827 +V +p18231 +tp18232 +a(g745 +Vbreak +p18233 +tp18234 +a(g706 +g1078 +tp18235 +a(g827 +V\u000a +p18236 +tp18237 +a(g827 +V\u000a +p18238 +tp18239 +a(g827 +V +p18240 +tp18241 +a(g745 +Vcase +p18242 +tp18243 +a(g827 +g983 +tp18244 +a(g597 +VLOAD_NAME +p18245 +tp18246 +a(g706 +g1157 +tp18247 +a(g827 +V\u000a +p18248 +tp18249 +a(g827 +V +p18250 +tp18251 +a(g436 +g5398 +tp18252 +a(g827 +g983 +tp18253 +a(g413 +g1262 +tp18254 +a(g827 +g983 +tp18255 +a(g436 +VGETITEM +p18256 +tp18257 +a(g706 +g1109 +tp18258 +a(g436 +Vnames +p18259 +tp18260 +a(g706 +g1139 +tp18261 +a(g827 +g983 +tp18262 +a(g436 +Voparg +p18263 +tp18264 +a(g706 +g1118 +tp18265 +a(g706 +g1078 +tp18266 +a(g827 +V\u000a +p18267 +tp18268 +a(g827 +V +p18269 +tp18270 +a(g745 +Vif +p18271 +tp18272 +a(g827 +g983 +tp18273 +a(g706 +g1109 +tp18274 +a(g706 +g1109 +tp18275 +a(g436 +g1116 +tp18276 +a(g827 +g983 +tp18277 +a(g413 +g1262 +tp18278 +a(g827 +g983 +tp18279 +a(g436 +g5180 +tp18280 +a(g413 +g1480 +tp18281 +a(g413 +g1482 +tp18282 +a(g436 +Vf_locals +p18283 +tp18284 +a(g706 +g1118 +tp18285 +a(g827 +g983 +tp18286 +a(g413 +g1262 +tp18287 +a(g413 +g1262 +tp18288 +a(g827 +g983 +tp18289 +a(g682 +VNULL +p18290 +tp18291 +a(g706 +g1118 +tp18292 +a(g827 +g983 +tp18293 +a(g706 +g1122 +tp18294 +a(g827 +V\u000a +p18295 +tp18296 +a(g827 +V +p18297 +tp18298 +a(g436 +VPyErr_Format +p18299 +tp18300 +a(g706 +g1109 +tp18301 +a(g436 +VPyExc_SystemError +p18302 +tp18303 +a(g706 +g1139 +tp18304 +a(g827 +V\u000a +p18305 +tp18306 +a(g827 +V +p18307 +tp18308 +a(g89 +g1169 +tp18309 +a(g89 +Vno locals when loading %s +p18310 +tp18311 +a(g89 +g1169 +tp18312 +a(g706 +g1139 +tp18313 +a(g827 +V\u000a +p18314 +tp18315 +a(g827 +V +p18316 +tp18317 +a(g436 +VPyObject_REPR +p18318 +tp18319 +a(g706 +g1109 +tp18320 +a(g436 +g5398 +tp18321 +a(g706 +g1118 +tp18322 +a(g706 +g1118 +tp18323 +a(g706 +g1078 +tp18324 +a(g827 +V\u000a +p18325 +tp18326 +a(g827 +V +p18327 +tp18328 +a(g745 +Vbreak +p18329 +tp18330 +a(g706 +g1078 +tp18331 +a(g827 +V\u000a +p18332 +tp18333 +a(g827 +V +p18334 +tp18335 +a(g706 +g1336 +tp18336 +a(g827 +V\u000a +p18337 +tp18338 +a(g827 +V +p18339 +tp18340 +a(g745 +Vif +p18341 +tp18342 +a(g827 +g983 +tp18343 +a(g706 +g1109 +tp18344 +a(g436 +VPyDict_CheckExact +p18345 +tp18346 +a(g706 +g1109 +tp18347 +a(g436 +g1116 +tp18348 +a(g706 +g1118 +tp18349 +a(g706 +g1118 +tp18350 +a(g827 +g983 +tp18351 +a(g706 +g1122 +tp18352 +a(g827 +V\u000a +p18353 +tp18354 +a(g827 +V +p18355 +tp18356 +a(g436 +g5367 +tp18357 +a(g827 +g983 +tp18358 +a(g413 +g1262 +tp18359 +a(g827 +g983 +tp18360 +a(g436 +VPyDict_GetItem +p18361 +tp18362 +a(g706 +g1109 +tp18363 +a(g436 +g1116 +tp18364 +a(g706 +g1139 +tp18365 +a(g827 +g983 +tp18366 +a(g436 +g5398 +tp18367 +a(g706 +g1118 +tp18368 +a(g706 +g1078 +tp18369 +a(g827 +V\u000a +p18370 +tp18371 +a(g827 +V +p18372 +tp18373 +a(g436 +VPy_XINCREF +p18374 +tp18375 +a(g706 +g1109 +tp18376 +a(g436 +g5367 +tp18377 +a(g706 +g1118 +tp18378 +a(g706 +g1078 +tp18379 +a(g827 +V\u000a +p18380 +tp18381 +a(g827 +V +p18382 +tp18383 +a(g706 +g1336 +tp18384 +a(g827 +V\u000a +p18385 +tp18386 +a(g827 +V +p18387 +tp18388 +a(g745 +Velse +p18389 +tp18390 +a(g827 +g983 +tp18391 +a(g706 +g1122 +tp18392 +a(g827 +V\u000a +p18393 +tp18394 +a(g827 +V +p18395 +tp18396 +a(g436 +g5367 +tp18397 +a(g827 +g983 +tp18398 +a(g413 +g1262 +tp18399 +a(g827 +g983 +tp18400 +a(g436 +VPyObject_GetItem +p18401 +tp18402 +a(g706 +g1109 +tp18403 +a(g436 +g1116 +tp18404 +a(g706 +g1139 +tp18405 +a(g827 +g983 +tp18406 +a(g436 +g5398 +tp18407 +a(g706 +g1118 +tp18408 +a(g706 +g1078 +tp18409 +a(g827 +V\u000a +p18410 +tp18411 +a(g827 +V +p18412 +tp18413 +a(g745 +Vif +p18414 +tp18415 +a(g827 +g983 +tp18416 +a(g706 +g1109 +tp18417 +a(g436 +g5367 +tp18418 +a(g827 +g983 +tp18419 +a(g413 +g1262 +tp18420 +a(g413 +g1262 +tp18421 +a(g827 +g983 +tp18422 +a(g682 +VNULL +p18423 +tp18424 +a(g827 +g983 +tp18425 +a(g413 +g4166 +tp18426 +a(g413 +g4166 +tp18427 +a(g827 +g983 +tp18428 +a(g436 +VPyErr_Occurred +p18429 +tp18430 +a(g706 +g1109 +tp18431 +a(g706 +g1118 +tp18432 +a(g706 +g1118 +tp18433 +a(g827 +g983 +tp18434 +a(g706 +g1122 +tp18435 +a(g827 +V\u000a +p18436 +tp18437 +a(g827 +V +p18438 +tp18439 +a(g745 +Vif +p18440 +tp18441 +a(g827 +g983 +tp18442 +a(g706 +g1109 +tp18443 +a(g413 +g1260 +tp18444 +a(g436 +VPyErr_ExceptionMatches +p18445 +tp18446 +a(g706 +g1109 +tp18447 +a(g436 +VPyExc_KeyError +p18448 +tp18449 +a(g706 +g1118 +tp18450 +a(g706 +g1118 +tp18451 +a(g827 +V\u000a +p18452 +tp18453 +a(g827 +V +p18454 +tp18455 +a(g745 +Vbreak +p18456 +tp18457 +a(g706 +g1078 +tp18458 +a(g827 +V\u000a +p18459 +tp18460 +a(g827 +V +p18461 +tp18462 +a(g436 +VPyErr_Clear +p18463 +tp18464 +a(g706 +g1109 +tp18465 +a(g706 +g1118 +tp18466 +a(g706 +g1078 +tp18467 +a(g827 +V\u000a +p18468 +tp18469 +a(g827 +V +p18470 +tp18471 +a(g706 +g1336 +tp18472 +a(g827 +V\u000a +p18473 +tp18474 +a(g827 +V +p18475 +tp18476 +a(g706 +g1336 +tp18477 +a(g827 +V\u000a +p18478 +tp18479 +a(g827 +V +p18480 +tp18481 +a(g745 +Vif +p18482 +tp18483 +a(g827 +g983 +tp18484 +a(g706 +g1109 +tp18485 +a(g436 +g5367 +tp18486 +a(g827 +g983 +tp18487 +a(g413 +g1262 +tp18488 +a(g413 +g1262 +tp18489 +a(g827 +g983 +tp18490 +a(g682 +VNULL +p18491 +tp18492 +a(g706 +g1118 +tp18493 +a(g827 +g983 +tp18494 +a(g706 +g1122 +tp18495 +a(g827 +V\u000a +p18496 +tp18497 +a(g827 +V +p18498 +tp18499 +a(g436 +g5367 +tp18500 +a(g827 +g983 +tp18501 +a(g413 +g1262 +tp18502 +a(g827 +g983 +tp18503 +a(g436 +VPyDict_GetItem +p18504 +tp18505 +a(g706 +g1109 +tp18506 +a(g436 +g5180 +tp18507 +a(g413 +g1480 +tp18508 +a(g413 +g1482 +tp18509 +a(g436 +Vf_globals +p18510 +tp18511 +a(g706 +g1139 +tp18512 +a(g827 +g983 +tp18513 +a(g436 +g5398 +tp18514 +a(g706 +g1118 +tp18515 +a(g706 +g1078 +tp18516 +a(g827 +V\u000a +p18517 +tp18518 +a(g827 +V +p18519 +tp18520 +a(g745 +Vif +p18521 +tp18522 +a(g827 +g983 +tp18523 +a(g706 +g1109 +tp18524 +a(g436 +g5367 +tp18525 +a(g827 +g983 +tp18526 +a(g413 +g1262 +tp18527 +a(g413 +g1262 +tp18528 +a(g827 +g983 +tp18529 +a(g682 +VNULL +p18530 +tp18531 +a(g706 +g1118 +tp18532 +a(g827 +g983 +tp18533 +a(g706 +g1122 +tp18534 +a(g827 +V\u000a +p18535 +tp18536 +a(g827 +V +p18537 +tp18538 +a(g436 +g5367 +tp18539 +a(g827 +g983 +tp18540 +a(g413 +g1262 +tp18541 +a(g827 +g983 +tp18542 +a(g436 +VPyDict_GetItem +p18543 +tp18544 +a(g706 +g1109 +tp18545 +a(g436 +g5180 +tp18546 +a(g413 +g1480 +tp18547 +a(g413 +g1482 +tp18548 +a(g436 +Vf_builtins +p18549 +tp18550 +a(g706 +g1139 +tp18551 +a(g827 +g983 +tp18552 +a(g436 +g5398 +tp18553 +a(g706 +g1118 +tp18554 +a(g706 +g1078 +tp18555 +a(g827 +V\u000a +p18556 +tp18557 +a(g827 +V +p18558 +tp18559 +a(g745 +Vif +p18560 +tp18561 +a(g827 +g983 +tp18562 +a(g706 +g1109 +tp18563 +a(g436 +g5367 +tp18564 +a(g827 +g983 +tp18565 +a(g413 +g1262 +tp18566 +a(g413 +g1262 +tp18567 +a(g827 +g983 +tp18568 +a(g682 +VNULL +p18569 +tp18570 +a(g706 +g1118 +tp18571 +a(g827 +g983 +tp18572 +a(g706 +g1122 +tp18573 +a(g827 +V\u000a +p18574 +tp18575 +a(g827 +V +p18576 +tp18577 +a(g436 +Vformat_exc_check_arg +p18578 +tp18579 +a(g706 +g1109 +tp18580 +a(g827 +V\u000a +p18581 +tp18582 +a(g827 +V +p18583 +tp18584 +a(g436 +VPyExc_NameError +p18585 +tp18586 +a(g706 +g1139 +tp18587 +a(g827 +V\u000a +p18588 +tp18589 +a(g827 +V +p18590 +tp18591 +a(g436 +VNAME_ERROR_MSG +p18592 +tp18593 +a(g827 +g983 +tp18594 +a(g706 +g1139 +tp18595 +a(g436 +g5398 +tp18596 +a(g706 +g1118 +tp18597 +a(g706 +g1078 +tp18598 +a(g827 +V\u000a +p18599 +tp18600 +a(g827 +V +p18601 +tp18602 +a(g745 +Vbreak +p18603 +tp18604 +a(g706 +g1078 +tp18605 +a(g827 +V\u000a +p18606 +tp18607 +a(g827 +V +p18608 +tp18609 +a(g706 +g1336 +tp18610 +a(g827 +V\u000a +p18611 +tp18612 +a(g827 +V +p18613 +tp18614 +a(g706 +g1336 +tp18615 +a(g827 +V\u000a +p18616 +tp18617 +a(g827 +V +p18618 +tp18619 +a(g436 +VPy_INCREF +p18620 +tp18621 +a(g706 +g1109 +tp18622 +a(g436 +g5367 +tp18623 +a(g706 +g1118 +tp18624 +a(g706 +g1078 +tp18625 +a(g827 +V\u000a +p18626 +tp18627 +a(g827 +V +p18628 +tp18629 +a(g706 +g1336 +tp18630 +a(g827 +V\u000a +p18631 +tp18632 +a(g827 +V +p18633 +tp18634 +a(g436 +VPUSH +p18635 +tp18636 +a(g706 +g1109 +tp18637 +a(g436 +g5367 +tp18638 +a(g706 +g1118 +tp18639 +a(g706 +g1078 +tp18640 +a(g827 +V\u000a +p18641 +tp18642 +a(g827 +V +p18643 +tp18644 +a(g745 +Vcontinue +p18645 +tp18646 +a(g706 +g1078 +tp18647 +a(g827 +V\u000a +p18648 +tp18649 +a(g827 +V\u000a +p18650 +tp18651 +a(g827 +V +p18652 +tp18653 +a(g745 +Vcase +p18654 +tp18655 +a(g827 +g983 +tp18656 +a(g597 +VLOAD_GLOBAL +p18657 +tp18658 +a(g706 +g1157 +tp18659 +a(g827 +V\u000a +p18660 +tp18661 +a(g827 +V +p18662 +tp18663 +a(g436 +g5398 +tp18664 +a(g827 +g983 +tp18665 +a(g413 +g1262 +tp18666 +a(g827 +g983 +tp18667 +a(g436 +VGETITEM +p18668 +tp18669 +a(g706 +g1109 +tp18670 +a(g436 +Vnames +p18671 +tp18672 +a(g706 +g1139 +tp18673 +a(g827 +g983 +tp18674 +a(g436 +Voparg +p18675 +tp18676 +a(g706 +g1118 +tp18677 +a(g706 +g1078 +tp18678 +a(g827 +V\u000a +p18679 +tp18680 +a(g827 +V +p18681 +tp18682 +a(g745 +Vif +p18683 +tp18684 +a(g827 +g983 +tp18685 +a(g706 +g1109 +tp18686 +a(g436 +VPyString_CheckExact +p18687 +tp18688 +a(g706 +g1109 +tp18689 +a(g436 +g5398 +tp18690 +a(g706 +g1118 +tp18691 +a(g706 +g1118 +tp18692 +a(g827 +g983 +tp18693 +a(g706 +g1122 +tp18694 +a(g827 +V\u000a +p18695 +tp18696 +a(g827 +V +p18697 +tp18698 +a(g8 +V/* Inline the PyDict_GetItem() calls.\u000a WARNING: this is an extreme speed hack.\u000a Do not try this at home. */ +p18699 +tp18700 +a(g827 +V\u000a +p18701 +tp18702 +a(g827 +V +p18703 +tp18704 +a(g749 +Vlong +p18705 +tp18706 +a(g827 +g983 +tp18707 +a(g436 +Vhash +p18708 +tp18709 +a(g827 +g983 +tp18710 +a(g413 +g1262 +tp18711 +a(g827 +g983 +tp18712 +a(g706 +g1109 +tp18713 +a(g706 +g1109 +tp18714 +a(g436 +VPyStringObject +p18715 +tp18716 +a(g827 +g983 +tp18717 +a(g413 +g1114 +tp18718 +a(g706 +g1118 +tp18719 +a(g436 +g5398 +tp18720 +a(g706 +g1118 +tp18721 +a(g413 +g1480 +tp18722 +a(g413 +g1482 +tp18723 +a(g436 +Vob_shash +p18724 +tp18725 +a(g706 +g1078 +tp18726 +a(g827 +V\u000a +p18727 +tp18728 +a(g827 +V +p18729 +tp18730 +a(g745 +Vif +p18731 +tp18732 +a(g827 +g983 +tp18733 +a(g706 +g1109 +tp18734 +a(g436 +Vhash +p18735 +tp18736 +a(g827 +g983 +tp18737 +a(g413 +g1260 +tp18738 +a(g413 +g1262 +tp18739 +a(g827 +g983 +tp18740 +a(g413 +g1480 +tp18741 +a(g37 +g1325 +tp18742 +a(g706 +g1118 +tp18743 +a(g827 +g983 +tp18744 +a(g706 +g1122 +tp18745 +a(g827 +V\u000a +p18746 +tp18747 +a(g827 +V +p18748 +tp18749 +a(g436 +VPyDictObject +p18750 +tp18751 +a(g827 +g983 +tp18752 +a(g413 +g1114 +tp18753 +a(g436 +Vd +p18754 +tp18755 +a(g706 +g1078 +tp18756 +a(g827 +V\u000a +p18757 +tp18758 +a(g827 +V +p18759 +tp18760 +a(g436 +VPyDictEntry +p18761 +tp18762 +a(g827 +g983 +tp18763 +a(g413 +g1114 +tp18764 +a(g436 +Ve +p18765 +tp18766 +a(g706 +g1078 +tp18767 +a(g827 +V\u000a +p18768 +tp18769 +a(g827 +V +p18770 +tp18771 +a(g436 +g18754 +tp18772 +a(g827 +g983 +tp18773 +a(g413 +g1262 +tp18774 +a(g827 +g983 +tp18775 +a(g706 +g1109 +tp18776 +a(g436 +VPyDictObject +p18777 +tp18778 +a(g827 +g983 +tp18779 +a(g413 +g1114 +tp18780 +a(g706 +g1118 +tp18781 +a(g706 +g1109 +tp18782 +a(g436 +g5180 +tp18783 +a(g413 +g1480 +tp18784 +a(g413 +g1482 +tp18785 +a(g436 +Vf_globals +p18786 +tp18787 +a(g706 +g1118 +tp18788 +a(g706 +g1078 +tp18789 +a(g827 +V\u000a +p18790 +tp18791 +a(g827 +V +p18792 +tp18793 +a(g436 +g18765 +tp18794 +a(g827 +g983 +tp18795 +a(g413 +g1262 +tp18796 +a(g827 +g983 +tp18797 +a(g436 +g18754 +tp18798 +a(g413 +g1480 +tp18799 +a(g413 +g1482 +tp18800 +a(g436 +Vma_lookup +p18801 +tp18802 +a(g706 +g1109 +tp18803 +a(g436 +g18754 +tp18804 +a(g706 +g1139 +tp18805 +a(g827 +g983 +tp18806 +a(g436 +g5398 +tp18807 +a(g706 +g1139 +tp18808 +a(g827 +g983 +tp18809 +a(g436 +Vhash +p18810 +tp18811 +a(g706 +g1118 +tp18812 +a(g706 +g1078 +tp18813 +a(g827 +V\u000a +p18814 +tp18815 +a(g827 +V +p18816 +tp18817 +a(g745 +Vif +p18818 +tp18819 +a(g827 +g983 +tp18820 +a(g706 +g1109 +tp18821 +a(g436 +g18765 +tp18822 +a(g827 +g983 +tp18823 +a(g413 +g1262 +tp18824 +a(g413 +g1262 +tp18825 +a(g827 +g983 +tp18826 +a(g682 +VNULL +p18827 +tp18828 +a(g706 +g1118 +tp18829 +a(g827 +g983 +tp18830 +a(g706 +g1122 +tp18831 +a(g827 +V\u000a +p18832 +tp18833 +a(g827 +V +p18834 +tp18835 +a(g436 +g5367 +tp18836 +a(g827 +g983 +tp18837 +a(g413 +g1262 +tp18838 +a(g827 +g983 +tp18839 +a(g682 +VNULL +p18840 +tp18841 +a(g706 +g1078 +tp18842 +a(g827 +V\u000a +p18843 +tp18844 +a(g827 +V +p18845 +tp18846 +a(g745 +Vbreak +p18847 +tp18848 +a(g706 +g1078 +tp18849 +a(g827 +V\u000a +p18850 +tp18851 +a(g827 +V +p18852 +tp18853 +a(g706 +g1336 +tp18854 +a(g827 +V\u000a +p18855 +tp18856 +a(g827 +V +p18857 +tp18858 +a(g436 +g5367 +tp18859 +a(g827 +g983 +tp18860 +a(g413 +g1262 +tp18861 +a(g827 +g983 +tp18862 +a(g436 +g18765 +tp18863 +a(g413 +g1480 +tp18864 +a(g413 +g1482 +tp18865 +a(g436 +Vme_value +p18866 +tp18867 +a(g706 +g1078 +tp18868 +a(g827 +V\u000a +p18869 +tp18870 +a(g827 +V +p18871 +tp18872 +a(g745 +Vif +p18873 +tp18874 +a(g827 +g983 +tp18875 +a(g706 +g1109 +tp18876 +a(g436 +g5367 +tp18877 +a(g827 +g983 +tp18878 +a(g413 +g1260 +tp18879 +a(g413 +g1262 +tp18880 +a(g827 +g983 +tp18881 +a(g682 +VNULL +p18882 +tp18883 +a(g706 +g1118 +tp18884 +a(g827 +g983 +tp18885 +a(g706 +g1122 +tp18886 +a(g827 +V\u000a +p18887 +tp18888 +a(g827 +V +p18889 +tp18890 +a(g436 +VPy_INCREF +p18891 +tp18892 +a(g706 +g1109 +tp18893 +a(g436 +g5367 +tp18894 +a(g706 +g1118 +tp18895 +a(g706 +g1078 +tp18896 +a(g827 +V\u000a +p18897 +tp18898 +a(g827 +V +p18899 +tp18900 +a(g436 +VPUSH +p18901 +tp18902 +a(g706 +g1109 +tp18903 +a(g436 +g5367 +tp18904 +a(g706 +g1118 +tp18905 +a(g706 +g1078 +tp18906 +a(g827 +V\u000a +p18907 +tp18908 +a(g827 +V +p18909 +tp18910 +a(g745 +Vcontinue +p18911 +tp18912 +a(g706 +g1078 +tp18913 +a(g827 +V\u000a +p18914 +tp18915 +a(g827 +V +p18916 +tp18917 +a(g706 +g1336 +tp18918 +a(g827 +V\u000a +p18919 +tp18920 +a(g827 +V +p18921 +tp18922 +a(g436 +g18754 +tp18923 +a(g827 +g983 +tp18924 +a(g413 +g1262 +tp18925 +a(g827 +g983 +tp18926 +a(g706 +g1109 +tp18927 +a(g436 +VPyDictObject +p18928 +tp18929 +a(g827 +g983 +tp18930 +a(g413 +g1114 +tp18931 +a(g706 +g1118 +tp18932 +a(g706 +g1109 +tp18933 +a(g436 +g5180 +tp18934 +a(g413 +g1480 +tp18935 +a(g413 +g1482 +tp18936 +a(g436 +Vf_builtins +p18937 +tp18938 +a(g706 +g1118 +tp18939 +a(g706 +g1078 +tp18940 +a(g827 +V\u000a +p18941 +tp18942 +a(g827 +V +p18943 +tp18944 +a(g436 +g18765 +tp18945 +a(g827 +g983 +tp18946 +a(g413 +g1262 +tp18947 +a(g827 +g983 +tp18948 +a(g436 +g18754 +tp18949 +a(g413 +g1480 +tp18950 +a(g413 +g1482 +tp18951 +a(g436 +Vma_lookup +p18952 +tp18953 +a(g706 +g1109 +tp18954 +a(g436 +g18754 +tp18955 +a(g706 +g1139 +tp18956 +a(g827 +g983 +tp18957 +a(g436 +g5398 +tp18958 +a(g706 +g1139 +tp18959 +a(g827 +g983 +tp18960 +a(g436 +Vhash +p18961 +tp18962 +a(g706 +g1118 +tp18963 +a(g706 +g1078 +tp18964 +a(g827 +V\u000a +p18965 +tp18966 +a(g827 +V +p18967 +tp18968 +a(g745 +Vif +p18969 +tp18970 +a(g827 +g983 +tp18971 +a(g706 +g1109 +tp18972 +a(g436 +g18765 +tp18973 +a(g827 +g983 +tp18974 +a(g413 +g1262 +tp18975 +a(g413 +g1262 +tp18976 +a(g827 +g983 +tp18977 +a(g682 +VNULL +p18978 +tp18979 +a(g706 +g1118 +tp18980 +a(g827 +g983 +tp18981 +a(g706 +g1122 +tp18982 +a(g827 +V\u000a +p18983 +tp18984 +a(g827 +V +p18985 +tp18986 +a(g436 +g5367 +tp18987 +a(g827 +g983 +tp18988 +a(g413 +g1262 +tp18989 +a(g827 +g983 +tp18990 +a(g682 +VNULL +p18991 +tp18992 +a(g706 +g1078 +tp18993 +a(g827 +V\u000a +p18994 +tp18995 +a(g827 +V +p18996 +tp18997 +a(g745 +Vbreak +p18998 +tp18999 +a(g706 +g1078 +tp19000 +a(g827 +V\u000a +p19001 +tp19002 +a(g827 +V +p19003 +tp19004 +a(g706 +g1336 +tp19005 +a(g827 +V\u000a +p19006 +tp19007 +a(g827 +V +p19008 +tp19009 +a(g436 +g5367 +tp19010 +a(g827 +g983 +tp19011 +a(g413 +g1262 +tp19012 +a(g827 +g983 +tp19013 +a(g436 +g18765 +tp19014 +a(g413 +g1480 +tp19015 +a(g413 +g1482 +tp19016 +a(g436 +Vme_value +p19017 +tp19018 +a(g706 +g1078 +tp19019 +a(g827 +V\u000a +p19020 +tp19021 +a(g827 +V +p19022 +tp19023 +a(g745 +Vif +p19024 +tp19025 +a(g827 +g983 +tp19026 +a(g706 +g1109 +tp19027 +a(g436 +g5367 +tp19028 +a(g827 +g983 +tp19029 +a(g413 +g1260 +tp19030 +a(g413 +g1262 +tp19031 +a(g827 +g983 +tp19032 +a(g682 +VNULL +p19033 +tp19034 +a(g706 +g1118 +tp19035 +a(g827 +g983 +tp19036 +a(g706 +g1122 +tp19037 +a(g827 +V\u000a +p19038 +tp19039 +a(g827 +V +p19040 +tp19041 +a(g436 +VPy_INCREF +p19042 +tp19043 +a(g706 +g1109 +tp19044 +a(g436 +g5367 +tp19045 +a(g706 +g1118 +tp19046 +a(g706 +g1078 +tp19047 +a(g827 +V\u000a +p19048 +tp19049 +a(g827 +V +p19050 +tp19051 +a(g436 +VPUSH +p19052 +tp19053 +a(g706 +g1109 +tp19054 +a(g436 +g5367 +tp19055 +a(g706 +g1118 +tp19056 +a(g706 +g1078 +tp19057 +a(g827 +V\u000a +p19058 +tp19059 +a(g827 +V +p19060 +tp19061 +a(g745 +Vcontinue +p19062 +tp19063 +a(g706 +g1078 +tp19064 +a(g827 +V\u000a +p19065 +tp19066 +a(g827 +V +p19067 +tp19068 +a(g706 +g1336 +tp19069 +a(g827 +V\u000a +p19070 +tp19071 +a(g827 +V +p19072 +tp19073 +a(g745 +Vgoto +p19074 +tp19075 +a(g827 +g983 +tp19076 +a(g436 +Vload_global_error +p19077 +tp19078 +a(g706 +g1078 +tp19079 +a(g827 +V\u000a +p19080 +tp19081 +a(g827 +V +p19082 +tp19083 +a(g706 +g1336 +tp19084 +a(g827 +V\u000a +p19085 +tp19086 +a(g827 +V +p19087 +tp19088 +a(g706 +g1336 +tp19089 +a(g827 +V\u000a +p19090 +tp19091 +a(g827 +V +p19092 +tp19093 +a(g8 +V/* This is the un-inlined version of the code above */ +p19094 +tp19095 +a(g827 +V\u000a +p19096 +tp19097 +a(g827 +V +p19098 +tp19099 +a(g436 +g5367 +tp19100 +a(g827 +g983 +tp19101 +a(g413 +g1262 +tp19102 +a(g827 +g983 +tp19103 +a(g436 +VPyDict_GetItem +p19104 +tp19105 +a(g706 +g1109 +tp19106 +a(g436 +g5180 +tp19107 +a(g413 +g1480 +tp19108 +a(g413 +g1482 +tp19109 +a(g436 +Vf_globals +p19110 +tp19111 +a(g706 +g1139 +tp19112 +a(g827 +g983 +tp19113 +a(g436 +g5398 +tp19114 +a(g706 +g1118 +tp19115 +a(g706 +g1078 +tp19116 +a(g827 +V\u000a +p19117 +tp19118 +a(g827 +V +p19119 +tp19120 +a(g745 +Vif +p19121 +tp19122 +a(g827 +g983 +tp19123 +a(g706 +g1109 +tp19124 +a(g436 +g5367 +tp19125 +a(g827 +g983 +tp19126 +a(g413 +g1262 +tp19127 +a(g413 +g1262 +tp19128 +a(g827 +g983 +tp19129 +a(g682 +VNULL +p19130 +tp19131 +a(g706 +g1118 +tp19132 +a(g827 +g983 +tp19133 +a(g706 +g1122 +tp19134 +a(g827 +V\u000a +p19135 +tp19136 +a(g827 +V +p19137 +tp19138 +a(g436 +g5367 +tp19139 +a(g827 +g983 +tp19140 +a(g413 +g1262 +tp19141 +a(g827 +g983 +tp19142 +a(g436 +VPyDict_GetItem +p19143 +tp19144 +a(g706 +g1109 +tp19145 +a(g436 +g5180 +tp19146 +a(g413 +g1480 +tp19147 +a(g413 +g1482 +tp19148 +a(g436 +Vf_builtins +p19149 +tp19150 +a(g706 +g1139 +tp19151 +a(g827 +g983 +tp19152 +a(g436 +g5398 +tp19153 +a(g706 +g1118 +tp19154 +a(g706 +g1078 +tp19155 +a(g827 +V\u000a +p19156 +tp19157 +a(g827 +V +p19158 +tp19159 +a(g745 +Vif +p19160 +tp19161 +a(g827 +g983 +tp19162 +a(g706 +g1109 +tp19163 +a(g436 +g5367 +tp19164 +a(g827 +g983 +tp19165 +a(g413 +g1262 +tp19166 +a(g413 +g1262 +tp19167 +a(g827 +g983 +tp19168 +a(g682 +VNULL +p19169 +tp19170 +a(g706 +g1118 +tp19171 +a(g827 +g983 +tp19172 +a(g706 +g1122 +tp19173 +a(g827 +V\u000a +p19174 +tp19175 +a(g827 +V +p19176 +tp19177 +a(g597 +Vload_global_error +p19178 +tp19179 +a(g706 +g1157 +tp19180 +a(g827 +V\u000a +p19181 +tp19182 +a(g827 +V +p19183 +tp19184 +a(g436 +Vformat_exc_check_arg +p19185 +tp19186 +a(g706 +g1109 +tp19187 +a(g827 +V\u000a +p19188 +tp19189 +a(g827 +V +p19190 +tp19191 +a(g436 +VPyExc_NameError +p19192 +tp19193 +a(g706 +g1139 +tp19194 +a(g827 +V\u000a +p19195 +tp19196 +a(g827 +V +p19197 +tp19198 +a(g436 +VGLOBAL_NAME_ERROR_MSG +p19199 +tp19200 +a(g706 +g1139 +tp19201 +a(g827 +g983 +tp19202 +a(g436 +g5398 +tp19203 +a(g706 +g1118 +tp19204 +a(g706 +g1078 +tp19205 +a(g827 +V\u000a +p19206 +tp19207 +a(g827 +V +p19208 +tp19209 +a(g745 +Vbreak +p19210 +tp19211 +a(g706 +g1078 +tp19212 +a(g827 +V\u000a +p19213 +tp19214 +a(g827 +V +p19215 +tp19216 +a(g706 +g1336 +tp19217 +a(g827 +V\u000a +p19218 +tp19219 +a(g827 +V +p19220 +tp19221 +a(g706 +g1336 +tp19222 +a(g827 +V\u000a +p19223 +tp19224 +a(g827 +V +p19225 +tp19226 +a(g436 +VPy_INCREF +p19227 +tp19228 +a(g706 +g1109 +tp19229 +a(g436 +g5367 +tp19230 +a(g706 +g1118 +tp19231 +a(g706 +g1078 +tp19232 +a(g827 +V\u000a +p19233 +tp19234 +a(g827 +V +p19235 +tp19236 +a(g436 +VPUSH +p19237 +tp19238 +a(g706 +g1109 +tp19239 +a(g436 +g5367 +tp19240 +a(g706 +g1118 +tp19241 +a(g706 +g1078 +tp19242 +a(g827 +V\u000a +p19243 +tp19244 +a(g827 +V +p19245 +tp19246 +a(g745 +Vcontinue +p19247 +tp19248 +a(g706 +g1078 +tp19249 +a(g827 +V\u000a +p19250 +tp19251 +a(g827 +V\u000a +p19252 +tp19253 +a(g827 +V +p19254 +tp19255 +a(g745 +Vcase +p19256 +tp19257 +a(g827 +g983 +tp19258 +a(g597 +VDELETE_FAST +p19259 +tp19260 +a(g706 +g1157 +tp19261 +a(g827 +V\u000a +p19262 +tp19263 +a(g827 +V +p19264 +tp19265 +a(g436 +g5367 +tp19266 +a(g827 +g983 +tp19267 +a(g413 +g1262 +tp19268 +a(g827 +g983 +tp19269 +a(g436 +VGETLOCAL +p19270 +tp19271 +a(g706 +g1109 +tp19272 +a(g436 +Voparg +p19273 +tp19274 +a(g706 +g1118 +tp19275 +a(g706 +g1078 +tp19276 +a(g827 +V\u000a +p19277 +tp19278 +a(g827 +V +p19279 +tp19280 +a(g745 +Vif +p19281 +tp19282 +a(g827 +g983 +tp19283 +a(g706 +g1109 +tp19284 +a(g436 +g5367 +tp19285 +a(g827 +g983 +tp19286 +a(g413 +g1260 +tp19287 +a(g413 +g1262 +tp19288 +a(g827 +g983 +tp19289 +a(g682 +VNULL +p19290 +tp19291 +a(g706 +g1118 +tp19292 +a(g827 +g983 +tp19293 +a(g706 +g1122 +tp19294 +a(g827 +V\u000a +p19295 +tp19296 +a(g827 +V +p19297 +tp19298 +a(g436 +VSETLOCAL +p19299 +tp19300 +a(g706 +g1109 +tp19301 +a(g436 +Voparg +p19302 +tp19303 +a(g706 +g1139 +tp19304 +a(g827 +g983 +tp19305 +a(g682 +VNULL +p19306 +tp19307 +a(g706 +g1118 +tp19308 +a(g706 +g1078 +tp19309 +a(g827 +V\u000a +p19310 +tp19311 +a(g827 +V +p19312 +tp19313 +a(g745 +Vcontinue +p19314 +tp19315 +a(g706 +g1078 +tp19316 +a(g827 +V\u000a +p19317 +tp19318 +a(g827 +V +p19319 +tp19320 +a(g706 +g1336 +tp19321 +a(g827 +V\u000a +p19322 +tp19323 +a(g827 +V +p19324 +tp19325 +a(g436 +Vformat_exc_check_arg +p19326 +tp19327 +a(g706 +g1109 +tp19328 +a(g827 +V\u000a +p19329 +tp19330 +a(g827 +V +p19331 +tp19332 +a(g436 +VPyExc_UnboundLocalError +p19333 +tp19334 +a(g706 +g1139 +tp19335 +a(g827 +V\u000a +p19336 +tp19337 +a(g827 +V +p19338 +tp19339 +a(g436 +VUNBOUNDLOCAL_ERROR_MSG +p19340 +tp19341 +a(g706 +g1139 +tp19342 +a(g827 +V\u000a +p19343 +tp19344 +a(g827 +V +p19345 +tp19346 +a(g436 +VPyTuple_GetItem +p19347 +tp19348 +a(g706 +g1109 +tp19349 +a(g436 +Vco +p19350 +tp19351 +a(g413 +g1480 +tp19352 +a(g413 +g1482 +tp19353 +a(g436 +Vco_varnames +p19354 +tp19355 +a(g706 +g1139 +tp19356 +a(g827 +g983 +tp19357 +a(g436 +Voparg +p19358 +tp19359 +a(g706 +g1118 +tp19360 +a(g827 +V\u000a +p19361 +tp19362 +a(g827 +V +p19363 +tp19364 +a(g706 +g1118 +tp19365 +a(g706 +g1078 +tp19366 +a(g827 +V\u000a +p19367 +tp19368 +a(g827 +V +p19369 +tp19370 +a(g745 +Vbreak +p19371 +tp19372 +a(g706 +g1078 +tp19373 +a(g827 +V\u000a +p19374 +tp19375 +a(g827 +V\u000a +p19376 +tp19377 +a(g827 +V +p19378 +tp19379 +a(g745 +Vcase +p19380 +tp19381 +a(g827 +g983 +tp19382 +a(g597 +VLOAD_CLOSURE +p19383 +tp19384 +a(g706 +g1157 +tp19385 +a(g827 +V\u000a +p19386 +tp19387 +a(g827 +V +p19388 +tp19389 +a(g436 +g5367 +tp19390 +a(g827 +g983 +tp19391 +a(g413 +g1262 +tp19392 +a(g827 +g983 +tp19393 +a(g436 +Vfreevars +p19394 +tp19395 +a(g706 +g1300 +tp19396 +a(g436 +Voparg +p19397 +tp19398 +a(g706 +g1303 +tp19399 +a(g706 +g1078 +tp19400 +a(g827 +V\u000a +p19401 +tp19402 +a(g827 +V +p19403 +tp19404 +a(g436 +VPy_INCREF +p19405 +tp19406 +a(g706 +g1109 +tp19407 +a(g436 +g5367 +tp19408 +a(g706 +g1118 +tp19409 +a(g706 +g1078 +tp19410 +a(g827 +V\u000a +p19411 +tp19412 +a(g827 +V +p19413 +tp19414 +a(g436 +VPUSH +p19415 +tp19416 +a(g706 +g1109 +tp19417 +a(g436 +g5367 +tp19418 +a(g706 +g1118 +tp19419 +a(g706 +g1078 +tp19420 +a(g827 +V\u000a +p19421 +tp19422 +a(g827 +V +p19423 +tp19424 +a(g745 +Vif +p19425 +tp19426 +a(g827 +g983 +tp19427 +a(g706 +g1109 +tp19428 +a(g436 +g5367 +tp19429 +a(g827 +g983 +tp19430 +a(g413 +g1260 +tp19431 +a(g413 +g1262 +tp19432 +a(g827 +g983 +tp19433 +a(g682 +VNULL +p19434 +tp19435 +a(g706 +g1118 +tp19436 +a(g827 +g983 +tp19437 +a(g745 +Vcontinue +p19438 +tp19439 +a(g706 +g1078 +tp19440 +a(g827 +V\u000a +p19441 +tp19442 +a(g827 +V +p19443 +tp19444 +a(g745 +Vbreak +p19445 +tp19446 +a(g706 +g1078 +tp19447 +a(g827 +V\u000a +p19448 +tp19449 +a(g827 +V\u000a +p19450 +tp19451 +a(g827 +V +p19452 +tp19453 +a(g745 +Vcase +p19454 +tp19455 +a(g827 +g983 +tp19456 +a(g597 +VLOAD_DEREF +p19457 +tp19458 +a(g706 +g1157 +tp19459 +a(g827 +V\u000a +p19460 +tp19461 +a(g827 +V +p19462 +tp19463 +a(g436 +g5367 +tp19464 +a(g827 +g983 +tp19465 +a(g413 +g1262 +tp19466 +a(g827 +g983 +tp19467 +a(g436 +Vfreevars +p19468 +tp19469 +a(g706 +g1300 +tp19470 +a(g436 +Voparg +p19471 +tp19472 +a(g706 +g1303 +tp19473 +a(g706 +g1078 +tp19474 +a(g827 +V\u000a +p19475 +tp19476 +a(g827 +V +p19477 +tp19478 +a(g436 +g5398 +tp19479 +a(g827 +g983 +tp19480 +a(g413 +g1262 +tp19481 +a(g827 +g983 +tp19482 +a(g436 +VPyCell_Get +p19483 +tp19484 +a(g706 +g1109 +tp19485 +a(g436 +g5367 +tp19486 +a(g706 +g1118 +tp19487 +a(g706 +g1078 +tp19488 +a(g827 +V\u000a +p19489 +tp19490 +a(g827 +V +p19491 +tp19492 +a(g745 +Vif +p19493 +tp19494 +a(g827 +g983 +tp19495 +a(g706 +g1109 +tp19496 +a(g436 +g5398 +tp19497 +a(g827 +g983 +tp19498 +a(g413 +g1260 +tp19499 +a(g413 +g1262 +tp19500 +a(g827 +g983 +tp19501 +a(g682 +VNULL +p19502 +tp19503 +a(g706 +g1118 +tp19504 +a(g827 +g983 +tp19505 +a(g706 +g1122 +tp19506 +a(g827 +V\u000a +p19507 +tp19508 +a(g827 +V +p19509 +tp19510 +a(g436 +VPUSH +p19511 +tp19512 +a(g706 +g1109 +tp19513 +a(g436 +g5398 +tp19514 +a(g706 +g1118 +tp19515 +a(g706 +g1078 +tp19516 +a(g827 +V\u000a +p19517 +tp19518 +a(g827 +V +p19519 +tp19520 +a(g745 +Vcontinue +p19521 +tp19522 +a(g706 +g1078 +tp19523 +a(g827 +V\u000a +p19524 +tp19525 +a(g827 +V +p19526 +tp19527 +a(g706 +g1336 +tp19528 +a(g827 +V\u000a +p19529 +tp19530 +a(g827 +V +p19531 +tp19532 +a(g436 +Verr +p19533 +tp19534 +a(g827 +g983 +tp19535 +a(g413 +g1262 +tp19536 +a(g827 +g983 +tp19537 +a(g413 +g1480 +tp19538 +a(g37 +g1325 +tp19539 +a(g706 +g1078 +tp19540 +a(g827 +V\u000a +p19541 +tp19542 +a(g827 +V +p19543 +tp19544 +a(g8 +V/* Don't stomp existing exception */ +p19545 +tp19546 +a(g827 +V\u000a +p19547 +tp19548 +a(g827 +V +p19549 +tp19550 +a(g745 +Vif +p19551 +tp19552 +a(g827 +g983 +tp19553 +a(g706 +g1109 +tp19554 +a(g436 +VPyErr_Occurred +p19555 +tp19556 +a(g706 +g1109 +tp19557 +a(g706 +g1118 +tp19558 +a(g706 +g1118 +tp19559 +a(g827 +V\u000a +p19560 +tp19561 +a(g827 +V +p19562 +tp19563 +a(g745 +Vbreak +p19564 +tp19565 +a(g706 +g1078 +tp19566 +a(g827 +V\u000a +p19567 +tp19568 +a(g827 +V +p19569 +tp19570 +a(g745 +Vif +p19571 +tp19572 +a(g827 +g983 +tp19573 +a(g706 +g1109 +tp19574 +a(g436 +Voparg +p19575 +tp19576 +a(g827 +g983 +tp19577 +a(g413 +g4395 +tp19578 +a(g827 +g983 +tp19579 +a(g436 +VPyTuple_GET_SIZE +p19580 +tp19581 +a(g706 +g1109 +tp19582 +a(g436 +Vco +p19583 +tp19584 +a(g413 +g1480 +tp19585 +a(g413 +g1482 +tp19586 +a(g436 +Vco_cellvars +p19587 +tp19588 +a(g706 +g1118 +tp19589 +a(g706 +g1118 +tp19590 +a(g827 +g983 +tp19591 +a(g706 +g1122 +tp19592 +a(g827 +V\u000a +p19593 +tp19594 +a(g827 +V +p19595 +tp19596 +a(g436 +g1116 +tp19597 +a(g827 +g983 +tp19598 +a(g413 +g1262 +tp19599 +a(g827 +g983 +tp19600 +a(g436 +VPyTuple_GET_ITEM +p19601 +tp19602 +a(g706 +g1109 +tp19603 +a(g436 +Vco +p19604 +tp19605 +a(g413 +g1480 +tp19606 +a(g413 +g1482 +tp19607 +a(g436 +Vco_cellvars +p19608 +tp19609 +a(g706 +g1139 +tp19610 +a(g827 +V\u000a +p19611 +tp19612 +a(g827 +V +p19613 +tp19614 +a(g436 +Voparg +p19615 +tp19616 +a(g706 +g1118 +tp19617 +a(g706 +g1078 +tp19618 +a(g827 +V\u000a +p19619 +tp19620 +a(g827 +V +p19621 +tp19622 +a(g436 +Vformat_exc_check_arg +p19623 +tp19624 +a(g706 +g1109 +tp19625 +a(g827 +V\u000a +p19626 +tp19627 +a(g827 +V +p19628 +tp19629 +a(g436 +VPyExc_UnboundLocalError +p19630 +tp19631 +a(g706 +g1139 +tp19632 +a(g827 +V\u000a +p19633 +tp19634 +a(g827 +V +p19635 +tp19636 +a(g436 +VUNBOUNDLOCAL_ERROR_MSG +p19637 +tp19638 +a(g706 +g1139 +tp19639 +a(g827 +V\u000a +p19640 +tp19641 +a(g827 +V +p19642 +tp19643 +a(g436 +g1116 +tp19644 +a(g706 +g1118 +tp19645 +a(g706 +g1078 +tp19646 +a(g827 +V\u000a +p19647 +tp19648 +a(g827 +V +p19649 +tp19650 +a(g706 +g1336 +tp19651 +a(g827 +g983 +tp19652 +a(g745 +Velse +p19653 +tp19654 +a(g827 +g983 +tp19655 +a(g706 +g1122 +tp19656 +a(g827 +V\u000a +p19657 +tp19658 +a(g827 +V +p19659 +tp19660 +a(g436 +g1116 +tp19661 +a(g827 +g983 +tp19662 +a(g413 +g1262 +tp19663 +a(g827 +g983 +tp19664 +a(g436 +VPyTuple_GET_ITEM +p19665 +tp19666 +a(g706 +g1109 +tp19667 +a(g827 +V\u000a +p19668 +tp19669 +a(g827 +V +p19670 +tp19671 +a(g436 +Vco +p19672 +tp19673 +a(g413 +g1480 +tp19674 +a(g413 +g1482 +tp19675 +a(g436 +Vco_freevars +p19676 +tp19677 +a(g706 +g1139 +tp19678 +a(g827 +V\u000a +p19679 +tp19680 +a(g827 +V +p19681 +tp19682 +a(g436 +Voparg +p19683 +tp19684 +a(g827 +g983 +tp19685 +a(g413 +g1480 +tp19686 +a(g827 +g983 +tp19687 +a(g436 +VPyTuple_GET_SIZE +p19688 +tp19689 +a(g706 +g1109 +tp19690 +a(g436 +Vco +p19691 +tp19692 +a(g413 +g1480 +tp19693 +a(g413 +g1482 +tp19694 +a(g436 +Vco_cellvars +p19695 +tp19696 +a(g706 +g1118 +tp19697 +a(g706 +g1118 +tp19698 +a(g706 +g1078 +tp19699 +a(g827 +V\u000a +p19700 +tp19701 +a(g827 +V +p19702 +tp19703 +a(g436 +Vformat_exc_check_arg +p19704 +tp19705 +a(g706 +g1109 +tp19706 +a(g827 +V\u000a +p19707 +tp19708 +a(g827 +V +p19709 +tp19710 +a(g436 +VPyExc_NameError +p19711 +tp19712 +a(g706 +g1139 +tp19713 +a(g827 +V\u000a +p19714 +tp19715 +a(g827 +V +p19716 +tp19717 +a(g436 +VUNBOUNDFREE_ERROR_MSG +p19718 +tp19719 +a(g706 +g1139 +tp19720 +a(g827 +V\u000a +p19721 +tp19722 +a(g827 +V +p19723 +tp19724 +a(g436 +g1116 +tp19725 +a(g706 +g1118 +tp19726 +a(g706 +g1078 +tp19727 +a(g827 +V\u000a +p19728 +tp19729 +a(g827 +V +p19730 +tp19731 +a(g706 +g1336 +tp19732 +a(g827 +V\u000a +p19733 +tp19734 +a(g827 +V +p19735 +tp19736 +a(g745 +Vbreak +p19737 +tp19738 +a(g706 +g1078 +tp19739 +a(g827 +V\u000a +p19740 +tp19741 +a(g827 +V\u000a +p19742 +tp19743 +a(g827 +V +p19744 +tp19745 +a(g745 +Vcase +p19746 +tp19747 +a(g827 +g983 +tp19748 +a(g597 +VSTORE_DEREF +p19749 +tp19750 +a(g706 +g1157 +tp19751 +a(g827 +V\u000a +p19752 +tp19753 +a(g827 +V +p19754 +tp19755 +a(g436 +g5398 +tp19756 +a(g827 +g983 +tp19757 +a(g413 +g1262 +tp19758 +a(g827 +g983 +tp19759 +a(g436 +VPOP +p19760 +tp19761 +a(g706 +g1109 +tp19762 +a(g706 +g1118 +tp19763 +a(g706 +g1078 +tp19764 +a(g827 +V\u000a +p19765 +tp19766 +a(g827 +V +p19767 +tp19768 +a(g436 +g5367 +tp19769 +a(g827 +g983 +tp19770 +a(g413 +g1262 +tp19771 +a(g827 +g983 +tp19772 +a(g436 +Vfreevars +p19773 +tp19774 +a(g706 +g1300 +tp19775 +a(g436 +Voparg +p19776 +tp19777 +a(g706 +g1303 +tp19778 +a(g706 +g1078 +tp19779 +a(g827 +V\u000a +p19780 +tp19781 +a(g827 +V +p19782 +tp19783 +a(g436 +VPyCell_Set +p19784 +tp19785 +a(g706 +g1109 +tp19786 +a(g436 +g5367 +tp19787 +a(g706 +g1139 +tp19788 +a(g827 +g983 +tp19789 +a(g436 +g5398 +tp19790 +a(g706 +g1118 +tp19791 +a(g706 +g1078 +tp19792 +a(g827 +V\u000a +p19793 +tp19794 +a(g827 +V +p19795 +tp19796 +a(g436 +VPy_DECREF +p19797 +tp19798 +a(g706 +g1109 +tp19799 +a(g436 +g5398 +tp19800 +a(g706 +g1118 +tp19801 +a(g706 +g1078 +tp19802 +a(g827 +V\u000a +p19803 +tp19804 +a(g827 +V +p19805 +tp19806 +a(g745 +Vcontinue +p19807 +tp19808 +a(g706 +g1078 +tp19809 +a(g827 +V\u000a +p19810 +tp19811 +a(g827 +V\u000a +p19812 +tp19813 +a(g827 +V +p19814 +tp19815 +a(g745 +Vcase +p19816 +tp19817 +a(g827 +g983 +tp19818 +a(g597 +VBUILD_TUPLE +p19819 +tp19820 +a(g706 +g1157 +tp19821 +a(g827 +V\u000a +p19822 +tp19823 +a(g827 +V +p19824 +tp19825 +a(g436 +g5367 +tp19826 +a(g827 +g983 +tp19827 +a(g413 +g1262 +tp19828 +a(g827 +g983 +tp19829 +a(g436 +VPyTuple_New +p19830 +tp19831 +a(g706 +g1109 +tp19832 +a(g436 +Voparg +p19833 +tp19834 +a(g706 +g1118 +tp19835 +a(g706 +g1078 +tp19836 +a(g827 +V\u000a +p19837 +tp19838 +a(g827 +V +p19839 +tp19840 +a(g745 +Vif +p19841 +tp19842 +a(g827 +g983 +tp19843 +a(g706 +g1109 +tp19844 +a(g436 +g5367 +tp19845 +a(g827 +g983 +tp19846 +a(g413 +g1260 +tp19847 +a(g413 +g1262 +tp19848 +a(g827 +g983 +tp19849 +a(g682 +VNULL +p19850 +tp19851 +a(g706 +g1118 +tp19852 +a(g827 +g983 +tp19853 +a(g706 +g1122 +tp19854 +a(g827 +V\u000a +p19855 +tp19856 +a(g827 +V +p19857 +tp19858 +a(g745 +Vfor +p19859 +tp19860 +a(g827 +g983 +tp19861 +a(g706 +g1109 +tp19862 +a(g706 +g1078 +tp19863 +a(g827 +g983 +tp19864 +a(g413 +g1480 +tp19865 +a(g413 +g1480 +tp19866 +a(g436 +Voparg +p19867 +tp19868 +a(g827 +g983 +tp19869 +a(g413 +g1482 +tp19870 +a(g413 +g1262 +tp19871 +a(g827 +g983 +tp19872 +a(g37 +g1269 +tp19873 +a(g706 +g1078 +tp19874 +a(g706 +g1118 +tp19875 +a(g827 +g983 +tp19876 +a(g706 +g1122 +tp19877 +a(g827 +V\u000a +p19878 +tp19879 +a(g827 +V +p19880 +tp19881 +a(g436 +g5398 +tp19882 +a(g827 +g983 +tp19883 +a(g413 +g1262 +tp19884 +a(g827 +g983 +tp19885 +a(g436 +VPOP +p19886 +tp19887 +a(g706 +g1109 +tp19888 +a(g706 +g1118 +tp19889 +a(g706 +g1078 +tp19890 +a(g827 +V\u000a +p19891 +tp19892 +a(g827 +V +p19893 +tp19894 +a(g436 +VPyTuple_SET_ITEM +p19895 +tp19896 +a(g706 +g1109 +tp19897 +a(g436 +g5367 +tp19898 +a(g706 +g1139 +tp19899 +a(g827 +g983 +tp19900 +a(g436 +Voparg +p19901 +tp19902 +a(g706 +g1139 +tp19903 +a(g827 +g983 +tp19904 +a(g436 +g5398 +tp19905 +a(g706 +g1118 +tp19906 +a(g706 +g1078 +tp19907 +a(g827 +V\u000a +p19908 +tp19909 +a(g827 +V +p19910 +tp19911 +a(g706 +g1336 +tp19912 +a(g827 +V\u000a +p19913 +tp19914 +a(g827 +V +p19915 +tp19916 +a(g436 +VPUSH +p19917 +tp19918 +a(g706 +g1109 +tp19919 +a(g436 +g5367 +tp19920 +a(g706 +g1118 +tp19921 +a(g706 +g1078 +tp19922 +a(g827 +V\u000a +p19923 +tp19924 +a(g827 +V +p19925 +tp19926 +a(g745 +Vcontinue +p19927 +tp19928 +a(g706 +g1078 +tp19929 +a(g827 +V\u000a +p19930 +tp19931 +a(g827 +V +p19932 +tp19933 +a(g706 +g1336 +tp19934 +a(g827 +V\u000a +p19935 +tp19936 +a(g827 +V +p19937 +tp19938 +a(g745 +Vbreak +p19939 +tp19940 +a(g706 +g1078 +tp19941 +a(g827 +V\u000a +p19942 +tp19943 +a(g827 +V\u000a +p19944 +tp19945 +a(g827 +V +p19946 +tp19947 +a(g745 +Vcase +p19948 +tp19949 +a(g827 +g983 +tp19950 +a(g597 +VBUILD_LIST +p19951 +tp19952 +a(g706 +g1157 +tp19953 +a(g827 +V\u000a +p19954 +tp19955 +a(g827 +V +p19956 +tp19957 +a(g436 +g5367 +tp19958 +a(g827 +g983 +tp19959 +a(g413 +g1262 +tp19960 +a(g827 +V +p19961 +tp19962 +a(g436 +VPyList_New +p19963 +tp19964 +a(g706 +g1109 +tp19965 +a(g436 +Voparg +p19966 +tp19967 +a(g706 +g1118 +tp19968 +a(g706 +g1078 +tp19969 +a(g827 +V\u000a +p19970 +tp19971 +a(g827 +V +p19972 +tp19973 +a(g745 +Vif +p19974 +tp19975 +a(g827 +g983 +tp19976 +a(g706 +g1109 +tp19977 +a(g436 +g5367 +tp19978 +a(g827 +g983 +tp19979 +a(g413 +g1260 +tp19980 +a(g413 +g1262 +tp19981 +a(g827 +g983 +tp19982 +a(g682 +VNULL +p19983 +tp19984 +a(g706 +g1118 +tp19985 +a(g827 +g983 +tp19986 +a(g706 +g1122 +tp19987 +a(g827 +V\u000a +p19988 +tp19989 +a(g827 +V +p19990 +tp19991 +a(g745 +Vfor +p19992 +tp19993 +a(g827 +g983 +tp19994 +a(g706 +g1109 +tp19995 +a(g706 +g1078 +tp19996 +a(g827 +g983 +tp19997 +a(g413 +g1480 +tp19998 +a(g413 +g1480 +tp19999 +a(g436 +Voparg +p20000 +tp20001 +a(g827 +g983 +tp20002 +a(g413 +g1482 +tp20003 +a(g413 +g1262 +tp20004 +a(g827 +g983 +tp20005 +a(g37 +g1269 +tp20006 +a(g706 +g1078 +tp20007 +a(g706 +g1118 +tp20008 +a(g827 +g983 +tp20009 +a(g706 +g1122 +tp20010 +a(g827 +V\u000a +p20011 +tp20012 +a(g827 +V +p20013 +tp20014 +a(g436 +g5398 +tp20015 +a(g827 +g983 +tp20016 +a(g413 +g1262 +tp20017 +a(g827 +g983 +tp20018 +a(g436 +VPOP +p20019 +tp20020 +a(g706 +g1109 +tp20021 +a(g706 +g1118 +tp20022 +a(g706 +g1078 +tp20023 +a(g827 +V\u000a +p20024 +tp20025 +a(g827 +V +p20026 +tp20027 +a(g436 +VPyList_SET_ITEM +p20028 +tp20029 +a(g706 +g1109 +tp20030 +a(g436 +g5367 +tp20031 +a(g706 +g1139 +tp20032 +a(g827 +g983 +tp20033 +a(g436 +Voparg +p20034 +tp20035 +a(g706 +g1139 +tp20036 +a(g827 +g983 +tp20037 +a(g436 +g5398 +tp20038 +a(g706 +g1118 +tp20039 +a(g706 +g1078 +tp20040 +a(g827 +V\u000a +p20041 +tp20042 +a(g827 +V +p20043 +tp20044 +a(g706 +g1336 +tp20045 +a(g827 +V\u000a +p20046 +tp20047 +a(g827 +V +p20048 +tp20049 +a(g436 +VPUSH +p20050 +tp20051 +a(g706 +g1109 +tp20052 +a(g436 +g5367 +tp20053 +a(g706 +g1118 +tp20054 +a(g706 +g1078 +tp20055 +a(g827 +V\u000a +p20056 +tp20057 +a(g827 +V +p20058 +tp20059 +a(g745 +Vcontinue +p20060 +tp20061 +a(g706 +g1078 +tp20062 +a(g827 +V\u000a +p20063 +tp20064 +a(g827 +V +p20065 +tp20066 +a(g706 +g1336 +tp20067 +a(g827 +V\u000a +p20068 +tp20069 +a(g827 +V +p20070 +tp20071 +a(g745 +Vbreak +p20072 +tp20073 +a(g706 +g1078 +tp20074 +a(g827 +V\u000a +p20075 +tp20076 +a(g827 +V\u000a +p20077 +tp20078 +a(g827 +V +p20079 +tp20080 +a(g745 +Vcase +p20081 +tp20082 +a(g827 +g983 +tp20083 +a(g597 +VBUILD_MAP +p20084 +tp20085 +a(g706 +g1157 +tp20086 +a(g827 +V\u000a +p20087 +tp20088 +a(g827 +V +p20089 +tp20090 +a(g436 +g5367 +tp20091 +a(g827 +g983 +tp20092 +a(g413 +g1262 +tp20093 +a(g827 +g983 +tp20094 +a(g436 +VPyDict_New +p20095 +tp20096 +a(g706 +g1109 +tp20097 +a(g706 +g1118 +tp20098 +a(g706 +g1078 +tp20099 +a(g827 +V\u000a +p20100 +tp20101 +a(g827 +V +p20102 +tp20103 +a(g436 +VPUSH +p20104 +tp20105 +a(g706 +g1109 +tp20106 +a(g436 +g5367 +tp20107 +a(g706 +g1118 +tp20108 +a(g706 +g1078 +tp20109 +a(g827 +V\u000a +p20110 +tp20111 +a(g827 +V +p20112 +tp20113 +a(g745 +Vif +p20114 +tp20115 +a(g827 +g983 +tp20116 +a(g706 +g1109 +tp20117 +a(g436 +g5367 +tp20118 +a(g827 +g983 +tp20119 +a(g413 +g1260 +tp20120 +a(g413 +g1262 +tp20121 +a(g827 +g983 +tp20122 +a(g682 +VNULL +p20123 +tp20124 +a(g706 +g1118 +tp20125 +a(g827 +g983 +tp20126 +a(g745 +Vcontinue +p20127 +tp20128 +a(g706 +g1078 +tp20129 +a(g827 +V\u000a +p20130 +tp20131 +a(g827 +V +p20132 +tp20133 +a(g745 +Vbreak +p20134 +tp20135 +a(g706 +g1078 +tp20136 +a(g827 +V\u000a +p20137 +tp20138 +a(g827 +V\u000a +p20139 +tp20140 +a(g827 +V +p20141 +tp20142 +a(g745 +Vcase +p20143 +tp20144 +a(g827 +g983 +tp20145 +a(g597 +VLOAD_ATTR +p20146 +tp20147 +a(g706 +g1157 +tp20148 +a(g827 +V\u000a +p20149 +tp20150 +a(g827 +V +p20151 +tp20152 +a(g436 +g5398 +tp20153 +a(g827 +g983 +tp20154 +a(g413 +g1262 +tp20155 +a(g827 +g983 +tp20156 +a(g436 +VGETITEM +p20157 +tp20158 +a(g706 +g1109 +tp20159 +a(g436 +Vnames +p20160 +tp20161 +a(g706 +g1139 +tp20162 +a(g827 +g983 +tp20163 +a(g436 +Voparg +p20164 +tp20165 +a(g706 +g1118 +tp20166 +a(g706 +g1078 +tp20167 +a(g827 +V\u000a +p20168 +tp20169 +a(g827 +V +p20170 +tp20171 +a(g436 +g1116 +tp20172 +a(g827 +g983 +tp20173 +a(g413 +g1262 +tp20174 +a(g827 +g983 +tp20175 +a(g436 +VTOP +p20176 +tp20177 +a(g706 +g1109 +tp20178 +a(g706 +g1118 +tp20179 +a(g706 +g1078 +tp20180 +a(g827 +V\u000a +p20181 +tp20182 +a(g827 +V +p20183 +tp20184 +a(g436 +g5367 +tp20185 +a(g827 +g983 +tp20186 +a(g413 +g1262 +tp20187 +a(g827 +g983 +tp20188 +a(g436 +VPyObject_GetAttr +p20189 +tp20190 +a(g706 +g1109 +tp20191 +a(g436 +g1116 +tp20192 +a(g706 +g1139 +tp20193 +a(g827 +g983 +tp20194 +a(g436 +g5398 +tp20195 +a(g706 +g1118 +tp20196 +a(g706 +g1078 +tp20197 +a(g827 +V\u000a +p20198 +tp20199 +a(g827 +V +p20200 +tp20201 +a(g436 +VPy_DECREF +p20202 +tp20203 +a(g706 +g1109 +tp20204 +a(g436 +g1116 +tp20205 +a(g706 +g1118 +tp20206 +a(g706 +g1078 +tp20207 +a(g827 +V\u000a +p20208 +tp20209 +a(g827 +V +p20210 +tp20211 +a(g436 +VSET_TOP +p20212 +tp20213 +a(g706 +g1109 +tp20214 +a(g436 +g5367 +tp20215 +a(g706 +g1118 +tp20216 +a(g706 +g1078 +tp20217 +a(g827 +V\u000a +p20218 +tp20219 +a(g827 +V +p20220 +tp20221 +a(g745 +Vif +p20222 +tp20223 +a(g827 +g983 +tp20224 +a(g706 +g1109 +tp20225 +a(g436 +g5367 +tp20226 +a(g827 +g983 +tp20227 +a(g413 +g1260 +tp20228 +a(g413 +g1262 +tp20229 +a(g827 +g983 +tp20230 +a(g682 +VNULL +p20231 +tp20232 +a(g706 +g1118 +tp20233 +a(g827 +g983 +tp20234 +a(g745 +Vcontinue +p20235 +tp20236 +a(g706 +g1078 +tp20237 +a(g827 +V\u000a +p20238 +tp20239 +a(g827 +V +p20240 +tp20241 +a(g745 +Vbreak +p20242 +tp20243 +a(g706 +g1078 +tp20244 +a(g827 +V\u000a +p20245 +tp20246 +a(g827 +V\u000a +p20247 +tp20248 +a(g827 +V +p20249 +tp20250 +a(g745 +Vcase +p20251 +tp20252 +a(g827 +g983 +tp20253 +a(g597 +VCOMPARE_OP +p20254 +tp20255 +a(g706 +g1157 +tp20256 +a(g827 +V\u000a +p20257 +tp20258 +a(g827 +V +p20259 +tp20260 +a(g436 +g5398 +tp20261 +a(g827 +g983 +tp20262 +a(g413 +g1262 +tp20263 +a(g827 +g983 +tp20264 +a(g436 +VPOP +p20265 +tp20266 +a(g706 +g1109 +tp20267 +a(g706 +g1118 +tp20268 +a(g706 +g1078 +tp20269 +a(g827 +V\u000a +p20270 +tp20271 +a(g827 +V +p20272 +tp20273 +a(g436 +g1116 +tp20274 +a(g827 +g983 +tp20275 +a(g413 +g1262 +tp20276 +a(g827 +g983 +tp20277 +a(g436 +VTOP +p20278 +tp20279 +a(g706 +g1109 +tp20280 +a(g706 +g1118 +tp20281 +a(g706 +g1078 +tp20282 +a(g827 +V\u000a +p20283 +tp20284 +a(g827 +V +p20285 +tp20286 +a(g745 +Vif +p20287 +tp20288 +a(g827 +g983 +tp20289 +a(g706 +g1109 +tp20290 +a(g436 +VPyInt_CheckExact +p20291 +tp20292 +a(g706 +g1109 +tp20293 +a(g436 +g5398 +tp20294 +a(g706 +g1118 +tp20295 +a(g827 +g983 +tp20296 +a(g413 +g4166 +tp20297 +a(g413 +g4166 +tp20298 +a(g827 +g983 +tp20299 +a(g436 +VPyInt_CheckExact +p20300 +tp20301 +a(g706 +g1109 +tp20302 +a(g436 +g1116 +tp20303 +a(g706 +g1118 +tp20304 +a(g706 +g1118 +tp20305 +a(g827 +g983 +tp20306 +a(g706 +g1122 +tp20307 +a(g827 +V\u000a +p20308 +tp20309 +a(g827 +V +p20310 +tp20311 +a(g8 +V/* INLINE: cmp(int, int) */ +p20312 +tp20313 +a(g827 +V\u000a +p20314 +tp20315 +a(g827 +V +p20316 +tp20317 +a(g745 +Vregister +p20318 +tp20319 +a(g827 +g983 +tp20320 +a(g749 +Vlong +p20321 +tp20322 +a(g827 +g983 +tp20323 +a(g436 +g10202 +tp20324 +a(g706 +g1139 +tp20325 +a(g827 +g983 +tp20326 +a(g436 +g10206 +tp20327 +a(g706 +g1078 +tp20328 +a(g827 +V\u000a +p20329 +tp20330 +a(g827 +V +p20331 +tp20332 +a(g745 +Vregister +p20333 +tp20334 +a(g827 +g983 +tp20335 +a(g749 +Vint +p20336 +tp20337 +a(g827 +g983 +tp20338 +a(g436 +Vres +p20339 +tp20340 +a(g706 +g1078 +tp20341 +a(g827 +V\u000a +p20342 +tp20343 +a(g827 +V +p20344 +tp20345 +a(g436 +g10202 +tp20346 +a(g827 +g983 +tp20347 +a(g413 +g1262 +tp20348 +a(g827 +g983 +tp20349 +a(g436 +VPyInt_AS_LONG +p20350 +tp20351 +a(g706 +g1109 +tp20352 +a(g436 +g1116 +tp20353 +a(g706 +g1118 +tp20354 +a(g706 +g1078 +tp20355 +a(g827 +V\u000a +p20356 +tp20357 +a(g827 +V +p20358 +tp20359 +a(g436 +g10206 +tp20360 +a(g827 +g983 +tp20361 +a(g413 +g1262 +tp20362 +a(g827 +g983 +tp20363 +a(g436 +VPyInt_AS_LONG +p20364 +tp20365 +a(g706 +g1109 +tp20366 +a(g436 +g5398 +tp20367 +a(g706 +g1118 +tp20368 +a(g706 +g1078 +tp20369 +a(g827 +V\u000a +p20370 +tp20371 +a(g827 +V +p20372 +tp20373 +a(g745 +Vswitch +p20374 +tp20375 +a(g827 +g983 +tp20376 +a(g706 +g1109 +tp20377 +a(g436 +Voparg +p20378 +tp20379 +a(g706 +g1118 +tp20380 +a(g827 +g983 +tp20381 +a(g706 +g1122 +tp20382 +a(g827 +V\u000a +p20383 +tp20384 +a(g827 +V +p20385 +tp20386 +a(g745 +Vcase +p20387 +tp20388 +a(g827 +g983 +tp20389 +a(g597 +VPyCmp_LT +p20390 +tp20391 +a(g706 +g1157 +tp20392 +a(g827 +g983 +tp20393 +a(g436 +Vres +p20394 +tp20395 +a(g827 +g983 +tp20396 +a(g413 +g1262 +tp20397 +a(g827 +g983 +tp20398 +a(g436 +g10202 +tp20399 +a(g827 +g983 +tp20400 +a(g413 +g4395 +tp20401 +a(g827 +V +p20402 +tp20403 +a(g436 +g10206 +tp20404 +a(g706 +g1078 +tp20405 +a(g827 +g983 +tp20406 +a(g745 +Vbreak +p20407 +tp20408 +a(g706 +g1078 +tp20409 +a(g827 +V\u000a +p20410 +tp20411 +a(g827 +V +p20412 +tp20413 +a(g745 +Vcase +p20414 +tp20415 +a(g827 +g983 +tp20416 +a(g597 +VPyCmp_LE +p20417 +tp20418 +a(g706 +g1157 +tp20419 +a(g827 +g983 +tp20420 +a(g436 +Vres +p20421 +tp20422 +a(g827 +g983 +tp20423 +a(g413 +g1262 +tp20424 +a(g827 +g983 +tp20425 +a(g436 +g10202 +tp20426 +a(g827 +g983 +tp20427 +a(g413 +g4395 +tp20428 +a(g413 +g1262 +tp20429 +a(g827 +g983 +tp20430 +a(g436 +g10206 +tp20431 +a(g706 +g1078 +tp20432 +a(g827 +g983 +tp20433 +a(g745 +Vbreak +p20434 +tp20435 +a(g706 +g1078 +tp20436 +a(g827 +V\u000a +p20437 +tp20438 +a(g827 +V +p20439 +tp20440 +a(g745 +Vcase +p20441 +tp20442 +a(g827 +g983 +tp20443 +a(g597 +VPyCmp_EQ +p20444 +tp20445 +a(g706 +g1157 +tp20446 +a(g827 +g983 +tp20447 +a(g436 +Vres +p20448 +tp20449 +a(g827 +g983 +tp20450 +a(g413 +g1262 +tp20451 +a(g827 +g983 +tp20452 +a(g436 +g10202 +tp20453 +a(g827 +g983 +tp20454 +a(g413 +g1262 +tp20455 +a(g413 +g1262 +tp20456 +a(g827 +g983 +tp20457 +a(g436 +g10206 +tp20458 +a(g706 +g1078 +tp20459 +a(g827 +g983 +tp20460 +a(g745 +Vbreak +p20461 +tp20462 +a(g706 +g1078 +tp20463 +a(g827 +V\u000a +p20464 +tp20465 +a(g827 +V +p20466 +tp20467 +a(g745 +Vcase +p20468 +tp20469 +a(g827 +g983 +tp20470 +a(g597 +VPyCmp_NE +p20471 +tp20472 +a(g706 +g1157 +tp20473 +a(g827 +g983 +tp20474 +a(g436 +Vres +p20475 +tp20476 +a(g827 +g983 +tp20477 +a(g413 +g1262 +tp20478 +a(g827 +g983 +tp20479 +a(g436 +g10202 +tp20480 +a(g827 +g983 +tp20481 +a(g413 +g1260 +tp20482 +a(g413 +g1262 +tp20483 +a(g827 +g983 +tp20484 +a(g436 +g10206 +tp20485 +a(g706 +g1078 +tp20486 +a(g827 +g983 +tp20487 +a(g745 +Vbreak +p20488 +tp20489 +a(g706 +g1078 +tp20490 +a(g827 +V\u000a +p20491 +tp20492 +a(g827 +V +p20493 +tp20494 +a(g745 +Vcase +p20495 +tp20496 +a(g827 +g983 +tp20497 +a(g597 +VPyCmp_GT +p20498 +tp20499 +a(g706 +g1157 +tp20500 +a(g827 +g983 +tp20501 +a(g436 +Vres +p20502 +tp20503 +a(g827 +g983 +tp20504 +a(g413 +g1262 +tp20505 +a(g827 +g983 +tp20506 +a(g436 +g10202 +tp20507 +a(g827 +g983 +tp20508 +a(g413 +g1482 +tp20509 +a(g827 +V +p20510 +tp20511 +a(g436 +g10206 +tp20512 +a(g706 +g1078 +tp20513 +a(g827 +g983 +tp20514 +a(g745 +Vbreak +p20515 +tp20516 +a(g706 +g1078 +tp20517 +a(g827 +V\u000a +p20518 +tp20519 +a(g827 +V +p20520 +tp20521 +a(g745 +Vcase +p20522 +tp20523 +a(g827 +g983 +tp20524 +a(g597 +VPyCmp_GE +p20525 +tp20526 +a(g706 +g1157 +tp20527 +a(g827 +g983 +tp20528 +a(g436 +Vres +p20529 +tp20530 +a(g827 +g983 +tp20531 +a(g413 +g1262 +tp20532 +a(g827 +g983 +tp20533 +a(g436 +g10202 +tp20534 +a(g827 +g983 +tp20535 +a(g413 +g1482 +tp20536 +a(g413 +g1262 +tp20537 +a(g827 +g983 +tp20538 +a(g436 +g10206 +tp20539 +a(g706 +g1078 +tp20540 +a(g827 +g983 +tp20541 +a(g745 +Vbreak +p20542 +tp20543 +a(g706 +g1078 +tp20544 +a(g827 +V\u000a +p20545 +tp20546 +a(g827 +V +p20547 +tp20548 +a(g745 +Vcase +p20549 +tp20550 +a(g827 +g983 +tp20551 +a(g597 +VPyCmp_IS +p20552 +tp20553 +a(g706 +g1157 +tp20554 +a(g827 +g983 +tp20555 +a(g436 +Vres +p20556 +tp20557 +a(g827 +g983 +tp20558 +a(g413 +g1262 +tp20559 +a(g827 +g983 +tp20560 +a(g436 +g1116 +tp20561 +a(g827 +g983 +tp20562 +a(g413 +g1262 +tp20563 +a(g413 +g1262 +tp20564 +a(g827 +g983 +tp20565 +a(g436 +g5398 +tp20566 +a(g706 +g1078 +tp20567 +a(g827 +g983 +tp20568 +a(g745 +Vbreak +p20569 +tp20570 +a(g706 +g1078 +tp20571 +a(g827 +V\u000a +p20572 +tp20573 +a(g827 +V +p20574 +tp20575 +a(g745 +Vcase +p20576 +tp20577 +a(g827 +g983 +tp20578 +a(g597 +VPyCmp_IS_NOT +p20579 +tp20580 +a(g706 +g1157 +tp20581 +a(g827 +g983 +tp20582 +a(g436 +Vres +p20583 +tp20584 +a(g827 +g983 +tp20585 +a(g413 +g1262 +tp20586 +a(g827 +g983 +tp20587 +a(g436 +g1116 +tp20588 +a(g827 +g983 +tp20589 +a(g413 +g1260 +tp20590 +a(g413 +g1262 +tp20591 +a(g827 +g983 +tp20592 +a(g436 +g5398 +tp20593 +a(g706 +g1078 +tp20594 +a(g827 +g983 +tp20595 +a(g745 +Vbreak +p20596 +tp20597 +a(g706 +g1078 +tp20598 +a(g827 +V\u000a +p20599 +tp20600 +a(g827 +V +p20601 +tp20602 +a(g745 +Vdefault +p20603 +tp20604 +a(g413 +g1157 +tp20605 +a(g827 +g983 +tp20606 +a(g745 +Vgoto +p20607 +tp20608 +a(g827 +g983 +tp20609 +a(g436 +Vslow_compare +p20610 +tp20611 +a(g706 +g1078 +tp20612 +a(g827 +V\u000a +p20613 +tp20614 +a(g827 +V +p20615 +tp20616 +a(g706 +g1336 +tp20617 +a(g827 +V\u000a +p20618 +tp20619 +a(g827 +V +p20620 +tp20621 +a(g436 +g5367 +tp20622 +a(g827 +g983 +tp20623 +a(g413 +g1262 +tp20624 +a(g827 +g983 +tp20625 +a(g436 +Vres +p20626 +tp20627 +a(g827 +g983 +tp20628 +a(g413 +V? +p20629 +tp20630 +a(g827 +g983 +tp20631 +a(g597 +VPy_True +p20632 +tp20633 +a(g827 +g983 +tp20634 +a(g706 +g1157 +tp20635 +a(g827 +g983 +tp20636 +a(g436 +VPy_False +p20637 +tp20638 +a(g706 +g1078 +tp20639 +a(g827 +V\u000a +p20640 +tp20641 +a(g827 +V +p20642 +tp20643 +a(g436 +VPy_INCREF +p20644 +tp20645 +a(g706 +g1109 +tp20646 +a(g436 +g5367 +tp20647 +a(g706 +g1118 +tp20648 +a(g706 +g1078 +tp20649 +a(g827 +V\u000a +p20650 +tp20651 +a(g827 +V +p20652 +tp20653 +a(g706 +g1336 +tp20654 +a(g827 +V\u000a +p20655 +tp20656 +a(g827 +V +p20657 +tp20658 +a(g745 +Velse +p20659 +tp20660 +a(g827 +g983 +tp20661 +a(g706 +g1122 +tp20662 +a(g827 +V\u000a +p20663 +tp20664 +a(g827 +V +p20665 +tp20666 +a(g597 +Vslow_compare +p20667 +tp20668 +a(g706 +g1157 +tp20669 +a(g827 +V\u000a +p20670 +tp20671 +a(g827 +V +p20672 +tp20673 +a(g436 +g5367 +tp20674 +a(g827 +g983 +tp20675 +a(g413 +g1262 +tp20676 +a(g827 +g983 +tp20677 +a(g436 +Vcmp_outcome +p20678 +tp20679 +a(g706 +g1109 +tp20680 +a(g436 +Voparg +p20681 +tp20682 +a(g706 +g1139 +tp20683 +a(g827 +g983 +tp20684 +a(g436 +g1116 +tp20685 +a(g706 +g1139 +tp20686 +a(g827 +g983 +tp20687 +a(g436 +g5398 +tp20688 +a(g706 +g1118 +tp20689 +a(g706 +g1078 +tp20690 +a(g827 +V\u000a +p20691 +tp20692 +a(g827 +V +p20693 +tp20694 +a(g706 +g1336 +tp20695 +a(g827 +V\u000a +p20696 +tp20697 +a(g827 +V +p20698 +tp20699 +a(g436 +VPy_DECREF +p20700 +tp20701 +a(g706 +g1109 +tp20702 +a(g436 +g1116 +tp20703 +a(g706 +g1118 +tp20704 +a(g706 +g1078 +tp20705 +a(g827 +V\u000a +p20706 +tp20707 +a(g827 +V +p20708 +tp20709 +a(g436 +VPy_DECREF +p20710 +tp20711 +a(g706 +g1109 +tp20712 +a(g436 +g5398 +tp20713 +a(g706 +g1118 +tp20714 +a(g706 +g1078 +tp20715 +a(g827 +V\u000a +p20716 +tp20717 +a(g827 +V +p20718 +tp20719 +a(g436 +VSET_TOP +p20720 +tp20721 +a(g706 +g1109 +tp20722 +a(g436 +g5367 +tp20723 +a(g706 +g1118 +tp20724 +a(g706 +g1078 +tp20725 +a(g827 +V\u000a +p20726 +tp20727 +a(g827 +V +p20728 +tp20729 +a(g745 +Vif +p20730 +tp20731 +a(g827 +g983 +tp20732 +a(g706 +g1109 +tp20733 +a(g436 +g5367 +tp20734 +a(g827 +g983 +tp20735 +a(g413 +g1262 +tp20736 +a(g413 +g1262 +tp20737 +a(g827 +g983 +tp20738 +a(g682 +VNULL +p20739 +tp20740 +a(g706 +g1118 +tp20741 +a(g827 +g983 +tp20742 +a(g745 +Vbreak +p20743 +tp20744 +a(g706 +g1078 +tp20745 +a(g827 +V\u000a +p20746 +tp20747 +a(g827 +V +p20748 +tp20749 +a(g436 +VPREDICT +p20750 +tp20751 +a(g706 +g1109 +tp20752 +a(g436 +VJUMP_IF_FALSE +p20753 +tp20754 +a(g706 +g1118 +tp20755 +a(g706 +g1078 +tp20756 +a(g827 +V\u000a +p20757 +tp20758 +a(g827 +V +p20759 +tp20760 +a(g436 +VPREDICT +p20761 +tp20762 +a(g706 +g1109 +tp20763 +a(g436 +VJUMP_IF_TRUE +p20764 +tp20765 +a(g706 +g1118 +tp20766 +a(g706 +g1078 +tp20767 +a(g827 +V\u000a +p20768 +tp20769 +a(g827 +V +p20770 +tp20771 +a(g745 +Vcontinue +p20772 +tp20773 +a(g706 +g1078 +tp20774 +a(g827 +V\u000a +p20775 +tp20776 +a(g827 +V\u000a +p20777 +tp20778 +a(g827 +V +p20779 +tp20780 +a(g745 +Vcase +p20781 +tp20782 +a(g827 +g983 +tp20783 +a(g597 +VIMPORT_NAME +p20784 +tp20785 +a(g706 +g1157 +tp20786 +a(g827 +V\u000a +p20787 +tp20788 +a(g827 +V +p20789 +tp20790 +a(g436 +g5398 +tp20791 +a(g827 +g983 +tp20792 +a(g413 +g1262 +tp20793 +a(g827 +g983 +tp20794 +a(g436 +VGETITEM +p20795 +tp20796 +a(g706 +g1109 +tp20797 +a(g436 +Vnames +p20798 +tp20799 +a(g706 +g1139 +tp20800 +a(g827 +g983 +tp20801 +a(g436 +Voparg +p20802 +tp20803 +a(g706 +g1118 +tp20804 +a(g706 +g1078 +tp20805 +a(g827 +V\u000a +p20806 +tp20807 +a(g827 +V +p20808 +tp20809 +a(g436 +g5367 +tp20810 +a(g827 +g983 +tp20811 +a(g413 +g1262 +tp20812 +a(g827 +g983 +tp20813 +a(g436 +VPyDict_GetItemString +p20814 +tp20815 +a(g706 +g1109 +tp20816 +a(g436 +g5180 +tp20817 +a(g413 +g1480 +tp20818 +a(g413 +g1482 +tp20819 +a(g436 +Vf_builtins +p20820 +tp20821 +a(g706 +g1139 +tp20822 +a(g827 +g983 +tp20823 +a(g89 +g1169 +tp20824 +a(g89 +V__import__ +p20825 +tp20826 +a(g89 +g1169 +tp20827 +a(g706 +g1118 +tp20828 +a(g706 +g1078 +tp20829 +a(g827 +V\u000a +p20830 +tp20831 +a(g827 +V +p20832 +tp20833 +a(g745 +Vif +p20834 +tp20835 +a(g827 +g983 +tp20836 +a(g706 +g1109 +tp20837 +a(g436 +g5367 +tp20838 +a(g827 +g983 +tp20839 +a(g413 +g1262 +tp20840 +a(g413 +g1262 +tp20841 +a(g827 +g983 +tp20842 +a(g682 +VNULL +p20843 +tp20844 +a(g706 +g1118 +tp20845 +a(g827 +g983 +tp20846 +a(g706 +g1122 +tp20847 +a(g827 +V\u000a +p20848 +tp20849 +a(g827 +V +p20850 +tp20851 +a(g436 +VPyErr_SetString +p20852 +tp20853 +a(g706 +g1109 +tp20854 +a(g436 +VPyExc_ImportError +p20855 +tp20856 +a(g706 +g1139 +tp20857 +a(g827 +V\u000a +p20858 +tp20859 +a(g827 +V +p20860 +tp20861 +a(g89 +g1169 +tp20862 +a(g89 +V__import__ not found +p20863 +tp20864 +a(g89 +g1169 +tp20865 +a(g706 +g1118 +tp20866 +a(g706 +g1078 +tp20867 +a(g827 +V\u000a +p20868 +tp20869 +a(g827 +V +p20870 +tp20871 +a(g745 +Vbreak +p20872 +tp20873 +a(g706 +g1078 +tp20874 +a(g827 +V\u000a +p20875 +tp20876 +a(g827 +V +p20877 +tp20878 +a(g706 +g1336 +tp20879 +a(g827 +V\u000a +p20880 +tp20881 +a(g827 +V +p20882 +tp20883 +a(g436 +g1116 +tp20884 +a(g827 +g983 +tp20885 +a(g413 +g1262 +tp20886 +a(g827 +g983 +tp20887 +a(g436 +VPOP +p20888 +tp20889 +a(g706 +g1109 +tp20890 +a(g706 +g1118 +tp20891 +a(g706 +g1078 +tp20892 +a(g827 +V\u000a +p20893 +tp20894 +a(g827 +V +p20895 +tp20896 +a(g436 +g5411 +tp20897 +a(g827 +g983 +tp20898 +a(g413 +g1262 +tp20899 +a(g827 +g983 +tp20900 +a(g436 +VTOP +p20901 +tp20902 +a(g706 +g1109 +tp20903 +a(g706 +g1118 +tp20904 +a(g706 +g1078 +tp20905 +a(g827 +V\u000a +p20906 +tp20907 +a(g827 +V +p20908 +tp20909 +a(g745 +Vif +p20910 +tp20911 +a(g827 +g983 +tp20912 +a(g706 +g1109 +tp20913 +a(g436 +VPyInt_AsLong +p20914 +tp20915 +a(g706 +g1109 +tp20916 +a(g436 +g5411 +tp20917 +a(g706 +g1118 +tp20918 +a(g827 +g983 +tp20919 +a(g413 +g1260 +tp20920 +a(g413 +g1262 +tp20921 +a(g827 +g983 +tp20922 +a(g413 +g1480 +tp20923 +a(g37 +g1325 +tp20924 +a(g827 +g983 +tp20925 +a(g413 +g15057 +tp20926 +a(g413 +g15057 +tp20927 +a(g827 +g983 +tp20928 +a(g436 +VPyErr_Occurred +p20929 +tp20930 +a(g706 +g1109 +tp20931 +a(g706 +g1118 +tp20932 +a(g706 +g1118 +tp20933 +a(g827 +V\u000a +p20934 +tp20935 +a(g827 +V +p20936 +tp20937 +a(g436 +g5398 +tp20938 +a(g827 +g983 +tp20939 +a(g413 +g1262 +tp20940 +a(g827 +g983 +tp20941 +a(g436 +VPyTuple_Pack +p20942 +tp20943 +a(g706 +g1109 +tp20944 +a(g37 +g2818 +tp20945 +a(g706 +g1139 +tp20946 +a(g827 +V\u000a +p20947 +tp20948 +a(g827 +V +p20949 +tp20950 +a(g436 +g5398 +tp20951 +a(g706 +g1139 +tp20952 +a(g827 +V\u000a +p20953 +tp20954 +a(g827 +V +p20955 +tp20956 +a(g436 +g5180 +tp20957 +a(g413 +g1480 +tp20958 +a(g413 +g1482 +tp20959 +a(g436 +Vf_globals +p20960 +tp20961 +a(g706 +g1139 +tp20962 +a(g827 +V\u000a +p20963 +tp20964 +a(g827 +V +p20965 +tp20966 +a(g436 +g5180 +tp20967 +a(g413 +g1480 +tp20968 +a(g413 +g1482 +tp20969 +a(g436 +Vf_locals +p20970 +tp20971 +a(g827 +g983 +tp20972 +a(g413 +g1262 +tp20973 +a(g413 +g1262 +tp20974 +a(g827 +g983 +tp20975 +a(g682 +VNULL +p20976 +tp20977 +a(g827 +g983 +tp20978 +a(g413 +g20629 +tp20979 +a(g827 +V\u000a +p20980 +tp20981 +a(g827 +V +p20982 +tp20983 +a(g597 +VPy_None +p20984 +tp20985 +a(g827 +g983 +tp20986 +a(g706 +g1157 +tp20987 +a(g827 +g983 +tp20988 +a(g436 +g5180 +tp20989 +a(g413 +g1480 +tp20990 +a(g413 +g1482 +tp20991 +a(g436 +Vf_locals +p20992 +tp20993 +a(g706 +g1139 +tp20994 +a(g827 +V\u000a +p20995 +tp20996 +a(g827 +V +p20997 +tp20998 +a(g436 +g1116 +tp20999 +a(g706 +g1139 +tp21000 +a(g827 +V\u000a +p21001 +tp21002 +a(g827 +V +p21003 +tp21004 +a(g436 +g5411 +tp21005 +a(g706 +g1118 +tp21006 +a(g706 +g1078 +tp21007 +a(g827 +V\u000a +p21008 +tp21009 +a(g827 +V +p21010 +tp21011 +a(g745 +Velse +p21012 +tp21013 +a(g827 +V\u000a +p21014 +tp21015 +a(g827 +V +p21016 +tp21017 +a(g436 +g5398 +tp21018 +a(g827 +g983 +tp21019 +a(g413 +g1262 +tp21020 +a(g827 +g983 +tp21021 +a(g436 +VPyTuple_Pack +p21022 +tp21023 +a(g706 +g1109 +tp21024 +a(g37 +g2810 +tp21025 +a(g706 +g1139 +tp21026 +a(g827 +V\u000a +p21027 +tp21028 +a(g827 +V +p21029 +tp21030 +a(g436 +g5398 +tp21031 +a(g706 +g1139 +tp21032 +a(g827 +V\u000a +p21033 +tp21034 +a(g827 +V +p21035 +tp21036 +a(g436 +g5180 +tp21037 +a(g413 +g1480 +tp21038 +a(g413 +g1482 +tp21039 +a(g436 +Vf_globals +p21040 +tp21041 +a(g706 +g1139 +tp21042 +a(g827 +V\u000a +p21043 +tp21044 +a(g827 +V +p21045 +tp21046 +a(g436 +g5180 +tp21047 +a(g413 +g1480 +tp21048 +a(g413 +g1482 +tp21049 +a(g436 +Vf_locals +p21050 +tp21051 +a(g827 +g983 +tp21052 +a(g413 +g1262 +tp21053 +a(g413 +g1262 +tp21054 +a(g827 +g983 +tp21055 +a(g682 +VNULL +p21056 +tp21057 +a(g827 +g983 +tp21058 +a(g413 +g20629 +tp21059 +a(g827 +V\u000a +p21060 +tp21061 +a(g827 +V +p21062 +tp21063 +a(g597 +VPy_None +p21064 +tp21065 +a(g827 +g983 +tp21066 +a(g706 +g1157 +tp21067 +a(g827 +g983 +tp21068 +a(g436 +g5180 +tp21069 +a(g413 +g1480 +tp21070 +a(g413 +g1482 +tp21071 +a(g436 +Vf_locals +p21072 +tp21073 +a(g706 +g1139 +tp21074 +a(g827 +V\u000a +p21075 +tp21076 +a(g827 +V +p21077 +tp21078 +a(g436 +g1116 +tp21079 +a(g706 +g1118 +tp21080 +a(g706 +g1078 +tp21081 +a(g827 +V\u000a +p21082 +tp21083 +a(g827 +V +p21084 +tp21085 +a(g436 +VPy_DECREF +p21086 +tp21087 +a(g706 +g1109 +tp21088 +a(g436 +g1116 +tp21089 +a(g706 +g1118 +tp21090 +a(g706 +g1078 +tp21091 +a(g827 +V\u000a +p21092 +tp21093 +a(g827 +V +p21094 +tp21095 +a(g436 +VPy_DECREF +p21096 +tp21097 +a(g706 +g1109 +tp21098 +a(g436 +g5411 +tp21099 +a(g706 +g1118 +tp21100 +a(g706 +g1078 +tp21101 +a(g827 +V\u000a +p21102 +tp21103 +a(g827 +V +p21104 +tp21105 +a(g745 +Vif +p21106 +tp21107 +a(g827 +g983 +tp21108 +a(g706 +g1109 +tp21109 +a(g436 +g5398 +tp21110 +a(g827 +g983 +tp21111 +a(g413 +g1262 +tp21112 +a(g413 +g1262 +tp21113 +a(g827 +g983 +tp21114 +a(g682 +VNULL +p21115 +tp21116 +a(g706 +g1118 +tp21117 +a(g827 +g983 +tp21118 +a(g706 +g1122 +tp21119 +a(g827 +V\u000a +p21120 +tp21121 +a(g827 +V +p21122 +tp21123 +a(g436 +g5411 +tp21124 +a(g827 +g983 +tp21125 +a(g413 +g1262 +tp21126 +a(g827 +g983 +tp21127 +a(g436 +VPOP +p21128 +tp21129 +a(g706 +g1109 +tp21130 +a(g706 +g1118 +tp21131 +a(g706 +g1078 +tp21132 +a(g827 +V\u000a +p21133 +tp21134 +a(g827 +V +p21135 +tp21136 +a(g436 +g5367 +tp21137 +a(g827 +g983 +tp21138 +a(g413 +g1262 +tp21139 +a(g827 +g983 +tp21140 +a(g682 +VNULL +p21141 +tp21142 +a(g706 +g1078 +tp21143 +a(g827 +V\u000a +p21144 +tp21145 +a(g827 +V +p21146 +tp21147 +a(g745 +Vbreak +p21148 +tp21149 +a(g706 +g1078 +tp21150 +a(g827 +V\u000a +p21151 +tp21152 +a(g827 +V +p21153 +tp21154 +a(g706 +g1336 +tp21155 +a(g827 +V\u000a +p21156 +tp21157 +a(g827 +V +p21158 +tp21159 +a(g436 +VREAD_TIMESTAMP +p21160 +tp21161 +a(g706 +g1109 +tp21162 +a(g436 +Vintr0 +p21163 +tp21164 +a(g706 +g1118 +tp21165 +a(g706 +g1078 +tp21166 +a(g827 +V\u000a +p21167 +tp21168 +a(g827 +V +p21169 +tp21170 +a(g436 +g5367 +tp21171 +a(g827 +g983 +tp21172 +a(g413 +g1262 +tp21173 +a(g827 +g983 +tp21174 +a(g436 +VPyEval_CallObject +p21175 +tp21176 +a(g706 +g1109 +tp21177 +a(g436 +g5367 +tp21178 +a(g706 +g1139 +tp21179 +a(g827 +g983 +tp21180 +a(g436 +g5398 +tp21181 +a(g706 +g1118 +tp21182 +a(g706 +g1078 +tp21183 +a(g827 +V\u000a +p21184 +tp21185 +a(g827 +V +p21186 +tp21187 +a(g436 +VREAD_TIMESTAMP +p21188 +tp21189 +a(g706 +g1109 +tp21190 +a(g436 +Vintr1 +p21191 +tp21192 +a(g706 +g1118 +tp21193 +a(g706 +g1078 +tp21194 +a(g827 +V\u000a +p21195 +tp21196 +a(g827 +V +p21197 +tp21198 +a(g436 +VPy_DECREF +p21199 +tp21200 +a(g706 +g1109 +tp21201 +a(g436 +g5398 +tp21202 +a(g706 +g1118 +tp21203 +a(g706 +g1078 +tp21204 +a(g827 +V\u000a +p21205 +tp21206 +a(g827 +V +p21207 +tp21208 +a(g436 +VSET_TOP +p21209 +tp21210 +a(g706 +g1109 +tp21211 +a(g436 +g5367 +tp21212 +a(g706 +g1118 +tp21213 +a(g706 +g1078 +tp21214 +a(g827 +V\u000a +p21215 +tp21216 +a(g827 +V +p21217 +tp21218 +a(g745 +Vif +p21219 +tp21220 +a(g827 +g983 +tp21221 +a(g706 +g1109 +tp21222 +a(g436 +g5367 +tp21223 +a(g827 +g983 +tp21224 +a(g413 +g1260 +tp21225 +a(g413 +g1262 +tp21226 +a(g827 +g983 +tp21227 +a(g682 +VNULL +p21228 +tp21229 +a(g706 +g1118 +tp21230 +a(g827 +g983 +tp21231 +a(g745 +Vcontinue +p21232 +tp21233 +a(g706 +g1078 +tp21234 +a(g827 +V\u000a +p21235 +tp21236 +a(g827 +V +p21237 +tp21238 +a(g745 +Vbreak +p21239 +tp21240 +a(g706 +g1078 +tp21241 +a(g827 +V\u000a +p21242 +tp21243 +a(g827 +V\u000a +p21244 +tp21245 +a(g827 +V +p21246 +tp21247 +a(g745 +Vcase +p21248 +tp21249 +a(g827 +g983 +tp21250 +a(g597 +VIMPORT_STAR +p21251 +tp21252 +a(g706 +g1157 +tp21253 +a(g827 +V\u000a +p21254 +tp21255 +a(g827 +V +p21256 +tp21257 +a(g436 +g1116 +tp21258 +a(g827 +g983 +tp21259 +a(g413 +g1262 +tp21260 +a(g827 +g983 +tp21261 +a(g436 +VPOP +p21262 +tp21263 +a(g706 +g1109 +tp21264 +a(g706 +g1118 +tp21265 +a(g706 +g1078 +tp21266 +a(g827 +V\u000a +p21267 +tp21268 +a(g827 +V +p21269 +tp21270 +a(g436 +VPyFrame_FastToLocals +p21271 +tp21272 +a(g706 +g1109 +tp21273 +a(g436 +g5180 +tp21274 +a(g706 +g1118 +tp21275 +a(g706 +g1078 +tp21276 +a(g827 +V\u000a +p21277 +tp21278 +a(g827 +V +p21279 +tp21280 +a(g745 +Vif +p21281 +tp21282 +a(g827 +g983 +tp21283 +a(g706 +g1109 +tp21284 +a(g706 +g1109 +tp21285 +a(g436 +g5367 +tp21286 +a(g827 +g983 +tp21287 +a(g413 +g1262 +tp21288 +a(g827 +g983 +tp21289 +a(g436 +g5180 +tp21290 +a(g413 +g1480 +tp21291 +a(g413 +g1482 +tp21292 +a(g436 +Vf_locals +p21293 +tp21294 +a(g706 +g1118 +tp21295 +a(g827 +g983 +tp21296 +a(g413 +g1262 +tp21297 +a(g413 +g1262 +tp21298 +a(g827 +g983 +tp21299 +a(g682 +VNULL +p21300 +tp21301 +a(g706 +g1118 +tp21302 +a(g827 +g983 +tp21303 +a(g706 +g1122 +tp21304 +a(g827 +V\u000a +p21305 +tp21306 +a(g827 +V +p21307 +tp21308 +a(g436 +VPyErr_SetString +p21309 +tp21310 +a(g706 +g1109 +tp21311 +a(g436 +VPyExc_SystemError +p21312 +tp21313 +a(g706 +g1139 +tp21314 +a(g827 +V\u000a +p21315 +tp21316 +a(g827 +V +p21317 +tp21318 +a(g89 +g1169 +tp21319 +a(g89 +Vno locals found during 'import *' +p21320 +tp21321 +a(g89 +g1169 +tp21322 +a(g706 +g1118 +tp21323 +a(g706 +g1078 +tp21324 +a(g827 +V\u000a +p21325 +tp21326 +a(g827 +V +p21327 +tp21328 +a(g745 +Vbreak +p21329 +tp21330 +a(g706 +g1078 +tp21331 +a(g827 +V\u000a +p21332 +tp21333 +a(g827 +V +p21334 +tp21335 +a(g706 +g1336 +tp21336 +a(g827 +V\u000a +p21337 +tp21338 +a(g827 +V +p21339 +tp21340 +a(g436 +VREAD_TIMESTAMP +p21341 +tp21342 +a(g706 +g1109 +tp21343 +a(g436 +Vintr0 +p21344 +tp21345 +a(g706 +g1118 +tp21346 +a(g706 +g1078 +tp21347 +a(g827 +V\u000a +p21348 +tp21349 +a(g827 +V +p21350 +tp21351 +a(g436 +Verr +p21352 +tp21353 +a(g827 +g983 +tp21354 +a(g413 +g1262 +tp21355 +a(g827 +g983 +tp21356 +a(g436 +Vimport_all_from +p21357 +tp21358 +a(g706 +g1109 +tp21359 +a(g436 +g5367 +tp21360 +a(g706 +g1139 +tp21361 +a(g827 +g983 +tp21362 +a(g436 +g1116 +tp21363 +a(g706 +g1118 +tp21364 +a(g706 +g1078 +tp21365 +a(g827 +V\u000a +p21366 +tp21367 +a(g827 +V +p21368 +tp21369 +a(g436 +VREAD_TIMESTAMP +p21370 +tp21371 +a(g706 +g1109 +tp21372 +a(g436 +Vintr1 +p21373 +tp21374 +a(g706 +g1118 +tp21375 +a(g706 +g1078 +tp21376 +a(g827 +V\u000a +p21377 +tp21378 +a(g827 +V +p21379 +tp21380 +a(g436 +VPyFrame_LocalsToFast +p21381 +tp21382 +a(g706 +g1109 +tp21383 +a(g436 +g5180 +tp21384 +a(g706 +g1139 +tp21385 +a(g827 +g983 +tp21386 +a(g37 +g1269 +tp21387 +a(g706 +g1118 +tp21388 +a(g706 +g1078 +tp21389 +a(g827 +V\u000a +p21390 +tp21391 +a(g827 +V +p21392 +tp21393 +a(g436 +VPy_DECREF +p21394 +tp21395 +a(g706 +g1109 +tp21396 +a(g436 +g1116 +tp21397 +a(g706 +g1118 +tp21398 +a(g706 +g1078 +tp21399 +a(g827 +V\u000a +p21400 +tp21401 +a(g827 +V +p21402 +tp21403 +a(g745 +Vif +p21404 +tp21405 +a(g827 +g983 +tp21406 +a(g706 +g1109 +tp21407 +a(g436 +Verr +p21408 +tp21409 +a(g827 +g983 +tp21410 +a(g413 +g1262 +tp21411 +a(g413 +g1262 +tp21412 +a(g827 +g983 +tp21413 +a(g37 +g1269 +tp21414 +a(g706 +g1118 +tp21415 +a(g827 +g983 +tp21416 +a(g745 +Vcontinue +p21417 +tp21418 +a(g706 +g1078 +tp21419 +a(g827 +V\u000a +p21420 +tp21421 +a(g827 +V +p21422 +tp21423 +a(g745 +Vbreak +p21424 +tp21425 +a(g706 +g1078 +tp21426 +a(g827 +V\u000a +p21427 +tp21428 +a(g827 +V\u000a +p21429 +tp21430 +a(g827 +V +p21431 +tp21432 +a(g745 +Vcase +p21433 +tp21434 +a(g827 +g983 +tp21435 +a(g597 +VIMPORT_FROM +p21436 +tp21437 +a(g706 +g1157 +tp21438 +a(g827 +V\u000a +p21439 +tp21440 +a(g827 +V +p21441 +tp21442 +a(g436 +g5398 +tp21443 +a(g827 +g983 +tp21444 +a(g413 +g1262 +tp21445 +a(g827 +g983 +tp21446 +a(g436 +VGETITEM +p21447 +tp21448 +a(g706 +g1109 +tp21449 +a(g436 +Vnames +p21450 +tp21451 +a(g706 +g1139 +tp21452 +a(g827 +g983 +tp21453 +a(g436 +Voparg +p21454 +tp21455 +a(g706 +g1118 +tp21456 +a(g706 +g1078 +tp21457 +a(g827 +V\u000a +p21458 +tp21459 +a(g827 +V +p21460 +tp21461 +a(g436 +g1116 +tp21462 +a(g827 +g983 +tp21463 +a(g413 +g1262 +tp21464 +a(g827 +g983 +tp21465 +a(g436 +VTOP +p21466 +tp21467 +a(g706 +g1109 +tp21468 +a(g706 +g1118 +tp21469 +a(g706 +g1078 +tp21470 +a(g827 +V\u000a +p21471 +tp21472 +a(g827 +V +p21473 +tp21474 +a(g436 +VREAD_TIMESTAMP +p21475 +tp21476 +a(g706 +g1109 +tp21477 +a(g436 +Vintr0 +p21478 +tp21479 +a(g706 +g1118 +tp21480 +a(g706 +g1078 +tp21481 +a(g827 +V\u000a +p21482 +tp21483 +a(g827 +V +p21484 +tp21485 +a(g436 +g5367 +tp21486 +a(g827 +g983 +tp21487 +a(g413 +g1262 +tp21488 +a(g827 +g983 +tp21489 +a(g436 +Vimport_from +p21490 +tp21491 +a(g706 +g1109 +tp21492 +a(g436 +g1116 +tp21493 +a(g706 +g1139 +tp21494 +a(g827 +g983 +tp21495 +a(g436 +g5398 +tp21496 +a(g706 +g1118 +tp21497 +a(g706 +g1078 +tp21498 +a(g827 +V\u000a +p21499 +tp21500 +a(g827 +V +p21501 +tp21502 +a(g436 +VREAD_TIMESTAMP +p21503 +tp21504 +a(g706 +g1109 +tp21505 +a(g436 +Vintr1 +p21506 +tp21507 +a(g706 +g1118 +tp21508 +a(g706 +g1078 +tp21509 +a(g827 +V\u000a +p21510 +tp21511 +a(g827 +V +p21512 +tp21513 +a(g436 +VPUSH +p21514 +tp21515 +a(g706 +g1109 +tp21516 +a(g436 +g5367 +tp21517 +a(g706 +g1118 +tp21518 +a(g706 +g1078 +tp21519 +a(g827 +V\u000a +p21520 +tp21521 +a(g827 +V +p21522 +tp21523 +a(g745 +Vif +p21524 +tp21525 +a(g827 +g983 +tp21526 +a(g706 +g1109 +tp21527 +a(g436 +g5367 +tp21528 +a(g827 +g983 +tp21529 +a(g413 +g1260 +tp21530 +a(g413 +g1262 +tp21531 +a(g827 +g983 +tp21532 +a(g682 +VNULL +p21533 +tp21534 +a(g706 +g1118 +tp21535 +a(g827 +g983 +tp21536 +a(g745 +Vcontinue +p21537 +tp21538 +a(g706 +g1078 +tp21539 +a(g827 +V\u000a +p21540 +tp21541 +a(g827 +V +p21542 +tp21543 +a(g745 +Vbreak +p21544 +tp21545 +a(g706 +g1078 +tp21546 +a(g827 +V\u000a +p21547 +tp21548 +a(g827 +V\u000a +p21549 +tp21550 +a(g827 +V +p21551 +tp21552 +a(g745 +Vcase +p21553 +tp21554 +a(g827 +g983 +tp21555 +a(g597 +VJUMP_FORWARD +p21556 +tp21557 +a(g706 +g1157 +tp21558 +a(g827 +V\u000a +p21559 +tp21560 +a(g827 +V +p21561 +tp21562 +a(g436 +VJUMPBY +p21563 +tp21564 +a(g706 +g1109 +tp21565 +a(g436 +Voparg +p21566 +tp21567 +a(g706 +g1118 +tp21568 +a(g706 +g1078 +tp21569 +a(g827 +V\u000a +p21570 +tp21571 +a(g827 +V +p21572 +tp21573 +a(g745 +Vgoto +p21574 +tp21575 +a(g827 +g983 +tp21576 +a(g436 +Vfast_next_opcode +p21577 +tp21578 +a(g706 +g1078 +tp21579 +a(g827 +V\u000a +p21580 +tp21581 +a(g827 +V\u000a +p21582 +tp21583 +a(g827 +V +p21584 +tp21585 +a(g436 +VPREDICTED_WITH_ARG +p21586 +tp21587 +a(g706 +g1109 +tp21588 +a(g436 +VJUMP_IF_FALSE +p21589 +tp21590 +a(g706 +g1118 +tp21591 +a(g706 +g1078 +tp21592 +a(g827 +V\u000a +p21593 +tp21594 +a(g827 +V +p21595 +tp21596 +a(g745 +Vcase +p21597 +tp21598 +a(g827 +g983 +tp21599 +a(g597 +VJUMP_IF_FALSE +p21600 +tp21601 +a(g706 +g1157 +tp21602 +a(g827 +V\u000a +p21603 +tp21604 +a(g827 +V +p21605 +tp21606 +a(g436 +g5398 +tp21607 +a(g827 +g983 +tp21608 +a(g413 +g1262 +tp21609 +a(g827 +g983 +tp21610 +a(g436 +VTOP +p21611 +tp21612 +a(g706 +g1109 +tp21613 +a(g706 +g1118 +tp21614 +a(g706 +g1078 +tp21615 +a(g827 +V\u000a +p21616 +tp21617 +a(g827 +V +p21618 +tp21619 +a(g745 +Vif +p21620 +tp21621 +a(g827 +g983 +tp21622 +a(g706 +g1109 +tp21623 +a(g436 +g5398 +tp21624 +a(g827 +g983 +tp21625 +a(g413 +g1262 +tp21626 +a(g413 +g1262 +tp21627 +a(g827 +g983 +tp21628 +a(g436 +VPy_True +p21629 +tp21630 +a(g706 +g1118 +tp21631 +a(g827 +g983 +tp21632 +a(g706 +g1122 +tp21633 +a(g827 +V\u000a +p21634 +tp21635 +a(g827 +V +p21636 +tp21637 +a(g436 +VPREDICT +p21638 +tp21639 +a(g706 +g1109 +tp21640 +a(g436 +VPOP_TOP +p21641 +tp21642 +a(g706 +g1118 +tp21643 +a(g706 +g1078 +tp21644 +a(g827 +V\u000a +p21645 +tp21646 +a(g827 +V +p21647 +tp21648 +a(g745 +Vgoto +p21649 +tp21650 +a(g827 +g983 +tp21651 +a(g436 +Vfast_next_opcode +p21652 +tp21653 +a(g706 +g1078 +tp21654 +a(g827 +V\u000a +p21655 +tp21656 +a(g827 +V +p21657 +tp21658 +a(g706 +g1336 +tp21659 +a(g827 +V\u000a +p21660 +tp21661 +a(g827 +V +p21662 +tp21663 +a(g745 +Vif +p21664 +tp21665 +a(g827 +g983 +tp21666 +a(g706 +g1109 +tp21667 +a(g436 +g5398 +tp21668 +a(g827 +g983 +tp21669 +a(g413 +g1262 +tp21670 +a(g413 +g1262 +tp21671 +a(g827 +g983 +tp21672 +a(g436 +VPy_False +p21673 +tp21674 +a(g706 +g1118 +tp21675 +a(g827 +g983 +tp21676 +a(g706 +g1122 +tp21677 +a(g827 +V\u000a +p21678 +tp21679 +a(g827 +V +p21680 +tp21681 +a(g436 +VJUMPBY +p21682 +tp21683 +a(g706 +g1109 +tp21684 +a(g436 +Voparg +p21685 +tp21686 +a(g706 +g1118 +tp21687 +a(g706 +g1078 +tp21688 +a(g827 +V\u000a +p21689 +tp21690 +a(g827 +V +p21691 +tp21692 +a(g745 +Vgoto +p21693 +tp21694 +a(g827 +g983 +tp21695 +a(g436 +Vfast_next_opcode +p21696 +tp21697 +a(g706 +g1078 +tp21698 +a(g827 +V\u000a +p21699 +tp21700 +a(g827 +V +p21701 +tp21702 +a(g706 +g1336 +tp21703 +a(g827 +V\u000a +p21704 +tp21705 +a(g827 +V +p21706 +tp21707 +a(g436 +Verr +p21708 +tp21709 +a(g827 +g983 +tp21710 +a(g413 +g1262 +tp21711 +a(g827 +g983 +tp21712 +a(g436 +VPyObject_IsTrue +p21713 +tp21714 +a(g706 +g1109 +tp21715 +a(g436 +g5398 +tp21716 +a(g706 +g1118 +tp21717 +a(g706 +g1078 +tp21718 +a(g827 +V\u000a +p21719 +tp21720 +a(g827 +V +p21721 +tp21722 +a(g745 +Vif +p21723 +tp21724 +a(g827 +g983 +tp21725 +a(g706 +g1109 +tp21726 +a(g436 +Verr +p21727 +tp21728 +a(g827 +g983 +tp21729 +a(g413 +g1482 +tp21730 +a(g827 +g983 +tp21731 +a(g37 +g1269 +tp21732 +a(g706 +g1118 +tp21733 +a(g827 +V\u000a +p21734 +tp21735 +a(g827 +V +p21736 +tp21737 +a(g436 +Verr +p21738 +tp21739 +a(g827 +g983 +tp21740 +a(g413 +g1262 +tp21741 +a(g827 +g983 +tp21742 +a(g37 +g1269 +tp21743 +a(g706 +g1078 +tp21744 +a(g827 +V\u000a +p21745 +tp21746 +a(g827 +V +p21747 +tp21748 +a(g745 +Velse +p21749 +tp21750 +a(g827 +g983 +tp21751 +a(g745 +Vif +p21752 +tp21753 +a(g827 +g983 +tp21754 +a(g706 +g1109 +tp21755 +a(g436 +Verr +p21756 +tp21757 +a(g827 +g983 +tp21758 +a(g413 +g1262 +tp21759 +a(g413 +g1262 +tp21760 +a(g827 +g983 +tp21761 +a(g37 +g1269 +tp21762 +a(g706 +g1118 +tp21763 +a(g827 +V\u000a +p21764 +tp21765 +a(g827 +V +p21766 +tp21767 +a(g436 +VJUMPBY +p21768 +tp21769 +a(g706 +g1109 +tp21770 +a(g436 +Voparg +p21771 +tp21772 +a(g706 +g1118 +tp21773 +a(g706 +g1078 +tp21774 +a(g827 +V\u000a +p21775 +tp21776 +a(g827 +V +p21777 +tp21778 +a(g745 +Velse +p21779 +tp21780 +a(g827 +V\u000a +p21781 +tp21782 +a(g827 +V +p21783 +tp21784 +a(g745 +Vbreak +p21785 +tp21786 +a(g706 +g1078 +tp21787 +a(g827 +V\u000a +p21788 +tp21789 +a(g827 +V +p21790 +tp21791 +a(g745 +Vcontinue +p21792 +tp21793 +a(g706 +g1078 +tp21794 +a(g827 +V\u000a +p21795 +tp21796 +a(g827 +V\u000a +p21797 +tp21798 +a(g827 +V +p21799 +tp21800 +a(g436 +VPREDICTED_WITH_ARG +p21801 +tp21802 +a(g706 +g1109 +tp21803 +a(g436 +VJUMP_IF_TRUE +p21804 +tp21805 +a(g706 +g1118 +tp21806 +a(g706 +g1078 +tp21807 +a(g827 +V\u000a +p21808 +tp21809 +a(g827 +V +p21810 +tp21811 +a(g745 +Vcase +p21812 +tp21813 +a(g827 +g983 +tp21814 +a(g597 +VJUMP_IF_TRUE +p21815 +tp21816 +a(g706 +g1157 +tp21817 +a(g827 +V\u000a +p21818 +tp21819 +a(g827 +V +p21820 +tp21821 +a(g436 +g5398 +tp21822 +a(g827 +g983 +tp21823 +a(g413 +g1262 +tp21824 +a(g827 +g983 +tp21825 +a(g436 +VTOP +p21826 +tp21827 +a(g706 +g1109 +tp21828 +a(g706 +g1118 +tp21829 +a(g706 +g1078 +tp21830 +a(g827 +V\u000a +p21831 +tp21832 +a(g827 +V +p21833 +tp21834 +a(g745 +Vif +p21835 +tp21836 +a(g827 +g983 +tp21837 +a(g706 +g1109 +tp21838 +a(g436 +g5398 +tp21839 +a(g827 +g983 +tp21840 +a(g413 +g1262 +tp21841 +a(g413 +g1262 +tp21842 +a(g827 +g983 +tp21843 +a(g436 +VPy_False +p21844 +tp21845 +a(g706 +g1118 +tp21846 +a(g827 +g983 +tp21847 +a(g706 +g1122 +tp21848 +a(g827 +V\u000a +p21849 +tp21850 +a(g827 +V +p21851 +tp21852 +a(g436 +VPREDICT +p21853 +tp21854 +a(g706 +g1109 +tp21855 +a(g436 +VPOP_TOP +p21856 +tp21857 +a(g706 +g1118 +tp21858 +a(g706 +g1078 +tp21859 +a(g827 +V\u000a +p21860 +tp21861 +a(g827 +V +p21862 +tp21863 +a(g745 +Vgoto +p21864 +tp21865 +a(g827 +g983 +tp21866 +a(g436 +Vfast_next_opcode +p21867 +tp21868 +a(g706 +g1078 +tp21869 +a(g827 +V\u000a +p21870 +tp21871 +a(g827 +V +p21872 +tp21873 +a(g706 +g1336 +tp21874 +a(g827 +V\u000a +p21875 +tp21876 +a(g827 +V +p21877 +tp21878 +a(g745 +Vif +p21879 +tp21880 +a(g827 +g983 +tp21881 +a(g706 +g1109 +tp21882 +a(g436 +g5398 +tp21883 +a(g827 +g983 +tp21884 +a(g413 +g1262 +tp21885 +a(g413 +g1262 +tp21886 +a(g827 +g983 +tp21887 +a(g436 +VPy_True +p21888 +tp21889 +a(g706 +g1118 +tp21890 +a(g827 +g983 +tp21891 +a(g706 +g1122 +tp21892 +a(g827 +V\u000a +p21893 +tp21894 +a(g827 +V +p21895 +tp21896 +a(g436 +VJUMPBY +p21897 +tp21898 +a(g706 +g1109 +tp21899 +a(g436 +Voparg +p21900 +tp21901 +a(g706 +g1118 +tp21902 +a(g706 +g1078 +tp21903 +a(g827 +V\u000a +p21904 +tp21905 +a(g827 +V +p21906 +tp21907 +a(g745 +Vgoto +p21908 +tp21909 +a(g827 +g983 +tp21910 +a(g436 +Vfast_next_opcode +p21911 +tp21912 +a(g706 +g1078 +tp21913 +a(g827 +V\u000a +p21914 +tp21915 +a(g827 +V +p21916 +tp21917 +a(g706 +g1336 +tp21918 +a(g827 +V\u000a +p21919 +tp21920 +a(g827 +V +p21921 +tp21922 +a(g436 +Verr +p21923 +tp21924 +a(g827 +g983 +tp21925 +a(g413 +g1262 +tp21926 +a(g827 +g983 +tp21927 +a(g436 +VPyObject_IsTrue +p21928 +tp21929 +a(g706 +g1109 +tp21930 +a(g436 +g5398 +tp21931 +a(g706 +g1118 +tp21932 +a(g706 +g1078 +tp21933 +a(g827 +V\u000a +p21934 +tp21935 +a(g827 +V +p21936 +tp21937 +a(g745 +Vif +p21938 +tp21939 +a(g827 +g983 +tp21940 +a(g706 +g1109 +tp21941 +a(g436 +Verr +p21942 +tp21943 +a(g827 +g983 +tp21944 +a(g413 +g1482 +tp21945 +a(g827 +g983 +tp21946 +a(g37 +g1269 +tp21947 +a(g706 +g1118 +tp21948 +a(g827 +g983 +tp21949 +a(g706 +g1122 +tp21950 +a(g827 +V\u000a +p21951 +tp21952 +a(g827 +V +p21953 +tp21954 +a(g436 +Verr +p21955 +tp21956 +a(g827 +g983 +tp21957 +a(g413 +g1262 +tp21958 +a(g827 +g983 +tp21959 +a(g37 +g1269 +tp21960 +a(g706 +g1078 +tp21961 +a(g827 +V\u000a +p21962 +tp21963 +a(g827 +V +p21964 +tp21965 +a(g436 +VJUMPBY +p21966 +tp21967 +a(g706 +g1109 +tp21968 +a(g436 +Voparg +p21969 +tp21970 +a(g706 +g1118 +tp21971 +a(g706 +g1078 +tp21972 +a(g827 +V\u000a +p21973 +tp21974 +a(g827 +V +p21975 +tp21976 +a(g706 +g1336 +tp21977 +a(g827 +V\u000a +p21978 +tp21979 +a(g827 +V +p21980 +tp21981 +a(g745 +Velse +p21982 +tp21983 +a(g827 +g983 +tp21984 +a(g745 +Vif +p21985 +tp21986 +a(g827 +g983 +tp21987 +a(g706 +g1109 +tp21988 +a(g436 +Verr +p21989 +tp21990 +a(g827 +g983 +tp21991 +a(g413 +g1262 +tp21992 +a(g413 +g1262 +tp21993 +a(g827 +g983 +tp21994 +a(g37 +g1269 +tp21995 +a(g706 +g1118 +tp21996 +a(g827 +V\u000a +p21997 +tp21998 +a(g827 +V +p21999 +tp22000 +a(g706 +g1078 +tp22001 +a(g827 +V\u000a +p22002 +tp22003 +a(g827 +V +p22004 +tp22005 +a(g745 +Velse +p22006 +tp22007 +a(g827 +V\u000a +p22008 +tp22009 +a(g827 +V +p22010 +tp22011 +a(g745 +Vbreak +p22012 +tp22013 +a(g706 +g1078 +tp22014 +a(g827 +V\u000a +p22015 +tp22016 +a(g827 +V +p22017 +tp22018 +a(g745 +Vcontinue +p22019 +tp22020 +a(g706 +g1078 +tp22021 +a(g827 +V\u000a +p22022 +tp22023 +a(g827 +V\u000a +p22024 +tp22025 +a(g827 +V +p22026 +tp22027 +a(g436 +VPREDICTED_WITH_ARG +p22028 +tp22029 +a(g706 +g1109 +tp22030 +a(g436 +VJUMP_ABSOLUTE +p22031 +tp22032 +a(g706 +g1118 +tp22033 +a(g706 +g1078 +tp22034 +a(g827 +V\u000a +p22035 +tp22036 +a(g827 +V +p22037 +tp22038 +a(g745 +Vcase +p22039 +tp22040 +a(g827 +g983 +tp22041 +a(g597 +VJUMP_ABSOLUTE +p22042 +tp22043 +a(g706 +g1157 +tp22044 +a(g827 +V\u000a +p22045 +tp22046 +a(g827 +V +p22047 +tp22048 +a(g436 +VJUMPTO +p22049 +tp22050 +a(g706 +g1109 +tp22051 +a(g436 +Voparg +p22052 +tp22053 +a(g706 +g1118 +tp22054 +a(g706 +g1078 +tp22055 +a(g827 +V\u000a +p22056 +tp22057 +a(g827 +V +p22058 +tp22059 +a(g745 +Vcontinue +p22060 +tp22061 +a(g706 +g1078 +tp22062 +a(g827 +V\u000a +p22063 +tp22064 +a(g827 +V\u000a +p22065 +tp22066 +a(g827 +V +p22067 +tp22068 +a(g745 +Vcase +p22069 +tp22070 +a(g827 +g983 +tp22071 +a(g597 +VGET_ITER +p22072 +tp22073 +a(g706 +g1157 +tp22074 +a(g827 +V\u000a +p22075 +tp22076 +a(g827 +V +p22077 +tp22078 +a(g8 +V/* before: [obj]; after [getiter(obj)] */ +p22079 +tp22080 +a(g827 +V\u000a +p22081 +tp22082 +a(g827 +V +p22083 +tp22084 +a(g436 +g1116 +tp22085 +a(g827 +g983 +tp22086 +a(g413 +g1262 +tp22087 +a(g827 +g983 +tp22088 +a(g436 +VTOP +p22089 +tp22090 +a(g706 +g1109 +tp22091 +a(g706 +g1118 +tp22092 +a(g706 +g1078 +tp22093 +a(g827 +V\u000a +p22094 +tp22095 +a(g827 +V +p22096 +tp22097 +a(g436 +g5367 +tp22098 +a(g827 +g983 +tp22099 +a(g413 +g1262 +tp22100 +a(g827 +g983 +tp22101 +a(g436 +VPyObject_GetIter +p22102 +tp22103 +a(g706 +g1109 +tp22104 +a(g436 +g1116 +tp22105 +a(g706 +g1118 +tp22106 +a(g706 +g1078 +tp22107 +a(g827 +V\u000a +p22108 +tp22109 +a(g827 +V +p22110 +tp22111 +a(g436 +VPy_DECREF +p22112 +tp22113 +a(g706 +g1109 +tp22114 +a(g436 +g1116 +tp22115 +a(g706 +g1118 +tp22116 +a(g706 +g1078 +tp22117 +a(g827 +V\u000a +p22118 +tp22119 +a(g827 +V +p22120 +tp22121 +a(g745 +Vif +p22122 +tp22123 +a(g827 +g983 +tp22124 +a(g706 +g1109 +tp22125 +a(g436 +g5367 +tp22126 +a(g827 +g983 +tp22127 +a(g413 +g1260 +tp22128 +a(g413 +g1262 +tp22129 +a(g827 +g983 +tp22130 +a(g682 +VNULL +p22131 +tp22132 +a(g706 +g1118 +tp22133 +a(g827 +g983 +tp22134 +a(g706 +g1122 +tp22135 +a(g827 +V\u000a +p22136 +tp22137 +a(g827 +V +p22138 +tp22139 +a(g436 +VSET_TOP +p22140 +tp22141 +a(g706 +g1109 +tp22142 +a(g436 +g5367 +tp22143 +a(g706 +g1118 +tp22144 +a(g706 +g1078 +tp22145 +a(g827 +V\u000a +p22146 +tp22147 +a(g827 +V +p22148 +tp22149 +a(g436 +VPREDICT +p22150 +tp22151 +a(g706 +g1109 +tp22152 +a(g436 +VFOR_ITER +p22153 +tp22154 +a(g706 +g1118 +tp22155 +a(g706 +g1078 +tp22156 +a(g827 +V\u000a +p22157 +tp22158 +a(g827 +V +p22159 +tp22160 +a(g745 +Vcontinue +p22161 +tp22162 +a(g706 +g1078 +tp22163 +a(g827 +V\u000a +p22164 +tp22165 +a(g827 +V +p22166 +tp22167 +a(g706 +g1336 +tp22168 +a(g827 +V\u000a +p22169 +tp22170 +a(g827 +V +p22171 +tp22172 +a(g436 +VSTACKADJ +p22173 +tp22174 +a(g706 +g1109 +tp22175 +a(g413 +g1480 +tp22176 +a(g37 +g1325 +tp22177 +a(g706 +g1118 +tp22178 +a(g706 +g1078 +tp22179 +a(g827 +V\u000a +p22180 +tp22181 +a(g827 +V +p22182 +tp22183 +a(g745 +Vbreak +p22184 +tp22185 +a(g706 +g1078 +tp22186 +a(g827 +V\u000a +p22187 +tp22188 +a(g827 +V\u000a +p22189 +tp22190 +a(g827 +V +p22191 +tp22192 +a(g436 +VPREDICTED_WITH_ARG +p22193 +tp22194 +a(g706 +g1109 +tp22195 +a(g436 +VFOR_ITER +p22196 +tp22197 +a(g706 +g1118 +tp22198 +a(g706 +g1078 +tp22199 +a(g827 +V\u000a +p22200 +tp22201 +a(g827 +V +p22202 +tp22203 +a(g745 +Vcase +p22204 +tp22205 +a(g827 +g983 +tp22206 +a(g597 +VFOR_ITER +p22207 +tp22208 +a(g706 +g1157 +tp22209 +a(g827 +V\u000a +p22210 +tp22211 +a(g827 +V +p22212 +tp22213 +a(g8 +V/* before: [iter]; after: [iter, iter()] *or* [] */ +p22214 +tp22215 +a(g827 +V\u000a +p22216 +tp22217 +a(g827 +V +p22218 +tp22219 +a(g436 +g1116 +tp22220 +a(g827 +g983 +tp22221 +a(g413 +g1262 +tp22222 +a(g827 +g983 +tp22223 +a(g436 +VTOP +p22224 +tp22225 +a(g706 +g1109 +tp22226 +a(g706 +g1118 +tp22227 +a(g706 +g1078 +tp22228 +a(g827 +V\u000a +p22229 +tp22230 +a(g827 +V +p22231 +tp22232 +a(g436 +g5367 +tp22233 +a(g827 +g983 +tp22234 +a(g413 +g1262 +tp22235 +a(g827 +g983 +tp22236 +a(g706 +g1109 +tp22237 +a(g413 +g1114 +tp22238 +a(g436 +g1116 +tp22239 +a(g413 +g1480 +tp22240 +a(g413 +g1482 +tp22241 +a(g436 +Vob_type +p22242 +tp22243 +a(g413 +g1480 +tp22244 +a(g413 +g1482 +tp22245 +a(g436 +Vtp_iternext +p22246 +tp22247 +a(g706 +g1118 +tp22248 +a(g706 +g1109 +tp22249 +a(g436 +g1116 +tp22250 +a(g706 +g1118 +tp22251 +a(g706 +g1078 +tp22252 +a(g827 +V\u000a +p22253 +tp22254 +a(g827 +V +p22255 +tp22256 +a(g745 +Vif +p22257 +tp22258 +a(g827 +g983 +tp22259 +a(g706 +g1109 +tp22260 +a(g436 +g5367 +tp22261 +a(g827 +g983 +tp22262 +a(g413 +g1260 +tp22263 +a(g413 +g1262 +tp22264 +a(g827 +g983 +tp22265 +a(g682 +VNULL +p22266 +tp22267 +a(g706 +g1118 +tp22268 +a(g827 +g983 +tp22269 +a(g706 +g1122 +tp22270 +a(g827 +V\u000a +p22271 +tp22272 +a(g827 +V +p22273 +tp22274 +a(g436 +VPUSH +p22275 +tp22276 +a(g706 +g1109 +tp22277 +a(g436 +g5367 +tp22278 +a(g706 +g1118 +tp22279 +a(g706 +g1078 +tp22280 +a(g827 +V\u000a +p22281 +tp22282 +a(g827 +V +p22283 +tp22284 +a(g436 +VPREDICT +p22285 +tp22286 +a(g706 +g1109 +tp22287 +a(g436 +VSTORE_FAST +p22288 +tp22289 +a(g706 +g1118 +tp22290 +a(g706 +g1078 +tp22291 +a(g827 +V\u000a +p22292 +tp22293 +a(g827 +V +p22294 +tp22295 +a(g436 +VPREDICT +p22296 +tp22297 +a(g706 +g1109 +tp22298 +a(g436 +VUNPACK_SEQUENCE +p22299 +tp22300 +a(g706 +g1118 +tp22301 +a(g706 +g1078 +tp22302 +a(g827 +V\u000a +p22303 +tp22304 +a(g827 +V +p22305 +tp22306 +a(g745 +Vcontinue +p22307 +tp22308 +a(g706 +g1078 +tp22309 +a(g827 +V\u000a +p22310 +tp22311 +a(g827 +V +p22312 +tp22313 +a(g706 +g1336 +tp22314 +a(g827 +V\u000a +p22315 +tp22316 +a(g827 +V +p22317 +tp22318 +a(g745 +Vif +p22319 +tp22320 +a(g827 +g983 +tp22321 +a(g706 +g1109 +tp22322 +a(g436 +VPyErr_Occurred +p22323 +tp22324 +a(g706 +g1109 +tp22325 +a(g706 +g1118 +tp22326 +a(g706 +g1118 +tp22327 +a(g827 +g983 +tp22328 +a(g706 +g1122 +tp22329 +a(g827 +V\u000a +p22330 +tp22331 +a(g827 +V +p22332 +tp22333 +a(g745 +Vif +p22334 +tp22335 +a(g827 +g983 +tp22336 +a(g706 +g1109 +tp22337 +a(g413 +g1260 +tp22338 +a(g436 +VPyErr_ExceptionMatches +p22339 +tp22340 +a(g706 +g1109 +tp22341 +a(g436 +VPyExc_StopIteration +p22342 +tp22343 +a(g706 +g1118 +tp22344 +a(g706 +g1118 +tp22345 +a(g827 +V\u000a +p22346 +tp22347 +a(g827 +V +p22348 +tp22349 +a(g745 +Vbreak +p22350 +tp22351 +a(g706 +g1078 +tp22352 +a(g827 +V\u000a +p22353 +tp22354 +a(g827 +V +p22355 +tp22356 +a(g436 +VPyErr_Clear +p22357 +tp22358 +a(g706 +g1109 +tp22359 +a(g706 +g1118 +tp22360 +a(g706 +g1078 +tp22361 +a(g827 +V\u000a +p22362 +tp22363 +a(g827 +V +p22364 +tp22365 +a(g706 +g1336 +tp22366 +a(g827 +V\u000a +p22367 +tp22368 +a(g827 +V +p22369 +tp22370 +a(g8 +V/* iterator ended normally */ +p22371 +tp22372 +a(g827 +V\u000a +p22373 +tp22374 +a(g827 +V +p22375 +tp22376 +a(g436 +g5367 +tp22377 +a(g827 +g983 +tp22378 +a(g413 +g1262 +tp22379 +a(g827 +g983 +tp22380 +a(g436 +g1116 +tp22381 +a(g827 +g983 +tp22382 +a(g413 +g1262 +tp22383 +a(g827 +g983 +tp22384 +a(g436 +VPOP +p22385 +tp22386 +a(g706 +g1109 +tp22387 +a(g706 +g1118 +tp22388 +a(g706 +g1078 +tp22389 +a(g827 +V\u000a +p22390 +tp22391 +a(g827 +V +p22392 +tp22393 +a(g436 +VPy_DECREF +p22394 +tp22395 +a(g706 +g1109 +tp22396 +a(g436 +g1116 +tp22397 +a(g706 +g1118 +tp22398 +a(g706 +g1078 +tp22399 +a(g827 +V\u000a +p22400 +tp22401 +a(g827 +V +p22402 +tp22403 +a(g436 +VJUMPBY +p22404 +tp22405 +a(g706 +g1109 +tp22406 +a(g436 +Voparg +p22407 +tp22408 +a(g706 +g1118 +tp22409 +a(g706 +g1078 +tp22410 +a(g827 +V\u000a +p22411 +tp22412 +a(g827 +V +p22413 +tp22414 +a(g745 +Vcontinue +p22415 +tp22416 +a(g706 +g1078 +tp22417 +a(g827 +V\u000a +p22418 +tp22419 +a(g827 +V\u000a +p22420 +tp22421 +a(g827 +V +p22422 +tp22423 +a(g745 +Vcase +p22424 +tp22425 +a(g827 +g983 +tp22426 +a(g597 +VBREAK_LOOP +p22427 +tp22428 +a(g706 +g1157 +tp22429 +a(g827 +V\u000a +p22430 +tp22431 +a(g827 +V +p22432 +tp22433 +a(g436 +Vwhy +p22434 +tp22435 +a(g827 +g983 +tp22436 +a(g413 +g1262 +tp22437 +a(g827 +g983 +tp22438 +a(g436 +VWHY_BREAK +p22439 +tp22440 +a(g706 +g1078 +tp22441 +a(g827 +V\u000a +p22442 +tp22443 +a(g827 +V +p22444 +tp22445 +a(g745 +Vgoto +p22446 +tp22447 +a(g827 +g983 +tp22448 +a(g436 +Vfast_block_end +p22449 +tp22450 +a(g706 +g1078 +tp22451 +a(g827 +V\u000a +p22452 +tp22453 +a(g827 +V\u000a +p22454 +tp22455 +a(g827 +V +p22456 +tp22457 +a(g745 +Vcase +p22458 +tp22459 +a(g827 +g983 +tp22460 +a(g597 +VCONTINUE_LOOP +p22461 +tp22462 +a(g706 +g1157 +tp22463 +a(g827 +V\u000a +p22464 +tp22465 +a(g827 +V +p22466 +tp22467 +a(g436 +Vretval +p22468 +tp22469 +a(g827 +g983 +tp22470 +a(g413 +g1262 +tp22471 +a(g827 +g983 +tp22472 +a(g436 +VPyInt_FromLong +p22473 +tp22474 +a(g706 +g1109 +tp22475 +a(g436 +Voparg +p22476 +tp22477 +a(g706 +g1118 +tp22478 +a(g706 +g1078 +tp22479 +a(g827 +V\u000a +p22480 +tp22481 +a(g827 +V +p22482 +tp22483 +a(g745 +Vif +p22484 +tp22485 +a(g827 +g983 +tp22486 +a(g706 +g1109 +tp22487 +a(g413 +g1260 +tp22488 +a(g436 +Vretval +p22489 +tp22490 +a(g706 +g1118 +tp22491 +a(g827 +g983 +tp22492 +a(g706 +g1122 +tp22493 +a(g827 +V\u000a +p22494 +tp22495 +a(g827 +V +p22496 +tp22497 +a(g436 +g5367 +tp22498 +a(g827 +g983 +tp22499 +a(g413 +g1262 +tp22500 +a(g827 +g983 +tp22501 +a(g682 +VNULL +p22502 +tp22503 +a(g706 +g1078 +tp22504 +a(g827 +V\u000a +p22505 +tp22506 +a(g827 +V +p22507 +tp22508 +a(g745 +Vbreak +p22509 +tp22510 +a(g706 +g1078 +tp22511 +a(g827 +V\u000a +p22512 +tp22513 +a(g827 +V +p22514 +tp22515 +a(g706 +g1336 +tp22516 +a(g827 +V\u000a +p22517 +tp22518 +a(g827 +V +p22519 +tp22520 +a(g436 +Vwhy +p22521 +tp22522 +a(g827 +g983 +tp22523 +a(g413 +g1262 +tp22524 +a(g827 +g983 +tp22525 +a(g436 +VWHY_CONTINUE +p22526 +tp22527 +a(g706 +g1078 +tp22528 +a(g827 +V\u000a +p22529 +tp22530 +a(g827 +V +p22531 +tp22532 +a(g745 +Vgoto +p22533 +tp22534 +a(g827 +g983 +tp22535 +a(g436 +Vfast_block_end +p22536 +tp22537 +a(g706 +g1078 +tp22538 +a(g827 +V\u000a +p22539 +tp22540 +a(g827 +V\u000a +p22541 +tp22542 +a(g827 +V +p22543 +tp22544 +a(g745 +Vcase +p22545 +tp22546 +a(g827 +g983 +tp22547 +a(g597 +VSETUP_LOOP +p22548 +tp22549 +a(g706 +g1157 +tp22550 +a(g827 +V\u000a +p22551 +tp22552 +a(g827 +V +p22553 +tp22554 +a(g745 +Vcase +p22555 +tp22556 +a(g827 +g983 +tp22557 +a(g597 +VSETUP_EXCEPT +p22558 +tp22559 +a(g706 +g1157 +tp22560 +a(g827 +V\u000a +p22561 +tp22562 +a(g827 +V +p22563 +tp22564 +a(g745 +Vcase +p22565 +tp22566 +a(g827 +g983 +tp22567 +a(g597 +VSETUP_FINALLY +p22568 +tp22569 +a(g706 +g1157 +tp22570 +a(g827 +V\u000a +p22571 +tp22572 +a(g827 +V +p22573 +tp22574 +a(g8 +V/* NOTE: If you add any new block-setup opcodes that are not try/except/finally\u000a handlers, you may need to update the PyGen_NeedsFinalizing() function. */ +p22575 +tp22576 +a(g827 +V\u000a +p22577 +tp22578 +a(g827 +V\u000a +p22579 +tp22580 +a(g827 +V +p22581 +tp22582 +a(g436 +VPyFrame_BlockSetup +p22583 +tp22584 +a(g706 +g1109 +tp22585 +a(g436 +g5180 +tp22586 +a(g706 +g1139 +tp22587 +a(g827 +g983 +tp22588 +a(g436 +Vopcode +p22589 +tp22590 +a(g706 +g1139 +tp22591 +a(g827 +g983 +tp22592 +a(g436 +VINSTR_OFFSET +p22593 +tp22594 +a(g706 +g1109 +tp22595 +a(g706 +g1118 +tp22596 +a(g827 +g983 +tp22597 +a(g413 +g3965 +tp22598 +a(g827 +g983 +tp22599 +a(g436 +Voparg +p22600 +tp22601 +a(g706 +g1139 +tp22602 +a(g827 +V\u000a +p22603 +tp22604 +a(g827 +V +p22605 +tp22606 +a(g436 +VSTACK_LEVEL +p22607 +tp22608 +a(g706 +g1109 +tp22609 +a(g706 +g1118 +tp22610 +a(g706 +g1118 +tp22611 +a(g706 +g1078 +tp22612 +a(g827 +V\u000a +p22613 +tp22614 +a(g827 +V +p22615 +tp22616 +a(g745 +Vcontinue +p22617 +tp22618 +a(g706 +g1078 +tp22619 +a(g827 +V\u000a +p22620 +tp22621 +a(g827 +V\u000a +p22622 +tp22623 +a(g827 +V +p22624 +tp22625 +a(g745 +Vcase +p22626 +tp22627 +a(g827 +g983 +tp22628 +a(g597 +VWITH_CLEANUP +p22629 +tp22630 +a(g706 +g1157 +tp22631 +a(g827 +V\u000a +p22632 +tp22633 +a(g827 +V +p22634 +tp22635 +a(g706 +g1122 +tp22636 +a(g827 +V\u000a +p22637 +tp22638 +a(g827 +V +p22639 +tp22640 +a(g8 +V/* TOP is the context.__exit__ bound method.\u000a Below that are 1-3 values indicating how/why\u000a we entered the finally clause:\u000a - SECOND = None\u000a - (SECOND, THIRD) = (WHY_{RETURN,CONTINUE}), retval\u000a - SECOND = WHY_*; no retval below it\u000a - (SECOND, THIRD, FOURTH) = exc_info()\u000a In the last case, we must call\u000a TOP(SECOND, THIRD, FOURTH)\u000a otherwise we must call\u000a TOP(None, None, None)\u000a\u000a In addition, if the stack represents an exception,\u000a *and* the function call returns a 'true' value, we\u000a "zap" this information, to prevent END_FINALLY from\u000a re-raising the exception. (But non-local gotos\u000a should still be resumed.)\u000a */ +p22641 +tp22642 +a(g827 +V\u000a +p22643 +tp22644 +a(g827 +V\u000a +p22645 +tp22646 +a(g827 +V +p22647 +tp22648 +a(g436 +g5367 +tp22649 +a(g827 +g983 +tp22650 +a(g413 +g1262 +tp22651 +a(g827 +g983 +tp22652 +a(g436 +VTOP +p22653 +tp22654 +a(g706 +g1109 +tp22655 +a(g706 +g1118 +tp22656 +a(g706 +g1078 +tp22657 +a(g827 +V\u000a +p22658 +tp22659 +a(g827 +V +p22660 +tp22661 +a(g436 +g5411 +tp22662 +a(g827 +g983 +tp22663 +a(g413 +g1262 +tp22664 +a(g827 +g983 +tp22665 +a(g436 +VSECOND +p22666 +tp22667 +a(g706 +g1109 +tp22668 +a(g706 +g1118 +tp22669 +a(g706 +g1078 +tp22670 +a(g827 +V\u000a +p22671 +tp22672 +a(g827 +V +p22673 +tp22674 +a(g745 +Vif +p22675 +tp22676 +a(g827 +g983 +tp22677 +a(g706 +g1109 +tp22678 +a(g436 +VPyInt_Check +p22679 +tp22680 +a(g706 +g1109 +tp22681 +a(g436 +g5411 +tp22682 +a(g706 +g1118 +tp22683 +a(g827 +g983 +tp22684 +a(g413 +g15057 +tp22685 +a(g413 +g15057 +tp22686 +a(g827 +g983 +tp22687 +a(g436 +g5411 +tp22688 +a(g827 +g983 +tp22689 +a(g413 +g1262 +tp22690 +a(g413 +g1262 +tp22691 +a(g827 +g983 +tp22692 +a(g436 +VPy_None +p22693 +tp22694 +a(g706 +g1118 +tp22695 +a(g827 +g983 +tp22696 +a(g706 +g1122 +tp22697 +a(g827 +V\u000a +p22698 +tp22699 +a(g827 +V +p22700 +tp22701 +a(g436 +g5411 +tp22702 +a(g827 +g983 +tp22703 +a(g413 +g1262 +tp22704 +a(g827 +g983 +tp22705 +a(g436 +g1116 +tp22706 +a(g827 +g983 +tp22707 +a(g413 +g1262 +tp22708 +a(g827 +g983 +tp22709 +a(g436 +g5398 +tp22710 +a(g827 +g983 +tp22711 +a(g413 +g1262 +tp22712 +a(g827 +g983 +tp22713 +a(g436 +VPy_None +p22714 +tp22715 +a(g706 +g1078 +tp22716 +a(g827 +V\u000a +p22717 +tp22718 +a(g827 +V +p22719 +tp22720 +a(g706 +g1336 +tp22721 +a(g827 +V\u000a +p22722 +tp22723 +a(g827 +V +p22724 +tp22725 +a(g745 +Velse +p22726 +tp22727 +a(g827 +g983 +tp22728 +a(g706 +g1122 +tp22729 +a(g827 +V\u000a +p22730 +tp22731 +a(g827 +V +p22732 +tp22733 +a(g436 +g1116 +tp22734 +a(g827 +g983 +tp22735 +a(g413 +g1262 +tp22736 +a(g827 +g983 +tp22737 +a(g436 +VTHIRD +p22738 +tp22739 +a(g706 +g1109 +tp22740 +a(g706 +g1118 +tp22741 +a(g706 +g1078 +tp22742 +a(g827 +V\u000a +p22743 +tp22744 +a(g827 +V +p22745 +tp22746 +a(g436 +g5398 +tp22747 +a(g827 +g983 +tp22748 +a(g413 +g1262 +tp22749 +a(g827 +g983 +tp22750 +a(g436 +VFOURTH +p22751 +tp22752 +a(g706 +g1109 +tp22753 +a(g706 +g1118 +tp22754 +a(g706 +g1078 +tp22755 +a(g827 +V\u000a +p22756 +tp22757 +a(g827 +V +p22758 +tp22759 +a(g706 +g1336 +tp22760 +a(g827 +V\u000a +p22761 +tp22762 +a(g827 +V +p22763 +tp22764 +a(g8 +V/* XXX Not the fastest way to call it... */ +p22765 +tp22766 +a(g827 +V\u000a +p22767 +tp22768 +a(g827 +V +p22769 +tp22770 +a(g436 +g5367 +tp22771 +a(g827 +g983 +tp22772 +a(g413 +g1262 +tp22773 +a(g827 +g983 +tp22774 +a(g436 +VPyObject_CallFunctionObjArgs +p22775 +tp22776 +a(g706 +g1109 +tp22777 +a(g436 +g5367 +tp22778 +a(g706 +g1139 +tp22779 +a(g827 +g983 +tp22780 +a(g436 +g5411 +tp22781 +a(g706 +g1139 +tp22782 +a(g827 +g983 +tp22783 +a(g436 +g1116 +tp22784 +a(g706 +g1139 +tp22785 +a(g827 +g983 +tp22786 +a(g436 +g5398 +tp22787 +a(g706 +g1139 +tp22788 +a(g827 +g983 +tp22789 +a(g682 +VNULL +p22790 +tp22791 +a(g706 +g1118 +tp22792 +a(g706 +g1078 +tp22793 +a(g827 +V\u000a +p22794 +tp22795 +a(g827 +V +p22796 +tp22797 +a(g745 +Vif +p22798 +tp22799 +a(g827 +g983 +tp22800 +a(g706 +g1109 +tp22801 +a(g436 +g5367 +tp22802 +a(g827 +g983 +tp22803 +a(g413 +g1262 +tp22804 +a(g413 +g1262 +tp22805 +a(g827 +g983 +tp22806 +a(g682 +VNULL +p22807 +tp22808 +a(g706 +g1118 +tp22809 +a(g827 +V\u000a +p22810 +tp22811 +a(g827 +V +p22812 +tp22813 +a(g745 +Vbreak +p22814 +tp22815 +a(g706 +g1078 +tp22816 +a(g827 +g983 +tp22817 +a(g8 +V/* Go to error exit */ +p22818 +tp22819 +a(g827 +V\u000a +p22820 +tp22821 +a(g827 +V +p22822 +tp22823 +a(g745 +Vif +p22824 +tp22825 +a(g827 +g983 +tp22826 +a(g706 +g1109 +tp22827 +a(g436 +g5411 +tp22828 +a(g827 +g983 +tp22829 +a(g413 +g1260 +tp22830 +a(g413 +g1262 +tp22831 +a(g827 +g983 +tp22832 +a(g436 +VPy_None +p22833 +tp22834 +a(g827 +g983 +tp22835 +a(g413 +g4166 +tp22836 +a(g413 +g4166 +tp22837 +a(g827 +g983 +tp22838 +a(g436 +VPyObject_IsTrue +p22839 +tp22840 +a(g706 +g1109 +tp22841 +a(g436 +g5367 +tp22842 +a(g706 +g1118 +tp22843 +a(g706 +g1118 +tp22844 +a(g827 +g983 +tp22845 +a(g706 +g1122 +tp22846 +a(g827 +V\u000a +p22847 +tp22848 +a(g827 +V +p22849 +tp22850 +a(g8 +V/* There was an exception and a true return */ +p22851 +tp22852 +a(g827 +V\u000a +p22853 +tp22854 +a(g827 +V +p22855 +tp22856 +a(g436 +VPy_DECREF +p22857 +tp22858 +a(g706 +g1109 +tp22859 +a(g436 +g5367 +tp22860 +a(g706 +g1118 +tp22861 +a(g706 +g1078 +tp22862 +a(g827 +V\u000a +p22863 +tp22864 +a(g827 +V +p22865 +tp22866 +a(g436 +g5367 +tp22867 +a(g827 +g983 +tp22868 +a(g413 +g1262 +tp22869 +a(g827 +g983 +tp22870 +a(g436 +VTOP +p22871 +tp22872 +a(g706 +g1109 +tp22873 +a(g706 +g1118 +tp22874 +a(g706 +g1078 +tp22875 +a(g827 +g983 +tp22876 +a(g8 +V/* Again */ +p22877 +tp22878 +a(g827 +V\u000a +p22879 +tp22880 +a(g827 +V +p22881 +tp22882 +a(g436 +VSTACKADJ +p22883 +tp22884 +a(g706 +g1109 +tp22885 +a(g413 +g1480 +tp22886 +a(g37 +g2799 +tp22887 +a(g706 +g1118 +tp22888 +a(g706 +g1078 +tp22889 +a(g827 +V\u000a +p22890 +tp22891 +a(g827 +V +p22892 +tp22893 +a(g436 +VPy_INCREF +p22894 +tp22895 +a(g706 +g1109 +tp22896 +a(g436 +VPy_None +p22897 +tp22898 +a(g706 +g1118 +tp22899 +a(g706 +g1078 +tp22900 +a(g827 +V\u000a +p22901 +tp22902 +a(g827 +V +p22903 +tp22904 +a(g436 +VSET_TOP +p22905 +tp22906 +a(g706 +g1109 +tp22907 +a(g436 +VPy_None +p22908 +tp22909 +a(g706 +g1118 +tp22910 +a(g706 +g1078 +tp22911 +a(g827 +V\u000a +p22912 +tp22913 +a(g827 +V +p22914 +tp22915 +a(g436 +VPy_DECREF +p22916 +tp22917 +a(g706 +g1109 +tp22918 +a(g436 +g5367 +tp22919 +a(g706 +g1118 +tp22920 +a(g706 +g1078 +tp22921 +a(g827 +V\u000a +p22922 +tp22923 +a(g827 +V +p22924 +tp22925 +a(g436 +VPy_DECREF +p22926 +tp22927 +a(g706 +g1109 +tp22928 +a(g436 +g5411 +tp22929 +a(g706 +g1118 +tp22930 +a(g706 +g1078 +tp22931 +a(g827 +V\u000a +p22932 +tp22933 +a(g827 +V +p22934 +tp22935 +a(g436 +VPy_DECREF +p22936 +tp22937 +a(g706 +g1109 +tp22938 +a(g436 +g1116 +tp22939 +a(g706 +g1118 +tp22940 +a(g706 +g1078 +tp22941 +a(g827 +V\u000a +p22942 +tp22943 +a(g827 +V +p22944 +tp22945 +a(g436 +VPy_DECREF +p22946 +tp22947 +a(g706 +g1109 +tp22948 +a(g436 +g5398 +tp22949 +a(g706 +g1118 +tp22950 +a(g706 +g1078 +tp22951 +a(g827 +V\u000a +p22952 +tp22953 +a(g827 +V +p22954 +tp22955 +a(g706 +g1336 +tp22956 +a(g827 +g983 +tp22957 +a(g745 +Velse +p22958 +tp22959 +a(g827 +g983 +tp22960 +a(g706 +g1122 +tp22961 +a(g827 +V\u000a +p22962 +tp22963 +a(g827 +V +p22964 +tp22965 +a(g8 +V/* Let END_FINALLY do its thing */ +p22966 +tp22967 +a(g827 +V\u000a +p22968 +tp22969 +a(g827 +V +p22970 +tp22971 +a(g436 +VPy_DECREF +p22972 +tp22973 +a(g706 +g1109 +tp22974 +a(g436 +g5367 +tp22975 +a(g706 +g1118 +tp22976 +a(g706 +g1078 +tp22977 +a(g827 +V\u000a +p22978 +tp22979 +a(g827 +V +p22980 +tp22981 +a(g436 +g5367 +tp22982 +a(g827 +g983 +tp22983 +a(g413 +g1262 +tp22984 +a(g827 +g983 +tp22985 +a(g436 +VPOP +p22986 +tp22987 +a(g706 +g1109 +tp22988 +a(g706 +g1118 +tp22989 +a(g706 +g1078 +tp22990 +a(g827 +V\u000a +p22991 +tp22992 +a(g827 +V +p22993 +tp22994 +a(g436 +VPy_DECREF +p22995 +tp22996 +a(g706 +g1109 +tp22997 +a(g436 +g5367 +tp22998 +a(g706 +g1118 +tp22999 +a(g706 +g1078 +tp23000 +a(g827 +V\u000a +p23001 +tp23002 +a(g827 +V +p23003 +tp23004 +a(g706 +g1336 +tp23005 +a(g827 +V\u000a +p23006 +tp23007 +a(g827 +V +p23008 +tp23009 +a(g745 +Vbreak +p23010 +tp23011 +a(g706 +g1078 +tp23012 +a(g827 +V\u000a +p23013 +tp23014 +a(g827 +V +p23015 +tp23016 +a(g706 +g1336 +tp23017 +a(g827 +V\u000a +p23018 +tp23019 +a(g827 +V\u000a +p23020 +tp23021 +a(g827 +V +p23022 +tp23023 +a(g745 +Vcase +p23024 +tp23025 +a(g827 +g983 +tp23026 +a(g597 +VCALL_FUNCTION +p23027 +tp23028 +a(g706 +g1157 +tp23029 +a(g827 +V\u000a +p23030 +tp23031 +a(g827 +V +p23032 +tp23033 +a(g706 +g1122 +tp23034 +a(g827 +V\u000a +p23035 +tp23036 +a(g827 +V +p23037 +tp23038 +a(g436 +VPyObject +p23039 +tp23040 +a(g827 +g983 +tp23041 +a(g413 +g1114 +tp23042 +a(g413 +g1114 +tp23043 +a(g436 +Vsp +p23044 +tp23045 +a(g706 +g1078 +tp23046 +a(g827 +V\u000a +p23047 +tp23048 +a(g827 +V +p23049 +tp23050 +a(g436 +VPCALL +p23051 +tp23052 +a(g706 +g1109 +tp23053 +a(g436 +VPCALL_ALL +p23054 +tp23055 +a(g706 +g1118 +tp23056 +a(g706 +g1078 +tp23057 +a(g827 +V\u000a +p23058 +tp23059 +a(g827 +V +p23060 +tp23061 +a(g436 +Vsp +p23062 +tp23063 +a(g827 +g983 +tp23064 +a(g413 +g1262 +tp23065 +a(g827 +g983 +tp23066 +a(g436 +Vstack_pointer +p23067 +tp23068 +a(g706 +g1078 +tp23069 +a(g827 +V\u000a +p23070 +tp23071 +a(g899 +g972 +tp23072 +a(g899 +Vifdef WITH_TSC +p23073 +tp23074 +a(g899 +V\u000a +p23075 +tp23076 +a(g827 +V +p23077 +tp23078 +a(g436 +g5367 +tp23079 +a(g827 +g983 +tp23080 +a(g413 +g1262 +tp23081 +a(g827 +g983 +tp23082 +a(g436 +Vcall_function +p23083 +tp23084 +a(g706 +g1109 +tp23085 +a(g413 +g4166 +tp23086 +a(g436 +Vsp +p23087 +tp23088 +a(g706 +g1139 +tp23089 +a(g827 +g983 +tp23090 +a(g436 +Voparg +p23091 +tp23092 +a(g706 +g1139 +tp23093 +a(g827 +g983 +tp23094 +a(g413 +g4166 +tp23095 +a(g436 +Vintr0 +p23096 +tp23097 +a(g706 +g1139 +tp23098 +a(g827 +g983 +tp23099 +a(g413 +g4166 +tp23100 +a(g436 +Vintr1 +p23101 +tp23102 +a(g706 +g1118 +tp23103 +a(g706 +g1078 +tp23104 +a(g827 +V\u000a +p23105 +tp23106 +a(g899 +g972 +tp23107 +a(g899 +Velse +p23108 +tp23109 +a(g899 +V\u000a +p23110 +tp23111 +a(g827 +V +p23112 +tp23113 +a(g436 +g5367 +tp23114 +a(g827 +g983 +tp23115 +a(g413 +g1262 +tp23116 +a(g827 +g983 +tp23117 +a(g436 +Vcall_function +p23118 +tp23119 +a(g706 +g1109 +tp23120 +a(g413 +g4166 +tp23121 +a(g436 +Vsp +p23122 +tp23123 +a(g706 +g1139 +tp23124 +a(g827 +g983 +tp23125 +a(g436 +Voparg +p23126 +tp23127 +a(g706 +g1118 +tp23128 +a(g706 +g1078 +tp23129 +a(g827 +V\u000a +p23130 +tp23131 +a(g899 +g972 +tp23132 +a(g899 +Vendif +p23133 +tp23134 +a(g899 +V\u000a +p23135 +tp23136 +a(g827 +V +p23137 +tp23138 +a(g436 +Vstack_pointer +p23139 +tp23140 +a(g827 +g983 +tp23141 +a(g413 +g1262 +tp23142 +a(g827 +g983 +tp23143 +a(g436 +Vsp +p23144 +tp23145 +a(g706 +g1078 +tp23146 +a(g827 +V\u000a +p23147 +tp23148 +a(g827 +V +p23149 +tp23150 +a(g436 +VPUSH +p23151 +tp23152 +a(g706 +g1109 +tp23153 +a(g436 +g5367 +tp23154 +a(g706 +g1118 +tp23155 +a(g706 +g1078 +tp23156 +a(g827 +V\u000a +p23157 +tp23158 +a(g827 +V +p23159 +tp23160 +a(g745 +Vif +p23161 +tp23162 +a(g827 +g983 +tp23163 +a(g706 +g1109 +tp23164 +a(g436 +g5367 +tp23165 +a(g827 +g983 +tp23166 +a(g413 +g1260 +tp23167 +a(g413 +g1262 +tp23168 +a(g827 +g983 +tp23169 +a(g682 +VNULL +p23170 +tp23171 +a(g706 +g1118 +tp23172 +a(g827 +V\u000a +p23173 +tp23174 +a(g827 +V +p23175 +tp23176 +a(g745 +Vcontinue +p23177 +tp23178 +a(g706 +g1078 +tp23179 +a(g827 +V\u000a +p23180 +tp23181 +a(g827 +V +p23182 +tp23183 +a(g745 +Vbreak +p23184 +tp23185 +a(g706 +g1078 +tp23186 +a(g827 +V\u000a +p23187 +tp23188 +a(g827 +V +p23189 +tp23190 +a(g706 +g1336 +tp23191 +a(g827 +V\u000a +p23192 +tp23193 +a(g827 +V\u000a +p23194 +tp23195 +a(g827 +V +p23196 +tp23197 +a(g745 +Vcase +p23198 +tp23199 +a(g827 +g983 +tp23200 +a(g597 +VCALL_FUNCTION_VAR +p23201 +tp23202 +a(g706 +g1157 +tp23203 +a(g827 +V\u000a +p23204 +tp23205 +a(g827 +V +p23206 +tp23207 +a(g745 +Vcase +p23208 +tp23209 +a(g827 +g983 +tp23210 +a(g597 +VCALL_FUNCTION_KW +p23211 +tp23212 +a(g706 +g1157 +tp23213 +a(g827 +V\u000a +p23214 +tp23215 +a(g827 +V +p23216 +tp23217 +a(g745 +Vcase +p23218 +tp23219 +a(g827 +g983 +tp23220 +a(g597 +VCALL_FUNCTION_VAR_KW +p23221 +tp23222 +a(g706 +g1157 +tp23223 +a(g827 +V\u000a +p23224 +tp23225 +a(g827 +V +p23226 +tp23227 +a(g706 +g1122 +tp23228 +a(g827 +V\u000a +p23229 +tp23230 +a(g827 +V +p23231 +tp23232 +a(g749 +Vint +p23233 +tp23234 +a(g827 +g983 +tp23235 +a(g436 +Vna +p23236 +tp23237 +a(g827 +g983 +tp23238 +a(g413 +g1262 +tp23239 +a(g827 +g983 +tp23240 +a(g436 +Voparg +p23241 +tp23242 +a(g827 +g983 +tp23243 +a(g413 +g4166 +tp23244 +a(g827 +g983 +tp23245 +a(g298 +V0xff +p23246 +tp23247 +a(g706 +g1078 +tp23248 +a(g827 +V\u000a +p23249 +tp23250 +a(g827 +V +p23251 +tp23252 +a(g749 +Vint +p23253 +tp23254 +a(g827 +g983 +tp23255 +a(g436 +Vnk +p23256 +tp23257 +a(g827 +g983 +tp23258 +a(g413 +g1262 +tp23259 +a(g827 +g983 +tp23260 +a(g706 +g1109 +tp23261 +a(g436 +Voparg +p23262 +tp23263 +a(g413 +g1482 +tp23264 +a(g413 +g1482 +tp23265 +a(g37 +g2845 +tp23266 +a(g706 +g1118 +tp23267 +a(g827 +g983 +tp23268 +a(g413 +g4166 +tp23269 +a(g827 +g983 +tp23270 +a(g298 +V0xff +p23271 +tp23272 +a(g706 +g1078 +tp23273 +a(g827 +V\u000a +p23274 +tp23275 +a(g827 +V +p23276 +tp23277 +a(g749 +Vint +p23278 +tp23279 +a(g827 +g983 +tp23280 +a(g436 +Vflags +p23281 +tp23282 +a(g827 +g983 +tp23283 +a(g413 +g1262 +tp23284 +a(g827 +g983 +tp23285 +a(g706 +g1109 +tp23286 +a(g436 +Vopcode +p23287 +tp23288 +a(g827 +g983 +tp23289 +a(g413 +g1480 +tp23290 +a(g827 +g983 +tp23291 +a(g436 +VCALL_FUNCTION +p23292 +tp23293 +a(g706 +g1118 +tp23294 +a(g827 +g983 +tp23295 +a(g413 +g4166 +tp23296 +a(g827 +g983 +tp23297 +a(g37 +g2799 +tp23298 +a(g706 +g1078 +tp23299 +a(g827 +V\u000a +p23300 +tp23301 +a(g827 +V +p23302 +tp23303 +a(g749 +Vint +p23304 +tp23305 +a(g827 +g983 +tp23306 +a(g436 +Vn +p23307 +tp23308 +a(g827 +g983 +tp23309 +a(g413 +g1262 +tp23310 +a(g827 +g983 +tp23311 +a(g436 +Vna +p23312 +tp23313 +a(g827 +g983 +tp23314 +a(g413 +g3965 +tp23315 +a(g827 +g983 +tp23316 +a(g37 +g2791 +tp23317 +a(g827 +g983 +tp23318 +a(g413 +g1114 +tp23319 +a(g827 +g983 +tp23320 +a(g436 +Vnk +p23321 +tp23322 +a(g706 +g1078 +tp23323 +a(g827 +V\u000a +p23324 +tp23325 +a(g827 +V +p23326 +tp23327 +a(g436 +VPyObject +p23328 +tp23329 +a(g827 +g983 +tp23330 +a(g413 +g1114 +tp23331 +a(g413 +g1114 +tp23332 +a(g436 +Vpfunc +p23333 +tp23334 +a(g706 +g1139 +tp23335 +a(g827 +g983 +tp23336 +a(g413 +g1114 +tp23337 +a(g436 +Vfunc +p23338 +tp23339 +a(g706 +g1139 +tp23340 +a(g827 +g983 +tp23341 +a(g413 +g1114 +tp23342 +a(g413 +g1114 +tp23343 +a(g436 +Vsp +p23344 +tp23345 +a(g706 +g1078 +tp23346 +a(g827 +V\u000a +p23347 +tp23348 +a(g827 +V +p23349 +tp23350 +a(g436 +VPCALL +p23351 +tp23352 +a(g706 +g1109 +tp23353 +a(g436 +VPCALL_ALL +p23354 +tp23355 +a(g706 +g1118 +tp23356 +a(g706 +g1078 +tp23357 +a(g827 +V\u000a +p23358 +tp23359 +a(g827 +V +p23360 +tp23361 +a(g745 +Vif +p23362 +tp23363 +a(g827 +g983 +tp23364 +a(g706 +g1109 +tp23365 +a(g436 +Vflags +p23366 +tp23367 +a(g827 +g983 +tp23368 +a(g413 +g4166 +tp23369 +a(g827 +g983 +tp23370 +a(g436 +VCALL_FLAG_VAR +p23371 +tp23372 +a(g706 +g1118 +tp23373 +a(g827 +V\u000a +p23374 +tp23375 +a(g827 +V +p23376 +tp23377 +a(g436 +g23307 +tp23378 +a(g413 +g3965 +tp23379 +a(g413 +g3965 +tp23380 +a(g706 +g1078 +tp23381 +a(g827 +V\u000a +p23382 +tp23383 +a(g827 +V +p23384 +tp23385 +a(g745 +Vif +p23386 +tp23387 +a(g827 +g983 +tp23388 +a(g706 +g1109 +tp23389 +a(g436 +Vflags +p23390 +tp23391 +a(g827 +g983 +tp23392 +a(g413 +g4166 +tp23393 +a(g827 +g983 +tp23394 +a(g436 +VCALL_FLAG_KW +p23395 +tp23396 +a(g706 +g1118 +tp23397 +a(g827 +V\u000a +p23398 +tp23399 +a(g827 +V +p23400 +tp23401 +a(g436 +g23307 +tp23402 +a(g413 +g3965 +tp23403 +a(g413 +g3965 +tp23404 +a(g706 +g1078 +tp23405 +a(g827 +V\u000a +p23406 +tp23407 +a(g827 +V +p23408 +tp23409 +a(g436 +Vpfunc +p23410 +tp23411 +a(g827 +g983 +tp23412 +a(g413 +g1262 +tp23413 +a(g827 +g983 +tp23414 +a(g436 +Vstack_pointer +p23415 +tp23416 +a(g827 +g983 +tp23417 +a(g413 +g1480 +tp23418 +a(g827 +g983 +tp23419 +a(g436 +g23307 +tp23420 +a(g827 +g983 +tp23421 +a(g413 +g1480 +tp23422 +a(g827 +g983 +tp23423 +a(g37 +g1325 +tp23424 +a(g706 +g1078 +tp23425 +a(g827 +V\u000a +p23426 +tp23427 +a(g827 +V +p23428 +tp23429 +a(g436 +Vfunc +p23430 +tp23431 +a(g827 +g983 +tp23432 +a(g413 +g1262 +tp23433 +a(g827 +g983 +tp23434 +a(g413 +g1114 +tp23435 +a(g436 +Vpfunc +p23436 +tp23437 +a(g706 +g1078 +tp23438 +a(g827 +V\u000a +p23439 +tp23440 +a(g827 +V\u000a +p23441 +tp23442 +a(g827 +V +p23443 +tp23444 +a(g745 +Vif +p23445 +tp23446 +a(g827 +g983 +tp23447 +a(g706 +g1109 +tp23448 +a(g436 +VPyMethod_Check +p23449 +tp23450 +a(g706 +g1109 +tp23451 +a(g436 +Vfunc +p23452 +tp23453 +a(g706 +g1118 +tp23454 +a(g827 +V\u000a +p23455 +tp23456 +a(g827 +V +p23457 +tp23458 +a(g413 +g4166 +tp23459 +a(g413 +g4166 +tp23460 +a(g827 +g983 +tp23461 +a(g436 +VPyMethod_GET_SELF +p23462 +tp23463 +a(g706 +g1109 +tp23464 +a(g436 +Vfunc +p23465 +tp23466 +a(g706 +g1118 +tp23467 +a(g827 +g983 +tp23468 +a(g413 +g1260 +tp23469 +a(g413 +g1262 +tp23470 +a(g827 +g983 +tp23471 +a(g682 +VNULL +p23472 +tp23473 +a(g706 +g1118 +tp23474 +a(g827 +g983 +tp23475 +a(g706 +g1122 +tp23476 +a(g827 +V\u000a +p23477 +tp23478 +a(g827 +V +p23479 +tp23480 +a(g436 +VPyObject +p23481 +tp23482 +a(g827 +g983 +tp23483 +a(g413 +g1114 +tp23484 +a(g436 +Vself +p23485 +tp23486 +a(g827 +g983 +tp23487 +a(g413 +g1262 +tp23488 +a(g827 +g983 +tp23489 +a(g436 +VPyMethod_GET_SELF +p23490 +tp23491 +a(g706 +g1109 +tp23492 +a(g436 +Vfunc +p23493 +tp23494 +a(g706 +g1118 +tp23495 +a(g706 +g1078 +tp23496 +a(g827 +V\u000a +p23497 +tp23498 +a(g827 +V +p23499 +tp23500 +a(g436 +VPy_INCREF +p23501 +tp23502 +a(g706 +g1109 +tp23503 +a(g436 +Vself +p23504 +tp23505 +a(g706 +g1118 +tp23506 +a(g706 +g1078 +tp23507 +a(g827 +V\u000a +p23508 +tp23509 +a(g827 +V +p23510 +tp23511 +a(g436 +Vfunc +p23512 +tp23513 +a(g827 +g983 +tp23514 +a(g413 +g1262 +tp23515 +a(g827 +g983 +tp23516 +a(g436 +VPyMethod_GET_FUNCTION +p23517 +tp23518 +a(g706 +g1109 +tp23519 +a(g436 +Vfunc +p23520 +tp23521 +a(g706 +g1118 +tp23522 +a(g706 +g1078 +tp23523 +a(g827 +V\u000a +p23524 +tp23525 +a(g827 +V +p23526 +tp23527 +a(g436 +VPy_INCREF +p23528 +tp23529 +a(g706 +g1109 +tp23530 +a(g436 +Vfunc +p23531 +tp23532 +a(g706 +g1118 +tp23533 +a(g706 +g1078 +tp23534 +a(g827 +V\u000a +p23535 +tp23536 +a(g827 +V +p23537 +tp23538 +a(g436 +VPy_DECREF +p23539 +tp23540 +a(g706 +g1109 +tp23541 +a(g413 +g1114 +tp23542 +a(g436 +Vpfunc +p23543 +tp23544 +a(g706 +g1118 +tp23545 +a(g706 +g1078 +tp23546 +a(g827 +V\u000a +p23547 +tp23548 +a(g827 +V +p23549 +tp23550 +a(g413 +g1114 +tp23551 +a(g436 +Vpfunc +p23552 +tp23553 +a(g827 +g983 +tp23554 +a(g413 +g1262 +tp23555 +a(g827 +g983 +tp23556 +a(g436 +Vself +p23557 +tp23558 +a(g706 +g1078 +tp23559 +a(g827 +V\u000a +p23560 +tp23561 +a(g827 +V +p23562 +tp23563 +a(g436 +Vna +p23564 +tp23565 +a(g413 +g3965 +tp23566 +a(g413 +g3965 +tp23567 +a(g706 +g1078 +tp23568 +a(g827 +V\u000a +p23569 +tp23570 +a(g827 +V +p23571 +tp23572 +a(g436 +g23307 +tp23573 +a(g413 +g3965 +tp23574 +a(g413 +g3965 +tp23575 +a(g706 +g1078 +tp23576 +a(g827 +V\u000a +p23577 +tp23578 +a(g827 +V +p23579 +tp23580 +a(g706 +g1336 +tp23581 +a(g827 +g983 +tp23582 +a(g745 +Velse +p23583 +tp23584 +a(g827 +V\u000a +p23585 +tp23586 +a(g827 +V +p23587 +tp23588 +a(g436 +VPy_INCREF +p23589 +tp23590 +a(g706 +g1109 +tp23591 +a(g436 +Vfunc +p23592 +tp23593 +a(g706 +g1118 +tp23594 +a(g706 +g1078 +tp23595 +a(g827 +V\u000a +p23596 +tp23597 +a(g827 +V +p23598 +tp23599 +a(g436 +Vsp +p23600 +tp23601 +a(g827 +g983 +tp23602 +a(g413 +g1262 +tp23603 +a(g827 +g983 +tp23604 +a(g436 +Vstack_pointer +p23605 +tp23606 +a(g706 +g1078 +tp23607 +a(g827 +V\u000a +p23608 +tp23609 +a(g827 +V +p23610 +tp23611 +a(g436 +VREAD_TIMESTAMP +p23612 +tp23613 +a(g706 +g1109 +tp23614 +a(g436 +Vintr0 +p23615 +tp23616 +a(g706 +g1118 +tp23617 +a(g706 +g1078 +tp23618 +a(g827 +V\u000a +p23619 +tp23620 +a(g827 +V +p23621 +tp23622 +a(g436 +g5367 +tp23623 +a(g827 +g983 +tp23624 +a(g413 +g1262 +tp23625 +a(g827 +g983 +tp23626 +a(g436 +Vext_do_call +p23627 +tp23628 +a(g706 +g1109 +tp23629 +a(g436 +Vfunc +p23630 +tp23631 +a(g706 +g1139 +tp23632 +a(g827 +g983 +tp23633 +a(g413 +g4166 +tp23634 +a(g436 +Vsp +p23635 +tp23636 +a(g706 +g1139 +tp23637 +a(g827 +g983 +tp23638 +a(g436 +Vflags +p23639 +tp23640 +a(g706 +g1139 +tp23641 +a(g827 +g983 +tp23642 +a(g436 +Vna +p23643 +tp23644 +a(g706 +g1139 +tp23645 +a(g827 +g983 +tp23646 +a(g436 +Vnk +p23647 +tp23648 +a(g706 +g1118 +tp23649 +a(g706 +g1078 +tp23650 +a(g827 +V\u000a +p23651 +tp23652 +a(g827 +V +p23653 +tp23654 +a(g436 +VREAD_TIMESTAMP +p23655 +tp23656 +a(g706 +g1109 +tp23657 +a(g436 +Vintr1 +p23658 +tp23659 +a(g706 +g1118 +tp23660 +a(g706 +g1078 +tp23661 +a(g827 +V\u000a +p23662 +tp23663 +a(g827 +V +p23664 +tp23665 +a(g436 +Vstack_pointer +p23666 +tp23667 +a(g827 +g983 +tp23668 +a(g413 +g1262 +tp23669 +a(g827 +g983 +tp23670 +a(g436 +Vsp +p23671 +tp23672 +a(g706 +g1078 +tp23673 +a(g827 +V\u000a +p23674 +tp23675 +a(g827 +V +p23676 +tp23677 +a(g436 +VPy_DECREF +p23678 +tp23679 +a(g706 +g1109 +tp23680 +a(g436 +Vfunc +p23681 +tp23682 +a(g706 +g1118 +tp23683 +a(g706 +g1078 +tp23684 +a(g827 +V\u000a +p23685 +tp23686 +a(g827 +V\u000a +p23687 +tp23688 +a(g827 +V +p23689 +tp23690 +a(g745 +Vwhile +p23691 +tp23692 +a(g827 +g983 +tp23693 +a(g706 +g1109 +tp23694 +a(g436 +Vstack_pointer +p23695 +tp23696 +a(g827 +g983 +tp23697 +a(g413 +g1482 +tp23698 +a(g827 +g983 +tp23699 +a(g436 +Vpfunc +p23700 +tp23701 +a(g706 +g1118 +tp23702 +a(g827 +g983 +tp23703 +a(g706 +g1122 +tp23704 +a(g827 +V\u000a +p23705 +tp23706 +a(g827 +V +p23707 +tp23708 +a(g436 +g5398 +tp23709 +a(g827 +g983 +tp23710 +a(g413 +g1262 +tp23711 +a(g827 +g983 +tp23712 +a(g436 +VPOP +p23713 +tp23714 +a(g706 +g1109 +tp23715 +a(g706 +g1118 +tp23716 +a(g706 +g1078 +tp23717 +a(g827 +V\u000a +p23718 +tp23719 +a(g827 +V +p23720 +tp23721 +a(g436 +VPy_DECREF +p23722 +tp23723 +a(g706 +g1109 +tp23724 +a(g436 +g5398 +tp23725 +a(g706 +g1118 +tp23726 +a(g706 +g1078 +tp23727 +a(g827 +V\u000a +p23728 +tp23729 +a(g827 +V +p23730 +tp23731 +a(g706 +g1336 +tp23732 +a(g827 +V\u000a +p23733 +tp23734 +a(g827 +V +p23735 +tp23736 +a(g436 +VPUSH +p23737 +tp23738 +a(g706 +g1109 +tp23739 +a(g436 +g5367 +tp23740 +a(g706 +g1118 +tp23741 +a(g706 +g1078 +tp23742 +a(g827 +V\u000a +p23743 +tp23744 +a(g827 +V +p23745 +tp23746 +a(g745 +Vif +p23747 +tp23748 +a(g827 +g983 +tp23749 +a(g706 +g1109 +tp23750 +a(g436 +g5367 +tp23751 +a(g827 +g983 +tp23752 +a(g413 +g1260 +tp23753 +a(g413 +g1262 +tp23754 +a(g827 +g983 +tp23755 +a(g682 +VNULL +p23756 +tp23757 +a(g706 +g1118 +tp23758 +a(g827 +V\u000a +p23759 +tp23760 +a(g827 +V +p23761 +tp23762 +a(g745 +Vcontinue +p23763 +tp23764 +a(g706 +g1078 +tp23765 +a(g827 +V\u000a +p23766 +tp23767 +a(g827 +V +p23768 +tp23769 +a(g745 +Vbreak +p23770 +tp23771 +a(g706 +g1078 +tp23772 +a(g827 +V\u000a +p23773 +tp23774 +a(g827 +V +p23775 +tp23776 +a(g706 +g1336 +tp23777 +a(g827 +V\u000a +p23778 +tp23779 +a(g827 +V\u000a +p23780 +tp23781 +a(g827 +V +p23782 +tp23783 +a(g745 +Vcase +p23784 +tp23785 +a(g827 +g983 +tp23786 +a(g597 +VMAKE_FUNCTION +p23787 +tp23788 +a(g706 +g1157 +tp23789 +a(g827 +V\u000a +p23790 +tp23791 +a(g827 +V +p23792 +tp23793 +a(g436 +g1116 +tp23794 +a(g827 +g983 +tp23795 +a(g413 +g1262 +tp23796 +a(g827 +g983 +tp23797 +a(g436 +VPOP +p23798 +tp23799 +a(g706 +g1109 +tp23800 +a(g706 +g1118 +tp23801 +a(g706 +g1078 +tp23802 +a(g827 +g983 +tp23803 +a(g8 +V/* code object */ +p23804 +tp23805 +a(g827 +V\u000a +p23806 +tp23807 +a(g827 +V +p23808 +tp23809 +a(g436 +g5367 +tp23810 +a(g827 +g983 +tp23811 +a(g413 +g1262 +tp23812 +a(g827 +g983 +tp23813 +a(g436 +VPyFunction_New +p23814 +tp23815 +a(g706 +g1109 +tp23816 +a(g436 +g1116 +tp23817 +a(g706 +g1139 +tp23818 +a(g827 +g983 +tp23819 +a(g436 +g5180 +tp23820 +a(g413 +g1480 +tp23821 +a(g413 +g1482 +tp23822 +a(g436 +Vf_globals +p23823 +tp23824 +a(g706 +g1118 +tp23825 +a(g706 +g1078 +tp23826 +a(g827 +V\u000a +p23827 +tp23828 +a(g827 +V +p23829 +tp23830 +a(g436 +VPy_DECREF +p23831 +tp23832 +a(g706 +g1109 +tp23833 +a(g436 +g1116 +tp23834 +a(g706 +g1118 +tp23835 +a(g706 +g1078 +tp23836 +a(g827 +V\u000a +p23837 +tp23838 +a(g827 +V +p23839 +tp23840 +a(g8 +V/* XXX Maybe this should be a separate opcode? */ +p23841 +tp23842 +a(g827 +V\u000a +p23843 +tp23844 +a(g827 +V +p23845 +tp23846 +a(g745 +Vif +p23847 +tp23848 +a(g827 +g983 +tp23849 +a(g706 +g1109 +tp23850 +a(g436 +g5367 +tp23851 +a(g827 +g983 +tp23852 +a(g413 +g1260 +tp23853 +a(g413 +g1262 +tp23854 +a(g827 +g983 +tp23855 +a(g682 +VNULL +p23856 +tp23857 +a(g827 +g983 +tp23858 +a(g413 +g4166 +tp23859 +a(g413 +g4166 +tp23860 +a(g827 +g983 +tp23861 +a(g436 +Voparg +p23862 +tp23863 +a(g827 +g983 +tp23864 +a(g413 +g1482 +tp23865 +a(g827 +g983 +tp23866 +a(g37 +g1269 +tp23867 +a(g706 +g1118 +tp23868 +a(g827 +g983 +tp23869 +a(g706 +g1122 +tp23870 +a(g827 +V\u000a +p23871 +tp23872 +a(g827 +V +p23873 +tp23874 +a(g436 +g1116 +tp23875 +a(g827 +g983 +tp23876 +a(g413 +g1262 +tp23877 +a(g827 +g983 +tp23878 +a(g436 +VPyTuple_New +p23879 +tp23880 +a(g706 +g1109 +tp23881 +a(g436 +Voparg +p23882 +tp23883 +a(g706 +g1118 +tp23884 +a(g706 +g1078 +tp23885 +a(g827 +V\u000a +p23886 +tp23887 +a(g827 +V +p23888 +tp23889 +a(g745 +Vif +p23890 +tp23891 +a(g827 +g983 +tp23892 +a(g706 +g1109 +tp23893 +a(g436 +g1116 +tp23894 +a(g827 +g983 +tp23895 +a(g413 +g1262 +tp23896 +a(g413 +g1262 +tp23897 +a(g827 +g983 +tp23898 +a(g682 +VNULL +p23899 +tp23900 +a(g706 +g1118 +tp23901 +a(g827 +g983 +tp23902 +a(g706 +g1122 +tp23903 +a(g827 +V\u000a +p23904 +tp23905 +a(g827 +V +p23906 +tp23907 +a(g436 +VPy_DECREF +p23908 +tp23909 +a(g706 +g1109 +tp23910 +a(g436 +g5367 +tp23911 +a(g706 +g1118 +tp23912 +a(g706 +g1078 +tp23913 +a(g827 +V\u000a +p23914 +tp23915 +a(g827 +V +p23916 +tp23917 +a(g436 +g5367 +tp23918 +a(g827 +g983 +tp23919 +a(g413 +g1262 +tp23920 +a(g827 +g983 +tp23921 +a(g682 +VNULL +p23922 +tp23923 +a(g706 +g1078 +tp23924 +a(g827 +V\u000a +p23925 +tp23926 +a(g827 +V +p23927 +tp23928 +a(g745 +Vbreak +p23929 +tp23930 +a(g706 +g1078 +tp23931 +a(g827 +V\u000a +p23932 +tp23933 +a(g827 +V +p23934 +tp23935 +a(g706 +g1336 +tp23936 +a(g827 +V\u000a +p23937 +tp23938 +a(g827 +V +p23939 +tp23940 +a(g745 +Vwhile +p23941 +tp23942 +a(g827 +g983 +tp23943 +a(g706 +g1109 +tp23944 +a(g413 +g1480 +tp23945 +a(g413 +g1480 +tp23946 +a(g436 +Voparg +p23947 +tp23948 +a(g827 +g983 +tp23949 +a(g413 +g1482 +tp23950 +a(g413 +g1262 +tp23951 +a(g827 +g983 +tp23952 +a(g37 +g1269 +tp23953 +a(g706 +g1118 +tp23954 +a(g827 +g983 +tp23955 +a(g706 +g1122 +tp23956 +a(g827 +V\u000a +p23957 +tp23958 +a(g827 +V +p23959 +tp23960 +a(g436 +g5398 +tp23961 +a(g827 +g983 +tp23962 +a(g413 +g1262 +tp23963 +a(g827 +g983 +tp23964 +a(g436 +VPOP +p23965 +tp23966 +a(g706 +g1109 +tp23967 +a(g706 +g1118 +tp23968 +a(g706 +g1078 +tp23969 +a(g827 +V\u000a +p23970 +tp23971 +a(g827 +V +p23972 +tp23973 +a(g436 +VPyTuple_SET_ITEM +p23974 +tp23975 +a(g706 +g1109 +tp23976 +a(g436 +g1116 +tp23977 +a(g706 +g1139 +tp23978 +a(g827 +g983 +tp23979 +a(g436 +Voparg +p23980 +tp23981 +a(g706 +g1139 +tp23982 +a(g827 +g983 +tp23983 +a(g436 +g5398 +tp23984 +a(g706 +g1118 +tp23985 +a(g706 +g1078 +tp23986 +a(g827 +V\u000a +p23987 +tp23988 +a(g827 +V +p23989 +tp23990 +a(g706 +g1336 +tp23991 +a(g827 +V\u000a +p23992 +tp23993 +a(g827 +V +p23994 +tp23995 +a(g436 +Verr +p23996 +tp23997 +a(g827 +g983 +tp23998 +a(g413 +g1262 +tp23999 +a(g827 +g983 +tp24000 +a(g436 +VPyFunction_SetDefaults +p24001 +tp24002 +a(g706 +g1109 +tp24003 +a(g436 +g5367 +tp24004 +a(g706 +g1139 +tp24005 +a(g827 +g983 +tp24006 +a(g436 +g1116 +tp24007 +a(g706 +g1118 +tp24008 +a(g706 +g1078 +tp24009 +a(g827 +V\u000a +p24010 +tp24011 +a(g827 +V +p24012 +tp24013 +a(g436 +VPy_DECREF +p24014 +tp24015 +a(g706 +g1109 +tp24016 +a(g436 +g1116 +tp24017 +a(g706 +g1118 +tp24018 +a(g706 +g1078 +tp24019 +a(g827 +V\u000a +p24020 +tp24021 +a(g827 +V +p24022 +tp24023 +a(g706 +g1336 +tp24024 +a(g827 +V\u000a +p24025 +tp24026 +a(g827 +V +p24027 +tp24028 +a(g436 +VPUSH +p24029 +tp24030 +a(g706 +g1109 +tp24031 +a(g436 +g5367 +tp24032 +a(g706 +g1118 +tp24033 +a(g706 +g1078 +tp24034 +a(g827 +V\u000a +p24035 +tp24036 +a(g827 +V +p24037 +tp24038 +a(g745 +Vbreak +p24039 +tp24040 +a(g706 +g1078 +tp24041 +a(g827 +V\u000a +p24042 +tp24043 +a(g827 +V\u000a +p24044 +tp24045 +a(g827 +V +p24046 +tp24047 +a(g745 +Vcase +p24048 +tp24049 +a(g827 +g983 +tp24050 +a(g597 +VMAKE_CLOSURE +p24051 +tp24052 +a(g706 +g1157 +tp24053 +a(g827 +V\u000a +p24054 +tp24055 +a(g827 +V +p24056 +tp24057 +a(g706 +g1122 +tp24058 +a(g827 +V\u000a +p24059 +tp24060 +a(g827 +V +p24061 +tp24062 +a(g436 +g1116 +tp24063 +a(g827 +g983 +tp24064 +a(g413 +g1262 +tp24065 +a(g827 +g983 +tp24066 +a(g436 +VPOP +p24067 +tp24068 +a(g706 +g1109 +tp24069 +a(g706 +g1118 +tp24070 +a(g706 +g1078 +tp24071 +a(g827 +g983 +tp24072 +a(g8 +V/* code object */ +p24073 +tp24074 +a(g827 +V\u000a +p24075 +tp24076 +a(g827 +V +p24077 +tp24078 +a(g436 +g5367 +tp24079 +a(g827 +g983 +tp24080 +a(g413 +g1262 +tp24081 +a(g827 +g983 +tp24082 +a(g436 +VPyFunction_New +p24083 +tp24084 +a(g706 +g1109 +tp24085 +a(g436 +g1116 +tp24086 +a(g706 +g1139 +tp24087 +a(g827 +g983 +tp24088 +a(g436 +g5180 +tp24089 +a(g413 +g1480 +tp24090 +a(g413 +g1482 +tp24091 +a(g436 +Vf_globals +p24092 +tp24093 +a(g706 +g1118 +tp24094 +a(g706 +g1078 +tp24095 +a(g827 +V\u000a +p24096 +tp24097 +a(g827 +V +p24098 +tp24099 +a(g436 +VPy_DECREF +p24100 +tp24101 +a(g706 +g1109 +tp24102 +a(g436 +g1116 +tp24103 +a(g706 +g1118 +tp24104 +a(g706 +g1078 +tp24105 +a(g827 +V\u000a +p24106 +tp24107 +a(g827 +V +p24108 +tp24109 +a(g745 +Vif +p24110 +tp24111 +a(g827 +g983 +tp24112 +a(g706 +g1109 +tp24113 +a(g436 +g5367 +tp24114 +a(g827 +g983 +tp24115 +a(g413 +g1260 +tp24116 +a(g413 +g1262 +tp24117 +a(g827 +g983 +tp24118 +a(g682 +VNULL +p24119 +tp24120 +a(g706 +g1118 +tp24121 +a(g827 +g983 +tp24122 +a(g706 +g1122 +tp24123 +a(g827 +V\u000a +p24124 +tp24125 +a(g827 +V +p24126 +tp24127 +a(g436 +g1116 +tp24128 +a(g827 +g983 +tp24129 +a(g413 +g1262 +tp24130 +a(g827 +g983 +tp24131 +a(g436 +VPOP +p24132 +tp24133 +a(g706 +g1109 +tp24134 +a(g706 +g1118 +tp24135 +a(g706 +g1078 +tp24136 +a(g827 +V\u000a +p24137 +tp24138 +a(g827 +V +p24139 +tp24140 +a(g436 +Verr +p24141 +tp24142 +a(g827 +g983 +tp24143 +a(g413 +g1262 +tp24144 +a(g827 +g983 +tp24145 +a(g436 +VPyFunction_SetClosure +p24146 +tp24147 +a(g706 +g1109 +tp24148 +a(g436 +g5367 +tp24149 +a(g706 +g1139 +tp24150 +a(g827 +g983 +tp24151 +a(g436 +g1116 +tp24152 +a(g706 +g1118 +tp24153 +a(g706 +g1078 +tp24154 +a(g827 +V\u000a +p24155 +tp24156 +a(g827 +V +p24157 +tp24158 +a(g436 +VPy_DECREF +p24159 +tp24160 +a(g706 +g1109 +tp24161 +a(g436 +g1116 +tp24162 +a(g706 +g1118 +tp24163 +a(g706 +g1078 +tp24164 +a(g827 +V\u000a +p24165 +tp24166 +a(g827 +V +p24167 +tp24168 +a(g706 +g1336 +tp24169 +a(g827 +V\u000a +p24170 +tp24171 +a(g827 +V +p24172 +tp24173 +a(g745 +Vif +p24174 +tp24175 +a(g827 +g983 +tp24176 +a(g706 +g1109 +tp24177 +a(g436 +g5367 +tp24178 +a(g827 +g983 +tp24179 +a(g413 +g1260 +tp24180 +a(g413 +g1262 +tp24181 +a(g827 +g983 +tp24182 +a(g682 +VNULL +p24183 +tp24184 +a(g827 +g983 +tp24185 +a(g413 +g4166 +tp24186 +a(g413 +g4166 +tp24187 +a(g827 +g983 +tp24188 +a(g436 +Voparg +p24189 +tp24190 +a(g827 +g983 +tp24191 +a(g413 +g1482 +tp24192 +a(g827 +g983 +tp24193 +a(g37 +g1269 +tp24194 +a(g706 +g1118 +tp24195 +a(g827 +g983 +tp24196 +a(g706 +g1122 +tp24197 +a(g827 +V\u000a +p24198 +tp24199 +a(g827 +V +p24200 +tp24201 +a(g436 +g1116 +tp24202 +a(g827 +g983 +tp24203 +a(g413 +g1262 +tp24204 +a(g827 +g983 +tp24205 +a(g436 +VPyTuple_New +p24206 +tp24207 +a(g706 +g1109 +tp24208 +a(g436 +Voparg +p24209 +tp24210 +a(g706 +g1118 +tp24211 +a(g706 +g1078 +tp24212 +a(g827 +V\u000a +p24213 +tp24214 +a(g827 +V +p24215 +tp24216 +a(g745 +Vif +p24217 +tp24218 +a(g827 +g983 +tp24219 +a(g706 +g1109 +tp24220 +a(g436 +g1116 +tp24221 +a(g827 +g983 +tp24222 +a(g413 +g1262 +tp24223 +a(g413 +g1262 +tp24224 +a(g827 +g983 +tp24225 +a(g682 +VNULL +p24226 +tp24227 +a(g706 +g1118 +tp24228 +a(g827 +g983 +tp24229 +a(g706 +g1122 +tp24230 +a(g827 +V\u000a +p24231 +tp24232 +a(g827 +V +p24233 +tp24234 +a(g436 +VPy_DECREF +p24235 +tp24236 +a(g706 +g1109 +tp24237 +a(g436 +g5367 +tp24238 +a(g706 +g1118 +tp24239 +a(g706 +g1078 +tp24240 +a(g827 +V\u000a +p24241 +tp24242 +a(g827 +V +p24243 +tp24244 +a(g436 +g5367 +tp24245 +a(g827 +g983 +tp24246 +a(g413 +g1262 +tp24247 +a(g827 +g983 +tp24248 +a(g682 +VNULL +p24249 +tp24250 +a(g706 +g1078 +tp24251 +a(g827 +V\u000a +p24252 +tp24253 +a(g827 +V +p24254 +tp24255 +a(g745 +Vbreak +p24256 +tp24257 +a(g706 +g1078 +tp24258 +a(g827 +V\u000a +p24259 +tp24260 +a(g827 +V +p24261 +tp24262 +a(g706 +g1336 +tp24263 +a(g827 +V\u000a +p24264 +tp24265 +a(g827 +V +p24266 +tp24267 +a(g745 +Vwhile +p24268 +tp24269 +a(g827 +g983 +tp24270 +a(g706 +g1109 +tp24271 +a(g413 +g1480 +tp24272 +a(g413 +g1480 +tp24273 +a(g436 +Voparg +p24274 +tp24275 +a(g827 +g983 +tp24276 +a(g413 +g1482 +tp24277 +a(g413 +g1262 +tp24278 +a(g827 +g983 +tp24279 +a(g37 +g1269 +tp24280 +a(g706 +g1118 +tp24281 +a(g827 +g983 +tp24282 +a(g706 +g1122 +tp24283 +a(g827 +V\u000a +p24284 +tp24285 +a(g827 +V +p24286 +tp24287 +a(g436 +g5398 +tp24288 +a(g827 +g983 +tp24289 +a(g413 +g1262 +tp24290 +a(g827 +g983 +tp24291 +a(g436 +VPOP +p24292 +tp24293 +a(g706 +g1109 +tp24294 +a(g706 +g1118 +tp24295 +a(g706 +g1078 +tp24296 +a(g827 +V\u000a +p24297 +tp24298 +a(g827 +V +p24299 +tp24300 +a(g436 +VPyTuple_SET_ITEM +p24301 +tp24302 +a(g706 +g1109 +tp24303 +a(g436 +g1116 +tp24304 +a(g706 +g1139 +tp24305 +a(g827 +g983 +tp24306 +a(g436 +Voparg +p24307 +tp24308 +a(g706 +g1139 +tp24309 +a(g827 +g983 +tp24310 +a(g436 +g5398 +tp24311 +a(g706 +g1118 +tp24312 +a(g706 +g1078 +tp24313 +a(g827 +V\u000a +p24314 +tp24315 +a(g827 +V +p24316 +tp24317 +a(g706 +g1336 +tp24318 +a(g827 +V\u000a +p24319 +tp24320 +a(g827 +V +p24321 +tp24322 +a(g436 +Verr +p24323 +tp24324 +a(g827 +g983 +tp24325 +a(g413 +g1262 +tp24326 +a(g827 +g983 +tp24327 +a(g436 +VPyFunction_SetDefaults +p24328 +tp24329 +a(g706 +g1109 +tp24330 +a(g436 +g5367 +tp24331 +a(g706 +g1139 +tp24332 +a(g827 +g983 +tp24333 +a(g436 +g1116 +tp24334 +a(g706 +g1118 +tp24335 +a(g706 +g1078 +tp24336 +a(g827 +V\u000a +p24337 +tp24338 +a(g827 +V +p24339 +tp24340 +a(g436 +VPy_DECREF +p24341 +tp24342 +a(g706 +g1109 +tp24343 +a(g436 +g1116 +tp24344 +a(g706 +g1118 +tp24345 +a(g706 +g1078 +tp24346 +a(g827 +V\u000a +p24347 +tp24348 +a(g827 +V +p24349 +tp24350 +a(g706 +g1336 +tp24351 +a(g827 +V\u000a +p24352 +tp24353 +a(g827 +V +p24354 +tp24355 +a(g436 +VPUSH +p24356 +tp24357 +a(g706 +g1109 +tp24358 +a(g436 +g5367 +tp24359 +a(g706 +g1118 +tp24360 +a(g706 +g1078 +tp24361 +a(g827 +V\u000a +p24362 +tp24363 +a(g827 +V +p24364 +tp24365 +a(g745 +Vbreak +p24366 +tp24367 +a(g706 +g1078 +tp24368 +a(g827 +V\u000a +p24369 +tp24370 +a(g827 +V +p24371 +tp24372 +a(g706 +g1336 +tp24373 +a(g827 +V\u000a +p24374 +tp24375 +a(g827 +V\u000a +p24376 +tp24377 +a(g827 +V +p24378 +tp24379 +a(g745 +Vcase +p24380 +tp24381 +a(g827 +g983 +tp24382 +a(g597 +VBUILD_SLICE +p24383 +tp24384 +a(g706 +g1157 +tp24385 +a(g827 +V\u000a +p24386 +tp24387 +a(g827 +V +p24388 +tp24389 +a(g745 +Vif +p24390 +tp24391 +a(g827 +g983 +tp24392 +a(g706 +g1109 +tp24393 +a(g436 +Voparg +p24394 +tp24395 +a(g827 +g983 +tp24396 +a(g413 +g1262 +tp24397 +a(g413 +g1262 +tp24398 +a(g827 +g983 +tp24399 +a(g37 +g2799 +tp24400 +a(g706 +g1118 +tp24401 +a(g827 +V\u000a +p24402 +tp24403 +a(g827 +V +p24404 +tp24405 +a(g436 +g5398 +tp24406 +a(g827 +g983 +tp24407 +a(g413 +g1262 +tp24408 +a(g827 +g983 +tp24409 +a(g436 +VPOP +p24410 +tp24411 +a(g706 +g1109 +tp24412 +a(g706 +g1118 +tp24413 +a(g706 +g1078 +tp24414 +a(g827 +V\u000a +p24415 +tp24416 +a(g827 +V +p24417 +tp24418 +a(g745 +Velse +p24419 +tp24420 +a(g827 +V\u000a +p24421 +tp24422 +a(g827 +V +p24423 +tp24424 +a(g436 +g5398 +tp24425 +a(g827 +g983 +tp24426 +a(g413 +g1262 +tp24427 +a(g827 +g983 +tp24428 +a(g682 +VNULL +p24429 +tp24430 +a(g706 +g1078 +tp24431 +a(g827 +V\u000a +p24432 +tp24433 +a(g827 +V +p24434 +tp24435 +a(g436 +g1116 +tp24436 +a(g827 +g983 +tp24437 +a(g413 +g1262 +tp24438 +a(g827 +g983 +tp24439 +a(g436 +VPOP +p24440 +tp24441 +a(g706 +g1109 +tp24442 +a(g706 +g1118 +tp24443 +a(g706 +g1078 +tp24444 +a(g827 +V\u000a +p24445 +tp24446 +a(g827 +V +p24447 +tp24448 +a(g436 +g5411 +tp24449 +a(g827 +g983 +tp24450 +a(g413 +g1262 +tp24451 +a(g827 +g983 +tp24452 +a(g436 +VTOP +p24453 +tp24454 +a(g706 +g1109 +tp24455 +a(g706 +g1118 +tp24456 +a(g706 +g1078 +tp24457 +a(g827 +V\u000a +p24458 +tp24459 +a(g827 +V +p24460 +tp24461 +a(g436 +g5367 +tp24462 +a(g827 +g983 +tp24463 +a(g413 +g1262 +tp24464 +a(g827 +g983 +tp24465 +a(g436 +VPySlice_New +p24466 +tp24467 +a(g706 +g1109 +tp24468 +a(g436 +g5411 +tp24469 +a(g706 +g1139 +tp24470 +a(g827 +g983 +tp24471 +a(g436 +g1116 +tp24472 +a(g706 +g1139 +tp24473 +a(g827 +g983 +tp24474 +a(g436 +g5398 +tp24475 +a(g706 +g1118 +tp24476 +a(g706 +g1078 +tp24477 +a(g827 +V\u000a +p24478 +tp24479 +a(g827 +V +p24480 +tp24481 +a(g436 +VPy_DECREF +p24482 +tp24483 +a(g706 +g1109 +tp24484 +a(g436 +g5411 +tp24485 +a(g706 +g1118 +tp24486 +a(g706 +g1078 +tp24487 +a(g827 +V\u000a +p24488 +tp24489 +a(g827 +V +p24490 +tp24491 +a(g436 +VPy_DECREF +p24492 +tp24493 +a(g706 +g1109 +tp24494 +a(g436 +g1116 +tp24495 +a(g706 +g1118 +tp24496 +a(g706 +g1078 +tp24497 +a(g827 +V\u000a +p24498 +tp24499 +a(g827 +V +p24500 +tp24501 +a(g436 +VPy_XDECREF +p24502 +tp24503 +a(g706 +g1109 +tp24504 +a(g436 +g5398 +tp24505 +a(g706 +g1118 +tp24506 +a(g706 +g1078 +tp24507 +a(g827 +V\u000a +p24508 +tp24509 +a(g827 +V +p24510 +tp24511 +a(g436 +VSET_TOP +p24512 +tp24513 +a(g706 +g1109 +tp24514 +a(g436 +g5367 +tp24515 +a(g706 +g1118 +tp24516 +a(g706 +g1078 +tp24517 +a(g827 +V\u000a +p24518 +tp24519 +a(g827 +V +p24520 +tp24521 +a(g745 +Vif +p24522 +tp24523 +a(g827 +g983 +tp24524 +a(g706 +g1109 +tp24525 +a(g436 +g5367 +tp24526 +a(g827 +g983 +tp24527 +a(g413 +g1260 +tp24528 +a(g413 +g1262 +tp24529 +a(g827 +g983 +tp24530 +a(g682 +VNULL +p24531 +tp24532 +a(g706 +g1118 +tp24533 +a(g827 +g983 +tp24534 +a(g745 +Vcontinue +p24535 +tp24536 +a(g706 +g1078 +tp24537 +a(g827 +V\u000a +p24538 +tp24539 +a(g827 +V +p24540 +tp24541 +a(g745 +Vbreak +p24542 +tp24543 +a(g706 +g1078 +tp24544 +a(g827 +V\u000a +p24545 +tp24546 +a(g827 +V\u000a +p24547 +tp24548 +a(g827 +V +p24549 +tp24550 +a(g745 +Vcase +p24551 +tp24552 +a(g827 +g983 +tp24553 +a(g597 +VEXTENDED_ARG +p24554 +tp24555 +a(g706 +g1157 +tp24556 +a(g827 +V\u000a +p24557 +tp24558 +a(g827 +V +p24559 +tp24560 +a(g436 +Vopcode +p24561 +tp24562 +a(g827 +g983 +tp24563 +a(g413 +g1262 +tp24564 +a(g827 +g983 +tp24565 +a(g436 +VNEXTOP +p24566 +tp24567 +a(g706 +g1109 +tp24568 +a(g706 +g1118 +tp24569 +a(g706 +g1078 +tp24570 +a(g827 +V\u000a +p24571 +tp24572 +a(g827 +V +p24573 +tp24574 +a(g436 +Voparg +p24575 +tp24576 +a(g827 +g983 +tp24577 +a(g413 +g1262 +tp24578 +a(g827 +g983 +tp24579 +a(g436 +Voparg +p24580 +tp24581 +a(g413 +g4395 +tp24582 +a(g413 +g4395 +tp24583 +a(g37 +V16 +p24584 +tp24585 +a(g827 +g983 +tp24586 +a(g413 +g15057 +tp24587 +a(g827 +g983 +tp24588 +a(g436 +VNEXTARG +p24589 +tp24590 +a(g706 +g1109 +tp24591 +a(g706 +g1118 +tp24592 +a(g706 +g1078 +tp24593 +a(g827 +V\u000a +p24594 +tp24595 +a(g827 +V +p24596 +tp24597 +a(g745 +Vgoto +p24598 +tp24599 +a(g827 +g983 +tp24600 +a(g436 +Vdispatch_opcode +p24601 +tp24602 +a(g706 +g1078 +tp24603 +a(g827 +V\u000a +p24604 +tp24605 +a(g827 +V\u000a +p24606 +tp24607 +a(g827 +V +p24608 +tp24609 +a(g745 +Vdefault +p24610 +tp24611 +a(g413 +g1157 +tp24612 +a(g827 +V\u000a +p24613 +tp24614 +a(g827 +V +p24615 +tp24616 +a(g436 +Vfprintf +p24617 +tp24618 +a(g706 +g1109 +tp24619 +a(g436 +Vstderr +p24620 +tp24621 +a(g706 +g1139 +tp24622 +a(g827 +V\u000a +p24623 +tp24624 +a(g827 +V +p24625 +tp24626 +a(g89 +g1169 +tp24627 +a(g89 +VXXX lineno: %d, opcode: %d +p24628 +tp24629 +a(g213 +V\u005cn +p24630 +tp24631 +a(g89 +g1169 +tp24632 +a(g706 +g1139 +tp24633 +a(g827 +V\u000a +p24634 +tp24635 +a(g827 +V +p24636 +tp24637 +a(g436 +VPyCode_Addr2Line +p24638 +tp24639 +a(g706 +g1109 +tp24640 +a(g436 +g5180 +tp24641 +a(g413 +g1480 +tp24642 +a(g413 +g1482 +tp24643 +a(g436 +Vf_code +p24644 +tp24645 +a(g706 +g1139 +tp24646 +a(g827 +g983 +tp24647 +a(g436 +g5180 +tp24648 +a(g413 +g1480 +tp24649 +a(g413 +g1482 +tp24650 +a(g436 +Vf_lasti +p24651 +tp24652 +a(g706 +g1118 +tp24653 +a(g706 +g1139 +tp24654 +a(g827 +V\u000a +p24655 +tp24656 +a(g827 +V +p24657 +tp24658 +a(g436 +Vopcode +p24659 +tp24660 +a(g706 +g1118 +tp24661 +a(g706 +g1078 +tp24662 +a(g827 +V\u000a +p24663 +tp24664 +a(g827 +V +p24665 +tp24666 +a(g436 +VPyErr_SetString +p24667 +tp24668 +a(g706 +g1109 +tp24669 +a(g436 +VPyExc_SystemError +p24670 +tp24671 +a(g706 +g1139 +tp24672 +a(g827 +g983 +tp24673 +a(g89 +g1169 +tp24674 +a(g89 +Vunknown opcode +p24675 +tp24676 +a(g89 +g1169 +tp24677 +a(g706 +g1118 +tp24678 +a(g706 +g1078 +tp24679 +a(g827 +V\u000a +p24680 +tp24681 +a(g827 +V +p24682 +tp24683 +a(g436 +Vwhy +p24684 +tp24685 +a(g827 +g983 +tp24686 +a(g413 +g1262 +tp24687 +a(g827 +g983 +tp24688 +a(g436 +VWHY_EXCEPTION +p24689 +tp24690 +a(g706 +g1078 +tp24691 +a(g827 +V\u000a +p24692 +tp24693 +a(g827 +V +p24694 +tp24695 +a(g745 +Vbreak +p24696 +tp24697 +a(g706 +g1078 +tp24698 +a(g827 +V\u000a +p24699 +tp24700 +a(g827 +V\u000a +p24701 +tp24702 +a(g899 +g972 +tp24703 +a(g899 +Vifdef CASE_TOO_BIG +p24704 +tp24705 +a(g899 +V\u000a +p24706 +tp24707 +a(g827 +V +p24708 +tp24709 +a(g706 +g1336 +tp24710 +a(g827 +V\u000a +p24711 +tp24712 +a(g899 +g972 +tp24713 +a(g899 +Vendif +p24714 +tp24715 +a(g899 +V\u000a +p24716 +tp24717 +a(g827 +V\u000a +p24718 +tp24719 +a(g827 +V +p24720 +tp24721 +a(g706 +g1336 +tp24722 +a(g827 +g983 +tp24723 +a(g8 +V/* switch */ +p24724 +tp24725 +a(g827 +V\u000a +p24726 +tp24727 +a(g827 +V\u000a +p24728 +tp24729 +a(g827 +V +p24730 +tp24731 +a(g597 +Von_error +p24732 +tp24733 +a(g706 +g1157 +tp24734 +a(g827 +V\u000a +p24735 +tp24736 +a(g827 +V\u000a +p24737 +tp24738 +a(g827 +V +p24739 +tp24740 +a(g436 +VREAD_TIMESTAMP +p24741 +tp24742 +a(g706 +g1109 +tp24743 +a(g436 +Vinst1 +p24744 +tp24745 +a(g706 +g1118 +tp24746 +a(g706 +g1078 +tp24747 +a(g827 +V\u000a +p24748 +tp24749 +a(g827 +V\u000a +p24750 +tp24751 +a(g827 +V +p24752 +tp24753 +a(g8 +V/* Quickly continue if no error occurred */ +p24754 +tp24755 +a(g827 +V\u000a +p24756 +tp24757 +a(g827 +V\u000a +p24758 +tp24759 +a(g827 +V +p24760 +tp24761 +a(g745 +Vif +p24762 +tp24763 +a(g827 +g983 +tp24764 +a(g706 +g1109 +tp24765 +a(g436 +Vwhy +p24766 +tp24767 +a(g827 +g983 +tp24768 +a(g413 +g1262 +tp24769 +a(g413 +g1262 +tp24770 +a(g827 +g983 +tp24771 +a(g436 +VWHY_NOT +p24772 +tp24773 +a(g706 +g1118 +tp24774 +a(g827 +g983 +tp24775 +a(g706 +g1122 +tp24776 +a(g827 +V\u000a +p24777 +tp24778 +a(g827 +V +p24779 +tp24780 +a(g745 +Vif +p24781 +tp24782 +a(g827 +g983 +tp24783 +a(g706 +g1109 +tp24784 +a(g436 +Verr +p24785 +tp24786 +a(g827 +g983 +tp24787 +a(g413 +g1262 +tp24788 +a(g413 +g1262 +tp24789 +a(g827 +g983 +tp24790 +a(g37 +g1269 +tp24791 +a(g827 +g983 +tp24792 +a(g413 +g4166 +tp24793 +a(g413 +g4166 +tp24794 +a(g827 +g983 +tp24795 +a(g436 +g5367 +tp24796 +a(g827 +g983 +tp24797 +a(g413 +g1260 +tp24798 +a(g413 +g1262 +tp24799 +a(g827 +g983 +tp24800 +a(g682 +VNULL +p24801 +tp24802 +a(g706 +g1118 +tp24803 +a(g827 +g983 +tp24804 +a(g706 +g1122 +tp24805 +a(g827 +V\u000a +p24806 +tp24807 +a(g899 +g972 +tp24808 +a(g899 +Vifdef CHECKEXC +p24809 +tp24810 +a(g899 +V\u000a +p24811 +tp24812 +a(g827 +V +p24813 +tp24814 +a(g8 +V/* This check is expensive! */ +p24815 +tp24816 +a(g827 +V\u000a +p24817 +tp24818 +a(g827 +V +p24819 +tp24820 +a(g745 +Vif +p24821 +tp24822 +a(g827 +g983 +tp24823 +a(g706 +g1109 +tp24824 +a(g436 +VPyErr_Occurred +p24825 +tp24826 +a(g706 +g1109 +tp24827 +a(g706 +g1118 +tp24828 +a(g706 +g1118 +tp24829 +a(g827 +V\u000a +p24830 +tp24831 +a(g827 +V +p24832 +tp24833 +a(g436 +Vfprintf +p24834 +tp24835 +a(g706 +g1109 +tp24836 +a(g436 +Vstderr +p24837 +tp24838 +a(g706 +g1139 +tp24839 +a(g827 +V\u000a +p24840 +tp24841 +a(g827 +V +p24842 +tp24843 +a(g89 +g1169 +tp24844 +a(g89 +VXXX undetected error +p24845 +tp24846 +a(g213 +V\u005cn +p24847 +tp24848 +a(g89 +g1169 +tp24849 +a(g706 +g1118 +tp24850 +a(g706 +g1078 +tp24851 +a(g827 +V\u000a +p24852 +tp24853 +a(g827 +V +p24854 +tp24855 +a(g745 +Velse +p24856 +tp24857 +a(g827 +g983 +tp24858 +a(g706 +g1122 +tp24859 +a(g827 +V\u000a +p24860 +tp24861 +a(g899 +g972 +tp24862 +a(g899 +Vendif +p24863 +tp24864 +a(g899 +V\u000a +p24865 +tp24866 +a(g827 +V +p24867 +tp24868 +a(g436 +VREAD_TIMESTAMP +p24869 +tp24870 +a(g706 +g1109 +tp24871 +a(g436 +Vloop1 +p24872 +tp24873 +a(g706 +g1118 +tp24874 +a(g706 +g1078 +tp24875 +a(g827 +V\u000a +p24876 +tp24877 +a(g827 +V +p24878 +tp24879 +a(g745 +Vcontinue +p24880 +tp24881 +a(g706 +g1078 +tp24882 +a(g827 +g983 +tp24883 +a(g8 +V/* Normal, fast path */ +p24884 +tp24885 +a(g827 +V\u000a +p24886 +tp24887 +a(g899 +g972 +tp24888 +a(g899 +Vifdef CHECKEXC +p24889 +tp24890 +a(g899 +V\u000a +p24891 +tp24892 +a(g827 +V +p24893 +tp24894 +a(g706 +g1336 +tp24895 +a(g827 +V\u000a +p24896 +tp24897 +a(g899 +g972 +tp24898 +a(g899 +Vendif +p24899 +tp24900 +a(g899 +V\u000a +p24901 +tp24902 +a(g827 +V +p24903 +tp24904 +a(g706 +g1336 +tp24905 +a(g827 +V\u000a +p24906 +tp24907 +a(g827 +V +p24908 +tp24909 +a(g436 +Vwhy +p24910 +tp24911 +a(g827 +g983 +tp24912 +a(g413 +g1262 +tp24913 +a(g827 +g983 +tp24914 +a(g436 +VWHY_EXCEPTION +p24915 +tp24916 +a(g706 +g1078 +tp24917 +a(g827 +V\u000a +p24918 +tp24919 +a(g827 +V +p24920 +tp24921 +a(g436 +g5367 +tp24922 +a(g827 +g983 +tp24923 +a(g413 +g1262 +tp24924 +a(g827 +g983 +tp24925 +a(g436 +VPy_None +p24926 +tp24927 +a(g706 +g1078 +tp24928 +a(g827 +V\u000a +p24929 +tp24930 +a(g827 +V +p24931 +tp24932 +a(g436 +Verr +p24933 +tp24934 +a(g827 +g983 +tp24935 +a(g413 +g1262 +tp24936 +a(g827 +g983 +tp24937 +a(g37 +g1269 +tp24938 +a(g706 +g1078 +tp24939 +a(g827 +V\u000a +p24940 +tp24941 +a(g827 +V +p24942 +tp24943 +a(g706 +g1336 +tp24944 +a(g827 +V\u000a +p24945 +tp24946 +a(g827 +V\u000a +p24947 +tp24948 +a(g827 +V +p24949 +tp24950 +a(g8 +V/* Double-check exception status */ +p24951 +tp24952 +a(g827 +V\u000a +p24953 +tp24954 +a(g827 +V\u000a +p24955 +tp24956 +a(g827 +V +p24957 +tp24958 +a(g745 +Vif +p24959 +tp24960 +a(g827 +g983 +tp24961 +a(g706 +g1109 +tp24962 +a(g436 +Vwhy +p24963 +tp24964 +a(g827 +g983 +tp24965 +a(g413 +g1262 +tp24966 +a(g413 +g1262 +tp24967 +a(g827 +g983 +tp24968 +a(g436 +VWHY_EXCEPTION +p24969 +tp24970 +a(g827 +g983 +tp24971 +a(g413 +g15057 +tp24972 +a(g413 +g15057 +tp24973 +a(g827 +g983 +tp24974 +a(g436 +Vwhy +p24975 +tp24976 +a(g827 +g983 +tp24977 +a(g413 +g1262 +tp24978 +a(g413 +g1262 +tp24979 +a(g827 +g983 +tp24980 +a(g436 +VWHY_RERAISE +p24981 +tp24982 +a(g706 +g1118 +tp24983 +a(g827 +g983 +tp24984 +a(g706 +g1122 +tp24985 +a(g827 +V\u000a +p24986 +tp24987 +a(g827 +V +p24988 +tp24989 +a(g745 +Vif +p24990 +tp24991 +a(g827 +g983 +tp24992 +a(g706 +g1109 +tp24993 +a(g413 +g1260 +tp24994 +a(g436 +VPyErr_Occurred +p24995 +tp24996 +a(g706 +g1109 +tp24997 +a(g706 +g1118 +tp24998 +a(g706 +g1118 +tp24999 +a(g827 +g983 +tp25000 +a(g706 +g1122 +tp25001 +a(g827 +V\u000a +p25002 +tp25003 +a(g827 +V +p25004 +tp25005 +a(g436 +VPyErr_SetString +p25006 +tp25007 +a(g706 +g1109 +tp25008 +a(g436 +VPyExc_SystemError +p25009 +tp25010 +a(g706 +g1139 +tp25011 +a(g827 +V\u000a +p25012 +tp25013 +a(g827 +V +p25014 +tp25015 +a(g89 +g1169 +tp25016 +a(g89 +Verror return without exception set +p25017 +tp25018 +a(g89 +g1169 +tp25019 +a(g706 +g1118 +tp25020 +a(g706 +g1078 +tp25021 +a(g827 +V\u000a +p25022 +tp25023 +a(g827 +V +p25024 +tp25025 +a(g436 +Vwhy +p25026 +tp25027 +a(g827 +g983 +tp25028 +a(g413 +g1262 +tp25029 +a(g827 +g983 +tp25030 +a(g436 +VWHY_EXCEPTION +p25031 +tp25032 +a(g706 +g1078 +tp25033 +a(g827 +V\u000a +p25034 +tp25035 +a(g827 +V +p25036 +tp25037 +a(g706 +g1336 +tp25038 +a(g827 +V\u000a +p25039 +tp25040 +a(g827 +V +p25041 +tp25042 +a(g706 +g1336 +tp25043 +a(g827 +V\u000a +p25044 +tp25045 +a(g899 +g972 +tp25046 +a(g899 +Vifdef CHECKEXC +p25047 +tp25048 +a(g899 +V\u000a +p25049 +tp25050 +a(g827 +V +p25051 +tp25052 +a(g745 +Velse +p25053 +tp25054 +a(g827 +g983 +tp25055 +a(g706 +g1122 +tp25056 +a(g827 +V\u000a +p25057 +tp25058 +a(g827 +V +p25059 +tp25060 +a(g8 +V/* This check is expensive! */ +p25061 +tp25062 +a(g827 +V\u000a +p25063 +tp25064 +a(g827 +V +p25065 +tp25066 +a(g745 +Vif +p25067 +tp25068 +a(g827 +g983 +tp25069 +a(g706 +g1109 +tp25070 +a(g436 +VPyErr_Occurred +p25071 +tp25072 +a(g706 +g1109 +tp25073 +a(g706 +g1118 +tp25074 +a(g706 +g1118 +tp25075 +a(g827 +g983 +tp25076 +a(g706 +g1122 +tp25077 +a(g827 +V\u000a +p25078 +tp25079 +a(g827 +V +p25080 +tp25081 +a(g749 +Vchar +p25082 +tp25083 +a(g827 +g983 +tp25084 +a(g436 +Vbuf +p25085 +tp25086 +a(g706 +g1300 +tp25087 +a(g37 +V1024 +p25088 +tp25089 +a(g706 +g1303 +tp25090 +a(g706 +g1078 +tp25091 +a(g827 +V\u000a +p25092 +tp25093 +a(g827 +V +p25094 +tp25095 +a(g436 +Vsprintf +p25096 +tp25097 +a(g706 +g1109 +tp25098 +a(g436 +Vbuf +p25099 +tp25100 +a(g706 +g1139 +tp25101 +a(g827 +g983 +tp25102 +a(g89 +g1169 +tp25103 +a(g89 +VStack unwind with exception +p25104 +tp25105 +a(g89 +g1169 +tp25106 +a(g827 +V\u000a +p25107 +tp25108 +a(g827 +V +p25109 +tp25110 +a(g89 +g1169 +tp25111 +a(g89 +Vset and why=%d +p25112 +tp25113 +a(g89 +g1169 +tp25114 +a(g706 +g1139 +tp25115 +a(g827 +g983 +tp25116 +a(g436 +Vwhy +p25117 +tp25118 +a(g706 +g1118 +tp25119 +a(g706 +g1078 +tp25120 +a(g827 +V\u000a +p25121 +tp25122 +a(g827 +V +p25123 +tp25124 +a(g436 +VPy_FatalError +p25125 +tp25126 +a(g706 +g1109 +tp25127 +a(g436 +Vbuf +p25128 +tp25129 +a(g706 +g1118 +tp25130 +a(g706 +g1078 +tp25131 +a(g827 +V\u000a +p25132 +tp25133 +a(g827 +V +p25134 +tp25135 +a(g706 +g1336 +tp25136 +a(g827 +V\u000a +p25137 +tp25138 +a(g827 +V +p25139 +tp25140 +a(g706 +g1336 +tp25141 +a(g827 +V\u000a +p25142 +tp25143 +a(g899 +g972 +tp25144 +a(g899 +Vendif +p25145 +tp25146 +a(g899 +V\u000a +p25147 +tp25148 +a(g827 +V\u000a +p25149 +tp25150 +a(g827 +V +p25151 +tp25152 +a(g8 +V/* Log traceback info if this is a real exception */ +p25153 +tp25154 +a(g827 +V\u000a +p25155 +tp25156 +a(g827 +V\u000a +p25157 +tp25158 +a(g827 +V +p25159 +tp25160 +a(g745 +Vif +p25161 +tp25162 +a(g827 +g983 +tp25163 +a(g706 +g1109 +tp25164 +a(g436 +Vwhy +p25165 +tp25166 +a(g827 +g983 +tp25167 +a(g413 +g1262 +tp25168 +a(g413 +g1262 +tp25169 +a(g827 +g983 +tp25170 +a(g436 +VWHY_EXCEPTION +p25171 +tp25172 +a(g706 +g1118 +tp25173 +a(g827 +g983 +tp25174 +a(g706 +g1122 +tp25175 +a(g827 +V\u000a +p25176 +tp25177 +a(g827 +V +p25178 +tp25179 +a(g436 +VPyTraceBack_Here +p25180 +tp25181 +a(g706 +g1109 +tp25182 +a(g436 +g5180 +tp25183 +a(g706 +g1118 +tp25184 +a(g706 +g1078 +tp25185 +a(g827 +V\u000a +p25186 +tp25187 +a(g827 +V\u000a +p25188 +tp25189 +a(g827 +V +p25190 +tp25191 +a(g745 +Vif +p25192 +tp25193 +a(g827 +g983 +tp25194 +a(g706 +g1109 +tp25195 +a(g436 +Vtstate +p25196 +tp25197 +a(g413 +g1480 +tp25198 +a(g413 +g1482 +tp25199 +a(g436 +Vc_tracefunc +p25200 +tp25201 +a(g827 +g983 +tp25202 +a(g413 +g1260 +tp25203 +a(g413 +g1262 +tp25204 +a(g827 +g983 +tp25205 +a(g682 +VNULL +p25206 +tp25207 +a(g706 +g1118 +tp25208 +a(g827 +V\u000a +p25209 +tp25210 +a(g827 +V +p25211 +tp25212 +a(g436 +Vcall_exc_trace +p25213 +tp25214 +a(g706 +g1109 +tp25215 +a(g436 +Vtstate +p25216 +tp25217 +a(g413 +g1480 +tp25218 +a(g413 +g1482 +tp25219 +a(g436 +Vc_tracefunc +p25220 +tp25221 +a(g706 +g1139 +tp25222 +a(g827 +V\u000a +p25223 +tp25224 +a(g827 +V +p25225 +tp25226 +a(g436 +Vtstate +p25227 +tp25228 +a(g413 +g1480 +tp25229 +a(g413 +g1482 +tp25230 +a(g436 +Vc_traceobj +p25231 +tp25232 +a(g706 +g1139 +tp25233 +a(g827 +g983 +tp25234 +a(g436 +g5180 +tp25235 +a(g706 +g1118 +tp25236 +a(g706 +g1078 +tp25237 +a(g827 +V\u000a +p25238 +tp25239 +a(g827 +V +p25240 +tp25241 +a(g706 +g1336 +tp25242 +a(g827 +V\u000a +p25243 +tp25244 +a(g827 +V\u000a +p25245 +tp25246 +a(g827 +V +p25247 +tp25248 +a(g8 +V/* For the rest, treat WHY_RERAISE as WHY_EXCEPTION */ +p25249 +tp25250 +a(g827 +V\u000a +p25251 +tp25252 +a(g827 +V\u000a +p25253 +tp25254 +a(g827 +V +p25255 +tp25256 +a(g745 +Vif +p25257 +tp25258 +a(g827 +g983 +tp25259 +a(g706 +g1109 +tp25260 +a(g436 +Vwhy +p25261 +tp25262 +a(g827 +g983 +tp25263 +a(g413 +g1262 +tp25264 +a(g413 +g1262 +tp25265 +a(g827 +g983 +tp25266 +a(g436 +VWHY_RERAISE +p25267 +tp25268 +a(g706 +g1118 +tp25269 +a(g827 +V\u000a +p25270 +tp25271 +a(g827 +V +p25272 +tp25273 +a(g436 +Vwhy +p25274 +tp25275 +a(g827 +g983 +tp25276 +a(g413 +g1262 +tp25277 +a(g827 +g983 +tp25278 +a(g436 +VWHY_EXCEPTION +p25279 +tp25280 +a(g706 +g1078 +tp25281 +a(g827 +V\u000a +p25282 +tp25283 +a(g827 +V\u000a +p25284 +tp25285 +a(g827 +V +p25286 +tp25287 +a(g8 +V/* Unwind stacks if a (pseudo) exception occurred */ +p25288 +tp25289 +a(g827 +V\u000a +p25290 +tp25291 +a(g827 +V\u000a +p25292 +tp25293 +a(g597 +Vfast_block_end +p25294 +tp25295 +a(g706 +g1157 +tp25296 +a(g827 +V\u000a +p25297 +tp25298 +a(g827 +V +p25299 +tp25300 +a(g745 +Vwhile +p25301 +tp25302 +a(g827 +g983 +tp25303 +a(g706 +g1109 +tp25304 +a(g436 +Vwhy +p25305 +tp25306 +a(g827 +g983 +tp25307 +a(g413 +g1260 +tp25308 +a(g413 +g1262 +tp25309 +a(g827 +g983 +tp25310 +a(g436 +VWHY_NOT +p25311 +tp25312 +a(g827 +g983 +tp25313 +a(g413 +g4166 +tp25314 +a(g413 +g4166 +tp25315 +a(g827 +g983 +tp25316 +a(g436 +g5180 +tp25317 +a(g413 +g1480 +tp25318 +a(g413 +g1482 +tp25319 +a(g436 +Vf_iblock +p25320 +tp25321 +a(g827 +g983 +tp25322 +a(g413 +g1482 +tp25323 +a(g827 +g983 +tp25324 +a(g37 +g1269 +tp25325 +a(g706 +g1118 +tp25326 +a(g827 +g983 +tp25327 +a(g706 +g1122 +tp25328 +a(g827 +V\u000a +p25329 +tp25330 +a(g827 +V +p25331 +tp25332 +a(g436 +VPyTryBlock +p25333 +tp25334 +a(g827 +g983 +tp25335 +a(g413 +g1114 +tp25336 +a(g436 +g10206 +tp25337 +a(g827 +g983 +tp25338 +a(g413 +g1262 +tp25339 +a(g827 +g983 +tp25340 +a(g436 +VPyFrame_BlockPop +p25341 +tp25342 +a(g706 +g1109 +tp25343 +a(g436 +g5180 +tp25344 +a(g706 +g1118 +tp25345 +a(g706 +g1078 +tp25346 +a(g827 +V\u000a +p25347 +tp25348 +a(g827 +V\u000a +p25349 +tp25350 +a(g827 +V +p25351 +tp25352 +a(g436 +Vassert +p25353 +tp25354 +a(g706 +g1109 +tp25355 +a(g436 +Vwhy +p25356 +tp25357 +a(g827 +g983 +tp25358 +a(g413 +g1260 +tp25359 +a(g413 +g1262 +tp25360 +a(g827 +g983 +tp25361 +a(g436 +VWHY_YIELD +p25362 +tp25363 +a(g706 +g1118 +tp25364 +a(g706 +g1078 +tp25365 +a(g827 +V\u000a +p25366 +tp25367 +a(g827 +V +p25368 +tp25369 +a(g745 +Vif +p25370 +tp25371 +a(g827 +g983 +tp25372 +a(g706 +g1109 +tp25373 +a(g436 +g10206 +tp25374 +a(g413 +g1480 +tp25375 +a(g413 +g1482 +tp25376 +a(g436 +Vb_type +p25377 +tp25378 +a(g827 +g983 +tp25379 +a(g413 +g1262 +tp25380 +a(g413 +g1262 +tp25381 +a(g827 +g983 +tp25382 +a(g436 +VSETUP_LOOP +p25383 +tp25384 +a(g827 +g983 +tp25385 +a(g413 +g4166 +tp25386 +a(g413 +g4166 +tp25387 +a(g827 +g983 +tp25388 +a(g436 +Vwhy +p25389 +tp25390 +a(g827 +g983 +tp25391 +a(g413 +g1262 +tp25392 +a(g413 +g1262 +tp25393 +a(g827 +g983 +tp25394 +a(g436 +VWHY_CONTINUE +p25395 +tp25396 +a(g706 +g1118 +tp25397 +a(g827 +g983 +tp25398 +a(g706 +g1122 +tp25399 +a(g827 +V\u000a +p25400 +tp25401 +a(g827 +V +p25402 +tp25403 +a(g8 +V/* For a continue inside a try block,\u000a don't pop the block for the loop. */ +p25404 +tp25405 +a(g827 +V\u000a +p25406 +tp25407 +a(g827 +V +p25408 +tp25409 +a(g436 +VPyFrame_BlockSetup +p25410 +tp25411 +a(g706 +g1109 +tp25412 +a(g436 +g5180 +tp25413 +a(g706 +g1139 +tp25414 +a(g827 +g983 +tp25415 +a(g436 +g10206 +tp25416 +a(g413 +g1480 +tp25417 +a(g413 +g1482 +tp25418 +a(g436 +Vb_type +p25419 +tp25420 +a(g706 +g1139 +tp25421 +a(g827 +g983 +tp25422 +a(g436 +g10206 +tp25423 +a(g413 +g1480 +tp25424 +a(g413 +g1482 +tp25425 +a(g436 +Vb_handler +p25426 +tp25427 +a(g706 +g1139 +tp25428 +a(g827 +V\u000a +p25429 +tp25430 +a(g827 +V +p25431 +tp25432 +a(g436 +g10206 +tp25433 +a(g413 +g1480 +tp25434 +a(g413 +g1482 +tp25435 +a(g436 +Vb_level +p25436 +tp25437 +a(g706 +g1118 +tp25438 +a(g706 +g1078 +tp25439 +a(g827 +V\u000a +p25440 +tp25441 +a(g827 +V +p25442 +tp25443 +a(g436 +Vwhy +p25444 +tp25445 +a(g827 +g983 +tp25446 +a(g413 +g1262 +tp25447 +a(g827 +g983 +tp25448 +a(g436 +VWHY_NOT +p25449 +tp25450 +a(g706 +g1078 +tp25451 +a(g827 +V\u000a +p25452 +tp25453 +a(g827 +V +p25454 +tp25455 +a(g436 +VJUMPTO +p25456 +tp25457 +a(g706 +g1109 +tp25458 +a(g436 +VPyInt_AS_LONG +p25459 +tp25460 +a(g706 +g1109 +tp25461 +a(g436 +Vretval +p25462 +tp25463 +a(g706 +g1118 +tp25464 +a(g706 +g1118 +tp25465 +a(g706 +g1078 +tp25466 +a(g827 +V\u000a +p25467 +tp25468 +a(g827 +V +p25469 +tp25470 +a(g436 +VPy_DECREF +p25471 +tp25472 +a(g706 +g1109 +tp25473 +a(g436 +Vretval +p25474 +tp25475 +a(g706 +g1118 +tp25476 +a(g706 +g1078 +tp25477 +a(g827 +V\u000a +p25478 +tp25479 +a(g827 +V +p25480 +tp25481 +a(g745 +Vbreak +p25482 +tp25483 +a(g706 +g1078 +tp25484 +a(g827 +V\u000a +p25485 +tp25486 +a(g827 +V +p25487 +tp25488 +a(g706 +g1336 +tp25489 +a(g827 +V\u000a +p25490 +tp25491 +a(g827 +V\u000a +p25492 +tp25493 +a(g827 +V +p25494 +tp25495 +a(g745 +Vwhile +p25496 +tp25497 +a(g827 +g983 +tp25498 +a(g706 +g1109 +tp25499 +a(g436 +VSTACK_LEVEL +p25500 +tp25501 +a(g706 +g1109 +tp25502 +a(g706 +g1118 +tp25503 +a(g827 +g983 +tp25504 +a(g413 +g1482 +tp25505 +a(g827 +g983 +tp25506 +a(g436 +g10206 +tp25507 +a(g413 +g1480 +tp25508 +a(g413 +g1482 +tp25509 +a(g436 +Vb_level +p25510 +tp25511 +a(g706 +g1118 +tp25512 +a(g827 +g983 +tp25513 +a(g706 +g1122 +tp25514 +a(g827 +V\u000a +p25515 +tp25516 +a(g827 +V +p25517 +tp25518 +a(g436 +g1116 +tp25519 +a(g827 +g983 +tp25520 +a(g413 +g1262 +tp25521 +a(g827 +g983 +tp25522 +a(g436 +VPOP +p25523 +tp25524 +a(g706 +g1109 +tp25525 +a(g706 +g1118 +tp25526 +a(g706 +g1078 +tp25527 +a(g827 +V\u000a +p25528 +tp25529 +a(g827 +V +p25530 +tp25531 +a(g436 +VPy_XDECREF +p25532 +tp25533 +a(g706 +g1109 +tp25534 +a(g436 +g1116 +tp25535 +a(g706 +g1118 +tp25536 +a(g706 +g1078 +tp25537 +a(g827 +V\u000a +p25538 +tp25539 +a(g827 +V +p25540 +tp25541 +a(g706 +g1336 +tp25542 +a(g827 +V\u000a +p25543 +tp25544 +a(g827 +V +p25545 +tp25546 +a(g745 +Vif +p25547 +tp25548 +a(g827 +g983 +tp25549 +a(g706 +g1109 +tp25550 +a(g436 +g10206 +tp25551 +a(g413 +g1480 +tp25552 +a(g413 +g1482 +tp25553 +a(g436 +Vb_type +p25554 +tp25555 +a(g827 +g983 +tp25556 +a(g413 +g1262 +tp25557 +a(g413 +g1262 +tp25558 +a(g827 +g983 +tp25559 +a(g436 +VSETUP_LOOP +p25560 +tp25561 +a(g827 +g983 +tp25562 +a(g413 +g4166 +tp25563 +a(g413 +g4166 +tp25564 +a(g827 +g983 +tp25565 +a(g436 +Vwhy +p25566 +tp25567 +a(g827 +g983 +tp25568 +a(g413 +g1262 +tp25569 +a(g413 +g1262 +tp25570 +a(g827 +g983 +tp25571 +a(g436 +VWHY_BREAK +p25572 +tp25573 +a(g706 +g1118 +tp25574 +a(g827 +g983 +tp25575 +a(g706 +g1122 +tp25576 +a(g827 +V\u000a +p25577 +tp25578 +a(g827 +V +p25579 +tp25580 +a(g436 +Vwhy +p25581 +tp25582 +a(g827 +g983 +tp25583 +a(g413 +g1262 +tp25584 +a(g827 +g983 +tp25585 +a(g436 +VWHY_NOT +p25586 +tp25587 +a(g706 +g1078 +tp25588 +a(g827 +V\u000a +p25589 +tp25590 +a(g827 +V +p25591 +tp25592 +a(g436 +VJUMPTO +p25593 +tp25594 +a(g706 +g1109 +tp25595 +a(g436 +g10206 +tp25596 +a(g413 +g1480 +tp25597 +a(g413 +g1482 +tp25598 +a(g436 +Vb_handler +p25599 +tp25600 +a(g706 +g1118 +tp25601 +a(g706 +g1078 +tp25602 +a(g827 +V\u000a +p25603 +tp25604 +a(g827 +V +p25605 +tp25606 +a(g745 +Vbreak +p25607 +tp25608 +a(g706 +g1078 +tp25609 +a(g827 +V\u000a +p25610 +tp25611 +a(g827 +V +p25612 +tp25613 +a(g706 +g1336 +tp25614 +a(g827 +V\u000a +p25615 +tp25616 +a(g827 +V +p25617 +tp25618 +a(g745 +Vif +p25619 +tp25620 +a(g827 +g983 +tp25621 +a(g706 +g1109 +tp25622 +a(g436 +g10206 +tp25623 +a(g413 +g1480 +tp25624 +a(g413 +g1482 +tp25625 +a(g436 +Vb_type +p25626 +tp25627 +a(g827 +g983 +tp25628 +a(g413 +g1262 +tp25629 +a(g413 +g1262 +tp25630 +a(g827 +g983 +tp25631 +a(g436 +VSETUP_FINALLY +p25632 +tp25633 +a(g827 +g983 +tp25634 +a(g413 +g15057 +tp25635 +a(g413 +g15057 +tp25636 +a(g827 +V\u000a +p25637 +tp25638 +a(g827 +V +p25639 +tp25640 +a(g706 +g1109 +tp25641 +a(g436 +g10206 +tp25642 +a(g413 +g1480 +tp25643 +a(g413 +g1482 +tp25644 +a(g436 +Vb_type +p25645 +tp25646 +a(g827 +g983 +tp25647 +a(g413 +g1262 +tp25648 +a(g413 +g1262 +tp25649 +a(g827 +g983 +tp25650 +a(g436 +VSETUP_EXCEPT +p25651 +tp25652 +a(g827 +g983 +tp25653 +a(g413 +g4166 +tp25654 +a(g413 +g4166 +tp25655 +a(g827 +V\u000a +p25656 +tp25657 +a(g827 +V +p25658 +tp25659 +a(g436 +Vwhy +p25660 +tp25661 +a(g827 +g983 +tp25662 +a(g413 +g1262 +tp25663 +a(g413 +g1262 +tp25664 +a(g827 +g983 +tp25665 +a(g436 +VWHY_EXCEPTION +p25666 +tp25667 +a(g706 +g1118 +tp25668 +a(g706 +g1118 +tp25669 +a(g827 +g983 +tp25670 +a(g706 +g1122 +tp25671 +a(g827 +V\u000a +p25672 +tp25673 +a(g827 +V +p25674 +tp25675 +a(g745 +Vif +p25676 +tp25677 +a(g827 +g983 +tp25678 +a(g706 +g1109 +tp25679 +a(g436 +Vwhy +p25680 +tp25681 +a(g827 +g983 +tp25682 +a(g413 +g1262 +tp25683 +a(g413 +g1262 +tp25684 +a(g827 +g983 +tp25685 +a(g436 +VWHY_EXCEPTION +p25686 +tp25687 +a(g706 +g1118 +tp25688 +a(g827 +g983 +tp25689 +a(g706 +g1122 +tp25690 +a(g827 +V\u000a +p25691 +tp25692 +a(g827 +V +p25693 +tp25694 +a(g436 +VPyObject +p25695 +tp25696 +a(g827 +g983 +tp25697 +a(g413 +g1114 +tp25698 +a(g436 +Vexc +p25699 +tp25700 +a(g706 +g1139 +tp25701 +a(g827 +g983 +tp25702 +a(g413 +g1114 +tp25703 +a(g436 +Vval +p25704 +tp25705 +a(g706 +g1139 +tp25706 +a(g827 +g983 +tp25707 +a(g413 +g1114 +tp25708 +a(g436 +Vtb +p25709 +tp25710 +a(g706 +g1078 +tp25711 +a(g827 +V\u000a +p25712 +tp25713 +a(g827 +V +p25714 +tp25715 +a(g436 +VPyErr_Fetch +p25716 +tp25717 +a(g706 +g1109 +tp25718 +a(g413 +g4166 +tp25719 +a(g436 +Vexc +p25720 +tp25721 +a(g706 +g1139 +tp25722 +a(g827 +g983 +tp25723 +a(g413 +g4166 +tp25724 +a(g436 +Vval +p25725 +tp25726 +a(g706 +g1139 +tp25727 +a(g827 +g983 +tp25728 +a(g413 +g4166 +tp25729 +a(g436 +Vtb +p25730 +tp25731 +a(g706 +g1118 +tp25732 +a(g706 +g1078 +tp25733 +a(g827 +V\u000a +p25734 +tp25735 +a(g827 +V +p25736 +tp25737 +a(g745 +Vif +p25738 +tp25739 +a(g827 +g983 +tp25740 +a(g706 +g1109 +tp25741 +a(g436 +Vval +p25742 +tp25743 +a(g827 +g983 +tp25744 +a(g413 +g1262 +tp25745 +a(g413 +g1262 +tp25746 +a(g827 +g983 +tp25747 +a(g682 +VNULL +p25748 +tp25749 +a(g706 +g1118 +tp25750 +a(g827 +g983 +tp25751 +a(g706 +g1122 +tp25752 +a(g827 +V\u000a +p25753 +tp25754 +a(g827 +V +p25755 +tp25756 +a(g436 +Vval +p25757 +tp25758 +a(g827 +g983 +tp25759 +a(g413 +g1262 +tp25760 +a(g827 +g983 +tp25761 +a(g436 +VPy_None +p25762 +tp25763 +a(g706 +g1078 +tp25764 +a(g827 +V\u000a +p25765 +tp25766 +a(g827 +V +p25767 +tp25768 +a(g436 +VPy_INCREF +p25769 +tp25770 +a(g706 +g1109 +tp25771 +a(g436 +Vval +p25772 +tp25773 +a(g706 +g1118 +tp25774 +a(g706 +g1078 +tp25775 +a(g827 +V\u000a +p25776 +tp25777 +a(g827 +V +p25778 +tp25779 +a(g706 +g1336 +tp25780 +a(g827 +V\u000a +p25781 +tp25782 +a(g827 +V +p25783 +tp25784 +a(g8 +V/* Make the raw exception data\u000a available to the handler,\u000a so a program can emulate the\u000a Python main loop. Don't do\u000a this for 'finally'. */ +p25785 +tp25786 +a(g827 +V\u000a +p25787 +tp25788 +a(g827 +V +p25789 +tp25790 +a(g745 +Vif +p25791 +tp25792 +a(g827 +g983 +tp25793 +a(g706 +g1109 +tp25794 +a(g436 +g10206 +tp25795 +a(g413 +g1480 +tp25796 +a(g413 +g1482 +tp25797 +a(g436 +Vb_type +p25798 +tp25799 +a(g827 +g983 +tp25800 +a(g413 +g1262 +tp25801 +a(g413 +g1262 +tp25802 +a(g827 +g983 +tp25803 +a(g436 +VSETUP_EXCEPT +p25804 +tp25805 +a(g706 +g1118 +tp25806 +a(g827 +g983 +tp25807 +a(g706 +g1122 +tp25808 +a(g827 +V\u000a +p25809 +tp25810 +a(g827 +V +p25811 +tp25812 +a(g436 +VPyErr_NormalizeException +p25813 +tp25814 +a(g706 +g1109 +tp25815 +a(g827 +V\u000a +p25816 +tp25817 +a(g827 +V +p25818 +tp25819 +a(g413 +g4166 +tp25820 +a(g436 +Vexc +p25821 +tp25822 +a(g706 +g1139 +tp25823 +a(g827 +g983 +tp25824 +a(g413 +g4166 +tp25825 +a(g436 +Vval +p25826 +tp25827 +a(g706 +g1139 +tp25828 +a(g827 +g983 +tp25829 +a(g413 +g4166 +tp25830 +a(g436 +Vtb +p25831 +tp25832 +a(g706 +g1118 +tp25833 +a(g706 +g1078 +tp25834 +a(g827 +V\u000a +p25835 +tp25836 +a(g827 +V +p25837 +tp25838 +a(g436 +Vset_exc_info +p25839 +tp25840 +a(g706 +g1109 +tp25841 +a(g436 +Vtstate +p25842 +tp25843 +a(g706 +g1139 +tp25844 +a(g827 +V\u000a +p25845 +tp25846 +a(g827 +V +p25847 +tp25848 +a(g436 +Vexc +p25849 +tp25850 +a(g706 +g1139 +tp25851 +a(g827 +g983 +tp25852 +a(g436 +Vval +p25853 +tp25854 +a(g706 +g1139 +tp25855 +a(g827 +g983 +tp25856 +a(g436 +Vtb +p25857 +tp25858 +a(g706 +g1118 +tp25859 +a(g706 +g1078 +tp25860 +a(g827 +V\u000a +p25861 +tp25862 +a(g827 +V +p25863 +tp25864 +a(g706 +g1336 +tp25865 +a(g827 +V\u000a +p25866 +tp25867 +a(g827 +V +p25868 +tp25869 +a(g745 +Vif +p25870 +tp25871 +a(g827 +g983 +tp25872 +a(g706 +g1109 +tp25873 +a(g436 +Vtb +p25874 +tp25875 +a(g827 +g983 +tp25876 +a(g413 +g1262 +tp25877 +a(g413 +g1262 +tp25878 +a(g827 +g983 +tp25879 +a(g682 +VNULL +p25880 +tp25881 +a(g706 +g1118 +tp25882 +a(g827 +g983 +tp25883 +a(g706 +g1122 +tp25884 +a(g827 +V\u000a +p25885 +tp25886 +a(g827 +V +p25887 +tp25888 +a(g436 +VPy_INCREF +p25889 +tp25890 +a(g706 +g1109 +tp25891 +a(g436 +VPy_None +p25892 +tp25893 +a(g706 +g1118 +tp25894 +a(g706 +g1078 +tp25895 +a(g827 +V\u000a +p25896 +tp25897 +a(g827 +V +p25898 +tp25899 +a(g436 +VPUSH +p25900 +tp25901 +a(g706 +g1109 +tp25902 +a(g436 +VPy_None +p25903 +tp25904 +a(g706 +g1118 +tp25905 +a(g706 +g1078 +tp25906 +a(g827 +V\u000a +p25907 +tp25908 +a(g827 +V +p25909 +tp25910 +a(g706 +g1336 +tp25911 +a(g827 +g983 +tp25912 +a(g745 +Velse +p25913 +tp25914 +a(g827 +V\u000a +p25915 +tp25916 +a(g827 +V +p25917 +tp25918 +a(g436 +VPUSH +p25919 +tp25920 +a(g706 +g1109 +tp25921 +a(g436 +Vtb +p25922 +tp25923 +a(g706 +g1118 +tp25924 +a(g706 +g1078 +tp25925 +a(g827 +V\u000a +p25926 +tp25927 +a(g827 +V +p25928 +tp25929 +a(g436 +VPUSH +p25930 +tp25931 +a(g706 +g1109 +tp25932 +a(g436 +Vval +p25933 +tp25934 +a(g706 +g1118 +tp25935 +a(g706 +g1078 +tp25936 +a(g827 +V\u000a +p25937 +tp25938 +a(g827 +V +p25939 +tp25940 +a(g436 +VPUSH +p25941 +tp25942 +a(g706 +g1109 +tp25943 +a(g436 +Vexc +p25944 +tp25945 +a(g706 +g1118 +tp25946 +a(g706 +g1078 +tp25947 +a(g827 +V\u000a +p25948 +tp25949 +a(g827 +V +p25950 +tp25951 +a(g706 +g1336 +tp25952 +a(g827 +V\u000a +p25953 +tp25954 +a(g827 +V +p25955 +tp25956 +a(g745 +Velse +p25957 +tp25958 +a(g827 +g983 +tp25959 +a(g706 +g1122 +tp25960 +a(g827 +V\u000a +p25961 +tp25962 +a(g827 +V +p25963 +tp25964 +a(g745 +Vif +p25965 +tp25966 +a(g827 +g983 +tp25967 +a(g706 +g1109 +tp25968 +a(g436 +Vwhy +p25969 +tp25970 +a(g827 +g983 +tp25971 +a(g413 +g4166 +tp25972 +a(g827 +g983 +tp25973 +a(g706 +g1109 +tp25974 +a(g436 +VWHY_RETURN +p25975 +tp25976 +a(g827 +g983 +tp25977 +a(g413 +g15057 +tp25978 +a(g827 +g983 +tp25979 +a(g436 +VWHY_CONTINUE +p25980 +tp25981 +a(g706 +g1118 +tp25982 +a(g706 +g1118 +tp25983 +a(g827 +V\u000a +p25984 +tp25985 +a(g827 +V +p25986 +tp25987 +a(g436 +VPUSH +p25988 +tp25989 +a(g706 +g1109 +tp25990 +a(g436 +Vretval +p25991 +tp25992 +a(g706 +g1118 +tp25993 +a(g706 +g1078 +tp25994 +a(g827 +V\u000a +p25995 +tp25996 +a(g827 +V +p25997 +tp25998 +a(g436 +g1116 +tp25999 +a(g827 +g983 +tp26000 +a(g413 +g1262 +tp26001 +a(g827 +g983 +tp26002 +a(g436 +VPyInt_FromLong +p26003 +tp26004 +a(g706 +g1109 +tp26005 +a(g706 +g1109 +tp26006 +a(g749 +Vlong +p26007 +tp26008 +a(g706 +g1118 +tp26009 +a(g436 +Vwhy +p26010 +tp26011 +a(g706 +g1118 +tp26012 +a(g706 +g1078 +tp26013 +a(g827 +V\u000a +p26014 +tp26015 +a(g827 +V +p26016 +tp26017 +a(g436 +VPUSH +p26018 +tp26019 +a(g706 +g1109 +tp26020 +a(g436 +g1116 +tp26021 +a(g706 +g1118 +tp26022 +a(g706 +g1078 +tp26023 +a(g827 +V\u000a +p26024 +tp26025 +a(g827 +V +p26026 +tp26027 +a(g706 +g1336 +tp26028 +a(g827 +V\u000a +p26029 +tp26030 +a(g827 +V +p26031 +tp26032 +a(g436 +Vwhy +p26033 +tp26034 +a(g827 +g983 +tp26035 +a(g413 +g1262 +tp26036 +a(g827 +g983 +tp26037 +a(g436 +VWHY_NOT +p26038 +tp26039 +a(g706 +g1078 +tp26040 +a(g827 +V\u000a +p26041 +tp26042 +a(g827 +V +p26043 +tp26044 +a(g436 +VJUMPTO +p26045 +tp26046 +a(g706 +g1109 +tp26047 +a(g436 +g10206 +tp26048 +a(g413 +g1480 +tp26049 +a(g413 +g1482 +tp26050 +a(g436 +Vb_handler +p26051 +tp26052 +a(g706 +g1118 +tp26053 +a(g706 +g1078 +tp26054 +a(g827 +V\u000a +p26055 +tp26056 +a(g827 +V +p26057 +tp26058 +a(g745 +Vbreak +p26059 +tp26060 +a(g706 +g1078 +tp26061 +a(g827 +V\u000a +p26062 +tp26063 +a(g827 +V +p26064 +tp26065 +a(g706 +g1336 +tp26066 +a(g827 +V\u000a +p26067 +tp26068 +a(g827 +V +p26069 +tp26070 +a(g706 +g1336 +tp26071 +a(g827 +g983 +tp26072 +a(g8 +V/* unwind stack */ +p26073 +tp26074 +a(g827 +V\u000a +p26075 +tp26076 +a(g827 +V\u000a +p26077 +tp26078 +a(g827 +V +p26079 +tp26080 +a(g8 +V/* End the loop if we still have an error (or return) */ +p26081 +tp26082 +a(g827 +V\u000a +p26083 +tp26084 +a(g827 +V\u000a +p26085 +tp26086 +a(g827 +V +p26087 +tp26088 +a(g745 +Vif +p26089 +tp26090 +a(g827 +g983 +tp26091 +a(g706 +g1109 +tp26092 +a(g436 +Vwhy +p26093 +tp26094 +a(g827 +g983 +tp26095 +a(g413 +g1260 +tp26096 +a(g413 +g1262 +tp26097 +a(g827 +g983 +tp26098 +a(g436 +VWHY_NOT +p26099 +tp26100 +a(g706 +g1118 +tp26101 +a(g827 +V\u000a +p26102 +tp26103 +a(g827 +V +p26104 +tp26105 +a(g745 +Vbreak +p26106 +tp26107 +a(g706 +g1078 +tp26108 +a(g827 +V\u000a +p26109 +tp26110 +a(g827 +V +p26111 +tp26112 +a(g436 +VREAD_TIMESTAMP +p26113 +tp26114 +a(g706 +g1109 +tp26115 +a(g436 +Vloop1 +p26116 +tp26117 +a(g706 +g1118 +tp26118 +a(g706 +g1078 +tp26119 +a(g827 +V\u000a +p26120 +tp26121 +a(g827 +V\u000a +p26122 +tp26123 +a(g827 +g1126 +tp26124 +a(g706 +g1336 +tp26125 +a(g827 +g983 +tp26126 +a(g8 +V/* main loop */ +p26127 +tp26128 +a(g827 +V\u000a +p26129 +tp26130 +a(g827 +V\u000a +p26131 +tp26132 +a(g827 +g1126 +tp26133 +a(g436 +Vassert +p26134 +tp26135 +a(g706 +g1109 +tp26136 +a(g436 +Vwhy +p26137 +tp26138 +a(g827 +g983 +tp26139 +a(g413 +g1260 +tp26140 +a(g413 +g1262 +tp26141 +a(g827 +g983 +tp26142 +a(g436 +VWHY_YIELD +p26143 +tp26144 +a(g706 +g1118 +tp26145 +a(g706 +g1078 +tp26146 +a(g827 +V\u000a +p26147 +tp26148 +a(g827 +g1126 +tp26149 +a(g8 +V/* Pop remaining stack entries. */ +p26150 +tp26151 +a(g827 +V\u000a +p26152 +tp26153 +a(g827 +g1126 +tp26154 +a(g745 +Vwhile +p26155 +tp26156 +a(g827 +g983 +tp26157 +a(g706 +g1109 +tp26158 +a(g413 +g1260 +tp26159 +a(g436 +VEMPTY +p26160 +tp26161 +a(g706 +g1109 +tp26162 +a(g706 +g1118 +tp26163 +a(g706 +g1118 +tp26164 +a(g827 +g983 +tp26165 +a(g706 +g1122 +tp26166 +a(g827 +V\u000a +p26167 +tp26168 +a(g827 +V +p26169 +tp26170 +a(g436 +g1116 +tp26171 +a(g827 +g983 +tp26172 +a(g413 +g1262 +tp26173 +a(g827 +g983 +tp26174 +a(g436 +VPOP +p26175 +tp26176 +a(g706 +g1109 +tp26177 +a(g706 +g1118 +tp26178 +a(g706 +g1078 +tp26179 +a(g827 +V\u000a +p26180 +tp26181 +a(g827 +V +p26182 +tp26183 +a(g436 +VPy_XDECREF +p26184 +tp26185 +a(g706 +g1109 +tp26186 +a(g436 +g1116 +tp26187 +a(g706 +g1118 +tp26188 +a(g706 +g1078 +tp26189 +a(g827 +V\u000a +p26190 +tp26191 +a(g827 +g1126 +tp26192 +a(g706 +g1336 +tp26193 +a(g827 +V\u000a +p26194 +tp26195 +a(g827 +V\u000a +p26196 +tp26197 +a(g827 +g1126 +tp26198 +a(g745 +Vif +p26199 +tp26200 +a(g827 +g983 +tp26201 +a(g706 +g1109 +tp26202 +a(g436 +Vwhy +p26203 +tp26204 +a(g827 +g983 +tp26205 +a(g413 +g1260 +tp26206 +a(g413 +g1262 +tp26207 +a(g827 +g983 +tp26208 +a(g436 +VWHY_RETURN +p26209 +tp26210 +a(g706 +g1118 +tp26211 +a(g827 +V\u000a +p26212 +tp26213 +a(g827 +V +p26214 +tp26215 +a(g436 +Vretval +p26216 +tp26217 +a(g827 +g983 +tp26218 +a(g413 +g1262 +tp26219 +a(g827 +g983 +tp26220 +a(g682 +VNULL +p26221 +tp26222 +a(g706 +g1078 +tp26223 +a(g827 +V\u000a +p26224 +tp26225 +a(g827 +V\u000a +p26226 +tp26227 +a(g597 +Vfast_yield +p26228 +tp26229 +a(g706 +g1157 +tp26230 +a(g827 +V\u000a +p26231 +tp26232 +a(g827 +g1126 +tp26233 +a(g745 +Vif +p26234 +tp26235 +a(g827 +g983 +tp26236 +a(g706 +g1109 +tp26237 +a(g436 +Vtstate +p26238 +tp26239 +a(g413 +g1480 +tp26240 +a(g413 +g1482 +tp26241 +a(g436 +Vuse_tracing +p26242 +tp26243 +a(g706 +g1118 +tp26244 +a(g827 +g983 +tp26245 +a(g706 +g1122 +tp26246 +a(g827 +V\u000a +p26247 +tp26248 +a(g827 +V +p26249 +tp26250 +a(g745 +Vif +p26251 +tp26252 +a(g827 +g983 +tp26253 +a(g706 +g1109 +tp26254 +a(g436 +Vtstate +p26255 +tp26256 +a(g413 +g1480 +tp26257 +a(g413 +g1482 +tp26258 +a(g436 +Vc_tracefunc +p26259 +tp26260 +a(g706 +g1118 +tp26261 +a(g827 +g983 +tp26262 +a(g706 +g1122 +tp26263 +a(g827 +V\u000a +p26264 +tp26265 +a(g827 +V +p26266 +tp26267 +a(g745 +Vif +p26268 +tp26269 +a(g827 +g983 +tp26270 +a(g706 +g1109 +tp26271 +a(g436 +Vwhy +p26272 +tp26273 +a(g827 +g983 +tp26274 +a(g413 +g1262 +tp26275 +a(g413 +g1262 +tp26276 +a(g827 +g983 +tp26277 +a(g436 +VWHY_RETURN +p26278 +tp26279 +a(g827 +g983 +tp26280 +a(g413 +g15057 +tp26281 +a(g413 +g15057 +tp26282 +a(g827 +g983 +tp26283 +a(g436 +Vwhy +p26284 +tp26285 +a(g827 +g983 +tp26286 +a(g413 +g1262 +tp26287 +a(g413 +g1262 +tp26288 +a(g827 +g983 +tp26289 +a(g436 +VWHY_YIELD +p26290 +tp26291 +a(g706 +g1118 +tp26292 +a(g827 +g983 +tp26293 +a(g706 +g1122 +tp26294 +a(g827 +V\u000a +p26295 +tp26296 +a(g827 +V +p26297 +tp26298 +a(g745 +Vif +p26299 +tp26300 +a(g827 +g983 +tp26301 +a(g706 +g1109 +tp26302 +a(g436 +Vcall_trace +p26303 +tp26304 +a(g706 +g1109 +tp26305 +a(g436 +Vtstate +p26306 +tp26307 +a(g413 +g1480 +tp26308 +a(g413 +g1482 +tp26309 +a(g436 +Vc_tracefunc +p26310 +tp26311 +a(g706 +g1139 +tp26312 +a(g827 +V\u000a +p26313 +tp26314 +a(g827 +V +p26315 +tp26316 +a(g436 +Vtstate +p26317 +tp26318 +a(g413 +g1480 +tp26319 +a(g413 +g1482 +tp26320 +a(g436 +Vc_traceobj +p26321 +tp26322 +a(g706 +g1139 +tp26323 +a(g827 +g983 +tp26324 +a(g436 +g5180 +tp26325 +a(g706 +g1139 +tp26326 +a(g827 +V\u000a +p26327 +tp26328 +a(g827 +V +p26329 +tp26330 +a(g436 +VPyTrace_RETURN +p26331 +tp26332 +a(g706 +g1139 +tp26333 +a(g827 +g983 +tp26334 +a(g436 +Vretval +p26335 +tp26336 +a(g706 +g1118 +tp26337 +a(g706 +g1118 +tp26338 +a(g827 +g983 +tp26339 +a(g706 +g1122 +tp26340 +a(g827 +V\u000a +p26341 +tp26342 +a(g827 +V +p26343 +tp26344 +a(g436 +VPy_XDECREF +p26345 +tp26346 +a(g706 +g1109 +tp26347 +a(g436 +Vretval +p26348 +tp26349 +a(g706 +g1118 +tp26350 +a(g706 +g1078 +tp26351 +a(g827 +V\u000a +p26352 +tp26353 +a(g827 +V +p26354 +tp26355 +a(g436 +Vretval +p26356 +tp26357 +a(g827 +g983 +tp26358 +a(g413 +g1262 +tp26359 +a(g827 +g983 +tp26360 +a(g682 +VNULL +p26361 +tp26362 +a(g706 +g1078 +tp26363 +a(g827 +V\u000a +p26364 +tp26365 +a(g827 +V +p26366 +tp26367 +a(g436 +Vwhy +p26368 +tp26369 +a(g827 +g983 +tp26370 +a(g413 +g1262 +tp26371 +a(g827 +g983 +tp26372 +a(g436 +VWHY_EXCEPTION +p26373 +tp26374 +a(g706 +g1078 +tp26375 +a(g827 +V\u000a +p26376 +tp26377 +a(g827 +V +p26378 +tp26379 +a(g706 +g1336 +tp26380 +a(g827 +V\u000a +p26381 +tp26382 +a(g827 +V +p26383 +tp26384 +a(g706 +g1336 +tp26385 +a(g827 +V\u000a +p26386 +tp26387 +a(g827 +V +p26388 +tp26389 +a(g745 +Velse +p26390 +tp26391 +a(g827 +g983 +tp26392 +a(g745 +Vif +p26393 +tp26394 +a(g827 +g983 +tp26395 +a(g706 +g1109 +tp26396 +a(g436 +Vwhy +p26397 +tp26398 +a(g827 +g983 +tp26399 +a(g413 +g1262 +tp26400 +a(g413 +g1262 +tp26401 +a(g827 +g983 +tp26402 +a(g436 +VWHY_EXCEPTION +p26403 +tp26404 +a(g706 +g1118 +tp26405 +a(g827 +g983 +tp26406 +a(g706 +g1122 +tp26407 +a(g827 +V\u000a +p26408 +tp26409 +a(g827 +V +p26410 +tp26411 +a(g436 +Vcall_trace_protected +p26412 +tp26413 +a(g706 +g1109 +tp26414 +a(g436 +Vtstate +p26415 +tp26416 +a(g413 +g1480 +tp26417 +a(g413 +g1482 +tp26418 +a(g436 +Vc_tracefunc +p26419 +tp26420 +a(g706 +g1139 +tp26421 +a(g827 +V\u000a +p26422 +tp26423 +a(g827 +V +p26424 +tp26425 +a(g436 +Vtstate +p26426 +tp26427 +a(g413 +g1480 +tp26428 +a(g413 +g1482 +tp26429 +a(g436 +Vc_traceobj +p26430 +tp26431 +a(g706 +g1139 +tp26432 +a(g827 +g983 +tp26433 +a(g436 +g5180 +tp26434 +a(g706 +g1139 +tp26435 +a(g827 +V\u000a +p26436 +tp26437 +a(g827 +V +p26438 +tp26439 +a(g436 +VPyTrace_RETURN +p26440 +tp26441 +a(g706 +g1139 +tp26442 +a(g827 +g983 +tp26443 +a(g682 +VNULL +p26444 +tp26445 +a(g706 +g1118 +tp26446 +a(g706 +g1078 +tp26447 +a(g827 +V\u000a +p26448 +tp26449 +a(g827 +V +p26450 +tp26451 +a(g706 +g1336 +tp26452 +a(g827 +V\u000a +p26453 +tp26454 +a(g827 +V +p26455 +tp26456 +a(g706 +g1336 +tp26457 +a(g827 +V\u000a +p26458 +tp26459 +a(g827 +V +p26460 +tp26461 +a(g745 +Vif +p26462 +tp26463 +a(g827 +g983 +tp26464 +a(g706 +g1109 +tp26465 +a(g436 +Vtstate +p26466 +tp26467 +a(g413 +g1480 +tp26468 +a(g413 +g1482 +tp26469 +a(g436 +Vc_profilefunc +p26470 +tp26471 +a(g706 +g1118 +tp26472 +a(g827 +g983 +tp26473 +a(g706 +g1122 +tp26474 +a(g827 +V\u000a +p26475 +tp26476 +a(g827 +V +p26477 +tp26478 +a(g745 +Vif +p26479 +tp26480 +a(g827 +g983 +tp26481 +a(g706 +g1109 +tp26482 +a(g436 +Vwhy +p26483 +tp26484 +a(g827 +g983 +tp26485 +a(g413 +g1262 +tp26486 +a(g413 +g1262 +tp26487 +a(g827 +g983 +tp26488 +a(g436 +VWHY_EXCEPTION +p26489 +tp26490 +a(g706 +g1118 +tp26491 +a(g827 +V\u000a +p26492 +tp26493 +a(g827 +V +p26494 +tp26495 +a(g436 +Vcall_trace_protected +p26496 +tp26497 +a(g706 +g1109 +tp26498 +a(g436 +Vtstate +p26499 +tp26500 +a(g413 +g1480 +tp26501 +a(g413 +g1482 +tp26502 +a(g436 +Vc_profilefunc +p26503 +tp26504 +a(g706 +g1139 +tp26505 +a(g827 +V\u000a +p26506 +tp26507 +a(g827 +V +p26508 +tp26509 +a(g436 +Vtstate +p26510 +tp26511 +a(g413 +g1480 +tp26512 +a(g413 +g1482 +tp26513 +a(g436 +Vc_profileobj +p26514 +tp26515 +a(g706 +g1139 +tp26516 +a(g827 +g983 +tp26517 +a(g436 +g5180 +tp26518 +a(g706 +g1139 +tp26519 +a(g827 +V\u000a +p26520 +tp26521 +a(g827 +V +p26522 +tp26523 +a(g436 +VPyTrace_RETURN +p26524 +tp26525 +a(g706 +g1139 +tp26526 +a(g827 +g983 +tp26527 +a(g682 +VNULL +p26528 +tp26529 +a(g706 +g1118 +tp26530 +a(g706 +g1078 +tp26531 +a(g827 +V\u000a +p26532 +tp26533 +a(g827 +V +p26534 +tp26535 +a(g745 +Velse +p26536 +tp26537 +a(g827 +g983 +tp26538 +a(g745 +Vif +p26539 +tp26540 +a(g827 +g983 +tp26541 +a(g706 +g1109 +tp26542 +a(g436 +Vcall_trace +p26543 +tp26544 +a(g706 +g1109 +tp26545 +a(g436 +Vtstate +p26546 +tp26547 +a(g413 +g1480 +tp26548 +a(g413 +g1482 +tp26549 +a(g436 +Vc_profilefunc +p26550 +tp26551 +a(g706 +g1139 +tp26552 +a(g827 +V\u000a +p26553 +tp26554 +a(g827 +V +p26555 +tp26556 +a(g436 +Vtstate +p26557 +tp26558 +a(g413 +g1480 +tp26559 +a(g413 +g1482 +tp26560 +a(g436 +Vc_profileobj +p26561 +tp26562 +a(g706 +g1139 +tp26563 +a(g827 +g983 +tp26564 +a(g436 +g5180 +tp26565 +a(g706 +g1139 +tp26566 +a(g827 +V\u000a +p26567 +tp26568 +a(g827 +V +p26569 +tp26570 +a(g436 +VPyTrace_RETURN +p26571 +tp26572 +a(g706 +g1139 +tp26573 +a(g827 +g983 +tp26574 +a(g436 +Vretval +p26575 +tp26576 +a(g706 +g1118 +tp26577 +a(g706 +g1118 +tp26578 +a(g827 +g983 +tp26579 +a(g706 +g1122 +tp26580 +a(g827 +V\u000a +p26581 +tp26582 +a(g827 +V +p26583 +tp26584 +a(g436 +VPy_XDECREF +p26585 +tp26586 +a(g706 +g1109 +tp26587 +a(g436 +Vretval +p26588 +tp26589 +a(g706 +g1118 +tp26590 +a(g706 +g1078 +tp26591 +a(g827 +V\u000a +p26592 +tp26593 +a(g827 +V +p26594 +tp26595 +a(g436 +Vretval +p26596 +tp26597 +a(g827 +g983 +tp26598 +a(g413 +g1262 +tp26599 +a(g827 +g983 +tp26600 +a(g682 +VNULL +p26601 +tp26602 +a(g706 +g1078 +tp26603 +a(g827 +V\u000a +p26604 +tp26605 +a(g827 +V +p26606 +tp26607 +a(g436 +Vwhy +p26608 +tp26609 +a(g827 +g983 +tp26610 +a(g413 +g1262 +tp26611 +a(g827 +g983 +tp26612 +a(g436 +VWHY_EXCEPTION +p26613 +tp26614 +a(g706 +g1078 +tp26615 +a(g827 +V\u000a +p26616 +tp26617 +a(g827 +V +p26618 +tp26619 +a(g706 +g1336 +tp26620 +a(g827 +V\u000a +p26621 +tp26622 +a(g827 +V +p26623 +tp26624 +a(g706 +g1336 +tp26625 +a(g827 +V\u000a +p26626 +tp26627 +a(g827 +g1126 +tp26628 +a(g706 +g1336 +tp26629 +a(g827 +V\u000a +p26630 +tp26631 +a(g827 +V\u000a +p26632 +tp26633 +a(g827 +g1126 +tp26634 +a(g745 +Vif +p26635 +tp26636 +a(g827 +g983 +tp26637 +a(g706 +g1109 +tp26638 +a(g436 +Vtstate +p26639 +tp26640 +a(g413 +g1480 +tp26641 +a(g413 +g1482 +tp26642 +a(g436 +Vframe +p26643 +tp26644 +a(g413 +g1480 +tp26645 +a(g413 +g1482 +tp26646 +a(g436 +Vf_exc_type +p26647 +tp26648 +a(g827 +g983 +tp26649 +a(g413 +g1260 +tp26650 +a(g413 +g1262 +tp26651 +a(g827 +g983 +tp26652 +a(g682 +VNULL +p26653 +tp26654 +a(g706 +g1118 +tp26655 +a(g827 +V\u000a +p26656 +tp26657 +a(g827 +V +p26658 +tp26659 +a(g436 +Vreset_exc_info +p26660 +tp26661 +a(g706 +g1109 +tp26662 +a(g436 +Vtstate +p26663 +tp26664 +a(g706 +g1118 +tp26665 +a(g706 +g1078 +tp26666 +a(g827 +V\u000a +p26667 +tp26668 +a(g827 +g1126 +tp26669 +a(g745 +Velse +p26670 +tp26671 +a(g827 +g983 +tp26672 +a(g706 +g1122 +tp26673 +a(g827 +V\u000a +p26674 +tp26675 +a(g827 +V +p26676 +tp26677 +a(g436 +Vassert +p26678 +tp26679 +a(g706 +g1109 +tp26680 +a(g436 +Vtstate +p26681 +tp26682 +a(g413 +g1480 +tp26683 +a(g413 +g1482 +tp26684 +a(g436 +Vframe +p26685 +tp26686 +a(g413 +g1480 +tp26687 +a(g413 +g1482 +tp26688 +a(g436 +Vf_exc_value +p26689 +tp26690 +a(g827 +g983 +tp26691 +a(g413 +g1262 +tp26692 +a(g413 +g1262 +tp26693 +a(g827 +g983 +tp26694 +a(g682 +VNULL +p26695 +tp26696 +a(g706 +g1118 +tp26697 +a(g706 +g1078 +tp26698 +a(g827 +V\u000a +p26699 +tp26700 +a(g827 +V +p26701 +tp26702 +a(g436 +Vassert +p26703 +tp26704 +a(g706 +g1109 +tp26705 +a(g436 +Vtstate +p26706 +tp26707 +a(g413 +g1480 +tp26708 +a(g413 +g1482 +tp26709 +a(g436 +Vframe +p26710 +tp26711 +a(g413 +g1480 +tp26712 +a(g413 +g1482 +tp26713 +a(g436 +Vf_exc_traceback +p26714 +tp26715 +a(g827 +g983 +tp26716 +a(g413 +g1262 +tp26717 +a(g413 +g1262 +tp26718 +a(g827 +g983 +tp26719 +a(g682 +VNULL +p26720 +tp26721 +a(g706 +g1118 +tp26722 +a(g706 +g1078 +tp26723 +a(g827 +V\u000a +p26724 +tp26725 +a(g827 +g1126 +tp26726 +a(g706 +g1336 +tp26727 +a(g827 +V\u000a +p26728 +tp26729 +a(g827 +V\u000a +p26730 +tp26731 +a(g827 +g1126 +tp26732 +a(g8 +V/* pop frame */ +p26733 +tp26734 +a(g827 +V\u000a +p26735 +tp26736 +a(g827 +V +p26737 +tp26738 +a(g597 +Vexit_eval_frame +p26739 +tp26740 +a(g706 +g1157 +tp26741 +a(g827 +V\u000a +p26742 +tp26743 +a(g827 +g1126 +tp26744 +a(g436 +VPy_LeaveRecursiveCall +p26745 +tp26746 +a(g706 +g1109 +tp26747 +a(g706 +g1118 +tp26748 +a(g706 +g1078 +tp26749 +a(g827 +V\u000a +p26750 +tp26751 +a(g827 +g1126 +tp26752 +a(g436 +Vtstate +p26753 +tp26754 +a(g413 +g1480 +tp26755 +a(g413 +g1482 +tp26756 +a(g436 +Vframe +p26757 +tp26758 +a(g827 +g983 +tp26759 +a(g413 +g1262 +tp26760 +a(g827 +g983 +tp26761 +a(g436 +g5180 +tp26762 +a(g413 +g1480 +tp26763 +a(g413 +g1482 +tp26764 +a(g436 +Vf_back +p26765 +tp26766 +a(g706 +g1078 +tp26767 +a(g827 +V\u000a +p26768 +tp26769 +a(g827 +V\u000a +p26770 +tp26771 +a(g827 +g1126 +tp26772 +a(g745 +Vreturn +p26773 +tp26774 +a(g827 +g983 +tp26775 +a(g436 +Vretval +p26776 +tp26777 +a(g706 +g1078 +tp26778 +a(g827 +V\u000a +p26779 +tp26780 +a(g706 +g1336 +tp26781 +a(g827 +V\u000a +p26782 +tp26783 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/char.scala b/tests/examplefiles/output/char.scala new file mode 100644 index 0000000..f014059 --- /dev/null +++ b/tests/examplefiles/output/char.scala @@ -0,0 +1,2367 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +S'Symbol' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Beer' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Whitespace' +p25 +g1 +(g2 +g3 +(g4 +g25 +tp26 +tp27 +Rp28 +(dp29 +g10 +g13 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsg10 +g1 +(g2 +g3 +(ttp33 +Rp34 +(dp35 +S'Number' +p36 +g1 +(g2 +g3 +(S'Literal' +p37 +g36 +tp38 +tp39 +Rp40 +(dp41 +S'Integer' +p42 +g1 +(g2 +g3 +(g37 +g36 +g42 +tp43 +tp44 +Rp45 +(dp46 +g10 +g40 +sS'Long' +p47 +g1 +(g2 +g3 +(g37 +g36 +g42 +g47 +tp48 +tp49 +Rp50 +(dp51 +g10 +g45 +sg20 +g21 +((lp52 +tp53 +Rp54 +sbsg20 +g21 +((lp55 +g50 +atp56 +Rp57 +sbsg10 +g1 +(g2 +g3 +(g37 +tp58 +tp59 +Rp60 +(dp61 +S'Scalar' +p62 +g1 +(g2 +g3 +(g37 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g60 +sg20 +g21 +((lp67 +g1 +(g2 +g3 +(g37 +g62 +S'Plain' +p68 +tp69 +tp70 +Rp71 +(dp72 +g10 +g65 +sg20 +g21 +((lp73 +tp74 +Rp75 +sbatp76 +Rp77 +sg68 +g71 +sbsg36 +g40 +sg10 +g34 +sS'Other' +p78 +g1 +(g2 +g3 +(g37 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g60 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'Char' +p86 +g1 +(g2 +g3 +(g37 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g60 +sg20 +g21 +((lp91 +tp92 +Rp93 +sbsS'String' +p94 +g1 +(g2 +g3 +(g37 +g94 +tp95 +tp96 +Rp97 +(dp98 +g86 +g1 +(g2 +g3 +(g37 +g94 +g86 +tp99 +tp100 +Rp101 +(dp102 +g10 +g97 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Backtick' +p106 +g1 +(g2 +g3 +(g37 +g94 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g97 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Heredoc' +p114 +g1 +(g2 +g3 +(g37 +g94 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g97 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsg5 +g1 +(g2 +g3 +(g37 +g94 +g5 +tp122 +tp123 +Rp124 +(dp125 +g10 +g97 +sg20 +g21 +((lp126 +tp127 +Rp128 +sbsS'Interpol' +p129 +g1 +(g2 +g3 +(g37 +g94 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g97 +sg20 +g21 +((lp134 +tp135 +Rp136 +sbsS'Delimiter' +p137 +g1 +(g2 +g3 +(g37 +g94 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g97 +sg20 +g21 +((lp142 +tp143 +Rp144 +sbsS'Boolean' +p145 +g1 +(g2 +g3 +(g37 +g94 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g97 +sg20 +g21 +((lp150 +tp151 +Rp152 +sbsS'Character' +p153 +g1 +(g2 +g3 +(g37 +g94 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g97 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbsS'Double' +p161 +g1 +(g2 +g3 +(g37 +g94 +g161 +tp162 +tp163 +Rp164 +(dp165 +g10 +g97 +sg20 +g21 +((lp166 +tp167 +Rp168 +sbsS'Delimeter' +p169 +g1 +(g2 +g3 +(g37 +g94 +g169 +tp170 +tp171 +Rp172 +(dp173 +g10 +g97 +sg20 +g21 +((lp174 +tp175 +Rp176 +sbsS'Atom' +p177 +g1 +(g2 +g3 +(g37 +g94 +g177 +tp178 +tp179 +Rp180 +(dp181 +g10 +g97 +sg20 +g21 +((lp182 +tp183 +Rp184 +sbsS'Affix' +p185 +g1 +(g2 +g3 +(g37 +g94 +g185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g97 +sg20 +g21 +((lp190 +tp191 +Rp192 +sbsS'Name' +p193 +g1 +(g2 +g3 +(g37 +g94 +g193 +tp194 +tp195 +Rp196 +(dp197 +g10 +g97 +sg20 +g21 +((lp198 +tp199 +Rp200 +sbsS'Regex' +p201 +g1 +(g2 +g3 +(g37 +g94 +g201 +tp202 +tp203 +Rp204 +(dp205 +g10 +g97 +sg20 +g21 +((lp206 +tp207 +Rp208 +sbsS'Interp' +p209 +g1 +(g2 +g3 +(g37 +g94 +g209 +tp210 +tp211 +Rp212 +(dp213 +g10 +g97 +sg20 +g21 +((lp214 +tp215 +Rp216 +sbsS'Escape' +p217 +g1 +(g2 +g3 +(g37 +g94 +g217 +tp218 +tp219 +Rp220 +(dp221 +g10 +g97 +sg20 +g21 +((lp222 +tp223 +Rp224 +sbsg20 +g21 +((lp225 +g140 +ag124 +ag204 +ag1 +(g2 +g3 +(g37 +g94 +S'Doc' +p226 +tp227 +tp228 +Rp229 +(dp230 +g10 +g97 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag156 +ag148 +ag164 +ag132 +ag180 +ag172 +ag196 +ag220 +ag1 +(g2 +g3 +(g37 +g94 +S'Single' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g97 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag1 +(g2 +g3 +(g37 +g94 +g78 +tp242 +tp243 +Rp244 +(dp245 +g10 +g97 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag212 +ag109 +ag188 +ag1 +(g2 +g3 +(g37 +g94 +S'Moment' +p249 +tp250 +tp251 +Rp252 +(dp253 +g10 +g97 +sg20 +g21 +((lp254 +tp255 +Rp256 +sbag101 +ag117 +atp257 +Rp258 +sg234 +g237 +sg249 +g252 +sg10 +g60 +sg78 +g244 +sg226 +g229 +sbsg20 +g21 +((lp259 +g97 +ag89 +ag81 +ag1 +(g2 +g3 +(g37 +S'Date' +p260 +tp261 +tp262 +Rp263 +(dp264 +g10 +g60 +sg20 +g21 +((lp265 +tp266 +Rp267 +sbag65 +ag40 +atp268 +Rp269 +sg260 +g263 +sbsS'Bin' +p270 +g1 +(g2 +g3 +(g37 +g36 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g40 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Radix' +p278 +g1 +(g2 +g3 +(g37 +g36 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g40 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Oct' +p286 +g1 +(g2 +g3 +(g37 +g36 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g40 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Dec' +p294 +g1 +(g2 +g3 +(g37 +g36 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g40 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsS'Hex' +p302 +g1 +(g2 +g3 +(g37 +g36 +g302 +tp303 +tp304 +Rp305 +(dp306 +g10 +g40 +sg20 +g21 +((lp307 +tp308 +Rp309 +sbsg20 +g21 +((lp310 +g45 +ag281 +ag297 +ag1 +(g2 +g3 +(g37 +g36 +S'Decimal' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g40 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag273 +ag1 +(g2 +g3 +(g37 +g36 +S'Float' +p319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g40 +sg20 +g21 +((lp324 +tp325 +Rp326 +sbag289 +ag305 +atp327 +Rp328 +sg311 +g314 +sg319 +g322 +sbsS'Generic' +p329 +g1 +(g2 +g3 +(g329 +tp330 +tp331 +Rp332 +(dp333 +g10 +g34 +sS'Deleted' +p334 +g1 +(g2 +g3 +(g329 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g332 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Subheading' +p342 +g1 +(g2 +g3 +(g329 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g332 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Heading' +p350 +g1 +(g2 +g3 +(g329 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g332 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Emph' +p358 +g1 +(g2 +g3 +(g329 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g332 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Prompt' +p366 +g1 +(g2 +g3 +(g329 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g332 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Inserted' +p374 +g1 +(g2 +g3 +(g329 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g332 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Strong' +p382 +g1 +(g2 +g3 +(g329 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g332 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Error' +p390 +g1 +(g2 +g3 +(g329 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g332 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsS'Traceback' +p398 +g1 +(g2 +g3 +(g329 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g332 +sg20 +g21 +((lp403 +tp404 +Rp405 +sbsg20 +g21 +((lp406 +g353 +ag345 +ag1 +(g2 +g3 +(g329 +S'Output' +p407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g332 +sg20 +g21 +((lp412 +tp413 +Rp414 +sbag385 +ag361 +ag393 +ag401 +ag377 +ag369 +ag337 +atp415 +Rp416 +sg407 +g410 +sbsS'Operator' +p417 +g1 +(g2 +g3 +(g417 +tp418 +tp419 +Rp420 +(dp421 +g10 +g34 +sS'DBS' +p422 +g1 +(g2 +g3 +(g417 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g420 +sg20 +g21 +((lp427 +tp428 +Rp429 +sbsg20 +g21 +((lp430 +g425 +ag1 +(g2 +g3 +(g417 +S'Word' +p431 +tp432 +tp433 +Rp434 +(dp435 +g10 +g420 +sg20 +g21 +((lp436 +tp437 +Rp438 +sbatp439 +Rp440 +sg431 +g434 +sbsg94 +g97 +sg193 +g1 +(g2 +g3 +(g193 +tp441 +tp442 +Rp443 +(dp444 +S'Variable' +p445 +g1 +(g2 +g3 +(g193 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g443 +sS'Class' +p450 +g1 +(g2 +g3 +(g193 +g445 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g448 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Anonymous' +p458 +g1 +(g2 +g3 +(g193 +g445 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g448 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Instance' +p466 +g1 +(g2 +g3 +(g193 +g445 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g448 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsS'Global' +p474 +g1 +(g2 +g3 +(g193 +g445 +g474 +tp475 +tp476 +Rp477 +(dp478 +g10 +g448 +sg20 +g21 +((lp479 +tp480 +Rp481 +sbsg20 +g21 +((lp482 +g461 +ag469 +ag1 +(g2 +g3 +(g193 +g445 +S'Magic' +p483 +tp484 +tp485 +Rp486 +(dp487 +g10 +g448 +sg20 +g21 +((lp488 +tp489 +Rp490 +sbag477 +ag453 +atp491 +Rp492 +sg483 +g486 +sbsg417 +g1 +(g2 +g3 +(g193 +g417 +tp493 +tp494 +Rp495 +(dp496 +g10 +g443 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Decorator' +p500 +g1 +(g2 +g3 +(g193 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g443 +sg20 +g21 +((lp505 +tp506 +Rp507 +sbsS'Entity' +p508 +g1 +(g2 +g3 +(g193 +g508 +tp509 +tp510 +Rp511 +(dp512 +g10 +g443 +sg422 +g1 +(g2 +g3 +(g193 +g508 +g422 +tp513 +tp514 +Rp515 +(dp516 +g10 +g511 +sg20 +g21 +((lp517 +tp518 +Rp519 +sbsg20 +g21 +((lp520 +g515 +atp521 +Rp522 +sbsg5 +g1 +(g2 +g3 +(g193 +g5 +tp523 +tp524 +Rp525 +(dp526 +g10 +g443 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Property' +p530 +g1 +(g2 +g3 +(g193 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g443 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Pseudo' +p538 +g1 +(g2 +g3 +(g193 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g443 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Type' +p546 +g1 +(g2 +g3 +(g193 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g443 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Classes' +p554 +g1 +(g2 +g3 +(g193 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g443 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Tag' +p562 +g1 +(g2 +g3 +(g193 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g443 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Constant' +p570 +g1 +(g2 +g3 +(g193 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g443 +sg20 +g21 +((lp575 +tp576 +Rp577 +sbsS'Function' +p578 +g1 +(g2 +g3 +(g193 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g443 +sg20 +g21 +((lp583 +g1 +(g2 +g3 +(g193 +g578 +g483 +tp584 +tp585 +Rp586 +(dp587 +g10 +g581 +sg20 +g21 +((lp588 +tp589 +Rp590 +sbatp591 +Rp592 +sg483 +g586 +sbsS'Blubb' +p593 +g1 +(g2 +g3 +(g193 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g443 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Label' +p601 +g1 +(g2 +g3 +(g193 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g443 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Field' +p609 +g1 +(g2 +g3 +(g193 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g443 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Exception' +p617 +g1 +(g2 +g3 +(g193 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g443 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsS'Namespace' +p625 +g1 +(g2 +g3 +(g193 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g443 +sg20 +g21 +((lp630 +tp631 +Rp632 +sbsg20 +g21 +((lp633 +g503 +ag596 +ag541 +ag511 +ag448 +ag620 +ag533 +ag565 +ag581 +ag557 +ag1 +(g2 +g3 +(g193 +g450 +tp634 +tp635 +Rp636 +(dp637 +g10 +g443 +sg422 +g1 +(g2 +g3 +(g193 +g450 +g422 +tp638 +tp639 +Rp640 +(dp641 +g10 +g636 +sg20 +g21 +((lp642 +tp643 +Rp644 +sbsg20 +g21 +((lp645 +g1 +(g2 +g3 +(g193 +g450 +S'Start' +p646 +tp647 +tp648 +Rp649 +(dp650 +g10 +g636 +sg20 +g21 +((lp651 +tp652 +Rp653 +sbag640 +atp654 +Rp655 +sg646 +g649 +sbag1 +(g2 +g3 +(g193 +g78 +tp656 +tp657 +Rp658 +(dp659 +g10 +g443 +sS'Member' +p660 +g1 +(g2 +g3 +(g193 +g78 +g660 +tp661 +tp662 +Rp663 +(dp664 +g10 +g658 +sg20 +g21 +((lp665 +tp666 +Rp667 +sbsg20 +g21 +((lp668 +g663 +atp669 +Rp670 +sbag604 +ag495 +ag628 +ag1 +(g2 +g3 +(g193 +S'Attribute' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g443 +sg445 +g1 +(g2 +g3 +(g193 +g671 +g445 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg20 +g21 +((lp680 +tp681 +Rp682 +sbsg20 +g21 +((lp683 +g678 +atp684 +Rp685 +sbag573 +ag1 +(g2 +g3 +(g193 +S'Builtin' +p686 +tp687 +tp688 +Rp689 +(dp690 +g10 +g443 +sg546 +g1 +(g2 +g3 +(g193 +g686 +g546 +tp691 +tp692 +Rp693 +(dp694 +g10 +g689 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbsg20 +g21 +((lp698 +g1 +(g2 +g3 +(g193 +g686 +g538 +tp699 +tp700 +Rp701 +(dp702 +g10 +g689 +sg20 +g21 +((lp703 +tp704 +Rp705 +sbag693 +atp706 +Rp707 +sg538 +g701 +sbag612 +ag549 +ag525 +atp708 +Rp709 +sg10 +g34 +sg450 +g636 +sg686 +g689 +sg671 +g674 +sg78 +g658 +sbsS'Punctuation' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +g10 +g34 +sg20 +g21 +((lp715 +g1 +(g2 +g3 +(g710 +S'Indicator' +p716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g713 +sg20 +g21 +((lp721 +tp722 +Rp723 +sbatp724 +Rp725 +sg716 +g719 +sbsS'Comment' +p726 +g1 +(g2 +g3 +(g726 +tp727 +tp728 +Rp729 +(dp730 +S'Multi' +p731 +g1 +(g2 +g3 +(g726 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g729 +sg20 +g21 +((lp736 +tp737 +Rp738 +sbsg10 +g34 +sS'Special' +p739 +g1 +(g2 +g3 +(g726 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g729 +sg20 +g21 +((lp744 +tp745 +Rp746 +sbsS'Hashbang' +p747 +g1 +(g2 +g3 +(g726 +g747 +tp748 +tp749 +Rp750 +(dp751 +g10 +g729 +sg20 +g21 +((lp752 +tp753 +Rp754 +sbsS'Preproc' +p755 +g1 +(g2 +g3 +(g726 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g729 +sg20 +g21 +((lp760 +tp761 +Rp762 +sbsg234 +g1 +(g2 +g3 +(g726 +g234 +tp763 +tp764 +Rp765 +(dp766 +g10 +g729 +sg20 +g21 +((lp767 +tp768 +Rp769 +sbsS'Directive' +p770 +g1 +(g2 +g3 +(g726 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g729 +sg20 +g21 +((lp775 +tp776 +Rp777 +sbsg226 +g1 +(g2 +g3 +(g726 +g226 +tp778 +tp779 +Rp780 +(dp781 +g10 +g729 +sg20 +g21 +((lp782 +tp783 +Rp784 +sbsS'Singleline' +p785 +g1 +(g2 +g3 +(g726 +g785 +tp786 +tp787 +Rp788 +(dp789 +g10 +g729 +sg20 +g21 +((lp790 +tp791 +Rp792 +sbsS'Multiline' +p793 +g1 +(g2 +g3 +(g726 +g793 +tp794 +tp795 +Rp796 +(dp797 +g10 +g729 +sg20 +g21 +((lp798 +tp799 +Rp800 +sbsg20 +g21 +((lp801 +g780 +ag773 +ag750 +ag734 +ag788 +ag758 +ag796 +ag765 +ag1 +(g2 +g3 +(g726 +S'PreprocFile' +p802 +tp803 +tp804 +Rp805 +(dp806 +g10 +g729 +sg20 +g21 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g726 +S'SingleLine' +p810 +tp811 +tp812 +Rp813 +(dp814 +g10 +g729 +sg20 +g21 +((lp815 +tp816 +Rp817 +sbag742 +atp818 +Rp819 +sg802 +g805 +sg810 +g813 +sbsg37 +g60 +sg78 +g1 +(g2 +g3 +(g78 +tp820 +tp821 +Rp822 +(dp823 +g10 +g34 +sg20 +g21 +((lp824 +tp825 +Rp826 +sbsg390 +g1 +(g2 +g3 +(g390 +tp827 +tp828 +Rp829 +(dp830 +g10 +g34 +sg20 +g21 +((lp831 +tp832 +Rp833 +sbsS'Token' +p834 +g34 +sg217 +g1 +(g2 +g3 +(g217 +tp835 +tp836 +Rp837 +(dp838 +g10 +g34 +sg20 +g21 +((lp839 +tp840 +Rp841 +sbsg20 +g21 +((lp842 +g443 +ag822 +ag1 +(g2 +g3 +(S'Keyword' +p843 +tp844 +tp845 +Rp846 +(dp847 +g10 +g34 +sg546 +g1 +(g2 +g3 +(g843 +g546 +tp848 +tp849 +Rp850 +(dp851 +g10 +g846 +sg20 +g21 +((lp852 +tp853 +Rp854 +sbsS'Control' +p855 +g1 +(g2 +g3 +(g843 +g855 +tp856 +tp857 +Rp858 +(dp859 +g10 +g846 +sg20 +g21 +((lp860 +tp861 +Rp862 +sbsg570 +g1 +(g2 +g3 +(g843 +g570 +tp863 +tp864 +Rp865 +(dp866 +g10 +g846 +sg20 +g21 +((lp867 +tp868 +Rp869 +sbsg625 +g1 +(g2 +g3 +(g843 +g625 +tp870 +tp871 +Rp872 +(dp873 +g10 +g846 +sg20 +g21 +((lp874 +tp875 +Rp876 +sbsS'PreProc' +p877 +g1 +(g2 +g3 +(g843 +g877 +tp878 +tp879 +Rp880 +(dp881 +g10 +g846 +sg20 +g21 +((lp882 +tp883 +Rp884 +sbsg538 +g1 +(g2 +g3 +(g843 +g538 +tp885 +tp886 +Rp887 +(dp888 +g10 +g846 +sg20 +g21 +((lp889 +tp890 +Rp891 +sbsS'Reserved' +p892 +g1 +(g2 +g3 +(g843 +g892 +tp893 +tp894 +Rp895 +(dp896 +g10 +g846 +sg20 +g21 +((lp897 +tp898 +Rp899 +sbsg20 +g21 +((lp900 +g872 +ag1 +(g2 +g3 +(g843 +g431 +tp901 +tp902 +Rp903 +(dp904 +g10 +g846 +sg20 +g21 +((lp905 +tp906 +Rp907 +sbag858 +ag1 +(g2 +g3 +(g843 +S'Declaration' +p908 +tp909 +tp910 +Rp911 +(dp912 +g10 +g846 +sg20 +g21 +((lp913 +tp914 +Rp915 +sbag1 +(g2 +g3 +(g843 +g843 +tp916 +tp917 +Rp918 +(dp919 +g10 +g846 +sg20 +g21 +((lp920 +tp921 +Rp922 +sbag887 +ag865 +ag850 +ag895 +ag880 +atp923 +Rp924 +sg843 +g918 +sg908 +g911 +sg431 +g903 +sbag332 +ag13 +ag420 +ag837 +ag713 +ag729 +ag829 +ag60 +atp925 +Rp926 +sg843 +g846 +sg4 +g13 +sbsS'Root' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg20 +g21 +((lp932 +tp933 +Rp934 +sbsg5 +g8 +sg710 +g1 +(g2 +g3 +(g4 +g710 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg20 +g21 +((lp939 +tp940 +Rp941 +sbsg20 +g21 +((lp942 +g930 +ag8 +ag937 +ag28 +ag18 +ag1 +(g2 +g3 +(g4 +S'Rag' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbatp951 +Rp952 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV'symbol +p956 +tp957 +a(g13 +V\u000a +p958 +tp959 +a(g101 +V'a' +p960 +tp961 +a(g13 +V\u000a +p962 +tp963 +a(g101 +V'\u005cu1234' +p964 +tp965 +a(g13 +V\u000a +p966 +tp967 +a(g101 +V'\u005cn' +p968 +tp969 +a(g13 +V\u000a +p970 +tp971 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/cheetah_example.html b/tests/examplefiles/output/cheetah_example.html new file mode 100644 index 0000000..5da8cc5 --- /dev/null +++ b/tests/examplefiles/output/cheetah_example.html @@ -0,0 +1,2468 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Other' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sg4 +g1 +(g2 +g3 +(g14 +g4 +tp57 +tp58 +Rp59 +(dp60 +g9 +g39 +sg29 +g30 +((lp61 +tp62 +Rp63 +sbsS'Char' +p64 +g1 +(g2 +g3 +(g14 +g64 +tp65 +tp66 +Rp67 +(dp68 +g9 +g39 +sg29 +g30 +((lp69 +tp70 +Rp71 +sbsS'String' +p72 +g1 +(g2 +g3 +(g14 +g72 +tp73 +tp74 +Rp75 +(dp76 +g64 +g1 +(g2 +g3 +(g14 +g72 +g64 +tp77 +tp78 +Rp79 +(dp80 +g9 +g75 +sg29 +g30 +((lp81 +tp82 +Rp83 +sbsS'Backtick' +p84 +g1 +(g2 +g3 +(g14 +g72 +g84 +tp85 +tp86 +Rp87 +(dp88 +g9 +g75 +sg29 +g30 +((lp89 +tp90 +Rp91 +sbsS'Heredoc' +p92 +g1 +(g2 +g3 +(g14 +g72 +g92 +tp93 +tp94 +Rp95 +(dp96 +g9 +g75 +sg29 +g30 +((lp97 +tp98 +Rp99 +sbsS'Symbol' +p100 +g1 +(g2 +g3 +(g14 +g72 +g100 +tp101 +tp102 +Rp103 +(dp104 +g9 +g75 +sg29 +g30 +((lp105 +tp106 +Rp107 +sbsS'Interpol' +p108 +g1 +(g2 +g3 +(g14 +g72 +g108 +tp109 +tp110 +Rp111 +(dp112 +g9 +g75 +sg29 +g30 +((lp113 +tp114 +Rp115 +sbsS'Delimiter' +p116 +g1 +(g2 +g3 +(g14 +g72 +g116 +tp117 +tp118 +Rp119 +(dp120 +g9 +g75 +sg29 +g30 +((lp121 +tp122 +Rp123 +sbsS'Boolean' +p124 +g1 +(g2 +g3 +(g14 +g72 +g124 +tp125 +tp126 +Rp127 +(dp128 +g9 +g75 +sg29 +g30 +((lp129 +tp130 +Rp131 +sbsS'Character' +p132 +g1 +(g2 +g3 +(g14 +g72 +g132 +tp133 +tp134 +Rp135 +(dp136 +g9 +g75 +sg29 +g30 +((lp137 +tp138 +Rp139 +sbsS'Double' +p140 +g1 +(g2 +g3 +(g14 +g72 +g140 +tp141 +tp142 +Rp143 +(dp144 +g9 +g75 +sg29 +g30 +((lp145 +tp146 +Rp147 +sbsS'Delimeter' +p148 +g1 +(g2 +g3 +(g14 +g72 +g148 +tp149 +tp150 +Rp151 +(dp152 +g9 +g75 +sg29 +g30 +((lp153 +tp154 +Rp155 +sbsS'Atom' +p156 +g1 +(g2 +g3 +(g14 +g72 +g156 +tp157 +tp158 +Rp159 +(dp160 +g9 +g75 +sg29 +g30 +((lp161 +tp162 +Rp163 +sbsS'Affix' +p164 +g1 +(g2 +g3 +(g14 +g72 +g164 +tp165 +tp166 +Rp167 +(dp168 +g9 +g75 +sg29 +g30 +((lp169 +tp170 +Rp171 +sbsS'Name' +p172 +g1 +(g2 +g3 +(g14 +g72 +g172 +tp173 +tp174 +Rp175 +(dp176 +g9 +g75 +sg29 +g30 +((lp177 +tp178 +Rp179 +sbsS'Regex' +p180 +g1 +(g2 +g3 +(g14 +g72 +g180 +tp181 +tp182 +Rp183 +(dp184 +g9 +g75 +sg29 +g30 +((lp185 +tp186 +Rp187 +sbsS'Interp' +p188 +g1 +(g2 +g3 +(g14 +g72 +g188 +tp189 +tp190 +Rp191 +(dp192 +g9 +g75 +sg29 +g30 +((lp193 +tp194 +Rp195 +sbsS'Escape' +p196 +g1 +(g2 +g3 +(g14 +g72 +g196 +tp197 +tp198 +Rp199 +(dp200 +g9 +g75 +sg29 +g30 +((lp201 +tp202 +Rp203 +sbsg29 +g30 +((lp204 +g119 +ag103 +ag183 +ag1 +(g2 +g3 +(g14 +g72 +S'Doc' +p205 +tp206 +tp207 +Rp208 +(dp209 +g9 +g75 +sg29 +g30 +((lp210 +tp211 +Rp212 +sbag135 +ag127 +ag143 +ag111 +ag159 +ag151 +ag175 +ag199 +ag1 +(g2 +g3 +(g14 +g72 +S'Single' +p213 +tp214 +tp215 +Rp216 +(dp217 +g9 +g75 +sg29 +g30 +((lp218 +tp219 +Rp220 +sbag1 +(g2 +g3 +(g14 +g72 +g4 +tp221 +tp222 +Rp223 +(dp224 +g9 +g75 +sg29 +g30 +((lp225 +tp226 +Rp227 +sbag191 +ag87 +ag167 +ag1 +(g2 +g3 +(g14 +g72 +S'Moment' +p228 +tp229 +tp230 +Rp231 +(dp232 +g9 +g75 +sg29 +g30 +((lp233 +tp234 +Rp235 +sbag79 +ag95 +atp236 +Rp237 +sg213 +g216 +sg228 +g231 +sg9 +g39 +sg4 +g223 +sg205 +g208 +sbsg29 +g30 +((lp238 +g75 +ag67 +ag59 +ag1 +(g2 +g3 +(g14 +S'Date' +p239 +tp240 +tp241 +Rp242 +(dp243 +g9 +g39 +sg29 +g30 +((lp244 +tp245 +Rp246 +sbag44 +ag17 +atp247 +Rp248 +sg239 +g242 +sbsS'Bin' +p249 +g1 +(g2 +g3 +(g14 +g13 +g249 +tp250 +tp251 +Rp252 +(dp253 +g9 +g17 +sg29 +g30 +((lp254 +tp255 +Rp256 +sbsS'Radix' +p257 +g1 +(g2 +g3 +(g14 +g13 +g257 +tp258 +tp259 +Rp260 +(dp261 +g9 +g17 +sg29 +g30 +((lp262 +tp263 +Rp264 +sbsS'Oct' +p265 +g1 +(g2 +g3 +(g14 +g13 +g265 +tp266 +tp267 +Rp268 +(dp269 +g9 +g17 +sg29 +g30 +((lp270 +tp271 +Rp272 +sbsS'Dec' +p273 +g1 +(g2 +g3 +(g14 +g13 +g273 +tp274 +tp275 +Rp276 +(dp277 +g9 +g17 +sg29 +g30 +((lp278 +tp279 +Rp280 +sbsS'Hex' +p281 +g1 +(g2 +g3 +(g14 +g13 +g281 +tp282 +tp283 +Rp284 +(dp285 +g9 +g17 +sg29 +g30 +((lp286 +tp287 +Rp288 +sbsg29 +g30 +((lp289 +g22 +ag260 +ag276 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p290 +tp291 +tp292 +Rp293 +(dp294 +g9 +g17 +sg29 +g30 +((lp295 +tp296 +Rp297 +sbag252 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p298 +tp299 +tp300 +Rp301 +(dp302 +g9 +g17 +sg29 +g30 +((lp303 +tp304 +Rp305 +sbag268 +ag284 +atp306 +Rp307 +sg290 +g293 +sg298 +g301 +sbsS'Generic' +p308 +g1 +(g2 +g3 +(g308 +tp309 +tp310 +Rp311 +(dp312 +g9 +g11 +sS'Deleted' +p313 +g1 +(g2 +g3 +(g308 +g313 +tp314 +tp315 +Rp316 +(dp317 +g9 +g311 +sg29 +g30 +((lp318 +tp319 +Rp320 +sbsS'Subheading' +p321 +g1 +(g2 +g3 +(g308 +g321 +tp322 +tp323 +Rp324 +(dp325 +g9 +g311 +sg29 +g30 +((lp326 +tp327 +Rp328 +sbsS'Heading' +p329 +g1 +(g2 +g3 +(g308 +g329 +tp330 +tp331 +Rp332 +(dp333 +g9 +g311 +sg29 +g30 +((lp334 +tp335 +Rp336 +sbsS'Emph' +p337 +g1 +(g2 +g3 +(g308 +g337 +tp338 +tp339 +Rp340 +(dp341 +g9 +g311 +sg29 +g30 +((lp342 +tp343 +Rp344 +sbsS'Prompt' +p345 +g1 +(g2 +g3 +(g308 +g345 +tp346 +tp347 +Rp348 +(dp349 +g9 +g311 +sg29 +g30 +((lp350 +tp351 +Rp352 +sbsS'Inserted' +p353 +g1 +(g2 +g3 +(g308 +g353 +tp354 +tp355 +Rp356 +(dp357 +g9 +g311 +sg29 +g30 +((lp358 +tp359 +Rp360 +sbsS'Strong' +p361 +g1 +(g2 +g3 +(g308 +g361 +tp362 +tp363 +Rp364 +(dp365 +g9 +g311 +sg29 +g30 +((lp366 +tp367 +Rp368 +sbsS'Error' +p369 +g1 +(g2 +g3 +(g308 +g369 +tp370 +tp371 +Rp372 +(dp373 +g9 +g311 +sg29 +g30 +((lp374 +tp375 +Rp376 +sbsS'Traceback' +p377 +g1 +(g2 +g3 +(g308 +g377 +tp378 +tp379 +Rp380 +(dp381 +g9 +g311 +sg29 +g30 +((lp382 +tp383 +Rp384 +sbsg29 +g30 +((lp385 +g332 +ag324 +ag1 +(g2 +g3 +(g308 +S'Output' +p386 +tp387 +tp388 +Rp389 +(dp390 +g9 +g311 +sg29 +g30 +((lp391 +tp392 +Rp393 +sbag364 +ag340 +ag372 +ag380 +ag356 +ag348 +ag316 +atp394 +Rp395 +sg386 +g389 +sbsS'Operator' +p396 +g1 +(g2 +g3 +(g396 +tp397 +tp398 +Rp399 +(dp400 +g9 +g11 +sS'DBS' +p401 +g1 +(g2 +g3 +(g396 +g401 +tp402 +tp403 +Rp404 +(dp405 +g9 +g399 +sg29 +g30 +((lp406 +tp407 +Rp408 +sbsg29 +g30 +((lp409 +g404 +ag1 +(g2 +g3 +(g396 +S'Word' +p410 +tp411 +tp412 +Rp413 +(dp414 +g9 +g399 +sg29 +g30 +((lp415 +tp416 +Rp417 +sbatp418 +Rp419 +sg410 +g413 +sbsg72 +g75 +sg172 +g1 +(g2 +g3 +(g172 +tp420 +tp421 +Rp422 +(dp423 +S'Variable' +p424 +g1 +(g2 +g3 +(g172 +g424 +tp425 +tp426 +Rp427 +(dp428 +g9 +g422 +sS'Class' +p429 +g1 +(g2 +g3 +(g172 +g424 +g429 +tp430 +tp431 +Rp432 +(dp433 +g9 +g427 +sg29 +g30 +((lp434 +tp435 +Rp436 +sbsS'Anonymous' +p437 +g1 +(g2 +g3 +(g172 +g424 +g437 +tp438 +tp439 +Rp440 +(dp441 +g9 +g427 +sg29 +g30 +((lp442 +tp443 +Rp444 +sbsS'Instance' +p445 +g1 +(g2 +g3 +(g172 +g424 +g445 +tp446 +tp447 +Rp448 +(dp449 +g9 +g427 +sg29 +g30 +((lp450 +tp451 +Rp452 +sbsS'Global' +p453 +g1 +(g2 +g3 +(g172 +g424 +g453 +tp454 +tp455 +Rp456 +(dp457 +g9 +g427 +sg29 +g30 +((lp458 +tp459 +Rp460 +sbsg29 +g30 +((lp461 +g440 +ag448 +ag1 +(g2 +g3 +(g172 +g424 +S'Magic' +p462 +tp463 +tp464 +Rp465 +(dp466 +g9 +g427 +sg29 +g30 +((lp467 +tp468 +Rp469 +sbag456 +ag432 +atp470 +Rp471 +sg462 +g465 +sbsg396 +g1 +(g2 +g3 +(g172 +g396 +tp472 +tp473 +Rp474 +(dp475 +g9 +g422 +sg29 +g30 +((lp476 +tp477 +Rp478 +sbsS'Decorator' +p479 +g1 +(g2 +g3 +(g172 +g479 +tp480 +tp481 +Rp482 +(dp483 +g9 +g422 +sg29 +g30 +((lp484 +tp485 +Rp486 +sbsS'Entity' +p487 +g1 +(g2 +g3 +(g172 +g487 +tp488 +tp489 +Rp490 +(dp491 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g487 +g401 +tp492 +tp493 +Rp494 +(dp495 +g9 +g490 +sg29 +g30 +((lp496 +tp497 +Rp498 +sbsg29 +g30 +((lp499 +g494 +atp500 +Rp501 +sbsg100 +g1 +(g2 +g3 +(g172 +g100 +tp502 +tp503 +Rp504 +(dp505 +g9 +g422 +sg29 +g30 +((lp506 +tp507 +Rp508 +sbsS'Property' +p509 +g1 +(g2 +g3 +(g172 +g509 +tp510 +tp511 +Rp512 +(dp513 +g9 +g422 +sg29 +g30 +((lp514 +tp515 +Rp516 +sbsS'Pseudo' +p517 +g1 +(g2 +g3 +(g172 +g517 +tp518 +tp519 +Rp520 +(dp521 +g9 +g422 +sg29 +g30 +((lp522 +tp523 +Rp524 +sbsS'Type' +p525 +g1 +(g2 +g3 +(g172 +g525 +tp526 +tp527 +Rp528 +(dp529 +g9 +g422 +sg29 +g30 +((lp530 +tp531 +Rp532 +sbsS'Classes' +p533 +g1 +(g2 +g3 +(g172 +g533 +tp534 +tp535 +Rp536 +(dp537 +g9 +g422 +sg29 +g30 +((lp538 +tp539 +Rp540 +sbsS'Tag' +p541 +g1 +(g2 +g3 +(g172 +g541 +tp542 +tp543 +Rp544 +(dp545 +g9 +g422 +sg29 +g30 +((lp546 +tp547 +Rp548 +sbsS'Constant' +p549 +g1 +(g2 +g3 +(g172 +g549 +tp550 +tp551 +Rp552 +(dp553 +g9 +g422 +sg29 +g30 +((lp554 +tp555 +Rp556 +sbsS'Function' +p557 +g1 +(g2 +g3 +(g172 +g557 +tp558 +tp559 +Rp560 +(dp561 +g9 +g422 +sg29 +g30 +((lp562 +g1 +(g2 +g3 +(g172 +g557 +g462 +tp563 +tp564 +Rp565 +(dp566 +g9 +g560 +sg29 +g30 +((lp567 +tp568 +Rp569 +sbatp570 +Rp571 +sg462 +g565 +sbsS'Blubb' +p572 +g1 +(g2 +g3 +(g172 +g572 +tp573 +tp574 +Rp575 +(dp576 +g9 +g422 +sg29 +g30 +((lp577 +tp578 +Rp579 +sbsS'Label' +p580 +g1 +(g2 +g3 +(g172 +g580 +tp581 +tp582 +Rp583 +(dp584 +g9 +g422 +sg29 +g30 +((lp585 +tp586 +Rp587 +sbsS'Field' +p588 +g1 +(g2 +g3 +(g172 +g588 +tp589 +tp590 +Rp591 +(dp592 +g9 +g422 +sg29 +g30 +((lp593 +tp594 +Rp595 +sbsS'Exception' +p596 +g1 +(g2 +g3 +(g172 +g596 +tp597 +tp598 +Rp599 +(dp600 +g9 +g422 +sg29 +g30 +((lp601 +tp602 +Rp603 +sbsS'Namespace' +p604 +g1 +(g2 +g3 +(g172 +g604 +tp605 +tp606 +Rp607 +(dp608 +g9 +g422 +sg29 +g30 +((lp609 +tp610 +Rp611 +sbsg29 +g30 +((lp612 +g482 +ag575 +ag520 +ag490 +ag427 +ag599 +ag512 +ag544 +ag560 +ag536 +ag1 +(g2 +g3 +(g172 +g429 +tp613 +tp614 +Rp615 +(dp616 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g429 +g401 +tp617 +tp618 +Rp619 +(dp620 +g9 +g615 +sg29 +g30 +((lp621 +tp622 +Rp623 +sbsg29 +g30 +((lp624 +g1 +(g2 +g3 +(g172 +g429 +S'Start' +p625 +tp626 +tp627 +Rp628 +(dp629 +g9 +g615 +sg29 +g30 +((lp630 +tp631 +Rp632 +sbag619 +atp633 +Rp634 +sg625 +g628 +sbag1 +(g2 +g3 +(g172 +g4 +tp635 +tp636 +Rp637 +(dp638 +g9 +g422 +sS'Member' +p639 +g1 +(g2 +g3 +(g172 +g4 +g639 +tp640 +tp641 +Rp642 +(dp643 +g9 +g637 +sg29 +g30 +((lp644 +tp645 +Rp646 +sbsg29 +g30 +((lp647 +g642 +atp648 +Rp649 +sbag583 +ag474 +ag607 +ag1 +(g2 +g3 +(g172 +S'Attribute' +p650 +tp651 +tp652 +Rp653 +(dp654 +g9 +g422 +sg424 +g1 +(g2 +g3 +(g172 +g650 +g424 +tp655 +tp656 +Rp657 +(dp658 +g9 +g653 +sg29 +g30 +((lp659 +tp660 +Rp661 +sbsg29 +g30 +((lp662 +g657 +atp663 +Rp664 +sbag552 +ag1 +(g2 +g3 +(g172 +S'Builtin' +p665 +tp666 +tp667 +Rp668 +(dp669 +g9 +g422 +sg525 +g1 +(g2 +g3 +(g172 +g665 +g525 +tp670 +tp671 +Rp672 +(dp673 +g9 +g668 +sg29 +g30 +((lp674 +tp675 +Rp676 +sbsg29 +g30 +((lp677 +g1 +(g2 +g3 +(g172 +g665 +g517 +tp678 +tp679 +Rp680 +(dp681 +g9 +g668 +sg29 +g30 +((lp682 +tp683 +Rp684 +sbag672 +atp685 +Rp686 +sg517 +g680 +sbag591 +ag528 +ag504 +atp687 +Rp688 +sg9 +g11 +sg429 +g615 +sg665 +g668 +sg650 +g653 +sg4 +g637 +sbsS'Punctuation' +p689 +g1 +(g2 +g3 +(g689 +tp690 +tp691 +Rp692 +(dp693 +g9 +g11 +sg29 +g30 +((lp694 +g1 +(g2 +g3 +(g689 +S'Indicator' +p695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g692 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbatp703 +Rp704 +sg695 +g698 +sbsS'Comment' +p705 +g1 +(g2 +g3 +(g705 +tp706 +tp707 +Rp708 +(dp709 +S'Multi' +p710 +g1 +(g2 +g3 +(g705 +g710 +tp711 +tp712 +Rp713 +(dp714 +g9 +g708 +sg29 +g30 +((lp715 +tp716 +Rp717 +sbsg9 +g11 +sS'Special' +p718 +g1 +(g2 +g3 +(g705 +g718 +tp719 +tp720 +Rp721 +(dp722 +g9 +g708 +sg29 +g30 +((lp723 +tp724 +Rp725 +sbsS'Hashbang' +p726 +g1 +(g2 +g3 +(g705 +g726 +tp727 +tp728 +Rp729 +(dp730 +g9 +g708 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Preproc' +p734 +g1 +(g2 +g3 +(g705 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g708 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg213 +g1 +(g2 +g3 +(g705 +g213 +tp742 +tp743 +Rp744 +(dp745 +g9 +g708 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Directive' +p749 +g1 +(g2 +g3 +(g705 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g708 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsg205 +g1 +(g2 +g3 +(g705 +g205 +tp757 +tp758 +Rp759 +(dp760 +g9 +g708 +sg29 +g30 +((lp761 +tp762 +Rp763 +sbsS'Singleline' +p764 +g1 +(g2 +g3 +(g705 +g764 +tp765 +tp766 +Rp767 +(dp768 +g9 +g708 +sg29 +g30 +((lp769 +tp770 +Rp771 +sbsS'Multiline' +p772 +g1 +(g2 +g3 +(g705 +g772 +tp773 +tp774 +Rp775 +(dp776 +g9 +g708 +sg29 +g30 +((lp777 +tp778 +Rp779 +sbsg29 +g30 +((lp780 +g759 +ag752 +ag729 +ag713 +ag767 +ag737 +ag775 +ag744 +ag1 +(g2 +g3 +(g705 +S'PreprocFile' +p781 +tp782 +tp783 +Rp784 +(dp785 +g9 +g708 +sg29 +g30 +((lp786 +tp787 +Rp788 +sbag1 +(g2 +g3 +(g705 +S'SingleLine' +p789 +tp790 +tp791 +Rp792 +(dp793 +g9 +g708 +sg29 +g30 +((lp794 +tp795 +Rp796 +sbag721 +atp797 +Rp798 +sg781 +g784 +sg789 +g792 +sbsg14 +g39 +sg4 +g7 +sg369 +g1 +(g2 +g3 +(g369 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g11 +sg196 +g1 +(g2 +g3 +(g196 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsg29 +g30 +((lp814 +g422 +ag7 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g9 +g11 +sg525 +g1 +(g2 +g3 +(g815 +g525 +tp820 +tp821 +Rp822 +(dp823 +g9 +g818 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g9 +g818 +sg29 +g30 +((lp832 +tp833 +Rp834 +sbsg549 +g1 +(g2 +g3 +(g815 +g549 +tp835 +tp836 +Rp837 +(dp838 +g9 +g818 +sg29 +g30 +((lp839 +tp840 +Rp841 +sbsg604 +g1 +(g2 +g3 +(g815 +g604 +tp842 +tp843 +Rp844 +(dp845 +g9 +g818 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g9 +g818 +sg29 +g30 +((lp854 +tp855 +Rp856 +sbsg517 +g1 +(g2 +g3 +(g815 +g517 +tp857 +tp858 +Rp859 +(dp860 +g9 +g818 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g9 +g818 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbsg29 +g30 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g410 +tp873 +tp874 +Rp875 +(dp876 +g9 +g818 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g9 +g818 +sg29 +g30 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g9 +g818 +sg29 +g30 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg410 +g875 +sbag311 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g900 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g900 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg9 +g11 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g9 +g900 +sg29 +g30 +((lp923 +tp924 +Rp925 +sbsg100 +g1 +(g2 +g3 +(g897 +g100 +tp926 +tp927 +Rp928 +(dp929 +g9 +g900 +sg29 +g30 +((lp930 +tp931 +Rp932 +sbsg689 +g1 +(g2 +g3 +(g897 +g689 +tp933 +tp934 +Rp935 +(dp936 +g9 +g900 +sg29 +g30 +((lp937 +tp938 +Rp939 +sbsg29 +g30 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g9 +g900 +sg29 +g30 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag399 +ag809 +ag692 +ag708 +ag801 +ag39 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg29 +g30 +((lp953 +tp954 +Rp955 +sbV\u000a +p956 +tp957 +a(g737 +V$ +p958 +tp959 +a(g422 +Vtitle +p960 +tp961 +a(g7 +V\u000a \u000a \u000a +p962 +tp963 +a(g737 +V#for +p964 +tp965 +a(g900 +V +p966 +tp967 +a(g737 +g958 +tp968 +a(g422 +Vclient +p969 +tp970 +a(g900 +g966 +tp971 +a(g413 +Vin +p972 +tp973 +a(g900 +g966 +tp974 +a(g737 +g958 +tp975 +a(g422 +Vclients +p976 +tp977 +a(g7 +V\u000a \u000a \u000a \u000a \u000a +p1011 +tp1012 +a(g737 +V#end for +p1013 +tp1014 +a(g7 +V\u000a
    +p978 +tp979 +a(g737 +g958 +tp980 +a(g422 +Vclient +p981 +tp982 +a(g399 +V. +p983 +tp984 +a(g422 +Vsurname +p985 +tp986 +a(g7 +V, +p987 +tp988 +a(g737 +g958 +tp989 +a(g422 +Vclient +p990 +tp991 +a(g399 +g983 +tp992 +a(g422 +Vfirstname +p993 +tp994 +a(g7 +V +p1003 +tp1004 +a(g737 +g958 +tp1005 +a(g422 +Vclient +p1006 +tp1007 +a(g399 +g983 +tp1008 +a(g422 +Vemail +p1009 +tp1010 +a(g7 +V
    \u000a \u000a\u000a +p1015 +tp1016 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/classes.dylan b/tests/examplefiles/output/classes.dylan new file mode 100644 index 0000000..8f6a1fe --- /dev/null +++ b/tests/examplefiles/output/classes.dylan @@ -0,0 +1,5425 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Attribute' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag8 +ag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g247 +g121 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g1 +(g2 +g3 +(g4 +g247 +g113 +tp260 +tp261 +Rp262 +(dp263 +g10 +g250 +sg25 +g26 +((lp264 +tp265 +Rp266 +sbag254 +atp267 +Rp268 +sg113 +g262 +sbag187 +ag124 +ag100 +atp269 +Rp270 +sg10 +g1 +(g2 +g3 +(ttp271 +Rp272 +(dp273 +S'Number' +p274 +g1 +(g2 +g3 +(S'Literal' +p275 +g274 +tp276 +tp277 +Rp278 +(dp279 +S'Integer' +p280 +g1 +(g2 +g3 +(g275 +g274 +g280 +tp281 +tp282 +Rp283 +(dp284 +g10 +g278 +sS'Long' +p285 +g1 +(g2 +g3 +(g275 +g274 +g280 +g285 +tp286 +tp287 +Rp288 +(dp289 +g10 +g283 +sg25 +g26 +((lp290 +tp291 +Rp292 +sbsg25 +g26 +((lp293 +g288 +atp294 +Rp295 +sbsg10 +g1 +(g2 +g3 +(g275 +tp296 +tp297 +Rp298 +(dp299 +S'Scalar' +p300 +g1 +(g2 +g3 +(g275 +g300 +tp301 +tp302 +Rp303 +(dp304 +g10 +g298 +sg25 +g26 +((lp305 +g1 +(g2 +g3 +(g275 +g300 +S'Plain' +p306 +tp307 +tp308 +Rp309 +(dp310 +g10 +g303 +sg25 +g26 +((lp311 +tp312 +Rp313 +sbatp314 +Rp315 +sg306 +g309 +sbsg274 +g278 +sg10 +g272 +sg231 +g1 +(g2 +g3 +(g275 +g231 +tp316 +tp317 +Rp318 +(dp319 +g10 +g298 +sg25 +g26 +((lp320 +tp321 +Rp322 +sbsS'Char' +p323 +g1 +(g2 +g3 +(g275 +g323 +tp324 +tp325 +Rp326 +(dp327 +g10 +g298 +sg25 +g26 +((lp328 +tp329 +Rp330 +sbsS'String' +p331 +g1 +(g2 +g3 +(g275 +g331 +tp332 +tp333 +Rp334 +(dp335 +g323 +g1 +(g2 +g3 +(g275 +g331 +g323 +tp336 +tp337 +Rp338 +(dp339 +g10 +g334 +sg25 +g26 +((lp340 +tp341 +Rp342 +sbsS'Backtick' +p343 +g1 +(g2 +g3 +(g275 +g331 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g334 +sg25 +g26 +((lp348 +tp349 +Rp350 +sbsS'Heredoc' +p351 +g1 +(g2 +g3 +(g275 +g331 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g334 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsg97 +g1 +(g2 +g3 +(g275 +g331 +g97 +tp359 +tp360 +Rp361 +(dp362 +g10 +g334 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsS'Interpol' +p366 +g1 +(g2 +g3 +(g275 +g331 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g334 +sg25 +g26 +((lp371 +tp372 +Rp373 +sbsS'Delimiter' +p374 +g1 +(g2 +g3 +(g275 +g331 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g334 +sg25 +g26 +((lp379 +tp380 +Rp381 +sbsS'Boolean' +p382 +g1 +(g2 +g3 +(g275 +g331 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g334 +sg25 +g26 +((lp387 +tp388 +Rp389 +sbsS'Character' +p390 +g1 +(g2 +g3 +(g275 +g331 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g334 +sg25 +g26 +((lp395 +tp396 +Rp397 +sbsS'Double' +p398 +g1 +(g2 +g3 +(g275 +g331 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g334 +sg25 +g26 +((lp403 +tp404 +Rp405 +sbsS'Delimeter' +p406 +g1 +(g2 +g3 +(g275 +g331 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g334 +sg25 +g26 +((lp411 +tp412 +Rp413 +sbsS'Atom' +p414 +g1 +(g2 +g3 +(g275 +g331 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g334 +sg25 +g26 +((lp419 +tp420 +Rp421 +sbsS'Affix' +p422 +g1 +(g2 +g3 +(g275 +g331 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g334 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsg4 +g1 +(g2 +g3 +(g275 +g331 +g4 +tp430 +tp431 +Rp432 +(dp433 +g10 +g334 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsS'Regex' +p437 +g1 +(g2 +g3 +(g275 +g331 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g334 +sg25 +g26 +((lp442 +tp443 +Rp444 +sbsS'Interp' +p445 +g1 +(g2 +g3 +(g275 +g331 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g334 +sg25 +g26 +((lp450 +tp451 +Rp452 +sbsS'Escape' +p453 +g1 +(g2 +g3 +(g275 +g331 +g453 +tp454 +tp455 +Rp456 +(dp457 +g10 +g334 +sg25 +g26 +((lp458 +tp459 +Rp460 +sbsg25 +g26 +((lp461 +g377 +ag361 +ag440 +ag1 +(g2 +g3 +(g275 +g331 +S'Doc' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g334 +sg25 +g26 +((lp467 +tp468 +Rp469 +sbag393 +ag385 +ag401 +ag369 +ag417 +ag409 +ag432 +ag456 +ag1 +(g2 +g3 +(g275 +g331 +S'Single' +p470 +tp471 +tp472 +Rp473 +(dp474 +g10 +g334 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag1 +(g2 +g3 +(g275 +g331 +g231 +tp478 +tp479 +Rp480 +(dp481 +g10 +g334 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag448 +ag346 +ag425 +ag1 +(g2 +g3 +(g275 +g331 +S'Moment' +p485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g334 +sg25 +g26 +((lp490 +tp491 +Rp492 +sbag338 +ag354 +atp493 +Rp494 +sg470 +g473 +sg485 +g488 +sg10 +g298 +sg231 +g480 +sg462 +g465 +sbsg25 +g26 +((lp495 +g334 +ag326 +ag318 +ag1 +(g2 +g3 +(g275 +S'Date' +p496 +tp497 +tp498 +Rp499 +(dp500 +g10 +g298 +sg25 +g26 +((lp501 +tp502 +Rp503 +sbag303 +ag278 +atp504 +Rp505 +sg496 +g499 +sbsS'Bin' +p506 +g1 +(g2 +g3 +(g275 +g274 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g278 +sg25 +g26 +((lp511 +tp512 +Rp513 +sbsS'Radix' +p514 +g1 +(g2 +g3 +(g275 +g274 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g278 +sg25 +g26 +((lp519 +tp520 +Rp521 +sbsS'Oct' +p522 +g1 +(g2 +g3 +(g275 +g274 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g278 +sg25 +g26 +((lp527 +tp528 +Rp529 +sbsS'Dec' +p530 +g1 +(g2 +g3 +(g275 +g274 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g278 +sg25 +g26 +((lp535 +tp536 +Rp537 +sbsS'Hex' +p538 +g1 +(g2 +g3 +(g275 +g274 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g278 +sg25 +g26 +((lp543 +tp544 +Rp545 +sbsg25 +g26 +((lp546 +g283 +ag517 +ag533 +ag1 +(g2 +g3 +(g275 +g274 +S'Decimal' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g278 +sg25 +g26 +((lp552 +tp553 +Rp554 +sbag509 +ag1 +(g2 +g3 +(g275 +g274 +S'Float' +p555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g278 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbag525 +ag541 +atp563 +Rp564 +sg547 +g550 +sg555 +g558 +sbsS'Generic' +p565 +g1 +(g2 +g3 +(g565 +tp566 +tp567 +Rp568 +(dp569 +g10 +g272 +sS'Deleted' +p570 +g1 +(g2 +g3 +(g565 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g568 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsS'Subheading' +p578 +g1 +(g2 +g3 +(g565 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g568 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbsS'Heading' +p586 +g1 +(g2 +g3 +(g565 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g568 +sg25 +g26 +((lp591 +tp592 +Rp593 +sbsS'Emph' +p594 +g1 +(g2 +g3 +(g565 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g568 +sg25 +g26 +((lp599 +tp600 +Rp601 +sbsS'Prompt' +p602 +g1 +(g2 +g3 +(g565 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g568 +sg25 +g26 +((lp607 +tp608 +Rp609 +sbsS'Inserted' +p610 +g1 +(g2 +g3 +(g565 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g568 +sg25 +g26 +((lp615 +tp616 +Rp617 +sbsS'Strong' +p618 +g1 +(g2 +g3 +(g565 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g568 +sg25 +g26 +((lp623 +tp624 +Rp625 +sbsS'Error' +p626 +g1 +(g2 +g3 +(g565 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g568 +sg25 +g26 +((lp631 +tp632 +Rp633 +sbsS'Traceback' +p634 +g1 +(g2 +g3 +(g565 +g634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g568 +sg25 +g26 +((lp639 +tp640 +Rp641 +sbsg25 +g26 +((lp642 +g589 +ag581 +ag1 +(g2 +g3 +(g565 +S'Output' +p643 +tp644 +tp645 +Rp646 +(dp647 +g10 +g568 +sg25 +g26 +((lp648 +tp649 +Rp650 +sbag621 +ag597 +ag629 +ag637 +ag613 +ag605 +ag573 +atp651 +Rp652 +sg643 +g646 +sbsg65 +g1 +(g2 +g3 +(g65 +tp653 +tp654 +Rp655 +(dp656 +g10 +g272 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp657 +tp658 +Rp659 +(dp660 +g10 +g655 +sg25 +g26 +((lp661 +tp662 +Rp663 +sbsg25 +g26 +((lp664 +g659 +ag1 +(g2 +g3 +(g65 +S'Word' +p665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g655 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbatp673 +Rp674 +sg665 +g668 +sbsg331 +g334 +sg4 +g13 +sS'Punctuation' +p675 +g1 +(g2 +g3 +(g675 +tp676 +tp677 +Rp678 +(dp679 +g10 +g272 +sg25 +g26 +((lp680 +g1 +(g2 +g3 +(g675 +S'Indicator' +p681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g678 +sg25 +g26 +((lp686 +tp687 +Rp688 +sbatp689 +Rp690 +sg681 +g684 +sbsS'Comment' +p691 +g1 +(g2 +g3 +(g691 +tp692 +tp693 +Rp694 +(dp695 +S'Multi' +p696 +g1 +(g2 +g3 +(g691 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g694 +sg25 +g26 +((lp701 +tp702 +Rp703 +sbsg10 +g272 +sS'Special' +p704 +g1 +(g2 +g3 +(g691 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g694 +sg25 +g26 +((lp709 +tp710 +Rp711 +sbsS'Hashbang' +p712 +g1 +(g2 +g3 +(g691 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g694 +sg25 +g26 +((lp717 +tp718 +Rp719 +sbsS'Preproc' +p720 +g1 +(g2 +g3 +(g691 +g720 +tp721 +tp722 +Rp723 +(dp724 +g10 +g694 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsg470 +g1 +(g2 +g3 +(g691 +g470 +tp728 +tp729 +Rp730 +(dp731 +g10 +g694 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Directive' +p735 +g1 +(g2 +g3 +(g691 +g735 +tp736 +tp737 +Rp738 +(dp739 +g10 +g694 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg462 +g1 +(g2 +g3 +(g691 +g462 +tp743 +tp744 +Rp745 +(dp746 +g10 +g694 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsS'Singleline' +p750 +g1 +(g2 +g3 +(g691 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g694 +sg25 +g26 +((lp755 +tp756 +Rp757 +sbsS'Multiline' +p758 +g1 +(g2 +g3 +(g691 +g758 +tp759 +tp760 +Rp761 +(dp762 +g10 +g694 +sg25 +g26 +((lp763 +tp764 +Rp765 +sbsg25 +g26 +((lp766 +g745 +ag738 +ag715 +ag699 +ag753 +ag723 +ag761 +ag730 +ag1 +(g2 +g3 +(g691 +S'PreprocFile' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g694 +sg25 +g26 +((lp772 +tp773 +Rp774 +sbag1 +(g2 +g3 +(g691 +S'SingleLine' +p775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g694 +sg25 +g26 +((lp780 +tp781 +Rp782 +sbag707 +atp783 +Rp784 +sg767 +g770 +sg775 +g778 +sbsg275 +g298 +sg231 +g1 +(g2 +g3 +(g231 +tp785 +tp786 +Rp787 +(dp788 +g10 +g272 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsg626 +g1 +(g2 +g3 +(g626 +tp792 +tp793 +Rp794 +(dp795 +g10 +g272 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsS'Token' +p799 +g272 +sg453 +g1 +(g2 +g3 +(g453 +tp800 +tp801 +Rp802 +(dp803 +g10 +g272 +sg25 +g26 +((lp804 +tp805 +Rp806 +sbsg25 +g26 +((lp807 +g13 +ag787 +ag1 +(g2 +g3 +(S'Keyword' +p808 +tp809 +tp810 +Rp811 +(dp812 +g10 +g272 +sg121 +g1 +(g2 +g3 +(g808 +g121 +tp813 +tp814 +Rp815 +(dp816 +g10 +g811 +sg25 +g26 +((lp817 +tp818 +Rp819 +sbsS'Control' +p820 +g1 +(g2 +g3 +(g808 +g820 +tp821 +tp822 +Rp823 +(dp824 +g10 +g811 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg145 +g1 +(g2 +g3 +(g808 +g145 +tp828 +tp829 +Rp830 +(dp831 +g10 +g811 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg200 +g1 +(g2 +g3 +(g808 +g200 +tp835 +tp836 +Rp837 +(dp838 +g10 +g811 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsS'PreProc' +p842 +g1 +(g2 +g3 +(g808 +g842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g811 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsg113 +g1 +(g2 +g3 +(g808 +g113 +tp850 +tp851 +Rp852 +(dp853 +g10 +g811 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsS'Reserved' +p857 +g1 +(g2 +g3 +(g808 +g857 +tp858 +tp859 +Rp860 +(dp861 +g10 +g811 +sg25 +g26 +((lp862 +tp863 +Rp864 +sbsg25 +g26 +((lp865 +g837 +ag1 +(g2 +g3 +(g808 +g665 +tp866 +tp867 +Rp868 +(dp869 +g10 +g811 +sg25 +g26 +((lp870 +tp871 +Rp872 +sbag823 +ag1 +(g2 +g3 +(g808 +S'Declaration' +p873 +tp874 +tp875 +Rp876 +(dp877 +g10 +g811 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag1 +(g2 +g3 +(g808 +g808 +tp881 +tp882 +Rp883 +(dp884 +g10 +g811 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag852 +ag830 +ag815 +ag860 +ag845 +atp888 +Rp889 +sg808 +g883 +sg873 +g876 +sg665 +g868 +sbag568 +ag1 +(g2 +g3 +(S'Text' +p890 +tp891 +tp892 +Rp893 +(dp894 +S'Beer' +p895 +g1 +(g2 +g3 +(g890 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g893 +sg25 +g26 +((lp900 +tp901 +Rp902 +sbsS'Whitespace' +p903 +g1 +(g2 +g3 +(g890 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g893 +sg25 +g26 +((lp908 +tp909 +Rp910 +sbsg10 +g272 +sS'Root' +p911 +g1 +(g2 +g3 +(g890 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g893 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg97 +g1 +(g2 +g3 +(g890 +g97 +tp919 +tp920 +Rp921 +(dp922 +g10 +g893 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg675 +g1 +(g2 +g3 +(g890 +g675 +tp926 +tp927 +Rp928 +(dp929 +g10 +g893 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg25 +g26 +((lp933 +g914 +ag921 +ag928 +ag906 +ag898 +ag1 +(g2 +g3 +(g890 +S'Rag' +p934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g893 +sg25 +g26 +((lp939 +tp940 +Rp941 +sbatp942 +Rp943 +sg934 +g937 +sbag655 +ag802 +ag678 +ag694 +ag794 +ag298 +atp944 +Rp945 +sg808 +g811 +sg890 +g893 +sbsg20 +g211 +sg247 +g250 +sg5 +g8 +sg231 +g234 +sbsg15 +g1 +(g2 +g3 +(g4 +g5 +g15 +tp946 +tp947 +Rp948 +(dp949 +g10 +g8 +sg25 +g26 +((lp950 +tp951 +Rp952 +sbsg25 +g26 +((lp953 +g948 +atp954 +Rp955 +sbVmodule +p956 +tp957 +a(g655 +V: +p958 +tp959 +a(g893 +V +p960 +tp961 +a(g334 +Vsample +p962 +tp963 +a(g893 +V\u000a +p964 +tp965 +a(g8 +Vcomment +p966 +tp967 +a(g655 +g958 +tp968 +a(g893 +g960 +tp969 +a(g334 +Vfor make sure that does not highlight per word.\u000a and it continues on to the next line. +p970 +tp971 +a(g893 +V\u000a\u000a +p972 +tp973 +a(g811 +Vdefine +p974 +tp975 +a(g893 +g960 +tp976 +a(g250 +Vclass +p977 +tp978 +a(g893 +g960 +tp979 +a(g211 +V +p980 +tp981 +a(g893 +g960 +tp982 +a(g678 +V( +p983 +tp984 +a(g211 +V +p985 +tp986 +a(g678 +V) +p987 +tp988 +a(g893 +V\u000a +p989 +tp990 +a(g250 +Vslot +p991 +tp992 +a(g893 +g960 +tp993 +a(g13 +Vserial-number +p994 +tp995 +a(g893 +g960 +tp996 +a(g678 +V:: +p997 +tp998 +a(g893 +g960 +tp999 +a(g211 +V +p1000 +tp1001 +a(g893 +g960 +tp1002 +a(g655 +V= +p1003 +tp1004 +a(g893 +g960 +tp1005 +a(g13 +Vunique-serial-number +p1006 +tp1007 +a(g678 +g983 +tp1008 +a(g678 +g987 +tp1009 +a(g678 +V; +p1010 +tp1011 +a(g893 +V\u000a +p1012 +tp1013 +a(g250 +Vconstant +p1014 +tp1015 +a(g893 +g960 +tp1016 +a(g250 +Vslot +p1017 +tp1018 +a(g893 +g960 +tp1019 +a(g13 +Vmodel-name +p1020 +tp1021 +a(g893 +g960 +tp1022 +a(g678 +V:: +p1023 +tp1024 +a(g893 +g960 +tp1025 +a(g211 +V +p1026 +tp1027 +a(g678 +V, +p1028 +tp1029 +a(g893 +V\u000a +p1030 +tp1031 +a(g811 +Vrequired-init-keyword: +p1032 +tp1033 +a(g893 +g960 +tp1034 +a(g811 +Vmodel: +p1035 +tp1036 +a(g678 +g1010 +tp1037 +a(g893 +V\u000a +p1038 +tp1039 +a(g250 +Veach-subclass +p1040 +tp1041 +a(g893 +g960 +tp1042 +a(g250 +Vslot +p1043 +tp1044 +a(g893 +g960 +tp1045 +a(g13 +Vhas-sunroof? +p1046 +tp1047 +a(g893 +g960 +tp1048 +a(g678 +V:: +p1049 +tp1050 +a(g893 +g960 +tp1051 +a(g211 +V +p1052 +tp1053 +a(g678 +g1028 +tp1054 +a(g893 +V\u000a +p1055 +tp1056 +a(g811 +Vinit-keyword: +p1057 +tp1058 +a(g893 +g960 +tp1059 +a(g811 +Vsunroof?: +p1060 +tp1061 +a(g678 +g1028 +tp1062 +a(g893 +V\u000a +p1063 +tp1064 +a(g811 +Vinit-value: +p1065 +tp1066 +a(g893 +g960 +tp1067 +a(g298 +V#f +p1068 +tp1069 +a(g678 +g1010 +tp1070 +a(g893 +V\u000a +p1071 +tp1072 +a(g250 +Vkeyword +p1073 +tp1074 +a(g893 +g960 +tp1075 +a(g811 +Vfoo: +p1076 +tp1077 +a(g678 +g1010 +tp1078 +a(g893 +V\u000a +p1079 +tp1080 +a(g250 +Vrequired +p1081 +tp1082 +a(g893 +g960 +tp1083 +a(g250 +Vkeyword +p1084 +tp1085 +a(g893 +g960 +tp1086 +a(g811 +Vbar: +p1087 +tp1088 +a(g678 +g1010 +tp1089 +a(g893 +V\u000a +p1090 +tp1091 +a(g811 +Vend +p1092 +tp1093 +a(g893 +g960 +tp1094 +a(g250 +Vclass +p1095 +tp1096 +a(g893 +g960 +tp1097 +a(g211 +V +p1098 +tp1099 +a(g678 +g1010 +tp1100 +a(g893 +V\u000a\u000a +p1101 +tp1102 +a(g811 +Vdefine +p1103 +tp1104 +a(g893 +g960 +tp1105 +a(g250 +Vclass +p1106 +tp1107 +a(g893 +g960 +tp1108 +a(g211 +V +p1109 +tp1110 +a(g893 +g960 +tp1111 +a(g678 +g983 +tp1112 +a(g211 +V +p1113 +tp1114 +a(g678 +g987 +tp1115 +a(g893 +V\u000a +p1116 +tp1117 +a(g811 +Vend +p1118 +tp1119 +a(g893 +g960 +tp1120 +a(g250 +Vclass +p1121 +tp1122 +a(g893 +g960 +tp1123 +a(g211 +V +p1124 +tp1125 +a(g678 +g1010 +tp1126 +a(g893 +V\u000a\u000a +p1127 +tp1128 +a(g811 +Vlet +p1129 +tp1130 +a(g893 +g960 +tp1131 +a(g13 +Vflying-car +p1132 +tp1133 +a(g893 +g960 +tp1134 +a(g655 +g1003 +tp1135 +a(g893 +g960 +tp1136 +a(g250 +Vmake +p1137 +tp1138 +a(g678 +g983 +tp1139 +a(g211 +V +p1140 +tp1141 +a(g678 +g987 +tp1142 +a(g678 +g1010 +tp1143 +a(g893 +V\u000a +p1144 +tp1145 +a(g811 +Vlet +p1146 +tp1147 +a(g893 +g960 +tp1148 +a(g13 +Vcar? +p1149 +tp1150 +a(g893 +g960 +tp1151 +a(g678 +V:: +p1152 +tp1153 +a(g893 +g960 +tp1154 +a(g211 +V +p1155 +tp1156 +a(g893 +g960 +tp1157 +a(g655 +g1003 +tp1158 +a(g893 +g960 +tp1159 +a(g298 +V#f +p1160 +tp1161 +a(g678 +g1010 +tp1162 +a(g893 +V\u000a +p1163 +tp1164 +a(g811 +Vlet +p1165 +tp1166 +a(g893 +g960 +tp1167 +a(g13 +Vprefixed-car +p1168 +tp1169 +a(g893 +g960 +tp1170 +a(g678 +V:: +p1171 +tp1172 +a(g893 +g960 +tp1173 +a(g211 +V +p1174 +tp1175 +a(g893 +g960 +tp1176 +a(g655 +g1003 +tp1177 +a(g893 +g960 +tp1178 +a(g298 +V#f +p1179 +tp1180 +a(g678 +g1010 +tp1181 +a(g893 +V\u000a +p1182 +tp1183 +a(g811 +Vlet +p1184 +tp1185 +a(g893 +g960 +tp1186 +a(g13 +Vmodel +p1187 +tp1188 +a(g893 +g960 +tp1189 +a(g678 +V:: +p1190 +tp1191 +a(g893 +g960 +tp1192 +a(g211 +V +p1193 +tp1194 +a(g893 +g960 +tp1195 +a(g655 +g1003 +tp1196 +a(g893 +g960 +tp1197 +a(g298 +V#f +p1198 +tp1199 +a(g678 +g1010 +tp1200 +a(g893 +V\u000a\u000a +p1201 +tp1202 +a(g811 +Vdefine +p1203 +tp1204 +a(g893 +g960 +tp1205 +a(g250 +Vconstant +p1206 +tp1207 +a(g893 +g960 +tp1208 +a(g148 +V$empty-string +p1209 +tp1210 +a(g893 +g960 +tp1211 +a(g655 +g1003 +tp1212 +a(g893 +g960 +tp1213 +a(g334 +V" +p1214 +tp1215 +a(g334 +g1214 +tp1216 +a(g678 +g1010 +tp1217 +a(g893 +V\u000a +p1218 +tp1219 +a(g811 +Vdefine +p1220 +tp1221 +a(g893 +g960 +tp1222 +a(g250 +Vconstant +p1223 +tp1224 +a(g893 +g960 +tp1225 +a(g148 +V$escaped-backslash +p1226 +tp1227 +a(g893 +g960 +tp1228 +a(g655 +g1003 +tp1229 +a(g893 +g960 +tp1230 +a(g338 +V'\u005c\u005c' +p1231 +tp1232 +a(g678 +g1010 +tp1233 +a(g893 +V\u000a +p1234 +tp1235 +a(g811 +Vdefine +p1236 +tp1237 +a(g893 +g960 +tp1238 +a(g250 +Vconstant +p1239 +tp1240 +a(g893 +g960 +tp1241 +a(g148 +V$escaped-single-quote +p1242 +tp1243 +a(g893 +g960 +tp1244 +a(g655 +g1003 +tp1245 +a(g893 +g960 +tp1246 +a(g338 +V'\u005c'' +p1247 +tp1248 +a(g678 +g1010 +tp1249 +a(g893 +V\u000a\u000a +p1250 +tp1251 +a(g811 +Vdefine +p1252 +tp1253 +a(g893 +g960 +tp1254 +a(g250 +Vvariable +p1255 +tp1256 +a(g893 +g960 +tp1257 +a(g49 +V*unique-serial-number* +p1258 +tp1259 +a(g893 +g960 +tp1260 +a(g655 +g1003 +tp1261 +a(g893 +g960 +tp1262 +a(g283 +V0 +p1263 +tp1264 +a(g678 +g1010 +tp1265 +a(g893 +V\u000a\u000a +p1266 +tp1267 +a(g811 +Vdefine +p1268 +tp1269 +a(g893 +g960 +tp1270 +a(g250 +Vfunction +p1271 +tp1272 +a(g893 +g960 +tp1273 +a(g13 +Vunique-serial-number +p1274 +tp1275 +a(g678 +g983 +tp1276 +a(g678 +g987 +tp1277 +a(g893 +g960 +tp1278 +a(g678 +V=> +p1279 +tp1280 +a(g893 +g960 +tp1281 +a(g678 +g983 +tp1282 +a(g13 +Vusn +p1283 +tp1284 +a(g893 +g960 +tp1285 +a(g678 +V:: +p1286 +tp1287 +a(g893 +g960 +tp1288 +a(g211 +V +p1289 +tp1290 +a(g678 +g987 +tp1291 +a(g893 +V\u000a +p1292 +tp1293 +a(g811 +Vlet +p1294 +tp1295 +a(g893 +g960 +tp1296 +a(g13 +Vserial +p1297 +tp1298 +a(g893 +g960 +tp1299 +a(g655 +g1003 +tp1300 +a(g893 +g960 +tp1301 +a(g49 +V*unique-serial-number* +p1302 +tp1303 +a(g678 +g1010 +tp1304 +a(g893 +V\u000a +p1305 +tp1306 +a(g49 +V*unique-serial-number* +p1307 +tp1308 +a(g893 +g960 +tp1309 +a(g655 +V:= +p1310 +tp1311 +a(g893 +g960 +tp1312 +a(g49 +V*unique-serial-number* +p1313 +tp1314 +a(g893 +g960 +tp1315 +a(g655 +V+ +p1316 +tp1317 +a(g893 +g960 +tp1318 +a(g283 +V1 +p1319 +tp1320 +a(g678 +g1010 +tp1321 +a(g893 +V\u000a +p1322 +tp1323 +a(g13 +Vserial +p1324 +tp1325 +a(g678 +g1010 +tp1326 +a(g893 +V\u000a +p1327 +tp1328 +a(g811 +Vend +p1329 +tp1330 +a(g893 +g960 +tp1331 +a(g250 +Vfunction +p1332 +tp1333 +a(g678 +g1010 +tp1334 +a(g893 +V\u000a\u000a +p1335 +tp1336 +a(g811 +Vdefine +p1337 +tp1338 +a(g893 +g960 +tp1339 +a(g250 +Vconstant +p1340 +tp1341 +a(g893 +g960 +tp1342 +a(g148 +V$blue-car +p1343 +tp1344 +a(g893 +g960 +tp1345 +a(g655 +g1003 +tp1346 +a(g893 +g960 +tp1347 +a(g250 +Vmake +p1348 +tp1349 +a(g678 +g983 +tp1350 +a(g211 +V +p1351 +tp1352 +a(g678 +g1028 +tp1353 +a(g893 +g960 +tp1354 +a(g811 +Vmodel: +p1355 +tp1356 +a(g893 +g960 +tp1357 +a(g334 +g1214 +tp1358 +a(g334 +VViper +p1359 +tp1360 +a(g334 +g1214 +tp1361 +a(g678 +g987 +tp1362 +a(g678 +g1010 +tp1363 +a(g893 +V\u000a +p1364 +tp1365 +a(g811 +Vdefine +p1366 +tp1367 +a(g893 +g960 +tp1368 +a(g250 +Vconstant +p1369 +tp1370 +a(g893 +g960 +tp1371 +a(g148 +V$black-car +p1372 +tp1373 +a(g893 +g960 +tp1374 +a(g655 +g1003 +tp1375 +a(g893 +g960 +tp1376 +a(g250 +Vmake +p1377 +tp1378 +a(g678 +g983 +tp1379 +a(g211 +V +p1380 +tp1381 +a(g678 +g1028 +tp1382 +a(g893 +g960 +tp1383 +a(g811 +Vmodel: +p1384 +tp1385 +a(g893 +g960 +tp1386 +a(g334 +g1214 +tp1387 +a(g334 +VTown Car +p1388 +tp1389 +a(g334 +g1214 +tp1390 +a(g678 +g1028 +tp1391 +a(g893 +g960 +tp1392 +a(g811 +Vsunroof?: +p1393 +tp1394 +a(g893 +g960 +tp1395 +a(g298 +V#t +p1396 +tp1397 +a(g678 +g987 +tp1398 +a(g678 +g1010 +tp1399 +a(g893 +V\u000a +p1400 +tp1401 +a(g811 +Vdefine +p1402 +tp1403 +a(g893 +g960 +tp1404 +a(g250 +Vconstant +p1405 +tp1406 +a(g893 +g960 +tp1407 +a(g148 +V$red-car +p1408 +tp1409 +a(g893 +g960 +tp1410 +a(g655 +g1003 +tp1411 +a(g893 +g960 +tp1412 +a(g250 +Vmake +p1413 +tp1414 +a(g678 +g983 +tp1415 +a(g211 +V +p1416 +tp1417 +a(g678 +g1028 +tp1418 +a(g893 +g960 +tp1419 +a(g811 +Vmodel: +p1420 +tp1421 +a(g893 +g960 +tp1422 +a(g334 +g1214 +tp1423 +a(g334 +VF40 +p1424 +tp1425 +a(g334 +g1214 +tp1426 +a(g678 +g1028 +tp1427 +a(g893 +g960 +tp1428 +a(g811 +Vsunroof?: +p1429 +tp1430 +a(g893 +g960 +tp1431 +a(g298 +V#f +p1432 +tp1433 +a(g678 +g987 +tp1434 +a(g678 +g1010 +tp1435 +a(g893 +V\u000a\u000a +p1436 +tp1437 +a(g811 +Vdefine +p1438 +tp1439 +a(g893 +g960 +tp1440 +a(g250 +Vmethod +p1441 +tp1442 +a(g893 +g960 +tp1443 +a(g13 +Vfoo +p1444 +tp1445 +a(g678 +g983 +tp1446 +a(g678 +g987 +tp1447 +a(g893 +g960 +tp1448 +a(g678 +V=> +p1449 +tp1450 +a(g893 +g960 +tp1451 +a(g13 +V_ +p1452 +tp1453 +a(g893 +g960 +tp1454 +a(g678 +V:: +p1455 +tp1456 +a(g893 +g960 +tp1457 +a(g211 +V +p1458 +tp1459 +a(g893 +V\u000a +p1460 +tp1461 +a(g298 +V#t +p1462 +tp1463 +a(g893 +V\u000a +p1464 +tp1465 +a(g811 +Vend +p1466 +tp1467 +a(g893 +g960 +tp1468 +a(g250 +Vmethod +p1469 +tp1470 +a(g678 +g1010 +tp1471 +a(g893 +V\u000a\u000a +p1472 +tp1473 +a(g811 +Vdefine +p1474 +tp1475 +a(g893 +g960 +tp1476 +a(g250 +Vmethod +p1477 +tp1478 +a(g893 +g960 +tp1479 +a(g13 +Vfoo +p1480 +tp1481 +a(g678 +g983 +tp1482 +a(g678 +g987 +tp1483 +a(g893 +g960 +tp1484 +a(g678 +V=> +p1485 +tp1486 +a(g893 +g960 +tp1487 +a(g13 +g1452 +tp1488 +a(g893 +g960 +tp1489 +a(g678 +V:: +p1490 +tp1491 +a(g893 +g960 +tp1492 +a(g211 +V +p1493 +tp1494 +a(g678 +g1010 +tp1495 +a(g893 +V\u000a +p1496 +tp1497 +a(g298 +V#t +p1498 +tp1499 +a(g893 +V\u000a +p1500 +tp1501 +a(g811 +Vend +p1502 +tp1503 +a(g893 +g960 +tp1504 +a(g250 +Vmethod +p1505 +tp1506 +a(g678 +g1010 +tp1507 +a(g893 +V\u000a\u000a +p1508 +tp1509 +a(g811 +Vdefine +p1510 +tp1511 +a(g893 +g960 +tp1512 +a(g250 +Vmethod +p1513 +tp1514 +a(g893 +g960 +tp1515 +a(g13 +V\u005c+ +p1516 +tp1517 +a(g893 +V\u000a +p1518 +tp1519 +a(g678 +g983 +tp1520 +a(g13 +Voffset1 +p1521 +tp1522 +a(g893 +g960 +tp1523 +a(g678 +V:: +p1524 +tp1525 +a(g893 +g960 +tp1526 +a(g211 +V +p1527 +tp1528 +a(g678 +g1028 +tp1529 +a(g893 +g960 +tp1530 +a(g13 +Voffset2 +p1531 +tp1532 +a(g893 +g960 +tp1533 +a(g678 +V:: +p1534 +tp1535 +a(g893 +g960 +tp1536 +a(g211 +V +p1537 +tp1538 +a(g678 +g987 +tp1539 +a(g893 +V\u000a +p1540 +tp1541 +a(g678 +V=> +p1542 +tp1543 +a(g893 +g960 +tp1544 +a(g678 +g983 +tp1545 +a(g13 +Vsum +p1546 +tp1547 +a(g893 +g960 +tp1548 +a(g678 +V:: +p1549 +tp1550 +a(g893 +g960 +tp1551 +a(g211 +V +p1552 +tp1553 +a(g678 +g987 +tp1554 +a(g893 +V\u000a +p1555 +tp1556 +a(g811 +Vlet +p1557 +tp1558 +a(g893 +g960 +tp1559 +a(g13 +Vsum +p1560 +tp1561 +a(g893 +g960 +tp1562 +a(g655 +g1003 +tp1563 +a(g893 +g960 +tp1564 +a(g13 +Voffset1 +p1565 +tp1566 +a(g678 +V. +p1567 +tp1568 +a(g13 +Vtotal-seconds +p1569 +tp1570 +a(g893 +g960 +tp1571 +a(g655 +g1316 +tp1572 +a(g893 +g960 +tp1573 +a(g13 +Voffset2 +p1574 +tp1575 +a(g678 +g1567 +tp1576 +a(g13 +Vtotal-seconds +p1577 +tp1578 +a(g678 +g1010 +tp1579 +a(g893 +V\u000a +p1580 +tp1581 +a(g250 +Vmake +p1582 +tp1583 +a(g678 +g983 +tp1584 +a(g211 +V +p1585 +tp1586 +a(g678 +g1028 +tp1587 +a(g893 +g960 +tp1588 +a(g811 +Vtotal-seconds: +p1589 +tp1590 +a(g893 +g960 +tp1591 +a(g13 +Vsum +p1592 +tp1593 +a(g678 +g987 +tp1594 +a(g678 +g1010 +tp1595 +a(g893 +V\u000a +p1596 +tp1597 +a(g811 +Vend +p1598 +tp1599 +a(g893 +g960 +tp1600 +a(g250 +Vmethod +p1601 +tp1602 +a(g893 +g960 +tp1603 +a(g13 +V\u005c+ +p1604 +tp1605 +a(g678 +g1010 +tp1606 +a(g893 +V\u000a\u000a +p1607 +tp1608 +a(g811 +Vdefine +p1609 +tp1610 +a(g893 +g960 +tp1611 +a(g250 +Vmethod +p1612 +tp1613 +a(g893 +g960 +tp1614 +a(g13 +Vbar +p1615 +tp1616 +a(g893 +g960 +tp1617 +a(g678 +g983 +tp1618 +a(g678 +g987 +tp1619 +a(g893 +V\u000a +p1620 +tp1621 +a(g283 +g1319 +tp1622 +a(g893 +g960 +tp1623 +a(g655 +V| +p1624 +tp1625 +a(g893 +g960 +tp1626 +a(g283 +V2 +p1627 +tp1628 +a(g893 +g960 +tp1629 +a(g655 +V& +p1630 +tp1631 +a(g893 +g960 +tp1632 +a(g283 +V3 +p1633 +tp1634 +a(g893 +V\u000a +p1635 +tp1636 +a(g811 +Vend +p1637 +tp1638 +a(g893 +V\u000a\u000a +p1639 +tp1640 +a(g811 +Vif +p1641 +tp1642 +a(g893 +g960 +tp1643 +a(g678 +g983 +tp1644 +a(g13 +Vbar +p1645 +tp1646 +a(g678 +g987 +tp1647 +a(g893 +V\u000a +p1648 +tp1649 +a(g283 +g1319 +tp1650 +a(g893 +V\u000a +p1651 +tp1652 +a(g811 +Velseif +p1653 +tp1654 +a(g893 +g960 +tp1655 +a(g678 +g983 +tp1656 +a(g13 +Vfoo +p1657 +tp1658 +a(g678 +g987 +tp1659 +a(g893 +V\u000a +p1660 +tp1661 +a(g283 +g1627 +tp1662 +a(g893 +V\u000a +p1663 +tp1664 +a(g811 +Velse +p1665 +tp1666 +a(g893 +V\u000a +p1667 +tp1668 +a(g283 +g1633 +tp1669 +a(g893 +V\u000a +p1670 +tp1671 +a(g811 +Vend +p1672 +tp1673 +a(g893 +g960 +tp1674 +a(g811 +Vif +p1675 +tp1676 +a(g678 +g1010 +tp1677 +a(g893 +V\u000a\u000a +p1678 +tp1679 +a(g811 +Vselect +p1680 +tp1681 +a(g893 +g960 +tp1682 +a(g678 +g983 +tp1683 +a(g13 +Vfoo +p1684 +tp1685 +a(g893 +g960 +tp1686 +a(g811 +Vby +p1687 +tp1688 +a(g893 +g960 +tp1689 +a(g250 +Vinstance? +p1690 +tp1691 +a(g678 +g987 +tp1692 +a(g893 +V\u000a +p1693 +tp1694 +a(g211 +V +p1695 +tp1696 +a(g893 +g960 +tp1697 +a(g678 +V=> +p1698 +tp1699 +a(g893 +g960 +tp1700 +a(g283 +g1319 +tp1701 +a(g893 +V\u000a +p1702 +tp1703 +a(g811 +Votherwise +p1704 +tp1705 +a(g893 +g960 +tp1706 +a(g678 +V=> +p1707 +tp1708 +a(g893 +g960 +tp1709 +a(g283 +g1633 +tp1710 +a(g893 +V\u000a +p1711 +tp1712 +a(g811 +Vend +p1713 +tp1714 +a(g893 +g960 +tp1715 +a(g811 +Vselect +p1716 +tp1717 +a(g678 +g1010 +tp1718 +a(g893 +V\u000a\u000a +p1719 +tp1720 +a(g761 +V/* +p1721 +tp1722 +a(g761 +g960 +tp1723 +a(g761 +Vm +p1724 +tp1725 +a(g761 +Vu +p1726 +tp1727 +a(g761 +Vl +p1728 +tp1729 +a(g761 +Vt +p1730 +tp1731 +a(g761 +Vi +p1732 +tp1733 +a(g761 +V\u000a +p1734 +tp1735 +a(g761 +g960 +tp1736 +a(g761 +g960 +tp1737 +a(g761 +g960 +tp1738 +a(g761 +g1728 +tp1739 +a(g761 +g1732 +tp1740 +a(g761 +Vn +p1741 +tp1742 +a(g761 +Ve +p1743 +tp1744 +a(g761 +V\u000a +p1745 +tp1746 +a(g761 +g960 +tp1747 +a(g761 +g960 +tp1748 +a(g761 +g960 +tp1749 +a(g761 +Vc +p1750 +tp1751 +a(g761 +Vo +p1752 +tp1753 +a(g761 +g1724 +tp1754 +a(g761 +g1724 +tp1755 +a(g761 +g1743 +tp1756 +a(g761 +g1741 +tp1757 +a(g761 +g1730 +tp1758 +a(g761 +V\u000a +p1759 +tp1760 +a(g761 +V*/ +p1761 +tp1762 +a(g893 +V\u000a\u000a +p1763 +tp1764 +a(g761 +V/* +p1765 +tp1766 +a(g761 +g960 +tp1767 +a(g761 +g1724 +tp1768 +a(g761 +g1726 +tp1769 +a(g761 +g1728 +tp1770 +a(g761 +g1730 +tp1771 +a(g761 +g1732 +tp1772 +a(g761 +g960 +tp1773 +a(g761 +g1728 +tp1774 +a(g761 +g1732 +tp1775 +a(g761 +g1741 +tp1776 +a(g761 +g1743 +tp1777 +a(g761 +g960 +tp1778 +a(g761 +g1750 +tp1779 +a(g761 +g1752 +tp1780 +a(g761 +g1724 +tp1781 +a(g761 +g1724 +tp1782 +a(g761 +g1743 +tp1783 +a(g761 +g1741 +tp1784 +a(g761 +g1730 +tp1785 +a(g761 +Vs +p1786 +tp1787 +a(g761 +V\u000a +p1788 +tp1789 +a(g761 +g960 +tp1790 +a(g761 +g960 +tp1791 +a(g761 +V/* +p1792 +tp1793 +a(g761 +g960 +tp1794 +a(g761 +g1750 +tp1795 +a(g761 +Va +p1796 +tp1797 +a(g761 +g1741 +tp1798 +a(g761 +g960 +tp1799 +a(g761 +Vb +p1800 +tp1801 +a(g761 +g1743 +tp1802 +a(g761 +g960 +tp1803 +a(g761 +V*/ +p1804 +tp1805 +a(g761 +V\u000a +p1806 +tp1807 +a(g761 +g960 +tp1808 +a(g761 +g960 +tp1809 +a(g761 +g1741 +tp1810 +a(g761 +g1743 +tp1811 +a(g761 +g1786 +tp1812 +a(g761 +g1730 +tp1813 +a(g761 +g1743 +tp1814 +a(g761 +Vd +p1815 +tp1816 +a(g761 +g960 +tp1817 +a(g761 +V*/ +p1818 +tp1819 +a(g893 +V\u000a\u000a +p1820 +tp1821 +a(g811 +Vdefine +p1822 +tp1823 +a(g893 +g960 +tp1824 +a(g250 +Vconstant +p1825 +tp1826 +a(g893 +g960 +tp1827 +a(g148 +V$symbol +p1828 +tp1829 +a(g893 +g960 +tp1830 +a(g655 +g1003 +tp1831 +a(g893 +g960 +tp1832 +a(g361 +V#" +p1833 +tp1834 +a(g361 +Vhello +p1835 +tp1836 +a(g361 +g1214 +tp1837 +a(g678 +g1010 +tp1838 +a(g893 +V\u000a +p1839 +tp1840 +a(g811 +Vdefine +p1841 +tp1842 +a(g893 +g960 +tp1843 +a(g250 +Vvariable +p1844 +tp1845 +a(g893 +g960 +tp1846 +a(g49 +V*vector* +p1847 +tp1848 +a(g893 +g960 +tp1849 +a(g655 +g1003 +tp1850 +a(g893 +g960 +tp1851 +a(g678 +V#[ +p1852 +tp1853 +a(g558 +V3.5 +p1854 +tp1855 +a(g678 +g1028 +tp1856 +a(g893 +g960 +tp1857 +a(g283 +V5 +p1858 +tp1859 +a(g678 +V] +p1860 +tp1861 +a(g893 +V\u000a +p1862 +tp1863 +a(g811 +Vdefine +p1864 +tp1865 +a(g893 +g960 +tp1866 +a(g250 +Vconstant +p1867 +tp1868 +a(g893 +g960 +tp1869 +a(g148 +V$list +p1870 +tp1871 +a(g893 +g960 +tp1872 +a(g655 +g1003 +tp1873 +a(g893 +g960 +tp1874 +a(g678 +V#( +p1875 +tp1876 +a(g283 +g1319 +tp1877 +a(g678 +g1028 +tp1878 +a(g893 +g960 +tp1879 +a(g283 +g1627 +tp1880 +a(g678 +g987 +tp1881 +a(g678 +g1010 +tp1882 +a(g893 +V\u000a +p1883 +tp1884 +a(g811 +Vdefine +p1885 +tp1886 +a(g893 +g960 +tp1887 +a(g250 +Vconstant +p1888 +tp1889 +a(g893 +g960 +tp1890 +a(g148 +V$pair +p1891 +tp1892 +a(g893 +g960 +tp1893 +a(g655 +g1003 +tp1894 +a(g893 +g960 +tp1895 +a(g678 +V#( +p1896 +tp1897 +a(g283 +g1319 +tp1898 +a(g893 +g960 +tp1899 +a(g678 +g1567 +tp1900 +a(g893 +g960 +tp1901 +a(g334 +g1214 +tp1902 +a(g334 +Vfoo +p1903 +tp1904 +a(g334 +g1214 +tp1905 +a(g678 +g987 +tp1906 +a(g893 +V\u000a\u000a +p1907 +tp1908 +a(g811 +Vlet +p1909 +tp1910 +a(g893 +g960 +tp1911 +a(g13 +Voctal-number +p1912 +tp1913 +a(g893 +g960 +tp1914 +a(g655 +g1003 +tp1915 +a(g893 +g960 +tp1916 +a(g525 +V#o23 +p1917 +tp1918 +a(g283 +V8 +p1919 +tp1920 +a(g678 +g1010 +tp1921 +a(g893 +V\u000a +p1922 +tp1923 +a(g811 +Vlet +p1924 +tp1925 +a(g893 +g960 +tp1926 +a(g13 +Vhex-number +p1927 +tp1928 +a(g893 +g960 +tp1929 +a(g655 +g1003 +tp1930 +a(g893 +g960 +tp1931 +a(g541 +V#x3890ADEF +p1932 +tp1933 +a(g678 +g1010 +tp1934 +a(g893 +V\u000a +p1935 +tp1936 +a(g811 +Vlet +p1937 +tp1938 +a(g893 +g960 +tp1939 +a(g13 +Vbinary-number +p1940 +tp1941 +a(g893 +g960 +tp1942 +a(g655 +g1003 +tp1943 +a(g893 +g960 +tp1944 +a(g509 +V#b1010 +p1945 +tp1946 +a(g678 +g1010 +tp1947 +a(g893 +V\u000a +p1948 +tp1949 +a(g811 +Vlet +p1950 +tp1951 +a(g893 +g960 +tp1952 +a(g13 +Vfloat-exponent +p1953 +tp1954 +a(g893 +g960 +tp1955 +a(g655 +g1003 +tp1956 +a(g893 +g960 +tp1957 +a(g558 +V3.5e10 +p1958 +tp1959 +a(g678 +g1010 +tp1960 +a(g893 +V\u000a\u000a +p1961 +tp1962 +a(g250 +Vblock +p1963 +tp1964 +a(g893 +g960 +tp1965 +a(g678 +g983 +tp1966 +a(g13 +Vreturn +p1967 +tp1968 +a(g678 +g987 +tp1969 +a(g893 +V\u000a +p1970 +tp1971 +a(g13 +Vwith-lock +p1972 +tp1973 +a(g893 +g960 +tp1974 +a(g678 +g983 +tp1975 +a(g13 +Vlock +p1976 +tp1977 +a(g678 +g987 +tp1978 +a(g893 +V\u000a +p1979 +tp1980 +a(g13 +Vreturn +p1981 +tp1982 +a(g678 +g983 +tp1983 +a(g678 +g987 +tp1984 +a(g678 +g1010 +tp1985 +a(g893 +V\u000a +p1986 +tp1987 +a(g811 +Vend +p1988 +tp1989 +a(g678 +g1010 +tp1990 +a(g893 +V\u000a +p1991 +tp1992 +a(g250 +Vexception +p1993 +tp1994 +a(g893 +g960 +tp1995 +a(g678 +g983 +tp1996 +a(g13 +g1743 +tp1997 +a(g893 +g960 +tp1998 +a(g678 +V:: +p1999 +tp2000 +a(g893 +g960 +tp2001 +a(g211 +V +p2002 +tp2003 +a(g678 +g987 +tp2004 +a(g893 +V\u000a +p2005 +tp2006 +a(g13 +Vformat-out +p2007 +tp2008 +a(g678 +g983 +tp2009 +a(g334 +g1214 +tp2010 +a(g334 +VOh no +p2011 +tp2012 +a(g334 +g1214 +tp2013 +a(g678 +g987 +tp2014 +a(g678 +g1010 +tp2015 +a(g893 +V\u000a +p2016 +tp2017 +a(g811 +Vcleanup +p2018 +tp2019 +a(g893 +V\u000a +p2020 +tp2021 +a(g13 +Vreturn +p2022 +tp2023 +a(g678 +g983 +tp2024 +a(g678 +g987 +tp2025 +a(g678 +g1010 +tp2026 +a(g893 +V\u000a +p2027 +tp2028 +a(g811 +Vafterwards +p2029 +tp2030 +a(g893 +V\u000a +p2031 +tp2032 +a(g13 +Vformat-out +p2033 +tp2034 +a(g678 +g983 +tp2035 +a(g334 +g1214 +tp2036 +a(g334 +VHello +p2037 +tp2038 +a(g334 +g1214 +tp2039 +a(g678 +g987 +tp2040 +a(g678 +g1010 +tp2041 +a(g893 +V\u000a +p2042 +tp2043 +a(g811 +Vend +p2044 +tp2045 +a(g678 +g1010 +tp2046 +a(g893 +V\u000a\u000a +p2047 +tp2048 +a(g811 +Vdefine +p2049 +tp2050 +a(g893 +g960 +tp2051 +a(g250 +Vmacro +p2052 +tp2053 +a(g893 +g960 +tp2054 +a(g13 +Vrepeat +p2055 +tp2056 +a(g893 +V\u000a +p2057 +tp2058 +a(g678 +V{ +p2059 +tp2060 +a(g893 +g960 +tp2061 +a(g13 +Vrepeat +p2062 +tp2063 +a(g893 +g960 +tp2064 +a(g140 +V? +p2065 +tp2066 +a(g655 +g958 +tp2067 +a(g250 +Vbody +p2068 +tp2069 +a(g893 +g960 +tp2070 +a(g811 +Vend +p2071 +tp2072 +a(g893 +g960 +tp2073 +a(g678 +V} +p2074 +tp2075 +a(g893 +V\u000a +p2076 +tp2077 +a(g678 +V=> +p2078 +tp2079 +a(g893 +g960 +tp2080 +a(g678 +g2059 +tp2081 +a(g893 +g960 +tp2082 +a(g250 +Vblock +p2083 +tp2084 +a(g893 +g960 +tp2085 +a(g678 +g983 +tp2086 +a(g140 +V?=stop! +p2087 +tp2088 +a(g678 +g987 +tp2089 +a(g893 +V\u000a +p2090 +tp2091 +a(g811 +Vlocal +p2092 +tp2093 +a(g893 +g960 +tp2094 +a(g250 +Vmethod +p2095 +tp2096 +a(g893 +g960 +tp2097 +a(g13 +Vagain +p2098 +tp2099 +a(g678 +g983 +tp2100 +a(g678 +g987 +tp2101 +a(g893 +g960 +tp2102 +a(g140 +V?body +p2103 +tp2104 +a(g678 +g1010 +tp2105 +a(g893 +g960 +tp2106 +a(g13 +Vagain +p2107 +tp2108 +a(g678 +g983 +tp2109 +a(g678 +g987 +tp2110 +a(g893 +g960 +tp2111 +a(g811 +Vend +p2112 +tp2113 +a(g678 +g1010 +tp2114 +a(g893 +V\u000a +p2115 +tp2116 +a(g13 +Vagain +p2117 +tp2118 +a(g678 +g983 +tp2119 +a(g678 +g987 +tp2120 +a(g678 +g1010 +tp2121 +a(g893 +V\u000a +p2122 +tp2123 +a(g811 +Vend +p2124 +tp2125 +a(g893 +g960 +tp2126 +a(g678 +g2074 +tp2127 +a(g893 +V\u000a +p2128 +tp2129 +a(g811 +Vend +p2130 +tp2131 +a(g893 +g960 +tp2132 +a(g250 +Vmacro +p2133 +tp2134 +a(g893 +g960 +tp2135 +a(g13 +Vrepeat +p2136 +tp2137 +a(g678 +g1010 +tp2138 +a(g893 +V\u000a\u000a +p2139 +tp2140 +a(g811 +Vdefine +p2141 +tp2142 +a(g893 +g960 +tp2143 +a(g250 +Vmacro +p2144 +tp2145 +a(g893 +g960 +tp2146 +a(g13 +Vwith-decoded-seconds +p2147 +tp2148 +a(g893 +V\u000a +p2149 +tp2150 +a(g678 +g2059 +tp2151 +a(g893 +V\u000a +p2152 +tp2153 +a(g13 +Vwith-decoded-seconds +p2154 +tp2155 +a(g893 +V\u000a +p2156 +tp2157 +a(g678 +g983 +tp2158 +a(g140 +V?max +p2159 +tp2160 +a(g655 +g958 +tp2161 +a(g250 +Vvariable +p2162 +tp2163 +a(g678 +g1028 +tp2164 +a(g893 +g960 +tp2165 +a(g140 +V?min +p2166 +tp2167 +a(g655 +g958 +tp2168 +a(g250 +Vvariable +p2169 +tp2170 +a(g678 +g1028 +tp2171 +a(g893 +g960 +tp2172 +a(g140 +V?sec +p2173 +tp2174 +a(g655 +g958 +tp2175 +a(g250 +Vvariable +p2176 +tp2177 +a(g893 +g960 +tp2178 +a(g655 +g1003 +tp2179 +a(g893 +g960 +tp2180 +a(g140 +V?time +p2181 +tp2182 +a(g655 +g958 +tp2183 +a(g250 +Vexpression +p2184 +tp2185 +a(g678 +g987 +tp2186 +a(g893 +V\u000a +p2187 +tp2188 +a(g140 +g2065 +tp2189 +a(g655 +g958 +tp2190 +a(g250 +Vbody +p2191 +tp2192 +a(g893 +V\u000a +p2193 +tp2194 +a(g811 +Vend +p2195 +tp2196 +a(g893 +V\u000a +p2197 +tp2198 +a(g678 +g2074 +tp2199 +a(g893 +V\u000a +p2200 +tp2201 +a(g678 +V=> +p2202 +tp2203 +a(g893 +g960 +tp2204 +a(g678 +g2059 +tp2205 +a(g893 +V\u000a +p2206 +tp2207 +a(g811 +Vlet +p2208 +tp2209 +a(g893 +g960 +tp2210 +a(g678 +g983 +tp2211 +a(g140 +V?max +p2212 +tp2213 +a(g678 +g1028 +tp2214 +a(g893 +g960 +tp2215 +a(g140 +V?min +p2216 +tp2217 +a(g678 +g1028 +tp2218 +a(g893 +g960 +tp2219 +a(g140 +V?sec +p2220 +tp2221 +a(g678 +g987 +tp2222 +a(g893 +g960 +tp2223 +a(g655 +g1003 +tp2224 +a(g893 +g960 +tp2225 +a(g13 +Vdecode-total-seconds +p2226 +tp2227 +a(g678 +g983 +tp2228 +a(g140 +V?time +p2229 +tp2230 +a(g678 +g987 +tp2231 +a(g678 +g1010 +tp2232 +a(g893 +V\u000a +p2233 +tp2234 +a(g140 +V?body +p2235 +tp2236 +a(g893 +V\u000a +p2237 +tp2238 +a(g678 +g2074 +tp2239 +a(g893 +V\u000a +p2240 +tp2241 +a(g811 +Vend +p2242 +tp2243 +a(g893 +g960 +tp2244 +a(g250 +Vmacro +p2245 +tp2246 +a(g678 +g1010 +tp2247 +a(g893 +V\u000a\u000a +p2248 +tp2249 +a(g811 +Vlet +p2250 +tp2251 +a(g893 +g960 +tp2252 +a(g13 +Vx +p2253 +tp2254 +a(g893 +g960 +tp2255 +a(g655 +g1003 +tp2256 +a(g893 +g960 +tp2257 +a(g334 +g1214 +tp2258 +a(g334 +VThis size call should be seen as a builtin despite the odd case. +p2259 +tp2260 +a(g334 +g1214 +tp2261 +a(g678 +g1567 +tp2262 +a(g250 +VsiZe +p2263 +tp2264 +a(g678 +g1010 +tp2265 +a(g893 +V\u000a +p2266 +tp2267 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/clojure-weird-keywords.clj b/tests/examplefiles/output/clojure-weird-keywords.clj new file mode 100644 index 0000000..dac39c0 --- /dev/null +++ b/tests/examplefiles/output/clojure-weird-keywords.clj @@ -0,0 +1,2469 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV; Note, clojure lexer is here (and is a good deal more liberal than the language spec: +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V; https://github.com/clojure/clojure/blob/master/src/jvm/clojure/lang/LispReader.java#L62 +p960 +tp961 +a(g826 +V\u000a\u000a +p962 +tp963 +a(g705 +V( +p964 +tp965 +a(g809 +Vdefn +p966 +tp967 +a(g440 +Vvalid +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g705 +V[ +p972 +tp973 +a(g412 +V# +p974 +tp975 +a(g412 +V^ +p976 +tp977 +a(g440 +Vjava.lang.reflect.Method +p978 +tp979 +a(g826 +g970 +tp980 +a(g440 +Vmeth +p981 +tp982 +a(g705 +V] +p983 +tp984 +a(g826 +V\u000a +p985 +tp986 +a(g705 +g972 +tp987 +a(g117 +V:keyword +p988 +tp989 +a(g826 +g970 +tp990 +a(g117 +V:#initial-hash +p991 +tp992 +a(g826 +g970 +tp993 +a(g117 +V:h#sh-in-middle +p994 +tp995 +a(g826 +g970 +tp996 +a(g117 +V:hash-at-end# +p997 +tp998 +a(g826 +g970 +tp999 +a(g412 +g974 +tp1000 +a(g440 +Vjs +p1001 +tp1002 +a(g826 +g970 +tp1003 +a(g705 +V{ +p1004 +tp1005 +a(g117 +V:keyword +p1006 +tp1007 +a(g826 +g970 +tp1008 +a(g89 +V"value" +p1009 +tp1010 +a(g705 +V} +p1011 +tp1012 +a(g705 +g983 +tp1013 +a(g705 +V) +p1014 +tp1015 +a(g826 +V\u000a +p1016 +tp1017 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/condensed_ruby.rb b/tests/examplefiles/output/condensed_ruby.rb new file mode 100644 index 0000000..a3d3b2c --- /dev/null +++ b/tests/examplefiles/output/condensed_ruby.rb @@ -0,0 +1,3487 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# Server: ruby p2p.rb password server server-uri merge-servers +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# Sample: ruby p2p.rb foobar server druby://localhost:1337 druby://foo.bar:1337 +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V# Client: ruby p2p.rb password client server-uri download-pattern +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V# Sample: ruby p2p.rb foobar client druby://localhost:1337 *.rb +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g681 +Vrequire +p972 +tp973 +a(g229 +V'drb' +p974 +tp975 +a(g705 +V; +p976 +tp977 +a(g435 +VF +p978 +tp979 +a(g705 +V, +p980 +tp981 +a(g435 +VD +p982 +tp983 +a(g705 +g980 +tp984 +a(g435 +VC +p985 +tp986 +a(g705 +g980 +tp987 +a(g435 +VP +p988 +tp989 +a(g705 +g980 +tp990 +a(g435 +VM +p991 +tp992 +a(g705 +g980 +tp993 +a(g435 +VU +p994 +tp995 +a(g705 +g980 +tp996 +a(g412 +V* +p997 +tp998 +a(g435 +VO +p999 +tp1000 +a(g412 +V= +p1001 +tp1002 +a(g565 +VFile +p1003 +tp1004 +a(g705 +g980 +tp1005 +a(g565 +VClass +p1006 +tp1007 +a(g705 +g980 +tp1008 +a(g565 +VDir +p1009 +tp1010 +a(g705 +g980 +tp1011 +a(g412 +g997 +tp1012 +a(g565 +VARGV +p1013 +tp1014 +a(g705 +g976 +tp1015 +a(g744 +Vdef +p1016 +tp1017 +a(g826 +V +p1018 +tp1019 +a(g573 +Vs +p1020 +tp1021 +a(g705 +V( +p1022 +tp1023 +a(g681 +Vp +p1024 +tp1025 +a(g705 +V) +p1026 +tp1027 +a(g435 +g978 +tp1028 +a(g412 +V. +p1029 +tp1030 +a(g435 +Vsplit +p1031 +tp1032 +a(g705 +g1022 +tp1033 +a(g681 +g1024 +tp1034 +a(g412 +V[ +p1035 +tp1036 +a(g197 +V/ +p1037 +tp1038 +a(g197 +V[^|].* +p1039 +tp1040 +a(g197 +g1037 +tp1041 +a(g412 +V] +p1042 +tp1043 +a(g705 +g1026 +tp1044 +a(g412 +g1035 +tp1045 +a(g412 +V- +p1046 +tp1047 +a(g37 +V1 +p1048 +tp1049 +a(g826 +V\u000a +p1050 +tp1051 +a(g412 +g1042 +tp1052 +a(g744 +Vend +p1053 +tp1054 +a(g705 +g976 +tp1055 +a(g744 +Vdef +p1056 +tp1057 +a(g826 +g1018 +tp1058 +a(g573 +Vc +p1059 +tp1060 +a(g705 +g1022 +tp1061 +a(g435 +Vu +p1062 +tp1063 +a(g705 +g1026 +tp1064 +a(g705 +g976 +tp1065 +a(g565 +VDRbObject +p1066 +tp1067 +a(g412 +g1029 +tp1068 +a(g435 +Vnew +p1069 +tp1070 +a(g705 +g1022 +tp1071 +a(g705 +g1022 +tp1072 +a(g705 +g1026 +tp1073 +a(g705 +g980 +tp1074 +a(g435 +g1062 +tp1075 +a(g705 +g1026 +tp1076 +a(g744 +Vend +p1077 +tp1078 +a(g705 +g976 +tp1079 +a(g744 +Vdef +p1080 +tp1081 +a(g826 +g1018 +tp1082 +a(g573 +Vx +p1083 +tp1084 +a(g705 +g1022 +tp1085 +a(g435 +g1062 +tp1086 +a(g705 +g1026 +tp1087 +a(g412 +g1035 +tp1088 +a(g435 +g988 +tp1089 +a(g705 +g980 +tp1090 +a(g435 +g1062 +tp1091 +a(g412 +g1042 +tp1092 +a(g412 +g1029 +tp1093 +a(g435 +Vhash +p1094 +tp1095 +a(g705 +g976 +tp1096 +a(g744 +Vend +p1097 +tp1098 +a(g705 +g976 +tp1099 +a(g435 +g991 +tp1100 +a(g412 +V== +p1101 +tp1102 +a(g157 +V" +p1103 +tp1104 +a(g157 +Vclient +p1105 +tp1106 +a(g157 +g1103 +tp1107 +a(g412 +V&& +p1108 +tp1109 +a(g435 +g1059 +tp1110 +a(g705 +g1022 +tp1111 +a(g435 +g994 +tp1112 +a(g705 +g1026 +tp1113 +a(g412 +g1029 +tp1114 +a(g435 +Vf +p1115 +tp1116 +a(g705 +g1022 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g435 +g1083 +tp1120 +a(g705 +g1022 +tp1121 +a(g435 +g994 +tp1122 +a(g705 +g1026 +tp1123 +a(g705 +g1026 +tp1124 +a(g412 +g1029 +tp1125 +a(g435 +Veach +p1126 +tp1127 +a(g705 +V{ +p1128 +tp1129 +a(g412 +V| +p1130 +tp1131 +a(g435 +Vn +p1132 +tp1133 +a(g412 +g1130 +tp1134 +a(g681 +g1024 +tp1135 +a(g705 +g980 +tp1136 +a(g435 +g1059 +tp1137 +a(g412 +g1001 +tp1138 +a(g435 +g1083 +tp1139 +a(g705 +g1022 +tp1140 +a(g435 +g1132 +tp1141 +a(g705 +g1026 +tp1142 +a(g705 +g980 +tp1143 +a(g435 +g1059 +tp1144 +a(g705 +g1022 +tp1145 +a(g435 +g1132 +tp1146 +a(g705 +g1026 +tp1147 +a(g705 +g976 +tp1148 +a(g705 +g1022 +tp1149 +a(g435 +g1059 +tp1150 +a(g412 +g1029 +tp1151 +a(g435 +g1115 +tp1152 +a(g705 +g1022 +tp1153 +a(g681 +g1024 +tp1154 +a(g705 +g980 +tp1155 +a(g435 +g999 +tp1156 +a(g412 +g1035 +tp1157 +a(g37 +V0 +p1158 +tp1159 +a(g412 +g1042 +tp1160 +a(g705 +g980 +tp1161 +a(g37 +g1158 +tp1162 +a(g705 +g1026 +tp1163 +a(g412 +g1029 +tp1164 +a(g435 +Vmap +p1165 +tp1166 +a(g705 +g1128 +tp1167 +a(g412 +g1130 +tp1168 +a(g435 +g1115 +tp1169 +a(g412 +g1130 +tp1170 +a(g435 +g1020 +tp1171 +a(g826 +g1018 +tp1172 +a(g435 +g1115 +tp1173 +a(g705 +V} +p1174 +tp1175 +a(g412 +g1046 +tp1176 +a(g435 +g982 +tp1177 +a(g412 +g1035 +tp1178 +a(g157 +g1103 +tp1179 +a(g157 +g997 +tp1180 +a(g157 +g1103 +tp1181 +a(g412 +g1042 +tp1182 +a(g705 +g1026 +tp1183 +a(g412 +g1029 +tp1184 +a(g435 +Veach +p1185 +tp1186 +a(g705 +g1128 +tp1187 +a(g412 +g1130 +tp1188 +a(g435 +g1115 +tp1189 +a(g412 +g1130 +tp1190 +a(g435 +g978 +tp1191 +a(g412 +g1029 +tp1192 +a(g435 +Vopen +p1193 +tp1194 +a(g705 +g1022 +tp1195 +a(g435 +g1115 +tp1196 +a(g705 +g980 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g157 +g1103 +tp1200 +a(g157 +Vw +p1201 +tp1202 +a(g157 +g1103 +tp1203 +a(g705 +g1026 +tp1204 +a(g705 +g1128 +tp1205 +a(g412 +g1130 +tp1206 +a(g435 +Vo +p1207 +tp1208 +a(g412 +g1130 +tp1209 +a(g435 +g1207 +tp1210 +a(g412 +V<< +p1211 +tp1212 +a(g435 +g1059 +tp1213 +a(g412 +g1029 +tp1214 +a(g435 +g1115 +tp1215 +a(g705 +g1022 +tp1216 +a(g681 +g1024 +tp1217 +a(g705 +g980 +tp1218 +a(g435 +g1115 +tp1219 +a(g705 +g980 +tp1220 +a(g37 +g1048 +tp1221 +a(g705 +g1026 +tp1222 +a(g705 +g1174 +tp1223 +a(g705 +g1174 +tp1224 +a(g705 +g1174 +tp1225 +a(g412 +V|| +p1226 +tp1227 +a(g705 +g1022 +tp1228 +a(g565 +VDRb +p1229 +tp1230 +a(g412 +g1029 +tp1231 +a(g435 +Vstart_service +p1232 +tp1233 +a(g826 +g1018 +tp1234 +a(g435 +g994 +tp1235 +a(g705 +g980 +tp1236 +a(g435 +g985 +tp1237 +a(g412 +g1029 +tp1238 +a(g435 +Vnew +p1239 +tp1240 +a(g705 +g1128 +tp1241 +a(g744 +Vdef +p1242 +tp1243 +a(g826 +g1018 +tp1244 +a(g573 +g1115 +tp1245 +a(g705 +g1022 +tp1246 +a(g435 +g1059 +tp1247 +a(g705 +g980 +tp1248 +a(g435 +Va +p1249 +tp1250 +a(g412 +g1001 +tp1251 +a(g412 +g1035 +tp1252 +a(g412 +g1042 +tp1253 +a(g705 +g980 +tp1254 +a(g435 +Vt +p1255 +tp1256 +a(g412 +g1001 +tp1257 +a(g37 +V2 +p1258 +tp1259 +a(g705 +g1026 +tp1260 +a(g435 +g1059 +tp1261 +a(g412 +V== +p1262 +tp1263 +a(g435 +g1083 +tp1264 +a(g705 +g1022 +tp1265 +a(g435 +g994 +tp1266 +a(g705 +g1026 +tp1267 +a(g412 +V&& +p1268 +tp1269 +a(g705 +g1022 +tp1270 +a(g826 +V\u000a +p1271 +tp1272 +a(g435 +g1255 +tp1273 +a(g412 +V== +p1274 +tp1275 +a(g37 +g1158 +tp1276 +a(g412 +V&& +p1277 +tp1278 +a(g435 +g982 +tp1279 +a(g412 +g1035 +tp1280 +a(g435 +g1020 +tp1281 +a(g705 +g1022 +tp1282 +a(g435 +g1249 +tp1283 +a(g705 +g1026 +tp1284 +a(g412 +g1042 +tp1285 +a(g412 +V|| +p1286 +tp1287 +a(g435 +g1255 +tp1288 +a(g412 +V== +p1289 +tp1290 +a(g37 +g1048 +tp1291 +a(g412 +V&& +p1292 +tp1293 +a(g435 +g978 +tp1294 +a(g412 +g1029 +tp1295 +a(g435 +Vread +p1296 +tp1297 +a(g705 +g1022 +tp1298 +a(g435 +g1020 +tp1299 +a(g705 +g1022 +tp1300 +a(g435 +g1249 +tp1301 +a(g705 +g1026 +tp1302 +a(g705 +g1026 +tp1303 +a(g412 +V|| +p1304 +tp1305 +a(g681 +g1024 +tp1306 +a(g705 +g1022 +tp1307 +a(g435 +g1249 +tp1308 +a(g705 +g1026 +tp1309 +a(g705 +g1026 +tp1310 +a(g744 +Vend +p1311 +tp1312 +a(g705 +g976 +tp1313 +a(g744 +Vdef +p1314 +tp1315 +a(g826 +g1018 +tp1316 +a(g573 +Vy +p1317 +tp1318 +a(g705 +g1022 +tp1319 +a(g705 +g1026 +tp1320 +a(g705 +g1022 +tp1321 +a(g681 +g1024 +tp1322 +a(g705 +g1022 +tp1323 +a(g435 +g994 +tp1324 +a(g705 +g1026 +tp1325 +a(g412 +V+ +p1326 +tp1327 +a(g681 +g1024 +tp1328 +a(g705 +g1026 +tp1329 +a(g412 +g1029 +tp1330 +a(g435 +Veach +p1331 +tp1332 +a(g705 +g1128 +tp1333 +a(g412 +g1130 +tp1334 +a(g435 +g1062 +tp1335 +a(g412 +g1130 +tp1336 +a(g435 +g1059 +tp1337 +a(g705 +g1022 +tp1338 +a(g435 +g1062 +tp1339 +a(g705 +g1026 +tp1340 +a(g412 +g1029 +tp1341 +a(g435 +g1115 +tp1342 +a(g705 +g1022 +tp1343 +a(g435 +g1083 +tp1344 +a(g705 +g1022 +tp1345 +a(g435 +g1062 +tp1346 +a(g705 +g1026 +tp1347 +a(g705 +g980 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g681 +g1024 +tp1351 +a(g705 +g1022 +tp1352 +a(g435 +g994 +tp1353 +a(g705 +g1026 +tp1354 +a(g705 +g1026 +tp1355 +a(g744 +Vrescue +p1356 +tp1357 +a(g705 +g1022 +tp1358 +a(g705 +g1026 +tp1359 +a(g705 +g1174 +tp1360 +a(g705 +g976 +tp1361 +a(g681 +Vself +p1362 +tp1363 +a(g705 +g976 +tp1364 +a(g744 +Vend +p1365 +tp1366 +a(g705 +g976 +tp1367 +a(g785 +Vprivate +p1368 +tp1369 +a(g705 +g976 +tp1370 +a(g744 +Vdef +p1371 +tp1372 +a(g826 +g1018 +tp1373 +a(g573 +g1024 +tp1374 +a(g705 +g1022 +tp1375 +a(g435 +g1083 +tp1376 +a(g412 +g1001 +tp1377 +a(g412 +g1035 +tp1378 +a(g412 +g1042 +tp1379 +a(g705 +g1026 +tp1380 +a(g705 +g976 +tp1381 +a(g435 +g999 +tp1382 +a(g412 +g1029 +tp1383 +a(g435 +Vpush +p1384 +tp1385 +a(g705 +g1022 +tp1386 +a(g412 +g997 +tp1387 +a(g435 +g1083 +tp1388 +a(g705 +g1026 +tp1389 +a(g412 +g1029 +tp1390 +a(g435 +Vuniq! +p1391 +tp1392 +a(g705 +g976 +tp1393 +a(g435 +g999 +tp1394 +a(g705 +g976 +tp1395 +a(g744 +Vend +p1396 +tp1397 +a(g705 +g1174 +tp1398 +a(g412 +g1029 +tp1399 +a(g435 +Vnew +p1400 +tp1401 +a(g412 +g1029 +tp1402 +a(g435 +g1317 +tp1403 +a(g705 +g976 +tp1404 +a(g681 +Vsleep +p1405 +tp1406 +a(g705 +g1026 +tp1407 +a(g826 +V\u000a +p1408 +tp1409 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/coq_RelationClasses b/tests/examplefiles/output/coq_RelationClasses new file mode 100644 index 0000000..cc56a42 --- /dev/null +++ b/tests/examplefiles/output/coq_RelationClasses @@ -0,0 +1,16992 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV(* +p956 +tp957 +a(g7 +V - +p958 +tp959 +a(g7 +V* +p960 +tp961 +a(g7 +V- coding: utf-8 - +p962 +tp963 +a(g7 +g960 +tp964 +a(g7 +V- +p965 +tp966 +a(g7 +V*) +p967 +tp968 +a(g822 +V\u000a +p969 +tp970 +a(g7 +V(* +p971 +tp972 +a(g7 +g960 +tp973 +a(g7 +g960 +tp974 +a(g7 +g960 +tp975 +a(g7 +g960 +tp976 +a(g7 +g960 +tp977 +a(g7 +g960 +tp978 +a(g7 +g960 +tp979 +a(g7 +g960 +tp980 +a(g7 +g960 +tp981 +a(g7 +g960 +tp982 +a(g7 +g960 +tp983 +a(g7 +g960 +tp984 +a(g7 +g960 +tp985 +a(g7 +g960 +tp986 +a(g7 +g960 +tp987 +a(g7 +g960 +tp988 +a(g7 +g960 +tp989 +a(g7 +g960 +tp990 +a(g7 +g960 +tp991 +a(g7 +g960 +tp992 +a(g7 +g960 +tp993 +a(g7 +g960 +tp994 +a(g7 +g960 +tp995 +a(g7 +g960 +tp996 +a(g7 +g960 +tp997 +a(g7 +g960 +tp998 +a(g7 +g960 +tp999 +a(g7 +g960 +tp1000 +a(g7 +g960 +tp1001 +a(g7 +g960 +tp1002 +a(g7 +g960 +tp1003 +a(g7 +g960 +tp1004 +a(g7 +g960 +tp1005 +a(g7 +g960 +tp1006 +a(g7 +g960 +tp1007 +a(g7 +g960 +tp1008 +a(g7 +g960 +tp1009 +a(g7 +g960 +tp1010 +a(g7 +g960 +tp1011 +a(g7 +g960 +tp1012 +a(g7 +g960 +tp1013 +a(g7 +g960 +tp1014 +a(g7 +g960 +tp1015 +a(g7 +g960 +tp1016 +a(g7 +g960 +tp1017 +a(g7 +g960 +tp1018 +a(g7 +g960 +tp1019 +a(g7 +g960 +tp1020 +a(g7 +g960 +tp1021 +a(g7 +g960 +tp1022 +a(g7 +g960 +tp1023 +a(g7 +g960 +tp1024 +a(g7 +g960 +tp1025 +a(g7 +g960 +tp1026 +a(g7 +g960 +tp1027 +a(g7 +g960 +tp1028 +a(g7 +g960 +tp1029 +a(g7 +g960 +tp1030 +a(g7 +g960 +tp1031 +a(g7 +g960 +tp1032 +a(g7 +g960 +tp1033 +a(g7 +g960 +tp1034 +a(g7 +g960 +tp1035 +a(g7 +g960 +tp1036 +a(g7 +g960 +tp1037 +a(g7 +g960 +tp1038 +a(g7 +g960 +tp1039 +a(g7 +g960 +tp1040 +a(g7 +g960 +tp1041 +a(g7 +g960 +tp1042 +a(g7 +V*) +p1043 +tp1044 +a(g822 +V\u000a +p1045 +tp1046 +a(g7 +V(* +p1047 +tp1048 +a(g7 +V v +p1049 +tp1050 +a(g7 +g960 +tp1051 +a(g7 +V The Coq Proof Assistant / The Coq Development Team +p1052 +tp1053 +a(g7 +V*) +p1054 +tp1055 +a(g822 +V\u000a +p1056 +tp1057 +a(g7 +V(* +p1058 +tp1059 +a(g7 +V +p1416 +tp1417 +a(g822 +g1239 +tp1418 +a(g431 +g1340 +tp1419 +a(g822 +g1239 +tp1420 +a(g431 +g1410 +tp1421 +a(g822 +g1239 +tp1422 +a(g431 +g1413 +tp1423 +a(g822 +g1239 +tp1424 +a(g408 +V-> +p1425 +tp1426 +a(g822 +g1239 +tp1427 +a(g431 +VFalse +p1428 +tp1429 +a(g408 +g1264 +tp1430 +a(g822 +V\u000a\u000a +p1431 +tp1432 +a(g7 +V(* +p1433 +tp1434 +a(g7 +g960 +tp1435 +a(g7 +V Opaque for proof-search. +p1436 +tp1437 +a(g7 +V*) +p1438 +tp1439 +a(g822 +V\u000a +p1440 +tp1441 +a(g431 +VTypeclasses +p1442 +tp1443 +a(g822 +g1239 +tp1444 +a(g431 +VOpaque +p1445 +tp1446 +a(g822 +g1239 +tp1447 +a(g431 +Vcomplement +p1448 +tp1449 +a(g408 +g1264 +tp1450 +a(g822 +V\u000a\u000a +p1451 +tp1452 +a(g7 +V(* +p1453 +tp1454 +a(g7 +g960 +tp1455 +a(g7 +V These are convertible. +p1456 +tp1457 +a(g7 +V*) +p1458 +tp1459 +a(g822 +V\u000a\u000a +p1460 +tp1461 +a(g766 +VLemma +p1462 +tp1463 +a(g822 +g1239 +tp1464 +a(g431 +Vcomplement_inverse +p1465 +tp1466 +a(g822 +g1239 +tp1467 +a(g408 +g1353 +tp1468 +a(g822 +g1239 +tp1469 +a(g740 +Vforall +p1470 +tp1471 +a(g822 +g1239 +tp1472 +a(g431 +g1381 +tp1473 +a(g822 +g1239 +tp1474 +a(g408 +g1346 +tp1475 +a(g431 +g1340 +tp1476 +a(g822 +g1239 +tp1477 +a(g408 +g1353 +tp1478 +a(g822 +g1239 +tp1479 +a(g431 +Vrelation +p1480 +tp1481 +a(g822 +g1239 +tp1482 +a(g431 +g1381 +tp1483 +a(g408 +g1360 +tp1484 +a(g408 +V, +p1485 +tp1486 +a(g822 +g1239 +tp1487 +a(g431 +Vcomplement +p1488 +tp1489 +a(g822 +g1239 +tp1490 +a(g408 +g1346 +tp1491 +a(g431 +Vinverse +p1492 +tp1493 +a(g822 +g1239 +tp1494 +a(g431 +g1340 +tp1495 +a(g408 +g1360 +tp1496 +a(g822 +g1239 +tp1497 +a(g408 +V= +p1498 +tp1499 +a(g822 +g1239 +tp1500 +a(g431 +Vinverse +p1501 +tp1502 +a(g822 +g1239 +tp1503 +a(g408 +g1346 +tp1504 +a(g431 +Vcomplement +p1505 +tp1506 +a(g822 +g1239 +tp1507 +a(g431 +g1340 +tp1508 +a(g408 +g1360 +tp1509 +a(g408 +g1264 +tp1510 +a(g822 +V\u000a +p1511 +tp1512 +a(g766 +VProof +p1513 +tp1514 +a(g408 +g1264 +tp1515 +a(g822 +g1239 +tp1516 +a(g781 +Vreflexivity +p1517 +tp1518 +a(g408 +g1264 +tp1519 +a(g822 +g1239 +tp1520 +a(g766 +VQed +p1521 +tp1522 +a(g408 +g1264 +tp1523 +a(g822 +V\u000a\u000a +p1524 +tp1525 +a(g7 +V(* +p1526 +tp1527 +a(g7 +g960 +tp1528 +a(g7 +V We rebind relations in separate classes to be able to overload each proof. +p1529 +tp1530 +a(g7 +V*) +p1531 +tp1532 +a(g822 +V\u000a\u000a +p1533 +tp1534 +a(g766 +VSet +p1535 +tp1536 +a(g822 +g1239 +tp1537 +a(g766 +VImplicit +p1538 +tp1539 +a(g822 +g1239 +tp1540 +a(g766 +VArguments +p1541 +tp1542 +a(g408 +g1264 +tp1543 +a(g822 +V\u000a +p1544 +tp1545 +a(g766 +VUnset +p1546 +tp1547 +a(g822 +g1239 +tp1548 +a(g766 +VStrict +p1549 +tp1550 +a(g822 +g1239 +tp1551 +a(g766 +VImplicit +p1552 +tp1553 +a(g408 +g1264 +tp1554 +a(g822 +V\u000a\u000a +p1555 +tp1556 +a(g766 +VClass +p1557 +tp1558 +a(g822 +g1239 +tp1559 +a(g431 +VReflexive +p1560 +tp1561 +a(g822 +g1239 +tp1562 +a(g408 +g1379 +tp1563 +a(g431 +g1381 +tp1564 +a(g408 +g1383 +tp1565 +a(g822 +g1239 +tp1566 +a(g408 +g1346 +tp1567 +a(g431 +g1340 +tp1568 +a(g822 +g1239 +tp1569 +a(g408 +g1353 +tp1570 +a(g822 +g1239 +tp1571 +a(g431 +Vrelation +p1572 +tp1573 +a(g822 +g1239 +tp1574 +a(g431 +g1381 +tp1575 +a(g408 +g1360 +tp1576 +a(g822 +g1239 +tp1577 +a(g408 +V:= +p1578 +tp1579 +a(g822 +V\u000a +p1580 +tp1581 +a(g781 +Vreflexivity +p1582 +tp1583 +a(g822 +g1239 +tp1584 +a(g408 +g1353 +tp1585 +a(g822 +g1239 +tp1586 +a(g740 +Vforall +p1587 +tp1588 +a(g822 +g1239 +tp1589 +a(g431 +g1410 +tp1590 +a(g408 +g1485 +tp1591 +a(g822 +g1239 +tp1592 +a(g431 +g1340 +tp1593 +a(g822 +g1239 +tp1594 +a(g431 +g1410 +tp1595 +a(g822 +g1239 +tp1596 +a(g431 +g1410 +tp1597 +a(g408 +g1264 +tp1598 +a(g822 +V\u000a\u000a +p1599 +tp1600 +a(g766 +VClass +p1601 +tp1602 +a(g822 +g1239 +tp1603 +a(g431 +VIrreflexive +p1604 +tp1605 +a(g822 +g1239 +tp1606 +a(g408 +g1379 +tp1607 +a(g431 +g1381 +tp1608 +a(g408 +g1383 +tp1609 +a(g822 +g1239 +tp1610 +a(g408 +g1346 +tp1611 +a(g431 +g1340 +tp1612 +a(g822 +g1239 +tp1613 +a(g408 +g1353 +tp1614 +a(g822 +g1239 +tp1615 +a(g431 +Vrelation +p1616 +tp1617 +a(g822 +g1239 +tp1618 +a(g431 +g1381 +tp1619 +a(g408 +g1360 +tp1620 +a(g822 +g1239 +tp1621 +a(g408 +V:= +p1622 +tp1623 +a(g822 +V\u000a +p1624 +tp1625 +a(g431 +Virreflexivity +p1626 +tp1627 +a(g822 +g1239 +tp1628 +a(g408 +g1353 +tp1629 +a(g822 +g1239 +tp1630 +a(g431 +VReflexive +p1631 +tp1632 +a(g822 +g1239 +tp1633 +a(g408 +g1346 +tp1634 +a(g431 +Vcomplement +p1635 +tp1636 +a(g822 +g1239 +tp1637 +a(g431 +g1340 +tp1638 +a(g408 +g1360 +tp1639 +a(g408 +g1264 +tp1640 +a(g822 +V\u000a\u000a +p1641 +tp1642 +a(g766 +VHint +p1643 +tp1644 +a(g822 +g1239 +tp1645 +a(g431 +VExtern +p1646 +tp1647 +a(g822 +g1239 +tp1648 +a(g32 +V1 +p1649 +tp1650 +a(g822 +g1239 +tp1651 +a(g408 +g1346 +tp1652 +a(g431 +VReflexive +p1653 +tp1654 +a(g822 +g1239 +tp1655 +a(g408 +g1346 +tp1656 +a(g431 +Vcomplement +p1657 +tp1658 +a(g822 +g1239 +tp1659 +a(g408 +g1358 +tp1660 +a(g408 +g1360 +tp1661 +a(g408 +g1360 +tp1662 +a(g822 +g1239 +tp1663 +a(g408 +V=> +p1664 +tp1665 +a(g822 +g1239 +tp1666 +a(g431 +Vclass_apply +p1667 +tp1668 +a(g822 +g1239 +tp1669 +a(g408 +V@ +p1670 +tp1671 +a(g431 +Virreflexivity +p1672 +tp1673 +a(g822 +g1239 +tp1674 +a(g408 +g1353 +tp1675 +a(g822 +g1239 +tp1676 +a(g431 +Vtypeclass_instances +p1677 +tp1678 +a(g408 +g1264 +tp1679 +a(g822 +V\u000a\u000a +p1680 +tp1681 +a(g766 +VClass +p1682 +tp1683 +a(g822 +g1239 +tp1684 +a(g431 +VSymmetric +p1685 +tp1686 +a(g822 +g1239 +tp1687 +a(g408 +g1379 +tp1688 +a(g431 +g1381 +tp1689 +a(g408 +g1383 +tp1690 +a(g822 +g1239 +tp1691 +a(g408 +g1346 +tp1692 +a(g431 +g1340 +tp1693 +a(g822 +g1239 +tp1694 +a(g408 +g1353 +tp1695 +a(g822 +g1239 +tp1696 +a(g431 +Vrelation +p1697 +tp1698 +a(g822 +g1239 +tp1699 +a(g431 +g1381 +tp1700 +a(g408 +g1360 +tp1701 +a(g822 +g1239 +tp1702 +a(g408 +V:= +p1703 +tp1704 +a(g822 +V\u000a +p1705 +tp1706 +a(g740 +Vsymmetry +p1707 +tp1708 +a(g822 +g1239 +tp1709 +a(g408 +g1353 +tp1710 +a(g822 +g1239 +tp1711 +a(g740 +Vforall +p1712 +tp1713 +a(g822 +g1239 +tp1714 +a(g431 +g1410 +tp1715 +a(g822 +g1239 +tp1716 +a(g431 +g1413 +tp1717 +a(g408 +g1485 +tp1718 +a(g822 +g1239 +tp1719 +a(g431 +g1340 +tp1720 +a(g822 +g1239 +tp1721 +a(g431 +g1410 +tp1722 +a(g822 +g1239 +tp1723 +a(g431 +g1413 +tp1724 +a(g822 +g1239 +tp1725 +a(g408 +V-> +p1726 +tp1727 +a(g822 +g1239 +tp1728 +a(g431 +g1340 +tp1729 +a(g822 +g1239 +tp1730 +a(g431 +g1413 +tp1731 +a(g822 +g1239 +tp1732 +a(g431 +g1410 +tp1733 +a(g408 +g1264 +tp1734 +a(g822 +V\u000a\u000a +p1735 +tp1736 +a(g766 +VClass +p1737 +tp1738 +a(g822 +g1239 +tp1739 +a(g431 +VAsymmetric +p1740 +tp1741 +a(g822 +g1239 +tp1742 +a(g408 +g1379 +tp1743 +a(g431 +g1381 +tp1744 +a(g408 +g1383 +tp1745 +a(g822 +g1239 +tp1746 +a(g408 +g1346 +tp1747 +a(g431 +g1340 +tp1748 +a(g822 +g1239 +tp1749 +a(g408 +g1353 +tp1750 +a(g822 +g1239 +tp1751 +a(g431 +Vrelation +p1752 +tp1753 +a(g822 +g1239 +tp1754 +a(g431 +g1381 +tp1755 +a(g408 +g1360 +tp1756 +a(g822 +g1239 +tp1757 +a(g408 +V:= +p1758 +tp1759 +a(g822 +V\u000a +p1760 +tp1761 +a(g431 +Vasymmetry +p1762 +tp1763 +a(g822 +g1239 +tp1764 +a(g408 +g1353 +tp1765 +a(g822 +g1239 +tp1766 +a(g740 +Vforall +p1767 +tp1768 +a(g822 +g1239 +tp1769 +a(g431 +g1410 +tp1770 +a(g822 +g1239 +tp1771 +a(g431 +g1413 +tp1772 +a(g408 +g1485 +tp1773 +a(g822 +g1239 +tp1774 +a(g431 +g1340 +tp1775 +a(g822 +g1239 +tp1776 +a(g431 +g1410 +tp1777 +a(g822 +g1239 +tp1778 +a(g431 +g1413 +tp1779 +a(g822 +g1239 +tp1780 +a(g408 +V-> +p1781 +tp1782 +a(g822 +g1239 +tp1783 +a(g431 +g1340 +tp1784 +a(g822 +g1239 +tp1785 +a(g431 +g1413 +tp1786 +a(g822 +g1239 +tp1787 +a(g431 +g1410 +tp1788 +a(g822 +g1239 +tp1789 +a(g408 +V-> +p1790 +tp1791 +a(g822 +g1239 +tp1792 +a(g431 +VFalse +p1793 +tp1794 +a(g408 +g1264 +tp1795 +a(g822 +V\u000a\u000a +p1796 +tp1797 +a(g766 +VClass +p1798 +tp1799 +a(g822 +g1239 +tp1800 +a(g431 +VTransitive +p1801 +tp1802 +a(g822 +g1239 +tp1803 +a(g408 +g1379 +tp1804 +a(g431 +g1381 +tp1805 +a(g408 +g1383 +tp1806 +a(g822 +g1239 +tp1807 +a(g408 +g1346 +tp1808 +a(g431 +g1340 +tp1809 +a(g822 +g1239 +tp1810 +a(g408 +g1353 +tp1811 +a(g822 +g1239 +tp1812 +a(g431 +Vrelation +p1813 +tp1814 +a(g822 +g1239 +tp1815 +a(g431 +g1381 +tp1816 +a(g408 +g1360 +tp1817 +a(g822 +g1239 +tp1818 +a(g408 +V:= +p1819 +tp1820 +a(g822 +V\u000a +p1821 +tp1822 +a(g740 +Vtransitivity +p1823 +tp1824 +a(g822 +g1239 +tp1825 +a(g408 +g1353 +tp1826 +a(g822 +g1239 +tp1827 +a(g740 +Vforall +p1828 +tp1829 +a(g822 +g1239 +tp1830 +a(g431 +g1410 +tp1831 +a(g822 +g1239 +tp1832 +a(g431 +g1413 +tp1833 +a(g822 +g1239 +tp1834 +a(g431 +Vz +p1835 +tp1836 +a(g408 +g1485 +tp1837 +a(g822 +g1239 +tp1838 +a(g431 +g1340 +tp1839 +a(g822 +g1239 +tp1840 +a(g431 +g1410 +tp1841 +a(g822 +g1239 +tp1842 +a(g431 +g1413 +tp1843 +a(g822 +g1239 +tp1844 +a(g408 +V-> +p1845 +tp1846 +a(g822 +g1239 +tp1847 +a(g431 +g1340 +tp1848 +a(g822 +g1239 +tp1849 +a(g431 +g1413 +tp1850 +a(g822 +g1239 +tp1851 +a(g431 +g1835 +tp1852 +a(g822 +g1239 +tp1853 +a(g408 +V-> +p1854 +tp1855 +a(g822 +g1239 +tp1856 +a(g431 +g1340 +tp1857 +a(g822 +g1239 +tp1858 +a(g431 +g1410 +tp1859 +a(g822 +g1239 +tp1860 +a(g431 +g1835 +tp1861 +a(g408 +g1264 +tp1862 +a(g822 +V\u000a\u000a +p1863 +tp1864 +a(g766 +VHint +p1865 +tp1866 +a(g822 +g1239 +tp1867 +a(g766 +VResolve +p1868 +tp1869 +a(g822 +g1239 +tp1870 +a(g408 +g1670 +tp1871 +a(g431 +Virreflexivity +p1872 +tp1873 +a(g822 +g1239 +tp1874 +a(g408 +g1353 +tp1875 +a(g822 +g1239 +tp1876 +a(g431 +Vord +p1877 +tp1878 +a(g408 +g1264 +tp1879 +a(g822 +V\u000a\u000a +p1880 +tp1881 +a(g766 +VUnset +p1882 +tp1883 +a(g822 +g1239 +tp1884 +a(g766 +VImplicit +p1885 +tp1886 +a(g822 +g1239 +tp1887 +a(g766 +VArguments +p1888 +tp1889 +a(g408 +g1264 +tp1890 +a(g822 +V\u000a\u000a +p1891 +tp1892 +a(g7 +V(* +p1893 +tp1894 +a(g7 +g960 +tp1895 +a(g7 +V A HintDb for relations. +p1896 +tp1897 +a(g7 +V*) +p1898 +tp1899 +a(g822 +V\u000a\u000a +p1900 +tp1901 +a(g766 +VLtac +p1902 +tp1903 +a(g822 +g1239 +tp1904 +a(g431 +Vsolve_relation +p1905 +tp1906 +a(g822 +g1239 +tp1907 +a(g408 +V:= +p1908 +tp1909 +a(g822 +V\u000a +p1910 +tp1911 +a(g740 +Vmatch +p1912 +tp1913 +a(g822 +g1239 +tp1914 +a(g431 +Vgoal +p1915 +tp1916 +a(g822 +g1239 +tp1917 +a(g740 +Vwith +p1918 +tp1919 +a(g822 +V\u000a +p1920 +tp1921 +a(g408 +V| +p1922 +tp1923 +a(g822 +g1239 +tp1924 +a(g408 +V[ +p1925 +tp1926 +a(g822 +g1239 +tp1927 +a(g408 +g1922 +tp1928 +a(g408 +V- +p1929 +tp1930 +a(g822 +g1239 +tp1931 +a(g408 +V? +p1932 +tp1933 +a(g431 +g1340 +tp1934 +a(g822 +g1239 +tp1935 +a(g408 +g1932 +tp1936 +a(g431 +g1410 +tp1937 +a(g822 +g1239 +tp1938 +a(g408 +g1932 +tp1939 +a(g431 +g1410 +tp1940 +a(g822 +g1239 +tp1941 +a(g408 +V] +p1942 +tp1943 +a(g822 +g1239 +tp1944 +a(g408 +V=> +p1945 +tp1946 +a(g822 +g1239 +tp1947 +a(g781 +Vreflexivity +p1948 +tp1949 +a(g822 +V\u000a +p1950 +tp1951 +a(g408 +g1922 +tp1952 +a(g822 +g1239 +tp1953 +a(g408 +g1925 +tp1954 +a(g822 +g1239 +tp1955 +a(g431 +VH +p1956 +tp1957 +a(g822 +g1239 +tp1958 +a(g408 +g1353 +tp1959 +a(g822 +g1239 +tp1960 +a(g408 +g1932 +tp1961 +a(g431 +g1340 +tp1962 +a(g822 +g1239 +tp1963 +a(g408 +g1932 +tp1964 +a(g431 +g1410 +tp1965 +a(g822 +g1239 +tp1966 +a(g408 +g1932 +tp1967 +a(g431 +g1413 +tp1968 +a(g822 +g1239 +tp1969 +a(g408 +g1922 +tp1970 +a(g408 +g1929 +tp1971 +a(g822 +g1239 +tp1972 +a(g408 +g1932 +tp1973 +a(g431 +g1340 +tp1974 +a(g822 +g1239 +tp1975 +a(g408 +g1932 +tp1976 +a(g431 +g1413 +tp1977 +a(g822 +g1239 +tp1978 +a(g408 +g1932 +tp1979 +a(g431 +g1410 +tp1980 +a(g822 +g1239 +tp1981 +a(g408 +g1942 +tp1982 +a(g822 +g1239 +tp1983 +a(g408 +V=> +p1984 +tp1985 +a(g822 +g1239 +tp1986 +a(g740 +Vsymmetry +p1987 +tp1988 +a(g822 +g1239 +tp1989 +a(g408 +V; +p1990 +tp1991 +a(g822 +g1239 +tp1992 +a(g781 +Vexact +p1993 +tp1994 +a(g822 +g1239 +tp1995 +a(g431 +g1956 +tp1996 +a(g822 +V\u000a +p1997 +tp1998 +a(g740 +Vend +p1999 +tp2000 +a(g408 +g1264 +tp2001 +a(g822 +V\u000a\u000a +p2002 +tp2003 +a(g766 +VHint +p2004 +tp2005 +a(g822 +g1239 +tp2006 +a(g431 +VExtern +p2007 +tp2008 +a(g822 +g1239 +tp2009 +a(g32 +V4 +p2010 +tp2011 +a(g822 +g1239 +tp2012 +a(g408 +V=> +p2013 +tp2014 +a(g822 +g1239 +tp2015 +a(g431 +Vsolve_relation +p2016 +tp2017 +a(g822 +g1239 +tp2018 +a(g408 +g1353 +tp2019 +a(g822 +g1239 +tp2020 +a(g431 +Vrelations +p2021 +tp2022 +a(g408 +g1264 +tp2023 +a(g822 +V\u000a\u000a +p2024 +tp2025 +a(g7 +V(* +p2026 +tp2027 +a(g7 +g960 +tp2028 +a(g7 +V We can already dualize all these properties. +p2029 +tp2030 +a(g7 +V*) +p2031 +tp2032 +a(g822 +V\u000a\u000a +p2033 +tp2034 +a(g431 +VGeneralizable +p2035 +tp2036 +a(g822 +g1239 +tp2037 +a(g766 +VVariables +p2038 +tp2039 +a(g822 +g1239 +tp2040 +a(g431 +g1381 +tp2041 +a(g822 +g1239 +tp2042 +a(g431 +VB +p2043 +tp2044 +a(g822 +g1239 +tp2045 +a(g431 +VC +p2046 +tp2047 +a(g822 +g1239 +tp2048 +a(g431 +VD +p2049 +tp2050 +a(g822 +g1239 +tp2051 +a(g431 +g1340 +tp2052 +a(g822 +g1239 +tp2053 +a(g431 +VS +p2054 +tp2055 +a(g822 +g1239 +tp2056 +a(g431 +VT +p2057 +tp2058 +a(g822 +g1239 +tp2059 +a(g431 +VU +p2060 +tp2061 +a(g822 +g1239 +tp2062 +a(g431 +Vl +p2063 +tp2064 +a(g822 +g1239 +tp2065 +a(g431 +VeqA +p2066 +tp2067 +a(g822 +g1239 +tp2068 +a(g431 +VeqB +p2069 +tp2070 +a(g822 +g1239 +tp2071 +a(g431 +VeqC +p2072 +tp2073 +a(g822 +g1239 +tp2074 +a(g431 +VeqD +p2075 +tp2076 +a(g408 +g1264 +tp2077 +a(g822 +V\u000a\u000a +p2078 +tp2079 +a(g766 +VLemma +p2080 +tp2081 +a(g822 +g1239 +tp2082 +a(g431 +Vflip_Reflexive +p2083 +tp2084 +a(g822 +g1239 +tp2085 +a(g408 +V` +p2086 +tp2087 +a(g408 +g1379 +tp2088 +a(g431 +VReflexive +p2089 +tp2090 +a(g822 +g1239 +tp2091 +a(g431 +g1381 +tp2092 +a(g822 +g1239 +tp2093 +a(g431 +g1340 +tp2094 +a(g408 +g1383 +tp2095 +a(g822 +g1239 +tp2096 +a(g408 +g1353 +tp2097 +a(g822 +g1239 +tp2098 +a(g431 +VReflexive +p2099 +tp2100 +a(g822 +g1239 +tp2101 +a(g408 +g1346 +tp2102 +a(g431 +Vflip +p2103 +tp2104 +a(g822 +g1239 +tp2105 +a(g431 +g1340 +tp2106 +a(g408 +g1360 +tp2107 +a(g408 +g1264 +tp2108 +a(g822 +V\u000a +p2109 +tp2110 +a(g766 +VProof +p2111 +tp2112 +a(g408 +g1264 +tp2113 +a(g822 +g1239 +tp2114 +a(g740 +Vtauto +p2115 +tp2116 +a(g408 +g1264 +tp2117 +a(g822 +g1239 +tp2118 +a(g766 +VQed +p2119 +tp2120 +a(g408 +g1264 +tp2121 +a(g822 +V\u000a\u000a +p2122 +tp2123 +a(g766 +VHint +p2124 +tp2125 +a(g822 +g1239 +tp2126 +a(g431 +VExtern +p2127 +tp2128 +a(g822 +g1239 +tp2129 +a(g32 +V3 +p2130 +tp2131 +a(g822 +g1239 +tp2132 +a(g408 +g1346 +tp2133 +a(g431 +VReflexive +p2134 +tp2135 +a(g822 +g1239 +tp2136 +a(g408 +g1346 +tp2137 +a(g431 +Vflip +p2138 +tp2139 +a(g822 +g1239 +tp2140 +a(g408 +g1358 +tp2141 +a(g408 +g1360 +tp2142 +a(g408 +g1360 +tp2143 +a(g822 +g1239 +tp2144 +a(g408 +V=> +p2145 +tp2146 +a(g822 +g1239 +tp2147 +a(g740 +Vapply +p2148 +tp2149 +a(g822 +g1239 +tp2150 +a(g431 +Vflip_Reflexive +p2151 +tp2152 +a(g822 +g1239 +tp2153 +a(g408 +g1353 +tp2154 +a(g822 +g1239 +tp2155 +a(g431 +Vtypeclass_instances +p2156 +tp2157 +a(g408 +g1264 +tp2158 +a(g822 +V\u000a\u000a +p2159 +tp2160 +a(g431 +VProgram +p2161 +tp2162 +a(g822 +g1239 +tp2163 +a(g766 +VDefinition +p2164 +tp2165 +a(g822 +g1239 +tp2166 +a(g431 +Vflip_Irreflexive +p2167 +tp2168 +a(g822 +g1239 +tp2169 +a(g408 +g2086 +tp2170 +a(g408 +g1346 +tp2171 +a(g431 +VIrreflexive +p2172 +tp2173 +a(g822 +g1239 +tp2174 +a(g431 +g1381 +tp2175 +a(g822 +g1239 +tp2176 +a(g431 +g1340 +tp2177 +a(g408 +g1360 +tp2178 +a(g822 +g1239 +tp2179 +a(g408 +g1353 +tp2180 +a(g822 +g1239 +tp2181 +a(g431 +VIrreflexive +p2182 +tp2183 +a(g822 +g1239 +tp2184 +a(g408 +g1346 +tp2185 +a(g431 +Vflip +p2186 +tp2187 +a(g822 +g1239 +tp2188 +a(g431 +g1340 +tp2189 +a(g408 +g1360 +tp2190 +a(g822 +g1239 +tp2191 +a(g408 +V:= +p2192 +tp2193 +a(g822 +V\u000a +p2194 +tp2195 +a(g431 +Virreflexivity +p2196 +tp2197 +a(g822 +g1239 +tp2198 +a(g408 +g1346 +tp2199 +a(g431 +g1340 +tp2200 +a(g408 +V:= +p2201 +tp2202 +a(g431 +g1340 +tp2203 +a(g408 +g1360 +tp2204 +a(g408 +g1264 +tp2205 +a(g822 +V\u000a\u000a +p2206 +tp2207 +a(g431 +VProgram +p2208 +tp2209 +a(g822 +g1239 +tp2210 +a(g766 +VDefinition +p2211 +tp2212 +a(g822 +g1239 +tp2213 +a(g431 +Vflip_Symmetric +p2214 +tp2215 +a(g822 +g1239 +tp2216 +a(g408 +g2086 +tp2217 +a(g408 +g1346 +tp2218 +a(g431 +VSymmetric +p2219 +tp2220 +a(g822 +g1239 +tp2221 +a(g431 +g1381 +tp2222 +a(g822 +g1239 +tp2223 +a(g431 +g1340 +tp2224 +a(g408 +g1360 +tp2225 +a(g822 +g1239 +tp2226 +a(g408 +g1353 +tp2227 +a(g822 +g1239 +tp2228 +a(g431 +VSymmetric +p2229 +tp2230 +a(g822 +g1239 +tp2231 +a(g408 +g1346 +tp2232 +a(g431 +Vflip +p2233 +tp2234 +a(g822 +g1239 +tp2235 +a(g431 +g1340 +tp2236 +a(g408 +g1360 +tp2237 +a(g822 +g1239 +tp2238 +a(g408 +V:= +p2239 +tp2240 +a(g822 +V\u000a +p2241 +tp2242 +a(g740 +Vfun +p2243 +tp2244 +a(g822 +g1239 +tp2245 +a(g431 +g1410 +tp2246 +a(g822 +g1239 +tp2247 +a(g431 +g1413 +tp2248 +a(g822 +g1239 +tp2249 +a(g431 +g1956 +tp2250 +a(g822 +g1239 +tp2251 +a(g408 +V=> +p2252 +tp2253 +a(g822 +g1239 +tp2254 +a(g740 +Vsymmetry +p2255 +tp2256 +a(g822 +g1239 +tp2257 +a(g408 +g1346 +tp2258 +a(g431 +g1340 +tp2259 +a(g408 +V:= +p2260 +tp2261 +a(g431 +g1340 +tp2262 +a(g408 +g1360 +tp2263 +a(g822 +g1239 +tp2264 +a(g431 +g1956 +tp2265 +a(g408 +g1264 +tp2266 +a(g822 +V\u000a\u000a +p2267 +tp2268 +a(g431 +VProgram +p2269 +tp2270 +a(g822 +g1239 +tp2271 +a(g766 +VDefinition +p2272 +tp2273 +a(g822 +g1239 +tp2274 +a(g431 +Vflip_Asymmetric +p2275 +tp2276 +a(g822 +g1239 +tp2277 +a(g408 +g2086 +tp2278 +a(g408 +g1346 +tp2279 +a(g431 +VAsymmetric +p2280 +tp2281 +a(g822 +g1239 +tp2282 +a(g431 +g1381 +tp2283 +a(g822 +g1239 +tp2284 +a(g431 +g1340 +tp2285 +a(g408 +g1360 +tp2286 +a(g822 +g1239 +tp2287 +a(g408 +g1353 +tp2288 +a(g822 +g1239 +tp2289 +a(g431 +VAsymmetric +p2290 +tp2291 +a(g822 +g1239 +tp2292 +a(g408 +g1346 +tp2293 +a(g431 +Vflip +p2294 +tp2295 +a(g822 +g1239 +tp2296 +a(g431 +g1340 +tp2297 +a(g408 +g1360 +tp2298 +a(g822 +g1239 +tp2299 +a(g408 +V:= +p2300 +tp2301 +a(g822 +V\u000a +p2302 +tp2303 +a(g740 +Vfun +p2304 +tp2305 +a(g822 +g1239 +tp2306 +a(g431 +g1410 +tp2307 +a(g822 +g1239 +tp2308 +a(g431 +g1413 +tp2309 +a(g822 +g1239 +tp2310 +a(g431 +g1956 +tp2311 +a(g822 +g1239 +tp2312 +a(g431 +VH' +p2313 +tp2314 +a(g822 +g1239 +tp2315 +a(g408 +V=> +p2316 +tp2317 +a(g822 +g1239 +tp2318 +a(g431 +Vasymmetry +p2319 +tp2320 +a(g822 +g1239 +tp2321 +a(g408 +g1346 +tp2322 +a(g431 +g1340 +tp2323 +a(g408 +V:= +p2324 +tp2325 +a(g431 +g1340 +tp2326 +a(g408 +g1360 +tp2327 +a(g822 +g1239 +tp2328 +a(g431 +g1956 +tp2329 +a(g822 +g1239 +tp2330 +a(g431 +VH' +p2331 +tp2332 +a(g408 +g1264 +tp2333 +a(g822 +V\u000a\u000a +p2334 +tp2335 +a(g431 +VProgram +p2336 +tp2337 +a(g822 +g1239 +tp2338 +a(g766 +VDefinition +p2339 +tp2340 +a(g822 +g1239 +tp2341 +a(g431 +Vflip_Transitive +p2342 +tp2343 +a(g822 +g1239 +tp2344 +a(g408 +g2086 +tp2345 +a(g408 +g1346 +tp2346 +a(g431 +VTransitive +p2347 +tp2348 +a(g822 +g1239 +tp2349 +a(g431 +g1381 +tp2350 +a(g822 +g1239 +tp2351 +a(g431 +g1340 +tp2352 +a(g408 +g1360 +tp2353 +a(g822 +g1239 +tp2354 +a(g408 +g1353 +tp2355 +a(g822 +g1239 +tp2356 +a(g431 +VTransitive +p2357 +tp2358 +a(g822 +g1239 +tp2359 +a(g408 +g1346 +tp2360 +a(g431 +Vflip +p2361 +tp2362 +a(g822 +g1239 +tp2363 +a(g431 +g1340 +tp2364 +a(g408 +g1360 +tp2365 +a(g822 +g1239 +tp2366 +a(g408 +V:= +p2367 +tp2368 +a(g822 +V\u000a +p2369 +tp2370 +a(g740 +Vfun +p2371 +tp2372 +a(g822 +g1239 +tp2373 +a(g431 +g1410 +tp2374 +a(g822 +g1239 +tp2375 +a(g431 +g1413 +tp2376 +a(g822 +g1239 +tp2377 +a(g431 +g1835 +tp2378 +a(g822 +g1239 +tp2379 +a(g431 +g1956 +tp2380 +a(g822 +g1239 +tp2381 +a(g431 +VH' +p2382 +tp2383 +a(g822 +g1239 +tp2384 +a(g408 +V=> +p2385 +tp2386 +a(g822 +g1239 +tp2387 +a(g740 +Vtransitivity +p2388 +tp2389 +a(g822 +g1239 +tp2390 +a(g408 +g1346 +tp2391 +a(g431 +g1340 +tp2392 +a(g408 +V:= +p2393 +tp2394 +a(g431 +g1340 +tp2395 +a(g408 +g1360 +tp2396 +a(g822 +g1239 +tp2397 +a(g431 +VH' +p2398 +tp2399 +a(g822 +g1239 +tp2400 +a(g431 +g1956 +tp2401 +a(g408 +g1264 +tp2402 +a(g822 +V\u000a\u000a +p2403 +tp2404 +a(g766 +VHint +p2405 +tp2406 +a(g822 +g1239 +tp2407 +a(g431 +VExtern +p2408 +tp2409 +a(g822 +g1239 +tp2410 +a(g32 +g2130 +tp2411 +a(g822 +g1239 +tp2412 +a(g408 +g1346 +tp2413 +a(g431 +VIrreflexive +p2414 +tp2415 +a(g822 +g1239 +tp2416 +a(g408 +g1346 +tp2417 +a(g431 +Vflip +p2418 +tp2419 +a(g822 +g1239 +tp2420 +a(g408 +g1358 +tp2421 +a(g408 +g1360 +tp2422 +a(g408 +g1360 +tp2423 +a(g822 +g1239 +tp2424 +a(g408 +V=> +p2425 +tp2426 +a(g822 +g1239 +tp2427 +a(g431 +Vclass_apply +p2428 +tp2429 +a(g822 +g1239 +tp2430 +a(g431 +Vflip_Irreflexive +p2431 +tp2432 +a(g822 +g1239 +tp2433 +a(g408 +g1353 +tp2434 +a(g822 +g1239 +tp2435 +a(g431 +Vtypeclass_instances +p2436 +tp2437 +a(g408 +g1264 +tp2438 +a(g822 +V\u000a +p2439 +tp2440 +a(g766 +VHint +p2441 +tp2442 +a(g822 +g1239 +tp2443 +a(g431 +VExtern +p2444 +tp2445 +a(g822 +g1239 +tp2446 +a(g32 +g2130 +tp2447 +a(g822 +g1239 +tp2448 +a(g408 +g1346 +tp2449 +a(g431 +VSymmetric +p2450 +tp2451 +a(g822 +g1239 +tp2452 +a(g408 +g1346 +tp2453 +a(g431 +Vflip +p2454 +tp2455 +a(g822 +g1239 +tp2456 +a(g408 +g1358 +tp2457 +a(g408 +g1360 +tp2458 +a(g408 +g1360 +tp2459 +a(g822 +g1239 +tp2460 +a(g408 +V=> +p2461 +tp2462 +a(g822 +g1239 +tp2463 +a(g431 +Vclass_apply +p2464 +tp2465 +a(g822 +g1239 +tp2466 +a(g431 +Vflip_Symmetric +p2467 +tp2468 +a(g822 +g1239 +tp2469 +a(g408 +g1353 +tp2470 +a(g822 +g1239 +tp2471 +a(g431 +Vtypeclass_instances +p2472 +tp2473 +a(g408 +g1264 +tp2474 +a(g822 +V\u000a +p2475 +tp2476 +a(g766 +VHint +p2477 +tp2478 +a(g822 +g1239 +tp2479 +a(g431 +VExtern +p2480 +tp2481 +a(g822 +g1239 +tp2482 +a(g32 +g2130 +tp2483 +a(g822 +g1239 +tp2484 +a(g408 +g1346 +tp2485 +a(g431 +VAsymmetric +p2486 +tp2487 +a(g822 +g1239 +tp2488 +a(g408 +g1346 +tp2489 +a(g431 +Vflip +p2490 +tp2491 +a(g822 +g1239 +tp2492 +a(g408 +g1358 +tp2493 +a(g408 +g1360 +tp2494 +a(g408 +g1360 +tp2495 +a(g822 +g1239 +tp2496 +a(g408 +V=> +p2497 +tp2498 +a(g822 +g1239 +tp2499 +a(g431 +Vclass_apply +p2500 +tp2501 +a(g822 +g1239 +tp2502 +a(g431 +Vflip_Asymmetric +p2503 +tp2504 +a(g822 +g1239 +tp2505 +a(g408 +g1353 +tp2506 +a(g822 +g1239 +tp2507 +a(g431 +Vtypeclass_instances +p2508 +tp2509 +a(g408 +g1264 +tp2510 +a(g822 +V\u000a +p2511 +tp2512 +a(g766 +VHint +p2513 +tp2514 +a(g822 +g1239 +tp2515 +a(g431 +VExtern +p2516 +tp2517 +a(g822 +g1239 +tp2518 +a(g32 +g2130 +tp2519 +a(g822 +g1239 +tp2520 +a(g408 +g1346 +tp2521 +a(g431 +VTransitive +p2522 +tp2523 +a(g822 +g1239 +tp2524 +a(g408 +g1346 +tp2525 +a(g431 +Vflip +p2526 +tp2527 +a(g822 +g1239 +tp2528 +a(g408 +g1358 +tp2529 +a(g408 +g1360 +tp2530 +a(g408 +g1360 +tp2531 +a(g822 +g1239 +tp2532 +a(g408 +V=> +p2533 +tp2534 +a(g822 +g1239 +tp2535 +a(g431 +Vclass_apply +p2536 +tp2537 +a(g822 +g1239 +tp2538 +a(g431 +Vflip_Transitive +p2539 +tp2540 +a(g822 +g1239 +tp2541 +a(g408 +g1353 +tp2542 +a(g822 +g1239 +tp2543 +a(g431 +Vtypeclass_instances +p2544 +tp2545 +a(g408 +g1264 +tp2546 +a(g822 +V\u000a\u000a +p2547 +tp2548 +a(g766 +VDefinition +p2549 +tp2550 +a(g822 +g1239 +tp2551 +a(g431 +VReflexive_complement_Irreflexive +p2552 +tp2553 +a(g822 +g1239 +tp2554 +a(g408 +g2086 +tp2555 +a(g408 +g1346 +tp2556 +a(g431 +VReflexive +p2557 +tp2558 +a(g822 +g1239 +tp2559 +a(g431 +g1381 +tp2560 +a(g822 +g1239 +tp2561 +a(g408 +g1346 +tp2562 +a(g431 +g1340 +tp2563 +a(g822 +g1239 +tp2564 +a(g408 +g1353 +tp2565 +a(g822 +g1239 +tp2566 +a(g431 +Vrelation +p2567 +tp2568 +a(g822 +g1239 +tp2569 +a(g431 +g1381 +tp2570 +a(g408 +g1360 +tp2571 +a(g408 +g1360 +tp2572 +a(g822 +V\u000a +p2573 +tp2574 +a(g408 +g1353 +tp2575 +a(g822 +g1239 +tp2576 +a(g431 +VIrreflexive +p2577 +tp2578 +a(g822 +g1239 +tp2579 +a(g408 +g1346 +tp2580 +a(g431 +Vcomplement +p2581 +tp2582 +a(g822 +g1239 +tp2583 +a(g431 +g1340 +tp2584 +a(g408 +g1360 +tp2585 +a(g408 +g1264 +tp2586 +a(g822 +V\u000a +p2587 +tp2588 +a(g766 +VProof +p2589 +tp2590 +a(g408 +g1264 +tp2591 +a(g822 +g1239 +tp2592 +a(g431 +Vfirstorder +p2593 +tp2594 +a(g408 +g1264 +tp2595 +a(g822 +g1239 +tp2596 +a(g766 +VQed +p2597 +tp2598 +a(g408 +g1264 +tp2599 +a(g822 +V\u000a\u000a +p2600 +tp2601 +a(g766 +VDefinition +p2602 +tp2603 +a(g822 +g1239 +tp2604 +a(g431 +Vcomplement_Symmetric +p2605 +tp2606 +a(g822 +g1239 +tp2607 +a(g408 +g2086 +tp2608 +a(g408 +g1346 +tp2609 +a(g431 +VSymmetric +p2610 +tp2611 +a(g822 +g1239 +tp2612 +a(g431 +g1381 +tp2613 +a(g822 +g1239 +tp2614 +a(g408 +g1346 +tp2615 +a(g431 +g1340 +tp2616 +a(g822 +g1239 +tp2617 +a(g408 +g1353 +tp2618 +a(g822 +g1239 +tp2619 +a(g431 +Vrelation +p2620 +tp2621 +a(g822 +g1239 +tp2622 +a(g431 +g1381 +tp2623 +a(g408 +g1360 +tp2624 +a(g408 +g1360 +tp2625 +a(g822 +g1239 +tp2626 +a(g408 +g1353 +tp2627 +a(g822 +g1239 +tp2628 +a(g431 +VSymmetric +p2629 +tp2630 +a(g822 +g1239 +tp2631 +a(g408 +g1346 +tp2632 +a(g431 +Vcomplement +p2633 +tp2634 +a(g822 +g1239 +tp2635 +a(g431 +g1340 +tp2636 +a(g408 +g1360 +tp2637 +a(g408 +g1264 +tp2638 +a(g822 +V\u000a +p2639 +tp2640 +a(g766 +VProof +p2641 +tp2642 +a(g408 +g1264 +tp2643 +a(g822 +g1239 +tp2644 +a(g431 +Vfirstorder +p2645 +tp2646 +a(g408 +g1264 +tp2647 +a(g822 +g1239 +tp2648 +a(g766 +VQed +p2649 +tp2650 +a(g408 +g1264 +tp2651 +a(g822 +V\u000a\u000a +p2652 +tp2653 +a(g766 +VHint +p2654 +tp2655 +a(g822 +g1239 +tp2656 +a(g431 +VExtern +p2657 +tp2658 +a(g822 +g1239 +tp2659 +a(g32 +g2130 +tp2660 +a(g822 +g1239 +tp2661 +a(g408 +g1346 +tp2662 +a(g431 +VSymmetric +p2663 +tp2664 +a(g822 +g1239 +tp2665 +a(g408 +g1346 +tp2666 +a(g431 +Vcomplement +p2667 +tp2668 +a(g822 +g1239 +tp2669 +a(g408 +g1358 +tp2670 +a(g408 +g1360 +tp2671 +a(g408 +g1360 +tp2672 +a(g822 +g1239 +tp2673 +a(g408 +V=> +p2674 +tp2675 +a(g822 +g1239 +tp2676 +a(g431 +Vclass_apply +p2677 +tp2678 +a(g822 +g1239 +tp2679 +a(g431 +Vcomplement_Symmetric +p2680 +tp2681 +a(g822 +g1239 +tp2682 +a(g408 +g1353 +tp2683 +a(g822 +g1239 +tp2684 +a(g431 +Vtypeclass_instances +p2685 +tp2686 +a(g408 +g1264 +tp2687 +a(g822 +V\u000a +p2688 +tp2689 +a(g766 +VHint +p2690 +tp2691 +a(g822 +g1239 +tp2692 +a(g431 +VExtern +p2693 +tp2694 +a(g822 +g1239 +tp2695 +a(g32 +g2130 +tp2696 +a(g822 +g1239 +tp2697 +a(g408 +g1346 +tp2698 +a(g431 +VIrreflexive +p2699 +tp2700 +a(g822 +g1239 +tp2701 +a(g408 +g1346 +tp2702 +a(g431 +Vcomplement +p2703 +tp2704 +a(g822 +g1239 +tp2705 +a(g408 +g1358 +tp2706 +a(g408 +g1360 +tp2707 +a(g408 +g1360 +tp2708 +a(g822 +g1239 +tp2709 +a(g408 +V=> +p2710 +tp2711 +a(g822 +g1239 +tp2712 +a(g431 +Vclass_apply +p2713 +tp2714 +a(g822 +g1239 +tp2715 +a(g431 +VReflexive_complement_Irreflexive +p2716 +tp2717 +a(g822 +g1239 +tp2718 +a(g408 +g1353 +tp2719 +a(g822 +g1239 +tp2720 +a(g431 +Vtypeclass_instances +p2721 +tp2722 +a(g408 +g1264 +tp2723 +a(g822 +V\u000a\u000a +p2724 +tp2725 +a(g7 +V(* +p2726 +tp2727 +a(g7 +g960 +tp2728 +a(g7 +g1239 +tp2729 +a(g7 +g960 +tp2730 +a(g7 +V Standard instances. +p2731 +tp2732 +a(g7 +V*) +p2733 +tp2734 +a(g822 +V\u000a\u000a +p2735 +tp2736 +a(g766 +VLtac +p2737 +tp2738 +a(g822 +g1239 +tp2739 +a(g431 +Vreduce_hyp +p2740 +tp2741 +a(g822 +g1239 +tp2742 +a(g431 +g1956 +tp2743 +a(g822 +g1239 +tp2744 +a(g408 +V:= +p2745 +tp2746 +a(g822 +V\u000a +p2747 +tp2748 +a(g740 +Vmatch +p2749 +tp2750 +a(g822 +g1239 +tp2751 +a(g431 +Vtype +p2752 +tp2753 +a(g822 +g1239 +tp2754 +a(g740 +Vof +p2755 +tp2756 +a(g822 +g1239 +tp2757 +a(g431 +g1956 +tp2758 +a(g822 +g1239 +tp2759 +a(g740 +Vwith +p2760 +tp2761 +a(g822 +V\u000a +p2762 +tp2763 +a(g408 +g1922 +tp2764 +a(g822 +g1239 +tp2765 +a(g431 +Vcontext +p2766 +tp2767 +a(g822 +g1239 +tp2768 +a(g408 +g1925 +tp2769 +a(g822 +g1239 +tp2770 +a(g408 +g1358 +tp2771 +a(g822 +g1239 +tp2772 +a(g408 +V<-> +p2773 +tp2774 +a(g822 +g1239 +tp2775 +a(g408 +g1358 +tp2776 +a(g822 +g1239 +tp2777 +a(g408 +g1942 +tp2778 +a(g822 +g1239 +tp2779 +a(g408 +V=> +p2780 +tp2781 +a(g822 +g1239 +tp2782 +a(g431 +Vfail +p2783 +tp2784 +a(g822 +g1239 +tp2785 +a(g32 +g1649 +tp2786 +a(g822 +V\u000a +p2787 +tp2788 +a(g408 +g1922 +tp2789 +a(g822 +g1239 +tp2790 +a(g408 +g1358 +tp2791 +a(g822 +g1239 +tp2792 +a(g408 +V=> +p2793 +tp2794 +a(g822 +g1239 +tp2795 +a(g740 +Vred +p2796 +tp2797 +a(g822 +g1239 +tp2798 +a(g740 +Vin +p2799 +tp2800 +a(g822 +g1239 +tp2801 +a(g431 +g1956 +tp2802 +a(g822 +g1239 +tp2803 +a(g408 +g1990 +tp2804 +a(g822 +g1239 +tp2805 +a(g789 +Vtry +p2806 +tp2807 +a(g822 +g1239 +tp2808 +a(g431 +Vreduce_hyp +p2809 +tp2810 +a(g822 +g1239 +tp2811 +a(g431 +g1956 +tp2812 +a(g822 +V\u000a +p2813 +tp2814 +a(g740 +Vend +p2815 +tp2816 +a(g408 +g1264 +tp2817 +a(g822 +V\u000a\u000a +p2818 +tp2819 +a(g766 +VLtac +p2820 +tp2821 +a(g822 +g1239 +tp2822 +a(g431 +Vreduce_goal +p2823 +tp2824 +a(g822 +g1239 +tp2825 +a(g408 +V:= +p2826 +tp2827 +a(g822 +V\u000a +p2828 +tp2829 +a(g740 +Vmatch +p2830 +tp2831 +a(g822 +g1239 +tp2832 +a(g431 +Vgoal +p2833 +tp2834 +a(g822 +g1239 +tp2835 +a(g740 +Vwith +p2836 +tp2837 +a(g822 +V\u000a +p2838 +tp2839 +a(g408 +g1922 +tp2840 +a(g822 +g1239 +tp2841 +a(g408 +g1925 +tp2842 +a(g822 +g1239 +tp2843 +a(g408 +g1922 +tp2844 +a(g408 +g1929 +tp2845 +a(g822 +g1239 +tp2846 +a(g408 +g1358 +tp2847 +a(g822 +g1239 +tp2848 +a(g408 +V<-> +p2849 +tp2850 +a(g822 +g1239 +tp2851 +a(g408 +g1358 +tp2852 +a(g822 +g1239 +tp2853 +a(g408 +g1942 +tp2854 +a(g822 +g1239 +tp2855 +a(g408 +V=> +p2856 +tp2857 +a(g822 +g1239 +tp2858 +a(g431 +Vfail +p2859 +tp2860 +a(g822 +g1239 +tp2861 +a(g32 +g1649 +tp2862 +a(g822 +V\u000a +p2863 +tp2864 +a(g408 +g1922 +tp2865 +a(g822 +g1239 +tp2866 +a(g408 +g1358 +tp2867 +a(g822 +g1239 +tp2868 +a(g408 +V=> +p2869 +tp2870 +a(g822 +g1239 +tp2871 +a(g740 +Vred +p2872 +tp2873 +a(g822 +g1239 +tp2874 +a(g408 +g1990 +tp2875 +a(g822 +g1239 +tp2876 +a(g740 +Vintros +p2877 +tp2878 +a(g822 +g1239 +tp2879 +a(g408 +g1990 +tp2880 +a(g822 +g1239 +tp2881 +a(g789 +Vtry +p2882 +tp2883 +a(g822 +g1239 +tp2884 +a(g431 +Vreduce_goal +p2885 +tp2886 +a(g822 +V\u000a +p2887 +tp2888 +a(g740 +Vend +p2889 +tp2890 +a(g408 +g1264 +tp2891 +a(g822 +V\u000a\u000a +p2892 +tp2893 +a(g766 +VTactic +p2894 +tp2895 +a(g822 +g1239 +tp2896 +a(g766 +VNotation +p2897 +tp2898 +a(g822 +g1239 +tp2899 +a(g152 +V" +p2900 +tp2901 +a(g152 +Vreduce +p2902 +tp2903 +a(g152 +g2900 +tp2904 +a(g822 +g1239 +tp2905 +a(g152 +g2900 +tp2906 +a(g152 +Vin +p2907 +tp2908 +a(g152 +g2900 +tp2909 +a(g822 +g1239 +tp2910 +a(g431 +Vhyp +p2911 +tp2912 +a(g408 +g1346 +tp2913 +a(g431 +VHid +p2914 +tp2915 +a(g408 +g1360 +tp2916 +a(g822 +g1239 +tp2917 +a(g408 +V:= +p2918 +tp2919 +a(g822 +g1239 +tp2920 +a(g431 +Vreduce_hyp +p2921 +tp2922 +a(g822 +g1239 +tp2923 +a(g431 +VHid +p2924 +tp2925 +a(g408 +g1264 +tp2926 +a(g822 +V\u000a\u000a +p2927 +tp2928 +a(g766 +VLtac +p2929 +tp2930 +a(g822 +g1239 +tp2931 +a(g431 +Vreduce +p2932 +tp2933 +a(g822 +g1239 +tp2934 +a(g408 +V:= +p2935 +tp2936 +a(g822 +g1239 +tp2937 +a(g431 +Vreduce_goal +p2938 +tp2939 +a(g408 +g1264 +tp2940 +a(g822 +V\u000a\u000a +p2941 +tp2942 +a(g766 +VTactic +p2943 +tp2944 +a(g822 +g1239 +tp2945 +a(g766 +VNotation +p2946 +tp2947 +a(g822 +g1239 +tp2948 +a(g152 +g2900 +tp2949 +a(g152 +Vapply +p2950 +tp2951 +a(g152 +g2900 +tp2952 +a(g822 +g1239 +tp2953 +a(g152 +g2900 +tp2954 +a(g152 +g960 +tp2955 +a(g152 +g2900 +tp2956 +a(g822 +g1239 +tp2957 +a(g431 +Vconstr +p2958 +tp2959 +a(g408 +g1346 +tp2960 +a(g431 +Vt +p2961 +tp2962 +a(g408 +g1360 +tp2963 +a(g822 +g1239 +tp2964 +a(g408 +V:= +p2965 +tp2966 +a(g822 +V\u000a +p2967 +tp2968 +a(g789 +Vfirst +p2969 +tp2970 +a(g822 +g1239 +tp2971 +a(g408 +g1925 +tp2972 +a(g822 +g1239 +tp2973 +a(g740 +Vrefine +p2974 +tp2975 +a(g822 +g1239 +tp2976 +a(g431 +g2961 +tp2977 +a(g822 +g1239 +tp2978 +a(g408 +g1922 +tp2979 +a(g822 +g1239 +tp2980 +a(g740 +Vrefine +p2981 +tp2982 +a(g822 +g1239 +tp2983 +a(g408 +g1346 +tp2984 +a(g431 +g2961 +tp2985 +a(g822 +g1239 +tp2986 +a(g408 +g1358 +tp2987 +a(g408 +g1360 +tp2988 +a(g822 +g1239 +tp2989 +a(g408 +g1922 +tp2990 +a(g822 +g1239 +tp2991 +a(g740 +Vrefine +p2992 +tp2993 +a(g822 +g1239 +tp2994 +a(g408 +g1346 +tp2995 +a(g431 +g2961 +tp2996 +a(g822 +g1239 +tp2997 +a(g408 +g1358 +tp2998 +a(g822 +g1239 +tp2999 +a(g408 +g1358 +tp3000 +a(g408 +g1360 +tp3001 +a(g822 +g1239 +tp3002 +a(g408 +g1922 +tp3003 +a(g822 +g1239 +tp3004 +a(g740 +Vrefine +p3005 +tp3006 +a(g822 +g1239 +tp3007 +a(g408 +g1346 +tp3008 +a(g431 +g2961 +tp3009 +a(g822 +g1239 +tp3010 +a(g408 +g1358 +tp3011 +a(g822 +g1239 +tp3012 +a(g408 +g1358 +tp3013 +a(g822 +g1239 +tp3014 +a(g408 +g1358 +tp3015 +a(g408 +g1360 +tp3016 +a(g822 +g1239 +tp3017 +a(g408 +g1922 +tp3018 +a(g822 +g1239 +tp3019 +a(g740 +Vrefine +p3020 +tp3021 +a(g822 +g1239 +tp3022 +a(g408 +g1346 +tp3023 +a(g431 +g2961 +tp3024 +a(g822 +g1239 +tp3025 +a(g408 +g1358 +tp3026 +a(g822 +g1239 +tp3027 +a(g408 +g1358 +tp3028 +a(g822 +g1239 +tp3029 +a(g408 +g1358 +tp3030 +a(g822 +g1239 +tp3031 +a(g408 +g1358 +tp3032 +a(g408 +g1360 +tp3033 +a(g822 +g1239 +tp3034 +a(g408 +g1922 +tp3035 +a(g822 +V\u000a +p3036 +tp3037 +a(g740 +Vrefine +p3038 +tp3039 +a(g822 +g1239 +tp3040 +a(g408 +g1346 +tp3041 +a(g431 +g2961 +tp3042 +a(g822 +g1239 +tp3043 +a(g408 +g1358 +tp3044 +a(g822 +g1239 +tp3045 +a(g408 +g1358 +tp3046 +a(g822 +g1239 +tp3047 +a(g408 +g1358 +tp3048 +a(g822 +g1239 +tp3049 +a(g408 +g1358 +tp3050 +a(g822 +g1239 +tp3051 +a(g408 +g1358 +tp3052 +a(g408 +g1360 +tp3053 +a(g822 +g1239 +tp3054 +a(g408 +g1922 +tp3055 +a(g822 +g1239 +tp3056 +a(g740 +Vrefine +p3057 +tp3058 +a(g822 +g1239 +tp3059 +a(g408 +g1346 +tp3060 +a(g431 +g2961 +tp3061 +a(g822 +g1239 +tp3062 +a(g408 +g1358 +tp3063 +a(g822 +g1239 +tp3064 +a(g408 +g1358 +tp3065 +a(g822 +g1239 +tp3066 +a(g408 +g1358 +tp3067 +a(g822 +g1239 +tp3068 +a(g408 +g1358 +tp3069 +a(g822 +g1239 +tp3070 +a(g408 +g1358 +tp3071 +a(g822 +g1239 +tp3072 +a(g408 +g1358 +tp3073 +a(g408 +g1360 +tp3074 +a(g822 +g1239 +tp3075 +a(g408 +g1922 +tp3076 +a(g822 +g1239 +tp3077 +a(g740 +Vrefine +p3078 +tp3079 +a(g822 +g1239 +tp3080 +a(g408 +g1346 +tp3081 +a(g431 +g2961 +tp3082 +a(g822 +g1239 +tp3083 +a(g408 +g1358 +tp3084 +a(g822 +g1239 +tp3085 +a(g408 +g1358 +tp3086 +a(g822 +g1239 +tp3087 +a(g408 +g1358 +tp3088 +a(g822 +g1239 +tp3089 +a(g408 +g1358 +tp3090 +a(g822 +g1239 +tp3091 +a(g408 +g1358 +tp3092 +a(g822 +g1239 +tp3093 +a(g408 +g1358 +tp3094 +a(g822 +g1239 +tp3095 +a(g408 +g1358 +tp3096 +a(g408 +g1360 +tp3097 +a(g822 +g1239 +tp3098 +a(g408 +g1942 +tp3099 +a(g408 +g1264 +tp3100 +a(g822 +V\u000a\u000a +p3101 +tp3102 +a(g766 +VLtac +p3103 +tp3104 +a(g822 +g1239 +tp3105 +a(g431 +Vsimpl_relation +p3106 +tp3107 +a(g822 +g1239 +tp3108 +a(g408 +V:= +p3109 +tp3110 +a(g822 +V\u000a +p3111 +tp3112 +a(g740 +Vunfold +p3113 +tp3114 +a(g822 +g1239 +tp3115 +a(g431 +Vflip +p3116 +tp3117 +a(g408 +g1485 +tp3118 +a(g822 +g1239 +tp3119 +a(g431 +Vimpl +p3120 +tp3121 +a(g408 +g1485 +tp3122 +a(g822 +g1239 +tp3123 +a(g431 +Varrow +p3124 +tp3125 +a(g822 +g1239 +tp3126 +a(g408 +g1990 +tp3127 +a(g822 +g1239 +tp3128 +a(g789 +Vtry +p3129 +tp3130 +a(g822 +g1239 +tp3131 +a(g431 +Vreduce +p3132 +tp3133 +a(g822 +g1239 +tp3134 +a(g408 +g1990 +tp3135 +a(g822 +g1239 +tp3136 +a(g431 +Vprogram_simpl +p3137 +tp3138 +a(g822 +g1239 +tp3139 +a(g408 +g1990 +tp3140 +a(g822 +V\u000a +p3141 +tp3142 +a(g789 +Vtry +p3143 +tp3144 +a(g822 +g1239 +tp3145 +a(g408 +g1346 +tp3146 +a(g822 +g1239 +tp3147 +a(g781 +Vsolve +p3148 +tp3149 +a(g822 +g1239 +tp3150 +a(g408 +g1925 +tp3151 +a(g822 +g1239 +tp3152 +a(g740 +Vintuition +p3153 +tp3154 +a(g822 +g1239 +tp3155 +a(g408 +g1942 +tp3156 +a(g408 +g1360 +tp3157 +a(g408 +g1264 +tp3158 +a(g822 +V\u000a\u000a +p3159 +tp3160 +a(g766 +VLocal +p3161 +tp3162 +a(g822 +g1239 +tp3163 +a(g431 +VObligation +p3164 +tp3165 +a(g822 +g1239 +tp3166 +a(g766 +VTactic +p3167 +tp3168 +a(g822 +g1239 +tp3169 +a(g408 +V:= +p3170 +tp3171 +a(g822 +g1239 +tp3172 +a(g431 +Vsimpl_relation +p3173 +tp3174 +a(g408 +g1264 +tp3175 +a(g822 +V\u000a\u000a +p3176 +tp3177 +a(g7 +V(* +p3178 +tp3179 +a(g7 +g960 +tp3180 +a(g7 +V Logical implication. +p3181 +tp3182 +a(g7 +V*) +p3183 +tp3184 +a(g822 +V\u000a\u000a +p3185 +tp3186 +a(g431 +VProgram +p3187 +tp3188 +a(g822 +g1239 +tp3189 +a(g766 +VInstance +p3190 +tp3191 +a(g822 +g1239 +tp3192 +a(g431 +Vimpl_Reflexive +p3193 +tp3194 +a(g822 +g1239 +tp3195 +a(g408 +g1353 +tp3196 +a(g822 +g1239 +tp3197 +a(g431 +VReflexive +p3198 +tp3199 +a(g822 +g1239 +tp3200 +a(g431 +Vimpl +p3201 +tp3202 +a(g408 +g1264 +tp3203 +a(g822 +V\u000a +p3204 +tp3205 +a(g431 +VProgram +p3206 +tp3207 +a(g822 +g1239 +tp3208 +a(g766 +VInstance +p3209 +tp3210 +a(g822 +g1239 +tp3211 +a(g431 +Vimpl_Transitive +p3212 +tp3213 +a(g822 +g1239 +tp3214 +a(g408 +g1353 +tp3215 +a(g822 +g1239 +tp3216 +a(g431 +VTransitive +p3217 +tp3218 +a(g822 +g1239 +tp3219 +a(g431 +Vimpl +p3220 +tp3221 +a(g408 +g1264 +tp3222 +a(g822 +V\u000a\u000a +p3223 +tp3224 +a(g7 +V(* +p3225 +tp3226 +a(g7 +g960 +tp3227 +a(g7 +V Logical equivalence. +p3228 +tp3229 +a(g7 +V*) +p3230 +tp3231 +a(g822 +V\u000a\u000a +p3232 +tp3233 +a(g431 +VProgram +p3234 +tp3235 +a(g822 +g1239 +tp3236 +a(g766 +VInstance +p3237 +tp3238 +a(g822 +g1239 +tp3239 +a(g431 +Viff_Reflexive +p3240 +tp3241 +a(g822 +g1239 +tp3242 +a(g408 +g1353 +tp3243 +a(g822 +g1239 +tp3244 +a(g431 +VReflexive +p3245 +tp3246 +a(g822 +g1239 +tp3247 +a(g431 +Viff +p3248 +tp3249 +a(g408 +g1264 +tp3250 +a(g822 +V\u000a +p3251 +tp3252 +a(g431 +VProgram +p3253 +tp3254 +a(g822 +g1239 +tp3255 +a(g766 +VInstance +p3256 +tp3257 +a(g822 +g1239 +tp3258 +a(g431 +Viff_Symmetric +p3259 +tp3260 +a(g822 +g1239 +tp3261 +a(g408 +g1353 +tp3262 +a(g822 +g1239 +tp3263 +a(g431 +VSymmetric +p3264 +tp3265 +a(g822 +g1239 +tp3266 +a(g431 +Viff +p3267 +tp3268 +a(g408 +g1264 +tp3269 +a(g822 +V\u000a +p3270 +tp3271 +a(g431 +VProgram +p3272 +tp3273 +a(g822 +g1239 +tp3274 +a(g766 +VInstance +p3275 +tp3276 +a(g822 +g1239 +tp3277 +a(g431 +Viff_Transitive +p3278 +tp3279 +a(g822 +g1239 +tp3280 +a(g408 +g1353 +tp3281 +a(g822 +g1239 +tp3282 +a(g431 +VTransitive +p3283 +tp3284 +a(g822 +g1239 +tp3285 +a(g431 +Viff +p3286 +tp3287 +a(g408 +g1264 +tp3288 +a(g822 +V\u000a\u000a +p3289 +tp3290 +a(g7 +V(* +p3291 +tp3292 +a(g7 +g960 +tp3293 +a(g7 +V Leibniz equality. +p3294 +tp3295 +a(g7 +V*) +p3296 +tp3297 +a(g822 +V\u000a\u000a +p3298 +tp3299 +a(g766 +VInstance +p3300 +tp3301 +a(g822 +g1239 +tp3302 +a(g431 +Veq_Reflexive +p3303 +tp3304 +a(g822 +g1239 +tp3305 +a(g408 +g1379 +tp3306 +a(g431 +g1381 +tp3307 +a(g408 +g1383 +tp3308 +a(g822 +g1239 +tp3309 +a(g408 +g1353 +tp3310 +a(g822 +g1239 +tp3311 +a(g431 +VReflexive +p3312 +tp3313 +a(g822 +g1239 +tp3314 +a(g408 +g1346 +tp3315 +a(g408 +g1670 +tp3316 +a(g431 +Veq +p3317 +tp3318 +a(g822 +g1239 +tp3319 +a(g431 +g1381 +tp3320 +a(g408 +g1360 +tp3321 +a(g822 +g1239 +tp3322 +a(g408 +V:= +p3323 +tp3324 +a(g822 +g1239 +tp3325 +a(g408 +g1670 +tp3326 +a(g431 +Veq_refl +p3327 +tp3328 +a(g822 +g1239 +tp3329 +a(g431 +g1381 +tp3330 +a(g408 +g1264 +tp3331 +a(g822 +V\u000a +p3332 +tp3333 +a(g766 +VInstance +p3334 +tp3335 +a(g822 +g1239 +tp3336 +a(g431 +Veq_Symmetric +p3337 +tp3338 +a(g822 +g1239 +tp3339 +a(g408 +g1379 +tp3340 +a(g431 +g1381 +tp3341 +a(g408 +g1383 +tp3342 +a(g822 +g1239 +tp3343 +a(g408 +g1353 +tp3344 +a(g822 +g1239 +tp3345 +a(g431 +VSymmetric +p3346 +tp3347 +a(g822 +g1239 +tp3348 +a(g408 +g1346 +tp3349 +a(g408 +g1670 +tp3350 +a(g431 +Veq +p3351 +tp3352 +a(g822 +g1239 +tp3353 +a(g431 +g1381 +tp3354 +a(g408 +g1360 +tp3355 +a(g822 +g1239 +tp3356 +a(g408 +V:= +p3357 +tp3358 +a(g822 +g1239 +tp3359 +a(g408 +g1670 +tp3360 +a(g431 +Veq_sym +p3361 +tp3362 +a(g822 +g1239 +tp3363 +a(g431 +g1381 +tp3364 +a(g408 +g1264 +tp3365 +a(g822 +V\u000a +p3366 +tp3367 +a(g766 +VInstance +p3368 +tp3369 +a(g822 +g1239 +tp3370 +a(g431 +Veq_Transitive +p3371 +tp3372 +a(g822 +g1239 +tp3373 +a(g408 +g1379 +tp3374 +a(g431 +g1381 +tp3375 +a(g408 +g1383 +tp3376 +a(g822 +g1239 +tp3377 +a(g408 +g1353 +tp3378 +a(g822 +g1239 +tp3379 +a(g431 +VTransitive +p3380 +tp3381 +a(g822 +g1239 +tp3382 +a(g408 +g1346 +tp3383 +a(g408 +g1670 +tp3384 +a(g431 +Veq +p3385 +tp3386 +a(g822 +g1239 +tp3387 +a(g431 +g1381 +tp3388 +a(g408 +g1360 +tp3389 +a(g822 +g1239 +tp3390 +a(g408 +V:= +p3391 +tp3392 +a(g822 +g1239 +tp3393 +a(g408 +g1670 +tp3394 +a(g431 +Veq_trans +p3395 +tp3396 +a(g822 +g1239 +tp3397 +a(g431 +g1381 +tp3398 +a(g408 +g1264 +tp3399 +a(g822 +V\u000a\u000a +p3400 +tp3401 +a(g7 +V(* +p3402 +tp3403 +a(g7 +g960 +tp3404 +a(g7 +V Various combinations of reflexivity, symmetry and transitivity. +p3405 +tp3406 +a(g7 +V*) +p3407 +tp3408 +a(g822 +V\u000a\u000a +p3409 +tp3410 +a(g7 +V(* +p3411 +tp3412 +a(g7 +g960 +tp3413 +a(g7 +V A [PreOrder] is both Reflexive and Transitive. +p3414 +tp3415 +a(g7 +V*) +p3416 +tp3417 +a(g822 +V\u000a\u000a +p3418 +tp3419 +a(g766 +VClass +p3420 +tp3421 +a(g822 +g1239 +tp3422 +a(g431 +VPreOrder +p3423 +tp3424 +a(g822 +g1239 +tp3425 +a(g408 +g1379 +tp3426 +a(g431 +g1381 +tp3427 +a(g408 +g1383 +tp3428 +a(g822 +g1239 +tp3429 +a(g408 +g1346 +tp3430 +a(g431 +g1340 +tp3431 +a(g822 +g1239 +tp3432 +a(g408 +g1353 +tp3433 +a(g822 +g1239 +tp3434 +a(g431 +Vrelation +p3435 +tp3436 +a(g822 +g1239 +tp3437 +a(g431 +g1381 +tp3438 +a(g408 +g1360 +tp3439 +a(g822 +g1239 +tp3440 +a(g408 +g1353 +tp3441 +a(g822 +g1239 +tp3442 +a(g744 +VProp +p3443 +tp3444 +a(g822 +g1239 +tp3445 +a(g408 +V:= +p3446 +tp3447 +a(g822 +g1239 +tp3448 +a(g408 +g1379 +tp3449 +a(g822 +V\u000a +p3450 +tp3451 +a(g431 +VPreOrder_Reflexive +p3452 +tp3453 +a(g822 +g1239 +tp3454 +a(g408 +V:> +p3455 +tp3456 +a(g822 +g1239 +tp3457 +a(g431 +VReflexive +p3458 +tp3459 +a(g822 +g1239 +tp3460 +a(g431 +g1340 +tp3461 +a(g822 +g1239 +tp3462 +a(g408 +g1990 +tp3463 +a(g822 +V\u000a +p3464 +tp3465 +a(g431 +VPreOrder_Transitive +p3466 +tp3467 +a(g822 +g1239 +tp3468 +a(g408 +V:> +p3469 +tp3470 +a(g822 +g1239 +tp3471 +a(g431 +VTransitive +p3472 +tp3473 +a(g822 +g1239 +tp3474 +a(g431 +g1340 +tp3475 +a(g822 +g1239 +tp3476 +a(g408 +g1383 +tp3477 +a(g408 +g1264 +tp3478 +a(g822 +V\u000a\u000a +p3479 +tp3480 +a(g7 +V(* +p3481 +tp3482 +a(g7 +g960 +tp3483 +a(g7 +V A partial equivalence relation is Symmetric and Transitive. +p3484 +tp3485 +a(g7 +V*) +p3486 +tp3487 +a(g822 +V\u000a\u000a +p3488 +tp3489 +a(g766 +VClass +p3490 +tp3491 +a(g822 +g1239 +tp3492 +a(g431 +VPER +p3493 +tp3494 +a(g822 +g1239 +tp3495 +a(g408 +g1379 +tp3496 +a(g431 +g1381 +tp3497 +a(g408 +g1383 +tp3498 +a(g822 +g1239 +tp3499 +a(g408 +g1346 +tp3500 +a(g431 +g1340 +tp3501 +a(g822 +g1239 +tp3502 +a(g408 +g1353 +tp3503 +a(g822 +g1239 +tp3504 +a(g431 +Vrelation +p3505 +tp3506 +a(g822 +g1239 +tp3507 +a(g431 +g1381 +tp3508 +a(g408 +g1360 +tp3509 +a(g822 +g1239 +tp3510 +a(g408 +g1353 +tp3511 +a(g822 +g1239 +tp3512 +a(g744 +VProp +p3513 +tp3514 +a(g822 +g1239 +tp3515 +a(g408 +V:= +p3516 +tp3517 +a(g822 +g1239 +tp3518 +a(g408 +g1379 +tp3519 +a(g822 +V\u000a +p3520 +tp3521 +a(g431 +VPER_Symmetric +p3522 +tp3523 +a(g822 +g1239 +tp3524 +a(g408 +V:> +p3525 +tp3526 +a(g822 +g1239 +tp3527 +a(g431 +VSymmetric +p3528 +tp3529 +a(g822 +g1239 +tp3530 +a(g431 +g1340 +tp3531 +a(g822 +g1239 +tp3532 +a(g408 +g1990 +tp3533 +a(g822 +V\u000a +p3534 +tp3535 +a(g431 +VPER_Transitive +p3536 +tp3537 +a(g822 +g1239 +tp3538 +a(g408 +V:> +p3539 +tp3540 +a(g822 +g1239 +tp3541 +a(g431 +VTransitive +p3542 +tp3543 +a(g822 +g1239 +tp3544 +a(g431 +g1340 +tp3545 +a(g822 +g1239 +tp3546 +a(g408 +g1383 +tp3547 +a(g408 +g1264 +tp3548 +a(g822 +V\u000a\u000a +p3549 +tp3550 +a(g7 +V(* +p3551 +tp3552 +a(g7 +g960 +tp3553 +a(g7 +V Equivalence relations. +p3554 +tp3555 +a(g7 +V*) +p3556 +tp3557 +a(g822 +V\u000a\u000a +p3558 +tp3559 +a(g766 +VClass +p3560 +tp3561 +a(g822 +g1239 +tp3562 +a(g431 +VEquivalence +p3563 +tp3564 +a(g822 +g1239 +tp3565 +a(g408 +g1379 +tp3566 +a(g431 +g1381 +tp3567 +a(g408 +g1383 +tp3568 +a(g822 +g1239 +tp3569 +a(g408 +g1346 +tp3570 +a(g431 +g1340 +tp3571 +a(g822 +g1239 +tp3572 +a(g408 +g1353 +tp3573 +a(g822 +g1239 +tp3574 +a(g431 +Vrelation +p3575 +tp3576 +a(g822 +g1239 +tp3577 +a(g431 +g1381 +tp3578 +a(g408 +g1360 +tp3579 +a(g822 +g1239 +tp3580 +a(g408 +g1353 +tp3581 +a(g822 +g1239 +tp3582 +a(g744 +VProp +p3583 +tp3584 +a(g822 +g1239 +tp3585 +a(g408 +V:= +p3586 +tp3587 +a(g822 +g1239 +tp3588 +a(g408 +g1379 +tp3589 +a(g822 +V\u000a +p3590 +tp3591 +a(g431 +VEquivalence_Reflexive +p3592 +tp3593 +a(g822 +g1239 +tp3594 +a(g408 +V:> +p3595 +tp3596 +a(g822 +g1239 +tp3597 +a(g431 +VReflexive +p3598 +tp3599 +a(g822 +g1239 +tp3600 +a(g431 +g1340 +tp3601 +a(g822 +g1239 +tp3602 +a(g408 +g1990 +tp3603 +a(g822 +V\u000a +p3604 +tp3605 +a(g431 +VEquivalence_Symmetric +p3606 +tp3607 +a(g822 +g1239 +tp3608 +a(g408 +V:> +p3609 +tp3610 +a(g822 +g1239 +tp3611 +a(g431 +VSymmetric +p3612 +tp3613 +a(g822 +g1239 +tp3614 +a(g431 +g1340 +tp3615 +a(g822 +g1239 +tp3616 +a(g408 +g1990 +tp3617 +a(g822 +V\u000a +p3618 +tp3619 +a(g431 +VEquivalence_Transitive +p3620 +tp3621 +a(g822 +g1239 +tp3622 +a(g408 +V:> +p3623 +tp3624 +a(g822 +g1239 +tp3625 +a(g431 +VTransitive +p3626 +tp3627 +a(g822 +g1239 +tp3628 +a(g431 +g1340 +tp3629 +a(g822 +g1239 +tp3630 +a(g408 +g1383 +tp3631 +a(g408 +g1264 +tp3632 +a(g822 +V\u000a\u000a +p3633 +tp3634 +a(g7 +V(* +p3635 +tp3636 +a(g7 +g960 +tp3637 +a(g7 +V An Equivalence is a PER plus reflexivity. +p3638 +tp3639 +a(g7 +V*) +p3640 +tp3641 +a(g822 +V\u000a\u000a +p3642 +tp3643 +a(g766 +VInstance +p3644 +tp3645 +a(g822 +g1239 +tp3646 +a(g431 +VEquivalence_PER +p3647 +tp3648 +a(g822 +g1239 +tp3649 +a(g408 +g2086 +tp3650 +a(g408 +g1346 +tp3651 +a(g431 +VEquivalence +p3652 +tp3653 +a(g822 +g1239 +tp3654 +a(g431 +g1381 +tp3655 +a(g822 +g1239 +tp3656 +a(g431 +g1340 +tp3657 +a(g408 +g1360 +tp3658 +a(g822 +g1239 +tp3659 +a(g408 +g1353 +tp3660 +a(g822 +g1239 +tp3661 +a(g431 +VPER +p3662 +tp3663 +a(g822 +g1239 +tp3664 +a(g431 +g1340 +tp3665 +a(g822 +g1239 +tp3666 +a(g408 +g1922 +tp3667 +a(g822 +g1239 +tp3668 +a(g32 +V10 +p3669 +tp3670 +a(g822 +g1239 +tp3671 +a(g408 +V:= +p3672 +tp3673 +a(g822 +V\u000a +p3674 +tp3675 +a(g408 +g1379 +tp3676 +a(g822 +g1239 +tp3677 +a(g431 +VPER_Symmetric +p3678 +tp3679 +a(g822 +g1239 +tp3680 +a(g408 +V:= +p3681 +tp3682 +a(g822 +g1239 +tp3683 +a(g431 +VEquivalence_Symmetric +p3684 +tp3685 +a(g822 +g1239 +tp3686 +a(g408 +g1990 +tp3687 +a(g822 +V\u000a +p3688 +tp3689 +a(g431 +VPER_Transitive +p3690 +tp3691 +a(g822 +g1239 +tp3692 +a(g408 +V:= +p3693 +tp3694 +a(g822 +g1239 +tp3695 +a(g431 +VEquivalence_Transitive +p3696 +tp3697 +a(g822 +g1239 +tp3698 +a(g408 +g1383 +tp3699 +a(g408 +g1264 +tp3700 +a(g822 +V\u000a\u000a +p3701 +tp3702 +a(g7 +V(* +p3703 +tp3704 +a(g7 +g960 +tp3705 +a(g7 +V We can now define antisymmetry w.r.t. an equivalence relation on the carrier. +p3706 +tp3707 +a(g7 +V*) +p3708 +tp3709 +a(g822 +V\u000a\u000a +p3710 +tp3711 +a(g766 +VClass +p3712 +tp3713 +a(g822 +g1239 +tp3714 +a(g431 +VAntisymmetric +p3715 +tp3716 +a(g822 +g1239 +tp3717 +a(g431 +g1381 +tp3718 +a(g822 +g1239 +tp3719 +a(g431 +VeqA +p3720 +tp3721 +a(g822 +g1239 +tp3722 +a(g408 +g2086 +tp3723 +a(g408 +g1379 +tp3724 +a(g431 +Vequ +p3725 +tp3726 +a(g822 +g1239 +tp3727 +a(g408 +g1353 +tp3728 +a(g822 +g1239 +tp3729 +a(g431 +VEquivalence +p3730 +tp3731 +a(g822 +g1239 +tp3732 +a(g431 +g1381 +tp3733 +a(g822 +g1239 +tp3734 +a(g431 +VeqA +p3735 +tp3736 +a(g408 +g1383 +tp3737 +a(g822 +g1239 +tp3738 +a(g408 +g1346 +tp3739 +a(g431 +g1340 +tp3740 +a(g822 +g1239 +tp3741 +a(g408 +g1353 +tp3742 +a(g822 +g1239 +tp3743 +a(g431 +Vrelation +p3744 +tp3745 +a(g822 +g1239 +tp3746 +a(g431 +g1381 +tp3747 +a(g408 +g1360 +tp3748 +a(g822 +g1239 +tp3749 +a(g408 +V:= +p3750 +tp3751 +a(g822 +V\u000a +p3752 +tp3753 +a(g431 +Vantisymmetry +p3754 +tp3755 +a(g822 +g1239 +tp3756 +a(g408 +g1353 +tp3757 +a(g822 +g1239 +tp3758 +a(g740 +Vforall +p3759 +tp3760 +a(g822 +g1239 +tp3761 +a(g408 +g1379 +tp3762 +a(g431 +g1410 +tp3763 +a(g822 +g1239 +tp3764 +a(g431 +g1413 +tp3765 +a(g408 +g1383 +tp3766 +a(g408 +g1485 +tp3767 +a(g822 +g1239 +tp3768 +a(g431 +g1340 +tp3769 +a(g822 +g1239 +tp3770 +a(g431 +g1410 +tp3771 +a(g822 +g1239 +tp3772 +a(g431 +g1413 +tp3773 +a(g822 +g1239 +tp3774 +a(g408 +V-> +p3775 +tp3776 +a(g822 +g1239 +tp3777 +a(g431 +g1340 +tp3778 +a(g822 +g1239 +tp3779 +a(g431 +g1413 +tp3780 +a(g822 +g1239 +tp3781 +a(g431 +g1410 +tp3782 +a(g822 +g1239 +tp3783 +a(g408 +V-> +p3784 +tp3785 +a(g822 +g1239 +tp3786 +a(g431 +VeqA +p3787 +tp3788 +a(g822 +g1239 +tp3789 +a(g431 +g1410 +tp3790 +a(g822 +g1239 +tp3791 +a(g431 +g1413 +tp3792 +a(g408 +g1264 +tp3793 +a(g822 +V\u000a\u000a +p3794 +tp3795 +a(g431 +VProgram +p3796 +tp3797 +a(g822 +g1239 +tp3798 +a(g766 +VDefinition +p3799 +tp3800 +a(g822 +g1239 +tp3801 +a(g431 +Vflip_antiSymmetric +p3802 +tp3803 +a(g822 +g1239 +tp3804 +a(g408 +g2086 +tp3805 +a(g408 +g1346 +tp3806 +a(g431 +VAntisymmetric +p3807 +tp3808 +a(g822 +g1239 +tp3809 +a(g431 +g1381 +tp3810 +a(g822 +g1239 +tp3811 +a(g431 +VeqA +p3812 +tp3813 +a(g822 +g1239 +tp3814 +a(g431 +g1340 +tp3815 +a(g408 +g1360 +tp3816 +a(g822 +g1239 +tp3817 +a(g408 +g1353 +tp3818 +a(g822 +V\u000a +p3819 +tp3820 +a(g431 +VAntisymmetric +p3821 +tp3822 +a(g822 +g1239 +tp3823 +a(g431 +g1381 +tp3824 +a(g822 +g1239 +tp3825 +a(g431 +VeqA +p3826 +tp3827 +a(g822 +g1239 +tp3828 +a(g408 +g1346 +tp3829 +a(g431 +Vflip +p3830 +tp3831 +a(g822 +g1239 +tp3832 +a(g431 +g1340 +tp3833 +a(g408 +g1360 +tp3834 +a(g408 +g1264 +tp3835 +a(g822 +V\u000a +p3836 +tp3837 +a(g766 +VProof +p3838 +tp3839 +a(g408 +g1264 +tp3840 +a(g822 +g1239 +tp3841 +a(g431 +Vfirstorder +p3842 +tp3843 +a(g408 +g1264 +tp3844 +a(g822 +g1239 +tp3845 +a(g766 +VQed +p3846 +tp3847 +a(g408 +g1264 +tp3848 +a(g822 +V\u000a\u000a +p3849 +tp3850 +a(g7 +V(* +p3851 +tp3852 +a(g7 +g960 +tp3853 +a(g7 +V Leibinz equality [eq] is an equivalence relation.\u000a The instance has low priority as it is always applicable\u000a if only the type is constrained. +p3854 +tp3855 +a(g7 +V*) +p3856 +tp3857 +a(g822 +V\u000a\u000a +p3858 +tp3859 +a(g431 +VProgram +p3860 +tp3861 +a(g822 +g1239 +tp3862 +a(g766 +VInstance +p3863 +tp3864 +a(g822 +g1239 +tp3865 +a(g431 +Veq_equivalence +p3866 +tp3867 +a(g822 +g1239 +tp3868 +a(g408 +g1353 +tp3869 +a(g822 +g1239 +tp3870 +a(g431 +VEquivalence +p3871 +tp3872 +a(g822 +g1239 +tp3873 +a(g408 +g1346 +tp3874 +a(g408 +g1670 +tp3875 +a(g431 +Veq +p3876 +tp3877 +a(g822 +g1239 +tp3878 +a(g431 +g1381 +tp3879 +a(g408 +g1360 +tp3880 +a(g822 +g1239 +tp3881 +a(g408 +g1922 +tp3882 +a(g822 +g1239 +tp3883 +a(g32 +V10 +p3884 +tp3885 +a(g408 +g1264 +tp3886 +a(g822 +V\u000a\u000a +p3887 +tp3888 +a(g7 +V(* +p3889 +tp3890 +a(g7 +g960 +tp3891 +a(g7 +V Logical equivalence [iff] is an equivalence relation. +p3892 +tp3893 +a(g7 +V*) +p3894 +tp3895 +a(g822 +V\u000a\u000a +p3896 +tp3897 +a(g431 +VProgram +p3898 +tp3899 +a(g822 +g1239 +tp3900 +a(g766 +VInstance +p3901 +tp3902 +a(g822 +g1239 +tp3903 +a(g431 +Viff_equivalence +p3904 +tp3905 +a(g822 +g1239 +tp3906 +a(g408 +g1353 +tp3907 +a(g822 +g1239 +tp3908 +a(g431 +VEquivalence +p3909 +tp3910 +a(g822 +g1239 +tp3911 +a(g431 +Viff +p3912 +tp3913 +a(g408 +g1264 +tp3914 +a(g822 +V\u000a\u000a +p3915 +tp3916 +a(g7 +V(* +p3917 +tp3918 +a(g7 +g960 +tp3919 +a(g7 +V We now develop a generalization of results on relations for arbitrary predicates.\u000a The resulting theory can be applied to homogeneous binary relations but also to\u000a arbitrary n-ary predicates. +p3920 +tp3921 +a(g7 +V*) +p3922 +tp3923 +a(g822 +V\u000a\u000a +p3924 +tp3925 +a(g766 +VLocal +p3926 +tp3927 +a(g822 +g1239 +tp3928 +a(g766 +VOpen +p3929 +tp3930 +a(g822 +g1239 +tp3931 +a(g766 +VScope +p3932 +tp3933 +a(g822 +g1239 +tp3934 +a(g431 +Vlist_scope +p3935 +tp3936 +a(g408 +g1264 +tp3937 +a(g822 +V\u000a\u000a +p3938 +tp3939 +a(g7 +V(* +p3940 +tp3941 +a(g7 +V Notation " [ ] " := nil : list_scope. +p3942 +tp3943 +a(g7 +V*) +p3944 +tp3945 +a(g822 +V\u000a +p3946 +tp3947 +a(g7 +V(* +p3948 +tp3949 +a(g7 +V Notation " [ x ; .. ; y ] " := +p3950 +tp3951 +a(g7 +g1346 +tp3952 +a(g7 +Vcons x .. +p3953 +tp3954 +a(g7 +g1346 +tp3955 +a(g7 +Vcons y nil +p3956 +tp3957 +a(g7 +g1360 +tp3958 +a(g7 +V .. +p3959 +tp3960 +a(g7 +g1360 +tp3961 +a(g7 +g1239 +tp3962 +a(g7 +g1346 +tp3963 +a(g7 +Vat level 1 +p3964 +tp3965 +a(g7 +g1360 +tp3966 +a(g7 +V : list_scope. +p3967 +tp3968 +a(g7 +V*) +p3969 +tp3970 +a(g822 +V\u000a\u000a +p3971 +tp3972 +a(g7 +V(* +p3973 +tp3974 +a(g7 +g960 +tp3975 +a(g7 +V A compact representation of non-dependent arities, with the codomain singled-out. +p3976 +tp3977 +a(g7 +V*) +p3978 +tp3979 +a(g822 +V\u000a\u000a +p3980 +tp3981 +a(g766 +VFixpoint +p3982 +tp3983 +a(g822 +g1239 +tp3984 +a(g431 +Varrows +p3985 +tp3986 +a(g822 +g1239 +tp3987 +a(g408 +g1346 +tp3988 +a(g431 +g2063 +tp3989 +a(g822 +g1239 +tp3990 +a(g408 +g1353 +tp3991 +a(g822 +g1239 +tp3992 +a(g744 +Vlist +p3993 +tp3994 +a(g822 +g1239 +tp3995 +a(g744 +VType +p3996 +tp3997 +a(g408 +g1360 +tp3998 +a(g822 +g1239 +tp3999 +a(g408 +g1346 +tp4000 +a(g431 +Vr +p4001 +tp4002 +a(g822 +g1239 +tp4003 +a(g408 +g1353 +tp4004 +a(g822 +g1239 +tp4005 +a(g744 +VType +p4006 +tp4007 +a(g408 +g1360 +tp4008 +a(g822 +g1239 +tp4009 +a(g408 +g1353 +tp4010 +a(g822 +g1239 +tp4011 +a(g744 +VType +p4012 +tp4013 +a(g822 +g1239 +tp4014 +a(g408 +V:= +p4015 +tp4016 +a(g822 +V\u000a +p4017 +tp4018 +a(g740 +Vmatch +p4019 +tp4020 +a(g822 +g1239 +tp4021 +a(g431 +g2063 +tp4022 +a(g822 +g1239 +tp4023 +a(g740 +Vwith +p4024 +tp4025 +a(g822 +V\u000a +p4026 +tp4027 +a(g408 +g1922 +tp4028 +a(g822 +g1239 +tp4029 +a(g431 +Vnil +p4030 +tp4031 +a(g822 +g1239 +tp4032 +a(g408 +V=> +p4033 +tp4034 +a(g822 +g1239 +tp4035 +a(g431 +g4001 +tp4036 +a(g822 +V\u000a +p4037 +tp4038 +a(g408 +g1922 +tp4039 +a(g822 +g1239 +tp4040 +a(g431 +g1381 +tp4041 +a(g822 +g1239 +tp4042 +a(g408 +V:: +p4043 +tp4044 +a(g822 +g1239 +tp4045 +a(g431 +Vl' +p4046 +tp4047 +a(g822 +g1239 +tp4048 +a(g408 +V=> +p4049 +tp4050 +a(g822 +g1239 +tp4051 +a(g431 +g1381 +tp4052 +a(g822 +g1239 +tp4053 +a(g408 +V-> +p4054 +tp4055 +a(g822 +g1239 +tp4056 +a(g431 +Varrows +p4057 +tp4058 +a(g822 +g1239 +tp4059 +a(g431 +Vl' +p4060 +tp4061 +a(g822 +g1239 +tp4062 +a(g431 +g4001 +tp4063 +a(g822 +V\u000a +p4064 +tp4065 +a(g740 +Vend +p4066 +tp4067 +a(g408 +g1264 +tp4068 +a(g822 +V\u000a\u000a +p4069 +tp4070 +a(g7 +V(* +p4071 +tp4072 +a(g7 +g960 +tp4073 +a(g7 +V We can define abbreviations for operation and relation types based on [arrows]. +p4074 +tp4075 +a(g7 +V*) +p4076 +tp4077 +a(g822 +V\u000a\u000a +p4078 +tp4079 +a(g766 +VDefinition +p4080 +tp4081 +a(g822 +g1239 +tp4082 +a(g431 +Vunary_operation +p4083 +tp4084 +a(g822 +g1239 +tp4085 +a(g431 +g1381 +tp4086 +a(g822 +g1239 +tp4087 +a(g408 +V:= +p4088 +tp4089 +a(g822 +g1239 +tp4090 +a(g431 +Varrows +p4091 +tp4092 +a(g822 +g1239 +tp4093 +a(g408 +g1346 +tp4094 +a(g431 +g1381 +tp4095 +a(g408 +V:: +p4096 +tp4097 +a(g431 +Vnil +p4098 +tp4099 +a(g408 +g1360 +tp4100 +a(g822 +g1239 +tp4101 +a(g431 +g1381 +tp4102 +a(g408 +g1264 +tp4103 +a(g822 +V\u000a +p4104 +tp4105 +a(g766 +VDefinition +p4106 +tp4107 +a(g822 +g1239 +tp4108 +a(g431 +Vbinary_operation +p4109 +tp4110 +a(g822 +g1239 +tp4111 +a(g431 +g1381 +tp4112 +a(g822 +g1239 +tp4113 +a(g408 +V:= +p4114 +tp4115 +a(g822 +g1239 +tp4116 +a(g431 +Varrows +p4117 +tp4118 +a(g822 +g1239 +tp4119 +a(g408 +g1346 +tp4120 +a(g431 +g1381 +tp4121 +a(g408 +V:: +p4122 +tp4123 +a(g431 +g1381 +tp4124 +a(g408 +V:: +p4125 +tp4126 +a(g431 +Vnil +p4127 +tp4128 +a(g408 +g1360 +tp4129 +a(g822 +g1239 +tp4130 +a(g431 +g1381 +tp4131 +a(g408 +g1264 +tp4132 +a(g822 +V\u000a +p4133 +tp4134 +a(g766 +VDefinition +p4135 +tp4136 +a(g822 +g1239 +tp4137 +a(g431 +Vternary_operation +p4138 +tp4139 +a(g822 +g1239 +tp4140 +a(g431 +g1381 +tp4141 +a(g822 +g1239 +tp4142 +a(g408 +V:= +p4143 +tp4144 +a(g822 +g1239 +tp4145 +a(g431 +Varrows +p4146 +tp4147 +a(g822 +g1239 +tp4148 +a(g408 +g1346 +tp4149 +a(g431 +g1381 +tp4150 +a(g408 +V:: +p4151 +tp4152 +a(g431 +g1381 +tp4153 +a(g408 +V:: +p4154 +tp4155 +a(g431 +g1381 +tp4156 +a(g408 +V:: +p4157 +tp4158 +a(g431 +Vnil +p4159 +tp4160 +a(g408 +g1360 +tp4161 +a(g822 +g1239 +tp4162 +a(g431 +g1381 +tp4163 +a(g408 +g1264 +tp4164 +a(g822 +V\u000a\u000a +p4165 +tp4166 +a(g7 +V(* +p4167 +tp4168 +a(g7 +g960 +tp4169 +a(g7 +V We define n-ary [predicate]s as functions into [Prop]. +p4170 +tp4171 +a(g7 +V*) +p4172 +tp4173 +a(g822 +V\u000a\u000a +p4174 +tp4175 +a(g766 +VNotation +p4176 +tp4177 +a(g822 +g1239 +tp4178 +a(g431 +Vpredicate +p4179 +tp4180 +a(g822 +g1239 +tp4181 +a(g431 +g2063 +tp4182 +a(g822 +g1239 +tp4183 +a(g408 +V:= +p4184 +tp4185 +a(g822 +g1239 +tp4186 +a(g408 +g1346 +tp4187 +a(g431 +Varrows +p4188 +tp4189 +a(g822 +g1239 +tp4190 +a(g431 +g2063 +tp4191 +a(g822 +g1239 +tp4192 +a(g744 +VProp +p4193 +tp4194 +a(g408 +g1360 +tp4195 +a(g408 +g1264 +tp4196 +a(g822 +V\u000a\u000a +p4197 +tp4198 +a(g7 +V(* +p4199 +tp4200 +a(g7 +g960 +tp4201 +a(g7 +V Unary predicates, or sets. +p4202 +tp4203 +a(g7 +V*) +p4204 +tp4205 +a(g822 +V\u000a\u000a +p4206 +tp4207 +a(g766 +VDefinition +p4208 +tp4209 +a(g822 +g1239 +tp4210 +a(g431 +Vunary_predicate +p4211 +tp4212 +a(g822 +g1239 +tp4213 +a(g431 +g1381 +tp4214 +a(g822 +g1239 +tp4215 +a(g408 +V:= +p4216 +tp4217 +a(g822 +g1239 +tp4218 +a(g431 +Vpredicate +p4219 +tp4220 +a(g822 +g1239 +tp4221 +a(g408 +g1346 +tp4222 +a(g431 +g1381 +tp4223 +a(g408 +V:: +p4224 +tp4225 +a(g431 +Vnil +p4226 +tp4227 +a(g408 +g1360 +tp4228 +a(g408 +g1264 +tp4229 +a(g822 +V\u000a\u000a +p4230 +tp4231 +a(g7 +V(* +p4232 +tp4233 +a(g7 +g960 +tp4234 +a(g7 +V Homogeneous binary relations, equivalent to [relation A]. +p4235 +tp4236 +a(g7 +V*) +p4237 +tp4238 +a(g822 +V\u000a\u000a +p4239 +tp4240 +a(g766 +VDefinition +p4241 +tp4242 +a(g822 +g1239 +tp4243 +a(g431 +Vbinary_relation +p4244 +tp4245 +a(g822 +g1239 +tp4246 +a(g431 +g1381 +tp4247 +a(g822 +g1239 +tp4248 +a(g408 +V:= +p4249 +tp4250 +a(g822 +g1239 +tp4251 +a(g431 +Vpredicate +p4252 +tp4253 +a(g822 +g1239 +tp4254 +a(g408 +g1346 +tp4255 +a(g431 +g1381 +tp4256 +a(g408 +V:: +p4257 +tp4258 +a(g431 +g1381 +tp4259 +a(g408 +V:: +p4260 +tp4261 +a(g431 +Vnil +p4262 +tp4263 +a(g408 +g1360 +tp4264 +a(g408 +g1264 +tp4265 +a(g822 +V\u000a\u000a +p4266 +tp4267 +a(g7 +V(* +p4268 +tp4269 +a(g7 +g960 +tp4270 +a(g7 +V We can close a predicate by universal or existential quantification. +p4271 +tp4272 +a(g7 +V*) +p4273 +tp4274 +a(g822 +V\u000a\u000a +p4275 +tp4276 +a(g766 +VFixpoint +p4277 +tp4278 +a(g822 +g1239 +tp4279 +a(g431 +Vpredicate_all +p4280 +tp4281 +a(g822 +g1239 +tp4282 +a(g408 +g1346 +tp4283 +a(g431 +g2063 +tp4284 +a(g822 +g1239 +tp4285 +a(g408 +g1353 +tp4286 +a(g822 +g1239 +tp4287 +a(g744 +Vlist +p4288 +tp4289 +a(g822 +g1239 +tp4290 +a(g744 +VType +p4291 +tp4292 +a(g408 +g1360 +tp4293 +a(g822 +g1239 +tp4294 +a(g408 +g1353 +tp4295 +a(g822 +g1239 +tp4296 +a(g431 +Vpredicate +p4297 +tp4298 +a(g822 +g1239 +tp4299 +a(g431 +g2063 +tp4300 +a(g822 +g1239 +tp4301 +a(g408 +V-> +p4302 +tp4303 +a(g822 +g1239 +tp4304 +a(g744 +VProp +p4305 +tp4306 +a(g822 +g1239 +tp4307 +a(g408 +V:= +p4308 +tp4309 +a(g822 +V\u000a +p4310 +tp4311 +a(g740 +Vmatch +p4312 +tp4313 +a(g822 +g1239 +tp4314 +a(g431 +g2063 +tp4315 +a(g822 +g1239 +tp4316 +a(g740 +Vwith +p4317 +tp4318 +a(g822 +V\u000a +p4319 +tp4320 +a(g408 +g1922 +tp4321 +a(g822 +g1239 +tp4322 +a(g431 +Vnil +p4323 +tp4324 +a(g822 +g1239 +tp4325 +a(g408 +V=> +p4326 +tp4327 +a(g822 +g1239 +tp4328 +a(g740 +Vfun +p4329 +tp4330 +a(g822 +g1239 +tp4331 +a(g431 +Vf +p4332 +tp4333 +a(g822 +g1239 +tp4334 +a(g408 +V=> +p4335 +tp4336 +a(g822 +g1239 +tp4337 +a(g431 +g4332 +tp4338 +a(g822 +V\u000a +p4339 +tp4340 +a(g408 +g1922 +tp4341 +a(g822 +g1239 +tp4342 +a(g431 +g1381 +tp4343 +a(g822 +g1239 +tp4344 +a(g408 +V:: +p4345 +tp4346 +a(g822 +g1239 +tp4347 +a(g431 +Vtl +p4348 +tp4349 +a(g822 +g1239 +tp4350 +a(g408 +V=> +p4351 +tp4352 +a(g822 +g1239 +tp4353 +a(g740 +Vfun +p4354 +tp4355 +a(g822 +g1239 +tp4356 +a(g431 +g4332 +tp4357 +a(g822 +g1239 +tp4358 +a(g408 +V=> +p4359 +tp4360 +a(g822 +g1239 +tp4361 +a(g740 +Vforall +p4362 +tp4363 +a(g822 +g1239 +tp4364 +a(g431 +g1410 +tp4365 +a(g822 +g1239 +tp4366 +a(g408 +g1353 +tp4367 +a(g822 +g1239 +tp4368 +a(g431 +g1381 +tp4369 +a(g408 +g1485 +tp4370 +a(g822 +g1239 +tp4371 +a(g431 +Vpredicate_all +p4372 +tp4373 +a(g822 +g1239 +tp4374 +a(g431 +Vtl +p4375 +tp4376 +a(g822 +g1239 +tp4377 +a(g408 +g1346 +tp4378 +a(g431 +g4332 +tp4379 +a(g822 +g1239 +tp4380 +a(g431 +g1410 +tp4381 +a(g408 +g1360 +tp4382 +a(g822 +V\u000a +p4383 +tp4384 +a(g740 +Vend +p4385 +tp4386 +a(g408 +g1264 +tp4387 +a(g822 +V\u000a\u000a +p4388 +tp4389 +a(g766 +VFixpoint +p4390 +tp4391 +a(g822 +g1239 +tp4392 +a(g431 +Vpredicate_exists +p4393 +tp4394 +a(g822 +g1239 +tp4395 +a(g408 +g1346 +tp4396 +a(g431 +g2063 +tp4397 +a(g822 +g1239 +tp4398 +a(g408 +g1353 +tp4399 +a(g822 +g1239 +tp4400 +a(g744 +Vlist +p4401 +tp4402 +a(g822 +g1239 +tp4403 +a(g744 +VType +p4404 +tp4405 +a(g408 +g1360 +tp4406 +a(g822 +g1239 +tp4407 +a(g408 +g1353 +tp4408 +a(g822 +g1239 +tp4409 +a(g431 +Vpredicate +p4410 +tp4411 +a(g822 +g1239 +tp4412 +a(g431 +g2063 +tp4413 +a(g822 +g1239 +tp4414 +a(g408 +V-> +p4415 +tp4416 +a(g822 +g1239 +tp4417 +a(g744 +VProp +p4418 +tp4419 +a(g822 +g1239 +tp4420 +a(g408 +V:= +p4421 +tp4422 +a(g822 +V\u000a +p4423 +tp4424 +a(g740 +Vmatch +p4425 +tp4426 +a(g822 +g1239 +tp4427 +a(g431 +g2063 +tp4428 +a(g822 +g1239 +tp4429 +a(g740 +Vwith +p4430 +tp4431 +a(g822 +V\u000a +p4432 +tp4433 +a(g408 +g1922 +tp4434 +a(g822 +g1239 +tp4435 +a(g431 +Vnil +p4436 +tp4437 +a(g822 +g1239 +tp4438 +a(g408 +V=> +p4439 +tp4440 +a(g822 +g1239 +tp4441 +a(g740 +Vfun +p4442 +tp4443 +a(g822 +g1239 +tp4444 +a(g431 +g4332 +tp4445 +a(g822 +g1239 +tp4446 +a(g408 +V=> +p4447 +tp4448 +a(g822 +g1239 +tp4449 +a(g431 +g4332 +tp4450 +a(g822 +V\u000a +p4451 +tp4452 +a(g408 +g1922 +tp4453 +a(g822 +g1239 +tp4454 +a(g431 +g1381 +tp4455 +a(g822 +g1239 +tp4456 +a(g408 +V:: +p4457 +tp4458 +a(g822 +g1239 +tp4459 +a(g431 +Vtl +p4460 +tp4461 +a(g822 +g1239 +tp4462 +a(g408 +V=> +p4463 +tp4464 +a(g822 +g1239 +tp4465 +a(g740 +Vfun +p4466 +tp4467 +a(g822 +g1239 +tp4468 +a(g431 +g4332 +tp4469 +a(g822 +g1239 +tp4470 +a(g408 +V=> +p4471 +tp4472 +a(g822 +g1239 +tp4473 +a(g740 +Vexists +p4474 +tp4475 +a(g822 +g1239 +tp4476 +a(g431 +g1410 +tp4477 +a(g822 +g1239 +tp4478 +a(g408 +g1353 +tp4479 +a(g822 +g1239 +tp4480 +a(g431 +g1381 +tp4481 +a(g408 +g1485 +tp4482 +a(g822 +g1239 +tp4483 +a(g431 +Vpredicate_exists +p4484 +tp4485 +a(g822 +g1239 +tp4486 +a(g431 +Vtl +p4487 +tp4488 +a(g822 +g1239 +tp4489 +a(g408 +g1346 +tp4490 +a(g431 +g4332 +tp4491 +a(g822 +g1239 +tp4492 +a(g431 +g1410 +tp4493 +a(g408 +g1360 +tp4494 +a(g822 +V\u000a +p4495 +tp4496 +a(g740 +Vend +p4497 +tp4498 +a(g408 +g1264 +tp4499 +a(g822 +V\u000a\u000a +p4500 +tp4501 +a(g7 +V(* +p4502 +tp4503 +a(g7 +g960 +tp4504 +a(g7 +V Pointwise extension of a binary operation on [T] to a binary operation\u000a on functions whose codomain is [T].\u000a For an operator on [Prop] this lifts the operator to a binary operation. +p4505 +tp4506 +a(g7 +V*) +p4507 +tp4508 +a(g822 +V\u000a\u000a +p4509 +tp4510 +a(g766 +VFixpoint +p4511 +tp4512 +a(g822 +g1239 +tp4513 +a(g431 +Vpointwise_extension +p4514 +tp4515 +a(g822 +g1239 +tp4516 +a(g408 +g1379 +tp4517 +a(g431 +g2057 +tp4518 +a(g822 +g1239 +tp4519 +a(g408 +g1353 +tp4520 +a(g822 +g1239 +tp4521 +a(g744 +VType +p4522 +tp4523 +a(g408 +g1383 +tp4524 +a(g822 +g1239 +tp4525 +a(g408 +g1346 +tp4526 +a(g431 +Vop +p4527 +tp4528 +a(g822 +g1239 +tp4529 +a(g408 +g1353 +tp4530 +a(g822 +g1239 +tp4531 +a(g431 +Vbinary_operation +p4532 +tp4533 +a(g822 +g1239 +tp4534 +a(g431 +g2057 +tp4535 +a(g408 +g1360 +tp4536 +a(g822 +V\u000a +p4537 +tp4538 +a(g408 +g1346 +tp4539 +a(g431 +g2063 +tp4540 +a(g822 +g1239 +tp4541 +a(g408 +g1353 +tp4542 +a(g822 +g1239 +tp4543 +a(g744 +Vlist +p4544 +tp4545 +a(g822 +g1239 +tp4546 +a(g744 +VType +p4547 +tp4548 +a(g408 +g1360 +tp4549 +a(g822 +g1239 +tp4550 +a(g408 +g1353 +tp4551 +a(g822 +g1239 +tp4552 +a(g431 +Vbinary_operation +p4553 +tp4554 +a(g822 +g1239 +tp4555 +a(g408 +g1346 +tp4556 +a(g431 +Varrows +p4557 +tp4558 +a(g822 +g1239 +tp4559 +a(g431 +g2063 +tp4560 +a(g822 +g1239 +tp4561 +a(g431 +g2057 +tp4562 +a(g408 +g1360 +tp4563 +a(g822 +g1239 +tp4564 +a(g408 +V:= +p4565 +tp4566 +a(g822 +V\u000a +p4567 +tp4568 +a(g740 +Vmatch +p4569 +tp4570 +a(g822 +g1239 +tp4571 +a(g431 +g2063 +tp4572 +a(g822 +g1239 +tp4573 +a(g740 +Vwith +p4574 +tp4575 +a(g822 +V\u000a +p4576 +tp4577 +a(g408 +g1922 +tp4578 +a(g822 +g1239 +tp4579 +a(g431 +Vnil +p4580 +tp4581 +a(g822 +g1239 +tp4582 +a(g408 +V=> +p4583 +tp4584 +a(g822 +g1239 +tp4585 +a(g740 +Vfun +p4586 +tp4587 +a(g822 +g1239 +tp4588 +a(g431 +g1340 +tp4589 +a(g822 +g1239 +tp4590 +a(g431 +VR' +p4591 +tp4592 +a(g822 +g1239 +tp4593 +a(g408 +V=> +p4594 +tp4595 +a(g822 +g1239 +tp4596 +a(g431 +Vop +p4597 +tp4598 +a(g822 +g1239 +tp4599 +a(g431 +g1340 +tp4600 +a(g822 +g1239 +tp4601 +a(g431 +VR' +p4602 +tp4603 +a(g822 +V\u000a +p4604 +tp4605 +a(g408 +g1922 +tp4606 +a(g822 +g1239 +tp4607 +a(g431 +g1381 +tp4608 +a(g822 +g1239 +tp4609 +a(g408 +V:: +p4610 +tp4611 +a(g822 +g1239 +tp4612 +a(g431 +Vtl +p4613 +tp4614 +a(g822 +g1239 +tp4615 +a(g408 +V=> +p4616 +tp4617 +a(g822 +g1239 +tp4618 +a(g740 +Vfun +p4619 +tp4620 +a(g822 +g1239 +tp4621 +a(g431 +g1340 +tp4622 +a(g822 +g1239 +tp4623 +a(g431 +VR' +p4624 +tp4625 +a(g822 +g1239 +tp4626 +a(g408 +V=> +p4627 +tp4628 +a(g822 +V\u000a +p4629 +tp4630 +a(g740 +Vfun +p4631 +tp4632 +a(g822 +g1239 +tp4633 +a(g431 +g1410 +tp4634 +a(g822 +g1239 +tp4635 +a(g408 +V=> +p4636 +tp4637 +a(g822 +g1239 +tp4638 +a(g431 +Vpointwise_extension +p4639 +tp4640 +a(g822 +g1239 +tp4641 +a(g431 +Vop +p4642 +tp4643 +a(g822 +g1239 +tp4644 +a(g431 +Vtl +p4645 +tp4646 +a(g822 +g1239 +tp4647 +a(g408 +g1346 +tp4648 +a(g431 +g1340 +tp4649 +a(g822 +g1239 +tp4650 +a(g431 +g1410 +tp4651 +a(g408 +g1360 +tp4652 +a(g822 +g1239 +tp4653 +a(g408 +g1346 +tp4654 +a(g431 +VR' +p4655 +tp4656 +a(g822 +g1239 +tp4657 +a(g431 +g1410 +tp4658 +a(g408 +g1360 +tp4659 +a(g822 +V\u000a +p4660 +tp4661 +a(g740 +Vend +p4662 +tp4663 +a(g408 +g1264 +tp4664 +a(g822 +V\u000a\u000a +p4665 +tp4666 +a(g7 +V(* +p4667 +tp4668 +a(g7 +g960 +tp4669 +a(g7 +V Pointwise lifting, equivalent to doing [pointwise_extension] and closing using [predicate_all]. +p4670 +tp4671 +a(g7 +V*) +p4672 +tp4673 +a(g822 +V\u000a\u000a +p4674 +tp4675 +a(g766 +VFixpoint +p4676 +tp4677 +a(g822 +g1239 +tp4678 +a(g431 +Vpointwise_lifting +p4679 +tp4680 +a(g822 +g1239 +tp4681 +a(g408 +g1346 +tp4682 +a(g431 +Vop +p4683 +tp4684 +a(g822 +g1239 +tp4685 +a(g408 +g1353 +tp4686 +a(g822 +g1239 +tp4687 +a(g431 +Vbinary_relation +p4688 +tp4689 +a(g822 +g1239 +tp4690 +a(g744 +VProp +p4691 +tp4692 +a(g408 +g1360 +tp4693 +a(g822 +V +p4694 +tp4695 +a(g408 +g1346 +tp4696 +a(g431 +g2063 +tp4697 +a(g822 +g1239 +tp4698 +a(g408 +g1353 +tp4699 +a(g822 +g1239 +tp4700 +a(g744 +Vlist +p4701 +tp4702 +a(g822 +g1239 +tp4703 +a(g744 +VType +p4704 +tp4705 +a(g408 +g1360 +tp4706 +a(g822 +g1239 +tp4707 +a(g408 +g1353 +tp4708 +a(g822 +g1239 +tp4709 +a(g431 +Vbinary_relation +p4710 +tp4711 +a(g822 +g1239 +tp4712 +a(g408 +g1346 +tp4713 +a(g431 +Vpredicate +p4714 +tp4715 +a(g822 +g1239 +tp4716 +a(g431 +g2063 +tp4717 +a(g408 +g1360 +tp4718 +a(g822 +g1239 +tp4719 +a(g408 +V:= +p4720 +tp4721 +a(g822 +V\u000a +p4722 +tp4723 +a(g740 +Vmatch +p4724 +tp4725 +a(g822 +g1239 +tp4726 +a(g431 +g2063 +tp4727 +a(g822 +g1239 +tp4728 +a(g740 +Vwith +p4729 +tp4730 +a(g822 +V\u000a +p4731 +tp4732 +a(g408 +g1922 +tp4733 +a(g822 +g1239 +tp4734 +a(g431 +Vnil +p4735 +tp4736 +a(g822 +g1239 +tp4737 +a(g408 +V=> +p4738 +tp4739 +a(g822 +g1239 +tp4740 +a(g740 +Vfun +p4741 +tp4742 +a(g822 +g1239 +tp4743 +a(g431 +g1340 +tp4744 +a(g822 +g1239 +tp4745 +a(g431 +VR' +p4746 +tp4747 +a(g822 +g1239 +tp4748 +a(g408 +V=> +p4749 +tp4750 +a(g822 +g1239 +tp4751 +a(g431 +Vop +p4752 +tp4753 +a(g822 +g1239 +tp4754 +a(g431 +g1340 +tp4755 +a(g822 +g1239 +tp4756 +a(g431 +VR' +p4757 +tp4758 +a(g822 +V\u000a +p4759 +tp4760 +a(g408 +g1922 +tp4761 +a(g822 +g1239 +tp4762 +a(g431 +g1381 +tp4763 +a(g822 +g1239 +tp4764 +a(g408 +V:: +p4765 +tp4766 +a(g822 +g1239 +tp4767 +a(g431 +Vtl +p4768 +tp4769 +a(g822 +g1239 +tp4770 +a(g408 +V=> +p4771 +tp4772 +a(g822 +g1239 +tp4773 +a(g740 +Vfun +p4774 +tp4775 +a(g822 +g1239 +tp4776 +a(g431 +g1340 +tp4777 +a(g822 +g1239 +tp4778 +a(g431 +VR' +p4779 +tp4780 +a(g822 +g1239 +tp4781 +a(g408 +V=> +p4782 +tp4783 +a(g822 +V\u000a +p4784 +tp4785 +a(g740 +Vforall +p4786 +tp4787 +a(g822 +g1239 +tp4788 +a(g431 +g1410 +tp4789 +a(g408 +g1485 +tp4790 +a(g822 +g1239 +tp4791 +a(g431 +Vpointwise_lifting +p4792 +tp4793 +a(g822 +g1239 +tp4794 +a(g431 +Vop +p4795 +tp4796 +a(g822 +g1239 +tp4797 +a(g431 +Vtl +p4798 +tp4799 +a(g822 +g1239 +tp4800 +a(g408 +g1346 +tp4801 +a(g431 +g1340 +tp4802 +a(g822 +g1239 +tp4803 +a(g431 +g1410 +tp4804 +a(g408 +g1360 +tp4805 +a(g822 +g1239 +tp4806 +a(g408 +g1346 +tp4807 +a(g431 +VR' +p4808 +tp4809 +a(g822 +g1239 +tp4810 +a(g431 +g1410 +tp4811 +a(g408 +g1360 +tp4812 +a(g822 +V\u000a +p4813 +tp4814 +a(g740 +Vend +p4815 +tp4816 +a(g408 +g1264 +tp4817 +a(g822 +V\u000a\u000a +p4818 +tp4819 +a(g7 +V(* +p4820 +tp4821 +a(g7 +g960 +tp4822 +a(g7 +V The n-ary equivalence relation, defined by lifting the 0-ary [iff] relation. +p4823 +tp4824 +a(g7 +V*) +p4825 +tp4826 +a(g822 +V\u000a\u000a +p4827 +tp4828 +a(g766 +VDefinition +p4829 +tp4830 +a(g822 +g1239 +tp4831 +a(g431 +Vpredicate_equivalence +p4832 +tp4833 +a(g822 +g1239 +tp4834 +a(g408 +g1379 +tp4835 +a(g431 +g2063 +tp4836 +a(g822 +g1239 +tp4837 +a(g408 +g1353 +tp4838 +a(g822 +g1239 +tp4839 +a(g744 +Vlist +p4840 +tp4841 +a(g822 +g1239 +tp4842 +a(g744 +VType +p4843 +tp4844 +a(g408 +g1383 +tp4845 +a(g822 +g1239 +tp4846 +a(g408 +g1353 +tp4847 +a(g822 +g1239 +tp4848 +a(g431 +Vbinary_relation +p4849 +tp4850 +a(g822 +g1239 +tp4851 +a(g408 +g1346 +tp4852 +a(g431 +Vpredicate +p4853 +tp4854 +a(g822 +g1239 +tp4855 +a(g431 +g2063 +tp4856 +a(g408 +g1360 +tp4857 +a(g822 +g1239 +tp4858 +a(g408 +V:= +p4859 +tp4860 +a(g822 +V\u000a +p4861 +tp4862 +a(g431 +Vpointwise_lifting +p4863 +tp4864 +a(g822 +g1239 +tp4865 +a(g431 +Viff +p4866 +tp4867 +a(g822 +g1239 +tp4868 +a(g431 +g2063 +tp4869 +a(g408 +g1264 +tp4870 +a(g822 +V\u000a\u000a +p4871 +tp4872 +a(g7 +V(* +p4873 +tp4874 +a(g7 +g960 +tp4875 +a(g7 +V The n-ary implication relation, defined by lifting the 0-ary [impl] relation. +p4876 +tp4877 +a(g7 +V*) +p4878 +tp4879 +a(g822 +V\u000a\u000a +p4880 +tp4881 +a(g766 +VDefinition +p4882 +tp4883 +a(g822 +g1239 +tp4884 +a(g431 +Vpredicate_implication +p4885 +tp4886 +a(g822 +g1239 +tp4887 +a(g408 +g1379 +tp4888 +a(g431 +g2063 +tp4889 +a(g822 +g1239 +tp4890 +a(g408 +g1353 +tp4891 +a(g822 +g1239 +tp4892 +a(g744 +Vlist +p4893 +tp4894 +a(g822 +g1239 +tp4895 +a(g744 +VType +p4896 +tp4897 +a(g408 +g1383 +tp4898 +a(g822 +g1239 +tp4899 +a(g408 +V:= +p4900 +tp4901 +a(g822 +V\u000a +p4902 +tp4903 +a(g431 +Vpointwise_lifting +p4904 +tp4905 +a(g822 +g1239 +tp4906 +a(g431 +Vimpl +p4907 +tp4908 +a(g822 +g1239 +tp4909 +a(g431 +g2063 +tp4910 +a(g408 +g1264 +tp4911 +a(g822 +V\u000a\u000a +p4912 +tp4913 +a(g7 +V(* +p4914 +tp4915 +a(g7 +g960 +tp4916 +a(g7 +V Notations for pointwise equivalence and implication of predicates. +p4917 +tp4918 +a(g7 +V*) +p4919 +tp4920 +a(g822 +V\u000a\u000a +p4921 +tp4922 +a(g431 +VInfix +p4923 +tp4924 +a(g822 +g1239 +tp4925 +a(g152 +g2900 +tp4926 +a(g152 +V<\u2219> +p4927 +tp4928 +a(g152 +g2900 +tp4929 +a(g822 +g1239 +tp4930 +a(g408 +V:= +p4931 +tp4932 +a(g822 +g1239 +tp4933 +a(g431 +Vpredicate_equivalence +p4934 +tp4935 +a(g822 +g1239 +tp4936 +a(g408 +g1346 +tp4937 +a(g431 +Vat +p4938 +tp4939 +a(g822 +g1239 +tp4940 +a(g431 +Vlevel +p4941 +tp4942 +a(g822 +g1239 +tp4943 +a(g32 +V95 +p4944 +tp4945 +a(g408 +g1485 +tp4946 +a(g822 +g1239 +tp4947 +a(g431 +Vno +p4948 +tp4949 +a(g822 +g1239 +tp4950 +a(g431 +Vassociativity +p4951 +tp4952 +a(g408 +g1360 +tp4953 +a(g822 +g1239 +tp4954 +a(g408 +g1353 +tp4955 +a(g822 +g1239 +tp4956 +a(g431 +Vpredicate_scope +p4957 +tp4958 +a(g408 +g1264 +tp4959 +a(g822 +V\u000a +p4960 +tp4961 +a(g431 +VInfix +p4962 +tp4963 +a(g822 +g1239 +tp4964 +a(g152 +g2900 +tp4965 +a(g152 +V-\u2219> +p4966 +tp4967 +a(g152 +g2900 +tp4968 +a(g822 +g1239 +tp4969 +a(g408 +V:= +p4970 +tp4971 +a(g822 +g1239 +tp4972 +a(g431 +Vpredicate_implication +p4973 +tp4974 +a(g822 +g1239 +tp4975 +a(g408 +g1346 +tp4976 +a(g431 +Vat +p4977 +tp4978 +a(g822 +g1239 +tp4979 +a(g431 +Vlevel +p4980 +tp4981 +a(g822 +g1239 +tp4982 +a(g32 +V70 +p4983 +tp4984 +a(g408 +g1485 +tp4985 +a(g822 +g1239 +tp4986 +a(g740 +Vright +p4987 +tp4988 +a(g822 +g1239 +tp4989 +a(g431 +Vassociativity +p4990 +tp4991 +a(g408 +g1360 +tp4992 +a(g822 +g1239 +tp4993 +a(g408 +g1353 +tp4994 +a(g822 +g1239 +tp4995 +a(g431 +Vpredicate_scope +p4996 +tp4997 +a(g408 +g1264 +tp4998 +a(g822 +V\u000a\u000a +p4999 +tp5000 +a(g766 +VOpen +p5001 +tp5002 +a(g822 +g1239 +tp5003 +a(g766 +VLocal +p5004 +tp5005 +a(g822 +g1239 +tp5006 +a(g766 +VScope +p5007 +tp5008 +a(g822 +g1239 +tp5009 +a(g431 +Vpredicate_scope +p5010 +tp5011 +a(g408 +g1264 +tp5012 +a(g822 +V\u000a\u000a +p5013 +tp5014 +a(g7 +V(* +p5015 +tp5016 +a(g7 +g960 +tp5017 +a(g7 +V The pointwise liftings of conjunction and disjunctions.\u000a Note that these are [binary_operation]s, building new relations out of old ones. +p5018 +tp5019 +a(g7 +V*) +p5020 +tp5021 +a(g822 +V\u000a\u000a +p5022 +tp5023 +a(g766 +VDefinition +p5024 +tp5025 +a(g822 +g1239 +tp5026 +a(g431 +Vpredicate_intersection +p5027 +tp5028 +a(g822 +g1239 +tp5029 +a(g408 +V:= +p5030 +tp5031 +a(g822 +g1239 +tp5032 +a(g431 +Vpointwise_extension +p5033 +tp5034 +a(g822 +g1239 +tp5035 +a(g431 +Vand +p5036 +tp5037 +a(g408 +g1264 +tp5038 +a(g822 +V\u000a +p5039 +tp5040 +a(g766 +VDefinition +p5041 +tp5042 +a(g822 +g1239 +tp5043 +a(g431 +Vpredicate_union +p5044 +tp5045 +a(g822 +g1239 +tp5046 +a(g408 +V:= +p5047 +tp5048 +a(g822 +g1239 +tp5049 +a(g431 +Vpointwise_extension +p5050 +tp5051 +a(g822 +g1239 +tp5052 +a(g431 +Vor +p5053 +tp5054 +a(g408 +g1264 +tp5055 +a(g822 +V\u000a\u000a +p5056 +tp5057 +a(g431 +VInfix +p5058 +tp5059 +a(g822 +g1239 +tp5060 +a(g152 +g2900 +tp5061 +a(g152 +V/\u2219\u005c +p5062 +tp5063 +a(g152 +g2900 +tp5064 +a(g822 +g1239 +tp5065 +a(g408 +V:= +p5066 +tp5067 +a(g822 +g1239 +tp5068 +a(g431 +Vpredicate_intersection +p5069 +tp5070 +a(g822 +g1239 +tp5071 +a(g408 +g1346 +tp5072 +a(g431 +Vat +p5073 +tp5074 +a(g822 +g1239 +tp5075 +a(g431 +Vlevel +p5076 +tp5077 +a(g822 +g1239 +tp5078 +a(g32 +V80 +p5079 +tp5080 +a(g408 +g1485 +tp5081 +a(g822 +g1239 +tp5082 +a(g740 +Vright +p5083 +tp5084 +a(g822 +g1239 +tp5085 +a(g431 +Vassociativity +p5086 +tp5087 +a(g408 +g1360 +tp5088 +a(g822 +g1239 +tp5089 +a(g408 +g1353 +tp5090 +a(g822 +g1239 +tp5091 +a(g431 +Vpredicate_scope +p5092 +tp5093 +a(g408 +g1264 +tp5094 +a(g822 +V\u000a +p5095 +tp5096 +a(g431 +VInfix +p5097 +tp5098 +a(g822 +g1239 +tp5099 +a(g152 +g2900 +tp5100 +a(g152 +V\u005c\u2219/ +p5101 +tp5102 +a(g152 +g2900 +tp5103 +a(g822 +g1239 +tp5104 +a(g408 +V:= +p5105 +tp5106 +a(g822 +g1239 +tp5107 +a(g431 +Vpredicate_union +p5108 +tp5109 +a(g822 +g1239 +tp5110 +a(g408 +g1346 +tp5111 +a(g431 +Vat +p5112 +tp5113 +a(g822 +g1239 +tp5114 +a(g431 +Vlevel +p5115 +tp5116 +a(g822 +g1239 +tp5117 +a(g32 +V85 +p5118 +tp5119 +a(g408 +g1485 +tp5120 +a(g822 +g1239 +tp5121 +a(g740 +Vright +p5122 +tp5123 +a(g822 +g1239 +tp5124 +a(g431 +Vassociativity +p5125 +tp5126 +a(g408 +g1360 +tp5127 +a(g822 +g1239 +tp5128 +a(g408 +g1353 +tp5129 +a(g822 +g1239 +tp5130 +a(g431 +Vpredicate_scope +p5131 +tp5132 +a(g408 +g1264 +tp5133 +a(g822 +V\u000a\u000a +p5134 +tp5135 +a(g7 +V(* +p5136 +tp5137 +a(g7 +g960 +tp5138 +a(g7 +V The always [True] and always [False] predicates. +p5139 +tp5140 +a(g7 +V*) +p5141 +tp5142 +a(g822 +V\u000a\u000a +p5143 +tp5144 +a(g766 +VFixpoint +p5145 +tp5146 +a(g822 +g1239 +tp5147 +a(g689 +Vtrue +p5148 +tp5149 +a(g408 +g1358 +tp5150 +a(g431 +Vpredicate +p5151 +tp5152 +a(g822 +g1239 +tp5153 +a(g408 +g1379 +tp5154 +a(g431 +g2063 +tp5155 +a(g822 +g1239 +tp5156 +a(g408 +g1353 +tp5157 +a(g822 +g1239 +tp5158 +a(g744 +Vlist +p5159 +tp5160 +a(g822 +g1239 +tp5161 +a(g744 +VType +p5162 +tp5163 +a(g408 +g1383 +tp5164 +a(g822 +g1239 +tp5165 +a(g408 +g1353 +tp5166 +a(g822 +g1239 +tp5167 +a(g431 +Vpredicate +p5168 +tp5169 +a(g822 +g1239 +tp5170 +a(g431 +g2063 +tp5171 +a(g822 +g1239 +tp5172 +a(g408 +V:= +p5173 +tp5174 +a(g822 +V\u000a +p5175 +tp5176 +a(g740 +Vmatch +p5177 +tp5178 +a(g822 +g1239 +tp5179 +a(g431 +g2063 +tp5180 +a(g822 +g1239 +tp5181 +a(g740 +Vwith +p5182 +tp5183 +a(g822 +V\u000a +p5184 +tp5185 +a(g408 +g1922 +tp5186 +a(g822 +g1239 +tp5187 +a(g431 +Vnil +p5188 +tp5189 +a(g822 +g1239 +tp5190 +a(g408 +V=> +p5191 +tp5192 +a(g822 +g1239 +tp5193 +a(g431 +VTrue +p5194 +tp5195 +a(g822 +V\u000a +p5196 +tp5197 +a(g408 +g1922 +tp5198 +a(g822 +g1239 +tp5199 +a(g431 +g1381 +tp5200 +a(g822 +g1239 +tp5201 +a(g408 +V:: +p5202 +tp5203 +a(g822 +g1239 +tp5204 +a(g431 +Vtl +p5205 +tp5206 +a(g822 +g1239 +tp5207 +a(g408 +V=> +p5208 +tp5209 +a(g822 +g1239 +tp5210 +a(g740 +Vfun +p5211 +tp5212 +a(g822 +g1239 +tp5213 +a(g408 +g1358 +tp5214 +a(g822 +g1239 +tp5215 +a(g408 +V=> +p5216 +tp5217 +a(g822 +g1239 +tp5218 +a(g408 +g1670 +tp5219 +a(g689 +Vtrue +p5220 +tp5221 +a(g408 +g1358 +tp5222 +a(g431 +Vpredicate +p5223 +tp5224 +a(g822 +g1239 +tp5225 +a(g431 +Vtl +p5226 +tp5227 +a(g822 +V\u000a +p5228 +tp5229 +a(g740 +Vend +p5230 +tp5231 +a(g408 +g1264 +tp5232 +a(g822 +V\u000a\u000a +p5233 +tp5234 +a(g766 +VFixpoint +p5235 +tp5236 +a(g822 +g1239 +tp5237 +a(g689 +Vfalse +p5238 +tp5239 +a(g408 +g1358 +tp5240 +a(g431 +Vpredicate +p5241 +tp5242 +a(g822 +g1239 +tp5243 +a(g408 +g1379 +tp5244 +a(g431 +g2063 +tp5245 +a(g822 +g1239 +tp5246 +a(g408 +g1353 +tp5247 +a(g822 +g1239 +tp5248 +a(g744 +Vlist +p5249 +tp5250 +a(g822 +g1239 +tp5251 +a(g744 +VType +p5252 +tp5253 +a(g408 +g1383 +tp5254 +a(g822 +g1239 +tp5255 +a(g408 +g1353 +tp5256 +a(g822 +g1239 +tp5257 +a(g431 +Vpredicate +p5258 +tp5259 +a(g822 +g1239 +tp5260 +a(g431 +g2063 +tp5261 +a(g822 +g1239 +tp5262 +a(g408 +V:= +p5263 +tp5264 +a(g822 +V\u000a +p5265 +tp5266 +a(g740 +Vmatch +p5267 +tp5268 +a(g822 +g1239 +tp5269 +a(g431 +g2063 +tp5270 +a(g822 +g1239 +tp5271 +a(g740 +Vwith +p5272 +tp5273 +a(g822 +V\u000a +p5274 +tp5275 +a(g408 +g1922 +tp5276 +a(g822 +g1239 +tp5277 +a(g431 +Vnil +p5278 +tp5279 +a(g822 +g1239 +tp5280 +a(g408 +V=> +p5281 +tp5282 +a(g822 +g1239 +tp5283 +a(g431 +VFalse +p5284 +tp5285 +a(g822 +V\u000a +p5286 +tp5287 +a(g408 +g1922 +tp5288 +a(g822 +g1239 +tp5289 +a(g431 +g1381 +tp5290 +a(g822 +g1239 +tp5291 +a(g408 +V:: +p5292 +tp5293 +a(g822 +g1239 +tp5294 +a(g431 +Vtl +p5295 +tp5296 +a(g822 +g1239 +tp5297 +a(g408 +V=> +p5298 +tp5299 +a(g822 +g1239 +tp5300 +a(g740 +Vfun +p5301 +tp5302 +a(g822 +g1239 +tp5303 +a(g408 +g1358 +tp5304 +a(g822 +g1239 +tp5305 +a(g408 +V=> +p5306 +tp5307 +a(g822 +g1239 +tp5308 +a(g408 +g1670 +tp5309 +a(g689 +Vfalse +p5310 +tp5311 +a(g408 +g1358 +tp5312 +a(g431 +Vpredicate +p5313 +tp5314 +a(g822 +g1239 +tp5315 +a(g431 +Vtl +p5316 +tp5317 +a(g822 +V\u000a +p5318 +tp5319 +a(g740 +Vend +p5320 +tp5321 +a(g408 +g1264 +tp5322 +a(g822 +V\u000a\u000a +p5323 +tp5324 +a(g766 +VNotation +p5325 +tp5326 +a(g822 +g1239 +tp5327 +a(g152 +g2900 +tp5328 +a(g152 +V\u2219\u22a4\u2219 +p5329 +tp5330 +a(g152 +g2900 +tp5331 +a(g822 +g1239 +tp5332 +a(g408 +V:= +p5333 +tp5334 +a(g822 +g1239 +tp5335 +a(g689 +Vtrue +p5336 +tp5337 +a(g408 +g1358 +tp5338 +a(g431 +Vpredicate +p5339 +tp5340 +a(g822 +g1239 +tp5341 +a(g408 +g1353 +tp5342 +a(g822 +g1239 +tp5343 +a(g431 +Vpredicate_scope +p5344 +tp5345 +a(g408 +g1264 +tp5346 +a(g822 +V\u000a +p5347 +tp5348 +a(g766 +VNotation +p5349 +tp5350 +a(g822 +g1239 +tp5351 +a(g152 +g2900 +tp5352 +a(g152 +V\u2219\u22a5\u2219 +p5353 +tp5354 +a(g152 +g2900 +tp5355 +a(g822 +g1239 +tp5356 +a(g408 +V:= +p5357 +tp5358 +a(g822 +g1239 +tp5359 +a(g689 +Vfalse +p5360 +tp5361 +a(g408 +g1358 +tp5362 +a(g431 +Vpredicate +p5363 +tp5364 +a(g822 +g1239 +tp5365 +a(g408 +g1353 +tp5366 +a(g822 +g1239 +tp5367 +a(g431 +Vpredicate_scope +p5368 +tp5369 +a(g408 +g1264 +tp5370 +a(g822 +V\u000a\u000a +p5371 +tp5372 +a(g7 +V(* +p5373 +tp5374 +a(g7 +g960 +tp5375 +a(g7 +V Predicate equivalence is an equivalence, and predicate implication defines a preorder. +p5376 +tp5377 +a(g7 +V*) +p5378 +tp5379 +a(g822 +V\u000a\u000a +p5380 +tp5381 +a(g431 +VProgram +p5382 +tp5383 +a(g822 +g1239 +tp5384 +a(g766 +VInstance +p5385 +tp5386 +a(g822 +g1239 +tp5387 +a(g431 +Vpredicate_equivalence_equivalence +p5388 +tp5389 +a(g822 +g1239 +tp5390 +a(g408 +g1353 +tp5391 +a(g822 +g1239 +tp5392 +a(g431 +VEquivalence +p5393 +tp5394 +a(g822 +g1239 +tp5395 +a(g408 +g1346 +tp5396 +a(g408 +g1670 +tp5397 +a(g431 +Vpredicate_equivalence +p5398 +tp5399 +a(g822 +g1239 +tp5400 +a(g431 +g2063 +tp5401 +a(g408 +g1360 +tp5402 +a(g408 +g1264 +tp5403 +a(g822 +V\u000a +p5404 +tp5405 +a(g431 +VNext +p5406 +tp5407 +a(g822 +g1239 +tp5408 +a(g431 +VObligation +p5409 +tp5410 +a(g408 +g1264 +tp5411 +a(g822 +V\u000a +p5412 +tp5413 +a(g740 +Vinduction +p5414 +tp5415 +a(g822 +g1239 +tp5416 +a(g431 +g2063 +tp5417 +a(g822 +g1239 +tp5418 +a(g408 +g1990 +tp5419 +a(g822 +g1239 +tp5420 +a(g431 +Vfirstorder +p5421 +tp5422 +a(g408 +g1264 +tp5423 +a(g822 +V\u000a +p5424 +tp5425 +a(g766 +VQed +p5426 +tp5427 +a(g408 +g1264 +tp5428 +a(g822 +V\u000a +p5429 +tp5430 +a(g431 +VNext +p5431 +tp5432 +a(g822 +g1239 +tp5433 +a(g431 +VObligation +p5434 +tp5435 +a(g408 +g1264 +tp5436 +a(g822 +V\u000a +p5437 +tp5438 +a(g740 +Vinduction +p5439 +tp5440 +a(g822 +g1239 +tp5441 +a(g431 +g2063 +tp5442 +a(g822 +g1239 +tp5443 +a(g408 +g1990 +tp5444 +a(g822 +g1239 +tp5445 +a(g431 +Vfirstorder +p5446 +tp5447 +a(g408 +g1264 +tp5448 +a(g822 +V\u000a +p5449 +tp5450 +a(g766 +VQed +p5451 +tp5452 +a(g408 +g1264 +tp5453 +a(g822 +V\u000a +p5454 +tp5455 +a(g431 +VNext +p5456 +tp5457 +a(g822 +g1239 +tp5458 +a(g431 +VObligation +p5459 +tp5460 +a(g408 +g1264 +tp5461 +a(g822 +V\u000a +p5462 +tp5463 +a(g740 +Vfold +p5464 +tp5465 +a(g822 +g1239 +tp5466 +a(g431 +Vpointwise_lifting +p5467 +tp5468 +a(g408 +g1264 +tp5469 +a(g822 +V\u000a +p5470 +tp5471 +a(g740 +Vinduction +p5472 +tp5473 +a(g822 +g1239 +tp5474 +a(g431 +g2063 +tp5475 +a(g408 +g1264 +tp5476 +a(g822 +g1239 +tp5477 +a(g431 +Vfirstorder +p5478 +tp5479 +a(g408 +g1264 +tp5480 +a(g822 +V\u000a +p5481 +tp5482 +a(g740 +Vintros +p5483 +tp5484 +a(g408 +g1264 +tp5485 +a(g822 +g1239 +tp5486 +a(g740 +Vsimpl +p5487 +tp5488 +a(g822 +g1239 +tp5489 +a(g740 +Vin +p5490 +tp5491 +a(g822 +g1239 +tp5492 +a(g408 +g960 +tp5493 +a(g408 +g1264 +tp5494 +a(g822 +g1239 +tp5495 +a(g740 +Vpose +p5496 +tp5497 +a(g822 +g1239 +tp5498 +a(g408 +g1346 +tp5499 +a(g431 +VIHl +p5500 +tp5501 +a(g822 +g1239 +tp5502 +a(g408 +g1346 +tp5503 +a(g431 +g1410 +tp5504 +a(g822 +g1239 +tp5505 +a(g431 +Vx0 +p5506 +tp5507 +a(g408 +g1360 +tp5508 +a(g822 +g1239 +tp5509 +a(g408 +g1346 +tp5510 +a(g431 +g1413 +tp5511 +a(g822 +g1239 +tp5512 +a(g431 +Vx0 +p5513 +tp5514 +a(g408 +g1360 +tp5515 +a(g822 +g1239 +tp5516 +a(g408 +g1346 +tp5517 +a(g431 +g1835 +tp5518 +a(g822 +g1239 +tp5519 +a(g431 +Vx0 +p5520 +tp5521 +a(g408 +g1360 +tp5522 +a(g408 +g1360 +tp5523 +a(g408 +g1264 +tp5524 +a(g822 +V\u000a +p5525 +tp5526 +a(g431 +Vfirstorder +p5527 +tp5528 +a(g408 +g1264 +tp5529 +a(g822 +V\u000a +p5530 +tp5531 +a(g766 +VQed +p5532 +tp5533 +a(g408 +g1264 +tp5534 +a(g822 +V\u000a\u000a +p5535 +tp5536 +a(g431 +VProgram +p5537 +tp5538 +a(g822 +g1239 +tp5539 +a(g766 +VInstance +p5540 +tp5541 +a(g822 +g1239 +tp5542 +a(g431 +Vpredicate_implication_preorder +p5543 +tp5544 +a(g822 +g1239 +tp5545 +a(g408 +g1353 +tp5546 +a(g822 +V\u000a +p5547 +tp5548 +a(g431 +VPreOrder +p5549 +tp5550 +a(g822 +g1239 +tp5551 +a(g408 +g1346 +tp5552 +a(g408 +g1670 +tp5553 +a(g431 +Vpredicate_implication +p5554 +tp5555 +a(g822 +g1239 +tp5556 +a(g431 +g2063 +tp5557 +a(g408 +g1360 +tp5558 +a(g408 +g1264 +tp5559 +a(g822 +V\u000a +p5560 +tp5561 +a(g431 +VNext +p5562 +tp5563 +a(g822 +g1239 +tp5564 +a(g431 +VObligation +p5565 +tp5566 +a(g408 +g1264 +tp5567 +a(g822 +V\u000a +p5568 +tp5569 +a(g740 +Vinduction +p5570 +tp5571 +a(g822 +g1239 +tp5572 +a(g431 +g2063 +tp5573 +a(g822 +g1239 +tp5574 +a(g408 +g1990 +tp5575 +a(g822 +g1239 +tp5576 +a(g431 +Vfirstorder +p5577 +tp5578 +a(g408 +g1264 +tp5579 +a(g822 +V\u000a +p5580 +tp5581 +a(g766 +VQed +p5582 +tp5583 +a(g408 +g1264 +tp5584 +a(g822 +V\u000a +p5585 +tp5586 +a(g431 +VNext +p5587 +tp5588 +a(g822 +g1239 +tp5589 +a(g431 +VObligation +p5590 +tp5591 +a(g408 +g1264 +tp5592 +a(g822 +V\u000a +p5593 +tp5594 +a(g740 +Vinduction +p5595 +tp5596 +a(g822 +g1239 +tp5597 +a(g431 +g2063 +tp5598 +a(g408 +g1264 +tp5599 +a(g822 +g1239 +tp5600 +a(g431 +Vfirstorder +p5601 +tp5602 +a(g408 +g1264 +tp5603 +a(g822 +V\u000a +p5604 +tp5605 +a(g740 +Vunfold +p5606 +tp5607 +a(g822 +g1239 +tp5608 +a(g431 +Vpredicate_implication +p5609 +tp5610 +a(g822 +g1239 +tp5611 +a(g740 +Vin +p5612 +tp5613 +a(g822 +g1239 +tp5614 +a(g408 +g960 +tp5615 +a(g408 +g1264 +tp5616 +a(g822 +g1239 +tp5617 +a(g740 +Vsimpl +p5618 +tp5619 +a(g822 +g1239 +tp5620 +a(g740 +Vin +p5621 +tp5622 +a(g822 +g1239 +tp5623 +a(g408 +g960 +tp5624 +a(g408 +g1264 +tp5625 +a(g822 +V\u000a +p5626 +tp5627 +a(g740 +Vintro +p5628 +tp5629 +a(g408 +g1264 +tp5630 +a(g822 +g1239 +tp5631 +a(g740 +Vpose +p5632 +tp5633 +a(g822 +g1239 +tp5634 +a(g408 +g1346 +tp5635 +a(g431 +VIHl +p5636 +tp5637 +a(g822 +g1239 +tp5638 +a(g408 +g1346 +tp5639 +a(g431 +g1410 +tp5640 +a(g822 +g1239 +tp5641 +a(g431 +Vx0 +p5642 +tp5643 +a(g408 +g1360 +tp5644 +a(g822 +g1239 +tp5645 +a(g408 +g1346 +tp5646 +a(g431 +g1413 +tp5647 +a(g822 +g1239 +tp5648 +a(g431 +Vx0 +p5649 +tp5650 +a(g408 +g1360 +tp5651 +a(g822 +g1239 +tp5652 +a(g408 +g1346 +tp5653 +a(g431 +g1835 +tp5654 +a(g822 +g1239 +tp5655 +a(g431 +Vx0 +p5656 +tp5657 +a(g408 +g1360 +tp5658 +a(g408 +g1360 +tp5659 +a(g408 +g1264 +tp5660 +a(g822 +g1239 +tp5661 +a(g431 +Vfirstorder +p5662 +tp5663 +a(g408 +g1264 +tp5664 +a(g822 +V\u000a +p5665 +tp5666 +a(g766 +VQed +p5667 +tp5668 +a(g408 +g1264 +tp5669 +a(g822 +V\u000a\u000a +p5670 +tp5671 +a(g7 +V(* +p5672 +tp5673 +a(g7 +g960 +tp5674 +a(g7 +V We define the various operations which define the algebra on binary relations,\u000a from the general ones. +p5675 +tp5676 +a(g7 +V*) +p5677 +tp5678 +a(g822 +V\u000a\u000a +p5679 +tp5680 +a(g766 +VDefinition +p5681 +tp5682 +a(g822 +g1239 +tp5683 +a(g431 +Vrelation_equivalence +p5684 +tp5685 +a(g822 +g1239 +tp5686 +a(g408 +g1379 +tp5687 +a(g431 +g1381 +tp5688 +a(g822 +g1239 +tp5689 +a(g408 +g1353 +tp5690 +a(g822 +g1239 +tp5691 +a(g744 +VType +p5692 +tp5693 +a(g408 +g1383 +tp5694 +a(g822 +g1239 +tp5695 +a(g408 +g1353 +tp5696 +a(g822 +g1239 +tp5697 +a(g431 +Vrelation +p5698 +tp5699 +a(g822 +g1239 +tp5700 +a(g408 +g1346 +tp5701 +a(g431 +Vrelation +p5702 +tp5703 +a(g822 +g1239 +tp5704 +a(g431 +g1381 +tp5705 +a(g408 +g1360 +tp5706 +a(g822 +g1239 +tp5707 +a(g408 +V:= +p5708 +tp5709 +a(g822 +V\u000a +p5710 +tp5711 +a(g408 +g1670 +tp5712 +a(g431 +Vpredicate_equivalence +p5713 +tp5714 +a(g822 +g1239 +tp5715 +a(g408 +g1346 +tp5716 +a(g408 +g1358 +tp5717 +a(g408 +V:: +p5718 +tp5719 +a(g408 +g1358 +tp5720 +a(g408 +V:: +p5721 +tp5722 +a(g431 +Vnil +p5723 +tp5724 +a(g408 +g1360 +tp5725 +a(g408 +g1264 +tp5726 +a(g822 +V\u000a\u000a +p5727 +tp5728 +a(g766 +VClass +p5729 +tp5730 +a(g822 +g1239 +tp5731 +a(g431 +Vsubrelation +p5732 +tp5733 +a(g822 +g1239 +tp5734 +a(g408 +g1379 +tp5735 +a(g431 +g1381 +tp5736 +a(g408 +g1353 +tp5737 +a(g744 +VType +p5738 +tp5739 +a(g408 +g1383 +tp5740 +a(g822 +g1239 +tp5741 +a(g408 +g1346 +tp5742 +a(g431 +g1340 +tp5743 +a(g822 +g1239 +tp5744 +a(g431 +VR' +p5745 +tp5746 +a(g822 +g1239 +tp5747 +a(g408 +g1353 +tp5748 +a(g822 +g1239 +tp5749 +a(g431 +Vrelation +p5750 +tp5751 +a(g822 +g1239 +tp5752 +a(g431 +g1381 +tp5753 +a(g408 +g1360 +tp5754 +a(g822 +g1239 +tp5755 +a(g408 +g1353 +tp5756 +a(g822 +g1239 +tp5757 +a(g744 +VProp +p5758 +tp5759 +a(g822 +g1239 +tp5760 +a(g408 +V:= +p5761 +tp5762 +a(g822 +V\u000a +p5763 +tp5764 +a(g431 +Vis_subrelation +p5765 +tp5766 +a(g822 +g1239 +tp5767 +a(g408 +g1353 +tp5768 +a(g822 +g1239 +tp5769 +a(g408 +g1670 +tp5770 +a(g431 +Vpredicate_implication +p5771 +tp5772 +a(g822 +g1239 +tp5773 +a(g408 +g1346 +tp5774 +a(g431 +g1381 +tp5775 +a(g408 +V:: +p5776 +tp5777 +a(g431 +g1381 +tp5778 +a(g408 +V:: +p5779 +tp5780 +a(g431 +Vnil +p5781 +tp5782 +a(g408 +g1360 +tp5783 +a(g822 +g1239 +tp5784 +a(g431 +g1340 +tp5785 +a(g822 +g1239 +tp5786 +a(g431 +VR' +p5787 +tp5788 +a(g408 +g1264 +tp5789 +a(g822 +V\u000a\u000a +p5790 +tp5791 +a(g766 +VImplicit +p5792 +tp5793 +a(g822 +g1239 +tp5794 +a(g766 +VArguments +p5795 +tp5796 +a(g822 +g1239 +tp5797 +a(g431 +Vsubrelation +p5798 +tp5799 +a(g822 +g1239 +tp5800 +a(g408 +g1925 +tp5801 +a(g408 +g1925 +tp5802 +a(g431 +g1381 +tp5803 +a(g408 +g1942 +tp5804 +a(g408 +g1942 +tp5805 +a(g408 +g1264 +tp5806 +a(g822 +V\u000a\u000a +p5807 +tp5808 +a(g766 +VDefinition +p5809 +tp5810 +a(g822 +g1239 +tp5811 +a(g431 +Vrelation_conjunction +p5812 +tp5813 +a(g822 +g1239 +tp5814 +a(g408 +g1379 +tp5815 +a(g431 +g1381 +tp5816 +a(g408 +g1383 +tp5817 +a(g822 +g1239 +tp5818 +a(g408 +g1346 +tp5819 +a(g431 +g1340 +tp5820 +a(g822 +g1239 +tp5821 +a(g408 +g1353 +tp5822 +a(g822 +g1239 +tp5823 +a(g431 +Vrelation +p5824 +tp5825 +a(g822 +g1239 +tp5826 +a(g431 +g1381 +tp5827 +a(g408 +g1360 +tp5828 +a(g822 +g1239 +tp5829 +a(g408 +g1346 +tp5830 +a(g431 +VR' +p5831 +tp5832 +a(g822 +g1239 +tp5833 +a(g408 +g1353 +tp5834 +a(g822 +g1239 +tp5835 +a(g431 +Vrelation +p5836 +tp5837 +a(g822 +g1239 +tp5838 +a(g431 +g1381 +tp5839 +a(g408 +g1360 +tp5840 +a(g822 +g1239 +tp5841 +a(g408 +g1353 +tp5842 +a(g822 +g1239 +tp5843 +a(g431 +Vrelation +p5844 +tp5845 +a(g822 +g1239 +tp5846 +a(g431 +g1381 +tp5847 +a(g822 +g1239 +tp5848 +a(g408 +V:= +p5849 +tp5850 +a(g822 +V\u000a +p5851 +tp5852 +a(g408 +g1670 +tp5853 +a(g431 +Vpredicate_intersection +p5854 +tp5855 +a(g822 +g1239 +tp5856 +a(g408 +g1346 +tp5857 +a(g431 +g1381 +tp5858 +a(g408 +V:: +p5859 +tp5860 +a(g431 +g1381 +tp5861 +a(g408 +V:: +p5862 +tp5863 +a(g431 +Vnil +p5864 +tp5865 +a(g408 +g1360 +tp5866 +a(g822 +g1239 +tp5867 +a(g431 +g1340 +tp5868 +a(g822 +g1239 +tp5869 +a(g431 +VR' +p5870 +tp5871 +a(g408 +g1264 +tp5872 +a(g822 +V\u000a\u000a +p5873 +tp5874 +a(g766 +VDefinition +p5875 +tp5876 +a(g822 +g1239 +tp5877 +a(g431 +Vrelation_disjunction +p5878 +tp5879 +a(g822 +g1239 +tp5880 +a(g408 +g1379 +tp5881 +a(g431 +g1381 +tp5882 +a(g408 +g1383 +tp5883 +a(g822 +g1239 +tp5884 +a(g408 +g1346 +tp5885 +a(g431 +g1340 +tp5886 +a(g822 +g1239 +tp5887 +a(g408 +g1353 +tp5888 +a(g822 +g1239 +tp5889 +a(g431 +Vrelation +p5890 +tp5891 +a(g822 +g1239 +tp5892 +a(g431 +g1381 +tp5893 +a(g408 +g1360 +tp5894 +a(g822 +g1239 +tp5895 +a(g408 +g1346 +tp5896 +a(g431 +VR' +p5897 +tp5898 +a(g822 +g1239 +tp5899 +a(g408 +g1353 +tp5900 +a(g822 +g1239 +tp5901 +a(g431 +Vrelation +p5902 +tp5903 +a(g822 +g1239 +tp5904 +a(g431 +g1381 +tp5905 +a(g408 +g1360 +tp5906 +a(g822 +g1239 +tp5907 +a(g408 +g1353 +tp5908 +a(g822 +g1239 +tp5909 +a(g431 +Vrelation +p5910 +tp5911 +a(g822 +g1239 +tp5912 +a(g431 +g1381 +tp5913 +a(g822 +g1239 +tp5914 +a(g408 +V:= +p5915 +tp5916 +a(g822 +V\u000a +p5917 +tp5918 +a(g408 +g1670 +tp5919 +a(g431 +Vpredicate_union +p5920 +tp5921 +a(g822 +g1239 +tp5922 +a(g408 +g1346 +tp5923 +a(g431 +g1381 +tp5924 +a(g408 +V:: +p5925 +tp5926 +a(g431 +g1381 +tp5927 +a(g408 +V:: +p5928 +tp5929 +a(g431 +Vnil +p5930 +tp5931 +a(g408 +g1360 +tp5932 +a(g822 +g1239 +tp5933 +a(g431 +g1340 +tp5934 +a(g822 +g1239 +tp5935 +a(g431 +VR' +p5936 +tp5937 +a(g408 +g1264 +tp5938 +a(g822 +V\u000a\u000a +p5939 +tp5940 +a(g7 +V(* +p5941 +tp5942 +a(g7 +g960 +tp5943 +a(g7 +V Relation equivalence is an equivalence, and subrelation defines a partial order. +p5944 +tp5945 +a(g7 +V*) +p5946 +tp5947 +a(g822 +V\u000a\u000a +p5948 +tp5949 +a(g766 +VSet +p5950 +tp5951 +a(g822 +g1239 +tp5952 +a(g431 +VAutomatic +p5953 +tp5954 +a(g822 +g1239 +tp5955 +a(g431 +VIntroduction +p5956 +tp5957 +a(g408 +g1264 +tp5958 +a(g822 +V\u000a\u000a +p5959 +tp5960 +a(g766 +VInstance +p5961 +tp5962 +a(g822 +g1239 +tp5963 +a(g431 +Vrelation_equivalence_equivalence +p5964 +tp5965 +a(g822 +g1239 +tp5966 +a(g408 +g1346 +tp5967 +a(g431 +g1381 +tp5968 +a(g822 +g1239 +tp5969 +a(g408 +g1353 +tp5970 +a(g822 +g1239 +tp5971 +a(g744 +VType +p5972 +tp5973 +a(g408 +g1360 +tp5974 +a(g822 +g1239 +tp5975 +a(g408 +g1353 +tp5976 +a(g822 +V\u000a +p5977 +tp5978 +a(g431 +VEquivalence +p5979 +tp5980 +a(g822 +g1239 +tp5981 +a(g408 +g1346 +tp5982 +a(g408 +g1670 +tp5983 +a(g431 +Vrelation_equivalence +p5984 +tp5985 +a(g822 +g1239 +tp5986 +a(g431 +g1381 +tp5987 +a(g408 +g1360 +tp5988 +a(g408 +g1264 +tp5989 +a(g822 +V\u000a +p5990 +tp5991 +a(g766 +VProof +p5992 +tp5993 +a(g408 +g1264 +tp5994 +a(g822 +g1239 +tp5995 +a(g781 +Vexact +p5996 +tp5997 +a(g822 +g1239 +tp5998 +a(g408 +g1346 +tp5999 +a(g408 +g1670 +tp6000 +a(g431 +Vpredicate_equivalence_equivalence +p6001 +tp6002 +a(g822 +g1239 +tp6003 +a(g408 +g1346 +tp6004 +a(g431 +g1381 +tp6005 +a(g408 +V:: +p6006 +tp6007 +a(g431 +g1381 +tp6008 +a(g408 +V:: +p6009 +tp6010 +a(g431 +Vnil +p6011 +tp6012 +a(g408 +g1360 +tp6013 +a(g408 +g1360 +tp6014 +a(g408 +g1264 +tp6015 +a(g822 +g1239 +tp6016 +a(g766 +VQed +p6017 +tp6018 +a(g408 +g1264 +tp6019 +a(g822 +V\u000a\u000a +p6020 +tp6021 +a(g766 +VInstance +p6022 +tp6023 +a(g822 +g1239 +tp6024 +a(g431 +Vrelation_implication_preorder +p6025 +tp6026 +a(g822 +g1239 +tp6027 +a(g431 +g1381 +tp6028 +a(g822 +g1239 +tp6029 +a(g408 +g1353 +tp6030 +a(g822 +g1239 +tp6031 +a(g431 +VPreOrder +p6032 +tp6033 +a(g822 +g1239 +tp6034 +a(g408 +g1346 +tp6035 +a(g408 +g1670 +tp6036 +a(g431 +Vsubrelation +p6037 +tp6038 +a(g822 +g1239 +tp6039 +a(g431 +g1381 +tp6040 +a(g408 +g1360 +tp6041 +a(g408 +g1264 +tp6042 +a(g822 +V\u000a +p6043 +tp6044 +a(g766 +VProof +p6045 +tp6046 +a(g408 +g1264 +tp6047 +a(g822 +g1239 +tp6048 +a(g781 +Vexact +p6049 +tp6050 +a(g822 +g1239 +tp6051 +a(g408 +g1346 +tp6052 +a(g408 +g1670 +tp6053 +a(g431 +Vpredicate_implication_preorder +p6054 +tp6055 +a(g822 +g1239 +tp6056 +a(g408 +g1346 +tp6057 +a(g431 +g1381 +tp6058 +a(g408 +V:: +p6059 +tp6060 +a(g431 +g1381 +tp6061 +a(g408 +V:: +p6062 +tp6063 +a(g431 +Vnil +p6064 +tp6065 +a(g408 +g1360 +tp6066 +a(g408 +g1360 +tp6067 +a(g408 +g1264 +tp6068 +a(g822 +g1239 +tp6069 +a(g766 +VQed +p6070 +tp6071 +a(g408 +g1264 +tp6072 +a(g822 +V\u000a\u000a +p6073 +tp6074 +a(g7 +V(* +p6075 +tp6076 +a(g7 +g960 +tp6077 +a(g7 +g1239 +tp6078 +a(g7 +g960 +tp6079 +a(g7 +g960 +tp6080 +a(g7 +g960 +tp6081 +a(g7 +V Partial Order.\u000a A partial order is a preorder which is additionally antisymmetric.\u000a We give an equivalent definition, up-to an equivalence relation\u000a on the carrier. +p6082 +tp6083 +a(g7 +V*) +p6084 +tp6085 +a(g822 +V\u000a\u000a +p6086 +tp6087 +a(g766 +VClass +p6088 +tp6089 +a(g822 +g1239 +tp6090 +a(g431 +VPartialOrder +p6091 +tp6092 +a(g822 +g1239 +tp6093 +a(g408 +g1379 +tp6094 +a(g431 +g1381 +tp6095 +a(g408 +g1383 +tp6096 +a(g822 +g1239 +tp6097 +a(g431 +VeqA +p6098 +tp6099 +a(g822 +g1239 +tp6100 +a(g408 +g2086 +tp6101 +a(g408 +g1379 +tp6102 +a(g431 +Vequ +p6103 +tp6104 +a(g822 +g1239 +tp6105 +a(g408 +g1353 +tp6106 +a(g822 +g1239 +tp6107 +a(g431 +VEquivalence +p6108 +tp6109 +a(g822 +g1239 +tp6110 +a(g431 +g1381 +tp6111 +a(g822 +g1239 +tp6112 +a(g431 +VeqA +p6113 +tp6114 +a(g408 +g1383 +tp6115 +a(g822 +g1239 +tp6116 +a(g431 +g1340 +tp6117 +a(g822 +g1239 +tp6118 +a(g408 +g2086 +tp6119 +a(g408 +g1379 +tp6120 +a(g431 +Vpreo +p6121 +tp6122 +a(g822 +g1239 +tp6123 +a(g408 +g1353 +tp6124 +a(g822 +g1239 +tp6125 +a(g431 +VPreOrder +p6126 +tp6127 +a(g822 +g1239 +tp6128 +a(g431 +g1381 +tp6129 +a(g822 +g1239 +tp6130 +a(g431 +g1340 +tp6131 +a(g408 +g1383 +tp6132 +a(g822 +g1239 +tp6133 +a(g408 +V:= +p6134 +tp6135 +a(g822 +V\u000a +p6136 +tp6137 +a(g431 +Vpartial_order_equivalence +p6138 +tp6139 +a(g822 +g1239 +tp6140 +a(g408 +g1353 +tp6141 +a(g822 +g1239 +tp6142 +a(g431 +Vrelation_equivalence +p6143 +tp6144 +a(g822 +g1239 +tp6145 +a(g431 +VeqA +p6146 +tp6147 +a(g822 +g1239 +tp6148 +a(g408 +g1346 +tp6149 +a(g431 +Vrelation_conjunction +p6150 +tp6151 +a(g822 +g1239 +tp6152 +a(g431 +g1340 +tp6153 +a(g822 +g1239 +tp6154 +a(g408 +g1346 +tp6155 +a(g431 +Vinverse +p6156 +tp6157 +a(g822 +g1239 +tp6158 +a(g431 +g1340 +tp6159 +a(g408 +g1360 +tp6160 +a(g408 +g1360 +tp6161 +a(g408 +g1264 +tp6162 +a(g822 +V\u000a\u000a +p6163 +tp6164 +a(g7 +V(* +p6165 +tp6166 +a(g7 +g960 +tp6167 +a(g7 +V The equivalence proof is sufficient for proving that [R] must be a morphism\u000a for equivalence +p6168 +tp6169 +a(g7 +g1346 +tp6170 +a(g7 +Vsee Morphisms +p6171 +tp6172 +a(g7 +g1360 +tp6173 +a(g7 +V.\u000a It is also sufficient to show that [R] is antisymmetric w.r.t. [eqA] +p6174 +tp6175 +a(g7 +V*) +p6176 +tp6177 +a(g822 +V\u000a\u000a +p6178 +tp6179 +a(g766 +VInstance +p6180 +tp6181 +a(g822 +g1239 +tp6182 +a(g431 +Vpartial_order_antisym +p6183 +tp6184 +a(g822 +g1239 +tp6185 +a(g408 +g2086 +tp6186 +a(g408 +g1346 +tp6187 +a(g431 +VPartialOrder +p6188 +tp6189 +a(g822 +g1239 +tp6190 +a(g431 +g1381 +tp6191 +a(g822 +g1239 +tp6192 +a(g431 +VeqA +p6193 +tp6194 +a(g822 +g1239 +tp6195 +a(g431 +g1340 +tp6196 +a(g408 +g1360 +tp6197 +a(g822 +g1239 +tp6198 +a(g408 +g1353 +tp6199 +a(g822 +g1239 +tp6200 +a(g408 +V! +p6201 +tp6202 +a(g822 +g1239 +tp6203 +a(g431 +VAntisymmetric +p6204 +tp6205 +a(g822 +g1239 +tp6206 +a(g431 +g1381 +tp6207 +a(g822 +g1239 +tp6208 +a(g431 +VeqA +p6209 +tp6210 +a(g822 +g1239 +tp6211 +a(g431 +g1340 +tp6212 +a(g408 +g1264 +tp6213 +a(g822 +V\u000a +p6214 +tp6215 +a(g766 +VProof +p6216 +tp6217 +a(g822 +g1239 +tp6218 +a(g740 +Vwith +p6219 +tp6220 +a(g822 +g1239 +tp6221 +a(g740 +Vauto +p6222 +tp6223 +a(g408 +g1264 +tp6224 +a(g822 +V\u000a +p6225 +tp6226 +a(g431 +Vreduce_goal +p6227 +tp6228 +a(g408 +g1264 +tp6229 +a(g822 +V\u000a +p6230 +tp6231 +a(g740 +Vpose +p6232 +tp6233 +a(g822 +g1239 +tp6234 +a(g431 +Vproof +p6235 +tp6236 +a(g822 +g1239 +tp6237 +a(g431 +Vpartial_order_equivalence +p6238 +tp6239 +a(g822 +g1239 +tp6240 +a(g740 +Vas +p6241 +tp6242 +a(g822 +g1239 +tp6243 +a(g431 +Vpoe +p6244 +tp6245 +a(g408 +g1264 +tp6246 +a(g822 +g1239 +tp6247 +a(g789 +Vdo +p6248 +tp6249 +a(g822 +g1239 +tp6250 +a(g32 +g2130 +tp6251 +a(g822 +g1239 +tp6252 +a(g740 +Vred +p6253 +tp6254 +a(g822 +g1239 +tp6255 +a(g740 +Vin +p6256 +tp6257 +a(g822 +g1239 +tp6258 +a(g431 +Vpoe +p6259 +tp6260 +a(g408 +g1264 +tp6261 +a(g822 +V\u000a +p6262 +tp6263 +a(g740 +Vapply +p6264 +tp6265 +a(g822 +g1239 +tp6266 +a(g408 +V<- +p6267 +tp6268 +a(g822 +g1239 +tp6269 +a(g431 +Vpoe +p6270 +tp6271 +a(g408 +g1264 +tp6272 +a(g822 +g1239 +tp6273 +a(g431 +Vfirstorder +p6274 +tp6275 +a(g408 +g1264 +tp6276 +a(g822 +V\u000a +p6277 +tp6278 +a(g766 +VQed +p6279 +tp6280 +a(g408 +g1264 +tp6281 +a(g822 +V\u000a\u000a +p6282 +tp6283 +a(g7 +V(* +p6284 +tp6285 +a(g7 +g960 +tp6286 +a(g7 +V The partial order defined by subrelation and relation equivalence. +p6287 +tp6288 +a(g7 +V*) +p6289 +tp6290 +a(g822 +V\u000a\u000a +p6291 +tp6292 +a(g431 +VProgram +p6293 +tp6294 +a(g822 +g1239 +tp6295 +a(g766 +VInstance +p6296 +tp6297 +a(g822 +g1239 +tp6298 +a(g431 +Vsubrelation_partial_order +p6299 +tp6300 +a(g822 +g1239 +tp6301 +a(g408 +g1353 +tp6302 +a(g822 +V\u000a +p6303 +tp6304 +a(g408 +g6201 +tp6305 +a(g822 +g1239 +tp6306 +a(g431 +VPartialOrder +p6307 +tp6308 +a(g822 +g1239 +tp6309 +a(g408 +g1346 +tp6310 +a(g431 +Vrelation +p6311 +tp6312 +a(g822 +g1239 +tp6313 +a(g431 +g1381 +tp6314 +a(g408 +g1360 +tp6315 +a(g822 +g1239 +tp6316 +a(g431 +Vrelation_equivalence +p6317 +tp6318 +a(g822 +g1239 +tp6319 +a(g431 +Vsubrelation +p6320 +tp6321 +a(g408 +g1264 +tp6322 +a(g822 +V\u000a\u000a +p6323 +tp6324 +a(g431 +VNext +p6325 +tp6326 +a(g822 +g1239 +tp6327 +a(g431 +VObligation +p6328 +tp6329 +a(g408 +g1264 +tp6330 +a(g822 +V\u000a +p6331 +tp6332 +a(g766 +VProof +p6333 +tp6334 +a(g408 +g1264 +tp6335 +a(g822 +V\u000a +p6336 +tp6337 +a(g740 +Vunfold +p6338 +tp6339 +a(g822 +g1239 +tp6340 +a(g431 +Vrelation_equivalence +p6341 +tp6342 +a(g822 +g1239 +tp6343 +a(g740 +Vin +p6344 +tp6345 +a(g822 +g1239 +tp6346 +a(g408 +g960 +tp6347 +a(g408 +g1264 +tp6348 +a(g822 +g1239 +tp6349 +a(g431 +Vfirstorder +p6350 +tp6351 +a(g408 +g1264 +tp6352 +a(g822 +V\u000a +p6353 +tp6354 +a(g766 +VQed +p6355 +tp6356 +a(g408 +g1264 +tp6357 +a(g822 +V\u000a\u000a +p6358 +tp6359 +a(g431 +VTypeclasses +p6360 +tp6361 +a(g822 +g1239 +tp6362 +a(g431 +VOpaque +p6363 +tp6364 +a(g822 +g1239 +tp6365 +a(g431 +Varrows +p6366 +tp6367 +a(g822 +g1239 +tp6368 +a(g431 +Vpredicate_implication +p6369 +tp6370 +a(g822 +g1239 +tp6371 +a(g431 +Vpredicate_equivalence +p6372 +tp6373 +a(g822 +V\u000a +p6374 +tp6375 +a(g431 +Vrelation_equivalence +p6376 +tp6377 +a(g822 +g1239 +tp6378 +a(g431 +Vpointwise_lifting +p6379 +tp6380 +a(g408 +g1264 +tp6381 +a(g822 +V\u000a\u000a +p6382 +tp6383 +a(g7 +V(* +p6384 +tp6385 +a(g7 +g960 +tp6386 +a(g7 +V Rewrite relation on a given support: declares a relation as a rewrite\u000a relation for use by the generalized rewriting tactic.\u000a It helps choosing if a rewrite should be handled\u000a by the generalized or the regular rewriting tactic using leibniz equality.\u000a Users can declare an [RewriteRelation A RA] anywhere to declare default\u000a relations. This is also done automatically by the [Declare Relation A RA]\u000a commands. +p6387 +tp6388 +a(g7 +V*) +p6389 +tp6390 +a(g822 +V\u000a\u000a +p6391 +tp6392 +a(g766 +VClass +p6393 +tp6394 +a(g822 +g1239 +tp6395 +a(g431 +VRewriteRelation +p6396 +tp6397 +a(g822 +g1239 +tp6398 +a(g408 +g1379 +tp6399 +a(g431 +g1381 +tp6400 +a(g822 +g1239 +tp6401 +a(g408 +g1353 +tp6402 +a(g822 +g1239 +tp6403 +a(g744 +VType +p6404 +tp6405 +a(g408 +g1383 +tp6406 +a(g822 +g1239 +tp6407 +a(g408 +g1346 +tp6408 +a(g431 +VRA +p6409 +tp6410 +a(g822 +g1239 +tp6411 +a(g408 +g1353 +tp6412 +a(g822 +g1239 +tp6413 +a(g431 +Vrelation +p6414 +tp6415 +a(g822 +g1239 +tp6416 +a(g431 +g1381 +tp6417 +a(g408 +g1360 +tp6418 +a(g408 +g1264 +tp6419 +a(g822 +V\u000a\u000a +p6420 +tp6421 +a(g766 +VInstance +p6422 +tp6423 +a(g408 +g1353 +tp6424 +a(g822 +g1239 +tp6425 +a(g431 +VRewriteRelation +p6426 +tp6427 +a(g822 +g1239 +tp6428 +a(g431 +Vimpl +p6429 +tp6430 +a(g408 +g1264 +tp6431 +a(g822 +V\u000a +p6432 +tp6433 +a(g766 +VInstance +p6434 +tp6435 +a(g408 +g1353 +tp6436 +a(g822 +g1239 +tp6437 +a(g431 +VRewriteRelation +p6438 +tp6439 +a(g822 +g1239 +tp6440 +a(g431 +Viff +p6441 +tp6442 +a(g408 +g1264 +tp6443 +a(g822 +V\u000a +p6444 +tp6445 +a(g766 +VInstance +p6446 +tp6447 +a(g408 +g1353 +tp6448 +a(g822 +g1239 +tp6449 +a(g431 +VRewriteRelation +p6450 +tp6451 +a(g822 +g1239 +tp6452 +a(g408 +g1346 +tp6453 +a(g408 +g1670 +tp6454 +a(g431 +Vrelation_equivalence +p6455 +tp6456 +a(g822 +g1239 +tp6457 +a(g431 +g1381 +tp6458 +a(g408 +g1360 +tp6459 +a(g408 +g1264 +tp6460 +a(g822 +V\u000a\u000a +p6461 +tp6462 +a(g7 +V(* +p6463 +tp6464 +a(g7 +g960 +tp6465 +a(g7 +V Any [Equivalence] declared in the context is automatically considered\u000a a rewrite relation. +p6466 +tp6467 +a(g7 +V*) +p6468 +tp6469 +a(g822 +V\u000a\u000a +p6470 +tp6471 +a(g766 +VInstance +p6472 +tp6473 +a(g822 +g1239 +tp6474 +a(g431 +Vequivalence_rewrite_relation +p6475 +tp6476 +a(g822 +g1239 +tp6477 +a(g408 +g2086 +tp6478 +a(g408 +g1346 +tp6479 +a(g431 +VEquivalence +p6480 +tp6481 +a(g822 +g1239 +tp6482 +a(g431 +g1381 +tp6483 +a(g822 +g1239 +tp6484 +a(g431 +VeqA +p6485 +tp6486 +a(g408 +g1360 +tp6487 +a(g822 +g1239 +tp6488 +a(g408 +g1353 +tp6489 +a(g822 +g1239 +tp6490 +a(g431 +VRewriteRelation +p6491 +tp6492 +a(g822 +g1239 +tp6493 +a(g431 +VeqA +p6494 +tp6495 +a(g408 +g1264 +tp6496 +a(g822 +V\u000a\u000a +p6497 +tp6498 +a(g7 +V(* +p6499 +tp6500 +a(g7 +g960 +tp6501 +a(g7 +V Strict Order +p6502 +tp6503 +a(g7 +V*) +p6504 +tp6505 +a(g822 +V\u000a\u000a +p6506 +tp6507 +a(g766 +VClass +p6508 +tp6509 +a(g822 +g1239 +tp6510 +a(g431 +VStrictOrder +p6511 +tp6512 +a(g822 +g1239 +tp6513 +a(g408 +g1379 +tp6514 +a(g431 +g1381 +tp6515 +a(g822 +g1239 +tp6516 +a(g408 +g1353 +tp6517 +a(g822 +g1239 +tp6518 +a(g744 +VType +p6519 +tp6520 +a(g408 +g1383 +tp6521 +a(g822 +g1239 +tp6522 +a(g408 +g1346 +tp6523 +a(g431 +g1340 +tp6524 +a(g822 +g1239 +tp6525 +a(g408 +g1353 +tp6526 +a(g822 +g1239 +tp6527 +a(g431 +Vrelation +p6528 +tp6529 +a(g822 +g1239 +tp6530 +a(g431 +g1381 +tp6531 +a(g408 +g1360 +tp6532 +a(g822 +g1239 +tp6533 +a(g408 +V:= +p6534 +tp6535 +a(g822 +g1239 +tp6536 +a(g408 +g1379 +tp6537 +a(g822 +V\u000a +p6538 +tp6539 +a(g431 +VStrictOrder_Irreflexive +p6540 +tp6541 +a(g822 +g1239 +tp6542 +a(g408 +V:> +p6543 +tp6544 +a(g822 +g1239 +tp6545 +a(g431 +VIrreflexive +p6546 +tp6547 +a(g822 +g1239 +tp6548 +a(g431 +g1340 +tp6549 +a(g822 +g1239 +tp6550 +a(g408 +g1990 +tp6551 +a(g822 +V\u000a +p6552 +tp6553 +a(g431 +VStrictOrder_Transitive +p6554 +tp6555 +a(g822 +g1239 +tp6556 +a(g408 +V:> +p6557 +tp6558 +a(g822 +g1239 +tp6559 +a(g431 +VTransitive +p6560 +tp6561 +a(g822 +g1239 +tp6562 +a(g431 +g1340 +tp6563 +a(g822 +V\u000a +p6564 +tp6565 +a(g408 +g1383 +tp6566 +a(g408 +g1264 +tp6567 +a(g822 +V\u000a\u000a +p6568 +tp6569 +a(g766 +VInstance +p6570 +tp6571 +a(g822 +g1239 +tp6572 +a(g431 +VStrictOrder_Asymmetric +p6573 +tp6574 +a(g822 +g1239 +tp6575 +a(g408 +g2086 +tp6576 +a(g408 +g1346 +tp6577 +a(g431 +VStrictOrder +p6578 +tp6579 +a(g822 +g1239 +tp6580 +a(g431 +g1381 +tp6581 +a(g822 +g1239 +tp6582 +a(g431 +g1340 +tp6583 +a(g408 +g1360 +tp6584 +a(g822 +g1239 +tp6585 +a(g408 +g1353 +tp6586 +a(g822 +g1239 +tp6587 +a(g431 +VAsymmetric +p6588 +tp6589 +a(g822 +g1239 +tp6590 +a(g431 +g1340 +tp6591 +a(g408 +g1264 +tp6592 +a(g822 +V\u000a +p6593 +tp6594 +a(g766 +VProof +p6595 +tp6596 +a(g408 +g1264 +tp6597 +a(g822 +g1239 +tp6598 +a(g431 +Vfirstorder +p6599 +tp6600 +a(g408 +g1264 +tp6601 +a(g822 +g1239 +tp6602 +a(g766 +VQed +p6603 +tp6604 +a(g408 +g1264 +tp6605 +a(g822 +V\u000a\u000a +p6606 +tp6607 +a(g7 +V(* +p6608 +tp6609 +a(g7 +g960 +tp6610 +a(g7 +V Inversing a [StrictOrder] gives another [StrictOrder] +p6611 +tp6612 +a(g7 +V*) +p6613 +tp6614 +a(g822 +V\u000a\u000a +p6615 +tp6616 +a(g766 +VLemma +p6617 +tp6618 +a(g822 +g1239 +tp6619 +a(g431 +VStrictOrder_inverse +p6620 +tp6621 +a(g822 +g1239 +tp6622 +a(g408 +g2086 +tp6623 +a(g408 +g1346 +tp6624 +a(g431 +VStrictOrder +p6625 +tp6626 +a(g822 +g1239 +tp6627 +a(g431 +g1381 +tp6628 +a(g822 +g1239 +tp6629 +a(g431 +g1340 +tp6630 +a(g408 +g1360 +tp6631 +a(g822 +g1239 +tp6632 +a(g408 +g1353 +tp6633 +a(g822 +g1239 +tp6634 +a(g431 +VStrictOrder +p6635 +tp6636 +a(g822 +g1239 +tp6637 +a(g408 +g1346 +tp6638 +a(g431 +Vinverse +p6639 +tp6640 +a(g822 +g1239 +tp6641 +a(g431 +g1340 +tp6642 +a(g408 +g1360 +tp6643 +a(g408 +g1264 +tp6644 +a(g822 +V\u000a +p6645 +tp6646 +a(g766 +VProof +p6647 +tp6648 +a(g408 +g1264 +tp6649 +a(g822 +g1239 +tp6650 +a(g431 +Vfirstorder +p6651 +tp6652 +a(g408 +g1264 +tp6653 +a(g822 +g1239 +tp6654 +a(g766 +VQed +p6655 +tp6656 +a(g408 +g1264 +tp6657 +a(g822 +V\u000a\u000a +p6658 +tp6659 +a(g7 +V(* +p6660 +tp6661 +a(g7 +g960 +tp6662 +a(g7 +V Same for [PartialOrder]. +p6663 +tp6664 +a(g7 +V*) +p6665 +tp6666 +a(g822 +V\u000a\u000a +p6667 +tp6668 +a(g766 +VLemma +p6669 +tp6670 +a(g822 +g1239 +tp6671 +a(g431 +VPreOrder_inverse +p6672 +tp6673 +a(g822 +g1239 +tp6674 +a(g408 +g2086 +tp6675 +a(g408 +g1346 +tp6676 +a(g431 +VPreOrder +p6677 +tp6678 +a(g822 +g1239 +tp6679 +a(g431 +g1381 +tp6680 +a(g822 +g1239 +tp6681 +a(g431 +g1340 +tp6682 +a(g408 +g1360 +tp6683 +a(g822 +g1239 +tp6684 +a(g408 +g1353 +tp6685 +a(g822 +g1239 +tp6686 +a(g431 +VPreOrder +p6687 +tp6688 +a(g822 +g1239 +tp6689 +a(g408 +g1346 +tp6690 +a(g431 +Vinverse +p6691 +tp6692 +a(g822 +g1239 +tp6693 +a(g431 +g1340 +tp6694 +a(g408 +g1360 +tp6695 +a(g408 +g1264 +tp6696 +a(g822 +V\u000a +p6697 +tp6698 +a(g766 +VProof +p6699 +tp6700 +a(g408 +g1264 +tp6701 +a(g822 +g1239 +tp6702 +a(g431 +Vfirstorder +p6703 +tp6704 +a(g408 +g1264 +tp6705 +a(g822 +g1239 +tp6706 +a(g766 +VQed +p6707 +tp6708 +a(g408 +g1264 +tp6709 +a(g822 +V\u000a\u000a +p6710 +tp6711 +a(g766 +VHint +p6712 +tp6713 +a(g822 +g1239 +tp6714 +a(g431 +VExtern +p6715 +tp6716 +a(g822 +g1239 +tp6717 +a(g32 +g2130 +tp6718 +a(g822 +g1239 +tp6719 +a(g408 +g1346 +tp6720 +a(g431 +VStrictOrder +p6721 +tp6722 +a(g822 +g1239 +tp6723 +a(g408 +g1346 +tp6724 +a(g431 +Vinverse +p6725 +tp6726 +a(g822 +g1239 +tp6727 +a(g408 +g1358 +tp6728 +a(g408 +g1360 +tp6729 +a(g408 +g1360 +tp6730 +a(g822 +g1239 +tp6731 +a(g408 +V=> +p6732 +tp6733 +a(g822 +g1239 +tp6734 +a(g431 +Vclass_apply +p6735 +tp6736 +a(g822 +g1239 +tp6737 +a(g431 +VStrictOrder_inverse +p6738 +tp6739 +a(g822 +g1239 +tp6740 +a(g408 +g1353 +tp6741 +a(g822 +g1239 +tp6742 +a(g431 +Vtypeclass_instances +p6743 +tp6744 +a(g408 +g1264 +tp6745 +a(g822 +V\u000a +p6746 +tp6747 +a(g766 +VHint +p6748 +tp6749 +a(g822 +g1239 +tp6750 +a(g431 +VExtern +p6751 +tp6752 +a(g822 +g1239 +tp6753 +a(g32 +g2130 +tp6754 +a(g822 +g1239 +tp6755 +a(g408 +g1346 +tp6756 +a(g431 +VPreOrder +p6757 +tp6758 +a(g822 +g1239 +tp6759 +a(g408 +g1346 +tp6760 +a(g431 +Vinverse +p6761 +tp6762 +a(g822 +g1239 +tp6763 +a(g408 +g1358 +tp6764 +a(g408 +g1360 +tp6765 +a(g408 +g1360 +tp6766 +a(g822 +g1239 +tp6767 +a(g408 +V=> +p6768 +tp6769 +a(g822 +g1239 +tp6770 +a(g431 +Vclass_apply +p6771 +tp6772 +a(g822 +g1239 +tp6773 +a(g431 +VPreOrder_inverse +p6774 +tp6775 +a(g822 +g1239 +tp6776 +a(g408 +g1353 +tp6777 +a(g822 +g1239 +tp6778 +a(g431 +Vtypeclass_instances +p6779 +tp6780 +a(g408 +g1264 +tp6781 +a(g822 +V\u000a\u000a +p6782 +tp6783 +a(g766 +VLemma +p6784 +tp6785 +a(g822 +g1239 +tp6786 +a(g431 +VPartialOrder_inverse +p6787 +tp6788 +a(g822 +g1239 +tp6789 +a(g408 +g2086 +tp6790 +a(g408 +g1346 +tp6791 +a(g431 +VPartialOrder +p6792 +tp6793 +a(g822 +g1239 +tp6794 +a(g431 +g1381 +tp6795 +a(g822 +g1239 +tp6796 +a(g431 +VeqA +p6797 +tp6798 +a(g822 +g1239 +tp6799 +a(g431 +g1340 +tp6800 +a(g408 +g1360 +tp6801 +a(g822 +g1239 +tp6802 +a(g408 +g1353 +tp6803 +a(g822 +g1239 +tp6804 +a(g431 +VPartialOrder +p6805 +tp6806 +a(g822 +g1239 +tp6807 +a(g431 +VeqA +p6808 +tp6809 +a(g822 +g1239 +tp6810 +a(g408 +g1346 +tp6811 +a(g431 +Vinverse +p6812 +tp6813 +a(g822 +g1239 +tp6814 +a(g431 +g1340 +tp6815 +a(g408 +g1360 +tp6816 +a(g408 +g1264 +tp6817 +a(g822 +V\u000a +p6818 +tp6819 +a(g766 +VProof +p6820 +tp6821 +a(g408 +g1264 +tp6822 +a(g822 +g1239 +tp6823 +a(g431 +Vfirstorder +p6824 +tp6825 +a(g408 +g1264 +tp6826 +a(g822 +g1239 +tp6827 +a(g766 +VQed +p6828 +tp6829 +a(g408 +g1264 +tp6830 +a(g822 +V\u000a\u000a +p6831 +tp6832 +a(g766 +VHint +p6833 +tp6834 +a(g822 +g1239 +tp6835 +a(g431 +VExtern +p6836 +tp6837 +a(g822 +g1239 +tp6838 +a(g32 +g2130 +tp6839 +a(g822 +g1239 +tp6840 +a(g408 +g1346 +tp6841 +a(g431 +VPartialOrder +p6842 +tp6843 +a(g822 +g1239 +tp6844 +a(g408 +g1346 +tp6845 +a(g431 +Vinverse +p6846 +tp6847 +a(g822 +g1239 +tp6848 +a(g408 +g1358 +tp6849 +a(g408 +g1360 +tp6850 +a(g408 +g1360 +tp6851 +a(g822 +g1239 +tp6852 +a(g408 +V=> +p6853 +tp6854 +a(g822 +g1239 +tp6855 +a(g431 +Vclass_apply +p6856 +tp6857 +a(g822 +g1239 +tp6858 +a(g431 +VPartialOrder_inverse +p6859 +tp6860 +a(g822 +g1239 +tp6861 +a(g408 +g1353 +tp6862 +a(g822 +g1239 +tp6863 +a(g431 +Vtypeclass_instances +p6864 +tp6865 +a(g408 +g1264 +tp6866 +a(g822 +V\u000a +p6867 +tp6868 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/core.cljs b/tests/examplefiles/output/core.cljs new file mode 100644 index 0000000..76b8635 --- /dev/null +++ b/tests/examplefiles/output/core.cljs @@ -0,0 +1,3549 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV( +p956 +tp957 +a(g875 +Vns +p958 +tp959 +a(g428 +Vbounder.core +p960 +tp961 +a(g892 +V\u000a +p962 +tp963 +a(g7 +g956 +tp964 +a(g104 +V:require +p965 +tp966 +a(g892 +V +p967 +tp968 +a(g7 +V[ +p969 +tp970 +a(g428 +Vbounder.html +p971 +tp972 +a(g892 +g967 +tp973 +a(g104 +V:as +p974 +tp975 +a(g892 +g967 +tp976 +a(g428 +Vhtml +p977 +tp978 +a(g7 +V] +p979 +tp980 +a(g892 +V\u000a +p981 +tp982 +a(g7 +g969 +tp983 +a(g428 +Vdomina +p984 +tp985 +a(g892 +g967 +tp986 +a(g104 +V:refer +p987 +tp988 +a(g892 +g967 +tp989 +a(g7 +g969 +tp990 +a(g428 +Vvalue +p991 +tp992 +a(g892 +g967 +tp993 +a(g428 +Vset-value! +p994 +tp995 +a(g892 +g967 +tp996 +a(g428 +Vsingle-node +p997 +tp998 +a(g7 +g979 +tp999 +a(g7 +g979 +tp1000 +a(g892 +V\u000a +p1001 +tp1002 +a(g7 +g969 +tp1003 +a(g428 +Vdomina.css +p1004 +tp1005 +a(g892 +g967 +tp1006 +a(g104 +V:refer +p1007 +tp1008 +a(g892 +g967 +tp1009 +a(g7 +g969 +tp1010 +a(g428 +Vsel +p1011 +tp1012 +a(g7 +g979 +tp1013 +a(g7 +g979 +tp1014 +a(g892 +V\u000a +p1015 +tp1016 +a(g7 +g969 +tp1017 +a(g428 +Vlowline.functions +p1018 +tp1019 +a(g892 +g967 +tp1020 +a(g104 +V:refer +p1021 +tp1022 +a(g892 +g967 +tp1023 +a(g7 +g969 +tp1024 +a(g428 +Vdebounce +p1025 +tp1026 +a(g7 +g979 +tp1027 +a(g7 +g979 +tp1028 +a(g892 +V\u000a +p1029 +tp1030 +a(g7 +g969 +tp1031 +a(g428 +Venfocus.core +p1032 +tp1033 +a(g892 +g967 +tp1034 +a(g104 +V:refer +p1035 +tp1036 +a(g892 +g967 +tp1037 +a(g7 +g969 +tp1038 +a(g428 +Vat +p1039 +tp1040 +a(g7 +g979 +tp1041 +a(g7 +g979 +tp1042 +a(g892 +V\u000a +p1043 +tp1044 +a(g7 +g969 +tp1045 +a(g428 +Vcljs.reader +p1046 +tp1047 +a(g892 +g967 +tp1048 +a(g104 +V:as +p1049 +tp1050 +a(g892 +g967 +tp1051 +a(g428 +Vreader +p1052 +tp1053 +a(g7 +g979 +tp1054 +a(g892 +V\u000a +p1055 +tp1056 +a(g7 +g969 +tp1057 +a(g428 +Vclojure.string +p1058 +tp1059 +a(g892 +g967 +tp1060 +a(g104 +V:as +p1061 +tp1062 +a(g892 +g967 +tp1063 +a(g428 +Vs +p1064 +tp1065 +a(g7 +g979 +tp1066 +a(g7 +V) +p1067 +tp1068 +a(g892 +V\u000a +p1069 +tp1070 +a(g7 +g956 +tp1071 +a(g104 +V:require-macros +p1072 +tp1073 +a(g892 +g967 +tp1074 +a(g7 +g969 +tp1075 +a(g428 +Venfocus.macros +p1076 +tp1077 +a(g892 +g967 +tp1078 +a(g104 +V:as +p1079 +tp1080 +a(g892 +g967 +tp1081 +a(g428 +Vem +p1082 +tp1083 +a(g7 +g979 +tp1084 +a(g7 +g1067 +tp1085 +a(g7 +g1067 +tp1086 +a(g892 +V\u000a\u000a +p1087 +tp1088 +a(g7 +g956 +tp1089 +a(g810 +Vdef +p1090 +tp1091 +a(g428 +Vfilter-input +p1092 +tp1093 +a(g892 +V \u000a +p1094 +tp1095 +a(g7 +g956 +tp1096 +a(g561 +Vsingle-node +p1097 +tp1098 +a(g892 +V \u000a +p1099 +tp1100 +a(g7 +g956 +tp1101 +a(g561 +Vsel +p1102 +tp1103 +a(g892 +g967 +tp1104 +a(g76 +V".search input" +p1105 +tp1106 +a(g7 +g1067 +tp1107 +a(g7 +g1067 +tp1108 +a(g7 +g1067 +tp1109 +a(g892 +V\u000a\u000a +p1110 +tp1111 +a(g7 +g956 +tp1112 +a(g875 +Vdefn +p1113 +tp1114 +a(g428 +Vproject-matches +p1115 +tp1116 +a(g892 +g967 +tp1117 +a(g7 +g969 +tp1118 +a(g428 +Vquery +p1119 +tp1120 +a(g892 +g967 +tp1121 +a(g428 +Vproject +p1122 +tp1123 +a(g7 +g979 +tp1124 +a(g892 +V\u000a +p1125 +tp1126 +a(g7 +g956 +tp1127 +a(g810 +Vlet +p1128 +tp1129 +a(g7 +g969 +tp1130 +a(g428 +Vwords +p1131 +tp1132 +a(g892 +g967 +tp1133 +a(g7 +g956 +tp1134 +a(g669 +Vcons +p1135 +tp1136 +a(g7 +g956 +tp1137 +a(g104 +V:name +p1138 +tp1139 +a(g892 +g967 +tp1140 +a(g428 +Vproject +p1141 +tp1142 +a(g7 +g1067 +tp1143 +a(g892 +V\u000a +p1144 +tp1145 +a(g7 +g956 +tp1146 +a(g669 +Vmap +p1147 +tp1148 +a(g669 +Vname +p1149 +tp1150 +a(g7 +g956 +tp1151 +a(g104 +V:categories +p1152 +tp1153 +a(g892 +g967 +tp1154 +a(g428 +Vproject +p1155 +tp1156 +a(g7 +g1067 +tp1157 +a(g7 +g1067 +tp1158 +a(g7 +g1067 +tp1159 +a(g892 +V\u000a +p1160 +tp1161 +a(g428 +Vto-match +p1162 +tp1163 +a(g892 +g967 +tp1164 +a(g7 +g956 +tp1165 +a(g561 +V->> +p1166 +tp1167 +a(g892 +g967 +tp1168 +a(g428 +Vwords +p1169 +tp1170 +a(g892 +V\u000a +p1171 +tp1172 +a(g7 +g956 +tp1173 +a(g561 +Vs/join +p1174 +tp1175 +a(g892 +g967 +tp1176 +a(g76 +V"" +p1177 +tp1178 +a(g7 +g1067 +tp1179 +a(g892 +V\u000a +p1180 +tp1181 +a(g7 +g956 +tp1182 +a(g561 +Vs/lower-case +p1183 +tp1184 +a(g7 +g1067 +tp1185 +a(g7 +g1067 +tp1186 +a(g7 +g979 +tp1187 +a(g892 +V\u000a +p1188 +tp1189 +a(g7 +g956 +tp1190 +a(g669 +V<= +p1191 +tp1192 +a(g22 +V0 +p1193 +tp1194 +a(g892 +g967 +tp1195 +a(g7 +g956 +tp1196 +a(g561 +V.indexOf +p1197 +tp1198 +a(g892 +g967 +tp1199 +a(g428 +Vto-match +p1200 +tp1201 +a(g892 +g967 +tp1202 +a(g7 +g956 +tp1203 +a(g561 +Vs/lower-case +p1204 +tp1205 +a(g892 +g967 +tp1206 +a(g428 +Vquery +p1207 +tp1208 +a(g7 +g1067 +tp1209 +a(g7 +g1067 +tp1210 +a(g7 +g1067 +tp1211 +a(g7 +g1067 +tp1212 +a(g7 +g1067 +tp1213 +a(g892 +V\u000a\u000a +p1214 +tp1215 +a(g7 +g956 +tp1216 +a(g875 +Vdefn +p1217 +tp1218 +a(g428 +Vapply-filter-for +p1219 +tp1220 +a(g892 +g967 +tp1221 +a(g7 +g969 +tp1222 +a(g428 +Vprojects +p1223 +tp1224 +a(g7 +g979 +tp1225 +a(g892 +V\u000a +p1226 +tp1227 +a(g7 +g956 +tp1228 +a(g810 +Vlet +p1229 +tp1230 +a(g7 +g969 +tp1231 +a(g428 +Vquery +p1232 +tp1233 +a(g892 +g967 +tp1234 +a(g7 +g956 +tp1235 +a(g561 +Vvalue +p1236 +tp1237 +a(g892 +g967 +tp1238 +a(g428 +Vfilter-input +p1239 +tp1240 +a(g7 +g1067 +tp1241 +a(g7 +g979 +tp1242 +a(g892 +V\u000a +p1243 +tp1244 +a(g7 +g956 +tp1245 +a(g561 +Vhtml/render-projects +p1246 +tp1247 +a(g892 +V \u000a +p1248 +tp1249 +a(g7 +g956 +tp1250 +a(g669 +Vfilter +p1251 +tp1252 +a(g7 +g956 +tp1253 +a(g669 +Vpartial +p1254 +tp1255 +a(g428 +Vproject-matches +p1256 +tp1257 +a(g892 +g967 +tp1258 +a(g428 +Vquery +p1259 +tp1260 +a(g7 +g1067 +tp1261 +a(g892 +V\u000a +p1262 +tp1263 +a(g428 +Vprojects +p1264 +tp1265 +a(g7 +g1067 +tp1266 +a(g7 +g1067 +tp1267 +a(g7 +g1067 +tp1268 +a(g7 +g1067 +tp1269 +a(g892 +V\u000a\u000a +p1270 +tp1271 +a(g7 +g956 +tp1272 +a(g875 +Vdefn +p1273 +tp1274 +a(g428 +Vfilter-category +p1275 +tp1276 +a(g892 +g967 +tp1277 +a(g7 +g969 +tp1278 +a(g428 +Vprojects +p1279 +tp1280 +a(g892 +g967 +tp1281 +a(g428 +Vevt +p1282 +tp1283 +a(g7 +g979 +tp1284 +a(g892 +V\u000a +p1285 +tp1286 +a(g7 +g956 +tp1287 +a(g810 +Vlet +p1288 +tp1289 +a(g7 +g969 +tp1290 +a(g428 +Vtarget +p1291 +tp1292 +a(g892 +g967 +tp1293 +a(g7 +g956 +tp1294 +a(g561 +V.-currentTarget +p1295 +tp1296 +a(g892 +g967 +tp1297 +a(g428 +Vevt +p1298 +tp1299 +a(g7 +g1067 +tp1300 +a(g7 +g979 +tp1301 +a(g892 +V\u000a +p1302 +tp1303 +a(g7 +g956 +tp1304 +a(g561 +Vset-value! +p1305 +tp1306 +a(g892 +g967 +tp1307 +a(g428 +Vfilter-input +p1308 +tp1309 +a(g892 +V \u000a +p1310 +tp1311 +a(g7 +g956 +tp1312 +a(g561 +V.-innerHTML +p1313 +tp1314 +a(g892 +g967 +tp1315 +a(g428 +Vtarget +p1316 +tp1317 +a(g7 +g1067 +tp1318 +a(g7 +g1067 +tp1319 +a(g892 +V\u000a +p1320 +tp1321 +a(g7 +g956 +tp1322 +a(g561 +Vapply-filter-for +p1323 +tp1324 +a(g892 +g967 +tp1325 +a(g428 +Vprojects +p1326 +tp1327 +a(g7 +g1067 +tp1328 +a(g7 +g1067 +tp1329 +a(g7 +g1067 +tp1330 +a(g892 +V\u000a\u000a +p1331 +tp1332 +a(g7 +g956 +tp1333 +a(g875 +Vdefn +p1334 +tp1335 +a(g428 +Vinit-listeners +p1336 +tp1337 +a(g892 +g967 +tp1338 +a(g7 +g969 +tp1339 +a(g428 +Vprojects +p1340 +tp1341 +a(g7 +g979 +tp1342 +a(g892 +V\u000a +p1343 +tp1344 +a(g7 +g956 +tp1345 +a(g561 +Vat +p1346 +tp1347 +a(g892 +g967 +tp1348 +a(g428 +Vjs/document +p1349 +tp1350 +a(g892 +V\u000a +p1351 +tp1352 +a(g7 +g969 +tp1353 +a(g76 +V"input" +p1354 +tp1355 +a(g7 +g979 +tp1356 +a(g892 +g967 +tp1357 +a(g7 +g956 +tp1358 +a(g561 +Vem/listen +p1359 +tp1360 +a(g892 +V\u000a +p1361 +tp1362 +a(g104 +V:keyup +p1363 +tp1364 +a(g892 +V\u000a +p1365 +tp1366 +a(g7 +g956 +tp1367 +a(g561 +Vdebounce +p1368 +tp1369 +a(g892 +V\u000a +p1370 +tp1371 +a(g7 +g956 +tp1372 +a(g669 +Vpartial +p1373 +tp1374 +a(g428 +Vapply-filter-for +p1375 +tp1376 +a(g892 +g967 +tp1377 +a(g428 +Vprojects +p1378 +tp1379 +a(g7 +g1067 +tp1380 +a(g892 +V\u000a +p1381 +tp1382 +a(g22 +V500 +p1383 +tp1384 +a(g7 +g1067 +tp1385 +a(g7 +g1067 +tp1386 +a(g892 +V\u000a +p1387 +tp1388 +a(g7 +g969 +tp1389 +a(g76 +V".category-links li" +p1390 +tp1391 +a(g7 +g979 +tp1392 +a(g892 +g967 +tp1393 +a(g7 +g956 +tp1394 +a(g561 +Vem/listen +p1395 +tp1396 +a(g892 +V\u000a +p1397 +tp1398 +a(g104 +V:click +p1399 +tp1400 +a(g892 +V\u000a +p1401 +tp1402 +a(g7 +g956 +tp1403 +a(g669 +Vpartial +p1404 +tp1405 +a(g428 +Vfilter-category +p1406 +tp1407 +a(g892 +g967 +tp1408 +a(g428 +Vprojects +p1409 +tp1410 +a(g7 +g1067 +tp1411 +a(g7 +g1067 +tp1412 +a(g7 +g1067 +tp1413 +a(g7 +g1067 +tp1414 +a(g892 +V\u000a\u000a +p1415 +tp1416 +a(g7 +g956 +tp1417 +a(g875 +Vdefn +p1418 +tp1419 +a(g428 +Vinit +p1420 +tp1421 +a(g892 +g967 +tp1422 +a(g7 +g969 +tp1423 +a(g428 +Vprojects-edn +p1424 +tp1425 +a(g7 +g979 +tp1426 +a(g892 +V\u000a +p1427 +tp1428 +a(g7 +g956 +tp1429 +a(g810 +Vlet +p1430 +tp1431 +a(g7 +g969 +tp1432 +a(g428 +Vprojects +p1433 +tp1434 +a(g892 +g967 +tp1435 +a(g7 +g956 +tp1436 +a(g561 +Vreader/read-string +p1437 +tp1438 +a(g892 +g967 +tp1439 +a(g428 +Vprojects-edn +p1440 +tp1441 +a(g7 +g1067 +tp1442 +a(g7 +g979 +tp1443 +a(g892 +V\u000a +p1444 +tp1445 +a(g7 +g956 +tp1446 +a(g561 +Vinit-listeners +p1447 +tp1448 +a(g892 +g967 +tp1449 +a(g428 +Vprojects +p1450 +tp1451 +a(g7 +g1067 +tp1452 +a(g892 +V\u000a +p1453 +tp1454 +a(g7 +g956 +tp1455 +a(g561 +Vhtml/render-projects +p1456 +tp1457 +a(g892 +g967 +tp1458 +a(g428 +Vprojects +p1459 +tp1460 +a(g7 +g1067 +tp1461 +a(g892 +V\u000a +p1462 +tp1463 +a(g7 +g956 +tp1464 +a(g561 +Vhtml/loaded +p1465 +tp1466 +a(g7 +g1067 +tp1467 +a(g7 +g1067 +tp1468 +a(g7 +g1067 +tp1469 +a(g892 +V\u000a +p1470 +tp1471 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/database.pytb b/tests/examplefiles/output/database.pytb new file mode 100644 index 0000000..f89291b --- /dev/null +++ b/tests/examplefiles/output/database.pytb @@ -0,0 +1,2886 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Other' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sg4 +g1 +(g2 +g3 +(g14 +g4 +tp57 +tp58 +Rp59 +(dp60 +g9 +g39 +sg29 +g30 +((lp61 +tp62 +Rp63 +sbsS'Char' +p64 +g1 +(g2 +g3 +(g14 +g64 +tp65 +tp66 +Rp67 +(dp68 +g9 +g39 +sg29 +g30 +((lp69 +tp70 +Rp71 +sbsS'String' +p72 +g1 +(g2 +g3 +(g14 +g72 +tp73 +tp74 +Rp75 +(dp76 +g64 +g1 +(g2 +g3 +(g14 +g72 +g64 +tp77 +tp78 +Rp79 +(dp80 +g9 +g75 +sg29 +g30 +((lp81 +tp82 +Rp83 +sbsS'Backtick' +p84 +g1 +(g2 +g3 +(g14 +g72 +g84 +tp85 +tp86 +Rp87 +(dp88 +g9 +g75 +sg29 +g30 +((lp89 +tp90 +Rp91 +sbsS'Heredoc' +p92 +g1 +(g2 +g3 +(g14 +g72 +g92 +tp93 +tp94 +Rp95 +(dp96 +g9 +g75 +sg29 +g30 +((lp97 +tp98 +Rp99 +sbsS'Symbol' +p100 +g1 +(g2 +g3 +(g14 +g72 +g100 +tp101 +tp102 +Rp103 +(dp104 +g9 +g75 +sg29 +g30 +((lp105 +tp106 +Rp107 +sbsS'Interpol' +p108 +g1 +(g2 +g3 +(g14 +g72 +g108 +tp109 +tp110 +Rp111 +(dp112 +g9 +g75 +sg29 +g30 +((lp113 +tp114 +Rp115 +sbsS'Delimiter' +p116 +g1 +(g2 +g3 +(g14 +g72 +g116 +tp117 +tp118 +Rp119 +(dp120 +g9 +g75 +sg29 +g30 +((lp121 +tp122 +Rp123 +sbsS'Boolean' +p124 +g1 +(g2 +g3 +(g14 +g72 +g124 +tp125 +tp126 +Rp127 +(dp128 +g9 +g75 +sg29 +g30 +((lp129 +tp130 +Rp131 +sbsS'Character' +p132 +g1 +(g2 +g3 +(g14 +g72 +g132 +tp133 +tp134 +Rp135 +(dp136 +g9 +g75 +sg29 +g30 +((lp137 +tp138 +Rp139 +sbsS'Double' +p140 +g1 +(g2 +g3 +(g14 +g72 +g140 +tp141 +tp142 +Rp143 +(dp144 +g9 +g75 +sg29 +g30 +((lp145 +tp146 +Rp147 +sbsS'Delimeter' +p148 +g1 +(g2 +g3 +(g14 +g72 +g148 +tp149 +tp150 +Rp151 +(dp152 +g9 +g75 +sg29 +g30 +((lp153 +tp154 +Rp155 +sbsS'Atom' +p156 +g1 +(g2 +g3 +(g14 +g72 +g156 +tp157 +tp158 +Rp159 +(dp160 +g9 +g75 +sg29 +g30 +((lp161 +tp162 +Rp163 +sbsS'Affix' +p164 +g1 +(g2 +g3 +(g14 +g72 +g164 +tp165 +tp166 +Rp167 +(dp168 +g9 +g75 +sg29 +g30 +((lp169 +tp170 +Rp171 +sbsS'Name' +p172 +g1 +(g2 +g3 +(g14 +g72 +g172 +tp173 +tp174 +Rp175 +(dp176 +g9 +g75 +sg29 +g30 +((lp177 +tp178 +Rp179 +sbsS'Regex' +p180 +g1 +(g2 +g3 +(g14 +g72 +g180 +tp181 +tp182 +Rp183 +(dp184 +g9 +g75 +sg29 +g30 +((lp185 +tp186 +Rp187 +sbsS'Interp' +p188 +g1 +(g2 +g3 +(g14 +g72 +g188 +tp189 +tp190 +Rp191 +(dp192 +g9 +g75 +sg29 +g30 +((lp193 +tp194 +Rp195 +sbsS'Escape' +p196 +g1 +(g2 +g3 +(g14 +g72 +g196 +tp197 +tp198 +Rp199 +(dp200 +g9 +g75 +sg29 +g30 +((lp201 +tp202 +Rp203 +sbsg29 +g30 +((lp204 +g119 +ag103 +ag183 +ag1 +(g2 +g3 +(g14 +g72 +S'Doc' +p205 +tp206 +tp207 +Rp208 +(dp209 +g9 +g75 +sg29 +g30 +((lp210 +tp211 +Rp212 +sbag135 +ag127 +ag143 +ag111 +ag159 +ag151 +ag175 +ag199 +ag1 +(g2 +g3 +(g14 +g72 +S'Single' +p213 +tp214 +tp215 +Rp216 +(dp217 +g9 +g75 +sg29 +g30 +((lp218 +tp219 +Rp220 +sbag1 +(g2 +g3 +(g14 +g72 +g4 +tp221 +tp222 +Rp223 +(dp224 +g9 +g75 +sg29 +g30 +((lp225 +tp226 +Rp227 +sbag191 +ag87 +ag167 +ag1 +(g2 +g3 +(g14 +g72 +S'Moment' +p228 +tp229 +tp230 +Rp231 +(dp232 +g9 +g75 +sg29 +g30 +((lp233 +tp234 +Rp235 +sbag79 +ag95 +atp236 +Rp237 +sg213 +g216 +sg228 +g231 +sg9 +g39 +sg4 +g223 +sg205 +g208 +sbsg29 +g30 +((lp238 +g75 +ag67 +ag59 +ag1 +(g2 +g3 +(g14 +S'Date' +p239 +tp240 +tp241 +Rp242 +(dp243 +g9 +g39 +sg29 +g30 +((lp244 +tp245 +Rp246 +sbag44 +ag17 +atp247 +Rp248 +sg239 +g242 +sbsS'Bin' +p249 +g1 +(g2 +g3 +(g14 +g13 +g249 +tp250 +tp251 +Rp252 +(dp253 +g9 +g17 +sg29 +g30 +((lp254 +tp255 +Rp256 +sbsS'Radix' +p257 +g1 +(g2 +g3 +(g14 +g13 +g257 +tp258 +tp259 +Rp260 +(dp261 +g9 +g17 +sg29 +g30 +((lp262 +tp263 +Rp264 +sbsS'Oct' +p265 +g1 +(g2 +g3 +(g14 +g13 +g265 +tp266 +tp267 +Rp268 +(dp269 +g9 +g17 +sg29 +g30 +((lp270 +tp271 +Rp272 +sbsS'Dec' +p273 +g1 +(g2 +g3 +(g14 +g13 +g273 +tp274 +tp275 +Rp276 +(dp277 +g9 +g17 +sg29 +g30 +((lp278 +tp279 +Rp280 +sbsS'Hex' +p281 +g1 +(g2 +g3 +(g14 +g13 +g281 +tp282 +tp283 +Rp284 +(dp285 +g9 +g17 +sg29 +g30 +((lp286 +tp287 +Rp288 +sbsg29 +g30 +((lp289 +g22 +ag260 +ag276 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p290 +tp291 +tp292 +Rp293 +(dp294 +g9 +g17 +sg29 +g30 +((lp295 +tp296 +Rp297 +sbag252 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p298 +tp299 +tp300 +Rp301 +(dp302 +g9 +g17 +sg29 +g30 +((lp303 +tp304 +Rp305 +sbag268 +ag284 +atp306 +Rp307 +sg290 +g293 +sg298 +g301 +sbsS'Generic' +p308 +g1 +(g2 +g3 +(g308 +tp309 +tp310 +Rp311 +(dp312 +g9 +g11 +sS'Deleted' +p313 +g1 +(g2 +g3 +(g308 +g313 +tp314 +tp315 +Rp316 +(dp317 +g9 +g311 +sg29 +g30 +((lp318 +tp319 +Rp320 +sbsS'Subheading' +p321 +g1 +(g2 +g3 +(g308 +g321 +tp322 +tp323 +Rp324 +(dp325 +g9 +g311 +sg29 +g30 +((lp326 +tp327 +Rp328 +sbsS'Heading' +p329 +g1 +(g2 +g3 +(g308 +g329 +tp330 +tp331 +Rp332 +(dp333 +g9 +g311 +sg29 +g30 +((lp334 +tp335 +Rp336 +sbsS'Emph' +p337 +g1 +(g2 +g3 +(g308 +g337 +tp338 +tp339 +Rp340 +(dp341 +g9 +g311 +sg29 +g30 +((lp342 +tp343 +Rp344 +sbsS'Prompt' +p345 +g1 +(g2 +g3 +(g308 +g345 +tp346 +tp347 +Rp348 +(dp349 +g9 +g311 +sg29 +g30 +((lp350 +tp351 +Rp352 +sbsS'Inserted' +p353 +g1 +(g2 +g3 +(g308 +g353 +tp354 +tp355 +Rp356 +(dp357 +g9 +g311 +sg29 +g30 +((lp358 +tp359 +Rp360 +sbsS'Strong' +p361 +g1 +(g2 +g3 +(g308 +g361 +tp362 +tp363 +Rp364 +(dp365 +g9 +g311 +sg29 +g30 +((lp366 +tp367 +Rp368 +sbsS'Error' +p369 +g1 +(g2 +g3 +(g308 +g369 +tp370 +tp371 +Rp372 +(dp373 +g9 +g311 +sg29 +g30 +((lp374 +tp375 +Rp376 +sbsS'Traceback' +p377 +g1 +(g2 +g3 +(g308 +g377 +tp378 +tp379 +Rp380 +(dp381 +g9 +g311 +sg29 +g30 +((lp382 +tp383 +Rp384 +sbsg29 +g30 +((lp385 +g332 +ag324 +ag1 +(g2 +g3 +(g308 +S'Output' +p386 +tp387 +tp388 +Rp389 +(dp390 +g9 +g311 +sg29 +g30 +((lp391 +tp392 +Rp393 +sbag364 +ag340 +ag372 +ag380 +ag356 +ag348 +ag316 +atp394 +Rp395 +sg386 +g389 +sbsS'Operator' +p396 +g1 +(g2 +g3 +(g396 +tp397 +tp398 +Rp399 +(dp400 +g9 +g11 +sS'DBS' +p401 +g1 +(g2 +g3 +(g396 +g401 +tp402 +tp403 +Rp404 +(dp405 +g9 +g399 +sg29 +g30 +((lp406 +tp407 +Rp408 +sbsg29 +g30 +((lp409 +g404 +ag1 +(g2 +g3 +(g396 +S'Word' +p410 +tp411 +tp412 +Rp413 +(dp414 +g9 +g399 +sg29 +g30 +((lp415 +tp416 +Rp417 +sbatp418 +Rp419 +sg410 +g413 +sbsg72 +g75 +sg172 +g1 +(g2 +g3 +(g172 +tp420 +tp421 +Rp422 +(dp423 +S'Variable' +p424 +g1 +(g2 +g3 +(g172 +g424 +tp425 +tp426 +Rp427 +(dp428 +g9 +g422 +sS'Class' +p429 +g1 +(g2 +g3 +(g172 +g424 +g429 +tp430 +tp431 +Rp432 +(dp433 +g9 +g427 +sg29 +g30 +((lp434 +tp435 +Rp436 +sbsS'Anonymous' +p437 +g1 +(g2 +g3 +(g172 +g424 +g437 +tp438 +tp439 +Rp440 +(dp441 +g9 +g427 +sg29 +g30 +((lp442 +tp443 +Rp444 +sbsS'Instance' +p445 +g1 +(g2 +g3 +(g172 +g424 +g445 +tp446 +tp447 +Rp448 +(dp449 +g9 +g427 +sg29 +g30 +((lp450 +tp451 +Rp452 +sbsS'Global' +p453 +g1 +(g2 +g3 +(g172 +g424 +g453 +tp454 +tp455 +Rp456 +(dp457 +g9 +g427 +sg29 +g30 +((lp458 +tp459 +Rp460 +sbsg29 +g30 +((lp461 +g440 +ag448 +ag1 +(g2 +g3 +(g172 +g424 +S'Magic' +p462 +tp463 +tp464 +Rp465 +(dp466 +g9 +g427 +sg29 +g30 +((lp467 +tp468 +Rp469 +sbag456 +ag432 +atp470 +Rp471 +sg462 +g465 +sbsg396 +g1 +(g2 +g3 +(g172 +g396 +tp472 +tp473 +Rp474 +(dp475 +g9 +g422 +sg29 +g30 +((lp476 +tp477 +Rp478 +sbsS'Decorator' +p479 +g1 +(g2 +g3 +(g172 +g479 +tp480 +tp481 +Rp482 +(dp483 +g9 +g422 +sg29 +g30 +((lp484 +tp485 +Rp486 +sbsS'Entity' +p487 +g1 +(g2 +g3 +(g172 +g487 +tp488 +tp489 +Rp490 +(dp491 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g487 +g401 +tp492 +tp493 +Rp494 +(dp495 +g9 +g490 +sg29 +g30 +((lp496 +tp497 +Rp498 +sbsg29 +g30 +((lp499 +g494 +atp500 +Rp501 +sbsg100 +g1 +(g2 +g3 +(g172 +g100 +tp502 +tp503 +Rp504 +(dp505 +g9 +g422 +sg29 +g30 +((lp506 +tp507 +Rp508 +sbsS'Property' +p509 +g1 +(g2 +g3 +(g172 +g509 +tp510 +tp511 +Rp512 +(dp513 +g9 +g422 +sg29 +g30 +((lp514 +tp515 +Rp516 +sbsS'Pseudo' +p517 +g1 +(g2 +g3 +(g172 +g517 +tp518 +tp519 +Rp520 +(dp521 +g9 +g422 +sg29 +g30 +((lp522 +tp523 +Rp524 +sbsS'Type' +p525 +g1 +(g2 +g3 +(g172 +g525 +tp526 +tp527 +Rp528 +(dp529 +g9 +g422 +sg29 +g30 +((lp530 +tp531 +Rp532 +sbsS'Classes' +p533 +g1 +(g2 +g3 +(g172 +g533 +tp534 +tp535 +Rp536 +(dp537 +g9 +g422 +sg29 +g30 +((lp538 +tp539 +Rp540 +sbsS'Tag' +p541 +g1 +(g2 +g3 +(g172 +g541 +tp542 +tp543 +Rp544 +(dp545 +g9 +g422 +sg29 +g30 +((lp546 +tp547 +Rp548 +sbsS'Constant' +p549 +g1 +(g2 +g3 +(g172 +g549 +tp550 +tp551 +Rp552 +(dp553 +g9 +g422 +sg29 +g30 +((lp554 +tp555 +Rp556 +sbsS'Function' +p557 +g1 +(g2 +g3 +(g172 +g557 +tp558 +tp559 +Rp560 +(dp561 +g9 +g422 +sg29 +g30 +((lp562 +g1 +(g2 +g3 +(g172 +g557 +g462 +tp563 +tp564 +Rp565 +(dp566 +g9 +g560 +sg29 +g30 +((lp567 +tp568 +Rp569 +sbatp570 +Rp571 +sg462 +g565 +sbsS'Blubb' +p572 +g1 +(g2 +g3 +(g172 +g572 +tp573 +tp574 +Rp575 +(dp576 +g9 +g422 +sg29 +g30 +((lp577 +tp578 +Rp579 +sbsS'Label' +p580 +g1 +(g2 +g3 +(g172 +g580 +tp581 +tp582 +Rp583 +(dp584 +g9 +g422 +sg29 +g30 +((lp585 +tp586 +Rp587 +sbsS'Field' +p588 +g1 +(g2 +g3 +(g172 +g588 +tp589 +tp590 +Rp591 +(dp592 +g9 +g422 +sg29 +g30 +((lp593 +tp594 +Rp595 +sbsS'Exception' +p596 +g1 +(g2 +g3 +(g172 +g596 +tp597 +tp598 +Rp599 +(dp600 +g9 +g422 +sg29 +g30 +((lp601 +tp602 +Rp603 +sbsS'Namespace' +p604 +g1 +(g2 +g3 +(g172 +g604 +tp605 +tp606 +Rp607 +(dp608 +g9 +g422 +sg29 +g30 +((lp609 +tp610 +Rp611 +sbsg29 +g30 +((lp612 +g482 +ag575 +ag520 +ag490 +ag427 +ag599 +ag512 +ag544 +ag560 +ag536 +ag1 +(g2 +g3 +(g172 +g429 +tp613 +tp614 +Rp615 +(dp616 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g429 +g401 +tp617 +tp618 +Rp619 +(dp620 +g9 +g615 +sg29 +g30 +((lp621 +tp622 +Rp623 +sbsg29 +g30 +((lp624 +g1 +(g2 +g3 +(g172 +g429 +S'Start' +p625 +tp626 +tp627 +Rp628 +(dp629 +g9 +g615 +sg29 +g30 +((lp630 +tp631 +Rp632 +sbag619 +atp633 +Rp634 +sg625 +g628 +sbag1 +(g2 +g3 +(g172 +g4 +tp635 +tp636 +Rp637 +(dp638 +g9 +g422 +sS'Member' +p639 +g1 +(g2 +g3 +(g172 +g4 +g639 +tp640 +tp641 +Rp642 +(dp643 +g9 +g637 +sg29 +g30 +((lp644 +tp645 +Rp646 +sbsg29 +g30 +((lp647 +g642 +atp648 +Rp649 +sbag583 +ag474 +ag607 +ag1 +(g2 +g3 +(g172 +S'Attribute' +p650 +tp651 +tp652 +Rp653 +(dp654 +g9 +g422 +sg424 +g1 +(g2 +g3 +(g172 +g650 +g424 +tp655 +tp656 +Rp657 +(dp658 +g9 +g653 +sg29 +g30 +((lp659 +tp660 +Rp661 +sbsg29 +g30 +((lp662 +g657 +atp663 +Rp664 +sbag552 +ag1 +(g2 +g3 +(g172 +S'Builtin' +p665 +tp666 +tp667 +Rp668 +(dp669 +g9 +g422 +sg525 +g1 +(g2 +g3 +(g172 +g665 +g525 +tp670 +tp671 +Rp672 +(dp673 +g9 +g668 +sg29 +g30 +((lp674 +tp675 +Rp676 +sbsg29 +g30 +((lp677 +g1 +(g2 +g3 +(g172 +g665 +g517 +tp678 +tp679 +Rp680 +(dp681 +g9 +g668 +sg29 +g30 +((lp682 +tp683 +Rp684 +sbag672 +atp685 +Rp686 +sg517 +g680 +sbag591 +ag528 +ag504 +atp687 +Rp688 +sg9 +g11 +sg429 +g615 +sg665 +g668 +sg650 +g653 +sg4 +g637 +sbsS'Punctuation' +p689 +g1 +(g2 +g3 +(g689 +tp690 +tp691 +Rp692 +(dp693 +g9 +g11 +sg29 +g30 +((lp694 +g1 +(g2 +g3 +(g689 +S'Indicator' +p695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g692 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbatp703 +Rp704 +sg695 +g698 +sbsS'Comment' +p705 +g1 +(g2 +g3 +(g705 +tp706 +tp707 +Rp708 +(dp709 +S'Multi' +p710 +g1 +(g2 +g3 +(g705 +g710 +tp711 +tp712 +Rp713 +(dp714 +g9 +g708 +sg29 +g30 +((lp715 +tp716 +Rp717 +sbsg9 +g11 +sS'Special' +p718 +g1 +(g2 +g3 +(g705 +g718 +tp719 +tp720 +Rp721 +(dp722 +g9 +g708 +sg29 +g30 +((lp723 +tp724 +Rp725 +sbsS'Hashbang' +p726 +g1 +(g2 +g3 +(g705 +g726 +tp727 +tp728 +Rp729 +(dp730 +g9 +g708 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Preproc' +p734 +g1 +(g2 +g3 +(g705 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g708 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg213 +g1 +(g2 +g3 +(g705 +g213 +tp742 +tp743 +Rp744 +(dp745 +g9 +g708 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Directive' +p749 +g1 +(g2 +g3 +(g705 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g708 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsg205 +g1 +(g2 +g3 +(g705 +g205 +tp757 +tp758 +Rp759 +(dp760 +g9 +g708 +sg29 +g30 +((lp761 +tp762 +Rp763 +sbsS'Singleline' +p764 +g1 +(g2 +g3 +(g705 +g764 +tp765 +tp766 +Rp767 +(dp768 +g9 +g708 +sg29 +g30 +((lp769 +tp770 +Rp771 +sbsS'Multiline' +p772 +g1 +(g2 +g3 +(g705 +g772 +tp773 +tp774 +Rp775 +(dp776 +g9 +g708 +sg29 +g30 +((lp777 +tp778 +Rp779 +sbsg29 +g30 +((lp780 +g759 +ag752 +ag729 +ag713 +ag767 +ag737 +ag775 +ag744 +ag1 +(g2 +g3 +(g705 +S'PreprocFile' +p781 +tp782 +tp783 +Rp784 +(dp785 +g9 +g708 +sg29 +g30 +((lp786 +tp787 +Rp788 +sbag1 +(g2 +g3 +(g705 +S'SingleLine' +p789 +tp790 +tp791 +Rp792 +(dp793 +g9 +g708 +sg29 +g30 +((lp794 +tp795 +Rp796 +sbag721 +atp797 +Rp798 +sg781 +g784 +sg789 +g792 +sbsg14 +g39 +sg4 +g7 +sg369 +g1 +(g2 +g3 +(g369 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g11 +sg196 +g1 +(g2 +g3 +(g196 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsg29 +g30 +((lp814 +g422 +ag7 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g9 +g11 +sg525 +g1 +(g2 +g3 +(g815 +g525 +tp820 +tp821 +Rp822 +(dp823 +g9 +g818 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g9 +g818 +sg29 +g30 +((lp832 +tp833 +Rp834 +sbsg549 +g1 +(g2 +g3 +(g815 +g549 +tp835 +tp836 +Rp837 +(dp838 +g9 +g818 +sg29 +g30 +((lp839 +tp840 +Rp841 +sbsg604 +g1 +(g2 +g3 +(g815 +g604 +tp842 +tp843 +Rp844 +(dp845 +g9 +g818 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g9 +g818 +sg29 +g30 +((lp854 +tp855 +Rp856 +sbsg517 +g1 +(g2 +g3 +(g815 +g517 +tp857 +tp858 +Rp859 +(dp860 +g9 +g818 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g9 +g818 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbsg29 +g30 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g410 +tp873 +tp874 +Rp875 +(dp876 +g9 +g818 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g9 +g818 +sg29 +g30 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g9 +g818 +sg29 +g30 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg410 +g875 +sbag311 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g900 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g900 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg9 +g11 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g9 +g900 +sg29 +g30 +((lp923 +tp924 +Rp925 +sbsg100 +g1 +(g2 +g3 +(g897 +g100 +tp926 +tp927 +Rp928 +(dp929 +g9 +g900 +sg29 +g30 +((lp930 +tp931 +Rp932 +sbsg689 +g1 +(g2 +g3 +(g897 +g689 +tp933 +tp934 +Rp935 +(dp936 +g9 +g900 +sg29 +g30 +((lp937 +tp938 +Rp939 +sbsg29 +g30 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g9 +g900 +sg29 +g30 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag399 +ag809 +ag692 +ag708 +ag801 +ag39 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg29 +g30 +((lp953 +tp954 +Rp955 +sbVText before\u000a +p956 +tp957 +a(g7 +V\u000a +p958 +tp959 +a(g380 +VTraceback (most recent call last):\u000a +p960 +tp961 +a(g900 +V File +p962 +tp963 +a(g668 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p964 +tp965 +a(g900 +V, line +p966 +tp967 +a(g17 +V314 +p968 +tp969 +a(g900 +V, in +p970 +tp971 +a(g422 +Vdispatch_request +p972 +tp973 +a(g900 +V\u000a +p974 +tp975 +a(g900 +V +p976 +tp977 +a(g422 +Vdispatcher +p978 +tp979 +a(g399 +V. +p980 +tp981 +a(g422 +Vdispatch +p982 +tp983 +a(g692 +V( +p984 +tp985 +a(g422 +Vreq +p986 +tp987 +a(g692 +V) +p988 +tp989 +a(g900 +V\u000a +p990 +tp991 +a(g900 +V File +p992 +tp993 +a(g668 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p994 +tp995 +a(g900 +V, line +p996 +tp997 +a(g17 +V186 +p998 +tp999 +a(g900 +V, in +p1000 +tp1001 +a(g422 +Vdispatch +p1002 +tp1003 +a(g900 +V\u000a +p1004 +tp1005 +a(g900 +V +p1006 +tp1007 +a(g422 +Vreq +p1008 +tp1009 +a(g399 +g980 +tp1010 +a(g422 +Vsession +p1011 +tp1012 +a(g900 +V +p1013 +tp1014 +a(g399 +V= +p1015 +tp1016 +a(g900 +g1013 +tp1017 +a(g422 +VSession +p1018 +tp1019 +a(g692 +g984 +tp1020 +a(g680 +Vself +p1021 +tp1022 +a(g399 +g980 +tp1023 +a(g422 +Venv +p1024 +tp1025 +a(g692 +V, +p1026 +tp1027 +a(g900 +g1013 +tp1028 +a(g422 +Vreq +p1029 +tp1030 +a(g692 +g988 +tp1031 +a(g900 +V\u000a +p1032 +tp1033 +a(g900 +V File +p1034 +tp1035 +a(g668 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p1036 +tp1037 +a(g900 +V, line +p1038 +tp1039 +a(g17 +V52 +p1040 +tp1041 +a(g900 +V, in +p1042 +tp1043 +a(g422 +V__init__ +p1044 +tp1045 +a(g900 +V\u000a +p1046 +tp1047 +a(g900 +V +p1048 +tp1049 +a(g680 +Vself +p1050 +tp1051 +a(g399 +g980 +tp1052 +a(g422 +Vpromote_session +p1053 +tp1054 +a(g692 +g984 +tp1055 +a(g422 +Vsid +p1056 +tp1057 +a(g692 +g988 +tp1058 +a(g900 +V\u000a +p1059 +tp1060 +a(g900 +V File +p1061 +tp1062 +a(g668 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p1063 +tp1064 +a(g900 +V, line +p1065 +tp1066 +a(g17 +V125 +p1067 +tp1068 +a(g900 +V, in +p1069 +tp1070 +a(g422 +Vpromote_session +p1071 +tp1072 +a(g900 +V\u000a +p1073 +tp1074 +a(g900 +V +p1075 +tp1076 +a(g143 +V" +p1077 +tp1078 +a(g143 +VAND authenticated=0 +p1079 +tp1080 +a(g143 +g1077 +tp1081 +a(g692 +g1026 +tp1082 +a(g900 +g1013 +tp1083 +a(g692 +g984 +tp1084 +a(g422 +Vsid +p1085 +tp1086 +a(g692 +g1026 +tp1087 +a(g692 +g988 +tp1088 +a(g692 +g988 +tp1089 +a(g900 +V\u000a +p1090 +tp1091 +a(g900 +V File +p1092 +tp1093 +a(g668 +V"/usr/lib/python2.3/site-packages/trac/db/util.py" +p1094 +tp1095 +a(g900 +V, line +p1096 +tp1097 +a(g17 +V47 +p1098 +tp1099 +a(g900 +V, in +p1100 +tp1101 +a(g422 +Vexecute +p1102 +tp1103 +a(g900 +V\u000a +p1104 +tp1105 +a(g900 +V +p1106 +tp1107 +a(g818 +Vreturn +p1108 +tp1109 +a(g900 +g1013 +tp1110 +a(g680 +Vself +p1111 +tp1112 +a(g399 +g980 +tp1113 +a(g422 +Vcursor +p1114 +tp1115 +a(g399 +g980 +tp1116 +a(g422 +Vexecute +p1117 +tp1118 +a(g692 +g984 +tp1119 +a(g422 +Vsql_escape_percent +p1120 +tp1121 +a(g692 +g984 +tp1122 +a(g422 +Vsql +p1123 +tp1124 +a(g692 +g988 +tp1125 +a(g692 +g1026 +tp1126 +a(g900 +g1013 +tp1127 +a(g422 +Vargs +p1128 +tp1129 +a(g692 +g988 +tp1130 +a(g900 +V\u000a +p1131 +tp1132 +a(g900 +V File +p1133 +tp1134 +a(g668 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p1135 +tp1136 +a(g900 +V, line +p1137 +tp1138 +a(g17 +V44 +p1139 +tp1140 +a(g900 +V, in +p1141 +tp1142 +a(g422 +Vexecute +p1143 +tp1144 +a(g900 +V\u000a +p1145 +tp1146 +a(g900 +V +p1147 +tp1148 +a(g422 +Vargs +p1149 +tp1150 +a(g900 +g1013 +tp1151 +a(g413 +Vor +p1152 +tp1153 +a(g900 +g1013 +tp1154 +a(g692 +V[ +p1155 +tp1156 +a(g692 +V] +p1157 +tp1158 +a(g692 +g988 +tp1159 +a(g900 +V\u000a +p1160 +tp1161 +a(g900 +V File +p1162 +tp1163 +a(g668 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p1164 +tp1165 +a(g900 +V, line +p1166 +tp1167 +a(g17 +V36 +p1168 +tp1169 +a(g900 +V, in +p1170 +tp1171 +a(g422 +V_rollback_on_error +p1172 +tp1173 +a(g900 +V\u000a +p1174 +tp1175 +a(g900 +V +p1176 +tp1177 +a(g818 +Vreturn +p1178 +tp1179 +a(g900 +g1013 +tp1180 +a(g422 +Vfunction +p1181 +tp1182 +a(g692 +g984 +tp1183 +a(g680 +Vself +p1184 +tp1185 +a(g692 +g1026 +tp1186 +a(g900 +g1013 +tp1187 +a(g399 +V* +p1188 +tp1189 +a(g422 +Vargs +p1190 +tp1191 +a(g692 +g1026 +tp1192 +a(g900 +g1013 +tp1193 +a(g399 +g1188 +tp1194 +a(g399 +g1188 +tp1195 +a(g422 +Vkwargs +p1196 +tp1197 +a(g692 +g988 +tp1198 +a(g900 +V\u000a +p1199 +tp1200 +a(g372 +VOperationalError +p1201 +tp1202 +a(g900 +V: +p1203 +tp1204 +a(g422 +Vdatabase is locked +p1205 +tp1206 +a(g900 +V\u000a +p1207 +tp1208 +a(g7 +V\u000a +p1209 +tp1210 +a(g7 +VText after\u000a +p1211 +tp1212 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/de.MoinMoin.po b/tests/examplefiles/output/de.MoinMoin.po new file mode 100644 index 0000000..73e8688 --- /dev/null +++ b/tests/examplefiles/output/de.MoinMoin.po @@ -0,0 +1,28107 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV## Please edit system and help pages ONLY in the moinmaster wiki! For more +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V## information, please see MoinMaster:MoinPagesEditorGroup. +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V##master-page:None +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V##master-date:None +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V#acl MoinPagesEditorGroup:read,write,delete,revert All:read +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V#format gettext +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V#language de +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g8 +V# +p986 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g8 +V# MoinMoin de system text translation +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g8 +g986 +tp994 +a(g826 +V\u000a +p995 +tp996 +a(g440 +Vmsgid +p997 +tp998 +a(g826 +V +p999 +tp1000 +a(g89 +V"" +p1001 +tp1002 +a(g826 +V\u000a +p1003 +tp1004 +a(g440 +Vmsgstr +p1005 +tp1006 +a(g826 +g999 +tp1007 +a(g89 +V"" +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g89 +V" +p1012 +tp1013 +a(g525 +VProject-Id-Version: +p1014 +tp1015 +a(g89 +V MoinMoin 1.6\u005cn" +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g89 +g1012 +tp1020 +a(g525 +VReport-Msgid-Bugs-To: +p1021 +tp1022 +a(g89 +V \u005cn" +p1023 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g89 +g1012 +tp1027 +a(g525 +VPOT-Creation-Date: +p1028 +tp1029 +a(g89 +V 2007-05-26 23:12+0200\u005cn" +p1030 +tp1031 +a(g826 +V\u000a +p1032 +tp1033 +a(g89 +g1012 +tp1034 +a(g525 +VPO-Revision-Date: +p1035 +tp1036 +a(g89 +V 2005-09-21 00:00+0100\u005cn" +p1037 +tp1038 +a(g826 +V\u000a +p1039 +tp1040 +a(g89 +g1012 +tp1041 +a(g525 +VLast-Translator: +p1042 +tp1043 +a(g89 +V Thomas Waldmann \u005cn" +p1044 +tp1045 +a(g826 +V\u000a +p1046 +tp1047 +a(g89 +g1012 +tp1048 +a(g525 +VLanguage-Team: +p1049 +tp1050 +a(g89 +V German \u005cn" +p1051 +tp1052 +a(g826 +V\u000a +p1053 +tp1054 +a(g89 +g1012 +tp1055 +a(g525 +VMIME-Version: +p1056 +tp1057 +a(g89 +V 1.0\u005cn" +p1058 +tp1059 +a(g826 +V\u000a +p1060 +tp1061 +a(g89 +g1012 +tp1062 +a(g525 +VContent-Type: +p1063 +tp1064 +a(g89 +V text/plain; charset=utf-8\u005cn" +p1065 +tp1066 +a(g826 +V\u000a +p1067 +tp1068 +a(g89 +g1012 +tp1069 +a(g525 +VContent-Transfer-Encoding: +p1070 +tp1071 +a(g89 +V 8bit\u005cn" +p1072 +tp1073 +a(g826 +V\u000a +p1074 +tp1075 +a(g89 +g1012 +tp1076 +a(g525 +VX-Language: +p1077 +tp1078 +a(g89 +V Deutsch\u005cn" +p1079 +tp1080 +a(g826 +V\u000a +p1081 +tp1082 +a(g89 +g1012 +tp1083 +a(g525 +VX-Language-in-English: +p1084 +tp1085 +a(g89 +V German\u005cn" +p1086 +tp1087 +a(g826 +V\u000a +p1088 +tp1089 +a(g89 +g1012 +tp1090 +a(g525 +VX-HasWikiMarkup: +p1091 +tp1092 +a(g89 +V True\u005cn" +p1093 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g89 +g1012 +tp1097 +a(g525 +VX-Direction: +p1098 +tp1099 +a(g89 +V ltr\u005cn" +p1100 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g440 +Vmsgid +p1106 +tp1107 +a(g826 +g999 +tp1108 +a(g89 +V"" +p1109 +tp1110 +a(g826 +V\u000a +p1111 +tp1112 +a(g89 +V"This wiki is not enabled for mail processing.\u005cn" +p1113 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g89 +V"Contact the owner of the wiki, who can enable email." +p1117 +tp1118 +a(g826 +V\u000a +p1119 +tp1120 +a(g440 +Vmsgstr +p1121 +tp1122 +a(g826 +g999 +tp1123 +a(g89 +V"" +p1124 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g89 +V"In diesem Wiki ist Mail-Verarbeitung nicht eingeschaltet.\u005cn" +p1128 +tp1129 +a(g826 +V\u000a +p1130 +tp1131 +a(g89 +V"Bitte kontaktieren Sie den Eigentümer des Wiki, der die Mailfunktionen " +p1132 +tp1133 +a(g826 +V\u000a +p1134 +tp1135 +a(g89 +V"einschalten kann." +p1136 +tp1137 +a(g826 +V\u000a +p1138 +tp1139 +a(g826 +V\u000a +p1140 +tp1141 +a(g440 +Vmsgid +p1142 +tp1143 +a(g826 +g999 +tp1144 +a(g89 +V"Please provide a valid email address!" +p1145 +tp1146 +a(g826 +V\u000a +p1147 +tp1148 +a(g440 +Vmsgstr +p1149 +tp1150 +a(g826 +g999 +tp1151 +a(g89 +V"Bitte eine gültige E-Mail-Adresse angeben!" +p1152 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g826 +V\u000a +p1156 +tp1157 +a(g748 +V#, python-format +p1158 +tp1159 +a(g826 +V\u000a +p1160 +tp1161 +a(g440 +Vmsgid +p1162 +tp1163 +a(g826 +g999 +tp1164 +a(g89 +V"Found no account matching the given email address '%(email)s'!" +p1165 +tp1166 +a(g826 +V\u000a +p1167 +tp1168 +a(g440 +Vmsgstr +p1169 +tp1170 +a(g826 +g999 +tp1171 +a(g89 +V"" +p1172 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g89 +V"Es wurde kein Benutzerkonto mit der E-Mail-Adresse '%(email)s' gefunden!" +p1176 +tp1177 +a(g826 +V\u000a +p1178 +tp1179 +a(g826 +V\u000a +p1180 +tp1181 +a(g440 +Vmsgid +p1182 +tp1183 +a(g826 +g999 +tp1184 +a(g89 +V"Use UserPreferences to change your settings or create an account." +p1185 +tp1186 +a(g826 +V\u000a +p1187 +tp1188 +a(g440 +Vmsgstr +p1189 +tp1190 +a(g826 +g999 +tp1191 +a(g89 +V"" +p1192 +tp1193 +a(g826 +V\u000a +p1194 +tp1195 +a(g89 +V"Benutzen Sie BenutzerEinstellungen, um Ihre Einstellungen zu ändern oder ein " +p1196 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g89 +V"Konto zu erzeugen." +p1200 +tp1201 +a(g826 +V\u000a +p1202 +tp1203 +a(g826 +V\u000a +p1204 +tp1205 +a(g440 +Vmsgid +p1206 +tp1207 +a(g826 +g999 +tp1208 +a(g89 +V"Empty user name. Please enter a user name." +p1209 +tp1210 +a(g826 +V\u000a +p1211 +tp1212 +a(g440 +Vmsgstr +p1213 +tp1214 +a(g826 +g999 +tp1215 +a(g89 +V"Leerer Benutzername, bitte geben Sie einen Benutzernamen ein." +p1216 +tp1217 +a(g826 +V\u000a +p1218 +tp1219 +a(g826 +V\u000a +p1220 +tp1221 +a(g748 +V#, python-format +p1222 +tp1223 +a(g826 +V\u000a +p1224 +tp1225 +a(g440 +Vmsgid +p1226 +tp1227 +a(g826 +g999 +tp1228 +a(g89 +V"" +p1229 +tp1230 +a(g826 +V\u000a +p1231 +tp1232 +a(g89 +V"Invalid user name {{{'%s'}}}.\u005cn" +p1233 +tp1234 +a(g826 +V\u000a +p1235 +tp1236 +a(g89 +V"Name may contain any Unicode alpha numeric character, with optional one\u005cn" +p1237 +tp1238 +a(g826 +V\u000a +p1239 +tp1240 +a(g89 +V"space between words. Group page name is not allowed." +p1241 +tp1242 +a(g826 +V\u000a +p1243 +tp1244 +a(g440 +Vmsgstr +p1245 +tp1246 +a(g826 +g999 +tp1247 +a(g89 +V"" +p1248 +tp1249 +a(g826 +V\u000a +p1250 +tp1251 +a(g89 +V"Ungültiger Benutzername {{{'%s'}}}.\u005cn" +p1252 +tp1253 +a(g826 +V\u000a +p1254 +tp1255 +a(g89 +V"Der Name darf beliebige alphanumerische Unicode-Zeichen enthalten, mit " +p1256 +tp1257 +a(g826 +V\u000a +p1258 +tp1259 +a(g89 +V"optional einem\u005cn" +p1260 +tp1261 +a(g826 +V\u000a +p1262 +tp1263 +a(g89 +V"Leerzeichen zwischen den Worten. Gruppennamen sind nicht erlaubt." +p1264 +tp1265 +a(g826 +V\u000a +p1266 +tp1267 +a(g826 +V\u000a +p1268 +tp1269 +a(g440 +Vmsgid +p1270 +tp1271 +a(g826 +g999 +tp1272 +a(g89 +V"This user name already belongs to somebody else." +p1273 +tp1274 +a(g826 +V\u000a +p1275 +tp1276 +a(g440 +Vmsgstr +p1277 +tp1278 +a(g826 +g999 +tp1279 +a(g89 +V"Dieser Benutzername gehört bereits jemand anderem." +p1280 +tp1281 +a(g826 +V\u000a +p1282 +tp1283 +a(g826 +V\u000a +p1284 +tp1285 +a(g440 +Vmsgid +p1286 +tp1287 +a(g826 +g999 +tp1288 +a(g89 +V"Passwords don't match!" +p1289 +tp1290 +a(g826 +V\u000a +p1291 +tp1292 +a(g440 +Vmsgstr +p1293 +tp1294 +a(g826 +g999 +tp1295 +a(g89 +V"Die Passworte sind nicht gleich!" +p1296 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g826 +V\u000a +p1300 +tp1301 +a(g440 +Vmsgid +p1302 +tp1303 +a(g826 +g999 +tp1304 +a(g89 +V"Please specify a password!" +p1305 +tp1306 +a(g826 +V\u000a +p1307 +tp1308 +a(g440 +Vmsgstr +p1309 +tp1310 +a(g826 +g999 +tp1311 +a(g89 +V"Bitte geben Sie ein Passwort an!" +p1312 +tp1313 +a(g826 +V\u000a +p1314 +tp1315 +a(g826 +V\u000a +p1316 +tp1317 +a(g440 +Vmsgid +p1318 +tp1319 +a(g826 +g999 +tp1320 +a(g89 +V"" +p1321 +tp1322 +a(g826 +V\u000a +p1323 +tp1324 +a(g89 +V"Please provide your email address. If you lose your login information, you " +p1325 +tp1326 +a(g826 +V\u000a +p1327 +tp1328 +a(g89 +V"can get it by email." +p1329 +tp1330 +a(g826 +V\u000a +p1331 +tp1332 +a(g440 +Vmsgstr +p1333 +tp1334 +a(g826 +g999 +tp1335 +a(g89 +V"" +p1336 +tp1337 +a(g826 +V\u000a +p1338 +tp1339 +a(g89 +V"Bitte geben Sie Ihre E-Mail-Adresse an. Wenn Sie Ihre Login-Informationen " +p1340 +tp1341 +a(g826 +V\u000a +p1342 +tp1343 +a(g89 +V"verlieren können Sie sie per E-Mail wieder bekommen." +p1344 +tp1345 +a(g826 +V\u000a +p1346 +tp1347 +a(g826 +V\u000a +p1348 +tp1349 +a(g440 +Vmsgid +p1350 +tp1351 +a(g826 +g999 +tp1352 +a(g89 +V"This email already belongs to somebody else." +p1353 +tp1354 +a(g826 +V\u000a +p1355 +tp1356 +a(g440 +Vmsgstr +p1357 +tp1358 +a(g826 +g999 +tp1359 +a(g89 +V"Diese E-Mail-Adresse gehört bereits jemand anderem." +p1360 +tp1361 +a(g826 +V\u000a +p1362 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g440 +Vmsgid +p1366 +tp1367 +a(g826 +g999 +tp1368 +a(g89 +V"User account created! You can use this account to login now..." +p1369 +tp1370 +a(g826 +V\u000a +p1371 +tp1372 +a(g440 +Vmsgstr +p1373 +tp1374 +a(g826 +g999 +tp1375 +a(g89 +V"" +p1376 +tp1377 +a(g826 +V\u000a +p1378 +tp1379 +a(g89 +V"Es wurde ein Benutzerkonto für Sie angelegt. Sie können sich nun anmelden..." +p1380 +tp1381 +a(g826 +V\u000a +p1382 +tp1383 +a(g826 +V\u000a +p1384 +tp1385 +a(g440 +Vmsgid +p1386 +tp1387 +a(g826 +g999 +tp1388 +a(g89 +V"Use UserPreferences to change settings of the selected user account" +p1389 +tp1390 +a(g826 +V\u000a +p1391 +tp1392 +a(g440 +Vmsgstr +p1393 +tp1394 +a(g826 +g999 +tp1395 +a(g89 +V"" +p1396 +tp1397 +a(g826 +V\u000a +p1398 +tp1399 +a(g89 +V"Benutzen Sie BenutzerEinstellungen, um die Einstellungen des ausgewählten " +p1400 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g89 +V"Benutzers zu ändern." +p1404 +tp1405 +a(g826 +V\u000a +p1406 +tp1407 +a(g826 +V\u000a +p1408 +tp1409 +a(g748 +V#, python-format +p1410 +tp1411 +a(g826 +V\u000a +p1412 +tp1413 +a(g440 +Vmsgid +p1414 +tp1415 +a(g826 +g999 +tp1416 +a(g89 +V"The theme '%(theme_name)s' could not be loaded!" +p1417 +tp1418 +a(g826 +V\u000a +p1419 +tp1420 +a(g440 +Vmsgstr +p1421 +tp1422 +a(g826 +g999 +tp1423 +a(g89 +V"Das Theme '%(theme_name)s' konnte nicht geladen werden!" +p1424 +tp1425 +a(g826 +V\u000a +p1426 +tp1427 +a(g826 +V\u000a +p1428 +tp1429 +a(g440 +Vmsgid +p1430 +tp1431 +a(g826 +g999 +tp1432 +a(g89 +V"User preferences saved!" +p1433 +tp1434 +a(g826 +V\u000a +p1435 +tp1436 +a(g440 +Vmsgstr +p1437 +tp1438 +a(g826 +g999 +tp1439 +a(g89 +V"Persönliche Einstellungen gespeichert!" +p1440 +tp1441 +a(g826 +V\u000a +p1442 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g440 +Vmsgid +p1446 +tp1447 +a(g826 +g999 +tp1448 +a(g89 +V"Default" +p1449 +tp1450 +a(g826 +V\u000a +p1451 +tp1452 +a(g440 +Vmsgstr +p1453 +tp1454 +a(g826 +g999 +tp1455 +a(g89 +V"Standardeinstellung" +p1456 +tp1457 +a(g826 +V\u000a +p1458 +tp1459 +a(g826 +V\u000a +p1460 +tp1461 +a(g440 +Vmsgid +p1462 +tp1463 +a(g826 +g999 +tp1464 +a(g89 +V"" +p1465 +tp1466 +a(g826 +V\u000a +p1467 +tp1468 +a(g440 +Vmsgstr +p1469 +tp1470 +a(g826 +g999 +tp1471 +a(g89 +V"" +p1472 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g826 +V\u000a +p1476 +tp1477 +a(g440 +Vmsgid +p1478 +tp1479 +a(g826 +g999 +tp1480 +a(g89 +V"the one preferred" +p1481 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g440 +Vmsgstr +p1485 +tp1486 +a(g826 +g999 +tp1487 +a(g89 +V"der Bevorzugte" +p1488 +tp1489 +a(g826 +V\u000a +p1490 +tp1491 +a(g826 +V\u000a +p1492 +tp1493 +a(g440 +Vmsgid +p1494 +tp1495 +a(g826 +g999 +tp1496 +a(g89 +V"free choice" +p1497 +tp1498 +a(g826 +V\u000a +p1499 +tp1500 +a(g440 +Vmsgstr +p1501 +tp1502 +a(g826 +g999 +tp1503 +a(g89 +V"Freie Auswahl" +p1504 +tp1505 +a(g826 +V\u000a +p1506 +tp1507 +a(g826 +V\u000a +p1508 +tp1509 +a(g440 +Vmsgid +p1510 +tp1511 +a(g826 +g999 +tp1512 +a(g89 +V"Select User" +p1513 +tp1514 +a(g826 +V\u000a +p1515 +tp1516 +a(g440 +Vmsgstr +p1517 +tp1518 +a(g826 +g999 +tp1519 +a(g89 +V"Benutzer auswählen" +p1520 +tp1521 +a(g826 +V\u000a +p1522 +tp1523 +a(g826 +V\u000a +p1524 +tp1525 +a(g440 +Vmsgid +p1526 +tp1527 +a(g826 +g999 +tp1528 +a(g89 +V"Save" +p1529 +tp1530 +a(g826 +V\u000a +p1531 +tp1532 +a(g440 +Vmsgstr +p1533 +tp1534 +a(g826 +g999 +tp1535 +a(g89 +V"Speichern" +p1536 +tp1537 +a(g826 +V\u000a +p1538 +tp1539 +a(g826 +V\u000a +p1540 +tp1541 +a(g440 +Vmsgid +p1542 +tp1543 +a(g826 +g999 +tp1544 +a(g89 +V"Cancel" +p1545 +tp1546 +a(g826 +V\u000a +p1547 +tp1548 +a(g440 +Vmsgstr +p1549 +tp1550 +a(g826 +g999 +tp1551 +a(g89 +V"Abbrechen" +p1552 +tp1553 +a(g826 +V\u000a +p1554 +tp1555 +a(g826 +V\u000a +p1556 +tp1557 +a(g440 +Vmsgid +p1558 +tp1559 +a(g826 +g999 +tp1560 +a(g89 +V"Preferred theme" +p1561 +tp1562 +a(g826 +V\u000a +p1563 +tp1564 +a(g440 +Vmsgstr +p1565 +tp1566 +a(g826 +g999 +tp1567 +a(g89 +V"Bevorzugter Stil" +p1568 +tp1569 +a(g826 +V\u000a +p1570 +tp1571 +a(g826 +V\u000a +p1572 +tp1573 +a(g440 +Vmsgid +p1574 +tp1575 +a(g826 +g999 +tp1576 +a(g89 +V"Editor Preference" +p1577 +tp1578 +a(g826 +V\u000a +p1579 +tp1580 +a(g440 +Vmsgstr +p1581 +tp1582 +a(g826 +g999 +tp1583 +a(g89 +V"Bevorzugter Editor" +p1584 +tp1585 +a(g826 +V\u000a +p1586 +tp1587 +a(g826 +V\u000a +p1588 +tp1589 +a(g440 +Vmsgid +p1590 +tp1591 +a(g826 +g999 +tp1592 +a(g89 +V"Editor shown on UI" +p1593 +tp1594 +a(g826 +V\u000a +p1595 +tp1596 +a(g440 +Vmsgstr +p1597 +tp1598 +a(g826 +g999 +tp1599 +a(g89 +V"Angezeigter Editor" +p1600 +tp1601 +a(g826 +V\u000a +p1602 +tp1603 +a(g826 +V\u000a +p1604 +tp1605 +a(g440 +Vmsgid +p1606 +tp1607 +a(g826 +g999 +tp1608 +a(g89 +V"Time zone" +p1609 +tp1610 +a(g826 +V\u000a +p1611 +tp1612 +a(g440 +Vmsgstr +p1613 +tp1614 +a(g826 +g999 +tp1615 +a(g89 +V"Zeitzone" +p1616 +tp1617 +a(g826 +V\u000a +p1618 +tp1619 +a(g826 +V\u000a +p1620 +tp1621 +a(g440 +Vmsgid +p1622 +tp1623 +a(g826 +g999 +tp1624 +a(g89 +V"Your time is" +p1625 +tp1626 +a(g826 +V\u000a +p1627 +tp1628 +a(g440 +Vmsgstr +p1629 +tp1630 +a(g826 +g999 +tp1631 +a(g89 +V"Die lokale Zeit ist" +p1632 +tp1633 +a(g826 +V\u000a +p1634 +tp1635 +a(g826 +V\u000a +p1636 +tp1637 +a(g440 +Vmsgid +p1638 +tp1639 +a(g826 +g999 +tp1640 +a(g89 +V"Server time is" +p1641 +tp1642 +a(g826 +V\u000a +p1643 +tp1644 +a(g440 +Vmsgstr +p1645 +tp1646 +a(g826 +g999 +tp1647 +a(g89 +V"Die Zeit des Servers ist" +p1648 +tp1649 +a(g826 +V\u000a +p1650 +tp1651 +a(g826 +V\u000a +p1652 +tp1653 +a(g440 +Vmsgid +p1654 +tp1655 +a(g826 +g999 +tp1656 +a(g89 +V"Date format" +p1657 +tp1658 +a(g826 +V\u000a +p1659 +tp1660 +a(g440 +Vmsgstr +p1661 +tp1662 +a(g826 +g999 +tp1663 +a(g89 +V"Datumsformat" +p1664 +tp1665 +a(g826 +V\u000a +p1666 +tp1667 +a(g826 +V\u000a +p1668 +tp1669 +a(g440 +Vmsgid +p1670 +tp1671 +a(g826 +g999 +tp1672 +a(g89 +V"Preferred language" +p1673 +tp1674 +a(g826 +V\u000a +p1675 +tp1676 +a(g440 +Vmsgstr +p1677 +tp1678 +a(g826 +g999 +tp1679 +a(g89 +V"Bevorzugte Sprache" +p1680 +tp1681 +a(g826 +V\u000a +p1682 +tp1683 +a(g826 +V\u000a +p1684 +tp1685 +a(g440 +Vmsgid +p1686 +tp1687 +a(g826 +g999 +tp1688 +a(g89 +V"General options" +p1689 +tp1690 +a(g826 +V\u000a +p1691 +tp1692 +a(g440 +Vmsgstr +p1693 +tp1694 +a(g826 +g999 +tp1695 +a(g89 +V"Allgemeine Optionen" +p1696 +tp1697 +a(g826 +V\u000a +p1698 +tp1699 +a(g826 +V\u000a +p1700 +tp1701 +a(g440 +Vmsgid +p1702 +tp1703 +a(g826 +g999 +tp1704 +a(g89 +V"Quick links" +p1705 +tp1706 +a(g826 +V\u000a +p1707 +tp1708 +a(g440 +Vmsgstr +p1709 +tp1710 +a(g826 +g999 +tp1711 +a(g89 +V"Expressverweise" +p1712 +tp1713 +a(g826 +V\u000a +p1714 +tp1715 +a(g826 +V\u000a +p1716 +tp1717 +a(g440 +Vmsgid +p1718 +tp1719 +a(g826 +g999 +tp1720 +a(g89 +V"This list does not work, unless you have entered a valid email address!" +p1721 +tp1722 +a(g826 +V\u000a +p1723 +tp1724 +a(g440 +Vmsgstr +p1725 +tp1726 +a(g826 +g999 +tp1727 +a(g89 +V"" +p1728 +tp1729 +a(g826 +V\u000a +p1730 +tp1731 +a(g89 +V"Änderungsnachrichten werden nur versandt, wenn eine gültige E-Mail-Adresse " +p1732 +tp1733 +a(g826 +V\u000a +p1734 +tp1735 +a(g89 +V"eingegeben wurde!" +p1736 +tp1737 +a(g826 +V\u000a +p1738 +tp1739 +a(g826 +V\u000a +p1740 +tp1741 +a(g440 +Vmsgid +p1742 +tp1743 +a(g826 +g999 +tp1744 +a(g89 +V"Subscribed wiki pages (one regex per line)" +p1745 +tp1746 +a(g826 +V\u000a +p1747 +tp1748 +a(g440 +Vmsgstr +p1749 +tp1750 +a(g826 +g999 +tp1751 +a(g89 +V"Abonnierte Wiki-Seiten (ein regulärer Ausdruck pro Zeile)" +p1752 +tp1753 +a(g826 +V\u000a +p1754 +tp1755 +a(g826 +V\u000a +p1756 +tp1757 +a(g440 +Vmsgid +p1758 +tp1759 +a(g826 +g999 +tp1760 +a(g89 +V"Create Profile" +p1761 +tp1762 +a(g826 +V\u000a +p1763 +tp1764 +a(g440 +Vmsgstr +p1765 +tp1766 +a(g826 +g999 +tp1767 +a(g89 +V"Benutzer anlegen" +p1768 +tp1769 +a(g826 +V\u000a +p1770 +tp1771 +a(g826 +V\u000a +p1772 +tp1773 +a(g440 +Vmsgid +p1774 +tp1775 +a(g826 +g999 +tp1776 +a(g89 +V"Mail me my account data" +p1777 +tp1778 +a(g826 +V\u000a +p1779 +tp1780 +a(g440 +Vmsgstr +p1781 +tp1782 +a(g826 +g999 +tp1783 +a(g89 +V"E-Mail mit den Zugangsdaten senden" +p1784 +tp1785 +a(g826 +V\u000a +p1786 +tp1787 +a(g826 +V\u000a +p1788 +tp1789 +a(g440 +Vmsgid +p1790 +tp1791 +a(g826 +g999 +tp1792 +a(g89 +V"Email" +p1793 +tp1794 +a(g826 +V\u000a +p1795 +tp1796 +a(g440 +Vmsgstr +p1797 +tp1798 +a(g826 +g999 +tp1799 +a(g89 +V"E-Mail" +p1800 +tp1801 +a(g826 +V\u000a +p1802 +tp1803 +a(g826 +V\u000a +p1804 +tp1805 +a(g748 +V#, python-format +p1806 +tp1807 +a(g826 +V\u000a +p1808 +tp1809 +a(g440 +Vmsgid +p1810 +tp1811 +a(g826 +g999 +tp1812 +a(g89 +V"" +p1813 +tp1814 +a(g826 +V\u000a +p1815 +tp1816 +a(g89 +V"To create an account, see the %(userprefslink)s page. To recover a lost " +p1817 +tp1818 +a(g826 +V\u000a +p1819 +tp1820 +a(g89 +V"password, go to %(sendmypasswordlink)s." +p1821 +tp1822 +a(g826 +V\u000a +p1823 +tp1824 +a(g440 +Vmsgstr +p1825 +tp1826 +a(g826 +g999 +tp1827 +a(g89 +V"" +p1828 +tp1829 +a(g826 +V\u000a +p1830 +tp1831 +a(g89 +V"Siehe Seite %(userprefslink)s, um einen Account anzulegen. Um ein verlorenes " +p1832 +tp1833 +a(g826 +V\u000a +p1834 +tp1835 +a(g89 +V"Passwort wieder zu erhalten, siehe %(sendmypasswordlink)s." +p1836 +tp1837 +a(g826 +V\u000a +p1838 +tp1839 +a(g826 +V\u000a +p1840 +tp1841 +a(g440 +Vmsgid +p1842 +tp1843 +a(g826 +g999 +tp1844 +a(g89 +V"Name" +p1845 +tp1846 +a(g826 +V\u000a +p1847 +tp1848 +a(g440 +Vmsgstr +p1849 +tp1850 +a(g826 +g999 +tp1851 +a(g89 +V"Name" +p1852 +tp1853 +a(g826 +V\u000a +p1854 +tp1855 +a(g826 +V\u000a +p1856 +tp1857 +a(g440 +Vmsgid +p1858 +tp1859 +a(g826 +g999 +tp1860 +a(g89 +V"Password" +p1861 +tp1862 +a(g826 +V\u000a +p1863 +tp1864 +a(g440 +Vmsgstr +p1865 +tp1866 +a(g826 +g999 +tp1867 +a(g89 +V"Passwort" +p1868 +tp1869 +a(g826 +V\u000a +p1870 +tp1871 +a(g826 +V\u000a +p1872 +tp1873 +a(g440 +Vmsgid +p1874 +tp1875 +a(g826 +g999 +tp1876 +a(g89 +V"Login" +p1877 +tp1878 +a(g826 +V\u000a +p1879 +tp1880 +a(g440 +Vmsgstr +p1881 +tp1882 +a(g826 +g999 +tp1883 +a(g89 +V"Anmelden" +p1884 +tp1885 +a(g826 +V\u000a +p1886 +tp1887 +a(g826 +V\u000a +p1888 +tp1889 +a(g440 +Vmsgid +p1890 +tp1891 +a(g826 +g999 +tp1892 +a(g89 +V"Action" +p1893 +tp1894 +a(g826 +V\u000a +p1895 +tp1896 +a(g440 +Vmsgstr +p1897 +tp1898 +a(g826 +g999 +tp1899 +a(g89 +V"Aktion" +p1900 +tp1901 +a(g826 +V\u000a +p1902 +tp1903 +a(g826 +V\u000a +p1904 +tp1905 +a(g748 +V#, python-format +p1906 +tp1907 +a(g826 +V\u000a +p1908 +tp1909 +a(g440 +Vmsgid +p1910 +tp1911 +a(g826 +g999 +tp1912 +a(g89 +V"Expected \u005c"=\u005c" to follow \u005c"%(token)s\u005c"" +p1913 +tp1914 +a(g826 +V\u000a +p1915 +tp1916 +a(g440 +Vmsgstr +p1917 +tp1918 +a(g826 +g999 +tp1919 +a(g89 +V"\u005c"=\u005c" fehlt hinter dem Attribut \u005c"%(token)s\u005c"" +p1920 +tp1921 +a(g826 +V\u000a +p1922 +tp1923 +a(g826 +V\u000a +p1924 +tp1925 +a(g748 +V#, python-format +p1926 +tp1927 +a(g826 +V\u000a +p1928 +tp1929 +a(g440 +Vmsgid +p1930 +tp1931 +a(g826 +g999 +tp1932 +a(g89 +V"Expected a value for key \u005c"%(token)s\u005c"" +p1933 +tp1934 +a(g826 +V\u000a +p1935 +tp1936 +a(g440 +Vmsgstr +p1937 +tp1938 +a(g826 +g999 +tp1939 +a(g89 +V"Attribut \u005c"%(token)s\u005c" wurde kein Wert zugewiesen" +p1940 +tp1941 +a(g826 +V\u000a +p1942 +tp1943 +a(g826 +V\u000a +p1944 +tp1945 +a(g440 +Vmsgid +p1946 +tp1947 +a(g826 +g999 +tp1948 +a(g89 +V"You are not allowed to edit this page." +p1949 +tp1950 +a(g826 +V\u000a +p1951 +tp1952 +a(g440 +Vmsgstr +p1953 +tp1954 +a(g826 +g999 +tp1955 +a(g89 +V"Sie dürfen diese Seite nicht editieren." +p1956 +tp1957 +a(g826 +V\u000a +p1958 +tp1959 +a(g826 +V\u000a +p1960 +tp1961 +a(g440 +Vmsgid +p1962 +tp1963 +a(g826 +g999 +tp1964 +a(g89 +V"Page is immutable!" +p1965 +tp1966 +a(g826 +V\u000a +p1967 +tp1968 +a(g440 +Vmsgstr +p1969 +tp1970 +a(g826 +g999 +tp1971 +a(g89 +V"Die Seite ist gegen Änderungen geschützt!" +p1972 +tp1973 +a(g826 +V\u000a +p1974 +tp1975 +a(g826 +V\u000a +p1976 +tp1977 +a(g440 +Vmsgid +p1978 +tp1979 +a(g826 +g999 +tp1980 +a(g89 +V"Cannot edit old revisions!" +p1981 +tp1982 +a(g826 +V\u000a +p1983 +tp1984 +a(g440 +Vmsgstr +p1985 +tp1986 +a(g826 +g999 +tp1987 +a(g89 +V"Alte Versionen können nicht editiert werden!" +p1988 +tp1989 +a(g826 +V\u000a +p1990 +tp1991 +a(g826 +V\u000a +p1992 +tp1993 +a(g440 +Vmsgid +p1994 +tp1995 +a(g826 +g999 +tp1996 +a(g89 +V"The lock you held timed out. Be prepared for editing conflicts!" +p1997 +tp1998 +a(g826 +V\u000a +p1999 +tp2000 +a(g440 +Vmsgstr +p2001 +tp2002 +a(g826 +g999 +tp2003 +a(g89 +V"" +p2004 +tp2005 +a(g826 +V\u000a +p2006 +tp2007 +a(g89 +V"Die von Ihnen gehaltene Sperre ist abgelaufen. Das Auftreten von " +p2008 +tp2009 +a(g826 +V\u000a +p2010 +tp2011 +a(g89 +V"Änderungskonflikten ist wahrscheinlich!" +p2012 +tp2013 +a(g826 +V\u000a +p2014 +tp2015 +a(g826 +V\u000a +p2016 +tp2017 +a(g748 +V#, python-format +p2018 +tp2019 +a(g826 +V\u000a +p2020 +tp2021 +a(g440 +Vmsgid +p2022 +tp2023 +a(g826 +g999 +tp2024 +a(g89 +V"Draft of \u005c"%(pagename)s\u005c"" +p2025 +tp2026 +a(g826 +V\u000a +p2027 +tp2028 +a(g440 +Vmsgstr +p2029 +tp2030 +a(g826 +g999 +tp2031 +a(g89 +V"Entwurf von \u005c"%(pagename)s\u005c"" +p2032 +tp2033 +a(g826 +V\u000a +p2034 +tp2035 +a(g826 +V\u000a +p2036 +tp2037 +a(g748 +V#, python-format +p2038 +tp2039 +a(g826 +V\u000a +p2040 +tp2041 +a(g440 +Vmsgid +p2042 +tp2043 +a(g826 +g999 +tp2044 +a(g89 +V"Edit \u005c"%(pagename)s\u005c"" +p2045 +tp2046 +a(g826 +V\u000a +p2047 +tp2048 +a(g440 +Vmsgstr +p2049 +tp2050 +a(g826 +g999 +tp2051 +a(g89 +V"\u005c"%(pagename)s\u005c" editieren" +p2052 +tp2053 +a(g826 +V\u000a +p2054 +tp2055 +a(g826 +V\u000a +p2056 +tp2057 +a(g748 +V#, python-format +p2058 +tp2059 +a(g826 +V\u000a +p2060 +tp2061 +a(g440 +Vmsgid +p2062 +tp2063 +a(g826 +g999 +tp2064 +a(g89 +V"Preview of \u005c"%(pagename)s\u005c"" +p2065 +tp2066 +a(g826 +V\u000a +p2067 +tp2068 +a(g440 +Vmsgstr +p2069 +tp2070 +a(g826 +g999 +tp2071 +a(g89 +V"Vorschau für \u005c"%(pagename)s\u005c"" +p2072 +tp2073 +a(g826 +V\u000a +p2074 +tp2075 +a(g826 +V\u000a +p2076 +tp2077 +a(g748 +V#, python-format +p2078 +tp2079 +a(g826 +V\u000a +p2080 +tp2081 +a(g440 +Vmsgid +p2082 +tp2083 +a(g826 +g999 +tp2084 +a(g89 +V"Your edit lock on %(lock_page)s has expired!" +p2085 +tp2086 +a(g826 +V\u000a +p2087 +tp2088 +a(g440 +Vmsgstr +p2089 +tp2090 +a(g826 +g999 +tp2091 +a(g89 +V"Ihre Sperre der Seite %(lock_page)s ist abgelaufen!" +p2092 +tp2093 +a(g826 +V\u000a +p2094 +tp2095 +a(g826 +V\u000a +p2096 +tp2097 +a(g748 +V#, python-format +p2098 +tp2099 +a(g826 +V\u000a +p2100 +tp2101 +a(g440 +Vmsgid +p2102 +tp2103 +a(g826 +g999 +tp2104 +a(g89 +V"Your edit lock on %(lock_page)s will expire in # minutes." +p2105 +tp2106 +a(g826 +V\u000a +p2107 +tp2108 +a(g440 +Vmsgstr +p2109 +tp2110 +a(g826 +g999 +tp2111 +a(g89 +V"Ihre Sperre der Seite %(lock_page)s läuft in # Minuten ab." +p2112 +tp2113 +a(g826 +V\u000a +p2114 +tp2115 +a(g826 +V\u000a +p2116 +tp2117 +a(g748 +V#, python-format +p2118 +tp2119 +a(g826 +V\u000a +p2120 +tp2121 +a(g440 +Vmsgid +p2122 +tp2123 +a(g826 +g999 +tp2124 +a(g89 +V"Your edit lock on %(lock_page)s will expire in # seconds." +p2125 +tp2126 +a(g826 +V\u000a +p2127 +tp2128 +a(g440 +Vmsgstr +p2129 +tp2130 +a(g826 +g999 +tp2131 +a(g89 +V"Ihre Sperre der Seite %(lock_page)s läuft in # Sekunden ab." +p2132 +tp2133 +a(g826 +V\u000a +p2134 +tp2135 +a(g826 +V\u000a +p2136 +tp2137 +a(g440 +Vmsgid +p2138 +tp2139 +a(g826 +g999 +tp2140 +a(g89 +V"Someone else deleted this page while you were editing!" +p2141 +tp2142 +a(g826 +V\u000a +p2143 +tp2144 +a(g440 +Vmsgstr +p2145 +tp2146 +a(g826 +g999 +tp2147 +a(g89 +V"Ein anderer Benutzer hat diese Seite inzwischen gelöscht!" +p2148 +tp2149 +a(g826 +V\u000a +p2150 +tp2151 +a(g826 +V\u000a +p2152 +tp2153 +a(g440 +Vmsgid +p2154 +tp2155 +a(g826 +g999 +tp2156 +a(g89 +V"Someone else changed this page while you were editing!" +p2157 +tp2158 +a(g826 +V\u000a +p2159 +tp2160 +a(g440 +Vmsgstr +p2161 +tp2162 +a(g826 +g999 +tp2163 +a(g89 +V"Ein anderer Benutzer hat diese Seite inzwischen geändert!" +p2164 +tp2165 +a(g826 +V\u000a +p2166 +tp2167 +a(g826 +V\u000a +p2168 +tp2169 +a(g440 +Vmsgid +p2170 +tp2171 +a(g826 +g999 +tp2172 +a(g89 +V"" +p2173 +tp2174 +a(g826 +V\u000a +p2175 +tp2176 +a(g89 +V"Someone else saved this page while you were editing!\u005cn" +p2177 +tp2178 +a(g826 +V\u000a +p2179 +tp2180 +a(g89 +V"Please review the page and save then. Do not save this page as it is!" +p2181 +tp2182 +a(g826 +V\u000a +p2183 +tp2184 +a(g440 +Vmsgstr +p2185 +tp2186 +a(g826 +g999 +tp2187 +a(g89 +V"" +p2188 +tp2189 +a(g826 +V\u000a +p2190 +tp2191 +a(g89 +V"Ein anderer Benutzer hat gespeichert, während Sie editiert haben!\u005cn" +p2192 +tp2193 +a(g826 +V\u000a +p2194 +tp2195 +a(g89 +V"Bitte schauen Sie die Seite nochmal durch und speichern Sie dann. Speichern " +p2196 +tp2197 +a(g826 +V\u000a +p2198 +tp2199 +a(g89 +V"Sie die Seite nicht so, wie sie ist!" +p2200 +tp2201 +a(g826 +V\u000a +p2202 +tp2203 +a(g826 +V\u000a +p2204 +tp2205 +a(g440 +Vmsgid +p2206 +tp2207 +a(g826 +g999 +tp2208 +a(g89 +V"[Content loaded from draft]" +p2209 +tp2210 +a(g826 +V\u000a +p2211 +tp2212 +a(g440 +Vmsgstr +p2213 +tp2214 +a(g826 +g999 +tp2215 +a(g89 +V"[Inhalt der Seite mit dem Entwurf geladen]" +p2216 +tp2217 +a(g826 +V\u000a +p2218 +tp2219 +a(g826 +V\u000a +p2220 +tp2221 +a(g748 +V#, python-format +p2222 +tp2223 +a(g826 +V\u000a +p2224 +tp2225 +a(g440 +Vmsgid +p2226 +tp2227 +a(g826 +g999 +tp2228 +a(g89 +V"[Content of new page loaded from %s]" +p2229 +tp2230 +a(g826 +V\u000a +p2231 +tp2232 +a(g440 +Vmsgstr +p2233 +tp2234 +a(g826 +g999 +tp2235 +a(g89 +V"[Inhalt der neuen Seite auf Basis der Vorlage %s]" +p2236 +tp2237 +a(g826 +V\u000a +p2238 +tp2239 +a(g826 +V\u000a +p2240 +tp2241 +a(g748 +V#, python-format +p2242 +tp2243 +a(g826 +V\u000a +p2244 +tp2245 +a(g440 +Vmsgid +p2246 +tp2247 +a(g826 +g999 +tp2248 +a(g89 +V"[Template %s not found]" +p2249 +tp2250 +a(g826 +V\u000a +p2251 +tp2252 +a(g440 +Vmsgstr +p2253 +tp2254 +a(g826 +g999 +tp2255 +a(g89 +V"[Vorlage %s nicht gefunden]" +p2256 +tp2257 +a(g826 +V\u000a +p2258 +tp2259 +a(g826 +V\u000a +p2260 +tp2261 +a(g748 +V#, python-format +p2262 +tp2263 +a(g826 +V\u000a +p2264 +tp2265 +a(g440 +Vmsgid +p2266 +tp2267 +a(g826 +g999 +tp2268 +a(g89 +V"[You may not read %s]" +p2269 +tp2270 +a(g826 +V\u000a +p2271 +tp2272 +a(g440 +Vmsgstr +p2273 +tp2274 +a(g826 +g999 +tp2275 +a(g89 +V"[Sie dürfen %s nicht lesen]" +p2276 +tp2277 +a(g826 +V\u000a +p2278 +tp2279 +a(g826 +V\u000a +p2280 +tp2281 +a(g748 +V#, python-format +p2282 +tp2283 +a(g826 +V\u000a +p2284 +tp2285 +a(g440 +Vmsgid +p2286 +tp2287 +a(g826 +g999 +tp2288 +a(g89 +V"" +p2289 +tp2290 +a(g826 +V\u000a +p2291 +tp2292 +a(g89 +V"'''[[BR]]Your draft based on revision %(draft_rev)d (saved %" +p2293 +tp2294 +a(g826 +V\u000a +p2295 +tp2296 +a(g89 +V"(draft_timestamp_str)s) can be loaded instead of the current revision %" +p2297 +tp2298 +a(g826 +V\u000a +p2299 +tp2300 +a(g89 +V"(page_rev)d by using the load draft button - in case you lost your last edit " +p2301 +tp2302 +a(g826 +V\u000a +p2303 +tp2304 +a(g89 +V"somehow without saving it.''' A draft gets saved for you when you do a " +p2305 +tp2306 +a(g826 +V\u000a +p2307 +tp2308 +a(g89 +V"preview, cancel an edit or unsuccessfully save." +p2309 +tp2310 +a(g826 +V\u000a +p2311 +tp2312 +a(g440 +Vmsgstr +p2313 +tp2314 +a(g826 +g999 +tp2315 +a(g89 +V"" +p2316 +tp2317 +a(g826 +V\u000a +p2318 +tp2319 +a(g89 +V"'''[[BR]]Ihr Entwurf basierend auf Revision %(draft_rev)d (gespeichert %" +p2320 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g89 +V"(draft_timestamp_str)s kann anstatt der aktuellen Revision %(page_rev)d " +p2324 +tp2325 +a(g826 +V\u000a +p2326 +tp2327 +a(g89 +V"geladen werden, indem Sie den Knopf ''Entwurf laden'' drücken (falls Sie " +p2328 +tp2329 +a(g826 +V\u000a +p2330 +tp2331 +a(g89 +V"Ihre letzten Änderungen verloren haben, bevor Sie sie gespeichert " +p2332 +tp2333 +a(g826 +V\u000a +p2334 +tp2335 +a(g89 +V"hatten).''' Ein Entwurf wird für Sie gespeichert, wenn Sie auf Vorschau oder " +p2336 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g89 +V"Abbrechen drücken oder das Speichern nicht funktioniert." +p2340 +tp2341 +a(g826 +V\u000a +p2342 +tp2343 +a(g826 +V\u000a +p2344 +tp2345 +a(g748 +V#, python-format +p2346 +tp2347 +a(g826 +V\u000a +p2348 +tp2349 +a(g440 +Vmsgid +p2350 +tp2351 +a(g826 +g999 +tp2352 +a(g89 +V"Describe %s here." +p2353 +tp2354 +a(g826 +V\u000a +p2355 +tp2356 +a(g440 +Vmsgstr +p2357 +tp2358 +a(g826 +g999 +tp2359 +a(g89 +V"%s hier beschreiben..." +p2360 +tp2361 +a(g826 +V\u000a +p2362 +tp2363 +a(g826 +V\u000a +p2364 +tp2365 +a(g440 +Vmsgid +p2366 +tp2367 +a(g826 +g999 +tp2368 +a(g89 +V"Check Spelling" +p2369 +tp2370 +a(g826 +V\u000a +p2371 +tp2372 +a(g440 +Vmsgstr +p2373 +tp2374 +a(g826 +g999 +tp2375 +a(g89 +V"Rechtschreibung prüfen" +p2376 +tp2377 +a(g826 +V\u000a +p2378 +tp2379 +a(g826 +V\u000a +p2380 +tp2381 +a(g440 +Vmsgid +p2382 +tp2383 +a(g826 +g999 +tp2384 +a(g89 +V"Save Changes" +p2385 +tp2386 +a(g826 +V\u000a +p2387 +tp2388 +a(g440 +Vmsgstr +p2389 +tp2390 +a(g826 +g999 +tp2391 +a(g89 +V"Änderungen speichern" +p2392 +tp2393 +a(g826 +V\u000a +p2394 +tp2395 +a(g826 +V\u000a +p2396 +tp2397 +a(g748 +V#, python-format +p2398 +tp2399 +a(g826 +V\u000a +p2400 +tp2401 +a(g440 +Vmsgid +p2402 +tp2403 +a(g826 +g999 +tp2404 +a(g89 +V"" +p2405 +tp2406 +a(g826 +V\u000a +p2407 +tp2408 +a(g89 +V"By hitting '''%(save_button_text)s''' you put your changes under the %" +p2409 +tp2410 +a(g826 +V\u000a +p2411 +tp2412 +a(g89 +V"(license_link)s.\u005cn" +p2413 +tp2414 +a(g826 +V\u000a +p2415 +tp2416 +a(g89 +V"If you don't want that, hit '''%(cancel_button_text)s''' to cancel your " +p2417 +tp2418 +a(g826 +V\u000a +p2419 +tp2420 +a(g89 +V"changes." +p2421 +tp2422 +a(g826 +V\u000a +p2423 +tp2424 +a(g440 +Vmsgstr +p2425 +tp2426 +a(g826 +g999 +tp2427 +a(g89 +V"" +p2428 +tp2429 +a(g826 +V\u000a +p2430 +tp2431 +a(g89 +V"Durch Anklicken von '''%(save_button_text)s''' stellen Sie Ihre Änderungen " +p2432 +tp2433 +a(g826 +V\u000a +p2434 +tp2435 +a(g89 +V"unter die %(license_link)s.\u005cn" +p2436 +tp2437 +a(g826 +V\u000a +p2438 +tp2439 +a(g89 +V"Wenn Sie das nicht wollen, klicken Sie auf '''%(cancel_button_text)s''', um " +p2440 +tp2441 +a(g826 +V\u000a +p2442 +tp2443 +a(g89 +V"Ihre Änderungen zu verwerfen." +p2444 +tp2445 +a(g826 +V\u000a +p2446 +tp2447 +a(g826 +V\u000a +p2448 +tp2449 +a(g440 +Vmsgid +p2450 +tp2451 +a(g826 +g999 +tp2452 +a(g89 +V"Preview" +p2453 +tp2454 +a(g826 +V\u000a +p2455 +tp2456 +a(g440 +Vmsgstr +p2457 +tp2458 +a(g826 +g999 +tp2459 +a(g89 +V"Vorschau anzeigen" +p2460 +tp2461 +a(g826 +V\u000a +p2462 +tp2463 +a(g826 +V\u000a +p2464 +tp2465 +a(g440 +Vmsgid +p2466 +tp2467 +a(g826 +g999 +tp2468 +a(g89 +V"Text mode" +p2469 +tp2470 +a(g826 +V\u000a +p2471 +tp2472 +a(g440 +Vmsgstr +p2473 +tp2474 +a(g826 +g999 +tp2475 +a(g89 +V"Text-Modus" +p2476 +tp2477 +a(g826 +V\u000a +p2478 +tp2479 +a(g826 +V\u000a +p2480 +tp2481 +a(g440 +Vmsgid +p2482 +tp2483 +a(g826 +g999 +tp2484 +a(g89 +V"Load Draft" +p2485 +tp2486 +a(g826 +V\u000a +p2487 +tp2488 +a(g440 +Vmsgstr +p2489 +tp2490 +a(g826 +g999 +tp2491 +a(g89 +V"Entwurf laden" +p2492 +tp2493 +a(g826 +V\u000a +p2494 +tp2495 +a(g826 +V\u000a +p2496 +tp2497 +a(g440 +Vmsgid +p2498 +tp2499 +a(g826 +g999 +tp2500 +a(g89 +V"Comment:" +p2501 +tp2502 +a(g826 +V\u000a +p2503 +tp2504 +a(g440 +Vmsgstr +p2505 +tp2506 +a(g826 +g999 +tp2507 +a(g89 +V"Kommentar:" +p2508 +tp2509 +a(g826 +V\u000a +p2510 +tp2511 +a(g826 +V\u000a +p2512 +tp2513 +a(g440 +Vmsgid +p2514 +tp2515 +a(g826 +g999 +tp2516 +a(g89 +V"" +p2517 +tp2518 +a(g826 +V\u000a +p2519 +tp2520 +a(g440 +Vmsgstr +p2521 +tp2522 +a(g826 +g999 +tp2523 +a(g89 +V"" +p2524 +tp2525 +a(g826 +V\u000a +p2526 +tp2527 +a(g826 +V\u000a +p2528 +tp2529 +a(g748 +V#, python-format +p2530 +tp2531 +a(g826 +V\u000a +p2532 +tp2533 +a(g440 +Vmsgid +p2534 +tp2535 +a(g826 +g999 +tp2536 +a(g89 +V"Add to: %(category)s" +p2537 +tp2538 +a(g826 +V\u000a +p2539 +tp2540 +a(g440 +Vmsgstr +p2541 +tp2542 +a(g826 +g999 +tp2543 +a(g89 +V"Zu %(category)s hinzufügen:" +p2544 +tp2545 +a(g826 +V\u000a +p2546 +tp2547 +a(g826 +V\u000a +p2548 +tp2549 +a(g440 +Vmsgid +p2550 +tp2551 +a(g826 +g999 +tp2552 +a(g89 +V"Trivial change" +p2553 +tp2554 +a(g826 +V\u000a +p2555 +tp2556 +a(g440 +Vmsgstr +p2557 +tp2558 +a(g826 +g999 +tp2559 +a(g89 +V"Triviale Änderung" +p2560 +tp2561 +a(g826 +V\u000a +p2562 +tp2563 +a(g826 +V\u000a +p2564 +tp2565 +a(g440 +Vmsgid +p2566 +tp2567 +a(g826 +g999 +tp2568 +a(g89 +V"Remove trailing whitespace from each line" +p2569 +tp2570 +a(g826 +V\u000a +p2571 +tp2572 +a(g440 +Vmsgstr +p2573 +tp2574 +a(g826 +g999 +tp2575 +a(g89 +V"Leerzeichen am Ende jeder Zeile entfernen" +p2576 +tp2577 +a(g826 +V\u000a +p2578 +tp2579 +a(g826 +V\u000a +p2580 +tp2581 +a(g440 +Vmsgid +p2582 +tp2583 +a(g826 +g999 +tp2584 +a(g89 +V"The wiki is currently not reachable." +p2585 +tp2586 +a(g826 +V\u000a +p2587 +tp2588 +a(g440 +Vmsgstr +p2589 +tp2590 +a(g826 +g999 +tp2591 +a(g89 +V"Das Wiki ist derzeit nicht erreichbar." +p2592 +tp2593 +a(g826 +V\u000a +p2594 +tp2595 +a(g826 +V\u000a +p2596 +tp2597 +a(g440 +Vmsgid +p2598 +tp2599 +a(g826 +g999 +tp2600 +a(g89 +V"" +p2601 +tp2602 +a(g826 +V\u000a +p2603 +tp2604 +a(g89 +V"The remote version of MoinMoin is too old, version 1.6 is required at least." +p2605 +tp2606 +a(g826 +V\u000a +p2607 +tp2608 +a(g440 +Vmsgstr +p2609 +tp2610 +a(g826 +g999 +tp2611 +a(g89 +V"" +p2612 +tp2613 +a(g826 +V\u000a +p2614 +tp2615 +a(g89 +V"Die ferne MoinMoin-Version ist zu alt, mindestens Version 1.6 wird benötigt." +p2616 +tp2617 +a(g826 +V\u000a +p2618 +tp2619 +a(g826 +V\u000a +p2620 +tp2621 +a(g440 +Vmsgid +p2622 +tp2623 +a(g826 +g999 +tp2624 +a(g89 +V"Invalid username or password." +p2625 +tp2626 +a(g826 +V\u000a +p2627 +tp2628 +a(g440 +Vmsgstr +p2629 +tp2630 +a(g826 +g999 +tp2631 +a(g89 +V"Ungültiger Username oder Passwort." +p2632 +tp2633 +a(g826 +V\u000a +p2634 +tp2635 +a(g826 +V\u000a +p2636 +tp2637 +a(g748 +V#, python-format +p2638 +tp2639 +a(g826 +V\u000a +p2640 +tp2641 +a(g440 +Vmsgid +p2642 +tp2643 +a(g826 +g999 +tp2644 +a(g89 +V"" +p2645 +tp2646 +a(g826 +V\u000a +p2647 +tp2648 +a(g89 +V"The remote wiki uses a different InterWiki name (%(remotename)s) internally " +p2649 +tp2650 +a(g826 +V\u000a +p2651 +tp2652 +a(g89 +V"than you specified (%(localname)s)." +p2653 +tp2654 +a(g826 +V\u000a +p2655 +tp2656 +a(g440 +Vmsgstr +p2657 +tp2658 +a(g826 +g999 +tp2659 +a(g89 +V"" +p2660 +tp2661 +a(g826 +V\u000a +p2662 +tp2663 +a(g89 +V"Das ferne Wiki benutzt intern einen anderen InterWiki-Namen (%(remotename)s) " +p2664 +tp2665 +a(g826 +V\u000a +p2666 +tp2667 +a(g89 +V"als Sie angegeben haben (%(localname)s)." +p2668 +tp2669 +a(g826 +V\u000a +p2670 +tp2671 +a(g826 +V\u000a +p2672 +tp2673 +a(g748 +V#, python-format +p2674 +tp2675 +a(g826 +V\u000a +p2676 +tp2677 +a(g440 +Vmsgid +p2678 +tp2679 +a(g826 +g999 +tp2680 +a(g89 +V"The package needs a newer version of MoinMoin (at least %s)." +p2681 +tp2682 +a(g826 +V\u000a +p2683 +tp2684 +a(g440 +Vmsgstr +p2685 +tp2686 +a(g826 +g999 +tp2687 +a(g89 +V"Das Paket erfordert eine neuere Version von MoinMoin (mindestens %s)." +p2688 +tp2689 +a(g826 +V\u000a +p2690 +tp2691 +a(g826 +V\u000a +p2692 +tp2693 +a(g440 +Vmsgid +p2694 +tp2695 +a(g826 +g999 +tp2696 +a(g89 +V"The theme name is not set." +p2697 +tp2698 +a(g826 +V\u000a +p2699 +tp2700 +a(g440 +Vmsgstr +p2701 +tp2702 +a(g826 +g999 +tp2703 +a(g89 +V"Theme-Name ist nicht gesetzt." +p2704 +tp2705 +a(g826 +V\u000a +p2706 +tp2707 +a(g826 +V\u000a +p2708 +tp2709 +a(g440 +Vmsgid +p2710 +tp2711 +a(g826 +g999 +tp2712 +a(g89 +V"Installing theme files is only supported for standalone type servers." +p2713 +tp2714 +a(g826 +V\u000a +p2715 +tp2716 +a(g440 +Vmsgstr +p2717 +tp2718 +a(g826 +g999 +tp2719 +a(g89 +V"" +p2720 +tp2721 +a(g826 +V\u000a +p2722 +tp2723 +a(g89 +V"Das Installieren von Theme-Dateien wird nur für Server-Typ standalone " +p2724 +tp2725 +a(g826 +V\u000a +p2726 +tp2727 +a(g89 +V"unterstützt." +p2728 +tp2729 +a(g826 +V\u000a +p2730 +tp2731 +a(g826 +V\u000a +p2732 +tp2733 +a(g748 +V#, python-format +p2734 +tp2735 +a(g826 +V\u000a +p2736 +tp2737 +a(g440 +Vmsgid +p2738 +tp2739 +a(g826 +g999 +tp2740 +a(g89 +V"Installation of '%(filename)s' failed." +p2741 +tp2742 +a(g826 +V\u000a +p2743 +tp2744 +a(g440 +Vmsgstr +p2745 +tp2746 +a(g826 +g999 +tp2747 +a(g89 +V"Installation von '%(filename)s' fehlgeschlagen." +p2748 +tp2749 +a(g826 +V\u000a +p2750 +tp2751 +a(g826 +V\u000a +p2752 +tp2753 +a(g748 +V#, python-format +p2754 +tp2755 +a(g826 +V\u000a +p2756 +tp2757 +a(g440 +Vmsgid +p2758 +tp2759 +a(g826 +g999 +tp2760 +a(g89 +V"The file %s is not a MoinMoin package file." +p2761 +tp2762 +a(g826 +V\u000a +p2763 +tp2764 +a(g440 +Vmsgstr +p2765 +tp2766 +a(g826 +g999 +tp2767 +a(g89 +V"Die Datei %s ist keine MoinMoin-Paket-Datei." +p2768 +tp2769 +a(g826 +V\u000a +p2770 +tp2771 +a(g826 +V\u000a +p2772 +tp2773 +a(g748 +V#, python-format +p2774 +tp2775 +a(g826 +V\u000a +p2776 +tp2777 +a(g440 +Vmsgid +p2778 +tp2779 +a(g826 +g999 +tp2780 +a(g89 +V"The page %s does not exist." +p2781 +tp2782 +a(g826 +V\u000a +p2783 +tp2784 +a(g440 +Vmsgstr +p2785 +tp2786 +a(g826 +g999 +tp2787 +a(g89 +V"Die Seite %s existiert nicht." +p2788 +tp2789 +a(g826 +V\u000a +p2790 +tp2791 +a(g826 +V\u000a +p2792 +tp2793 +a(g440 +Vmsgid +p2794 +tp2795 +a(g826 +g999 +tp2796 +a(g89 +V"Invalid package file header." +p2797 +tp2798 +a(g826 +V\u000a +p2799 +tp2800 +a(g440 +Vmsgstr +p2801 +tp2802 +a(g826 +g999 +tp2803 +a(g89 +V"Ungültiger Paket-Datei-Header." +p2804 +tp2805 +a(g826 +V\u000a +p2806 +tp2807 +a(g826 +V\u000a +p2808 +tp2809 +a(g440 +Vmsgid +p2810 +tp2811 +a(g826 +g999 +tp2812 +a(g89 +V"Package file format unsupported." +p2813 +tp2814 +a(g826 +V\u000a +p2815 +tp2816 +a(g440 +Vmsgstr +p2817 +tp2818 +a(g826 +g999 +tp2819 +a(g89 +V"Paket-Datei-Format nicht unterstützt." +p2820 +tp2821 +a(g826 +V\u000a +p2822 +tp2823 +a(g826 +V\u000a +p2824 +tp2825 +a(g748 +V#, python-format +p2826 +tp2827 +a(g826 +V\u000a +p2828 +tp2829 +a(g440 +Vmsgid +p2830 +tp2831 +a(g826 +g999 +tp2832 +a(g89 +V"Unknown function %(func)s in line %(lineno)i." +p2833 +tp2834 +a(g826 +V\u000a +p2835 +tp2836 +a(g440 +Vmsgstr +p2837 +tp2838 +a(g826 +g999 +tp2839 +a(g89 +V"Unbekannte Funktion %(func)s in Zeile %(lineno)i." +p2840 +tp2841 +a(g826 +V\u000a +p2842 +tp2843 +a(g826 +V\u000a +p2844 +tp2845 +a(g748 +V#, python-format +p2846 +tp2847 +a(g826 +V\u000a +p2848 +tp2849 +a(g440 +Vmsgid +p2850 +tp2851 +a(g826 +g999 +tp2852 +a(g89 +V"The file %s was not found in the package." +p2853 +tp2854 +a(g826 +V\u000a +p2855 +tp2856 +a(g440 +Vmsgstr +p2857 +tp2858 +a(g826 +g999 +tp2859 +a(g89 +V"Die Datei %s wurde im Paket nicht gefunden." +p2860 +tp2861 +a(g826 +V\u000a +p2862 +tp2863 +a(g826 +V\u000a +p2864 +tp2865 +a(g440 +Vmsgid +p2866 +tp2867 +a(g826 +g999 +tp2868 +a(g89 +V"Your changes are not saved!" +p2869 +tp2870 +a(g826 +V\u000a +p2871 +tp2872 +a(g440 +Vmsgstr +p2873 +tp2874 +a(g826 +g999 +tp2875 +a(g89 +V"Ihre Änderungen sind nicht gesichert!" +p2876 +tp2877 +a(g826 +V\u000a +p2878 +tp2879 +a(g826 +V\u000a +p2880 +tp2881 +a(g440 +Vmsgid +p2882 +tp2883 +a(g826 +g999 +tp2884 +a(g89 +V"Page name is too long, try shorter name." +p2885 +tp2886 +a(g826 +V\u000a +p2887 +tp2888 +a(g440 +Vmsgstr +p2889 +tp2890 +a(g826 +g999 +tp2891 +a(g89 +V"Seitenname ist zu lang, bitte kürzen." +p2892 +tp2893 +a(g826 +V\u000a +p2894 +tp2895 +a(g826 +V\u000a +p2896 +tp2897 +a(g440 +Vmsgid +p2898 +tp2899 +a(g826 +g999 +tp2900 +a(g89 +V"GUI Mode" +p2901 +tp2902 +a(g826 +V\u000a +p2903 +tp2904 +a(g440 +Vmsgstr +p2905 +tp2906 +a(g826 +g999 +tp2907 +a(g89 +V"GUI-Modus" +p2908 +tp2909 +a(g826 +V\u000a +p2910 +tp2911 +a(g826 +V\u000a +p2912 +tp2913 +a(g440 +Vmsgid +p2914 +tp2915 +a(g826 +g999 +tp2916 +a(g89 +V"Edit was cancelled." +p2917 +tp2918 +a(g826 +V\u000a +p2919 +tp2920 +a(g440 +Vmsgstr +p2921 +tp2922 +a(g826 +g999 +tp2923 +a(g89 +V"Editierung wurde abgebrochen." +p2924 +tp2925 +a(g826 +V\u000a +p2926 +tp2927 +a(g826 +V\u000a +p2928 +tp2929 +a(g440 +Vmsgid +p2930 +tp2931 +a(g826 +g999 +tp2932 +a(g89 +V"You can't copy to an empty pagename." +p2933 +tp2934 +a(g826 +V\u000a +p2935 +tp2936 +a(g440 +Vmsgstr +p2937 +tp2938 +a(g826 +g999 +tp2939 +a(g89 +V"Sie können eine Seite nicht auf einen leeren Seitennamen kopieren." +p2940 +tp2941 +a(g826 +V\u000a +p2942 +tp2943 +a(g826 +V\u000a +p2944 +tp2945 +a(g440 +Vmsgid +p2946 +tp2947 +a(g826 +g999 +tp2948 +a(g89 +V"You are not allowed to copy this page!" +p2949 +tp2950 +a(g826 +V\u000a +p2951 +tp2952 +a(g440 +Vmsgstr +p2953 +tp2954 +a(g826 +g999 +tp2955 +a(g89 +V"Sie dürfen diese Seite nicht kopieren!" +p2956 +tp2957 +a(g826 +V\u000a +p2958 +tp2959 +a(g826 +V\u000a +p2960 +tp2961 +a(g748 +V#, python-format +p2962 +tp2963 +a(g826 +V\u000a +p2964 +tp2965 +a(g440 +Vmsgid +p2966 +tp2967 +a(g826 +g999 +tp2968 +a(g89 +V"" +p2969 +tp2970 +a(g826 +V\u000a +p2971 +tp2972 +a(g89 +V"'''A page with the name {{{'%s'}}} already exists.'''\u005cn" +p2973 +tp2974 +a(g826 +V\u000a +p2975 +tp2976 +a(g89 +V"Try a different name." +p2977 +tp2978 +a(g826 +V\u000a +p2979 +tp2980 +a(g440 +Vmsgstr +p2981 +tp2982 +a(g826 +g999 +tp2983 +a(g89 +V"" +p2984 +tp2985 +a(g826 +V\u000a +p2986 +tp2987 +a(g89 +V"'''Es gibt bereits eine Seite mit dem Namen {{{'%s'}}}.'''\u005cn" +p2988 +tp2989 +a(g826 +V\u000a +p2990 +tp2991 +a(g89 +V"Versuchen Sie es mit einem anderen Namen." +p2992 +tp2993 +a(g826 +V\u000a +p2994 +tp2995 +a(g826 +V\u000a +p2996 +tp2997 +a(g748 +V#, python-format +p2998 +tp2999 +a(g826 +V\u000a +p3000 +tp3001 +a(g440 +Vmsgid +p3002 +tp3003 +a(g826 +g999 +tp3004 +a(g89 +V"Could not copy page because of file system error: %s." +p3005 +tp3006 +a(g826 +V\u000a +p3007 +tp3008 +a(g440 +Vmsgstr +p3009 +tp3010 +a(g826 +g999 +tp3011 +a(g89 +V"" +p3012 +tp3013 +a(g826 +V\u000a +p3014 +tp3015 +a(g89 +V"Konnte die Seite nicht kopieren wegen eines Dateisystem-Fehlercodes: %s." +p3016 +tp3017 +a(g826 +V\u000a +p3018 +tp3019 +a(g826 +V\u000a +p3020 +tp3021 +a(g440 +Vmsgid +p3022 +tp3023 +a(g826 +g999 +tp3024 +a(g89 +V"You are not allowed to rename this page!" +p3025 +tp3026 +a(g826 +V\u000a +p3027 +tp3028 +a(g440 +Vmsgstr +p3029 +tp3030 +a(g826 +g999 +tp3031 +a(g89 +V"Sie dürfen diese Seite nicht umbenennen!" +p3032 +tp3033 +a(g826 +V\u000a +p3034 +tp3035 +a(g826 +V\u000a +p3036 +tp3037 +a(g440 +Vmsgid +p3038 +tp3039 +a(g826 +g999 +tp3040 +a(g89 +V"You can't rename to an empty pagename." +p3041 +tp3042 +a(g826 +V\u000a +p3043 +tp3044 +a(g440 +Vmsgstr +p3045 +tp3046 +a(g826 +g999 +tp3047 +a(g89 +V"Sie können eine Seite nicht auf einen leeren Seitennamen umbenennen." +p3048 +tp3049 +a(g826 +V\u000a +p3050 +tp3051 +a(g826 +V\u000a +p3052 +tp3053 +a(g748 +V#, python-format +p3054 +tp3055 +a(g826 +V\u000a +p3056 +tp3057 +a(g440 +Vmsgid +p3058 +tp3059 +a(g826 +g999 +tp3060 +a(g89 +V"" +p3061 +tp3062 +a(g826 +V\u000a +p3063 +tp3064 +a(g89 +V"'''A page with the name {{{'%s'}}} already exists.'''\u005cn" +p3065 +tp3066 +a(g826 +V\u000a +p3067 +tp3068 +a(g89 +V"\u005cn" +p3069 +tp3070 +a(g826 +V\u000a +p3071 +tp3072 +a(g89 +V"Try a different name." +p3073 +tp3074 +a(g826 +V\u000a +p3075 +tp3076 +a(g440 +Vmsgstr +p3077 +tp3078 +a(g826 +g999 +tp3079 +a(g89 +V"" +p3080 +tp3081 +a(g826 +V\u000a +p3082 +tp3083 +a(g89 +V"'''Es gibt bereits eine Seite mit dem Namen {{{'%s'}}}.'''\u005cn" +p3084 +tp3085 +a(g826 +V\u000a +p3086 +tp3087 +a(g89 +V"Versuchen Sie es mit einem anderen Namen." +p3088 +tp3089 +a(g826 +V\u000a +p3090 +tp3091 +a(g826 +V\u000a +p3092 +tp3093 +a(g748 +V#, python-format +p3094 +tp3095 +a(g826 +V\u000a +p3096 +tp3097 +a(g440 +Vmsgid +p3098 +tp3099 +a(g826 +g999 +tp3100 +a(g89 +V"Could not rename page because of file system error: %s." +p3101 +tp3102 +a(g826 +V\u000a +p3103 +tp3104 +a(g440 +Vmsgstr +p3105 +tp3106 +a(g826 +g999 +tp3107 +a(g89 +V"" +p3108 +tp3109 +a(g826 +V\u000a +p3110 +tp3111 +a(g89 +V"Konnte die Seite nicht umbenennen wegen eines Dateisystem-Fehlercodes: %s." +p3112 +tp3113 +a(g826 +V\u000a +p3114 +tp3115 +a(g826 +V\u000a +p3116 +tp3117 +a(g440 +Vmsgid +p3118 +tp3119 +a(g826 +g999 +tp3120 +a(g89 +V"You are not allowed to delete this page!" +p3121 +tp3122 +a(g826 +V\u000a +p3123 +tp3124 +a(g440 +Vmsgstr +p3125 +tp3126 +a(g826 +g999 +tp3127 +a(g89 +V"Sie dürfen diese Seite nicht löschen!" +p3128 +tp3129 +a(g826 +V\u000a +p3130 +tp3131 +a(g826 +V\u000a +p3132 +tp3133 +a(g440 +Vmsgid +p3134 +tp3135 +a(g826 +g999 +tp3136 +a(g89 +V"Thank you for your changes. Your attention to detail is appreciated." +p3137 +tp3138 +a(g826 +V\u000a +p3139 +tp3140 +a(g440 +Vmsgstr +p3141 +tp3142 +a(g826 +g999 +tp3143 +a(g89 +V"Danke für die Änderung und die Sorgfalt beim Editieren." +p3144 +tp3145 +a(g826 +V\u000a +p3146 +tp3147 +a(g826 +V\u000a +p3148 +tp3149 +a(g748 +V#, python-format +p3150 +tp3151 +a(g826 +V\u000a +p3152 +tp3153 +a(g440 +Vmsgid +p3154 +tp3155 +a(g826 +g999 +tp3156 +a(g89 +V"Page \u005c"%s\u005c" was successfully deleted!" +p3157 +tp3158 +a(g826 +V\u000a +p3159 +tp3160 +a(g440 +Vmsgstr +p3161 +tp3162 +a(g826 +g999 +tp3163 +a(g89 +V"Seite \u005c"%s\u005c" wurde erfolgreich gelöscht!" +p3164 +tp3165 +a(g826 +V\u000a +p3166 +tp3167 +a(g826 +V\u000a +p3168 +tp3169 +a(g748 +V#, python-format +p3170 +tp3171 +a(g826 +V\u000a +p3172 +tp3173 +a(g440 +Vmsgid +p3174 +tp3175 +a(g826 +g999 +tp3176 +a(g89 +V"" +p3177 +tp3178 +a(g826 +V\u000a +p3179 +tp3180 +a(g89 +V"Dear Wiki user,\u005cn" +p3181 +tp3182 +a(g826 +V\u000a +p3183 +tp3184 +a(g89 +V"\u005cn" +p3185 +tp3186 +a(g826 +V\u000a +p3187 +tp3188 +a(g89 +V"You have subscribed to a wiki page or wiki category on \u005c"%(sitename)s\u005c" for " +p3189 +tp3190 +a(g826 +V\u000a +p3191 +tp3192 +a(g89 +V"change notification.\u005cn" +p3193 +tp3194 +a(g826 +V\u000a +p3195 +tp3196 +a(g89 +V"\u005cn" +p3197 +tp3198 +a(g826 +V\u000a +p3199 +tp3200 +a(g89 +V"The following page has been changed by %(editor)s:\u005cn" +p3201 +tp3202 +a(g826 +V\u000a +p3203 +tp3204 +a(g89 +V"%(pagelink)s\u005cn" +p3205 +tp3206 +a(g826 +V\u000a +p3207 +tp3208 +a(g89 +V"\u005cn" +p3209 +tp3210 +a(g826 +V\u000a +p3211 +tp3212 +a(g440 +Vmsgstr +p3213 +tp3214 +a(g826 +g999 +tp3215 +a(g89 +V"" +p3216 +tp3217 +a(g826 +V\u000a +p3218 +tp3219 +a(g89 +V"Sehr geehrter Wikibenutzer,\u005cn" +p3220 +tp3221 +a(g826 +V\u000a +p3222 +tp3223 +a(g89 +V"\u005cn" +p3224 +tp3225 +a(g826 +V\u000a +p3226 +tp3227 +a(g89 +V"Sie haben die Änderungen einer Wikiseite oder Kategorie von \u005c"%(sitename)s\u005c" " +p3228 +tp3229 +a(g826 +V\u000a +p3230 +tp3231 +a(g89 +V"abonniert.\u005cn" +p3232 +tp3233 +a(g826 +V\u000a +p3234 +tp3235 +a(g89 +V"\u005cn" +p3236 +tp3237 +a(g826 +V\u000a +p3238 +tp3239 +a(g89 +V"Die folgende Seite wurde durch %(editor)s verändert:\u005cn" +p3240 +tp3241 +a(g826 +V\u000a +p3242 +tp3243 +a(g89 +V"%(pagelink)s\u005cn" +p3244 +tp3245 +a(g826 +V\u000a +p3246 +tp3247 +a(g89 +V"\u005cn" +p3248 +tp3249 +a(g826 +V\u000a +p3250 +tp3251 +a(g826 +V\u000a +p3252 +tp3253 +a(g748 +V#, python-format +p3254 +tp3255 +a(g826 +V\u000a +p3256 +tp3257 +a(g440 +Vmsgid +p3258 +tp3259 +a(g826 +g999 +tp3260 +a(g89 +V"" +p3261 +tp3262 +a(g826 +V\u000a +p3263 +tp3264 +a(g89 +V"The comment on the change is:\u005cn" +p3265 +tp3266 +a(g826 +V\u000a +p3267 +tp3268 +a(g89 +V"%(comment)s\u005cn" +p3269 +tp3270 +a(g826 +V\u000a +p3271 +tp3272 +a(g89 +V"\u005cn" +p3273 +tp3274 +a(g826 +V\u000a +p3275 +tp3276 +a(g440 +Vmsgstr +p3277 +tp3278 +a(g826 +g999 +tp3279 +a(g89 +V"" +p3280 +tp3281 +a(g826 +V\u000a +p3282 +tp3283 +a(g89 +V"Der Kommentar zur Änderung ist:\u005cn" +p3284 +tp3285 +a(g826 +V\u000a +p3286 +tp3287 +a(g89 +V"%(comment)s\u005cn" +p3288 +tp3289 +a(g826 +V\u000a +p3290 +tp3291 +a(g89 +V"\u005cn" +p3292 +tp3293 +a(g826 +V\u000a +p3294 +tp3295 +a(g826 +V\u000a +p3296 +tp3297 +a(g440 +Vmsgid +p3298 +tp3299 +a(g826 +g999 +tp3300 +a(g89 +V"New page:\u005cn" +p3301 +tp3302 +a(g826 +V\u000a +p3303 +tp3304 +a(g440 +Vmsgstr +p3305 +tp3306 +a(g826 +g999 +tp3307 +a(g89 +V"Neue Seite:\u005cn" +p3308 +tp3309 +a(g826 +V\u000a +p3310 +tp3311 +a(g826 +V\u000a +p3312 +tp3313 +a(g440 +Vmsgid +p3314 +tp3315 +a(g826 +g999 +tp3316 +a(g89 +V"No differences found!\u005cn" +p3317 +tp3318 +a(g826 +V\u000a +p3319 +tp3320 +a(g440 +Vmsgstr +p3321 +tp3322 +a(g826 +g999 +tp3323 +a(g89 +V"Es wurden keine Änderungen gefunden!\u005cn" +p3324 +tp3325 +a(g826 +V\u000a +p3326 +tp3327 +a(g826 +V\u000a +p3328 +tp3329 +a(g748 +V#, python-format +p3330 +tp3331 +a(g826 +V\u000a +p3332 +tp3333 +a(g440 +Vmsgid +p3334 +tp3335 +a(g826 +g999 +tp3336 +a(g89 +V"[%(sitename)s] %(trivial)sUpdate of \u005c"%(pagename)s\u005c" by %(username)s" +p3337 +tp3338 +a(g826 +V\u000a +p3339 +tp3340 +a(g440 +Vmsgstr +p3341 +tp3342 +a(g826 +g999 +tp3343 +a(g89 +V"" +p3344 +tp3345 +a(g826 +V\u000a +p3346 +tp3347 +a(g89 +V"[%(sitename)s] %(trivial)sÄnderung von \u005c"%(pagename)s\u005c" von %(username)s" +p3348 +tp3349 +a(g826 +V\u000a +p3350 +tp3351 +a(g826 +V\u000a +p3352 +tp3353 +a(g440 +Vmsgid +p3354 +tp3355 +a(g826 +g999 +tp3356 +a(g89 +V"Trivial " +p3357 +tp3358 +a(g826 +V\u000a +p3359 +tp3360 +a(g440 +Vmsgstr +p3361 +tp3362 +a(g826 +g999 +tp3363 +a(g89 +V"Triviale " +p3364 +tp3365 +a(g826 +V\u000a +p3366 +tp3367 +a(g826 +V\u000a +p3368 +tp3369 +a(g440 +Vmsgid +p3370 +tp3371 +a(g826 +g999 +tp3372 +a(g89 +V"Status of sending notification mails:" +p3373 +tp3374 +a(g826 +V\u000a +p3375 +tp3376 +a(g440 +Vmsgstr +p3377 +tp3378 +a(g826 +g999 +tp3379 +a(g89 +V"Status des Versands der Änderungsnachrichten:" +p3380 +tp3381 +a(g826 +V\u000a +p3382 +tp3383 +a(g826 +V\u000a +p3384 +tp3385 +a(g748 +V#, python-format +p3386 +tp3387 +a(g826 +V\u000a +p3388 +tp3389 +a(g440 +Vmsgid +p3390 +tp3391 +a(g826 +g999 +tp3392 +a(g89 +V"[%(lang)s] %(recipients)s: %(status)s" +p3393 +tp3394 +a(g826 +V\u000a +p3395 +tp3396 +a(g440 +Vmsgstr +p3397 +tp3398 +a(g826 +g999 +tp3399 +a(g89 +V"[%(lang)s] %(recipients)s: %(status)s" +p3400 +tp3401 +a(g826 +V\u000a +p3402 +tp3403 +a(g826 +V\u000a +p3404 +tp3405 +a(g748 +V#, python-format +p3406 +tp3407 +a(g826 +V\u000a +p3408 +tp3409 +a(g440 +Vmsgid +p3410 +tp3411 +a(g826 +g999 +tp3412 +a(g89 +V"Page could not get locked. Unexpected error (errno=%d)." +p3413 +tp3414 +a(g826 +V\u000a +p3415 +tp3416 +a(g440 +Vmsgstr +p3417 +tp3418 +a(g826 +g999 +tp3419 +a(g89 +V"Seite konnte nicht gesperrt werden. Unerwarteter Fehler (errno=%d)." +p3420 +tp3421 +a(g826 +V\u000a +p3422 +tp3423 +a(g826 +V\u000a +p3424 +tp3425 +a(g440 +Vmsgid +p3426 +tp3427 +a(g826 +g999 +tp3428 +a(g89 +V"Page could not get locked. Missing 'current' file?" +p3429 +tp3430 +a(g826 +V\u000a +p3431 +tp3432 +a(g440 +Vmsgstr +p3433 +tp3434 +a(g826 +g999 +tp3435 +a(g89 +V"Seite konnte nicht gesperrt werden. Fehlende Datei 'current'?" +p3436 +tp3437 +a(g826 +V\u000a +p3438 +tp3439 +a(g826 +V\u000a +p3440 +tp3441 +a(g440 +Vmsgid +p3442 +tp3443 +a(g826 +g999 +tp3444 +a(g89 +V"You are not allowed to edit this page!" +p3445 +tp3446 +a(g826 +V\u000a +p3447 +tp3448 +a(g440 +Vmsgstr +p3449 +tp3450 +a(g826 +g999 +tp3451 +a(g89 +V"Sie dürfen diese Seite nicht editieren!" +p3452 +tp3453 +a(g826 +V\u000a +p3454 +tp3455 +a(g826 +V\u000a +p3456 +tp3457 +a(g440 +Vmsgid +p3458 +tp3459 +a(g826 +g999 +tp3460 +a(g89 +V"You cannot save empty pages." +p3461 +tp3462 +a(g826 +V\u000a +p3463 +tp3464 +a(g440 +Vmsgstr +p3465 +tp3466 +a(g826 +g999 +tp3467 +a(g89 +V"Leere Seiten können nicht gespeichert werden!" +p3468 +tp3469 +a(g826 +V\u000a +p3470 +tp3471 +a(g826 +V\u000a +p3472 +tp3473 +a(g440 +Vmsgid +p3474 +tp3475 +a(g826 +g999 +tp3476 +a(g89 +V"You already saved this page!" +p3477 +tp3478 +a(g826 +V\u000a +p3479 +tp3480 +a(g440 +Vmsgstr +p3481 +tp3482 +a(g826 +g999 +tp3483 +a(g89 +V"Sie haben diese Seite bereits gesichert!" +p3484 +tp3485 +a(g826 +V\u000a +p3486 +tp3487 +a(g826 +V\u000a +p3488 +tp3489 +a(g440 +Vmsgid +p3490 +tp3491 +a(g826 +g999 +tp3492 +a(g89 +V"You already edited this page! Please do not use the back button." +p3493 +tp3494 +a(g826 +V\u000a +p3495 +tp3496 +a(g440 +Vmsgstr +p3497 +tp3498 +a(g826 +g999 +tp3499 +a(g89 +V"" +p3500 +tp3501 +a(g826 +V\u000a +p3502 +tp3503 +a(g89 +V"Sie haben diese Seite bereits editiert! Bitte benutzen Sie nicht den Zurück-" +p3504 +tp3505 +a(g826 +V\u000a +p3506 +tp3507 +a(g89 +V"Button." +p3508 +tp3509 +a(g826 +V\u000a +p3510 +tp3511 +a(g826 +V\u000a +p3512 +tp3513 +a(g440 +Vmsgid +p3514 +tp3515 +a(g826 +g999 +tp3516 +a(g89 +V"You did not change the page content, not saved!" +p3517 +tp3518 +a(g826 +V\u000a +p3519 +tp3520 +a(g440 +Vmsgstr +p3521 +tp3522 +a(g826 +g999 +tp3523 +a(g89 +V"Der Seiteninhalt wurde nicht verändert und folglich nicht gesichert!" +p3524 +tp3525 +a(g826 +V\u000a +p3526 +tp3527 +a(g826 +V\u000a +p3528 +tp3529 +a(g440 +Vmsgid +p3530 +tp3531 +a(g826 +g999 +tp3532 +a(g89 +V"" +p3533 +tp3534 +a(g826 +V\u000a +p3535 +tp3536 +a(g89 +V"You can't change ACLs on this page since you have no admin rights on it!" +p3537 +tp3538 +a(g826 +V\u000a +p3539 +tp3540 +a(g440 +Vmsgstr +p3541 +tp3542 +a(g826 +g999 +tp3543 +a(g89 +V"" +p3544 +tp3545 +a(g826 +V\u000a +p3546 +tp3547 +a(g89 +V"Sie dürfen keine ACLs auf dieser Seite ändern, weil Sie keine admin-Rechte " +p3548 +tp3549 +a(g826 +V\u000a +p3550 +tp3551 +a(g89 +V"auf ihr haben!" +p3552 +tp3553 +a(g826 +V\u000a +p3554 +tp3555 +a(g826 +V\u000a +p3556 +tp3557 +a(g748 +V#, python-format +p3558 +tp3559 +a(g826 +V\u000a +p3560 +tp3561 +a(g440 +Vmsgid +p3562 +tp3563 +a(g826 +g999 +tp3564 +a(g89 +V"" +p3565 +tp3566 +a(g826 +V\u000a +p3567 +tp3568 +a(g89 +V"The lock of %(owner)s timed out %(mins_ago)d minute(s) ago, and you were " +p3569 +tp3570 +a(g826 +V\u000a +p3571 +tp3572 +a(g89 +V"granted the lock for this page." +p3573 +tp3574 +a(g826 +V\u000a +p3575 +tp3576 +a(g440 +Vmsgstr +p3577 +tp3578 +a(g826 +g999 +tp3579 +a(g89 +V"" +p3580 +tp3581 +a(g826 +V\u000a +p3582 +tp3583 +a(g89 +V"Die Sperre von %(owner)s ist vor %(mins_ago)d Minute(n) abgelaufen und wurde " +p3584 +tp3585 +a(g826 +V\u000a +p3586 +tp3587 +a(g89 +V"an Sie übertragen." +p3588 +tp3589 +a(g826 +V\u000a +p3590 +tp3591 +a(g826 +V\u000a +p3592 +tp3593 +a(g748 +V#, python-format +p3594 +tp3595 +a(g826 +V\u000a +p3596 +tp3597 +a(g440 +Vmsgid +p3598 +tp3599 +a(g826 +g999 +tp3600 +a(g89 +V"" +p3601 +tp3602 +a(g826 +V\u000a +p3603 +tp3604 +a(g89 +V"Other users will be ''blocked'' from editing this page until %(bumptime)s." +p3605 +tp3606 +a(g826 +V\u000a +p3607 +tp3608 +a(g440 +Vmsgstr +p3609 +tp3610 +a(g826 +g999 +tp3611 +a(g89 +V"" +p3612 +tp3613 +a(g826 +V\u000a +p3614 +tp3615 +a(g89 +V"Anderen Benutzern wird die Editierung dieser Seite bis %(bumptime)s " +p3616 +tp3617 +a(g826 +V\u000a +p3618 +tp3619 +a(g89 +V"''verweigert''." +p3620 +tp3621 +a(g826 +V\u000a +p3622 +tp3623 +a(g826 +V\u000a +p3624 +tp3625 +a(g748 +V#, python-format +p3626 +tp3627 +a(g826 +V\u000a +p3628 +tp3629 +a(g440 +Vmsgid +p3630 +tp3631 +a(g826 +g999 +tp3632 +a(g89 +V"" +p3633 +tp3634 +a(g826 +V\u000a +p3635 +tp3636 +a(g89 +V"Other users will be ''warned'' until %(bumptime)s that you are editing this " +p3637 +tp3638 +a(g826 +V\u000a +p3639 +tp3640 +a(g89 +V"page." +p3641 +tp3642 +a(g826 +V\u000a +p3643 +tp3644 +a(g440 +Vmsgstr +p3645 +tp3646 +a(g826 +g999 +tp3647 +a(g89 +V"" +p3648 +tp3649 +a(g826 +V\u000a +p3650 +tp3651 +a(g89 +V"Andere Benutzer erhalten bis %(bumptime)s eine ''Warnung'', dass Sie diese " +p3652 +tp3653 +a(g826 +V\u000a +p3654 +tp3655 +a(g89 +V"Seite editieren." +p3656 +tp3657 +a(g826 +V\u000a +p3658 +tp3659 +a(g826 +V\u000a +p3660 +tp3661 +a(g440 +Vmsgid +p3662 +tp3663 +a(g826 +g999 +tp3664 +a(g89 +V"Use the Preview button to extend the locking period." +p3665 +tp3666 +a(g826 +V\u000a +p3667 +tp3668 +a(g440 +Vmsgstr +p3669 +tp3670 +a(g826 +g999 +tp3671 +a(g89 +V"Mit \u005c"Vorschau anzeigen\u005c" können Sie diesen Zeitraum verlängern." +p3672 +tp3673 +a(g826 +V\u000a +p3674 +tp3675 +a(g826 +V\u000a +p3676 +tp3677 +a(g748 +V#, python-format +p3678 +tp3679 +a(g826 +V\u000a +p3680 +tp3681 +a(g440 +Vmsgid +p3682 +tp3683 +a(g826 +g999 +tp3684 +a(g89 +V"" +p3685 +tp3686 +a(g826 +V\u000a +p3687 +tp3688 +a(g89 +V"This page is currently ''locked'' for editing by %(owner)s until %(timestamp)" +p3689 +tp3690 +a(g826 +V\u000a +p3691 +tp3692 +a(g89 +V"s, i.e. for %(mins_valid)d minute(s)." +p3693 +tp3694 +a(g826 +V\u000a +p3695 +tp3696 +a(g440 +Vmsgstr +p3697 +tp3698 +a(g826 +g999 +tp3699 +a(g89 +V"" +p3700 +tp3701 +a(g826 +V\u000a +p3702 +tp3703 +a(g89 +V"Diese Seite ist derzeit zur Editierung durch %(owner)s gegen Änderungen " +p3704 +tp3705 +a(g826 +V\u000a +p3706 +tp3707 +a(g89 +V"''gesperrt'' bis %(timestamp)s, also weitere %(mins_valid)d Minute(n)." +p3708 +tp3709 +a(g826 +V\u000a +p3710 +tp3711 +a(g826 +V\u000a +p3712 +tp3713 +a(g748 +V#, python-format +p3714 +tp3715 +a(g826 +V\u000a +p3716 +tp3717 +a(g440 +Vmsgid +p3718 +tp3719 +a(g826 +g999 +tp3720 +a(g89 +V"" +p3721 +tp3722 +a(g826 +V\u000a +p3723 +tp3724 +a(g89 +V"This page was opened for editing or last previewed at %(timestamp)s by %" +p3725 +tp3726 +a(g826 +V\u000a +p3727 +tp3728 +a(g89 +V"(owner)s.[[BR]]\u005cn" +p3729 +tp3730 +a(g826 +V\u000a +p3731 +tp3732 +a(g89 +V"'''You should ''refrain from editing'' this page for at least another %" +p3733 +tp3734 +a(g826 +V\u000a +p3735 +tp3736 +a(g89 +V"(mins_valid)d minute(s),\u005cn" +p3737 +tp3738 +a(g826 +V\u000a +p3739 +tp3740 +a(g89 +V"to avoid editing conflicts.'''[[BR]]\u005cn" +p3741 +tp3742 +a(g826 +V\u000a +p3743 +tp3744 +a(g89 +V"To leave the editor, press the Cancel button." +p3745 +tp3746 +a(g826 +V\u000a +p3747 +tp3748 +a(g440 +Vmsgstr +p3749 +tp3750 +a(g826 +g999 +tp3751 +a(g89 +V"" +p3752 +tp3753 +a(g826 +V\u000a +p3754 +tp3755 +a(g89 +V"Diese Seite wurde zum letzten Mal um %(timestamp)s durch %(owner)s zum " +p3756 +tp3757 +a(g826 +V\u000a +p3758 +tp3759 +a(g89 +V"Editieren geöffnet\u005cn" +p3760 +tp3761 +a(g826 +V\u000a +p3762 +tp3763 +a(g89 +V"oder in der Vorschau angezeigt.[[BR]]\u005cn" +p3764 +tp3765 +a(g826 +V\u000a +p3766 +tp3767 +a(g89 +V"'''Sie sollten diese Seite für mindestens weitere %(mins_valid)d Minute(n) " +p3768 +tp3769 +a(g826 +V\u000a +p3770 +tp3771 +a(g89 +V"''nicht editieren'', um Konflikte auszuschließen.'''[[BR]]\u005cn" +p3772 +tp3773 +a(g826 +V\u000a +p3774 +tp3775 +a(g89 +V"Benutzen Sie \u005c"Abbrechen\u005c" zum Verlassen des Editors." +p3776 +tp3777 +a(g826 +V\u000a +p3778 +tp3779 +a(g826 +V\u000a +p3780 +tp3781 +a(g440 +Vmsgid +p3782 +tp3783 +a(g826 +g999 +tp3784 +a(g89 +V"" +p3785 +tp3786 +a(g826 +V\u000a +p3787 +tp3788 +a(g440 +Vmsgstr +p3789 +tp3790 +a(g826 +g999 +tp3791 +a(g89 +V"" +p3792 +tp3793 +a(g826 +V\u000a +p3794 +tp3795 +a(g826 +V\u000a +p3796 +tp3797 +a(g748 +V#, python-format +p3798 +tp3799 +a(g826 +V\u000a +p3800 +tp3801 +a(g440 +Vmsgid +p3802 +tp3803 +a(g826 +g999 +tp3804 +a(g89 +V"" +p3805 +tp3806 +a(g826 +V\u000a +p3807 +tp3808 +a(g89 +V"Login Name: %s\u005cn" +p3809 +tp3810 +a(g826 +V\u000a +p3811 +tp3812 +a(g89 +V"\u005cn" +p3813 +tp3814 +a(g826 +V\u000a +p3815 +tp3816 +a(g89 +V"Login Password: %s\u005cn" +p3817 +tp3818 +a(g826 +V\u000a +p3819 +tp3820 +a(g89 +V"\u005cn" +p3821 +tp3822 +a(g826 +V\u000a +p3823 +tp3824 +a(g89 +V"Login URL: %s/%s?action=login\u005cn" +p3825 +tp3826 +a(g826 +V\u000a +p3827 +tp3828 +a(g440 +Vmsgstr +p3829 +tp3830 +a(g826 +g999 +tp3831 +a(g89 +V"" +p3832 +tp3833 +a(g826 +V\u000a +p3834 +tp3835 +a(g89 +g1012 +tp3836 +a(g525 +VAnmelde-Name: +p3837 +tp3838 +a(g89 +V %s\u005cn" +p3839 +tp3840 +a(g826 +V\u000a +p3841 +tp3842 +a(g89 +V"\u005cn" +p3843 +tp3844 +a(g826 +V\u000a +p3845 +tp3846 +a(g89 +g1012 +tp3847 +a(g525 +VAnmelde-Passwort: +p3848 +tp3849 +a(g89 +V %s\u005cn" +p3850 +tp3851 +a(g826 +V\u000a +p3852 +tp3853 +a(g89 +V"\u005cn" +p3854 +tp3855 +a(g826 +V\u000a +p3856 +tp3857 +a(g89 +g1012 +tp3858 +a(g525 +VAnmelde-URL: +p3859 +tp3860 +a(g89 +V %s/%s?action=login\u005cn" +p3861 +tp3862 +a(g826 +V\u000a +p3863 +tp3864 +a(g826 +V\u000a +p3865 +tp3866 +a(g440 +Vmsgid +p3867 +tp3868 +a(g826 +g999 +tp3869 +a(g89 +V"" +p3870 +tp3871 +a(g826 +V\u000a +p3872 +tp3873 +a(g89 +V"Somebody has requested to submit your account data to this email address.\u005cn" +p3874 +tp3875 +a(g826 +V\u000a +p3876 +tp3877 +a(g89 +V"\u005cn" +p3878 +tp3879 +a(g826 +V\u000a +p3880 +tp3881 +a(g89 +V"If you lost your password, please use the data below and just enter the\u005cn" +p3882 +tp3883 +a(g826 +V\u000a +p3884 +tp3885 +a(g89 +V"password AS SHOWN into the wiki's password form field (use copy and paste\u005cn" +p3886 +tp3887 +a(g826 +V\u000a +p3888 +tp3889 +a(g89 +V"for that).\u005cn" +p3890 +tp3891 +a(g826 +V\u000a +p3892 +tp3893 +a(g89 +V"\u005cn" +p3894 +tp3895 +a(g826 +V\u000a +p3896 +tp3897 +a(g89 +V"After successfully logging in, it is of course a good idea to set a new and " +p3898 +tp3899 +a(g826 +V\u000a +p3900 +tp3901 +a(g89 +V"known password.\u005cn" +p3902 +tp3903 +a(g826 +V\u000a +p3904 +tp3905 +a(g440 +Vmsgstr +p3906 +tp3907 +a(g826 +g999 +tp3908 +a(g89 +V"" +p3909 +tp3910 +a(g826 +V\u000a +p3911 +tp3912 +a(g89 +V"Jemand hat angefordert, Ihre Accountdaten an diese E-Mail-Adresse zu " +p3913 +tp3914 +a(g826 +V\u000a +p3915 +tp3916 +a(g89 +V"senden.\u005cn" +p3917 +tp3918 +a(g826 +V\u000a +p3919 +tp3920 +a(g89 +V"\u005cn" +p3921 +tp3922 +a(g826 +V\u000a +p3923 +tp3924 +a(g89 +V"Wenn Sie Ihr Passwort vergessen haben, benutzen Sie bitte die Daten unten " +p3925 +tp3926 +a(g826 +V\u000a +p3927 +tp3928 +a(g89 +V"und\u005cn" +p3929 +tp3930 +a(g826 +V\u000a +p3931 +tp3932 +a(g89 +V"geben Sie das Passwort GENAUSO WIE ANGEZEIGT in das Passwort-Feld des Wikis " +p3933 +tp3934 +a(g826 +V\u000a +p3935 +tp3936 +a(g89 +V"ein (benutzen Sie kopieren und einfügen dazu).\u005cn" +p3937 +tp3938 +a(g826 +V\u000a +p3939 +tp3940 +a(g89 +V"\u005cn" +p3941 +tp3942 +a(g826 +V\u000a +p3943 +tp3944 +a(g89 +V"Nachdem Sie sich erfolgreich angemeldet haben, setzen Sie bitte Ihr Passwort " +p3945 +tp3946 +a(g826 +V\u000a +p3947 +tp3948 +a(g89 +V"neu.\u005cn" +p3949 +tp3950 +a(g826 +V\u000a +p3951 +tp3952 +a(g826 +V\u000a +p3953 +tp3954 +a(g748 +V#, python-format +p3955 +tp3956 +a(g826 +V\u000a +p3957 +tp3958 +a(g440 +Vmsgid +p3959 +tp3960 +a(g826 +g999 +tp3961 +a(g89 +V"[%(sitename)s] Your wiki account data" +p3962 +tp3963 +a(g826 +V\u000a +p3964 +tp3965 +a(g440 +Vmsgstr +p3966 +tp3967 +a(g826 +g999 +tp3968 +a(g89 +V"[%(sitename)s] Ihre Wiki-Acount-Daten" +p3969 +tp3970 +a(g826 +V\u000a +p3971 +tp3972 +a(g826 +V\u000a +p3973 +tp3974 +a(g440 +Vmsgid +p3975 +tp3976 +a(g826 +g999 +tp3977 +a(g89 +V"" +p3978 +tp3979 +a(g826 +V\u000a +p3980 +tp3981 +a(g89 +V"The backed up content of this page is deprecated and will not be included in " +p3982 +tp3983 +a(g826 +V\u000a +p3984 +tp3985 +a(g89 +V"search results!" +p3986 +tp3987 +a(g826 +V\u000a +p3988 +tp3989 +a(g440 +Vmsgstr +p3990 +tp3991 +a(g826 +g999 +tp3992 +a(g89 +V"" +p3993 +tp3994 +a(g826 +V\u000a +p3995 +tp3996 +a(g89 +V"Der Inhalt der letzten Sicherungskopie ist veraltet und wird von der " +p3997 +tp3998 +a(g826 +V\u000a +p3999 +tp4000 +a(g89 +V"Volltextsuche ignoriert!" +p4001 +tp4002 +a(g826 +V\u000a +p4003 +tp4004 +a(g826 +V\u000a +p4005 +tp4006 +a(g748 +V#, python-format +p4007 +tp4008 +a(g826 +V\u000a +p4009 +tp4010 +a(g440 +Vmsgid +p4011 +tp4012 +a(g826 +g999 +tp4013 +a(g89 +V"Revision %(rev)d as of %(date)s" +p4014 +tp4015 +a(g826 +V\u000a +p4016 +tp4017 +a(g440 +Vmsgstr +p4018 +tp4019 +a(g826 +g999 +tp4020 +a(g89 +V"Revision %(rev)d vom %(date)s" +p4021 +tp4022 +a(g826 +V\u000a +p4023 +tp4024 +a(g826 +V\u000a +p4025 +tp4026 +a(g748 +V#, python-format +p4027 +tp4028 +a(g826 +V\u000a +p4029 +tp4030 +a(g440 +Vmsgid +p4031 +tp4032 +a(g826 +g999 +tp4033 +a(g89 +V"Redirected from page \u005c"%(page)s\u005c"" +p4034 +tp4035 +a(g826 +V\u000a +p4036 +tp4037 +a(g440 +Vmsgstr +p4038 +tp4039 +a(g826 +g999 +tp4040 +a(g89 +V"Hierher umgeleitet von Seite \u005c"%(page)s\u005c"" +p4041 +tp4042 +a(g826 +V\u000a +p4043 +tp4044 +a(g826 +V\u000a +p4045 +tp4046 +a(g748 +V#, python-format +p4047 +tp4048 +a(g826 +V\u000a +p4049 +tp4050 +a(g440 +Vmsgid +p4051 +tp4052 +a(g826 +g999 +tp4053 +a(g89 +V"This page redirects to page \u005c"%(page)s\u005c"" +p4054 +tp4055 +a(g826 +V\u000a +p4056 +tp4057 +a(g440 +Vmsgstr +p4058 +tp4059 +a(g826 +g999 +tp4060 +a(g89 +V"Diese Seite wird umgeleitet auf \u005c"%(page)s\u005c"" +p4061 +tp4062 +a(g826 +V\u000a +p4063 +tp4064 +a(g826 +V\u000a +p4065 +tp4066 +a(g440 +Vmsgid +p4067 +tp4068 +a(g826 +g999 +tp4069 +a(g89 +V"Create New Page" +p4070 +tp4071 +a(g826 +V\u000a +p4072 +tp4073 +a(g440 +Vmsgstr +p4074 +tp4075 +a(g826 +g999 +tp4076 +a(g89 +V"Neue Seite anlegen" +p4077 +tp4078 +a(g826 +V\u000a +p4079 +tp4080 +a(g826 +V\u000a +p4081 +tp4082 +a(g440 +Vmsgid +p4083 +tp4084 +a(g826 +g999 +tp4085 +a(g89 +V"You are not allowed to view this page." +p4086 +tp4087 +a(g826 +V\u000a +p4088 +tp4089 +a(g440 +Vmsgstr +p4090 +tp4091 +a(g826 +g999 +tp4092 +a(g89 +V"Sie dürfen diese Seite nicht ansehen." +p4093 +tp4094 +a(g826 +V\u000a +p4095 +tp4096 +a(g826 +V\u000a +p4097 +tp4098 +a(g748 +V#, python-format +p4099 +tp4100 +a(g826 +V\u000a +p4101 +tp4102 +a(g440 +Vmsgid +p4103 +tp4104 +a(g826 +g999 +tp4105 +a(g89 +V"" +p4106 +tp4107 +a(g826 +V\u000a +p4108 +tp4109 +a(g89 +V"Results %(bs)s%(hitsFrom)d - %(hitsTo)d%(be)s of %(aboutHits)s %(bs)s%(hits)d" +p4110 +tp4111 +a(g826 +V\u000a +p4112 +tp4113 +a(g89 +V"%(be)s results out of about %(pages)d pages." +p4114 +tp4115 +a(g826 +V\u000a +p4116 +tp4117 +a(g440 +Vmsgstr +p4118 +tp4119 +a(g826 +g999 +tp4120 +a(g89 +V"" +p4121 +tp4122 +a(g826 +V\u000a +p4123 +tp4124 +a(g89 +V"Ergebnisse %(bs)s%(hitsFrom)d - %(hitsTo)d%(be)s von %(aboutHits)s %(bs)s%" +p4125 +tp4126 +a(g826 +V\u000a +p4127 +tp4128 +a(g89 +V"(hits)d%(be)s Ergebnisse aus ungefähr %(pages)d Seiten." +p4129 +tp4130 +a(g826 +V\u000a +p4131 +tp4132 +a(g826 +V\u000a +p4133 +tp4134 +a(g440 +Vmsgid +p4135 +tp4136 +a(g826 +g999 +tp4137 +a(g89 +V"seconds" +p4138 +tp4139 +a(g826 +V\u000a +p4140 +tp4141 +a(g440 +Vmsgstr +p4142 +tp4143 +a(g826 +g999 +tp4144 +a(g89 +V"Sekunden" +p4145 +tp4146 +a(g826 +V\u000a +p4147 +tp4148 +a(g826 +V\u000a +p4149 +tp4150 +a(g440 +Vmsgid +p4151 +tp4152 +a(g826 +g999 +tp4153 +a(g89 +V"Previous" +p4154 +tp4155 +a(g826 +V\u000a +p4156 +tp4157 +a(g440 +Vmsgstr +p4158 +tp4159 +a(g826 +g999 +tp4160 +a(g89 +V"Vorherige" +p4161 +tp4162 +a(g826 +V\u000a +p4163 +tp4164 +a(g826 +V\u000a +p4165 +tp4166 +a(g440 +Vmsgid +p4167 +tp4168 +a(g826 +g999 +tp4169 +a(g89 +V"Next" +p4170 +tp4171 +a(g826 +V\u000a +p4172 +tp4173 +a(g440 +Vmsgstr +p4174 +tp4175 +a(g826 +g999 +tp4176 +a(g89 +V"Nächste" +p4177 +tp4178 +a(g826 +V\u000a +p4179 +tp4180 +a(g826 +V\u000a +p4181 +tp4182 +a(g440 +Vmsgid +p4183 +tp4184 +a(g826 +g999 +tp4185 +a(g89 +V"current" +p4186 +tp4187 +a(g826 +V\u000a +p4188 +tp4189 +a(g440 +Vmsgstr +p4190 +tp4191 +a(g826 +g999 +tp4192 +a(g89 +V"aktuelle" +p4193 +tp4194 +a(g826 +V\u000a +p4195 +tp4196 +a(g826 +V\u000a +p4197 +tp4198 +a(g748 +V#, python-format +p4199 +tp4200 +a(g826 +V\u000a +p4201 +tp4202 +a(g440 +Vmsgid +p4203 +tp4204 +a(g826 +g999 +tp4205 +a(g89 +V"last modified: %s" +p4206 +tp4207 +a(g826 +V\u000a +p4208 +tp4209 +a(g440 +Vmsgstr +p4210 +tp4211 +a(g826 +g999 +tp4212 +a(g89 +V"zuletzt geändert: %s" +p4213 +tp4214 +a(g826 +V\u000a +p4215 +tp4216 +a(g826 +V\u000a +p4217 +tp4218 +a(g440 +Vmsgid +p4219 +tp4220 +a(g826 +g999 +tp4221 +a(g89 +V"match" +p4222 +tp4223 +a(g826 +V\u000a +p4224 +tp4225 +a(g440 +Vmsgstr +p4226 +tp4227 +a(g826 +g999 +tp4228 +a(g89 +V"Treffer" +p4229 +tp4230 +a(g826 +V\u000a +p4231 +tp4232 +a(g826 +V\u000a +p4233 +tp4234 +a(g440 +Vmsgid +p4235 +tp4236 +a(g826 +g999 +tp4237 +a(g89 +V"matches" +p4238 +tp4239 +a(g826 +V\u000a +p4240 +tp4241 +a(g440 +Vmsgstr +p4242 +tp4243 +a(g826 +g999 +tp4244 +a(g89 +V"Treffer" +p4245 +tp4246 +a(g826 +V\u000a +p4247 +tp4248 +a(g826 +V\u000a +p4249 +tp4250 +a(g440 +Vmsgid +p4251 +tp4252 +a(g826 +g999 +tp4253 +a(g89 +V"Go To Page" +p4254 +tp4255 +a(g826 +V\u000a +p4256 +tp4257 +a(g440 +Vmsgstr +p4258 +tp4259 +a(g826 +g999 +tp4260 +a(g89 +V"Gehe zu Seite" +p4261 +tp4262 +a(g826 +V\u000a +p4263 +tp4264 +a(g826 +V\u000a +p4265 +tp4266 +a(g440 +Vmsgid +p4267 +tp4268 +a(g826 +g999 +tp4269 +a(g89 +V"Include system pages" +p4270 +tp4271 +a(g826 +V\u000a +p4272 +tp4273 +a(g440 +Vmsgstr +p4274 +tp4275 +a(g826 +g999 +tp4276 +a(g89 +V"Systemseiten einschließen" +p4277 +tp4278 +a(g826 +V\u000a +p4279 +tp4280 +a(g826 +V\u000a +p4281 +tp4282 +a(g440 +Vmsgid +p4283 +tp4284 +a(g826 +g999 +tp4285 +a(g89 +V"Exclude system pages" +p4286 +tp4287 +a(g826 +V\u000a +p4288 +tp4289 +a(g440 +Vmsgstr +p4290 +tp4291 +a(g826 +g999 +tp4292 +a(g89 +V"Systemseiten ausschließen" +p4293 +tp4294 +a(g826 +V\u000a +p4295 +tp4296 +a(g826 +V\u000a +p4297 +tp4298 +a(g748 +V#, python-format +p4299 +tp4300 +a(g826 +V\u000a +p4301 +tp4302 +a(g440 +Vmsgid +p4303 +tp4304 +a(g826 +g999 +tp4305 +a(g89 +V"Please use a more selective search term instead of {{{\u005c"%s\u005c"}}}" +p4306 +tp4307 +a(g826 +V\u000a +p4308 +tp4309 +a(g440 +Vmsgstr +p4310 +tp4311 +a(g826 +g999 +tp4312 +a(g89 +V"" +p4313 +tp4314 +a(g826 +V\u000a +p4315 +tp4316 +a(g89 +V"Bitte verwenden Sie einen selektiveren Suchbegriff anstatt {{{\u005c"%s\u005c"}}}" +p4317 +tp4318 +a(g826 +V\u000a +p4319 +tp4320 +a(g826 +V\u000a +p4321 +tp4322 +a(g748 +V#, python-format +p4323 +tp4324 +a(g826 +V\u000a +p4325 +tp4326 +a(g440 +Vmsgid +p4327 +tp4328 +a(g826 +g999 +tp4329 +a(g89 +V"ERROR in regex '%s'" +p4330 +tp4331 +a(g826 +V\u000a +p4332 +tp4333 +a(g440 +Vmsgstr +p4334 +tp4335 +a(g826 +g999 +tp4336 +a(g89 +V"FEHLER in regulärem Ausdruck '%s'" +p4337 +tp4338 +a(g826 +V\u000a +p4339 +tp4340 +a(g826 +V\u000a +p4341 +tp4342 +a(g748 +V#, python-format +p4343 +tp4344 +a(g826 +V\u000a +p4345 +tp4346 +a(g440 +Vmsgid +p4347 +tp4348 +a(g826 +g999 +tp4349 +a(g89 +V"Bad timestamp '%s'" +p4350 +tp4351 +a(g826 +V\u000a +p4352 +tp4353 +a(g440 +Vmsgstr +p4354 +tp4355 +a(g826 +g999 +tp4356 +a(g89 +V"Ungültige Zeitangabe '%s'" +p4357 +tp4358 +a(g826 +V\u000a +p4359 +tp4360 +a(g826 +V\u000a +p4361 +tp4362 +a(g748 +V#, python-format +p4363 +tp4364 +a(g826 +V\u000a +p4365 +tp4366 +a(g440 +Vmsgid +p4367 +tp4368 +a(g826 +g999 +tp4369 +a(g89 +V"Unsupported navigation scheme '%(scheme)s'!" +p4370 +tp4371 +a(g826 +V\u000a +p4372 +tp4373 +a(g440 +Vmsgstr +p4374 +tp4375 +a(g826 +g999 +tp4376 +a(g89 +V"Nicht bekanntes Navigationsschema '%(scheme)s'!" +p4377 +tp4378 +a(g826 +V\u000a +p4379 +tp4380 +a(g826 +V\u000a +p4381 +tp4382 +a(g440 +Vmsgid +p4383 +tp4384 +a(g826 +g999 +tp4385 +a(g89 +V"No parent page found!" +p4386 +tp4387 +a(g826 +V\u000a +p4388 +tp4389 +a(g440 +Vmsgstr +p4390 +tp4391 +a(g826 +g999 +tp4392 +a(g89 +V"Diese Seite ist keine Unterseite!" +p4393 +tp4394 +a(g826 +V\u000a +p4395 +tp4396 +a(g826 +V\u000a +p4397 +tp4398 +a(g440 +Vmsgid +p4399 +tp4400 +a(g826 +g999 +tp4401 +a(g89 +V"Wiki" +p4402 +tp4403 +a(g826 +V\u000a +p4404 +tp4405 +a(g440 +Vmsgstr +p4406 +tp4407 +a(g826 +g999 +tp4408 +a(g89 +V"Wiki" +p4409 +tp4410 +a(g826 +V\u000a +p4411 +tp4412 +a(g826 +V\u000a +p4413 +tp4414 +a(g440 +Vmsgid +p4415 +tp4416 +a(g826 +g999 +tp4417 +a(g89 +V"Edit" +p4418 +tp4419 +a(g826 +V\u000a +p4420 +tp4421 +a(g440 +Vmsgstr +p4422 +tp4423 +a(g826 +g999 +tp4424 +a(g89 +V"Editieren" +p4425 +tp4426 +a(g826 +V\u000a +p4427 +tp4428 +a(g826 +V\u000a +p4429 +tp4430 +a(g440 +Vmsgid +p4431 +tp4432 +a(g826 +g999 +tp4433 +a(g89 +V"Slideshow" +p4434 +tp4435 +a(g826 +V\u000a +p4436 +tp4437 +a(g440 +Vmsgstr +p4438 +tp4439 +a(g826 +g999 +tp4440 +a(g89 +V"Diaschau" +p4441 +tp4442 +a(g826 +V\u000a +p4443 +tp4444 +a(g826 +V\u000a +p4445 +tp4446 +a(g440 +Vmsgid +p4447 +tp4448 +a(g826 +g999 +tp4449 +a(g89 +V"Start" +p4450 +tp4451 +a(g826 +V\u000a +p4452 +tp4453 +a(g440 +Vmsgstr +p4454 +tp4455 +a(g826 +g999 +tp4456 +a(g89 +V"Start" +p4457 +tp4458 +a(g826 +V\u000a +p4459 +tp4460 +a(g826 +V\u000a +p4461 +tp4462 +a(g748 +V#, python-format +p4463 +tp4464 +a(g826 +V\u000a +p4465 +tp4466 +a(g440 +Vmsgid +p4467 +tp4468 +a(g826 +g999 +tp4469 +a(g89 +V"Slide %(pos)d of %(size)d" +p4470 +tp4471 +a(g826 +V\u000a +p4472 +tp4473 +a(g440 +Vmsgstr +p4474 +tp4475 +a(g826 +g999 +tp4476 +a(g89 +V"Seite %(pos)d von %(size)d" +p4477 +tp4478 +a(g826 +V\u000a +p4479 +tp4480 +a(g826 +V\u000a +p4481 +tp4482 +a(g440 +Vmsgid +p4483 +tp4484 +a(g826 +g999 +tp4485 +a(g89 +V"Search Titles" +p4486 +tp4487 +a(g826 +V\u000a +p4488 +tp4489 +a(g440 +Vmsgstr +p4490 +tp4491 +a(g826 +g999 +tp4492 +a(g89 +V"Titel durchsuchen" +p4493 +tp4494 +a(g826 +V\u000a +p4495 +tp4496 +a(g826 +V\u000a +p4497 +tp4498 +a(g440 +Vmsgid +p4499 +tp4500 +a(g826 +g999 +tp4501 +a(g89 +V"Display context of search results" +p4502 +tp4503 +a(g826 +V\u000a +p4504 +tp4505 +a(g440 +Vmsgstr +p4506 +tp4507 +a(g826 +g999 +tp4508 +a(g89 +V"Umgebung der Treffer anzeigen" +p4509 +tp4510 +a(g826 +V\u000a +p4511 +tp4512 +a(g826 +V\u000a +p4513 +tp4514 +a(g440 +Vmsgid +p4515 +tp4516 +a(g826 +g999 +tp4517 +a(g89 +V"Case-sensitive searching" +p4518 +tp4519 +a(g826 +V\u000a +p4520 +tp4521 +a(g440 +Vmsgstr +p4522 +tp4523 +a(g826 +g999 +tp4524 +a(g89 +V"Groß-/Kleinschreibung beachten" +p4525 +tp4526 +a(g826 +V\u000a +p4527 +tp4528 +a(g826 +V\u000a +p4529 +tp4530 +a(g440 +Vmsgid +p4531 +tp4532 +a(g826 +g999 +tp4533 +a(g89 +V"Search Text" +p4534 +tp4535 +a(g826 +V\u000a +p4536 +tp4537 +a(g440 +Vmsgstr +p4538 +tp4539 +a(g826 +g999 +tp4540 +a(g89 +V"Text durchsuchen" +p4541 +tp4542 +a(g826 +V\u000a +p4543 +tp4544 +a(g826 +V\u000a +p4545 +tp4546 +a(g748 +V#, python-format +p4547 +tp4548 +a(g826 +V\u000a +p4549 +tp4550 +a(g440 +Vmsgid +p4551 +tp4552 +a(g826 +g999 +tp4553 +a(g89 +V"Not supported mimetype of file: %s" +p4554 +tp4555 +a(g826 +V\u000a +p4556 +tp4557 +a(g440 +Vmsgstr +p4558 +tp4559 +a(g826 +g999 +tp4560 +a(g89 +V"MIME-Typ der Datei wird nicht unterstützt: %s" +p4561 +tp4562 +a(g826 +V\u000a +p4563 +tp4564 +a(g826 +V\u000a +p4565 +tp4566 +a(g440 +Vmsgid +p4567 +tp4568 +a(g826 +g999 +tp4569 +a(g89 +V"Embedded" +p4570 +tp4571 +a(g826 +V\u000a +p4572 +tp4573 +a(g440 +Vmsgstr +p4574 +tp4575 +a(g826 +g999 +tp4576 +a(g89 +V"Eingebettet" +p4577 +tp4578 +a(g826 +V\u000a +p4579 +tp4580 +a(g826 +V\u000a +p4581 +tp4582 +a(g748 +V#, python-format +p4583 +tp4584 +a(g826 +V\u000a +p4585 +tp4586 +a(g440 +Vmsgid +p4587 +tp4588 +a(g826 +g999 +tp4589 +a(g89 +V"Upload new attachment \u005c"%(filename)s\u005c"" +p4590 +tp4591 +a(g826 +V\u000a +p4592 +tp4593 +a(g440 +Vmsgstr +p4594 +tp4595 +a(g826 +g999 +tp4596 +a(g89 +V"Neuen Dateianhang \u005c"%(filename)s\u005c" hochladen" +p4597 +tp4598 +a(g826 +V\u000a +p4599 +tp4600 +a(g826 +V\u000a +p4601 +tp4602 +a(g748 +V#, python-format +p4603 +tp4604 +a(g826 +V\u000a +p4605 +tp4606 +a(g440 +Vmsgid +p4607 +tp4608 +a(g826 +g999 +tp4609 +a(g89 +V"Invalid MonthCalendar calparms \u005c"%s\u005c"!" +p4610 +tp4611 +a(g826 +V\u000a +p4612 +tp4613 +a(g440 +Vmsgstr +p4614 +tp4615 +a(g826 +g999 +tp4616 +a(g89 +V"Ungültige MonthCalendaer calparms \u005c"%s\u005c"!" +p4617 +tp4618 +a(g826 +V\u000a +p4619 +tp4620 +a(g826 +V\u000a +p4621 +tp4622 +a(g748 +V#, python-format +p4623 +tp4624 +a(g826 +V\u000a +p4625 +tp4626 +a(g440 +Vmsgid +p4627 +tp4628 +a(g826 +g999 +tp4629 +a(g89 +V"Invalid MonthCalendar arguments \u005c"%s\u005c"!" +p4630 +tp4631 +a(g826 +V\u000a +p4632 +tp4633 +a(g440 +Vmsgstr +p4634 +tp4635 +a(g826 +g999 +tp4636 +a(g89 +V"Ungültige MonthCalendar-Argumente: \u005c"%s\u005c"!" +p4637 +tp4638 +a(g826 +V\u000a +p4639 +tp4640 +a(g826 +V\u000a +p4641 +tp4642 +a(g440 +Vmsgid +p4643 +tp4644 +a(g826 +g999 +tp4645 +a(g89 +V"No orphaned pages in this wiki." +p4646 +tp4647 +a(g826 +V\u000a +p4648 +tp4649 +a(g440 +Vmsgstr +p4650 +tp4651 +a(g826 +g999 +tp4652 +a(g89 +V"Es existieren keine verwaisten Seiten in diesem Wiki." +p4653 +tp4654 +a(g826 +V\u000a +p4655 +tp4656 +a(g826 +V\u000a +p4657 +tp4658 +a(g440 +Vmsgid +p4659 +tp4660 +a(g826 +g999 +tp4661 +a(g89 +V"Python Version" +p4662 +tp4663 +a(g826 +V\u000a +p4664 +tp4665 +a(g440 +Vmsgstr +p4666 +tp4667 +a(g826 +g999 +tp4668 +a(g89 +V"Python Version" +p4669 +tp4670 +a(g826 +V\u000a +p4671 +tp4672 +a(g826 +V\u000a +p4673 +tp4674 +a(g440 +Vmsgid +p4675 +tp4676 +a(g826 +g999 +tp4677 +a(g89 +V"MoinMoin Version" +p4678 +tp4679 +a(g826 +V\u000a +p4680 +tp4681 +a(g440 +Vmsgstr +p4682 +tp4683 +a(g826 +g999 +tp4684 +a(g89 +V"MoinMoin Version" +p4685 +tp4686 +a(g826 +V\u000a +p4687 +tp4688 +a(g826 +V\u000a +p4689 +tp4690 +a(g748 +V#, python-format +p4691 +tp4692 +a(g826 +V\u000a +p4693 +tp4694 +a(g440 +Vmsgid +p4695 +tp4696 +a(g826 +g999 +tp4697 +a(g89 +V"Release %s [Revision %s]" +p4698 +tp4699 +a(g826 +V\u000a +p4700 +tp4701 +a(g440 +Vmsgstr +p4702 +tp4703 +a(g826 +g999 +tp4704 +a(g89 +V"Version %s [Revision %s]" +p4705 +tp4706 +a(g826 +V\u000a +p4707 +tp4708 +a(g826 +V\u000a +p4709 +tp4710 +a(g440 +Vmsgid +p4711 +tp4712 +a(g826 +g999 +tp4713 +a(g89 +V"4Suite Version" +p4714 +tp4715 +a(g826 +V\u000a +p4716 +tp4717 +a(g440 +Vmsgstr +p4718 +tp4719 +a(g826 +g999 +tp4720 +a(g89 +V"4Suite Version" +p4721 +tp4722 +a(g826 +V\u000a +p4723 +tp4724 +a(g826 +V\u000a +p4725 +tp4726 +a(g440 +Vmsgid +p4727 +tp4728 +a(g826 +g999 +tp4729 +a(g89 +V"Number of pages" +p4730 +tp4731 +a(g826 +V\u000a +p4732 +tp4733 +a(g440 +Vmsgstr +p4734 +tp4735 +a(g826 +g999 +tp4736 +a(g89 +V"Seitenanzahl" +p4737 +tp4738 +a(g826 +V\u000a +p4739 +tp4740 +a(g826 +V\u000a +p4741 +tp4742 +a(g440 +Vmsgid +p4743 +tp4744 +a(g826 +g999 +tp4745 +a(g89 +V"Number of system pages" +p4746 +tp4747 +a(g826 +V\u000a +p4748 +tp4749 +a(g440 +Vmsgstr +p4750 +tp4751 +a(g826 +g999 +tp4752 +a(g89 +V"Anzahl der Systemseiten" +p4753 +tp4754 +a(g826 +V\u000a +p4755 +tp4756 +a(g826 +V\u000a +p4757 +tp4758 +a(g440 +Vmsgid +p4759 +tp4760 +a(g826 +g999 +tp4761 +a(g89 +V"Accumulated page sizes" +p4762 +tp4763 +a(g826 +V\u000a +p4764 +tp4765 +a(g440 +Vmsgstr +p4766 +tp4767 +a(g826 +g999 +tp4768 +a(g89 +V"Kumulierte Seitengrößen" +p4769 +tp4770 +a(g826 +V\u000a +p4771 +tp4772 +a(g826 +V\u000a +p4773 +tp4774 +a(g748 +V#, python-format +p4775 +tp4776 +a(g826 +V\u000a +p4777 +tp4778 +a(g440 +Vmsgid +p4779 +tp4780 +a(g826 +g999 +tp4781 +a(g89 +V"Disk usage of %(data_dir)s/pages/" +p4782 +tp4783 +a(g826 +V\u000a +p4784 +tp4785 +a(g440 +Vmsgstr +p4786 +tp4787 +a(g826 +g999 +tp4788 +a(g89 +V"Plattenbelegung von %(data_dir)s/pages/" +p4789 +tp4790 +a(g826 +V\u000a +p4791 +tp4792 +a(g826 +V\u000a +p4793 +tp4794 +a(g748 +V#, python-format +p4795 +tp4796 +a(g826 +V\u000a +p4797 +tp4798 +a(g440 +Vmsgid +p4799 +tp4800 +a(g826 +g999 +tp4801 +a(g89 +V"Disk usage of %(data_dir)s/" +p4802 +tp4803 +a(g826 +V\u000a +p4804 +tp4805 +a(g440 +Vmsgstr +p4806 +tp4807 +a(g826 +g999 +tp4808 +a(g89 +V"Plattenbelegung von %(data_dir)s/" +p4809 +tp4810 +a(g826 +V\u000a +p4811 +tp4812 +a(g826 +V\u000a +p4813 +tp4814 +a(g440 +Vmsgid +p4815 +tp4816 +a(g826 +g999 +tp4817 +a(g89 +V"Entries in edit log" +p4818 +tp4819 +a(g826 +V\u000a +p4820 +tp4821 +a(g440 +Vmsgstr +p4822 +tp4823 +a(g826 +g999 +tp4824 +a(g89 +V"Einträge in der Änderungshistorie" +p4825 +tp4826 +a(g826 +V\u000a +p4827 +tp4828 +a(g826 +V\u000a +p4829 +tp4830 +a(g440 +Vmsgid +p4831 +tp4832 +a(g826 +g999 +tp4833 +a(g89 +V"NONE" +p4834 +tp4835 +a(g826 +V\u000a +p4836 +tp4837 +a(g440 +Vmsgstr +p4838 +tp4839 +a(g826 +g999 +tp4840 +a(g89 +V"KEINE" +p4841 +tp4842 +a(g826 +V\u000a +p4843 +tp4844 +a(g826 +V\u000a +p4845 +tp4846 +a(g440 +Vmsgid +p4847 +tp4848 +a(g826 +g999 +tp4849 +a(g89 +V"Global extension macros" +p4850 +tp4851 +a(g826 +V\u000a +p4852 +tp4853 +a(g440 +Vmsgstr +p4854 +tp4855 +a(g826 +g999 +tp4856 +a(g89 +V"Globale Erweiterungsmakros" +p4857 +tp4858 +a(g826 +V\u000a +p4859 +tp4860 +a(g826 +V\u000a +p4861 +tp4862 +a(g440 +Vmsgid +p4863 +tp4864 +a(g826 +g999 +tp4865 +a(g89 +V"Local extension macros" +p4866 +tp4867 +a(g826 +V\u000a +p4868 +tp4869 +a(g440 +Vmsgstr +p4870 +tp4871 +a(g826 +g999 +tp4872 +a(g89 +V"Lokale Erweiterungsmakros" +p4873 +tp4874 +a(g826 +V\u000a +p4875 +tp4876 +a(g826 +V\u000a +p4877 +tp4878 +a(g440 +Vmsgid +p4879 +tp4880 +a(g826 +g999 +tp4881 +a(g89 +V"Global extension actions" +p4882 +tp4883 +a(g826 +V\u000a +p4884 +tp4885 +a(g440 +Vmsgstr +p4886 +tp4887 +a(g826 +g999 +tp4888 +a(g89 +V"Globale Erweiterungsaktionen" +p4889 +tp4890 +a(g826 +V\u000a +p4891 +tp4892 +a(g826 +V\u000a +p4893 +tp4894 +a(g440 +Vmsgid +p4895 +tp4896 +a(g826 +g999 +tp4897 +a(g89 +V"Local extension actions" +p4898 +tp4899 +a(g826 +V\u000a +p4900 +tp4901 +a(g440 +Vmsgstr +p4902 +tp4903 +a(g826 +g999 +tp4904 +a(g89 +V"Lokale Erweiterungsaktionen" +p4905 +tp4906 +a(g826 +V\u000a +p4907 +tp4908 +a(g826 +V\u000a +p4909 +tp4910 +a(g440 +Vmsgid +p4911 +tp4912 +a(g826 +g999 +tp4913 +a(g89 +V"Global parsers" +p4914 +tp4915 +a(g826 +V\u000a +p4916 +tp4917 +a(g440 +Vmsgstr +p4918 +tp4919 +a(g826 +g999 +tp4920 +a(g89 +V"Globale Parser" +p4921 +tp4922 +a(g826 +V\u000a +p4923 +tp4924 +a(g826 +V\u000a +p4925 +tp4926 +a(g440 +Vmsgid +p4927 +tp4928 +a(g826 +g999 +tp4929 +a(g89 +V"Local extension parsers" +p4930 +tp4931 +a(g826 +V\u000a +p4932 +tp4933 +a(g440 +Vmsgstr +p4934 +tp4935 +a(g826 +g999 +tp4936 +a(g89 +V"Lokale Erweiterungsparser" +p4937 +tp4938 +a(g826 +V\u000a +p4939 +tp4940 +a(g826 +V\u000a +p4941 +tp4942 +a(g440 +Vmsgid +p4943 +tp4944 +a(g826 +g999 +tp4945 +a(g89 +V"Disabled" +p4946 +tp4947 +a(g826 +V\u000a +p4948 +tp4949 +a(g440 +Vmsgstr +p4950 +tp4951 +a(g826 +g999 +tp4952 +a(g89 +V"Deaktiviert" +p4953 +tp4954 +a(g826 +V\u000a +p4955 +tp4956 +a(g826 +V\u000a +p4957 +tp4958 +a(g440 +Vmsgid +p4959 +tp4960 +a(g826 +g999 +tp4961 +a(g89 +V"Enabled" +p4962 +tp4963 +a(g826 +V\u000a +p4964 +tp4965 +a(g440 +Vmsgstr +p4966 +tp4967 +a(g826 +g999 +tp4968 +a(g89 +V"Aktiviert" +p4969 +tp4970 +a(g826 +V\u000a +p4971 +tp4972 +a(g826 +V\u000a +p4973 +tp4974 +a(g440 +Vmsgid +p4975 +tp4976 +a(g826 +g999 +tp4977 +a(g89 +V"index available" +p4978 +tp4979 +a(g826 +V\u000a +p4980 +tp4981 +a(g440 +Vmsgstr +p4982 +tp4983 +a(g826 +g999 +tp4984 +a(g89 +V"Index verfügbar" +p4985 +tp4986 +a(g826 +V\u000a +p4987 +tp4988 +a(g826 +V\u000a +p4989 +tp4990 +a(g440 +Vmsgid +p4991 +tp4992 +a(g826 +g999 +tp4993 +a(g89 +V"index unavailable" +p4994 +tp4995 +a(g826 +V\u000a +p4996 +tp4997 +a(g440 +Vmsgstr +p4998 +tp4999 +a(g826 +g999 +tp5000 +a(g89 +V"Index nicht verfügbar" +p5001 +tp5002 +a(g826 +V\u000a +p5003 +tp5004 +a(g826 +V\u000a +p5005 +tp5006 +a(g440 +Vmsgid +p5007 +tp5008 +a(g826 +g999 +tp5009 +a(g89 +V"N/A" +p5010 +tp5011 +a(g826 +V\u000a +p5012 +tp5013 +a(g440 +Vmsgstr +p5014 +tp5015 +a(g826 +g999 +tp5016 +a(g89 +V"k.A." +p5017 +tp5018 +a(g826 +V\u000a +p5019 +tp5020 +a(g826 +V\u000a +p5021 +tp5022 +a(g440 +Vmsgid +p5023 +tp5024 +a(g826 +g999 +tp5025 +a(g89 +V"Xapian and/or Python Xapian bindings not installed" +p5026 +tp5027 +a(g826 +V\u000a +p5028 +tp5029 +a(g440 +Vmsgstr +p5030 +tp5031 +a(g826 +g999 +tp5032 +a(g89 +V"Xapian und/oder Python-Xapian-Bindings nicht installiert" +p5033 +tp5034 +a(g826 +V\u000a +p5035 +tp5036 +a(g826 +V\u000a +p5037 +tp5038 +a(g440 +Vmsgid +p5039 +tp5040 +a(g826 +g999 +tp5041 +a(g89 +V"Xapian search" +p5042 +tp5043 +a(g826 +V\u000a +p5044 +tp5045 +a(g440 +Vmsgstr +p5046 +tp5047 +a(g826 +g999 +tp5048 +a(g89 +V"Xapian-Suche" +p5049 +tp5050 +a(g826 +V\u000a +p5051 +tp5052 +a(g826 +V\u000a +p5053 +tp5054 +a(g440 +Vmsgid +p5055 +tp5056 +a(g826 +g999 +tp5057 +a(g89 +V"Xapian Version" +p5058 +tp5059 +a(g826 +V\u000a +p5060 +tp5061 +a(g440 +Vmsgstr +p5062 +tp5063 +a(g826 +g999 +tp5064 +a(g89 +V"Xapian-Version" +p5065 +tp5066 +a(g826 +V\u000a +p5067 +tp5068 +a(g826 +V\u000a +p5069 +tp5070 +a(g440 +Vmsgid +p5071 +tp5072 +a(g826 +g999 +tp5073 +a(g89 +V"Xapian stemming" +p5074 +tp5075 +a(g826 +V\u000a +p5076 +tp5077 +a(g440 +Vmsgstr +p5078 +tp5079 +a(g826 +g999 +tp5080 +a(g89 +V"Xapian-Wortstamm-Bildung" +p5081 +tp5082 +a(g826 +V\u000a +p5083 +tp5084 +a(g826 +V\u000a +p5085 +tp5086 +a(g440 +Vmsgid +p5087 +tp5088 +a(g826 +g999 +tp5089 +a(g89 +V"Active threads" +p5090 +tp5091 +a(g826 +V\u000a +p5092 +tp5093 +a(g440 +Vmsgstr +p5094 +tp5095 +a(g826 +g999 +tp5096 +a(g89 +V"Aktive Threads" +p5097 +tp5098 +a(g826 +V\u000a +p5099 +tp5100 +a(g826 +V\u000a +p5101 +tp5102 +a(g748 +V#, python-format +p5103 +tp5104 +a(g826 +V\u000a +p5105 +tp5106 +a(g440 +Vmsgid +p5107 +tp5108 +a(g826 +g999 +tp5109 +a(g89 +V"No quotes on %(pagename)s." +p5110 +tp5111 +a(g826 +V\u000a +p5112 +tp5113 +a(g440 +Vmsgstr +p5114 +tp5115 +a(g826 +g999 +tp5116 +a(g89 +V"Keine Zitate auf Seite %(pagename)s gefunden." +p5117 +tp5118 +a(g826 +V\u000a +p5119 +tp5120 +a(g826 +V\u000a +p5121 +tp5122 +a(g748 +V#, python-format +p5123 +tp5124 +a(g826 +V\u000a +p5125 +tp5126 +a(g440 +Vmsgid +p5127 +tp5128 +a(g826 +g999 +tp5129 +a(g89 +V"Upload of attachment '%(filename)s'." +p5130 +tp5131 +a(g826 +V\u000a +p5132 +tp5133 +a(g440 +Vmsgstr +p5134 +tp5135 +a(g826 +g999 +tp5136 +a(g89 +V"Dateianhang '%(filename)s' wurde angelegt." +p5137 +tp5138 +a(g826 +V\u000a +p5139 +tp5140 +a(g826 +V\u000a +p5141 +tp5142 +a(g748 +V#, python-format +p5143 +tp5144 +a(g826 +V\u000a +p5145 +tp5146 +a(g440 +Vmsgid +p5147 +tp5148 +a(g826 +g999 +tp5149 +a(g89 +V"Attachment '%(filename)s' deleted." +p5150 +tp5151 +a(g826 +V\u000a +p5152 +tp5153 +a(g440 +Vmsgstr +p5154 +tp5155 +a(g826 +g999 +tp5156 +a(g89 +V"Dateianhang '%(filename)s' wurde gelöscht." +p5157 +tp5158 +a(g826 +V\u000a +p5159 +tp5160 +a(g826 +V\u000a +p5161 +tp5162 +a(g748 +V#, python-format +p5163 +tp5164 +a(g826 +V\u000a +p5165 +tp5166 +a(g440 +Vmsgid +p5167 +tp5168 +a(g826 +g999 +tp5169 +a(g89 +V"Drawing '%(filename)s' saved." +p5170 +tp5171 +a(g826 +V\u000a +p5172 +tp5173 +a(g440 +Vmsgstr +p5174 +tp5175 +a(g826 +g999 +tp5176 +a(g89 +V"Zeichnung '%(filename)s' wurde gesichert." +p5177 +tp5178 +a(g826 +V\u000a +p5179 +tp5180 +a(g826 +V\u000a +p5181 +tp5182 +a(g748 +V#, python-format +p5183 +tp5184 +a(g826 +V\u000a +p5185 +tp5186 +a(g440 +Vmsgid +p5187 +tp5188 +a(g826 +g999 +tp5189 +a(g89 +V"Revert to revision %(rev)d." +p5190 +tp5191 +a(g826 +V\u000a +p5192 +tp5193 +a(g440 +Vmsgstr +p5194 +tp5195 +a(g826 +g999 +tp5196 +a(g89 +V"Revision %(rev)d restauriert." +p5197 +tp5198 +a(g826 +V\u000a +p5199 +tp5200 +a(g826 +V\u000a +p5201 +tp5202 +a(g748 +V#, python-format +p5203 +tp5204 +a(g826 +V\u000a +p5205 +tp5206 +a(g440 +Vmsgid +p5207 +tp5208 +a(g826 +g999 +tp5209 +a(g89 +V"Renamed from '%(oldpagename)s'." +p5210 +tp5211 +a(g826 +V\u000a +p5212 +tp5213 +a(g440 +Vmsgstr +p5214 +tp5215 +a(g826 +g999 +tp5216 +a(g89 +V"Umbenannt von '%(oldpagename)s'." +p5217 +tp5218 +a(g826 +V\u000a +p5219 +tp5220 +a(g826 +V\u000a +p5221 +tp5222 +a(g748 +V#, python-format +p5223 +tp5224 +a(g826 +V\u000a +p5225 +tp5226 +a(g440 +Vmsgid +p5227 +tp5228 +a(g826 +g999 +tp5229 +a(g89 +V"%(mins)dm ago" +p5230 +tp5231 +a(g826 +V\u000a +p5232 +tp5233 +a(g440 +Vmsgstr +p5234 +tp5235 +a(g826 +g999 +tp5236 +a(g89 +V"vor %(mins)dm" +p5237 +tp5238 +a(g826 +V\u000a +p5239 +tp5240 +a(g826 +V\u000a +p5241 +tp5242 +a(g440 +Vmsgid +p5243 +tp5244 +a(g826 +g999 +tp5245 +a(g89 +V"(no bookmark set)" +p5246 +tp5247 +a(g826 +V\u000a +p5248 +tp5249 +a(g440 +Vmsgstr +p5250 +tp5251 +a(g826 +g999 +tp5252 +a(g89 +V"(kein Lesezeichen gesetzt)" +p5253 +tp5254 +a(g826 +V\u000a +p5255 +tp5256 +a(g826 +V\u000a +p5257 +tp5258 +a(g748 +V#, python-format +p5259 +tp5260 +a(g826 +V\u000a +p5261 +tp5262 +a(g440 +Vmsgid +p5263 +tp5264 +a(g826 +g999 +tp5265 +a(g89 +V"(currently set to %s)" +p5266 +tp5267 +a(g826 +V\u000a +p5268 +tp5269 +a(g440 +Vmsgstr +p5270 +tp5271 +a(g826 +g999 +tp5272 +a(g89 +V"(derzeit %s)" +p5273 +tp5274 +a(g826 +V\u000a +p5275 +tp5276 +a(g826 +V\u000a +p5277 +tp5278 +a(g440 +Vmsgid +p5279 +tp5280 +a(g826 +g999 +tp5281 +a(g89 +V"Delete bookmark" +p5282 +tp5283 +a(g826 +V\u000a +p5284 +tp5285 +a(g440 +Vmsgstr +p5286 +tp5287 +a(g826 +g999 +tp5288 +a(g89 +V"Lesezeichen löschen" +p5289 +tp5290 +a(g826 +V\u000a +p5291 +tp5292 +a(g826 +V\u000a +p5293 +tp5294 +a(g440 +Vmsgid +p5295 +tp5296 +a(g826 +g999 +tp5297 +a(g89 +V"Set bookmark" +p5298 +tp5299 +a(g826 +V\u000a +p5300 +tp5301 +a(g440 +Vmsgstr +p5302 +tp5303 +a(g826 +g999 +tp5304 +a(g89 +V"Lesezeichen setzen" +p5305 +tp5306 +a(g826 +V\u000a +p5307 +tp5308 +a(g826 +V\u000a +p5309 +tp5310 +a(g440 +Vmsgid +p5311 +tp5312 +a(g826 +g999 +tp5313 +a(g89 +V"[Bookmark reached]" +p5314 +tp5315 +a(g826 +V\u000a +p5316 +tp5317 +a(g440 +Vmsgstr +p5318 +tp5319 +a(g826 +g999 +tp5320 +a(g89 +V"[Lesezeichen erreicht]" +p5321 +tp5322 +a(g826 +V\u000a +p5323 +tp5324 +a(g826 +V\u000a +p5325 +tp5326 +a(g748 +V#, python-format +p5327 +tp5328 +a(g826 +V\u000a +p5329 +tp5330 +a(g440 +Vmsgid +p5331 +tp5332 +a(g826 +g999 +tp5333 +a(g89 +V"Invalid include arguments \u005c"%s\u005c"!" +p5334 +tp5335 +a(g826 +V\u000a +p5336 +tp5337 +a(g440 +Vmsgstr +p5338 +tp5339 +a(g826 +g999 +tp5340 +a(g89 +V"Ungültige \u005c"Include\u005c"-Argumente: \u005c"%s\u005c"!" +p5341 +tp5342 +a(g826 +V\u000a +p5343 +tp5344 +a(g826 +V\u000a +p5345 +tp5346 +a(g748 +V#, python-format +p5347 +tp5348 +a(g826 +V\u000a +p5349 +tp5350 +a(g440 +Vmsgid +p5351 +tp5352 +a(g826 +g999 +tp5353 +a(g89 +V"Nothing found for \u005c"%s\u005c"!" +p5354 +tp5355 +a(g826 +V\u000a +p5356 +tp5357 +a(g440 +Vmsgstr +p5358 +tp5359 +a(g826 +g999 +tp5360 +a(g89 +V"Textmarkierung \u005c"%s\u005c" nicht gefunden!" +p5361 +tp5362 +a(g826 +V\u000a +p5363 +tp5364 +a(g826 +V\u000a +p5365 +tp5366 +a(g440 +Vmsgid +p5367 +tp5368 +a(g826 +g999 +tp5369 +a(g89 +V"edit" +p5370 +tp5371 +a(g826 +V\u000a +p5372 +tp5373 +a(g440 +Vmsgstr +p5374 +tp5375 +a(g826 +g999 +tp5376 +a(g89 +V"ändern" +p5377 +tp5378 +a(g826 +V\u000a +p5379 +tp5380 +a(g826 +V\u000a +p5381 +tp5382 +a(g440 +Vmsgid +p5383 +tp5384 +a(g826 +g999 +tp5385 +a(g89 +V"Contents" +p5386 +tp5387 +a(g826 +V\u000a +p5388 +tp5389 +a(g440 +Vmsgstr +p5390 +tp5391 +a(g826 +g999 +tp5392 +a(g89 +V"Inhaltsverzeichnis" +p5393 +tp5394 +a(g826 +V\u000a +p5395 +tp5396 +a(g826 +V\u000a +p5397 +tp5398 +a(g440 +Vmsgid +p5399 +tp5400 +a(g826 +g999 +tp5401 +a(g89 +V"You need to provide a chart type!" +p5402 +tp5403 +a(g826 +V\u000a +p5404 +tp5405 +a(g440 +Vmsgstr +p5406 +tp5407 +a(g826 +g999 +tp5408 +a(g89 +V"Es muss ein Diagrammtyp angegeben werden!" +p5409 +tp5410 +a(g826 +V\u000a +p5411 +tp5412 +a(g826 +V\u000a +p5413 +tp5414 +a(g748 +V#, python-format +p5415 +tp5416 +a(g826 +V\u000a +p5417 +tp5418 +a(g440 +Vmsgid +p5419 +tp5420 +a(g826 +g999 +tp5421 +a(g89 +V"Bad chart type \u005c"%s\u005c"!" +p5422 +tp5423 +a(g826 +V\u000a +p5424 +tp5425 +a(g440 +Vmsgstr +p5426 +tp5427 +a(g826 +g999 +tp5428 +a(g89 +V"Unbekannter Diagrammtyp \u005c"%s\u005c"!" +p5429 +tp5430 +a(g826 +V\u000a +p5431 +tp5432 +a(g826 +V\u000a +p5433 +tp5434 +a(g440 +Vmsgid +p5435 +tp5436 +a(g826 +g999 +tp5437 +a(g89 +V"Search for items" +p5438 +tp5439 +a(g826 +V\u000a +p5440 +tp5441 +a(g440 +Vmsgstr +p5442 +tp5443 +a(g826 +g999 +tp5444 +a(g89 +V"Nach Items suchen" +p5445 +tp5446 +a(g826 +V\u000a +p5447 +tp5448 +a(g826 +V\u000a +p5449 +tp5450 +a(g440 +Vmsgid +p5451 +tp5452 +a(g826 +g999 +tp5453 +a(g89 +V"containing all the following terms" +p5454 +tp5455 +a(g826 +V\u000a +p5456 +tp5457 +a(g440 +Vmsgstr +p5458 +tp5459 +a(g826 +g999 +tp5460 +a(g89 +V"die alle folgenden Ausdrücke enthalten" +p5461 +tp5462 +a(g826 +V\u000a +p5463 +tp5464 +a(g826 +V\u000a +p5465 +tp5466 +a(g440 +Vmsgid +p5467 +tp5468 +a(g826 +g999 +tp5469 +a(g89 +V"containing one or more of the following terms" +p5470 +tp5471 +a(g826 +V\u000a +p5472 +tp5473 +a(g440 +Vmsgstr +p5474 +tp5475 +a(g826 +g999 +tp5476 +a(g89 +V"die einen oder mehrere der folgenden Ausdrücke enthalten" +p5477 +tp5478 +a(g826 +V\u000a +p5479 +tp5480 +a(g826 +V\u000a +p5481 +tp5482 +a(g440 +Vmsgid +p5483 +tp5484 +a(g826 +g999 +tp5485 +a(g89 +V"not containing the following terms" +p5486 +tp5487 +a(g826 +V\u000a +p5488 +tp5489 +a(g440 +Vmsgstr +p5490 +tp5491 +a(g826 +g999 +tp5492 +a(g89 +V"die folgende Ausdrücke nicht enthalten" +p5493 +tp5494 +a(g826 +V\u000a +p5495 +tp5496 +a(g826 +V\u000a +p5497 +tp5498 +a(g440 +Vmsgid +p5499 +tp5500 +a(g826 +g999 +tp5501 +a(g89 +V"belonging to one of the following categories" +p5502 +tp5503 +a(g826 +V\u000a +p5504 +tp5505 +a(g440 +Vmsgstr +p5506 +tp5507 +a(g826 +g999 +tp5508 +a(g89 +V"die einer der folgenden Kategorien angehören" +p5509 +tp5510 +a(g826 +V\u000a +p5511 +tp5512 +a(g826 +V\u000a +p5513 +tp5514 +a(g440 +Vmsgid +p5515 +tp5516 +a(g826 +g999 +tp5517 +a(g89 +V"last modified since (e.g. last 2 weeks)" +p5518 +tp5519 +a(g826 +V\u000a +p5520 +tp5521 +a(g440 +Vmsgstr +p5522 +tp5523 +a(g826 +g999 +tp5524 +a(g89 +V"die zuletzt geändert wurden seit (z.B. 'last 2 weeks')" +p5525 +tp5526 +a(g826 +V\u000a +p5527 +tp5528 +a(g826 +V\u000a +p5529 +tp5530 +a(g440 +Vmsgid +p5531 +tp5532 +a(g826 +g999 +tp5533 +a(g89 +V"any language" +p5534 +tp5535 +a(g826 +V\u000a +p5536 +tp5537 +a(g440 +Vmsgstr +p5538 +tp5539 +a(g826 +g999 +tp5540 +a(g89 +V"jede Sprache" +p5541 +tp5542 +a(g826 +V\u000a +p5543 +tp5544 +a(g826 +V\u000a +p5545 +tp5546 +a(g440 +Vmsgid +p5547 +tp5548 +a(g826 +g999 +tp5549 +a(g89 +V"any mimetype" +p5550 +tp5551 +a(g826 +V\u000a +p5552 +tp5553 +a(g440 +Vmsgstr +p5554 +tp5555 +a(g826 +g999 +tp5556 +a(g89 +V"jeder MIME-Typ" +p5557 +tp5558 +a(g826 +V\u000a +p5559 +tp5560 +a(g826 +V\u000a +p5561 +tp5562 +a(g440 +Vmsgid +p5563 +tp5564 +a(g826 +g999 +tp5565 +a(g89 +V"Language" +p5566 +tp5567 +a(g826 +V\u000a +p5568 +tp5569 +a(g440 +Vmsgstr +p5570 +tp5571 +a(g826 +g999 +tp5572 +a(g89 +V"Sprache" +p5573 +tp5574 +a(g826 +V\u000a +p5575 +tp5576 +a(g826 +V\u000a +p5577 +tp5578 +a(g440 +Vmsgid +p5579 +tp5580 +a(g826 +g999 +tp5581 +a(g89 +V"File Type" +p5582 +tp5583 +a(g826 +V\u000a +p5584 +tp5585 +a(g440 +Vmsgstr +p5586 +tp5587 +a(g826 +g999 +tp5588 +a(g89 +V"Dateityp" +p5589 +tp5590 +a(g826 +V\u000a +p5591 +tp5592 +a(g826 +V\u000a +p5593 +tp5594 +a(g440 +Vmsgid +p5595 +tp5596 +a(g826 +g999 +tp5597 +a(g89 +V"Search only in titles" +p5598 +tp5599 +a(g826 +V\u000a +p5600 +tp5601 +a(g440 +Vmsgstr +p5602 +tp5603 +a(g826 +g999 +tp5604 +a(g89 +V"Nur Titel durchsuchen" +p5605 +tp5606 +a(g826 +V\u000a +p5607 +tp5608 +a(g826 +V\u000a +p5609 +tp5610 +a(g440 +Vmsgid +p5611 +tp5612 +a(g826 +g999 +tp5613 +a(g89 +V"Case-sensitive search" +p5614 +tp5615 +a(g826 +V\u000a +p5616 +tp5617 +a(g440 +Vmsgstr +p5618 +tp5619 +a(g826 +g999 +tp5620 +a(g89 +V"Groß-/Kleinschreibung bei der Suche beachten" +p5621 +tp5622 +a(g826 +V\u000a +p5623 +tp5624 +a(g826 +V\u000a +p5625 +tp5626 +a(g440 +Vmsgid +p5627 +tp5628 +a(g826 +g999 +tp5629 +a(g89 +V"Exclude underlay" +p5630 +tp5631 +a(g826 +V\u000a +p5632 +tp5633 +a(g440 +Vmsgstr +p5634 +tp5635 +a(g826 +g999 +tp5636 +a(g89 +V"Underlay ausschließen" +p5637 +tp5638 +a(g826 +V\u000a +p5639 +tp5640 +a(g826 +V\u000a +p5641 +tp5642 +a(g440 +Vmsgid +p5643 +tp5644 +a(g826 +g999 +tp5645 +a(g89 +V"No system items" +p5646 +tp5647 +a(g826 +V\u000a +p5648 +tp5649 +a(g440 +Vmsgstr +p5650 +tp5651 +a(g826 +g999 +tp5652 +a(g89 +V"Keine System-Items" +p5653 +tp5654 +a(g826 +V\u000a +p5655 +tp5656 +a(g826 +V\u000a +p5657 +tp5658 +a(g440 +Vmsgid +p5659 +tp5660 +a(g826 +g999 +tp5661 +a(g89 +V"Search in all page revisions" +p5662 +tp5663 +a(g826 +V\u000a +p5664 +tp5665 +a(g440 +Vmsgstr +p5666 +tp5667 +a(g826 +g999 +tp5668 +a(g89 +V"In allen Seitenrevisionen suchen" +p5669 +tp5670 +a(g826 +V\u000a +p5671 +tp5672 +a(g826 +V\u000a +p5673 +tp5674 +a(g440 +Vmsgid +p5675 +tp5676 +a(g826 +g999 +tp5677 +a(g89 +V"Go get it!" +p5678 +tp5679 +a(g826 +V\u000a +p5680 +tp5681 +a(g440 +Vmsgstr +p5682 +tp5683 +a(g826 +g999 +tp5684 +a(g89 +V"Los geht's" +p5685 +tp5686 +a(g826 +V\u000a +p5687 +tp5688 +a(g826 +V\u000a +p5689 +tp5690 +a(g748 +V#, python-format +p5691 +tp5692 +a(g826 +V\u000a +p5693 +tp5694 +a(g440 +Vmsgid +p5695 +tp5696 +a(g826 +g999 +tp5697 +a(g89 +V"Check your argument %s" +p5698 +tp5699 +a(g826 +V\u000a +p5700 +tp5701 +a(g440 +Vmsgstr +p5702 +tp5703 +a(g826 +g999 +tp5704 +a(g89 +V"Überprüfen Sie das Argument %s" +p5705 +tp5706 +a(g826 +V\u000a +p5707 +tp5708 +a(g826 +V\u000a +p5709 +tp5710 +a(g440 +Vmsgid +p5711 +tp5712 +a(g826 +g999 +tp5713 +a(g89 +V"Markup" +p5714 +tp5715 +a(g826 +V\u000a +p5716 +tp5717 +a(g440 +Vmsgstr +p5718 +tp5719 +a(g826 +g999 +tp5720 +a(g89 +V"Notation" +p5721 +tp5722 +a(g826 +V\u000a +p5723 +tp5724 +a(g826 +V\u000a +p5725 +tp5726 +a(g440 +Vmsgid +p5727 +tp5728 +a(g826 +g999 +tp5729 +a(g89 +V"Display" +p5730 +tp5731 +a(g826 +V\u000a +p5732 +tp5733 +a(g440 +Vmsgstr +p5734 +tp5735 +a(g826 +g999 +tp5736 +a(g89 +V"Anzeige" +p5737 +tp5738 +a(g826 +V\u000a +p5739 +tp5740 +a(g826 +V\u000a +p5741 +tp5742 +a(g440 +Vmsgid +p5743 +tp5744 +a(g826 +g999 +tp5745 +a(g89 +V"No wanted pages in this wiki." +p5746 +tp5747 +a(g826 +V\u000a +p5748 +tp5749 +a(g440 +Vmsgstr +p5750 +tp5751 +a(g826 +g999 +tp5752 +a(g89 +V"Es existieren keine gewünschten Seiten in diesem Wiki." +p5753 +tp5754 +a(g826 +V\u000a +p5755 +tp5756 +a(g826 +V\u000a +p5757 +tp5758 +a(g748 +V#, python-format +p5759 +tp5760 +a(g826 +V\u000a +p5761 +tp5762 +a(g440 +Vmsgid +p5763 +tp5764 +a(g826 +g999 +tp5765 +a(g89 +V"Connection to mailserver '%(server)s' failed: %(reason)s" +p5766 +tp5767 +a(g826 +V\u000a +p5768 +tp5769 +a(g440 +Vmsgstr +p5770 +tp5771 +a(g826 +g999 +tp5772 +a(g89 +V"Verbindung zum Mailserver '%(server)s' gestört: %(reason)s" +p5773 +tp5774 +a(g826 +V\u000a +p5775 +tp5776 +a(g826 +V\u000a +p5777 +tp5778 +a(g440 +Vmsgid +p5779 +tp5780 +a(g826 +g999 +tp5781 +a(g89 +V"Mail not sent" +p5782 +tp5783 +a(g826 +V\u000a +p5784 +tp5785 +a(g440 +Vmsgstr +p5786 +tp5787 +a(g826 +g999 +tp5788 +a(g89 +V"E-Mail wurde nicht versandt" +p5789 +tp5790 +a(g826 +V\u000a +p5791 +tp5792 +a(g826 +V\u000a +p5793 +tp5794 +a(g440 +Vmsgid +p5795 +tp5796 +a(g826 +g999 +tp5797 +a(g89 +V"Mail sent OK" +p5798 +tp5799 +a(g826 +V\u000a +p5800 +tp5801 +a(g440 +Vmsgstr +p5802 +tp5803 +a(g826 +g999 +tp5804 +a(g89 +V"E-Mail wurde erfolgreich versandt" +p5805 +tp5806 +a(g826 +V\u000a +p5807 +tp5808 +a(g826 +V\u000a +p5809 +tp5810 +a(g440 +Vmsgid +p5811 +tp5812 +a(g826 +g999 +tp5813 +a(g89 +V"Date" +p5814 +tp5815 +a(g826 +V\u000a +p5816 +tp5817 +a(g440 +Vmsgstr +p5818 +tp5819 +a(g826 +g999 +tp5820 +a(g89 +V"Datum" +p5821 +tp5822 +a(g826 +V\u000a +p5823 +tp5824 +a(g826 +V\u000a +p5825 +tp5826 +a(g440 +Vmsgid +p5827 +tp5828 +a(g826 +g999 +tp5829 +a(g89 +V"From" +p5830 +tp5831 +a(g826 +V\u000a +p5832 +tp5833 +a(g440 +Vmsgstr +p5834 +tp5835 +a(g826 +g999 +tp5836 +a(g89 +V"Von" +p5837 +tp5838 +a(g826 +V\u000a +p5839 +tp5840 +a(g826 +V\u000a +p5841 +tp5842 +a(g440 +Vmsgid +p5843 +tp5844 +a(g826 +g999 +tp5845 +a(g89 +V"To" +p5846 +tp5847 +a(g826 +V\u000a +p5848 +tp5849 +a(g440 +Vmsgstr +p5850 +tp5851 +a(g826 +g999 +tp5852 +a(g89 +V"An" +p5853 +tp5854 +a(g826 +V\u000a +p5855 +tp5856 +a(g826 +V\u000a +p5857 +tp5858 +a(g440 +Vmsgid +p5859 +tp5860 +a(g826 +g999 +tp5861 +a(g89 +V"Content" +p5862 +tp5863 +a(g826 +V\u000a +p5864 +tp5865 +a(g440 +Vmsgstr +p5866 +tp5867 +a(g826 +g999 +tp5868 +a(g89 +V"Inhalt" +p5869 +tp5870 +a(g826 +V\u000a +p5871 +tp5872 +a(g826 +V\u000a +p5873 +tp5874 +a(g440 +Vmsgid +p5875 +tp5876 +a(g826 +g999 +tp5877 +a(g89 +V"Attachments" +p5878 +tp5879 +a(g826 +V\u000a +p5880 +tp5881 +a(g440 +Vmsgstr +p5882 +tp5883 +a(g826 +g999 +tp5884 +a(g89 +V"Dateianhänge" +p5885 +tp5886 +a(g826 +V\u000a +p5887 +tp5888 +a(g826 +V\u000a +p5889 +tp5890 +a(g440 +Vmsgid +p5891 +tp5892 +a(g826 +g999 +tp5893 +a(g89 +V"XSLT option disabled, please look at HelpOnConfiguration." +p5894 +tp5895 +a(g826 +V\u000a +p5896 +tp5897 +a(g440 +Vmsgstr +p5898 +tp5899 +a(g826 +g999 +tp5900 +a(g89 +V"XSLT-Option ist abgeschaltet, siehe HelpOnConfiguration." +p5901 +tp5902 +a(g826 +V\u000a +p5903 +tp5904 +a(g826 +V\u000a +p5905 +tp5906 +a(g440 +Vmsgid +p5907 +tp5908 +a(g826 +g999 +tp5909 +a(g89 +V"XSLT processing is not available, please install 4suite 1.x." +p5910 +tp5911 +a(g826 +V\u000a +p5912 +tp5913 +a(g440 +Vmsgstr +p5914 +tp5915 +a(g826 +g999 +tp5916 +a(g89 +V"" +p5917 +tp5918 +a(g826 +V\u000a +p5919 +tp5920 +a(g89 +V"Die Verarbeitung von XSLT-Stylesheets ist nicht verfügbar, bitte 4suite 1.x " +p5921 +tp5922 +a(g826 +V\u000a +p5923 +tp5924 +a(g89 +V"installieren." +p5925 +tp5926 +a(g826 +V\u000a +p5927 +tp5928 +a(g826 +V\u000a +p5929 +tp5930 +a(g748 +V#, python-format +p5931 +tp5932 +a(g826 +V\u000a +p5933 +tp5934 +a(g440 +Vmsgid +p5935 +tp5936 +a(g826 +g999 +tp5937 +a(g89 +V"%(errortype)s processing error" +p5938 +tp5939 +a(g826 +V\u000a +p5940 +tp5941 +a(g440 +Vmsgstr +p5942 +tp5943 +a(g826 +g999 +tp5944 +a(g89 +V"Verarbeitungsfehler vom Typ \u005c"%(errortype)s\u005c"" +p5945 +tp5946 +a(g826 +V\u000a +p5947 +tp5948 +a(g826 +V\u000a +p5949 +tp5950 +a(g748 +V#, python-format +p5951 +tp5952 +a(g826 +V\u000a +p5953 +tp5954 +a(g440 +Vmsgid +p5955 +tp5956 +a(g826 +g999 +tp5957 +a(g89 +V"Expected \u005c"%(wanted)s\u005c" after \u005c"%(key)s\u005c", got \u005c"%(token)s\u005c"" +p5958 +tp5959 +a(g826 +V\u000a +p5960 +tp5961 +a(g440 +Vmsgstr +p5962 +tp5963 +a(g826 +g999 +tp5964 +a(g89 +V"Erwartete \u005c"%(wanted)s\u005c" nach \u005c"%(key)s\u005c", bekam \u005c"%(token)s\u005c"" +p5965 +tp5966 +a(g826 +V\u000a +p5967 +tp5968 +a(g826 +V\u000a +p5969 +tp5970 +a(g748 +V#, python-format +p5971 +tp5972 +a(g826 +V\u000a +p5973 +tp5974 +a(g440 +Vmsgid +p5975 +tp5976 +a(g826 +g999 +tp5977 +a(g89 +V"Expected an integer \u005c"%(key)s\u005c" before \u005c"%(token)s\u005c"" +p5978 +tp5979 +a(g826 +V\u000a +p5980 +tp5981 +a(g440 +Vmsgstr +p5982 +tp5983 +a(g826 +g999 +tp5984 +a(g89 +V"Erwartete eine Ganzzahl \u005c"%(key)s\u005c" vor \u005c"%(token)s\u005c"" +p5985 +tp5986 +a(g826 +V\u000a +p5987 +tp5988 +a(g826 +V\u000a +p5989 +tp5990 +a(g748 +V#, python-format +p5991 +tp5992 +a(g826 +V\u000a +p5993 +tp5994 +a(g440 +Vmsgid +p5995 +tp5996 +a(g826 +g999 +tp5997 +a(g89 +V"Expected an integer \u005c"%(arg)s\u005c" after \u005c"%(key)s\u005c"" +p5998 +tp5999 +a(g826 +V\u000a +p6000 +tp6001 +a(g440 +Vmsgstr +p6002 +tp6003 +a(g826 +g999 +tp6004 +a(g89 +V"Erwartete eine Ganzzahl \u005c"%(arg)s\u005c" nach \u005c"%(key)s\u005c"" +p6005 +tp6006 +a(g826 +V\u000a +p6007 +tp6008 +a(g826 +V\u000a +p6009 +tp6010 +a(g748 +V#, python-format +p6011 +tp6012 +a(g826 +V\u000a +p6013 +tp6014 +a(g440 +Vmsgid +p6015 +tp6016 +a(g826 +g999 +tp6017 +a(g89 +V"Expected a color value \u005c"%(arg)s\u005c" after \u005c"%(key)s\u005c"" +p6018 +tp6019 +a(g826 +V\u000a +p6020 +tp6021 +a(g440 +Vmsgstr +p6022 +tp6023 +a(g826 +g999 +tp6024 +a(g89 +V"Erwartete einen Farbwert \u005c"%(arg)s\u005c" nach \u005c"%(key)s\u005c"" +p6025 +tp6026 +a(g826 +V\u000a +p6027 +tp6028 +a(g826 +V\u000a +p6029 +tp6030 +a(g440 +Vmsgid +p6031 +tp6032 +a(g826 +g999 +tp6033 +a(g89 +V"" +p6034 +tp6035 +a(g826 +V\u000a +p6036 +tp6037 +a(g89 +V"Rendering of reStructured text is not possible, please install Docutils." +p6038 +tp6039 +a(g826 +V\u000a +p6040 +tp6041 +a(g440 +Vmsgstr +p6042 +tp6043 +a(g826 +g999 +tp6044 +a(g89 +V"" +p6045 +tp6046 +a(g826 +V\u000a +p6047 +tp6048 +a(g89 +V"Anzeigen von reStructured Text ist nicht möglich, bitte installieren Sie " +p6049 +tp6050 +a(g826 +V\u000a +p6051 +tp6052 +a(g89 +V"Docutils." +p6053 +tp6054 +a(g826 +V\u000a +p6055 +tp6056 +a(g826 +V\u000a +p6057 +tp6058 +a(g440 +Vmsgid +p6059 +tp6060 +a(g826 +g999 +tp6061 +a(g89 +V"**Maximum number of allowed includes exceeded**" +p6062 +tp6063 +a(g826 +V\u000a +p6064 +tp6065 +a(g440 +Vmsgstr +p6066 +tp6067 +a(g826 +g999 +tp6068 +a(g89 +V"**Maximale Anzahl erlaubter Includes überschritten**" +p6069 +tp6070 +a(g826 +V\u000a +p6071 +tp6072 +a(g826 +V\u000a +p6073 +tp6074 +a(g748 +V#, python-format +p6075 +tp6076 +a(g826 +V\u000a +p6077 +tp6078 +a(g440 +Vmsgid +p6079 +tp6080 +a(g826 +g999 +tp6081 +a(g89 +V"**Could not find the referenced page: %s**" +p6082 +tp6083 +a(g826 +V\u000a +p6084 +tp6085 +a(g440 +Vmsgstr +p6086 +tp6087 +a(g826 +g999 +tp6088 +a(g89 +V"**Konnte die referenzierte Seite nicht finden: %s**" +p6089 +tp6090 +a(g826 +V\u000a +p6091 +tp6092 +a(g826 +V\u000a +p6093 +tp6094 +a(g748 +V#, python-format +p6095 +tp6096 +a(g826 +V\u000a +p6097 +tp6098 +a(g440 +Vmsgid +p6099 +tp6100 +a(g826 +g999 +tp6101 +a(g89 +V"Inlined image: %(url)s" +p6102 +tp6103 +a(g826 +V\u000a +p6104 +tp6105 +a(g440 +Vmsgstr +p6106 +tp6107 +a(g826 +g999 +tp6108 +a(g89 +V"Eingebettetes Bild: %(url)s" +p6109 +tp6110 +a(g826 +V\u000a +p6111 +tp6112 +a(g826 +V\u000a +p6113 +tp6114 +a(g748 +V#, python-format +p6115 +tp6116 +a(g826 +V\u000a +p6117 +tp6118 +a(g440 +Vmsgid +p6119 +tp6120 +a(g826 +g999 +tp6121 +a(g89 +V"Create new drawing \u005c"%(filename)s (opens in new window)\u005c"" +p6122 +tp6123 +a(g826 +V\u000a +p6124 +tp6125 +a(g440 +Vmsgstr +p6126 +tp6127 +a(g826 +g999 +tp6128 +a(g89 +V"Neue Zeichnung \u005c"%(filename)s\u005c" anlegen (öffnet ein neues Fenster)" +p6129 +tp6130 +a(g826 +V\u000a +p6131 +tp6132 +a(g826 +V\u000a +p6133 +tp6134 +a(g748 +V#, python-format +p6135 +tp6136 +a(g826 +V\u000a +p6137 +tp6138 +a(g440 +Vmsgid +p6139 +tp6140 +a(g826 +g999 +tp6141 +a(g89 +V"Edit drawing %(filename)s (opens in new window)" +p6142 +tp6143 +a(g826 +V\u000a +p6144 +tp6145 +a(g440 +Vmsgstr +p6146 +tp6147 +a(g826 +g999 +tp6148 +a(g89 +V"Zeichnung %(filename)s bearbeiten (öffnet ein neues Fenster)" +p6149 +tp6150 +a(g826 +V\u000a +p6151 +tp6152 +a(g826 +V\u000a +p6153 +tp6154 +a(g748 +V#, python-format +p6155 +tp6156 +a(g826 +V\u000a +p6157 +tp6158 +a(g440 +Vmsgid +p6159 +tp6160 +a(g826 +g999 +tp6161 +a(g89 +V"Clickable drawing: %(filename)s" +p6162 +tp6163 +a(g826 +V\u000a +p6164 +tp6165 +a(g440 +Vmsgstr +p6166 +tp6167 +a(g826 +g999 +tp6168 +a(g89 +V"Anklickbare Zeichnung %(filename)s" +p6169 +tp6170 +a(g826 +V\u000a +p6171 +tp6172 +a(g826 +V\u000a +p6173 +tp6174 +a(g440 +Vmsgid +p6175 +tp6176 +a(g826 +g999 +tp6177 +a(g89 +V"Toggle line numbers" +p6178 +tp6179 +a(g826 +V\u000a +p6180 +tp6181 +a(g440 +Vmsgstr +p6182 +tp6183 +a(g826 +g999 +tp6184 +a(g89 +V"Zeilennummern ein/ausschalten" +p6185 +tp6186 +a(g826 +V\u000a +p6187 +tp6188 +a(g826 +V\u000a +p6189 +tp6190 +a(g440 +Vmsgid +p6191 +tp6192 +a(g826 +g999 +tp6193 +a(g89 +V"[all]" +p6194 +tp6195 +a(g826 +V\u000a +p6196 +tp6197 +a(g440 +Vmsgstr +p6198 +tp6199 +a(g826 +g999 +tp6200 +a(g89 +V"[alle]" +p6201 +tp6202 +a(g826 +V\u000a +p6203 +tp6204 +a(g826 +V\u000a +p6205 +tp6206 +a(g440 +Vmsgid +p6207 +tp6208 +a(g826 +g999 +tp6209 +a(g89 +V"[not empty]" +p6210 +tp6211 +a(g826 +V\u000a +p6212 +tp6213 +a(g440 +Vmsgstr +p6214 +tp6215 +a(g826 +g999 +tp6216 +a(g89 +V"[nicht leer]" +p6217 +tp6218 +a(g826 +V\u000a +p6219 +tp6220 +a(g826 +V\u000a +p6221 +tp6222 +a(g440 +Vmsgid +p6223 +tp6224 +a(g826 +g999 +tp6225 +a(g89 +V"[empty]" +p6226 +tp6227 +a(g826 +V\u000a +p6228 +tp6229 +a(g440 +Vmsgstr +p6230 +tp6231 +a(g826 +g999 +tp6232 +a(g89 +V"[leer]" +p6233 +tp6234 +a(g826 +V\u000a +p6235 +tp6236 +a(g826 +V\u000a +p6237 +tp6238 +a(g440 +Vmsgid +p6239 +tp6240 +a(g826 +g999 +tp6241 +a(g89 +V"filter" +p6242 +tp6243 +a(g826 +V\u000a +p6244 +tp6245 +a(g440 +Vmsgstr +p6246 +tp6247 +a(g826 +g999 +tp6248 +a(g89 +V"Filter" +p6249 +tp6250 +a(g826 +V\u000a +p6251 +tp6252 +a(g826 +V\u000a +p6253 +tp6254 +a(g440 +Vmsgid +p6255 +tp6256 +a(g826 +g999 +tp6257 +a(g89 +V"Line" +p6258 +tp6259 +a(g826 +V\u000a +p6260 +tp6261 +a(g440 +Vmsgstr +p6262 +tp6263 +a(g826 +g999 +tp6264 +a(g89 +V"Zeile" +p6265 +tp6266 +a(g826 +V\u000a +p6267 +tp6268 +a(g826 +V\u000a +p6269 +tp6270 +a(g440 +Vmsgid +p6271 +tp6272 +a(g826 +g999 +tp6273 +a(g89 +V"No differences found!" +p6274 +tp6275 +a(g826 +V\u000a +p6276 +tp6277 +a(g440 +Vmsgstr +p6278 +tp6279 +a(g826 +g999 +tp6280 +a(g89 +V"Es wurden keine Änderungen gefunden!" +p6281 +tp6282 +a(g826 +V\u000a +p6283 +tp6284 +a(g826 +V\u000a +p6285 +tp6286 +a(g440 +Vmsgid +p6287 +tp6288 +a(g826 +g999 +tp6289 +a(g89 +V"Deletions are marked like this." +p6290 +tp6291 +a(g826 +V\u000a +p6292 +tp6293 +a(g440 +Vmsgstr +p6294 +tp6295 +a(g826 +g999 +tp6296 +a(g89 +V"Gelöschter Text ist auf diese Art markiert." +p6297 +tp6298 +a(g826 +V\u000a +p6299 +tp6300 +a(g826 +V\u000a +p6301 +tp6302 +a(g440 +Vmsgid +p6303 +tp6304 +a(g826 +g999 +tp6305 +a(g89 +V"Additions are marked like this." +p6306 +tp6307 +a(g826 +V\u000a +p6308 +tp6309 +a(g440 +Vmsgstr +p6310 +tp6311 +a(g826 +g999 +tp6312 +a(g89 +V"Hinzugefügter Text ist auf diese Art markiert." +p6313 +tp6314 +a(g826 +V\u000a +p6315 +tp6316 +a(g826 +V\u000a +p6317 +tp6318 +a(g748 +V#, python-format +p6319 +tp6320 +a(g826 +V\u000a +p6321 +tp6322 +a(g440 +Vmsgid +p6323 +tp6324 +a(g826 +g999 +tp6325 +a(g89 +V"" +p6326 +tp6327 +a(g826 +V\u000a +p6328 +tp6329 +a(g89 +V"Sorry, can not save page because \u005c"%(content)s\u005c" is not allowed in this wiki." +p6330 +tp6331 +a(g826 +V\u000a +p6332 +tp6333 +a(g440 +Vmsgstr +p6334 +tp6335 +a(g826 +g999 +tp6336 +a(g89 +V"" +p6337 +tp6338 +a(g826 +V\u000a +p6339 +tp6340 +a(g89 +V"Kann die Seite nicht speichern, weil der Inhalt \u005c"%(content)s\u005c" in diesem " +p6341 +tp6342 +a(g826 +V\u000a +p6343 +tp6344 +a(g89 +V"Wiki nicht erlaubt ist." +p6345 +tp6346 +a(g826 +V\u000a +p6347 +tp6348 +a(g826 +V\u000a +p6349 +tp6350 +a(g440 +Vmsgid +p6351 +tp6352 +a(g826 +g999 +tp6353 +a(g89 +V"Page" +p6354 +tp6355 +a(g826 +V\u000a +p6356 +tp6357 +a(g440 +Vmsgstr +p6358 +tp6359 +a(g826 +g999 +tp6360 +a(g89 +V"Seite" +p6361 +tp6362 +a(g826 +V\u000a +p6363 +tp6364 +a(g826 +V\u000a +p6365 +tp6366 +a(g440 +Vmsgid +p6367 +tp6368 +a(g826 +g999 +tp6369 +a(g89 +V"User" +p6370 +tp6371 +a(g826 +V\u000a +p6372 +tp6373 +a(g440 +Vmsgstr +p6374 +tp6375 +a(g826 +g999 +tp6376 +a(g89 +V"Benutzer" +p6377 +tp6378 +a(g826 +V\u000a +p6379 +tp6380 +a(g826 +V\u000a +p6381 +tp6382 +a(g440 +Vmsgid +p6383 +tp6384 +a(g826 +g999 +tp6385 +a(g89 +V"Diffs" +p6386 +tp6387 +a(g826 +V\u000a +p6388 +tp6389 +a(g440 +Vmsgstr +p6390 +tp6391 +a(g826 +g999 +tp6392 +a(g89 +V"DifferenzAnzeige" +p6393 +tp6394 +a(g826 +V\u000a +p6395 +tp6396 +a(g826 +V\u000a +p6397 +tp6398 +a(g440 +Vmsgid +p6399 +tp6400 +a(g826 +g999 +tp6401 +a(g89 +V"Info" +p6402 +tp6403 +a(g826 +V\u000a +p6404 +tp6405 +a(g440 +Vmsgstr +p6406 +tp6407 +a(g826 +g999 +tp6408 +a(g89 +V"Info" +p6409 +tp6410 +a(g826 +V\u000a +p6411 +tp6412 +a(g826 +V\u000a +p6413 +tp6414 +a(g440 +Vmsgid +p6415 +tp6416 +a(g826 +g999 +tp6417 +a(g89 +V"Unsubscribe" +p6418 +tp6419 +a(g826 +V\u000a +p6420 +tp6421 +a(g440 +Vmsgstr +p6422 +tp6423 +a(g826 +g999 +tp6424 +a(g89 +V"Nicht abonnieren" +p6425 +tp6426 +a(g826 +V\u000a +p6427 +tp6428 +a(g826 +V\u000a +p6429 +tp6430 +a(g440 +Vmsgid +p6431 +tp6432 +a(g826 +g999 +tp6433 +a(g89 +V"Subscribe" +p6434 +tp6435 +a(g826 +V\u000a +p6436 +tp6437 +a(g440 +Vmsgstr +p6438 +tp6439 +a(g826 +g999 +tp6440 +a(g89 +V"Abonnieren" +p6441 +tp6442 +a(g826 +V\u000a +p6443 +tp6444 +a(g826 +V\u000a +p6445 +tp6446 +a(g440 +Vmsgid +p6447 +tp6448 +a(g826 +g999 +tp6449 +a(g89 +V"Raw" +p6450 +tp6451 +a(g826 +V\u000a +p6452 +tp6453 +a(g440 +Vmsgstr +p6454 +tp6455 +a(g826 +g999 +tp6456 +a(g89 +V"Rohform" +p6457 +tp6458 +a(g826 +V\u000a +p6459 +tp6460 +a(g826 +V\u000a +p6461 +tp6462 +a(g440 +Vmsgid +p6463 +tp6464 +a(g826 +g999 +tp6465 +a(g89 +V"XML" +p6466 +tp6467 +a(g826 +V\u000a +p6468 +tp6469 +a(g440 +Vmsgstr +p6470 +tp6471 +a(g826 +g999 +tp6472 +a(g89 +V"XML" +p6473 +tp6474 +a(g826 +V\u000a +p6475 +tp6476 +a(g826 +V\u000a +p6477 +tp6478 +a(g440 +Vmsgid +p6479 +tp6480 +a(g826 +g999 +tp6481 +a(g89 +V"Print" +p6482 +tp6483 +a(g826 +V\u000a +p6484 +tp6485 +a(g440 +Vmsgstr +p6486 +tp6487 +a(g826 +g999 +tp6488 +a(g89 +V"Druckansicht" +p6489 +tp6490 +a(g826 +V\u000a +p6491 +tp6492 +a(g826 +V\u000a +p6493 +tp6494 +a(g440 +Vmsgid +p6495 +tp6496 +a(g826 +g999 +tp6497 +a(g89 +V"View" +p6498 +tp6499 +a(g826 +V\u000a +p6500 +tp6501 +a(g440 +Vmsgstr +p6502 +tp6503 +a(g826 +g999 +tp6504 +a(g89 +V"Anzeigen" +p6505 +tp6506 +a(g826 +V\u000a +p6507 +tp6508 +a(g826 +V\u000a +p6509 +tp6510 +a(g440 +Vmsgid +p6511 +tp6512 +a(g826 +g999 +tp6513 +a(g89 +V"Home" +p6514 +tp6515 +a(g826 +V\u000a +p6516 +tp6517 +a(g440 +Vmsgstr +p6518 +tp6519 +a(g826 +g999 +tp6520 +a(g89 +V"Heim" +p6521 +tp6522 +a(g826 +V\u000a +p6523 +tp6524 +a(g826 +V\u000a +p6525 +tp6526 +a(g440 +Vmsgid +p6527 +tp6528 +a(g826 +g999 +tp6529 +a(g89 +V"Up" +p6530 +tp6531 +a(g826 +V\u000a +p6532 +tp6533 +a(g440 +Vmsgstr +p6534 +tp6535 +a(g826 +g999 +tp6536 +a(g89 +V"Hoch" +p6537 +tp6538 +a(g826 +V\u000a +p6539 +tp6540 +a(g826 +V\u000a +p6541 +tp6542 +a(g440 +Vmsgid +p6543 +tp6544 +a(g826 +g999 +tp6545 +a(g89 +V"[RSS]" +p6546 +tp6547 +a(g826 +V\u000a +p6548 +tp6549 +a(g440 +Vmsgstr +p6550 +tp6551 +a(g826 +g999 +tp6552 +a(g89 +V"[RSS]" +p6553 +tp6554 +a(g826 +V\u000a +p6555 +tp6556 +a(g826 +V\u000a +p6557 +tp6558 +a(g440 +Vmsgid +p6559 +tp6560 +a(g826 +g999 +tp6561 +a(g89 +V"[DELETED]" +p6562 +tp6563 +a(g826 +V\u000a +p6564 +tp6565 +a(g440 +Vmsgstr +p6566 +tp6567 +a(g826 +g999 +tp6568 +a(g89 +V"[GELÖSCHT]" +p6569 +tp6570 +a(g826 +V\u000a +p6571 +tp6572 +a(g826 +V\u000a +p6573 +tp6574 +a(g440 +Vmsgid +p6575 +tp6576 +a(g826 +g999 +tp6577 +a(g89 +V"[UPDATED]" +p6578 +tp6579 +a(g826 +V\u000a +p6580 +tp6581 +a(g440 +Vmsgstr +p6582 +tp6583 +a(g826 +g999 +tp6584 +a(g89 +V"[AKTUALISIERT]" +p6585 +tp6586 +a(g826 +V\u000a +p6587 +tp6588 +a(g826 +V\u000a +p6589 +tp6590 +a(g440 +Vmsgid +p6591 +tp6592 +a(g826 +g999 +tp6593 +a(g89 +V"[RENAMED]" +p6594 +tp6595 +a(g826 +V\u000a +p6596 +tp6597 +a(g440 +Vmsgstr +p6598 +tp6599 +a(g826 +g999 +tp6600 +a(g89 +V"[UMBENANNT]" +p6601 +tp6602 +a(g826 +V\u000a +p6603 +tp6604 +a(g826 +V\u000a +p6605 +tp6606 +a(g440 +Vmsgid +p6607 +tp6608 +a(g826 +g999 +tp6609 +a(g89 +V"[CONFLICT]" +p6610 +tp6611 +a(g826 +V\u000a +p6612 +tp6613 +a(g440 +Vmsgstr +p6614 +tp6615 +a(g826 +g999 +tp6616 +a(g89 +V"[KONFLIKT]" +p6617 +tp6618 +a(g826 +V\u000a +p6619 +tp6620 +a(g826 +V\u000a +p6621 +tp6622 +a(g440 +Vmsgid +p6623 +tp6624 +a(g826 +g999 +tp6625 +a(g89 +V"[NEW]" +p6626 +tp6627 +a(g826 +V\u000a +p6628 +tp6629 +a(g440 +Vmsgstr +p6630 +tp6631 +a(g826 +g999 +tp6632 +a(g89 +V"[NEU]" +p6633 +tp6634 +a(g826 +V\u000a +p6635 +tp6636 +a(g826 +V\u000a +p6637 +tp6638 +a(g440 +Vmsgid +p6639 +tp6640 +a(g826 +g999 +tp6641 +a(g89 +V"[DIFF]" +p6642 +tp6643 +a(g826 +V\u000a +p6644 +tp6645 +a(g440 +Vmsgstr +p6646 +tp6647 +a(g826 +g999 +tp6648 +a(g89 +V"[DIFF]" +p6649 +tp6650 +a(g826 +V\u000a +p6651 +tp6652 +a(g826 +V\u000a +p6653 +tp6654 +a(g440 +Vmsgid +p6655 +tp6656 +a(g826 +g999 +tp6657 +a(g89 +V"[BOTTOM]" +p6658 +tp6659 +a(g826 +V\u000a +p6660 +tp6661 +a(g440 +Vmsgstr +p6662 +tp6663 +a(g826 +g999 +tp6664 +a(g89 +V"[FUSS]" +p6665 +tp6666 +a(g826 +V\u000a +p6667 +tp6668 +a(g826 +V\u000a +p6669 +tp6670 +a(g440 +Vmsgid +p6671 +tp6672 +a(g826 +g999 +tp6673 +a(g89 +V"[TOP]" +p6674 +tp6675 +a(g826 +V\u000a +p6676 +tp6677 +a(g440 +Vmsgstr +p6678 +tp6679 +a(g826 +g999 +tp6680 +a(g89 +V"[KOPF]" +p6681 +tp6682 +a(g826 +V\u000a +p6683 +tp6684 +a(g826 +V\u000a +p6685 +tp6686 +a(g440 +Vmsgid +p6687 +tp6688 +a(g826 +g999 +tp6689 +a(g89 +V"Click to do a full-text search for this title" +p6690 +tp6691 +a(g826 +V\u000a +p6692 +tp6693 +a(g440 +Vmsgstr +p6694 +tp6695 +a(g826 +g999 +tp6696 +a(g89 +V"Hier klicken für eine Liste der Seiten, die auf diese verweisen" +p6697 +tp6698 +a(g826 +V\u000a +p6699 +tp6700 +a(g826 +V\u000a +p6701 +tp6702 +a(g440 +Vmsgid +p6703 +tp6704 +a(g826 +g999 +tp6705 +a(g89 +V"Preferences" +p6706 +tp6707 +a(g826 +V\u000a +p6708 +tp6709 +a(g440 +Vmsgstr +p6710 +tp6711 +a(g826 +g999 +tp6712 +a(g89 +V"Einstellungen" +p6713 +tp6714 +a(g826 +V\u000a +p6715 +tp6716 +a(g826 +V\u000a +p6717 +tp6718 +a(g440 +Vmsgid +p6719 +tp6720 +a(g826 +g999 +tp6721 +a(g89 +V"Logout" +p6722 +tp6723 +a(g826 +V\u000a +p6724 +tp6725 +a(g440 +Vmsgstr +p6726 +tp6727 +a(g826 +g999 +tp6728 +a(g89 +V"Abmelden" +p6729 +tp6730 +a(g826 +V\u000a +p6731 +tp6732 +a(g826 +V\u000a +p6733 +tp6734 +a(g440 +Vmsgid +p6735 +tp6736 +a(g826 +g999 +tp6737 +a(g89 +V"Clear message" +p6738 +tp6739 +a(g826 +V\u000a +p6740 +tp6741 +a(g440 +Vmsgstr +p6742 +tp6743 +a(g826 +g999 +tp6744 +a(g89 +V"Nachricht löschen" +p6745 +tp6746 +a(g826 +V\u000a +p6747 +tp6748 +a(g826 +V\u000a +p6749 +tp6750 +a(g748 +V#, python-format +p6751 +tp6752 +a(g826 +V\u000a +p6753 +tp6754 +a(g440 +Vmsgid +p6755 +tp6756 +a(g826 +g999 +tp6757 +a(g89 +V"last edited %(time)s by %(editor)s" +p6758 +tp6759 +a(g826 +V\u000a +p6760 +tp6761 +a(g440 +Vmsgstr +p6762 +tp6763 +a(g826 +g999 +tp6764 +a(g89 +V"zuletzt geändert am %(time)s durch %(editor)s" +p6765 +tp6766 +a(g826 +V\u000a +p6767 +tp6768 +a(g826 +V\u000a +p6769 +tp6770 +a(g748 +V#, python-format +p6771 +tp6772 +a(g826 +V\u000a +p6773 +tp6774 +a(g440 +Vmsgid +p6775 +tp6776 +a(g826 +g999 +tp6777 +a(g89 +V"last modified %(time)s" +p6778 +tp6779 +a(g826 +V\u000a +p6780 +tp6781 +a(g440 +Vmsgstr +p6782 +tp6783 +a(g826 +g999 +tp6784 +a(g89 +V"zuletzt geändert %(time)s" +p6785 +tp6786 +a(g826 +V\u000a +p6787 +tp6788 +a(g826 +V\u000a +p6789 +tp6790 +a(g440 +Vmsgid +p6791 +tp6792 +a(g826 +g999 +tp6793 +a(g89 +V"Search:" +p6794 +tp6795 +a(g826 +V\u000a +p6796 +tp6797 +a(g440 +Vmsgstr +p6798 +tp6799 +a(g826 +g999 +tp6800 +a(g89 +V"Suchen:" +p6801 +tp6802 +a(g826 +V\u000a +p6803 +tp6804 +a(g826 +V\u000a +p6805 +tp6806 +a(g440 +Vmsgid +p6807 +tp6808 +a(g826 +g999 +tp6809 +a(g89 +V"Text" +p6810 +tp6811 +a(g826 +V\u000a +p6812 +tp6813 +a(g440 +Vmsgstr +p6814 +tp6815 +a(g826 +g999 +tp6816 +a(g89 +V"Text" +p6817 +tp6818 +a(g826 +V\u000a +p6819 +tp6820 +a(g826 +V\u000a +p6821 +tp6822 +a(g440 +Vmsgid +p6823 +tp6824 +a(g826 +g999 +tp6825 +a(g89 +V"Titles" +p6826 +tp6827 +a(g826 +V\u000a +p6828 +tp6829 +a(g440 +Vmsgstr +p6830 +tp6831 +a(g826 +g999 +tp6832 +a(g89 +V"Titel" +p6833 +tp6834 +a(g826 +V\u000a +p6835 +tp6836 +a(g826 +V\u000a +p6837 +tp6838 +a(g440 +Vmsgid +p6839 +tp6840 +a(g826 +g999 +tp6841 +a(g89 +V"Search" +p6842 +tp6843 +a(g826 +V\u000a +p6844 +tp6845 +a(g440 +Vmsgstr +p6846 +tp6847 +a(g826 +g999 +tp6848 +a(g89 +V"Suche" +p6849 +tp6850 +a(g826 +V\u000a +p6851 +tp6852 +a(g826 +V\u000a +p6853 +tp6854 +a(g440 +Vmsgid +p6855 +tp6856 +a(g826 +g999 +tp6857 +a(g89 +V"More Actions:" +p6858 +tp6859 +a(g826 +V\u000a +p6860 +tp6861 +a(g440 +Vmsgstr +p6862 +tp6863 +a(g826 +g999 +tp6864 +a(g89 +V"Weitere Aktionen:" +p6865 +tp6866 +a(g826 +V\u000a +p6867 +tp6868 +a(g826 +V\u000a +p6869 +tp6870 +a(g440 +Vmsgid +p6871 +tp6872 +a(g826 +g999 +tp6873 +a(g89 +V"------------------------" +p6874 +tp6875 +a(g826 +V\u000a +p6876 +tp6877 +a(g440 +Vmsgstr +p6878 +tp6879 +a(g826 +g999 +tp6880 +a(g89 +V"------------------------" +p6881 +tp6882 +a(g826 +V\u000a +p6883 +tp6884 +a(g826 +V\u000a +p6885 +tp6886 +a(g440 +Vmsgid +p6887 +tp6888 +a(g826 +g999 +tp6889 +a(g89 +V"Raw Text" +p6890 +tp6891 +a(g826 +V\u000a +p6892 +tp6893 +a(g440 +Vmsgstr +p6894 +tp6895 +a(g826 +g999 +tp6896 +a(g89 +V"Rohform" +p6897 +tp6898 +a(g826 +V\u000a +p6899 +tp6900 +a(g826 +V\u000a +p6901 +tp6902 +a(g440 +Vmsgid +p6903 +tp6904 +a(g826 +g999 +tp6905 +a(g89 +V"Print View" +p6906 +tp6907 +a(g826 +V\u000a +p6908 +tp6909 +a(g440 +Vmsgstr +p6910 +tp6911 +a(g826 +g999 +tp6912 +a(g89 +V"Druckansicht" +p6913 +tp6914 +a(g826 +V\u000a +p6915 +tp6916 +a(g826 +V\u000a +p6917 +tp6918 +a(g440 +Vmsgid +p6919 +tp6920 +a(g826 +g999 +tp6921 +a(g89 +V"Delete Cache" +p6922 +tp6923 +a(g826 +V\u000a +p6924 +tp6925 +a(g440 +Vmsgstr +p6926 +tp6927 +a(g826 +g999 +tp6928 +a(g89 +V"Cache löschen" +p6929 +tp6930 +a(g826 +V\u000a +p6931 +tp6932 +a(g826 +V\u000a +p6933 +tp6934 +a(g440 +Vmsgid +p6935 +tp6936 +a(g826 +g999 +tp6937 +a(g89 +V"Rename Page" +p6938 +tp6939 +a(g826 +V\u000a +p6940 +tp6941 +a(g440 +Vmsgstr +p6942 +tp6943 +a(g826 +g999 +tp6944 +a(g89 +V"Seite umbenennen" +p6945 +tp6946 +a(g826 +V\u000a +p6947 +tp6948 +a(g826 +V\u000a +p6949 +tp6950 +a(g440 +Vmsgid +p6951 +tp6952 +a(g826 +g999 +tp6953 +a(g89 +V"Copy Page" +p6954 +tp6955 +a(g826 +V\u000a +p6956 +tp6957 +a(g440 +Vmsgstr +p6958 +tp6959 +a(g826 +g999 +tp6960 +a(g89 +V"Seite kopieren" +p6961 +tp6962 +a(g826 +V\u000a +p6963 +tp6964 +a(g826 +V\u000a +p6965 +tp6966 +a(g440 +Vmsgid +p6967 +tp6968 +a(g826 +g999 +tp6969 +a(g89 +V"Delete Page" +p6970 +tp6971 +a(g826 +V\u000a +p6972 +tp6973 +a(g440 +Vmsgstr +p6974 +tp6975 +a(g826 +g999 +tp6976 +a(g89 +V"Seite löschen" +p6977 +tp6978 +a(g826 +V\u000a +p6979 +tp6980 +a(g826 +V\u000a +p6981 +tp6982 +a(g440 +Vmsgid +p6983 +tp6984 +a(g826 +g999 +tp6985 +a(g89 +V"Like Pages" +p6986 +tp6987 +a(g826 +V\u000a +p6988 +tp6989 +a(g440 +Vmsgstr +p6990 +tp6991 +a(g826 +g999 +tp6992 +a(g89 +V"Ähnliche Seiten" +p6993 +tp6994 +a(g826 +V\u000a +p6995 +tp6996 +a(g826 +V\u000a +p6997 +tp6998 +a(g440 +Vmsgid +p6999 +tp7000 +a(g826 +g999 +tp7001 +a(g89 +V"Local Site Map" +p7002 +tp7003 +a(g826 +V\u000a +p7004 +tp7005 +a(g440 +Vmsgstr +p7006 +tp7007 +a(g826 +g999 +tp7008 +a(g89 +V"ÜbersichtsKarte" +p7009 +tp7010 +a(g826 +V\u000a +p7011 +tp7012 +a(g826 +V\u000a +p7013 +tp7014 +a(g440 +Vmsgid +p7015 +tp7016 +a(g826 +g999 +tp7017 +a(g89 +V"My Pages" +p7018 +tp7019 +a(g826 +V\u000a +p7020 +tp7021 +a(g440 +Vmsgstr +p7022 +tp7023 +a(g826 +g999 +tp7024 +a(g89 +V"Meine Seiten" +p7025 +tp7026 +a(g826 +V\u000a +p7027 +tp7028 +a(g826 +V\u000a +p7029 +tp7030 +a(g440 +Vmsgid +p7031 +tp7032 +a(g826 +g999 +tp7033 +a(g89 +V"Subscribe User" +p7034 +tp7035 +a(g826 +V\u000a +p7036 +tp7037 +a(g440 +Vmsgstr +p7038 +tp7039 +a(g826 +g999 +tp7040 +a(g89 +V"Abo für Benutzer" +p7041 +tp7042 +a(g826 +V\u000a +p7043 +tp7044 +a(g826 +V\u000a +p7045 +tp7046 +a(g440 +Vmsgid +p7047 +tp7048 +a(g826 +g999 +tp7049 +a(g89 +V"Remove Spam" +p7050 +tp7051 +a(g826 +V\u000a +p7052 +tp7053 +a(g440 +Vmsgstr +p7054 +tp7055 +a(g826 +g999 +tp7056 +a(g89 +V"Spam entfernen" +p7057 +tp7058 +a(g826 +V\u000a +p7059 +tp7060 +a(g826 +V\u000a +p7061 +tp7062 +a(g440 +Vmsgid +p7063 +tp7064 +a(g826 +g999 +tp7065 +a(g89 +V"Revert to this revision" +p7066 +tp7067 +a(g826 +V\u000a +p7068 +tp7069 +a(g440 +Vmsgstr +p7070 +tp7071 +a(g826 +g999 +tp7072 +a(g89 +V"Diese Revision restaurieren" +p7073 +tp7074 +a(g826 +V\u000a +p7075 +tp7076 +a(g826 +V\u000a +p7077 +tp7078 +a(g440 +Vmsgid +p7079 +tp7080 +a(g826 +g999 +tp7081 +a(g89 +V"Package Pages" +p7082 +tp7083 +a(g826 +V\u000a +p7084 +tp7085 +a(g440 +Vmsgstr +p7086 +tp7087 +a(g826 +g999 +tp7088 +a(g89 +V"Seiten paketieren" +p7089 +tp7090 +a(g826 +V\u000a +p7091 +tp7092 +a(g826 +V\u000a +p7093 +tp7094 +a(g440 +Vmsgid +p7095 +tp7096 +a(g826 +g999 +tp7097 +a(g89 +V"Render as Docbook" +p7098 +tp7099 +a(g826 +V\u000a +p7100 +tp7101 +a(g440 +Vmsgstr +p7102 +tp7103 +a(g826 +g999 +tp7104 +a(g89 +V"Docbook ausgeben" +p7105 +tp7106 +a(g826 +V\u000a +p7107 +tp7108 +a(g826 +V\u000a +p7109 +tp7110 +a(g440 +Vmsgid +p7111 +tp7112 +a(g826 +g999 +tp7113 +a(g89 +V"Sync Pages" +p7114 +tp7115 +a(g826 +V\u000a +p7116 +tp7117 +a(g440 +Vmsgstr +p7118 +tp7119 +a(g826 +g999 +tp7120 +a(g89 +V"Seiten synchronisieren" +p7121 +tp7122 +a(g826 +V\u000a +p7123 +tp7124 +a(g826 +V\u000a +p7125 +tp7126 +a(g440 +Vmsgid +p7127 +tp7128 +a(g826 +g999 +tp7129 +a(g89 +V"Do" +p7130 +tp7131 +a(g826 +V\u000a +p7132 +tp7133 +a(g440 +Vmsgstr +p7134 +tp7135 +a(g826 +g999 +tp7136 +a(g89 +V"Los!" +p7137 +tp7138 +a(g826 +V\u000a +p7139 +tp7140 +a(g826 +V\u000a +p7141 +tp7142 +a(g440 +Vmsgid +p7143 +tp7144 +a(g826 +g999 +tp7145 +a(g89 +V"Comments" +p7146 +tp7147 +a(g826 +V\u000a +p7148 +tp7149 +a(g440 +Vmsgstr +p7150 +tp7151 +a(g826 +g999 +tp7152 +a(g89 +V"Kommentare" +p7153 +tp7154 +a(g826 +V\u000a +p7155 +tp7156 +a(g826 +V\u000a +p7157 +tp7158 +a(g440 +Vmsgid +p7159 +tp7160 +a(g826 +g999 +tp7161 +a(g89 +V"Edit (Text)" +p7162 +tp7163 +a(g826 +V\u000a +p7164 +tp7165 +a(g440 +Vmsgstr +p7166 +tp7167 +a(g826 +g999 +tp7168 +a(g89 +V"Editieren (Text)" +p7169 +tp7170 +a(g826 +V\u000a +p7171 +tp7172 +a(g826 +V\u000a +p7173 +tp7174 +a(g440 +Vmsgid +p7175 +tp7176 +a(g826 +g999 +tp7177 +a(g89 +V"Edit (GUI)" +p7178 +tp7179 +a(g826 +V\u000a +p7180 +tp7181 +a(g440 +Vmsgstr +p7182 +tp7183 +a(g826 +g999 +tp7184 +a(g89 +V"Editieren (GUI)" +p7185 +tp7186 +a(g826 +V\u000a +p7187 +tp7188 +a(g826 +V\u000a +p7189 +tp7190 +a(g440 +Vmsgid +p7191 +tp7192 +a(g826 +g999 +tp7193 +a(g89 +V"Immutable Page" +p7194 +tp7195 +a(g826 +V\u000a +p7196 +tp7197 +a(g440 +Vmsgstr +p7198 +tp7199 +a(g826 +g999 +tp7200 +a(g89 +V"Geschützte Seite" +p7201 +tp7202 +a(g826 +V\u000a +p7203 +tp7204 +a(g826 +V\u000a +p7205 +tp7206 +a(g440 +Vmsgid +p7207 +tp7208 +a(g826 +g999 +tp7209 +a(g89 +V"Remove Link" +p7210 +tp7211 +a(g826 +V\u000a +p7212 +tp7213 +a(g440 +Vmsgstr +p7214 +tp7215 +a(g826 +g999 +tp7216 +a(g89 +V"Verweis entfernen" +p7217 +tp7218 +a(g826 +V\u000a +p7219 +tp7220 +a(g826 +V\u000a +p7221 +tp7222 +a(g440 +Vmsgid +p7223 +tp7224 +a(g826 +g999 +tp7225 +a(g89 +V"Add Link" +p7226 +tp7227 +a(g826 +V\u000a +p7228 +tp7229 +a(g440 +Vmsgstr +p7230 +tp7231 +a(g826 +g999 +tp7232 +a(g89 +V"Verweis hinzufügen" +p7233 +tp7234 +a(g826 +V\u000a +p7235 +tp7236 +a(g826 +V\u000a +p7237 +tp7238 +a(g748 +V#, python-format +p7239 +tp7240 +a(g826 +V\u000a +p7241 +tp7242 +a(g440 +Vmsgid +p7243 +tp7244 +a(g826 +g999 +tp7245 +a(g89 +V"Show %s days." +p7246 +tp7247 +a(g826 +V\u000a +p7248 +tp7249 +a(g440 +Vmsgstr +p7250 +tp7251 +a(g826 +g999 +tp7252 +a(g89 +V"%s Tage anzeigen." +p7253 +tp7254 +a(g826 +V\u000a +p7255 +tp7256 +a(g826 +V\u000a +p7257 +tp7258 +a(g440 +Vmsgid +p7259 +tp7260 +a(g826 +g999 +tp7261 +a(g89 +V"Wiki Markup" +p7262 +tp7263 +a(g826 +V\u000a +p7264 +tp7265 +a(g440 +Vmsgstr +p7266 +tp7267 +a(g826 +g999 +tp7268 +a(g89 +V"Wiki Quelltext" +p7269 +tp7270 +a(g826 +V\u000a +p7271 +tp7272 +a(g826 +V\u000a +p7273 +tp7274 +a(g440 +Vmsgid +p7275 +tp7276 +a(g826 +g999 +tp7277 +a(g89 +V"DeleteCache" +p7278 +tp7279 +a(g826 +V\u000a +p7280 +tp7281 +a(g440 +Vmsgstr +p7282 +tp7283 +a(g826 +g999 +tp7284 +a(g89 +V"CacheLöschen" +p7285 +tp7286 +a(g826 +V\u000a +p7287 +tp7288 +a(g826 +V\u000a +p7289 +tp7290 +a(g748 +V#, python-format +p7291 +tp7292 +a(g826 +V\u000a +p7293 +tp7294 +a(g440 +Vmsgid +p7295 +tp7296 +a(g826 +g999 +tp7297 +a(g89 +V"(cached %s)" +p7298 +tp7299 +a(g826 +V\u000a +p7300 +tp7301 +a(g440 +Vmsgstr +p7302 +tp7303 +a(g826 +g999 +tp7304 +a(g89 +V"(gecached %s)" +p7305 +tp7306 +a(g826 +V\u000a +p7307 +tp7308 +a(g826 +V\u000a +p7309 +tp7310 +a(g440 +Vmsgid +p7311 +tp7312 +a(g826 +g999 +tp7313 +a(g89 +V"Or try one of these actions:" +p7314 +tp7315 +a(g826 +V\u000a +p7316 +tp7317 +a(g440 +Vmsgstr +p7318 +tp7319 +a(g826 +g999 +tp7320 +a(g89 +V"Oder benutze eine dieser Aktionen:" +p7321 +tp7322 +a(g826 +V\u000a +p7323 +tp7324 +a(g826 +V\u000a +p7325 +tp7326 +a(g440 +Vmsgid +p7327 +tp7328 +a(g826 +g999 +tp7329 +a(g89 +V"FrontPage" +p7330 +tp7331 +a(g826 +V\u000a +p7332 +tp7333 +a(g440 +Vmsgstr +p7334 +tp7335 +a(g826 +g999 +tp7336 +a(g89 +V"StartSeite" +p7337 +tp7338 +a(g826 +V\u000a +p7339 +tp7340 +a(g826 +V\u000a +p7341 +tp7342 +a(g440 +Vmsgid +p7343 +tp7344 +a(g826 +g999 +tp7345 +a(g89 +V"RecentChanges" +p7346 +tp7347 +a(g826 +V\u000a +p7348 +tp7349 +a(g440 +Vmsgstr +p7350 +tp7351 +a(g826 +g999 +tp7352 +a(g89 +V"AktuelleÄnderungen" +p7353 +tp7354 +a(g826 +V\u000a +p7355 +tp7356 +a(g826 +V\u000a +p7357 +tp7358 +a(g440 +Vmsgid +p7359 +tp7360 +a(g826 +g999 +tp7361 +a(g89 +V"TitleIndex" +p7362 +tp7363 +a(g826 +V\u000a +p7364 +tp7365 +a(g440 +Vmsgstr +p7366 +tp7367 +a(g826 +g999 +tp7368 +a(g89 +V"TitelIndex" +p7369 +tp7370 +a(g826 +V\u000a +p7371 +tp7372 +a(g826 +V\u000a +p7373 +tp7374 +a(g440 +Vmsgid +p7375 +tp7376 +a(g826 +g999 +tp7377 +a(g89 +V"WordIndex" +p7378 +tp7379 +a(g826 +V\u000a +p7380 +tp7381 +a(g440 +Vmsgstr +p7382 +tp7383 +a(g826 +g999 +tp7384 +a(g89 +V"WortIndex" +p7385 +tp7386 +a(g826 +V\u000a +p7387 +tp7388 +a(g826 +V\u000a +p7389 +tp7390 +a(g440 +Vmsgid +p7391 +tp7392 +a(g826 +g999 +tp7393 +a(g89 +V"FindPage" +p7394 +tp7395 +a(g826 +V\u000a +p7396 +tp7397 +a(g440 +Vmsgstr +p7398 +tp7399 +a(g826 +g999 +tp7400 +a(g89 +V"SeiteFinden" +p7401 +tp7402 +a(g826 +V\u000a +p7403 +tp7404 +a(g826 +V\u000a +p7405 +tp7406 +a(g440 +Vmsgid +p7407 +tp7408 +a(g826 +g999 +tp7409 +a(g89 +V"SiteNavigation" +p7410 +tp7411 +a(g826 +V\u000a +p7412 +tp7413 +a(g440 +Vmsgstr +p7414 +tp7415 +a(g826 +g999 +tp7416 +a(g89 +V"WegWeiser" +p7417 +tp7418 +a(g826 +V\u000a +p7419 +tp7420 +a(g826 +V\u000a +p7421 +tp7422 +a(g440 +Vmsgid +p7423 +tp7424 +a(g826 +g999 +tp7425 +a(g89 +V"HelpContents" +p7426 +tp7427 +a(g826 +V\u000a +p7428 +tp7429 +a(g440 +Vmsgstr +p7430 +tp7431 +a(g826 +g999 +tp7432 +a(g89 +V"HilfeInhalt" +p7433 +tp7434 +a(g826 +V\u000a +p7435 +tp7436 +a(g826 +V\u000a +p7437 +tp7438 +a(g440 +Vmsgid +p7439 +tp7440 +a(g826 +g999 +tp7441 +a(g89 +V"HelpOnFormatting" +p7442 +tp7443 +a(g826 +V\u000a +p7444 +tp7445 +a(g440 +Vmsgstr +p7446 +tp7447 +a(g826 +g999 +tp7448 +a(g89 +V"HilfeZumFormatieren" +p7449 +tp7450 +a(g826 +V\u000a +p7451 +tp7452 +a(g826 +V\u000a +p7453 +tp7454 +a(g440 +Vmsgid +p7455 +tp7456 +a(g826 +g999 +tp7457 +a(g89 +V"UserPreferences" +p7458 +tp7459 +a(g826 +V\u000a +p7460 +tp7461 +a(g440 +Vmsgstr +p7462 +tp7463 +a(g826 +g999 +tp7464 +a(g89 +V"BenutzerEinstellungen" +p7465 +tp7466 +a(g826 +V\u000a +p7467 +tp7468 +a(g826 +V\u000a +p7469 +tp7470 +a(g440 +Vmsgid +p7471 +tp7472 +a(g826 +g999 +tp7473 +a(g89 +V"WikiLicense" +p7474 +tp7475 +a(g826 +V\u000a +p7476 +tp7477 +a(g440 +Vmsgstr +p7478 +tp7479 +a(g826 +g999 +tp7480 +a(g89 +V"WikiLizenz" +p7481 +tp7482 +a(g826 +V\u000a +p7483 +tp7484 +a(g826 +V\u000a +p7485 +tp7486 +a(g440 +Vmsgid +p7487 +tp7488 +a(g826 +g999 +tp7489 +a(g89 +V"MissingPage" +p7490 +tp7491 +a(g826 +V\u000a +p7492 +tp7493 +a(g440 +Vmsgstr +p7494 +tp7495 +a(g826 +g999 +tp7496 +a(g89 +V"FehlendeSeite" +p7497 +tp7498 +a(g826 +V\u000a +p7499 +tp7500 +a(g826 +V\u000a +p7501 +tp7502 +a(g440 +Vmsgid +p7503 +tp7504 +a(g826 +g999 +tp7505 +a(g89 +V"MissingHomePage" +p7506 +tp7507 +a(g826 +V\u000a +p7508 +tp7509 +a(g440 +Vmsgstr +p7510 +tp7511 +a(g826 +g999 +tp7512 +a(g89 +V"FehlendePersönlicheSeite" +p7513 +tp7514 +a(g826 +V\u000a +p7515 +tp7516 +a(g826 +V\u000a +p7517 +tp7518 +a(g440 +Vmsgid +p7519 +tp7520 +a(g826 +g999 +tp7521 +a(g89 +V"Mon" +p7522 +tp7523 +a(g826 +V\u000a +p7524 +tp7525 +a(g440 +Vmsgstr +p7526 +tp7527 +a(g826 +g999 +tp7528 +a(g89 +V"Mo" +p7529 +tp7530 +a(g826 +V\u000a +p7531 +tp7532 +a(g826 +V\u000a +p7533 +tp7534 +a(g440 +Vmsgid +p7535 +tp7536 +a(g826 +g999 +tp7537 +a(g89 +V"Tue" +p7538 +tp7539 +a(g826 +V\u000a +p7540 +tp7541 +a(g440 +Vmsgstr +p7542 +tp7543 +a(g826 +g999 +tp7544 +a(g89 +V"Di" +p7545 +tp7546 +a(g826 +V\u000a +p7547 +tp7548 +a(g826 +V\u000a +p7549 +tp7550 +a(g440 +Vmsgid +p7551 +tp7552 +a(g826 +g999 +tp7553 +a(g89 +V"Wed" +p7554 +tp7555 +a(g826 +V\u000a +p7556 +tp7557 +a(g440 +Vmsgstr +p7558 +tp7559 +a(g826 +g999 +tp7560 +a(g89 +V"Mi" +p7561 +tp7562 +a(g826 +V\u000a +p7563 +tp7564 +a(g826 +V\u000a +p7565 +tp7566 +a(g440 +Vmsgid +p7567 +tp7568 +a(g826 +g999 +tp7569 +a(g89 +V"Thu" +p7570 +tp7571 +a(g826 +V\u000a +p7572 +tp7573 +a(g440 +Vmsgstr +p7574 +tp7575 +a(g826 +g999 +tp7576 +a(g89 +V"Do" +p7577 +tp7578 +a(g826 +V\u000a +p7579 +tp7580 +a(g826 +V\u000a +p7581 +tp7582 +a(g440 +Vmsgid +p7583 +tp7584 +a(g826 +g999 +tp7585 +a(g89 +V"Fri" +p7586 +tp7587 +a(g826 +V\u000a +p7588 +tp7589 +a(g440 +Vmsgstr +p7590 +tp7591 +a(g826 +g999 +tp7592 +a(g89 +V"Fr" +p7593 +tp7594 +a(g826 +V\u000a +p7595 +tp7596 +a(g826 +V\u000a +p7597 +tp7598 +a(g440 +Vmsgid +p7599 +tp7600 +a(g826 +g999 +tp7601 +a(g89 +V"Sat" +p7602 +tp7603 +a(g826 +V\u000a +p7604 +tp7605 +a(g440 +Vmsgstr +p7606 +tp7607 +a(g826 +g999 +tp7608 +a(g89 +V"Sa" +p7609 +tp7610 +a(g826 +V\u000a +p7611 +tp7612 +a(g826 +V\u000a +p7613 +tp7614 +a(g440 +Vmsgid +p7615 +tp7616 +a(g826 +g999 +tp7617 +a(g89 +V"Sun" +p7618 +tp7619 +a(g826 +V\u000a +p7620 +tp7621 +a(g440 +Vmsgstr +p7622 +tp7623 +a(g826 +g999 +tp7624 +a(g89 +V"So" +p7625 +tp7626 +a(g826 +V\u000a +p7627 +tp7628 +a(g826 +V\u000a +p7629 +tp7630 +a(g440 +Vmsgid +p7631 +tp7632 +a(g826 +g999 +tp7633 +a(g89 +V"AttachFile" +p7634 +tp7635 +a(g826 +V\u000a +p7636 +tp7637 +a(g440 +Vmsgstr +p7638 +tp7639 +a(g826 +g999 +tp7640 +a(g89 +V"DateiAnhänge" +p7641 +tp7642 +a(g826 +V\u000a +p7643 +tp7644 +a(g826 +V\u000a +p7645 +tp7646 +a(g440 +Vmsgid +p7647 +tp7648 +a(g826 +g999 +tp7649 +a(g89 +V"DeletePage" +p7650 +tp7651 +a(g826 +V\u000a +p7652 +tp7653 +a(g440 +Vmsgstr +p7654 +tp7655 +a(g826 +g999 +tp7656 +a(g89 +V"SeiteLöschen" +p7657 +tp7658 +a(g826 +V\u000a +p7659 +tp7660 +a(g826 +V\u000a +p7661 +tp7662 +a(g440 +Vmsgid +p7663 +tp7664 +a(g826 +g999 +tp7665 +a(g89 +V"LikePages" +p7666 +tp7667 +a(g826 +V\u000a +p7668 +tp7669 +a(g440 +Vmsgstr +p7670 +tp7671 +a(g826 +g999 +tp7672 +a(g89 +V"ÄhnlicheSeiten" +p7673 +tp7674 +a(g826 +V\u000a +p7675 +tp7676 +a(g826 +V\u000a +p7677 +tp7678 +a(g440 +Vmsgid +p7679 +tp7680 +a(g826 +g999 +tp7681 +a(g89 +V"LocalSiteMap" +p7682 +tp7683 +a(g826 +V\u000a +p7684 +tp7685 +a(g440 +Vmsgstr +p7686 +tp7687 +a(g826 +g999 +tp7688 +a(g89 +V"ÜbersichtsKarte" +p7689 +tp7690 +a(g826 +V\u000a +p7691 +tp7692 +a(g826 +V\u000a +p7693 +tp7694 +a(g440 +Vmsgid +p7695 +tp7696 +a(g826 +g999 +tp7697 +a(g89 +V"RenamePage" +p7698 +tp7699 +a(g826 +V\u000a +p7700 +tp7701 +a(g440 +Vmsgstr +p7702 +tp7703 +a(g826 +g999 +tp7704 +a(g89 +V"SeiteUmbenennen" +p7705 +tp7706 +a(g826 +V\u000a +p7707 +tp7708 +a(g826 +V\u000a +p7709 +tp7710 +a(g440 +Vmsgid +p7711 +tp7712 +a(g826 +g999 +tp7713 +a(g89 +V"SpellCheck" +p7714 +tp7715 +a(g826 +V\u000a +p7716 +tp7717 +a(g440 +Vmsgstr +p7718 +tp7719 +a(g826 +g999 +tp7720 +a(g89 +V"RechtSchreibung" +p7721 +tp7722 +a(g826 +V\u000a +p7723 +tp7724 +a(g826 +V\u000a +p7725 +tp7726 +a(g748 +V#, python-format +p7727 +tp7728 +a(g826 +V\u000a +p7729 +tp7730 +a(g440 +Vmsgid +p7731 +tp7732 +a(g826 +g999 +tp7733 +a(g89 +V"Unknown action %(action_name)s." +p7734 +tp7735 +a(g826 +V\u000a +p7736 +tp7737 +a(g440 +Vmsgstr +p7738 +tp7739 +a(g826 +g999 +tp7740 +a(g89 +V"Unbekannte Aktion %(action_name)s." +p7741 +tp7742 +a(g826 +V\u000a +p7743 +tp7744 +a(g826 +V\u000a +p7745 +tp7746 +a(g748 +V#, python-format +p7747 +tp7748 +a(g826 +V\u000a +p7749 +tp7750 +a(g440 +Vmsgid +p7751 +tp7752 +a(g826 +g999 +tp7753 +a(g89 +V"You are not allowed to do %(action_name)s on this page." +p7754 +tp7755 +a(g826 +V\u000a +p7756 +tp7757 +a(g440 +Vmsgstr +p7758 +tp7759 +a(g826 +g999 +tp7760 +a(g89 +V"Sie dürfen die Aktion %(action_name)s auf dieser Seite nicht benutzen!" +p7761 +tp7762 +a(g826 +V\u000a +p7763 +tp7764 +a(g826 +V\u000a +p7765 +tp7766 +a(g440 +Vmsgid +p7767 +tp7768 +a(g826 +g999 +tp7769 +a(g89 +V"Login and try again." +p7770 +tp7771 +a(g826 +V\u000a +p7772 +tp7773 +a(g440 +Vmsgstr +p7774 +tp7775 +a(g826 +g999 +tp7776 +a(g89 +V"Melden Sie sich an und probieren Sie es noch einmal." +p7777 +tp7778 +a(g826 +V\u000a +p7779 +tp7780 +a(g826 +V\u000a +p7781 +tp7782 +a(g440 +Vmsgid +p7783 +tp7784 +a(g826 +g999 +tp7785 +a(g89 +V"Charts are not available!" +p7786 +tp7787 +a(g826 +V\u000a +p7788 +tp7789 +a(g440 +Vmsgstr +p7790 +tp7791 +a(g826 +g999 +tp7792 +a(g89 +V"Die Diagrammoption ist nicht verfügbar!" +p7793 +tp7794 +a(g826 +V\u000a +p7795 +tp7796 +a(g826 +V\u000a +p7797 +tp7798 +a(g440 +Vmsgid +p7799 +tp7800 +a(g826 +g999 +tp7801 +a(g89 +V"Page Size Distribution" +p7802 +tp7803 +a(g826 +V\u000a +p7804 +tp7805 +a(g440 +Vmsgstr +p7806 +tp7807 +a(g826 +g999 +tp7808 +a(g89 +V"Verteilung der Seitengrößen" +p7809 +tp7810 +a(g826 +V\u000a +p7811 +tp7812 +a(g826 +V\u000a +p7813 +tp7814 +a(g440 +Vmsgid +p7815 +tp7816 +a(g826 +g999 +tp7817 +a(g89 +V"page size upper bound [bytes]" +p7818 +tp7819 +a(g826 +V\u000a +p7820 +tp7821 +a(g440 +Vmsgstr +p7822 +tp7823 +a(g826 +g999 +tp7824 +a(g89 +V"Obere Grenze der Seitengröße [bytes]" +p7825 +tp7826 +a(g826 +V\u000a +p7827 +tp7828 +a(g826 +V\u000a +p7829 +tp7830 +a(g440 +Vmsgid +p7831 +tp7832 +a(g826 +g999 +tp7833 +a(g89 +V"# of pages of this size" +p7834 +tp7835 +a(g826 +V\u000a +p7836 +tp7837 +a(g440 +Vmsgstr +p7838 +tp7839 +a(g826 +g999 +tp7840 +a(g89 +V"Anzahl der Seiten in dieser Größenklasse" +p7841 +tp7842 +a(g826 +V\u000a +p7843 +tp7844 +a(g826 +V\u000a +p7845 +tp7846 +a(g440 +Vmsgid +p7847 +tp7848 +a(g826 +g999 +tp7849 +a(g89 +V"User agent" +p7850 +tp7851 +a(g826 +V\u000a +p7852 +tp7853 +a(g440 +Vmsgstr +p7854 +tp7855 +a(g826 +g999 +tp7856 +a(g89 +V"Browsertyp" +p7857 +tp7858 +a(g826 +V\u000a +p7859 +tp7860 +a(g826 +V\u000a +p7861 +tp7862 +a(g440 +Vmsgid +p7863 +tp7864 +a(g826 +g999 +tp7865 +a(g89 +V"Others" +p7866 +tp7867 +a(g826 +V\u000a +p7868 +tp7869 +a(g440 +Vmsgstr +p7870 +tp7871 +a(g826 +g999 +tp7872 +a(g89 +V"Sonstige" +p7873 +tp7874 +a(g826 +V\u000a +p7875 +tp7876 +a(g826 +V\u000a +p7877 +tp7878 +a(g440 +Vmsgid +p7879 +tp7880 +a(g826 +g999 +tp7881 +a(g89 +V"Distribution of User-Agent Types" +p7882 +tp7883 +a(g826 +V\u000a +p7884 +tp7885 +a(g440 +Vmsgstr +p7886 +tp7887 +a(g826 +g999 +tp7888 +a(g89 +V"Verteilung der Zugriffe auf Browsertypen" +p7889 +tp7890 +a(g826 +V\u000a +p7891 +tp7892 +a(g826 +V\u000a +p7893 +tp7894 +a(g440 +Vmsgid +p7895 +tp7896 +a(g826 +g999 +tp7897 +a(g89 +V"Views/day" +p7898 +tp7899 +a(g826 +V\u000a +p7900 +tp7901 +a(g440 +Vmsgstr +p7902 +tp7903 +a(g826 +g999 +tp7904 +a(g89 +V"Lesezugriffe/Tag" +p7905 +tp7906 +a(g826 +V\u000a +p7907 +tp7908 +a(g826 +V\u000a +p7909 +tp7910 +a(g440 +Vmsgid +p7911 +tp7912 +a(g826 +g999 +tp7913 +a(g89 +V"Edits/day" +p7914 +tp7915 +a(g826 +V\u000a +p7916 +tp7917 +a(g440 +Vmsgstr +p7918 +tp7919 +a(g826 +g999 +tp7920 +a(g89 +V"Schreibzugriffe/Tag" +p7921 +tp7922 +a(g826 +V\u000a +p7923 +tp7924 +a(g826 +V\u000a +p7925 +tp7926 +a(g440 +Vmsgid +p7927 +tp7928 +a(g826 +g999 +tp7929 +a(g89 +V"Page hits and edits" +p7930 +tp7931 +a(g826 +V\u000a +p7932 +tp7933 +a(g440 +Vmsgstr +p7934 +tp7935 +a(g826 +g999 +tp7936 +a(g89 +V"Seitenzugriffe und Änderungen" +p7937 +tp7938 +a(g826 +V\u000a +p7939 +tp7940 +a(g826 +V\u000a +p7941 +tp7942 +a(g748 +V#, python-format +p7943 +tp7944 +a(g826 +V\u000a +p7945 +tp7946 +a(g440 +Vmsgid +p7947 +tp7948 +a(g826 +g999 +tp7949 +a(g89 +V"%(chart_title)s for %(filterpage)s" +p7950 +tp7951 +a(g826 +V\u000a +p7952 +tp7953 +a(g440 +Vmsgstr +p7954 +tp7955 +a(g826 +g999 +tp7956 +a(g89 +V"%(chart_title)s für %(filterpage)s" +p7957 +tp7958 +a(g826 +V\u000a +p7959 +tp7960 +a(g826 +V\u000a +p7961 +tp7962 +a(g440 +Vmsgid +p7963 +tp7964 +a(g826 +g999 +tp7965 +a(g89 +V"" +p7966 +tp7967 +a(g826 +V\u000a +p7968 +tp7969 +a(g89 +V"green=view\u005cn" +p7970 +tp7971 +a(g826 +V\u000a +p7972 +tp7973 +a(g89 +V"red=edit" +p7974 +tp7975 +a(g826 +V\u000a +p7976 +tp7977 +a(g440 +Vmsgstr +p7978 +tp7979 +a(g826 +g999 +tp7980 +a(g89 +V"" +p7981 +tp7982 +a(g826 +V\u000a +p7983 +tp7984 +a(g89 +V"grün=Anzeigen\u005cn" +p7985 +tp7986 +a(g826 +V\u000a +p7987 +tp7988 +a(g89 +V"rot=Änderungen" +p7989 +tp7990 +a(g826 +V\u000a +p7991 +tp7992 +a(g826 +V\u000a +p7993 +tp7994 +a(g440 +Vmsgid +p7995 +tp7996 +a(g826 +g999 +tp7997 +a(g89 +V"date" +p7998 +tp7999 +a(g826 +V\u000a +p8000 +tp8001 +a(g440 +Vmsgstr +p8002 +tp8003 +a(g826 +g999 +tp8004 +a(g89 +V"Datum" +p8005 +tp8006 +a(g826 +V\u000a +p8007 +tp8008 +a(g826 +V\u000a +p8009 +tp8010 +a(g440 +Vmsgid +p8011 +tp8012 +a(g826 +g999 +tp8013 +a(g89 +V"# of hits" +p8014 +tp8015 +a(g826 +V\u000a +p8016 +tp8017 +a(g440 +Vmsgstr +p8018 +tp8019 +a(g826 +g999 +tp8020 +a(g89 +V"Anzahl der Zugriffe" +p8021 +tp8022 +a(g826 +V\u000a +p8023 +tp8024 +a(g826 +V\u000a +p8025 +tp8026 +a(g440 +Vmsgid +p8027 +tp8028 +a(g826 +g999 +tp8029 +a(g89 +V"" +p8030 +tp8031 +a(g826 +V\u000a +p8032 +tp8033 +a(g89 +V" Emphasis:: [[Verbatim('')]]''italics''[[Verbatim('')]]; [[Verbatim" +p8034 +tp8035 +a(g826 +V\u000a +p8036 +tp8037 +a(g89 +V"(''')]]'''bold'''[[Verbatim(''')]]; [[Verbatim(''''')]]'''''bold " +p8038 +tp8039 +a(g826 +V\u000a +p8040 +tp8041 +a(g89 +V"italics'''''[[Verbatim(''''')]]; [[Verbatim('')]]''mixed ''[[Verbatim" +p8042 +tp8043 +a(g826 +V\u000a +p8044 +tp8045 +a(g89 +V"(''')]]'''''bold'''[[Verbatim(''')]] and italics''[[Verbatim('')]]; " +p8046 +tp8047 +a(g826 +V\u000a +p8048 +tp8049 +a(g89 +V"[[Verbatim(----)]] horizontal rule.\u005cn" +p8050 +tp8051 +a(g826 +V\u000a +p8052 +tp8053 +a(g89 +V" Headings:: [[Verbatim(=)]] Title 1 [[Verbatim(=)]]; [[Verbatim(==)]] Title " +p8054 +tp8055 +a(g826 +V\u000a +p8056 +tp8057 +a(g89 +V"2 [[Verbatim(==)]]; [[Verbatim(===)]] Title 3 [[Verbatim(===)]]; [[Verbatim" +p8058 +tp8059 +a(g826 +V\u000a +p8060 +tp8061 +a(g89 +V"(====)]] Title 4 [[Verbatim(====)]]; [[Verbatim(=====)]] Title 5 [[Verbatim" +p8062 +tp8063 +a(g826 +V\u000a +p8064 +tp8065 +a(g89 +V"(=====)]].\u005cn" +p8066 +tp8067 +a(g826 +V\u000a +p8068 +tp8069 +a(g89 +V" Lists:: space and one of: * bullets; 1., a., A., i., I. numbered items; 1." +p8070 +tp8071 +a(g826 +V\u000a +p8072 +tp8073 +a(g89 +V"#n start numbering at n; space alone indents.\u005cn" +p8074 +tp8075 +a(g826 +V\u000a +p8076 +tp8077 +a(g89 +V" Links:: [[Verbatim(JoinCapitalizedWords)]]; [[Verbatim([\u005c"brackets and " +p8078 +tp8079 +a(g826 +V\u000a +p8080 +tp8081 +a(g89 +V"double quotes\u005c"])]]; url; [url]; [url label].\u005cn" +p8082 +tp8083 +a(g826 +V\u000a +p8084 +tp8085 +a(g89 +V" Tables:: || cell text |||| cell text spanning 2 columns ||; no trailing " +p8086 +tp8087 +a(g826 +V\u000a +p8088 +tp8089 +a(g89 +V"white space allowed after tables or titles.\u005cn" +p8090 +tp8091 +a(g826 +V\u000a +p8092 +tp8093 +a(g89 +V"\u005cn" +p8094 +tp8095 +a(g826 +V\u000a +p8096 +tp8097 +a(g89 +V"(!) For more help, see HelpOnEditing or SyntaxReference.\u005cn" +p8098 +tp8099 +a(g826 +V\u000a +p8100 +tp8101 +a(g440 +Vmsgstr +p8102 +tp8103 +a(g826 +g999 +tp8104 +a(g89 +V"" +p8105 +tp8106 +a(g826 +V\u000a +p8107 +tp8108 +a(g89 +V" Betonung:: [[Verbatim('')]]''kursiv''[[Verbatim('')]]; [[Verbatim" +p8109 +tp8110 +a(g826 +V\u000a +p8111 +tp8112 +a(g89 +V"(''')]]'''fett'''[[Verbatim(''')]]; [[Verbatim(''''')]]'''''fett und " +p8113 +tp8114 +a(g826 +V\u000a +p8115 +tp8116 +a(g89 +V"kursiv'''''[[Verbatim(''''')]]; [[Verbatim('')]]''gemischt ''[[Verbatim" +p8117 +tp8118 +a(g826 +V\u000a +p8119 +tp8120 +a(g89 +V"(''')]]'''''fett'''[[Verbatim(''')]] und kursiv''[[Verbatim('')]]; [[Verbatim" +p8121 +tp8122 +a(g826 +V\u000a +p8123 +tp8124 +a(g89 +V"(----)]] horizontaler Balken.\u005cn" +p8125 +tp8126 +a(g826 +V\u000a +p8127 +tp8128 +a(g89 +V" Überschriften:: [[Verbatim(=)]] Überschrift 1 [[Verbatim(=)]]; [[Verbatim" +p8129 +tp8130 +a(g826 +V\u000a +p8131 +tp8132 +a(g89 +V"(==)]] Überschrift 2 [[Verbatim(==)]]; [[Verbatim(===)]] Ü 3 [[Verbatim" +p8133 +tp8134 +a(g826 +V\u000a +p8135 +tp8136 +a(g89 +V"(===)]]; [[Verbatim(====)]] Ü 4 [[Verbatim(====)]]; [[Verbatim(=====)]] Ü " +p8137 +tp8138 +a(g826 +V\u000a +p8139 +tp8140 +a(g89 +V"5 [[Verbatim(=====)]].\u005cn" +p8141 +tp8142 +a(g826 +V\u000a +p8143 +tp8144 +a(g89 +V" Listen:: Leerzeichen und eins von: * Punkte; 1., a., A., i., I. nummerierte " +p8145 +tp8146 +a(g826 +V\u000a +p8147 +tp8148 +a(g89 +V"Punkte; 1.#n starte Nummerierung bei n; nur Leerzeichen rückt ein.\u005cn" +p8149 +tp8150 +a(g826 +V\u000a +p8151 +tp8152 +a(g89 +V" Links:: [[Verbatim(ZusammenGeschriebeneGroßeWorte)]]; [[Verbatim" +p8153 +tp8154 +a(g826 +V\u000a +p8155 +tp8156 +a(g89 +V"([\u005c"Klammern und doppelte Anführungszeichen\u005c"])]]; url; [url]; [url " +p8157 +tp8158 +a(g826 +V\u000a +p8159 +tp8160 +a(g89 +V"label].\u005cn" +p8161 +tp8162 +a(g826 +V\u000a +p8163 +tp8164 +a(g89 +V" Tabellen:: || Zellentext |||| Zellentext, 2 Zellen überspannend ||; " +p8165 +tp8166 +a(g826 +V\u000a +p8167 +tp8168 +a(g89 +V"keine anhängenden Leerzeichen nach Überschriften oder Tabellen.\u005cn" +p8169 +tp8170 +a(g826 +V\u000a +p8171 +tp8172 +a(g89 +V"\u005cn" +p8173 +tp8174 +a(g826 +V\u000a +p8175 +tp8176 +a(g89 +V"(!) Weitere Hilfe finden Sie unter HilfeZumEditieren oder SyntaxReferenz.\u005cn" +p8177 +tp8178 +a(g826 +V\u000a +p8179 +tp8180 +a(g826 +V\u000a +p8181 +tp8182 +a(g440 +Vmsgid +p8183 +tp8184 +a(g826 +g999 +tp8185 +a(g89 +V"" +p8186 +tp8187 +a(g826 +V\u000a +p8188 +tp8189 +a(g89 +g1012 +tp8190 +a(g525 +VEmphasis: +p8191 +tp8192 +a(g89 +V *italic* **bold** ``monospace``
    \u005cn" +p8193 +tp8194 +a(g826 +V\u000a +p8195 +tp8196 +a(g89 +V"
    \u005cn"
    +p8197
    +tp8198
    +a(g826
    +V\u000a
    +p8199
    +tp8200
    +a(g89
    +g1012
    +tp8201
    +a(g525
    +VHeadings:
    +p8202
    +tp8203
    +a(g89
    +V Heading 1  Heading 2  Heading 3\u005cn"
    +p8204
    +tp8205
    +a(g826
    +V\u000a
    +p8206
    +tp8207
    +a(g89
    +V"          =========  ---------  ~~~~~~~~~\u005cn"
    +p8208
    +tp8209
    +a(g826
    +V\u000a
    +p8210
    +tp8211
    +a(g89
    +V"\u005cn"
    +p8212
    +tp8213
    +a(g826
    +V\u000a
    +p8214
    +tp8215
    +a(g89
    +V"Horizontal rule: ---- \u005cn"
    +p8216
    +tp8217
    +a(g826
    +V\u000a
    +p8218
    +tp8219
    +a(g89
    +g1012
    +tp8220
    +a(g525
    +VLinks:
    +p8221
    +tp8222
    +a(g89
    +V TrailingUnderscore_ `multi word with backticks`_ external_ \u005cn"
    +p8223
    +tp8224
    +a(g826
    +V\u000a
    +p8225
    +tp8226
    +a(g89
    +V"\u005cn"
    +p8227
    +tp8228
    +a(g826
    +V\u000a
    +p8229
    +tp8230
    +a(g89
    +V".. _external: http://external-site.net/foo/\u005cn"
    +p8231
    +tp8232
    +a(g826
    +V\u000a
    +p8233
    +tp8234
    +a(g89
    +V"\u005cn"
    +p8235
    +tp8236
    +a(g826
    +V\u000a
    +p8237
    +tp8238
    +a(g89
    +g1012
    +tp8239
    +a(g525
    +VLists:
    +p8240
    +tp8241
    +a(g89
    +V * bullets; 1., a. numbered items.\u005cn"
    +p8242
    +tp8243
    +a(g826
    +V\u000a
    +p8244
    +tp8245
    +a(g89
    +V"
    \u005cn" +p8246 +tp8247 +a(g826 +V\u000a +p8248 +tp8249 +a(g89 +V"
    \u005cn" +p8250 +tp8251 +a(g826 +V\u000a +p8252 +tp8253 +a(g89 +V"(!) For more help, see the \u005cn" +p8254 +tp8255 +a(g826 +V\u000a +p8256 +tp8257 +a(g89 +V"\u005cn" +p8258 +tp8259 +a(g826 +V\u000a +p8260 +tp8261 +a(g89 +V"reStructuredText Quick Reference\u005cn" +p8262 +tp8263 +a(g826 +V\u000a +p8264 +tp8265 +a(g89 +V".\u005cn" +p8266 +tp8267 +a(g826 +V\u000a +p8268 +tp8269 +a(g440 +Vmsgstr +p8270 +tp8271 +a(g826 +g999 +tp8272 +a(g89 +V"" +p8273 +tp8274 +a(g826 +V\u000a +p8275 +tp8276 +a(g89 +g1012 +tp8277 +a(g525 +VBetonung: +p8278 +tp8279 +a(g89 +V *kursiv* **fett** ``gleiche Zeichenbreite``
    \u005cn" +p8280 +tp8281 +a(g826 +V\u000a +p8282 +tp8283 +a(g89 +V"
    \u005cn"
    +p8284
    +tp8285
    +a(g826
    +V\u000a
    +p8286
    +tp8287
    +a(g89
    +V"Überschriften: Überschrift 1  Überschrift 2  Überschrift 3\u005cn"
    +p8288
    +tp8289
    +a(g826
    +V\u000a
    +p8290
    +tp8291
    +a(g89
    +V"               =============  -------------  ~~~~~~~~~~~~~\u005cn"
    +p8292
    +tp8293
    +a(g826
    +V\u000a
    +p8294
    +tp8295
    +a(g89
    +V"\u005cn"
    +p8296
    +tp8297
    +a(g826
    +V\u000a
    +p8298
    +tp8299
    +a(g89
    +V"Horizontale Linie: ---- \u005cn"
    +p8300
    +tp8301
    +a(g826
    +V\u000a
    +p8302
    +tp8303
    +a(g89
    +g1012
    +tp8304
    +a(g525
    +VLinks:
    +p8305
    +tp8306
    +a(g89
    +V AngehängterUnterstrich_ `mehrere Worte mit Rückwärtsapostroph`_ "
    +p8307
    +tp8308
    +a(g826
    +V\u000a
    +p8309
    +tp8310
    +a(g89
    +V"extern_ \u005cn"
    +p8311
    +tp8312
    +a(g826
    +V\u000a
    +p8313
    +tp8314
    +a(g89
    +V"\u005cn"
    +p8315
    +tp8316
    +a(g826
    +V\u000a
    +p8317
    +tp8318
    +a(g89
    +V".. _extern: http://externe-seite.de/\u005cn"
    +p8319
    +tp8320
    +a(g826
    +V\u000a
    +p8321
    +tp8322
    +a(g89
    +V"\u005cn"
    +p8323
    +tp8324
    +a(g826
    +V\u000a
    +p8325
    +tp8326
    +a(g89
    +g1012
    +tp8327
    +a(g525
    +VListen:
    +p8328
    +tp8329
    +a(g89
    +V * Punkte; 1., a. nummerierte Punkte.\u005cn"
    +p8330
    +tp8331
    +a(g826
    +V\u000a
    +p8332
    +tp8333
    +a(g89
    +V"
    \u005cn" +p8334 +tp8335 +a(g826 +V\u000a +p8336 +tp8337 +a(g89 +V"
    \u005cn" +p8338 +tp8339 +a(g826 +V\u000a +p8340 +tp8341 +a(g89 +V"(!) Für mehr Hilfe siehe die \u005cn" +p8342 +tp8343 +a(g826 +V\u000a +p8344 +tp8345 +a(g89 +V"\u005cn" +p8346 +tp8347 +a(g826 +V\u000a +p8348 +tp8349 +a(g89 +V"reStructuredText Quick Reference\u005cn" +p8350 +tp8351 +a(g826 +V\u000a +p8352 +tp8353 +a(g89 +V".\u005cn" +p8354 +tp8355 +a(g826 +V\u000a +p8356 +tp8357 +a(g826 +V\u000a +p8358 +tp8359 +a(g440 +Vmsgid +p8360 +tp8361 +a(g826 +g999 +tp8362 +a(g89 +V"UnSubscribe" +p8363 +tp8364 +a(g826 +V\u000a +p8365 +tp8366 +a(g440 +Vmsgstr +p8367 +tp8368 +a(g826 +g999 +tp8369 +a(g89 +V"Nicht abonnieren" +p8370 +tp8371 +a(g826 +V\u000a +p8372 +tp8373 +a(g826 +V\u000a +p8374 +tp8375 +a(g440 +Vmsgid +p8376 +tp8377 +a(g826 +g999 +tp8378 +a(g89 +V"Publish my email (not my wiki homepage) in author info" +p8379 +tp8380 +a(g826 +V\u000a +p8381 +tp8382 +a(g440 +Vmsgstr +p8383 +tp8384 +a(g826 +g999 +tp8385 +a(g89 +V"" +p8386 +tp8387 +a(g826 +V\u000a +p8388 +tp8389 +a(g89 +V"Veröffentliche meine E-Mail-Adresse (nicht meine Wiki-Homepage) in der " +p8390 +tp8391 +a(g826 +V\u000a +p8392 +tp8393 +a(g89 +V"Autoren-Info" +p8394 +tp8395 +a(g826 +V\u000a +p8396 +tp8397 +a(g826 +V\u000a +p8398 +tp8399 +a(g440 +Vmsgid +p8400 +tp8401 +a(g826 +g999 +tp8402 +a(g89 +V"Open editor on double click" +p8403 +tp8404 +a(g826 +V\u000a +p8405 +tp8406 +a(g440 +Vmsgstr +p8407 +tp8408 +a(g826 +g999 +tp8409 +a(g89 +V"Editor per Doppelklick öffnen" +p8410 +tp8411 +a(g826 +V\u000a +p8412 +tp8413 +a(g826 +V\u000a +p8414 +tp8415 +a(g440 +Vmsgid +p8416 +tp8417 +a(g826 +g999 +tp8418 +a(g89 +V"After login, jump to last visited page" +p8419 +tp8420 +a(g826 +V\u000a +p8421 +tp8422 +a(g440 +Vmsgstr +p8423 +tp8424 +a(g826 +g999 +tp8425 +a(g89 +V"Nach dem Anmelden zur zuletzt besuchten Seite springen" +p8426 +tp8427 +a(g826 +V\u000a +p8428 +tp8429 +a(g826 +V\u000a +p8430 +tp8431 +a(g440 +Vmsgid +p8432 +tp8433 +a(g826 +g999 +tp8434 +a(g89 +V"Show comment sections" +p8435 +tp8436 +a(g826 +V\u000a +p8437 +tp8438 +a(g440 +Vmsgstr +p8439 +tp8440 +a(g826 +g999 +tp8441 +a(g89 +V"Kommentarabschnitte anzeigen" +p8442 +tp8443 +a(g826 +V\u000a +p8444 +tp8445 +a(g826 +V\u000a +p8446 +tp8447 +a(g440 +Vmsgid +p8448 +tp8449 +a(g826 +g999 +tp8450 +a(g89 +V"Show question mark for non-existing pagelinks" +p8451 +tp8452 +a(g826 +V\u000a +p8453 +tp8454 +a(g440 +Vmsgstr +p8455 +tp8456 +a(g826 +g999 +tp8457 +a(g89 +V"Verweise auf unbekannte Seiten mit Fragezeichen markieren" +p8458 +tp8459 +a(g826 +V\u000a +p8460 +tp8461 +a(g826 +V\u000a +p8462 +tp8463 +a(g440 +Vmsgid +p8464 +tp8465 +a(g826 +g999 +tp8466 +a(g89 +V"Show page trail" +p8467 +tp8468 +a(g826 +V\u000a +p8469 +tp8470 +a(g440 +Vmsgstr +p8471 +tp8472 +a(g826 +g999 +tp8473 +a(g89 +V"Kürzlich besuchte Seiten anzeigen (Verlauf)" +p8474 +tp8475 +a(g826 +V\u000a +p8476 +tp8477 +a(g826 +V\u000a +p8478 +tp8479 +a(g440 +Vmsgid +p8480 +tp8481 +a(g826 +g999 +tp8482 +a(g89 +V"Show icon toolbar" +p8483 +tp8484 +a(g826 +V\u000a +p8485 +tp8486 +a(g440 +Vmsgstr +p8487 +tp8488 +a(g826 +g999 +tp8489 +a(g89 +V"Werkzeugleiste mit Bildsymbolen anzeigen" +p8490 +tp8491 +a(g826 +V\u000a +p8492 +tp8493 +a(g826 +V\u000a +p8494 +tp8495 +a(g440 +Vmsgid +p8496 +tp8497 +a(g826 +g999 +tp8498 +a(g89 +V"Show top/bottom links in headings" +p8499 +tp8500 +a(g826 +V\u000a +p8501 +tp8502 +a(g440 +Vmsgstr +p8503 +tp8504 +a(g826 +g999 +tp8505 +a(g89 +V"Verweise zum Anfang und Ende der Seite in Überschriften anzeigen" +p8506 +tp8507 +a(g826 +V\u000a +p8508 +tp8509 +a(g826 +V\u000a +p8510 +tp8511 +a(g440 +Vmsgid +p8512 +tp8513 +a(g826 +g999 +tp8514 +a(g89 +V"Show fancy diffs" +p8515 +tp8516 +a(g826 +V\u000a +p8517 +tp8518 +a(g440 +Vmsgstr +p8519 +tp8520 +a(g826 +g999 +tp8521 +a(g89 +V"Unterschiede farbig markiert anzeigen" +p8522 +tp8523 +a(g826 +V\u000a +p8524 +tp8525 +a(g826 +V\u000a +p8526 +tp8527 +a(g440 +Vmsgid +p8528 +tp8529 +a(g826 +g999 +tp8530 +a(g89 +V"Add spaces to displayed wiki names" +p8531 +tp8532 +a(g826 +V\u000a +p8533 +tp8534 +a(g440 +Vmsgstr +p8535 +tp8536 +a(g826 +g999 +tp8537 +a(g89 +V"Angezeigte Wikinamen mit Leerzeichen trennen" +p8538 +tp8539 +a(g826 +V\u000a +p8540 +tp8541 +a(g826 +V\u000a +p8542 +tp8543 +a(g440 +Vmsgid +p8544 +tp8545 +a(g826 +g999 +tp8546 +a(g89 +V"Remember login information" +p8547 +tp8548 +a(g826 +V\u000a +p8549 +tp8550 +a(g440 +Vmsgstr +p8551 +tp8552 +a(g826 +g999 +tp8553 +a(g89 +V"Speichere Login-Informationen" +p8554 +tp8555 +a(g826 +V\u000a +p8556 +tp8557 +a(g826 +V\u000a +p8558 +tp8559 +a(g440 +Vmsgid +p8560 +tp8561 +a(g826 +g999 +tp8562 +a(g89 +V"Subscribe to trivial changes" +p8563 +tp8564 +a(g826 +V\u000a +p8565 +tp8566 +a(g440 +Vmsgstr +p8567 +tp8568 +a(g826 +g999 +tp8569 +a(g89 +V"Triviale Änderungen abonnieren" +p8570 +tp8571 +a(g826 +V\u000a +p8572 +tp8573 +a(g826 +V\u000a +p8574 +tp8575 +a(g440 +Vmsgid +p8576 +tp8577 +a(g826 +g999 +tp8578 +a(g89 +V"Disable this account forever" +p8579 +tp8580 +a(g826 +V\u000a +p8581 +tp8582 +a(g440 +Vmsgstr +p8583 +tp8584 +a(g826 +g999 +tp8585 +a(g89 +V"Dieses Benutzerkonto für immer deaktivieren" +p8586 +tp8587 +a(g826 +V\u000a +p8588 +tp8589 +a(g826 +V\u000a +p8590 +tp8591 +a(g440 +Vmsgid +p8592 +tp8593 +a(g826 +g999 +tp8594 +a(g89 +V"(Use Firstname''''''Lastname)" +p8595 +tp8596 +a(g826 +V\u000a +p8597 +tp8598 +a(g440 +Vmsgstr +p8599 +tp8600 +a(g826 +g999 +tp8601 +a(g89 +V"(Vorname''''''Nachname verwenden)" +p8602 +tp8603 +a(g826 +V\u000a +p8604 +tp8605 +a(g826 +V\u000a +p8606 +tp8607 +a(g440 +Vmsgid +p8608 +tp8609 +a(g826 +g999 +tp8610 +a(g89 +V"Alias-Name" +p8611 +tp8612 +a(g826 +V\u000a +p8613 +tp8614 +a(g440 +Vmsgstr +p8615 +tp8616 +a(g826 +g999 +tp8617 +a(g89 +V"Alias-Name" +p8618 +tp8619 +a(g826 +V\u000a +p8620 +tp8621 +a(g826 +V\u000a +p8622 +tp8623 +a(g440 +Vmsgid +p8624 +tp8625 +a(g826 +g999 +tp8626 +a(g89 +V"Password repeat" +p8627 +tp8628 +a(g826 +V\u000a +p8629 +tp8630 +a(g440 +Vmsgstr +p8631 +tp8632 +a(g826 +g999 +tp8633 +a(g89 +V"Passwort wiederholen" +p8634 +tp8635 +a(g826 +V\u000a +p8636 +tp8637 +a(g826 +V\u000a +p8638 +tp8639 +a(g440 +Vmsgid +p8640 +tp8641 +a(g826 +g999 +tp8642 +a(g89 +V"(Only for password change or new account)" +p8643 +tp8644 +a(g826 +V\u000a +p8645 +tp8646 +a(g440 +Vmsgstr +p8647 +tp8648 +a(g826 +g999 +tp8649 +a(g89 +V"(Nur für Passwort-Änderung oder neue Benutzerkonten)" +p8650 +tp8651 +a(g826 +V\u000a +p8652 +tp8653 +a(g826 +V\u000a +p8654 +tp8655 +a(g440 +Vmsgid +p8656 +tp8657 +a(g826 +g999 +tp8658 +a(g89 +V"User CSS URL" +p8659 +tp8660 +a(g826 +V\u000a +p8661 +tp8662 +a(g440 +Vmsgstr +p8663 +tp8664 +a(g826 +g999 +tp8665 +a(g89 +V"Benutzer CSS URL" +p8666 +tp8667 +a(g826 +V\u000a +p8668 +tp8669 +a(g826 +V\u000a +p8670 +tp8671 +a(g440 +Vmsgid +p8672 +tp8673 +a(g826 +g999 +tp8674 +a(g89 +V"(Leave it empty for disabling user CSS)" +p8675 +tp8676 +a(g826 +V\u000a +p8677 +tp8678 +a(g440 +Vmsgstr +p8679 +tp8680 +a(g826 +g999 +tp8681 +a(g89 +V"Leer lassen, um benutzerdefiniertes CSS auszuschalten)" +p8682 +tp8683 +a(g826 +V\u000a +p8684 +tp8685 +a(g826 +V\u000a +p8686 +tp8687 +a(g440 +Vmsgid +p8688 +tp8689 +a(g826 +g999 +tp8690 +a(g89 +V"Editor size" +p8691 +tp8692 +a(g826 +V\u000a +p8693 +tp8694 +a(g440 +Vmsgstr +p8695 +tp8696 +a(g826 +g999 +tp8697 +a(g89 +V"Größe des Texteingabefelds" +p8698 +tp8699 +a(g826 +V\u000a +p8700 +tp8701 +a(g826 +V\u000a +p8702 +tp8703 +a(g440 +Vmsgid +p8704 +tp8705 +a(g826 +g999 +tp8706 +a(g89 +V"Do it." +p8707 +tp8708 +a(g826 +V\u000a +p8709 +tp8710 +a(g440 +Vmsgstr +p8711 +tp8712 +a(g826 +g999 +tp8713 +a(g89 +V"Ausführen" +p8714 +tp8715 +a(g826 +V\u000a +p8716 +tp8717 +a(g826 +V\u000a +p8718 +tp8719 +a(g748 +V#, python-format +p8720 +tp8721 +a(g826 +V\u000a +p8722 +tp8723 +a(g440 +Vmsgid +p8724 +tp8725 +a(g826 +g999 +tp8726 +a(g89 +V"Execute action %(actionname)s?" +p8727 +tp8728 +a(g826 +V\u000a +p8729 +tp8730 +a(g440 +Vmsgstr +p8731 +tp8732 +a(g826 +g999 +tp8733 +a(g89 +V"Aktion %(actionname)s ausführen?" +p8734 +tp8735 +a(g826 +V\u000a +p8736 +tp8737 +a(g826 +V\u000a +p8738 +tp8739 +a(g748 +V#, python-format +p8740 +tp8741 +a(g826 +V\u000a +p8742 +tp8743 +a(g440 +Vmsgid +p8744 +tp8745 +a(g826 +g999 +tp8746 +a(g89 +V"Action %(actionname)s is excluded in this wiki!" +p8747 +tp8748 +a(g826 +V\u000a +p8749 +tp8750 +a(g440 +Vmsgstr +p8751 +tp8752 +a(g826 +g999 +tp8753 +a(g89 +V"Aktion %(actionname)s ist ausgeschlossen in diesem Wiki!" +p8754 +tp8755 +a(g826 +V\u000a +p8756 +tp8757 +a(g826 +V\u000a +p8758 +tp8759 +a(g748 +V#, python-format +p8760 +tp8761 +a(g826 +V\u000a +p8762 +tp8763 +a(g440 +Vmsgid +p8764 +tp8765 +a(g826 +g999 +tp8766 +a(g89 +V"You are not allowed to use action %(actionname)s on this page!" +p8767 +tp8768 +a(g826 +V\u000a +p8769 +tp8770 +a(g440 +Vmsgstr +p8771 +tp8772 +a(g826 +g999 +tp8773 +a(g89 +V"Sie dürfen die Aktion %(actionname)s auf dieser Seite nicht benutzen!" +p8774 +tp8775 +a(g826 +V\u000a +p8776 +tp8777 +a(g826 +V\u000a +p8778 +tp8779 +a(g748 +V#, python-format +p8780 +tp8781 +a(g826 +V\u000a +p8782 +tp8783 +a(g440 +Vmsgid +p8784 +tp8785 +a(g826 +g999 +tp8786 +a(g89 +V"Please use the interactive user interface to use action %(actionname)s!" +p8787 +tp8788 +a(g826 +V\u000a +p8789 +tp8790 +a(g440 +Vmsgstr +p8791 +tp8792 +a(g826 +g999 +tp8793 +a(g89 +V"" +p8794 +tp8795 +a(g826 +V\u000a +p8796 +tp8797 +a(g89 +V"Für die Aktion %(actionname)s bitte nur die vorgesehenen Webseiten benutzen!" +p8798 +tp8799 +a(g826 +V\u000a +p8800 +tp8801 +a(g826 +V\u000a +p8802 +tp8803 +a(g440 +Vmsgid +p8804 +tp8805 +a(g826 +g999 +tp8806 +a(g89 +V"You must login to add a quicklink." +p8807 +tp8808 +a(g826 +V\u000a +p8809 +tp8810 +a(g440 +Vmsgstr +p8811 +tp8812 +a(g826 +g999 +tp8813 +a(g89 +V"Sie müssen sich anmelden, um einen Expressverweis hinzuzufügen." +p8814 +tp8815 +a(g826 +V\u000a +p8816 +tp8817 +a(g826 +V\u000a +p8818 +tp8819 +a(g440 +Vmsgid +p8820 +tp8821 +a(g826 +g999 +tp8822 +a(g89 +V"Your quicklink to this page has been removed." +p8823 +tp8824 +a(g826 +V\u000a +p8825 +tp8826 +a(g440 +Vmsgstr +p8827 +tp8828 +a(g826 +g999 +tp8829 +a(g89 +V"Ihr Expressverweis für diese Seite wurde entfernt." +p8830 +tp8831 +a(g826 +V\u000a +p8832 +tp8833 +a(g826 +V\u000a +p8834 +tp8835 +a(g440 +Vmsgid +p8836 +tp8837 +a(g826 +g999 +tp8838 +a(g89 +V"Your quicklink to this page could not be removed." +p8839 +tp8840 +a(g826 +V\u000a +p8841 +tp8842 +a(g440 +Vmsgstr +p8843 +tp8844 +a(g826 +g999 +tp8845 +a(g89 +V"Ihr Expressverweis für diese Seite konnte nicht entfernt werden." +p8846 +tp8847 +a(g826 +V\u000a +p8848 +tp8849 +a(g826 +V\u000a +p8850 +tp8851 +a(g440 +Vmsgid +p8852 +tp8853 +a(g826 +g999 +tp8854 +a(g89 +V"A quicklink to this page has been added for you." +p8855 +tp8856 +a(g826 +V\u000a +p8857 +tp8858 +a(g440 +Vmsgstr +p8859 +tp8860 +a(g826 +g999 +tp8861 +a(g89 +V"Ein Expressverweis für diese Seite wurde hinzugefügt." +p8862 +tp8863 +a(g826 +V\u000a +p8864 +tp8865 +a(g826 +V\u000a +p8866 +tp8867 +a(g440 +Vmsgid +p8868 +tp8869 +a(g826 +g999 +tp8870 +a(g89 +V"A quicklink to this page could not be added for you." +p8871 +tp8872 +a(g826 +V\u000a +p8873 +tp8874 +a(g440 +Vmsgstr +p8875 +tp8876 +a(g826 +g999 +tp8877 +a(g89 +V"Ein Expressverweis für diese Seite konnte nicht hinzugefügt werden." +p8878 +tp8879 +a(g826 +V\u000a +p8880 +tp8881 +a(g826 +V\u000a +p8882 +tp8883 +a(g440 +Vmsgid +p8884 +tp8885 +a(g826 +g999 +tp8886 +a(g89 +V"Missing password. Please enter user name and password." +p8887 +tp8888 +a(g826 +V\u000a +p8889 +tp8890 +a(g440 +Vmsgstr +p8891 +tp8892 +a(g826 +g999 +tp8893 +a(g89 +V"Fehlendes Passwort. Bitte geben Sie Benutzername und Passwort ein." +p8894 +tp8895 +a(g826 +V\u000a +p8896 +tp8897 +a(g826 +V\u000a +p8898 +tp8899 +a(g440 +Vmsgid +p8900 +tp8901 +a(g826 +g999 +tp8902 +a(g89 +V"Sorry, login failed." +p8903 +tp8904 +a(g826 +V\u000a +p8905 +tp8906 +a(g440 +Vmsgstr +p8907 +tp8908 +a(g826 +g999 +tp8909 +a(g89 +V"Login fehlgeschlagen." +p8910 +tp8911 +a(g826 +V\u000a +p8912 +tp8913 +a(g826 +V\u000a +p8914 +tp8915 +a(g748 +V#, python-format +p8916 +tp8917 +a(g826 +V\u000a +p8918 +tp8919 +a(g440 +Vmsgid +p8920 +tp8921 +a(g826 +g999 +tp8922 +a(g89 +V"[%d attachments]" +p8923 +tp8924 +a(g826 +V\u000a +p8925 +tp8926 +a(g440 +Vmsgstr +p8927 +tp8928 +a(g826 +g999 +tp8929 +a(g89 +V"[%d Anhänge]" +p8930 +tp8931 +a(g826 +V\u000a +p8932 +tp8933 +a(g826 +V\u000a +p8934 +tp8935 +a(g748 +V#, python-format +p8936 +tp8937 +a(g826 +V\u000a +p8938 +tp8939 +a(g440 +Vmsgid +p8940 +tp8941 +a(g826 +g999 +tp8942 +a(g89 +V"" +p8943 +tp8944 +a(g826 +V\u000a +p8945 +tp8946 +a(g89 +V"There are %(count)s attachment(s) stored for this " +p8947 +tp8948 +a(g826 +V\u000a +p8949 +tp8950 +a(g89 +V"page." +p8951 +tp8952 +a(g826 +V\u000a +p8953 +tp8954 +a(g440 +Vmsgstr +p8955 +tp8956 +a(g826 +g999 +tp8957 +a(g89 +V"" +p8958 +tp8959 +a(g826 +V\u000a +p8960 +tp8961 +a(g89 +V"Es sind %(count)s Anhänge für diese Seite " +p8962 +tp8963 +a(g826 +V\u000a +p8964 +tp8965 +a(g89 +V"gespeichert." +p8966 +tp8967 +a(g826 +V\u000a +p8968 +tp8969 +a(g826 +V\u000a +p8970 +tp8971 +a(g748 +V#, python-format +p8972 +tp8973 +a(g826 +V\u000a +p8974 +tp8975 +a(g440 +Vmsgid +p8976 +tp8977 +a(g826 +g999 +tp8978 +a(g89 +V"Attachment '%(target)s' already exists." +p8979 +tp8980 +a(g826 +V\u000a +p8981 +tp8982 +a(g440 +Vmsgstr +p8983 +tp8984 +a(g826 +g999 +tp8985 +a(g89 +V"Dateianhang '%(target)s' existiert bereits." +p8986 +tp8987 +a(g826 +V\u000a +p8988 +tp8989 +a(g826 +V\u000a +p8990 +tp8991 +a(g440 +Vmsgid +p8992 +tp8993 +a(g826 +g999 +tp8994 +a(g89 +V"Filename of attachment not specified!" +p8995 +tp8996 +a(g826 +V\u000a +p8997 +tp8998 +a(g440 +Vmsgstr +p8999 +tp9000 +a(g826 +g999 +tp9001 +a(g89 +V"Dateiname des Anhangs fehlt oder ist leer!" +p9002 +tp9003 +a(g826 +V\u000a +p9004 +tp9005 +a(g826 +V\u000a +p9006 +tp9007 +a(g748 +V#, python-format +p9008 +tp9009 +a(g826 +V\u000a +p9010 +tp9011 +a(g440 +Vmsgid +p9012 +tp9013 +a(g826 +g999 +tp9014 +a(g89 +V"Attachment '%(filename)s' does not exist!" +p9015 +tp9016 +a(g826 +V\u000a +p9017 +tp9018 +a(g440 +Vmsgstr +p9019 +tp9020 +a(g826 +g999 +tp9021 +a(g89 +V"Dateianhang '%(filename)s' existiert nicht!" +p9022 +tp9023 +a(g826 +V\u000a +p9024 +tp9025 +a(g826 +V\u000a +p9026 +tp9027 +a(g440 +Vmsgid +p9028 +tp9029 +a(g826 +g999 +tp9030 +a(g89 +V"" +p9031 +tp9032 +a(g826 +V\u000a +p9033 +tp9034 +a(g89 +V"To refer to attachments on a page, use '''{{{attachment:filename}}}''', \u005cn" +p9035 +tp9036 +a(g826 +V\u000a +p9037 +tp9038 +a(g89 +V"as shown below in the list of files. \u005cn" +p9039 +tp9040 +a(g826 +V\u000a +p9041 +tp9042 +a(g89 +V"Do '''NOT''' use the URL of the {{{[get]}}} link, \u005cn" +p9043 +tp9044 +a(g826 +V\u000a +p9045 +tp9046 +a(g89 +V"since this is subject to change and can break easily." +p9047 +tp9048 +a(g826 +V\u000a +p9049 +tp9050 +a(g440 +Vmsgstr +p9051 +tp9052 +a(g826 +g999 +tp9053 +a(g89 +V"" +p9054 +tp9055 +a(g826 +V\u000a +p9056 +tp9057 +a(g89 +V"Um Dateianhänge in eine Seite einzufügen sollte unbedingt eine Angabe \u005cn" +p9058 +tp9059 +a(g826 +V\u000a +p9060 +tp9061 +a(g89 +V"wie '''{{{attachment:dateiname}}}''' benutzt werden, \u005cn" +p9062 +tp9063 +a(g826 +V\u000a +p9064 +tp9065 +a(g89 +V"wie sie auch in der folgenden Liste der Dateien erscheint. \u005cn" +p9066 +tp9067 +a(g826 +V\u000a +p9068 +tp9069 +a(g89 +V"Es sollte '''niemals''' die URL des Verweises (\u005c"laden\u005c") kopiert werden, \u005cn" +p9070 +tp9071 +a(g826 +V\u000a +p9072 +tp9073 +a(g89 +V"da sich diese jederzeit ändern kann und damit der Verweis auf die Datei " +p9074 +tp9075 +a(g826 +V\u000a +p9076 +tp9077 +a(g89 +V"brechen würde." +p9078 +tp9079 +a(g826 +V\u000a +p9080 +tp9081 +a(g826 +V\u000a +p9082 +tp9083 +a(g440 +Vmsgid +p9084 +tp9085 +a(g826 +g999 +tp9086 +a(g89 +V"del" +p9087 +tp9088 +a(g826 +V\u000a +p9089 +tp9090 +a(g440 +Vmsgstr +p9091 +tp9092 +a(g826 +g999 +tp9093 +a(g89 +V"löschen" +p9094 +tp9095 +a(g826 +V\u000a +p9096 +tp9097 +a(g826 +V\u000a +p9098 +tp9099 +a(g440 +Vmsgid +p9100 +tp9101 +a(g826 +g999 +tp9102 +a(g89 +V"move" +p9103 +tp9104 +a(g826 +V\u000a +p9105 +tp9106 +a(g440 +Vmsgstr +p9107 +tp9108 +a(g826 +g999 +tp9109 +a(g89 +V"verschieben" +p9110 +tp9111 +a(g826 +V\u000a +p9112 +tp9113 +a(g826 +V\u000a +p9114 +tp9115 +a(g440 +Vmsgid +p9116 +tp9117 +a(g826 +g999 +tp9118 +a(g89 +V"get" +p9119 +tp9120 +a(g826 +V\u000a +p9121 +tp9122 +a(g440 +Vmsgstr +p9123 +tp9124 +a(g826 +g999 +tp9125 +a(g89 +V"laden" +p9126 +tp9127 +a(g826 +V\u000a +p9128 +tp9129 +a(g826 +V\u000a +p9130 +tp9131 +a(g440 +Vmsgid +p9132 +tp9133 +a(g826 +g999 +tp9134 +a(g89 +V"view" +p9135 +tp9136 +a(g826 +V\u000a +p9137 +tp9138 +a(g440 +Vmsgstr +p9139 +tp9140 +a(g826 +g999 +tp9141 +a(g89 +V"anzeigen" +p9142 +tp9143 +a(g826 +V\u000a +p9144 +tp9145 +a(g826 +V\u000a +p9146 +tp9147 +a(g440 +Vmsgid +p9148 +tp9149 +a(g826 +g999 +tp9150 +a(g89 +V"unzip" +p9151 +tp9152 +a(g826 +V\u000a +p9153 +tp9154 +a(g440 +Vmsgstr +p9155 +tp9156 +a(g826 +g999 +tp9157 +a(g89 +V"auspacken" +p9158 +tp9159 +a(g826 +V\u000a +p9160 +tp9161 +a(g826 +V\u000a +p9162 +tp9163 +a(g440 +Vmsgid +p9164 +tp9165 +a(g826 +g999 +tp9166 +a(g89 +V"install" +p9167 +tp9168 +a(g826 +V\u000a +p9169 +tp9170 +a(g440 +Vmsgstr +p9171 +tp9172 +a(g826 +g999 +tp9173 +a(g89 +V"installieren" +p9174 +tp9175 +a(g826 +V\u000a +p9176 +tp9177 +a(g826 +V\u000a +p9178 +tp9179 +a(g748 +V#, python-format +p9180 +tp9181 +a(g826 +V\u000a +p9182 +tp9183 +a(g440 +Vmsgid +p9184 +tp9185 +a(g826 +g999 +tp9186 +a(g89 +V"No attachments stored for %(pagename)s" +p9187 +tp9188 +a(g826 +V\u000a +p9189 +tp9190 +a(g440 +Vmsgstr +p9191 +tp9192 +a(g826 +g999 +tp9193 +a(g89 +V"Es wurden keine Anhänge für die Seite %(pagename)s gespeichert." +p9194 +tp9195 +a(g826 +V\u000a +p9196 +tp9197 +a(g826 +V\u000a +p9198 +tp9199 +a(g440 +Vmsgid +p9200 +tp9201 +a(g826 +g999 +tp9202 +a(g89 +V"Edit drawing" +p9203 +tp9204 +a(g826 +V\u000a +p9205 +tp9206 +a(g440 +Vmsgstr +p9207 +tp9208 +a(g826 +g999 +tp9209 +a(g89 +V"Zeichnung editieren" +p9210 +tp9211 +a(g826 +V\u000a +p9212 +tp9213 +a(g826 +V\u000a +p9214 +tp9215 +a(g440 +Vmsgid +p9216 +tp9217 +a(g826 +g999 +tp9218 +a(g89 +V"New Attachment" +p9219 +tp9220 +a(g826 +V\u000a +p9221 +tp9222 +a(g440 +Vmsgstr +p9223 +tp9224 +a(g826 +g999 +tp9225 +a(g89 +V"Neuer Dateianhang" +p9226 +tp9227 +a(g826 +V\u000a +p9228 +tp9229 +a(g826 +V\u000a +p9230 +tp9231 +a(g440 +Vmsgid +p9232 +tp9233 +a(g826 +g999 +tp9234 +a(g89 +V"" +p9235 +tp9236 +a(g826 +V\u000a +p9237 +tp9238 +a(g89 +V"An upload will never overwrite an existing file. If there is a name\u005cn" +p9239 +tp9240 +a(g826 +V\u000a +p9241 +tp9242 +a(g89 +V"conflict, you have to rename the file that you want to upload.\u005cn" +p9243 +tp9244 +a(g826 +V\u000a +p9245 +tp9246 +a(g89 +V"Otherwise, if \u005c"Rename to\u005c" is left blank, the original filename will be " +p9247 +tp9248 +a(g826 +V\u000a +p9249 +tp9250 +a(g89 +V"used." +p9251 +tp9252 +a(g826 +V\u000a +p9253 +tp9254 +a(g440 +Vmsgstr +p9255 +tp9256 +a(g826 +g999 +tp9257 +a(g89 +V"" +p9258 +tp9259 +a(g826 +V\u000a +p9260 +tp9261 +a(g89 +V"Ein neuer Anhang überschreibt niemals einen bereits vorhandenen gleichen " +p9262 +tp9263 +a(g826 +V\u000a +p9264 +tp9265 +a(g89 +V"Namens.\u005cn" +p9266 +tp9267 +a(g826 +V\u000a +p9268 +tp9269 +a(g89 +V"Besteht ein Namenskonflikt, muss dem neuen Anhang ein alternativer Name " +p9270 +tp9271 +a(g826 +V\u000a +p9272 +tp9273 +a(g89 +V"zugewiesen werden.\u005cn" +p9274 +tp9275 +a(g826 +V\u000a +p9276 +tp9277 +a(g89 +V"Ansonsten kann das Feld \u005c"Umbenennen auf\u005c" leer bleiben und es wird der " +p9278 +tp9279 +a(g826 +V\u000a +p9280 +tp9281 +a(g89 +V"originale Dateiname benutzt." +p9282 +tp9283 +a(g826 +V\u000a +p9284 +tp9285 +a(g826 +V\u000a +p9286 +tp9287 +a(g440 +Vmsgid +p9288 +tp9289 +a(g826 +g999 +tp9290 +a(g89 +V"File to upload" +p9291 +tp9292 +a(g826 +V\u000a +p9293 +tp9294 +a(g440 +Vmsgstr +p9295 +tp9296 +a(g826 +g999 +tp9297 +a(g89 +V"Neuer Dateianhang" +p9298 +tp9299 +a(g826 +V\u000a +p9300 +tp9301 +a(g826 +V\u000a +p9302 +tp9303 +a(g440 +Vmsgid +p9304 +tp9305 +a(g826 +g999 +tp9306 +a(g89 +V"Rename to" +p9307 +tp9308 +a(g826 +V\u000a +p9309 +tp9310 +a(g440 +Vmsgstr +p9311 +tp9312 +a(g826 +g999 +tp9313 +a(g89 +V"Umbenennen auf" +p9314 +tp9315 +a(g826 +V\u000a +p9316 +tp9317 +a(g826 +V\u000a +p9318 +tp9319 +a(g440 +Vmsgid +p9320 +tp9321 +a(g826 +g999 +tp9322 +a(g89 +V"Overwrite existing attachment of same name" +p9323 +tp9324 +a(g826 +V\u000a +p9325 +tp9326 +a(g440 +Vmsgstr +p9327 +tp9328 +a(g826 +g999 +tp9329 +a(g89 +V"Anhänge gleichen Namens überschreiben" +p9330 +tp9331 +a(g826 +V\u000a +p9332 +tp9333 +a(g826 +V\u000a +p9334 +tp9335 +a(g440 +Vmsgid +p9336 +tp9337 +a(g826 +g999 +tp9338 +a(g89 +V"Upload" +p9339 +tp9340 +a(g826 +V\u000a +p9341 +tp9342 +a(g440 +Vmsgstr +p9343 +tp9344 +a(g826 +g999 +tp9345 +a(g89 +V"Datei hochladen" +p9346 +tp9347 +a(g826 +V\u000a +p9348 +tp9349 +a(g826 +V\u000a +p9350 +tp9351 +a(g440 +Vmsgid +p9352 +tp9353 +a(g826 +g999 +tp9354 +a(g89 +V"Attached Files" +p9355 +tp9356 +a(g826 +V\u000a +p9357 +tp9358 +a(g440 +Vmsgstr +p9359 +tp9360 +a(g826 +g999 +tp9361 +a(g89 +V"Gespeicherte Dateianhänge" +p9362 +tp9363 +a(g826 +V\u000a +p9364 +tp9365 +a(g826 +V\u000a +p9366 +tp9367 +a(g440 +Vmsgid +p9368 +tp9369 +a(g826 +g999 +tp9370 +a(g89 +V"You are not allowed to attach a file to this page." +p9371 +tp9372 +a(g826 +V\u000a +p9373 +tp9374 +a(g440 +Vmsgstr +p9375 +tp9376 +a(g826 +g999 +tp9377 +a(g89 +V"Sie dürfen keine Anhänge an diese Seite anhängen!" +p9378 +tp9379 +a(g826 +V\u000a +p9380 +tp9381 +a(g826 +V\u000a +p9382 +tp9383 +a(g440 +Vmsgid +p9384 +tp9385 +a(g826 +g999 +tp9386 +a(g89 +V"File attachments are not allowed in this wiki!" +p9387 +tp9388 +a(g826 +V\u000a +p9389 +tp9390 +a(g440 +Vmsgstr +p9391 +tp9392 +a(g826 +g999 +tp9393 +a(g89 +V"Dateianhänge sind in diesem Wiki nicht erlaubt!" +p9394 +tp9395 +a(g826 +V\u000a +p9396 +tp9397 +a(g826 +V\u000a +p9398 +tp9399 +a(g440 +Vmsgid +p9400 +tp9401 +a(g826 +g999 +tp9402 +a(g89 +V"You are not allowed to save a drawing on this page." +p9403 +tp9404 +a(g826 +V\u000a +p9405 +tp9406 +a(g440 +Vmsgstr +p9407 +tp9408 +a(g826 +g999 +tp9409 +a(g89 +V"Sie dürfen auf dieser Seite keine Zeichnung speichern." +p9410 +tp9411 +a(g826 +V\u000a +p9412 +tp9413 +a(g826 +V\u000a +p9414 +tp9415 +a(g440 +Vmsgid +p9416 +tp9417 +a(g826 +g999 +tp9418 +a(g89 +V"" +p9419 +tp9420 +a(g826 +V\u000a +p9421 +tp9422 +a(g89 +V"No file content. Delete non ASCII characters from the file name and try " +p9423 +tp9424 +a(g826 +V\u000a +p9425 +tp9426 +a(g89 +V"again." +p9427 +tp9428 +a(g826 +V\u000a +p9429 +tp9430 +a(g440 +Vmsgstr +p9431 +tp9432 +a(g826 +g999 +tp9433 +a(g89 +V"" +p9434 +tp9435 +a(g826 +V\u000a +p9436 +tp9437 +a(g89 +V"Kein Dateiinhalt. Löschen Sie nicht-ASCII-Zeichen aus dem Dateinamen und " +p9438 +tp9439 +a(g826 +V\u000a +p9440 +tp9441 +a(g89 +V"probieren Sie es noch einmal." +p9442 +tp9443 +a(g826 +V\u000a +p9444 +tp9445 +a(g826 +V\u000a +p9446 +tp9447 +a(g440 +Vmsgid +p9448 +tp9449 +a(g826 +g999 +tp9450 +a(g89 +V"You are not allowed to delete attachments on this page." +p9451 +tp9452 +a(g826 +V\u000a +p9453 +tp9454 +a(g440 +Vmsgstr +p9455 +tp9456 +a(g826 +g999 +tp9457 +a(g89 +V"Sie dürfen keine Anhänge dieser Seite löschen!" +p9458 +tp9459 +a(g826 +V\u000a +p9460 +tp9461 +a(g826 +V\u000a +p9462 +tp9463 +a(g440 +Vmsgid +p9464 +tp9465 +a(g826 +g999 +tp9466 +a(g89 +V"You are not allowed to move attachments from this page." +p9467 +tp9468 +a(g826 +V\u000a +p9469 +tp9470 +a(g440 +Vmsgstr +p9471 +tp9472 +a(g826 +g999 +tp9473 +a(g89 +V"Sie dürfen keine Anhänge von dieser Seite verschieben." +p9474 +tp9475 +a(g826 +V\u000a +p9476 +tp9477 +a(g826 +V\u000a +p9478 +tp9479 +a(g440 +Vmsgid +p9480 +tp9481 +a(g826 +g999 +tp9482 +a(g89 +V"Move aborted!" +p9483 +tp9484 +a(g826 +V\u000a +p9485 +tp9486 +a(g440 +Vmsgstr +p9487 +tp9488 +a(g826 +g999 +tp9489 +a(g89 +V"Verschieben abgebrochen!" +p9490 +tp9491 +a(g826 +V\u000a +p9492 +tp9493 +a(g826 +V\u000a +p9494 +tp9495 +a(g440 +Vmsgid +p9496 +tp9497 +a(g826 +g999 +tp9498 +a(g89 +V"Please use the interactive user interface to move attachments!" +p9499 +tp9500 +a(g826 +V\u000a +p9501 +tp9502 +a(g440 +Vmsgstr +p9503 +tp9504 +a(g826 +g999 +tp9505 +a(g89 +V"" +p9506 +tp9507 +a(g826 +V\u000a +p9508 +tp9509 +a(g89 +V"Für die das Verschieben von Anhängen bitte nur die vorgesehenen Webseiten " +p9510 +tp9511 +a(g826 +V\u000a +p9512 +tp9513 +a(g89 +V"benutzen!" +p9514 +tp9515 +a(g826 +V\u000a +p9516 +tp9517 +a(g826 +V\u000a +p9518 +tp9519 +a(g440 +Vmsgid +p9520 +tp9521 +a(g826 +g999 +tp9522 +a(g89 +V"You are not allowed to get attachments from this page." +p9523 +tp9524 +a(g826 +V\u000a +p9525 +tp9526 +a(g440 +Vmsgstr +p9527 +tp9528 +a(g826 +g999 +tp9529 +a(g89 +V"Sie dürfen auf keine Anhänge dieser Seite zugreifen." +p9530 +tp9531 +a(g826 +V\u000a +p9532 +tp9533 +a(g826 +V\u000a +p9534 +tp9535 +a(g440 +Vmsgid +p9536 +tp9537 +a(g826 +g999 +tp9538 +a(g89 +V"You are not allowed to unzip attachments of this page." +p9539 +tp9540 +a(g826 +V\u000a +p9541 +tp9542 +a(g440 +Vmsgstr +p9543 +tp9544 +a(g826 +g999 +tp9545 +a(g89 +V"Sie dürfen keine Anhänge dieser Seite auspacken." +p9546 +tp9547 +a(g826 +V\u000a +p9548 +tp9549 +a(g826 +V\u000a +p9550 +tp9551 +a(g440 +Vmsgid +p9552 +tp9553 +a(g826 +g999 +tp9554 +a(g89 +V"You are not allowed to install files." +p9555 +tp9556 +a(g826 +V\u000a +p9557 +tp9558 +a(g440 +Vmsgstr +p9559 +tp9560 +a(g826 +g999 +tp9561 +a(g89 +V"Sie dürfen keine Dateien installieren." +p9562 +tp9563 +a(g826 +V\u000a +p9564 +tp9565 +a(g826 +V\u000a +p9566 +tp9567 +a(g440 +Vmsgid +p9568 +tp9569 +a(g826 +g999 +tp9570 +a(g89 +V"You are not allowed to view attachments of this page." +p9571 +tp9572 +a(g826 +V\u000a +p9573 +tp9574 +a(g440 +Vmsgstr +p9575 +tp9576 +a(g826 +g999 +tp9577 +a(g89 +V"Sie dürfen keine Anhänge dieser Seite ansehen." +p9578 +tp9579 +a(g826 +V\u000a +p9580 +tp9581 +a(g826 +V\u000a +p9582 +tp9583 +a(g748 +V#, python-format +p9584 +tp9585 +a(g826 +V\u000a +p9586 +tp9587 +a(g440 +Vmsgid +p9588 +tp9589 +a(g826 +g999 +tp9590 +a(g89 +V"Unsupported upload action: %s" +p9591 +tp9592 +a(g826 +V\u000a +p9593 +tp9594 +a(g440 +Vmsgstr +p9595 +tp9596 +a(g826 +g999 +tp9597 +a(g89 +V"Unbekannte Aktion für Dateianhang: %s" +p9598 +tp9599 +a(g826 +V\u000a +p9600 +tp9601 +a(g826 +V\u000a +p9602 +tp9603 +a(g748 +V#, python-format +p9604 +tp9605 +a(g826 +V\u000a +p9606 +tp9607 +a(g440 +Vmsgid +p9608 +tp9609 +a(g826 +g999 +tp9610 +a(g89 +V"Attachments for \u005c"%(pagename)s\u005c"" +p9611 +tp9612 +a(g826 +V\u000a +p9613 +tp9614 +a(g440 +Vmsgstr +p9615 +tp9616 +a(g826 +g999 +tp9617 +a(g89 +V"Dateianhänge für \u005c"%(pagename)s\u005c"" +p9618 +tp9619 +a(g826 +V\u000a +p9620 +tp9621 +a(g826 +V\u000a +p9622 +tp9623 +a(g748 +V#, python-format +p9624 +tp9625 +a(g826 +V\u000a +p9626 +tp9627 +a(g440 +Vmsgid +p9628 +tp9629 +a(g826 +g999 +tp9630 +a(g89 +V"" +p9631 +tp9632 +a(g826 +V\u000a +p9633 +tp9634 +a(g89 +V"Attachment '%(target)s' (remote name '%(filename)s') with %(bytes)d bytes " +p9635 +tp9636 +a(g826 +V\u000a +p9637 +tp9638 +a(g89 +V"saved." +p9639 +tp9640 +a(g826 +V\u000a +p9641 +tp9642 +a(g440 +Vmsgstr +p9643 +tp9644 +a(g826 +g999 +tp9645 +a(g89 +V"" +p9646 +tp9647 +a(g826 +V\u000a +p9648 +tp9649 +a(g89 +V"Dateianhang '%(target)s' (ursprünglicher Name '%(filename)s') mit %(bytes)d " +p9650 +tp9651 +a(g826 +V\u000a +p9652 +tp9653 +a(g89 +V"Bytes gesichert." +p9654 +tp9655 +a(g826 +V\u000a +p9656 +tp9657 +a(g826 +V\u000a +p9658 +tp9659 +a(g748 +V#, python-format +p9660 +tp9661 +a(g826 +V\u000a +p9662 +tp9663 +a(g440 +Vmsgid +p9664 +tp9665 +a(g826 +g999 +tp9666 +a(g89 +V"Attachment '%(target)s' (remote name '%(filename)s') already exists." +p9667 +tp9668 +a(g826 +V\u000a +p9669 +tp9670 +a(g440 +Vmsgstr +p9671 +tp9672 +a(g826 +g999 +tp9673 +a(g89 +V"" +p9674 +tp9675 +a(g826 +V\u000a +p9676 +tp9677 +a(g89 +V"Dateianhang '%(target)s' (ursprünglicher Name '%(filename)s') existiert " +p9678 +tp9679 +a(g826 +V\u000a +p9680 +tp9681 +a(g89 +V"bereits." +p9682 +tp9683 +a(g826 +V\u000a +p9684 +tp9685 +a(g826 +V\u000a +p9686 +tp9687 +a(g748 +V#, python-format +p9688 +tp9689 +a(g826 +V\u000a +p9690 +tp9691 +a(g440 +Vmsgid +p9692 +tp9693 +a(g826 +g999 +tp9694 +a(g89 +V"Attachment '%(filename)s' already exists." +p9695 +tp9696 +a(g826 +V\u000a +p9697 +tp9698 +a(g440 +Vmsgstr +p9699 +tp9700 +a(g826 +g999 +tp9701 +a(g89 +V"Dateianhang '%(filename)s' existiert bereits." +p9702 +tp9703 +a(g826 +V\u000a +p9704 +tp9705 +a(g826 +V\u000a +p9706 +tp9707 +a(g748 +V#, python-format +p9708 +tp9709 +a(g826 +V\u000a +p9710 +tp9711 +a(g440 +Vmsgid +p9712 +tp9713 +a(g826 +g999 +tp9714 +a(g89 +V"Attachment '%(filename)s' moved to %(page)s." +p9715 +tp9716 +a(g826 +V\u000a +p9717 +tp9718 +a(g440 +Vmsgstr +p9719 +tp9720 +a(g826 +g999 +tp9721 +a(g89 +V"Dateianhang '%(filename)s' auf Seite %(page)s verschoben." +p9722 +tp9723 +a(g826 +V\u000a +p9724 +tp9725 +a(g826 +V\u000a +p9726 +tp9727 +a(g440 +Vmsgid +p9728 +tp9729 +a(g826 +g999 +tp9730 +a(g89 +V"Nothing changed" +p9731 +tp9732 +a(g826 +V\u000a +p9733 +tp9734 +a(g440 +Vmsgstr +p9735 +tp9736 +a(g826 +g999 +tp9737 +a(g89 +V"Keine Änderung." +p9738 +tp9739 +a(g826 +V\u000a +p9740 +tp9741 +a(g826 +V\u000a +p9742 +tp9743 +a(g748 +V#, python-format +p9744 +tp9745 +a(g826 +V\u000a +p9746 +tp9747 +a(g440 +Vmsgid +p9748 +tp9749 +a(g826 +g999 +tp9750 +a(g89 +V"Page %(newpagename)s does not exists or you don't have enough rights." +p9751 +tp9752 +a(g826 +V\u000a +p9753 +tp9754 +a(g440 +Vmsgstr +p9755 +tp9756 +a(g826 +g999 +tp9757 +a(g89 +V"" +p9758 +tp9759 +a(g826 +V\u000a +p9760 +tp9761 +a(g89 +V"Seite %(newpagename)s existiert nicht oder Sie haben nicht ausreichend " +p9762 +tp9763 +a(g826 +V\u000a +p9764 +tp9765 +a(g89 +V"Rechte." +p9766 +tp9767 +a(g826 +V\u000a +p9768 +tp9769 +a(g826 +V\u000a +p9770 +tp9771 +a(g440 +Vmsgid +p9772 +tp9773 +a(g826 +g999 +tp9774 +a(g89 +V"Move aborted because empty page name" +p9775 +tp9776 +a(g826 +V\u000a +p9777 +tp9778 +a(g440 +Vmsgstr +p9779 +tp9780 +a(g826 +g999 +tp9781 +a(g89 +V"Sie können eine Seite nicht auf einen leeren Seitennamen umbenennen." +p9782 +tp9783 +a(g826 +V\u000a +p9784 +tp9785 +a(g826 +V\u000a +p9786 +tp9787 +a(g748 +V#, python-format +p9788 +tp9789 +a(g826 +V\u000a +p9790 +tp9791 +a(g440 +Vmsgid +p9792 +tp9793 +a(g826 +g999 +tp9794 +a(g89 +V"Please use a valid filename for attachment '%(filename)s'." +p9795 +tp9796 +a(g826 +V\u000a +p9797 +tp9798 +a(g440 +Vmsgstr +p9799 +tp9800 +a(g826 +g999 +tp9801 +a(g89 +V"" +p9802 +tp9803 +a(g826 +V\u000a +p9804 +tp9805 +a(g89 +V"Bitte benutzen Sie einen gültigen Dateinamen für Dateianhang '%(filename)s'." +p9806 +tp9807 +a(g826 +V\u000a +p9808 +tp9809 +a(g826 +V\u000a +p9810 +tp9811 +a(g440 +Vmsgid +p9812 +tp9813 +a(g826 +g999 +tp9814 +a(g89 +V"Move aborted because empty attachment name" +p9815 +tp9816 +a(g826 +V\u000a +p9817 +tp9818 +a(g440 +Vmsgstr +p9819 +tp9820 +a(g826 +g999 +tp9821 +a(g89 +V"Verschieben wegen eines leeren Anhangsnamens abgebrochen" +p9822 +tp9823 +a(g826 +V\u000a +p9824 +tp9825 +a(g826 +V\u000a +p9826 +tp9827 +a(g440 +Vmsgid +p9828 +tp9829 +a(g826 +g999 +tp9830 +a(g89 +V"Move" +p9831 +tp9832 +a(g826 +V\u000a +p9833 +tp9834 +a(g440 +Vmsgstr +p9835 +tp9836 +a(g826 +g999 +tp9837 +a(g89 +V"Verschieben" +p9838 +tp9839 +a(g826 +V\u000a +p9840 +tp9841 +a(g826 +V\u000a +p9842 +tp9843 +a(g440 +Vmsgid +p9844 +tp9845 +a(g826 +g999 +tp9846 +a(g89 +V"New page name" +p9847 +tp9848 +a(g826 +V\u000a +p9849 +tp9850 +a(g440 +Vmsgstr +p9851 +tp9852 +a(g826 +g999 +tp9853 +a(g89 +V"Neuer Seitenname" +p9854 +tp9855 +a(g826 +V\u000a +p9856 +tp9857 +a(g826 +V\u000a +p9858 +tp9859 +a(g440 +Vmsgid +p9860 +tp9861 +a(g826 +g999 +tp9862 +a(g89 +V"New attachment name" +p9863 +tp9864 +a(g826 +V\u000a +p9865 +tp9866 +a(g440 +Vmsgstr +p9867 +tp9868 +a(g826 +g999 +tp9869 +a(g89 +V"Neuer Name des Dateianhangs" +p9870 +tp9871 +a(g826 +V\u000a +p9872 +tp9873 +a(g826 +V\u000a +p9874 +tp9875 +a(g748 +V#, python-format +p9876 +tp9877 +a(g826 +V\u000a +p9878 +tp9879 +a(g440 +Vmsgid +p9880 +tp9881 +a(g826 +g999 +tp9882 +a(g89 +V"Attachment '%(filename)s' installed." +p9883 +tp9884 +a(g826 +V\u000a +p9885 +tp9886 +a(g440 +Vmsgstr +p9887 +tp9888 +a(g826 +g999 +tp9889 +a(g89 +V"Dateianhang '%(filename)s' wurde installiert." +p9890 +tp9891 +a(g826 +V\u000a +p9892 +tp9893 +a(g826 +V\u000a +p9894 +tp9895 +a(g748 +V#, python-format +p9896 +tp9897 +a(g826 +V\u000a +p9898 +tp9899 +a(g440 +Vmsgid +p9900 +tp9901 +a(g826 +g999 +tp9902 +a(g89 +V"" +p9903 +tp9904 +a(g826 +V\u000a +p9905 +tp9906 +a(g89 +V"Attachment '%(filename)s' could not be unzipped because the resulting files " +p9907 +tp9908 +a(g826 +V\u000a +p9909 +tp9910 +a(g89 +V"would be too large (%(space)d kB missing)." +p9911 +tp9912 +a(g826 +V\u000a +p9913 +tp9914 +a(g440 +Vmsgstr +p9915 +tp9916 +a(g826 +g999 +tp9917 +a(g89 +V"" +p9918 +tp9919 +a(g826 +V\u000a +p9920 +tp9921 +a(g89 +V"Dateianhang '%(filename)s' konnte nicht ausgepackt werden, weil die " +p9922 +tp9923 +a(g826 +V\u000a +p9924 +tp9925 +a(g89 +V"ausgepackten Dateien zu groß wären (%(space)d kB fehlen)." +p9926 +tp9927 +a(g826 +V\u000a +p9928 +tp9929 +a(g826 +V\u000a +p9930 +tp9931 +a(g748 +V#, python-format +p9932 +tp9933 +a(g826 +V\u000a +p9934 +tp9935 +a(g440 +Vmsgid +p9936 +tp9937 +a(g826 +g999 +tp9938 +a(g89 +V"" +p9939 +tp9940 +a(g826 +V\u000a +p9941 +tp9942 +a(g89 +V"Attachment '%(filename)s' could not be unzipped because the resulting files " +p9943 +tp9944 +a(g826 +V\u000a +p9945 +tp9946 +a(g89 +V"would be too many (%(count)d missing)." +p9947 +tp9948 +a(g826 +V\u000a +p9949 +tp9950 +a(g440 +Vmsgstr +p9951 +tp9952 +a(g826 +g999 +tp9953 +a(g89 +V"" +p9954 +tp9955 +a(g826 +V\u000a +p9956 +tp9957 +a(g89 +V"Dateianhang '%(filename)s' konnte nicht ausgepackt werden, weil die " +p9958 +tp9959 +a(g826 +V\u000a +p9960 +tp9961 +a(g89 +V"ausgepackten Dateien zu viele wären (%(count)d fehlen)." +p9962 +tp9963 +a(g826 +V\u000a +p9964 +tp9965 +a(g826 +V\u000a +p9966 +tp9967 +a(g748 +V#, python-format +p9968 +tp9969 +a(g826 +V\u000a +p9970 +tp9971 +a(g440 +Vmsgid +p9972 +tp9973 +a(g826 +g999 +tp9974 +a(g89 +V"Attachment '%(filename)s' unzipped." +p9975 +tp9976 +a(g826 +V\u000a +p9977 +tp9978 +a(g440 +Vmsgstr +p9979 +tp9980 +a(g826 +g999 +tp9981 +a(g89 +V"Dateianhang '%(filename)s' wurde ausgepackt." +p9982 +tp9983 +a(g826 +V\u000a +p9984 +tp9985 +a(g826 +V\u000a +p9986 +tp9987 +a(g748 +V#, python-format +p9988 +tp9989 +a(g826 +V\u000a +p9990 +tp9991 +a(g440 +Vmsgid +p9992 +tp9993 +a(g826 +g999 +tp9994 +a(g89 +V"" +p9995 +tp9996 +a(g826 +V\u000a +p9997 +tp9998 +a(g89 +V"Attachment '%(filename)s' not unzipped because the files are too big, .zip " +p9999 +tp10000 +a(g826 +V\u000a +p10001 +tp10002 +a(g89 +V"files only, exist already or reside in folders." +p10003 +tp10004 +a(g826 +V\u000a +p10005 +tp10006 +a(g440 +Vmsgstr +p10007 +tp10008 +a(g826 +g999 +tp10009 +a(g89 +V"" +p10010 +tp10011 +a(g826 +V\u000a +p10012 +tp10013 +a(g89 +V"Dateianhang '%(filename)s' wurde nicht ausgepackt, weil die Datei zu groß " +p10014 +tp10015 +a(g826 +V\u000a +p10016 +tp10017 +a(g89 +V"sind, weil nur .zip-Dateien erlaubt sind, weil sie bereits existieren oder " +p10018 +tp10019 +a(g826 +V\u000a +p10020 +tp10021 +a(g89 +V"weil Dateien in Ordnern enthalten sind." +p10022 +tp10023 +a(g826 +V\u000a +p10024 +tp10025 +a(g826 +V\u000a +p10026 +tp10027 +a(g748 +V#, python-format +p10028 +tp10029 +a(g826 +V\u000a +p10030 +tp10031 +a(g440 +Vmsgid +p10032 +tp10033 +a(g826 +g999 +tp10034 +a(g89 +V"The file %(filename)s is not a .zip file." +p10035 +tp10036 +a(g826 +V\u000a +p10037 +tp10038 +a(g440 +Vmsgstr +p10039 +tp10040 +a(g826 +g999 +tp10041 +a(g89 +V"Die Datei %(filename)s ist keine .zip-Datei." +p10042 +tp10043 +a(g826 +V\u000a +p10044 +tp10045 +a(g826 +V\u000a +p10046 +tp10047 +a(g748 +V#, python-format +p10048 +tp10049 +a(g826 +V\u000a +p10050 +tp10051 +a(g440 +Vmsgid +p10052 +tp10053 +a(g826 +g999 +tp10054 +a(g89 +V"Attachment '%(filename)s'" +p10055 +tp10056 +a(g826 +V\u000a +p10057 +tp10058 +a(g440 +Vmsgstr +p10059 +tp10060 +a(g826 +g999 +tp10061 +a(g89 +V"Dateianhang '%(filename)s'" +p10062 +tp10063 +a(g826 +V\u000a +p10064 +tp10065 +a(g826 +V\u000a +p10066 +tp10067 +a(g440 +Vmsgid +p10068 +tp10069 +a(g826 +g999 +tp10070 +a(g89 +V"Package script:" +p10071 +tp10072 +a(g826 +V\u000a +p10073 +tp10074 +a(g440 +Vmsgstr +p10075 +tp10076 +a(g826 +g999 +tp10077 +a(g89 +V"Paket-Skript:" +p10078 +tp10079 +a(g826 +V\u000a +p10080 +tp10081 +a(g826 +V\u000a +p10082 +tp10083 +a(g440 +Vmsgid +p10084 +tp10085 +a(g826 +g999 +tp10086 +a(g89 +V"File Name" +p10087 +tp10088 +a(g826 +V\u000a +p10089 +tp10090 +a(g440 +Vmsgstr +p10091 +tp10092 +a(g826 +g999 +tp10093 +a(g89 +V"Dateiname" +p10094 +tp10095 +a(g826 +V\u000a +p10096 +tp10097 +a(g826 +V\u000a +p10098 +tp10099 +a(g440 +Vmsgid +p10100 +tp10101 +a(g826 +g999 +tp10102 +a(g89 +V"Modified" +p10103 +tp10104 +a(g826 +V\u000a +p10105 +tp10106 +a(g440 +Vmsgstr +p10107 +tp10108 +a(g826 +g999 +tp10109 +a(g89 +V"Modifiziert" +p10110 +tp10111 +a(g826 +V\u000a +p10112 +tp10113 +a(g826 +V\u000a +p10114 +tp10115 +a(g440 +Vmsgid +p10116 +tp10117 +a(g826 +g999 +tp10118 +a(g89 +V"Size" +p10119 +tp10120 +a(g826 +V\u000a +p10121 +tp10122 +a(g440 +Vmsgstr +p10123 +tp10124 +a(g826 +g999 +tp10125 +a(g89 +V"Größe" +p10126 +tp10127 +a(g826 +V\u000a +p10128 +tp10129 +a(g826 +V\u000a +p10130 +tp10131 +a(g440 +Vmsgid +p10132 +tp10133 +a(g826 +g999 +tp10134 +a(g89 +V"Unknown file type, cannot display this attachment inline." +p10135 +tp10136 +a(g826 +V\u000a +p10137 +tp10138 +a(g440 +Vmsgstr +p10139 +tp10140 +a(g826 +g999 +tp10141 +a(g89 +V"" +p10142 +tp10143 +a(g826 +V\u000a +p10144 +tp10145 +a(g89 +V"Dieser Anhang besitzt einen unbekannten Dateityp und kann deshalb nicht " +p10146 +tp10147 +a(g826 +V\u000a +p10148 +tp10149 +a(g89 +V"direkt angezeigt werden." +p10150 +tp10151 +a(g826 +V\u000a +p10152 +tp10153 +a(g826 +V\u000a +p10154 +tp10155 +a(g748 +V#, python-format +p10156 +tp10157 +a(g826 +V\u000a +p10158 +tp10159 +a(g440 +Vmsgid +p10160 +tp10161 +a(g826 +g999 +tp10162 +a(g89 +V"attachment:%(filename)s of %(pagename)s" +p10163 +tp10164 +a(g826 +V\u000a +p10165 +tp10166 +a(g440 +Vmsgstr +p10167 +tp10168 +a(g826 +g999 +tp10169 +a(g89 +V"[[Verbatim(attachment:)]]%(filename)s für %(pagename)s" +p10170 +tp10171 +a(g826 +V\u000a +p10172 +tp10173 +a(g826 +V\u000a +p10174 +tp10175 +a(g440 +Vmsgid +p10176 +tp10177 +a(g826 +g999 +tp10178 +a(g89 +V"This page is already deleted or was never created!" +p10179 +tp10180 +a(g826 +V\u000a +p10181 +tp10182 +a(g440 +Vmsgstr +p10183 +tp10184 +a(g826 +g999 +tp10185 +a(g89 +V"Diese Seite wurde bereits gelöscht oder wurde bisher nicht angelegt!" +p10186 +tp10187 +a(g826 +V\u000a +p10188 +tp10189 +a(g826 +V\u000a +p10190 +tp10191 +a(g440 +Vmsgid +p10192 +tp10193 +a(g826 +g999 +tp10194 +a(g89 +V"Rename all /subpages too?" +p10195 +tp10196 +a(g826 +V\u000a +p10197 +tp10198 +a(g440 +Vmsgstr +p10199 +tp10200 +a(g826 +g999 +tp10201 +a(g89 +V"Alle /UnterSeiten auch umbenennen?" +p10202 +tp10203 +a(g826 +V\u000a +p10204 +tp10205 +a(g826 +V\u000a +p10206 +tp10207 +a(g440 +Vmsgid +p10208 +tp10209 +a(g826 +g999 +tp10210 +a(g89 +V"New name" +p10211 +tp10212 +a(g826 +V\u000a +p10213 +tp10214 +a(g440 +Vmsgstr +p10215 +tp10216 +a(g826 +g999 +tp10217 +a(g89 +V"Neuer Name" +p10218 +tp10219 +a(g826 +V\u000a +p10220 +tp10221 +a(g826 +V\u000a +p10222 +tp10223 +a(g440 +Vmsgid +p10224 +tp10225 +a(g826 +g999 +tp10226 +a(g89 +V"Optional reason for the renaming" +p10227 +tp10228 +a(g826 +V\u000a +p10229 +tp10230 +a(g440 +Vmsgstr +p10231 +tp10232 +a(g826 +g999 +tp10233 +a(g89 +V"Optionale Begründung für das Umbenennen" +p10234 +tp10235 +a(g826 +V\u000a +p10236 +tp10237 +a(g826 +V\u000a +p10238 +tp10239 +a(g440 +Vmsgid +p10240 +tp10241 +a(g826 +g999 +tp10242 +a(g89 +V"Really rename this page?" +p10243 +tp10244 +a(g826 +V\u000a +p10245 +tp10246 +a(g440 +Vmsgstr +p10247 +tp10248 +a(g826 +g999 +tp10249 +a(g89 +V"Diese Seite wirklich umbenennen?" +p10250 +tp10251 +a(g826 +V\u000a +p10252 +tp10253 +a(g826 +V\u000a +p10254 +tp10255 +a(g748 +V#, python-format +p10256 +tp10257 +a(g826 +V\u000a +p10258 +tp10259 +a(g440 +Vmsgid +p10260 +tp10261 +a(g826 +g999 +tp10262 +a(g89 +V"Full Link List for \u005c"%s\u005c"" +p10263 +tp10264 +a(g826 +V\u000a +p10265 +tp10266 +a(g440 +Vmsgstr +p10267 +tp10268 +a(g826 +g999 +tp10269 +a(g89 +V"Liste aller Seitenverweise für \u005c"%s\u005c"" +p10270 +tp10271 +a(g826 +V\u000a +p10272 +tp10273 +a(g826 +V\u000a +p10274 +tp10275 +a(g440 +Vmsgid +p10276 +tp10277 +a(g826 +g999 +tp10278 +a(g89 +V"Editor" +p10279 +tp10280 +a(g826 +V\u000a +p10281 +tp10282 +a(g440 +Vmsgstr +p10283 +tp10284 +a(g826 +g999 +tp10285 +a(g89 +V"Autor" +p10286 +tp10287 +a(g826 +V\u000a +p10288 +tp10289 +a(g826 +V\u000a +p10290 +tp10291 +a(g440 +Vmsgid +p10292 +tp10293 +a(g826 +g999 +tp10294 +a(g89 +V"Pages" +p10295 +tp10296 +a(g826 +V\u000a +p10297 +tp10298 +a(g440 +Vmsgstr +p10299 +tp10300 +a(g826 +g999 +tp10301 +a(g89 +V"Seiten" +p10302 +tp10303 +a(g826 +V\u000a +p10304 +tp10305 +a(g826 +V\u000a +p10306 +tp10307 +a(g440 +Vmsgid +p10308 +tp10309 +a(g826 +g999 +tp10310 +a(g89 +V"Select Author" +p10311 +tp10312 +a(g826 +V\u000a +p10313 +tp10314 +a(g440 +Vmsgstr +p10315 +tp10316 +a(g826 +g999 +tp10317 +a(g89 +V"Autor auswählen" +p10318 +tp10319 +a(g826 +V\u000a +p10320 +tp10321 +a(g826 +V\u000a +p10322 +tp10323 +a(g440 +Vmsgid +p10324 +tp10325 +a(g826 +g999 +tp10326 +a(g89 +V"Revert all!" +p10327 +tp10328 +a(g826 +V\u000a +p10329 +tp10330 +a(g440 +Vmsgstr +p10331 +tp10332 +a(g826 +g999 +tp10333 +a(g89 +V"Alle restaurieren!" +p10334 +tp10335 +a(g826 +V\u000a +p10336 +tp10337 +a(g826 +V\u000a +p10338 +tp10339 +a(g440 +Vmsgid +p10340 +tp10341 +a(g826 +g999 +tp10342 +a(g89 +V"You are not allowed to use this action." +p10343 +tp10344 +a(g826 +V\u000a +p10345 +tp10346 +a(g440 +Vmsgstr +p10347 +tp10348 +a(g826 +g999 +tp10349 +a(g89 +V"Sie dürfen diese Aktion nicht ausführen." +p10350 +tp10351 +a(g826 +V\u000a +p10352 +tp10353 +a(g826 +V\u000a +p10354 +tp10355 +a(g748 +V#, python-format +p10356 +tp10357 +a(g826 +V\u000a +p10358 +tp10359 +a(g440 +Vmsgid +p10360 +tp10361 +a(g826 +g999 +tp10362 +a(g89 +V"Rolled back changes to the page %s." +p10363 +tp10364 +a(g826 +V\u000a +p10365 +tp10366 +a(g440 +Vmsgstr +p10367 +tp10368 +a(g826 +g999 +tp10369 +a(g89 +V"Änderungen an der Seite %s rückgängig gemacht" +p10370 +tp10371 +a(g826 +V\u000a +p10372 +tp10373 +a(g826 +V\u000a +p10374 +tp10375 +a(g440 +Vmsgid +p10376 +tp10377 +a(g826 +g999 +tp10378 +a(g89 +V"Exception while calling rollback function:" +p10379 +tp10380 +a(g826 +V\u000a +p10381 +tp10382 +a(g440 +Vmsgstr +p10383 +tp10384 +a(g826 +g999 +tp10385 +a(g89 +V"Fehler beim Aufrufen der Rollback-Funktion:" +p10386 +tp10387 +a(g826 +V\u000a +p10388 +tp10389 +a(g826 +V\u000a +p10390 +tp10391 +a(g440 +Vmsgid +p10392 +tp10393 +a(g826 +g999 +tp10394 +a(g89 +V"" +p10395 +tp10396 +a(g826 +V\u000a +p10397 +tp10398 +a(g89 +V"Please enter your password of your account at the remote wiki below. " +p10399 +tp10400 +a(g826 +V\u000a +p10401 +tp10402 +a(g89 +V"[[BR]] /!\u005c\u005c You should trust both wikis because the password could be read " +p10403 +tp10404 +a(g826 +V\u000a +p10405 +tp10406 +a(g89 +V"by the particular administrators." +p10407 +tp10408 +a(g826 +V\u000a +p10409 +tp10410 +a(g440 +Vmsgstr +p10411 +tp10412 +a(g826 +g999 +tp10413 +a(g89 +V"" +p10414 +tp10415 +a(g826 +V\u000a +p10416 +tp10417 +a(g89 +V"Bitte geben Sie das Passwort Ihres Accounts im fernen Wiki unten ein. " +p10418 +tp10419 +a(g826 +V\u000a +p10420 +tp10421 +a(g89 +V"[[BR]] /!\u005c\u005c Sie sollten beiden Wikis vertrauen, weil das Passwort von den " +p10422 +tp10423 +a(g826 +V\u000a +p10424 +tp10425 +a(g89 +V"entsprechenden Administratoren gelesen werden könnte." +p10426 +tp10427 +a(g826 +V\u000a +p10428 +tp10429 +a(g826 +V\u000a +p10430 +tp10431 +a(g440 +Vmsgid +p10432 +tp10433 +a(g826 +g999 +tp10434 +a(g89 +V"Operation was canceled." +p10435 +tp10436 +a(g826 +V\u000a +p10437 +tp10438 +a(g440 +Vmsgstr +p10439 +tp10440 +a(g826 +g999 +tp10441 +a(g89 +V"Operation wurde abgebrochen." +p10442 +tp10443 +a(g826 +V\u000a +p10444 +tp10445 +a(g826 +V\u000a +p10446 +tp10447 +a(g440 +Vmsgid +p10448 +tp10449 +a(g826 +g999 +tp10450 +a(g89 +V"The only supported directions are BOTH and DOWN." +p10451 +tp10452 +a(g826 +V\u000a +p10453 +tp10454 +a(g440 +Vmsgstr +p10455 +tp10456 +a(g826 +g999 +tp10457 +a(g89 +V"Es werden nur die Richtungen BOTH und DOWN unterstützt." +p10458 +tp10459 +a(g826 +V\u000a +p10460 +tp10461 +a(g826 +V\u000a +p10462 +tp10463 +a(g440 +Vmsgid +p10464 +tp10465 +a(g826 +g999 +tp10466 +a(g89 +V"" +p10467 +tp10468 +a(g826 +V\u000a +p10469 +tp10470 +a(g89 +V"Please set an interwikiname in your wikiconfig (see HelpOnConfiguration) to " +p10471 +tp10472 +a(g826 +V\u000a +p10473 +tp10474 +a(g89 +V"be able to use this action." +p10475 +tp10476 +a(g826 +V\u000a +p10477 +tp10478 +a(g440 +Vmsgstr +p10479 +tp10480 +a(g826 +g999 +tp10481 +a(g89 +V"" +p10482 +tp10483 +a(g826 +V\u000a +p10484 +tp10485 +a(g89 +V"Bitte setzen Sie interwikiname in Ihrer wikiconfig (siehe " +p10486 +tp10487 +a(g826 +V\u000a +p10488 +tp10489 +a(g89 +V"HilfeZurKonfiguration), um diese Aktion benutzen zu können." +p10490 +tp10491 +a(g826 +V\u000a +p10492 +tp10493 +a(g826 +V\u000a +p10494 +tp10495 +a(g440 +Vmsgid +p10496 +tp10497 +a(g826 +g999 +tp10498 +a(g89 +V"" +p10499 +tp10500 +a(g826 +V\u000a +p10501 +tp10502 +a(g89 +V"Incorrect parameters. Please supply at least the ''remoteWiki'' parameter. " +p10503 +tp10504 +a(g826 +V\u000a +p10505 +tp10506 +a(g89 +V"Refer to HelpOnSynchronisation for help." +p10507 +tp10508 +a(g826 +V\u000a +p10509 +tp10510 +a(g440 +Vmsgstr +p10511 +tp10512 +a(g826 +g999 +tp10513 +a(g89 +V"" +p10514 +tp10515 +a(g826 +V\u000a +p10516 +tp10517 +a(g89 +V"Ungültige Parameter, bitte geben Sie mindestens den ''remoteWiki''-Parameter " +p10518 +tp10519 +a(g826 +V\u000a +p10520 +tp10521 +a(g89 +V"an. Siehe HilfeZurSynchronisation für weitere Informationen." +p10522 +tp10523 +a(g826 +V\u000a +p10524 +tp10525 +a(g826 +V\u000a +p10526 +tp10527 +a(g440 +Vmsgid +p10528 +tp10529 +a(g826 +g999 +tp10530 +a(g89 +V"The ''remoteWiki'' is unknown." +p10531 +tp10532 +a(g826 +V\u000a +p10533 +tp10534 +a(g440 +Vmsgstr +p10535 +tp10536 +a(g826 +g999 +tp10537 +a(g89 +V"Das ''remoteWiki'' ist nicht bekannt." +p10538 +tp10539 +a(g826 +V\u000a +p10540 +tp10541 +a(g826 +V\u000a +p10542 +tp10543 +a(g440 +Vmsgid +p10544 +tp10545 +a(g826 +g999 +tp10546 +a(g89 +V"A severe error occured:" +p10547 +tp10548 +a(g826 +V\u000a +p10549 +tp10550 +a(g440 +Vmsgstr +p10551 +tp10552 +a(g826 +g999 +tp10553 +a(g89 +V"Ein schwerwiegender Fehler ist aufgetreten:" +p10554 +tp10555 +a(g826 +V\u000a +p10556 +tp10557 +a(g826 +V\u000a +p10558 +tp10559 +a(g440 +Vmsgid +p10560 +tp10561 +a(g826 +g999 +tp10562 +a(g89 +V"Synchronisation finished. Look below for the status messages." +p10563 +tp10564 +a(g826 +V\u000a +p10565 +tp10566 +a(g440 +Vmsgstr +p10567 +tp10568 +a(g826 +g999 +tp10569 +a(g89 +V"Synchronisierung beendet, siehe Status-Nachrichten unten." +p10570 +tp10571 +a(g826 +V\u000a +p10572 +tp10573 +a(g826 +V\u000a +p10574 +tp10575 +a(g440 +Vmsgid +p10576 +tp10577 +a(g826 +g999 +tp10578 +a(g89 +V"Synchronisation started -" +p10579 +tp10580 +a(g826 +V\u000a +p10581 +tp10582 +a(g440 +Vmsgstr +p10583 +tp10584 +a(g826 +g999 +tp10585 +a(g89 +V"Synchronisierung gestartet -" +p10586 +tp10587 +a(g826 +V\u000a +p10588 +tp10589 +a(g826 +V\u000a +p10590 +tp10591 +a(g748 +V#, python-format +p10592 +tp10593 +a(g826 +V\u000a +p10594 +tp10595 +a(g440 +Vmsgid +p10596 +tp10597 +a(g826 +g999 +tp10598 +a(g89 +V"" +p10599 +tp10600 +a(g826 +V\u000a +p10601 +tp10602 +a(g89 +V"Got a list of %s local and %s remote pages. This results in %s different " +p10603 +tp10604 +a(g826 +V\u000a +p10605 +tp10606 +a(g89 +V"pages over-all." +p10607 +tp10608 +a(g826 +V\u000a +p10609 +tp10610 +a(g440 +Vmsgstr +p10611 +tp10612 +a(g826 +g999 +tp10613 +a(g89 +V"" +p10614 +tp10615 +a(g826 +V\u000a +p10616 +tp10617 +a(g89 +V"%s lokale und %s ferne Seiten, resultierend in insgesamt %s " +p10618 +tp10619 +a(g826 +V\u000a +p10620 +tp10621 +a(g89 +V"unterschiedlichen Seiten." +p10622 +tp10623 +a(g826 +V\u000a +p10624 +tp10625 +a(g826 +V\u000a +p10626 +tp10627 +a(g748 +V#, python-format +p10628 +tp10629 +a(g826 +V\u000a +p10630 +tp10631 +a(g440 +Vmsgid +p10632 +tp10633 +a(g826 +g999 +tp10634 +a(g89 +V"After filtering: %s pages" +p10635 +tp10636 +a(g826 +V\u000a +p10637 +tp10638 +a(g440 +Vmsgstr +p10639 +tp10640 +a(g826 +g999 +tp10641 +a(g89 +V"Nach dem Filtern: %s Seiten" +p10642 +tp10643 +a(g826 +V\u000a +p10644 +tp10645 +a(g826 +V\u000a +p10646 +tp10647 +a(g748 +V#, python-format +p10648 +tp10649 +a(g826 +V\u000a +p10650 +tp10651 +a(g440 +Vmsgid +p10652 +tp10653 +a(g826 +g999 +tp10654 +a(g89 +V"Skipped page %s because of no write access to local page." +p10655 +tp10656 +a(g826 +V\u000a +p10657 +tp10658 +a(g440 +Vmsgstr +p10659 +tp10660 +a(g826 +g999 +tp10661 +a(g89 +V"" +p10662 +tp10663 +a(g826 +V\u000a +p10664 +tp10665 +a(g89 +V"Seite %s wurde wegen fehlenden Schreibrechten auf die lokale Seite " +p10666 +tp10667 +a(g826 +V\u000a +p10668 +tp10669 +a(g89 +V"übersprungen." +p10670 +tp10671 +a(g826 +V\u000a +p10672 +tp10673 +a(g826 +V\u000a +p10674 +tp10675 +a(g748 +V#, python-format +p10676 +tp10677 +a(g826 +V\u000a +p10678 +tp10679 +a(g440 +Vmsgid +p10680 +tp10681 +a(g826 +g999 +tp10682 +a(g89 +V"Deleted page %s locally." +p10683 +tp10684 +a(g826 +V\u000a +p10685 +tp10686 +a(g440 +Vmsgstr +p10687 +tp10688 +a(g826 +g999 +tp10689 +a(g89 +V"Lokale Seite %s gelöscht." +p10690 +tp10691 +a(g826 +V\u000a +p10692 +tp10693 +a(g826 +V\u000a +p10694 +tp10695 +a(g748 +V#, python-format +p10696 +tp10697 +a(g826 +V\u000a +p10698 +tp10699 +a(g440 +Vmsgid +p10700 +tp10701 +a(g826 +g999 +tp10702 +a(g89 +V"Error while deleting page %s locally:" +p10703 +tp10704 +a(g826 +V\u000a +p10705 +tp10706 +a(g440 +Vmsgstr +p10707 +tp10708 +a(g826 +g999 +tp10709 +a(g89 +V"Fehler beim lokalen Löschen der Seite %s:" +p10710 +tp10711 +a(g826 +V\u000a +p10712 +tp10713 +a(g826 +V\u000a +p10714 +tp10715 +a(g748 +V#, python-format +p10716 +tp10717 +a(g826 +V\u000a +p10718 +tp10719 +a(g440 +Vmsgid +p10720 +tp10721 +a(g826 +g999 +tp10722 +a(g89 +V"Deleted page %s remotely." +p10723 +tp10724 +a(g826 +V\u000a +p10725 +tp10726 +a(g440 +Vmsgstr +p10727 +tp10728 +a(g826 +g999 +tp10729 +a(g89 +V"Ferne Seite %s gelöscht." +p10730 +tp10731 +a(g826 +V\u000a +p10732 +tp10733 +a(g826 +V\u000a +p10734 +tp10735 +a(g748 +V#, python-format +p10736 +tp10737 +a(g826 +V\u000a +p10738 +tp10739 +a(g440 +Vmsgid +p10740 +tp10741 +a(g826 +g999 +tp10742 +a(g89 +V"Error while deleting page %s remotely:" +p10743 +tp10744 +a(g826 +V\u000a +p10745 +tp10746 +a(g440 +Vmsgstr +p10747 +tp10748 +a(g826 +g999 +tp10749 +a(g89 +V"Fehler beim fernen Löschen der Seite %s:" +p10750 +tp10751 +a(g826 +V\u000a +p10752 +tp10753 +a(g826 +V\u000a +p10754 +tp10755 +a(g748 +V#, python-format +p10756 +tp10757 +a(g826 +V\u000a +p10758 +tp10759 +a(g440 +Vmsgid +p10760 +tp10761 +a(g826 +g999 +tp10762 +a(g89 +V"" +p10763 +tp10764 +a(g826 +V\u000a +p10765 +tp10766 +a(g89 +V"The item %s cannot be merged automatically but was changed in both wikis. " +p10767 +tp10768 +a(g826 +V\u000a +p10769 +tp10770 +a(g89 +V"Please delete it in one of both wikis and try again." +p10771 +tp10772 +a(g826 +V\u000a +p10773 +tp10774 +a(g440 +Vmsgstr +p10775 +tp10776 +a(g826 +g999 +tp10777 +a(g89 +V"" +p10778 +tp10779 +a(g826 +V\u000a +p10780 +tp10781 +a(g89 +V"Das Objekt %s kann nicht automatisch zusammengeführt werden, wurde aber in " +p10782 +tp10783 +a(g826 +V\u000a +p10784 +tp10785 +a(g89 +V"beiden Wikis geändert. Bitte löschen Sie es in einem der beiden Wikis und " +p10786 +tp10787 +a(g826 +V\u000a +p10788 +tp10789 +a(g89 +V"versuchen Sie es erneut." +p10790 +tp10791 +a(g826 +V\u000a +p10792 +tp10793 +a(g826 +V\u000a +p10794 +tp10795 +a(g748 +V#, python-format +p10796 +tp10797 +a(g826 +V\u000a +p10798 +tp10799 +a(g440 +Vmsgid +p10800 +tp10801 +a(g826 +g999 +tp10802 +a(g89 +V"" +p10803 +tp10804 +a(g826 +V\u000a +p10805 +tp10806 +a(g89 +V"The item %s has different mime types in both wikis and cannot be merged. " +p10807 +tp10808 +a(g826 +V\u000a +p10809 +tp10810 +a(g89 +V"Please delete it in one of both wikis or unify the mime type, and try again." +p10811 +tp10812 +a(g826 +V\u000a +p10813 +tp10814 +a(g440 +Vmsgstr +p10815 +tp10816 +a(g826 +g999 +tp10817 +a(g89 +V"" +p10818 +tp10819 +a(g826 +V\u000a +p10820 +tp10821 +a(g89 +V"Das Objekt %s hat einen unterschiedlichen Mime-Typ in beiden Wikis und kann " +p10822 +tp10823 +a(g826 +V\u000a +p10824 +tp10825 +a(g89 +V"nicht zusammengeführt werden. Bitte löschen Sie es in einem der beiden Wikis " +p10826 +tp10827 +a(g826 +V\u000a +p10828 +tp10829 +a(g89 +V"oder vereinheitlichen Sie den Mime-Typ und probieren Sie es nochmal." +p10830 +tp10831 +a(g826 +V\u000a +p10832 +tp10833 +a(g826 +V\u000a +p10834 +tp10835 +a(g748 +V#, python-format +p10836 +tp10837 +a(g826 +V\u000a +p10838 +tp10839 +a(g440 +Vmsgid +p10840 +tp10841 +a(g826 +g999 +tp10842 +a(g89 +V"" +p10843 +tp10844 +a(g826 +V\u000a +p10845 +tp10846 +a(g89 +V"The item %s was renamed locally. This is not implemented yet. Therefore the " +p10847 +tp10848 +a(g826 +V\u000a +p10849 +tp10850 +a(g89 +V"full synchronisation history is lost for this page." +p10851 +tp10852 +a(g826 +V\u000a +p10853 +tp10854 +a(g440 +Vmsgstr +p10855 +tp10856 +a(g826 +g999 +tp10857 +a(g89 +V"" +p10858 +tp10859 +a(g826 +V\u000a +p10860 +tp10861 +a(g89 +V"Seite %s wurde lokal umbenannt. Dies wird noch nicht unterstützt, daher geht " +p10862 +tp10863 +a(g826 +V\u000a +p10864 +tp10865 +a(g89 +V"für diese Seite die ganze Synchronisierungs-Historie verloren." +p10866 +tp10867 +a(g826 +V\u000a +p10868 +tp10869 +a(g826 +V\u000a +p10870 +tp10871 +a(g748 +V#, python-format +p10872 +tp10873 +a(g826 +V\u000a +p10874 +tp10875 +a(g440 +Vmsgid +p10876 +tp10877 +a(g826 +g999 +tp10878 +a(g89 +V"Synchronising page %s with remote page %s ..." +p10879 +tp10880 +a(g826 +V\u000a +p10881 +tp10882 +a(g440 +Vmsgstr +p10883 +tp10884 +a(g826 +g999 +tp10885 +a(g89 +V"Synchronisiere Seite %s mit der entfernten Seite %s ..." +p10886 +tp10887 +a(g826 +V\u000a +p10888 +tp10889 +a(g826 +V\u000a +p10890 +tp10891 +a(g748 +V#, python-format +p10892 +tp10893 +a(g826 +V\u000a +p10894 +tp10895 +a(g440 +Vmsgid +p10896 +tp10897 +a(g826 +g999 +tp10898 +a(g89 +V"The page %s was deleted remotely but changed locally." +p10899 +tp10900 +a(g826 +V\u000a +p10901 +tp10902 +a(g440 +Vmsgstr +p10903 +tp10904 +a(g826 +g999 +tp10905 +a(g89 +V"Seite %s wurde lokal geändert, aber ferne gelöscht." +p10906 +tp10907 +a(g826 +V\u000a +p10908 +tp10909 +a(g826 +V\u000a +p10910 +tp10911 +a(g748 +V#, python-format +p10912 +tp10913 +a(g826 +V\u000a +p10914 +tp10915 +a(g440 +Vmsgid +p10916 +tp10917 +a(g826 +g999 +tp10918 +a(g89 +V"" +p10919 +tp10920 +a(g826 +V\u000a +p10921 +tp10922 +a(g89 +V"The page %s could not be synced. The remote page was renamed. This is not " +p10923 +tp10924 +a(g826 +V\u000a +p10925 +tp10926 +a(g89 +V"supported yet. You may want to delete one of the pages to get it synced." +p10927 +tp10928 +a(g826 +V\u000a +p10929 +tp10930 +a(g440 +Vmsgstr +p10931 +tp10932 +a(g826 +g999 +tp10933 +a(g89 +V"" +p10934 +tp10935 +a(g826 +V\u000a +p10936 +tp10937 +a(g89 +V"Seite %s konnte nicht synchronisiert werden. Die entfernte Seite wurde " +p10938 +tp10939 +a(g826 +V\u000a +p10940 +tp10941 +a(g89 +V"umbenannt, was bis jetzt noch nicht unterstützt wird. Vielleicht möchten Sie " +p10942 +tp10943 +a(g826 +V\u000a +p10944 +tp10945 +a(g89 +V"eine der Seiten löschen, um die Seite erfolgreich zu synchronisieren." +p10946 +tp10947 +a(g826 +V\u000a +p10948 +tp10949 +a(g826 +V\u000a +p10950 +tp10951 +a(g748 +V#, python-format +p10952 +tp10953 +a(g826 +V\u000a +p10954 +tp10955 +a(g440 +Vmsgid +p10956 +tp10957 +a(g826 +g999 +tp10958 +a(g89 +V"Skipped page %s because of a locally or remotely unresolved conflict." +p10959 +tp10960 +a(g826 +V\u000a +p10961 +tp10962 +a(g440 +Vmsgstr +p10963 +tp10964 +a(g826 +g999 +tp10965 +a(g89 +V"" +p10966 +tp10967 +a(g826 +V\u000a +p10968 +tp10969 +a(g89 +V"Seite %s wurde wegen eines lokalen oder entfernten nicht beseitigten " +p10970 +tp10971 +a(g826 +V\u000a +p10972 +tp10973 +a(g89 +V"Konflikts übersprungen." +p10974 +tp10975 +a(g826 +V\u000a +p10976 +tp10977 +a(g826 +V\u000a +p10978 +tp10979 +a(g748 +V#, python-format +p10980 +tp10981 +a(g826 +V\u000a +p10982 +tp10983 +a(g440 +Vmsgid +p10984 +tp10985 +a(g826 +g999 +tp10986 +a(g89 +V"" +p10987 +tp10988 +a(g826 +V\u000a +p10989 +tp10990 +a(g89 +V"This is the first synchronisation between the local and the remote wiki for " +p10991 +tp10992 +a(g826 +V\u000a +p10993 +tp10994 +a(g89 +V"the page %s." +p10995 +tp10996 +a(g826 +V\u000a +p10997 +tp10998 +a(g440 +Vmsgstr +p10999 +tp11000 +a(g826 +g999 +tp11001 +a(g89 +V"" +p11002 +tp11003 +a(g826 +V\u000a +p11004 +tp11005 +a(g89 +V"Dies ist die erste Synchronisation zwischen dem lokalen und fernen Wiki für " +p11006 +tp11007 +a(g826 +V\u000a +p11008 +tp11009 +a(g89 +V"die Seite %s." +p11010 +tp11011 +a(g826 +V\u000a +p11012 +tp11013 +a(g826 +V\u000a +p11014 +tp11015 +a(g748 +V#, python-format +p11016 +tp11017 +a(g826 +V\u000a +p11018 +tp11019 +a(g440 +Vmsgid +p11020 +tp11021 +a(g826 +g999 +tp11022 +a(g89 +V"" +p11023 +tp11024 +a(g826 +V\u000a +p11025 +tp11026 +a(g89 +V"The page %s could not be merged because you are not allowed to modify the " +p11027 +tp11028 +a(g826 +V\u000a +p11029 +tp11030 +a(g89 +V"page in the remote wiki." +p11031 +tp11032 +a(g826 +V\u000a +p11033 +tp11034 +a(g440 +Vmsgstr +p11035 +tp11036 +a(g826 +g999 +tp11037 +a(g89 +V"" +p11038 +tp11039 +a(g826 +V\u000a +p11040 +tp11041 +a(g89 +V"Die Seite %s konnte nicht zusammengeführt werden, weil Sie die Seite im " +p11042 +tp11043 +a(g826 +V\u000a +p11044 +tp11045 +a(g89 +V"fernen Wiki nicht ändern dürfen." +p11046 +tp11047 +a(g826 +V\u000a +p11048 +tp11049 +a(g826 +V\u000a +p11050 +tp11051 +a(g748 +V#, python-format +p11052 +tp11053 +a(g826 +V\u000a +p11054 +tp11055 +a(g440 +Vmsgid +p11056 +tp11057 +a(g826 +g999 +tp11058 +a(g89 +V"Page %s successfully merged." +p11059 +tp11060 +a(g826 +V\u000a +p11061 +tp11062 +a(g440 +Vmsgstr +p11063 +tp11064 +a(g826 +g999 +tp11065 +a(g89 +V"Seite \u005c"%s\u005c" wurde erfolgreich zusammengeführt." +p11066 +tp11067 +a(g826 +V\u000a +p11068 +tp11069 +a(g826 +V\u000a +p11070 +tp11071 +a(g748 +V#, python-format +p11072 +tp11073 +a(g826 +V\u000a +p11074 +tp11075 +a(g440 +Vmsgid +p11076 +tp11077 +a(g826 +g999 +tp11078 +a(g89 +V"Page %s contains conflicts that were introduced on the remote side." +p11079 +tp11080 +a(g826 +V\u000a +p11081 +tp11082 +a(g440 +Vmsgstr +p11083 +tp11084 +a(g826 +g999 +tp11085 +a(g89 +V"Seite %s enthält von der fernen Seite eingeführte Konflikte." +p11086 +tp11087 +a(g826 +V\u000a +p11088 +tp11089 +a(g826 +V\u000a +p11090 +tp11091 +a(g748 +V#, python-format +p11092 +tp11093 +a(g826 +V\u000a +p11094 +tp11095 +a(g440 +Vmsgid +p11096 +tp11097 +a(g826 +g999 +tp11098 +a(g89 +V"Page %s merged with conflicts." +p11099 +tp11100 +a(g826 +V\u000a +p11101 +tp11102 +a(g440 +Vmsgstr +p11103 +tp11104 +a(g826 +g999 +tp11105 +a(g89 +V"Seite %s wurde mit Konflikten zusammengeführt." +p11106 +tp11107 +a(g826 +V\u000a +p11108 +tp11109 +a(g826 +V\u000a +p11110 +tp11111 +a(g440 +Vmsgid +p11112 +tp11113 +a(g826 +g999 +tp11114 +a(g89 +V"Load" +p11115 +tp11116 +a(g826 +V\u000a +p11117 +tp11118 +a(g440 +Vmsgstr +p11119 +tp11120 +a(g826 +g999 +tp11121 +a(g89 +V"Laden" +p11122 +tp11123 +a(g826 +V\u000a +p11124 +tp11125 +a(g826 +V\u000a +p11126 +tp11127 +a(g440 +Vmsgid +p11128 +tp11129 +a(g826 +g999 +tp11130 +a(g89 +V"New Page or New Attachment" +p11131 +tp11132 +a(g826 +V\u000a +p11133 +tp11134 +a(g440 +Vmsgstr +p11135 +tp11136 +a(g826 +g999 +tp11137 +a(g89 +V"Neue Seite oder neuer Dateianhang" +p11138 +tp11139 +a(g826 +V\u000a +p11140 +tp11141 +a(g826 +V\u000a +p11142 +tp11143 +a(g440 +Vmsgid +p11144 +tp11145 +a(g826 +g999 +tp11146 +a(g89 +V"" +p11147 +tp11148 +a(g826 +V\u000a +p11149 +tp11150 +a(g89 +V"You can upload a file to a new page or choose to upload a file as attachment " +p11151 +tp11152 +a(g826 +V\u000a +p11153 +tp11154 +a(g89 +V"for the current page" +p11155 +tp11156 +a(g826 +V\u000a +p11157 +tp11158 +a(g440 +Vmsgstr +p11159 +tp11160 +a(g826 +g999 +tp11161 +a(g89 +V"" +p11162 +tp11163 +a(g826 +V\u000a +p11164 +tp11165 +a(g89 +V"Sie können eine Datei in eine neue Seite hochladen oder eine Datei als " +p11166 +tp11167 +a(g826 +V\u000a +p11168 +tp11169 +a(g89 +V"Dateianhang an die aktuelle Seite hochladen" +p11170 +tp11171 +a(g826 +V\u000a +p11172 +tp11173 +a(g826 +V\u000a +p11174 +tp11175 +a(g440 +Vmsgid +p11176 +tp11177 +a(g826 +g999 +tp11178 +a(g89 +V"attachment" +p11179 +tp11180 +a(g826 +V\u000a +p11181 +tp11182 +a(g440 +Vmsgstr +p11183 +tp11184 +a(g826 +g999 +tp11185 +a(g89 +V"Dateianhang" +p11186 +tp11187 +a(g826 +V\u000a +p11188 +tp11189 +a(g826 +V\u000a +p11190 +tp11191 +a(g440 +Vmsgid +p11192 +tp11193 +a(g826 +g999 +tp11194 +a(g89 +V"overwrite" +p11195 +tp11196 +a(g826 +V\u000a +p11197 +tp11198 +a(g440 +Vmsgstr +p11199 +tp11200 +a(g826 +g999 +tp11201 +a(g89 +V"überschreiben" +p11202 +tp11203 +a(g826 +V\u000a +p11204 +tp11205 +a(g826 +V\u000a +p11206 +tp11207 +a(g440 +Vmsgid +p11208 +tp11209 +a(g826 +g999 +tp11210 +a(g89 +V"New Name" +p11211 +tp11212 +a(g826 +V\u000a +p11213 +tp11214 +a(g440 +Vmsgstr +p11215 +tp11216 +a(g826 +g999 +tp11217 +a(g89 +V"Neuer Name" +p11218 +tp11219 +a(g826 +V\u000a +p11220 +tp11221 +a(g826 +V\u000a +p11222 +tp11223 +a(g748 +V#, python-format +p11224 +tp11225 +a(g826 +V\u000a +p11226 +tp11227 +a(g440 +Vmsgid +p11228 +tp11229 +a(g826 +g999 +tp11230 +a(g89 +V"(including %(localwords)d %(pagelink)s)" +p11231 +tp11232 +a(g826 +V\u000a +p11233 +tp11234 +a(g440 +Vmsgstr +p11235 +tp11236 +a(g826 +g999 +tp11237 +a(g89 +V"(inklusive %(localwords)d %(pagelink)s)" +p11238 +tp11239 +a(g826 +V\u000a +p11240 +tp11241 +a(g826 +V\u000a +p11242 +tp11243 +a(g748 +V#, python-format +p11244 +tp11245 +a(g826 +V\u000a +p11246 +tp11247 +a(g440 +Vmsgid +p11248 +tp11249 +a(g826 +g999 +tp11250 +a(g89 +V"" +p11251 +tp11252 +a(g826 +V\u000a +p11253 +tp11254 +a(g89 +V"The following %(badwords)d words could not be found in the dictionary of %" +p11255 +tp11256 +a(g826 +V\u000a +p11257 +tp11258 +a(g89 +V"(totalwords)d words%(localwords)s and are highlighted below:" +p11259 +tp11260 +a(g826 +V\u000a +p11261 +tp11262 +a(g440 +Vmsgstr +p11263 +tp11264 +a(g826 +g999 +tp11265 +a(g89 +V"" +p11266 +tp11267 +a(g826 +V\u000a +p11268 +tp11269 +a(g89 +V"Die nachfolgenden %(badwords)d Worte konnten nicht im Wörterbuch mit %" +p11270 +tp11271 +a(g826 +V\u000a +p11272 +tp11273 +a(g89 +V"(totalwords)d Worten%(localwords)s gefunden werden und sind im Text " +p11274 +tp11275 +a(g826 +V\u000a +p11276 +tp11277 +a(g89 +g1012 +tp11278 +a(g525 +Vhervorgehoben: +p11279 +tp11280 +a(g89 +g1012 +tp11281 +a(g826 +V\u000a +p11282 +tp11283 +a(g826 +V\u000a +p11284 +tp11285 +a(g440 +Vmsgid +p11286 +tp11287 +a(g826 +g999 +tp11288 +a(g89 +V"Add checked words to dictionary" +p11289 +tp11290 +a(g826 +V\u000a +p11291 +tp11292 +a(g440 +Vmsgstr +p11293 +tp11294 +a(g826 +g999 +tp11295 +a(g89 +V"Markierte Wörter zum Wörterbuch hinzufügen" +p11296 +tp11297 +a(g826 +V\u000a +p11298 +tp11299 +a(g826 +V\u000a +p11300 +tp11301 +a(g440 +Vmsgid +p11302 +tp11303 +a(g826 +g999 +tp11304 +a(g89 +V"No spelling errors found!" +p11305 +tp11306 +a(g826 +V\u000a +p11307 +tp11308 +a(g440 +Vmsgstr +p11309 +tp11310 +a(g826 +g999 +tp11311 +a(g89 +V"Keine Rechtschreibfehler gefunden!" +p11312 +tp11313 +a(g826 +V\u000a +p11314 +tp11315 +a(g826 +V\u000a +p11316 +tp11317 +a(g440 +Vmsgid +p11318 +tp11319 +a(g826 +g999 +tp11320 +a(g89 +V"You can't save spelling words." +p11321 +tp11322 +a(g826 +V\u000a +p11323 +tp11324 +a(g440 +Vmsgstr +p11325 +tp11326 +a(g826 +g999 +tp11327 +a(g89 +V"Sie können keine Rechtschreibkorrektur-Wörter abspeichern." +p11328 +tp11329 +a(g826 +V\u000a +p11330 +tp11331 +a(g826 +V\u000a +p11332 +tp11333 +a(g440 +Vmsgid +p11334 +tp11335 +a(g826 +g999 +tp11336 +a(g89 +V"You can't check spelling on a page you can't read." +p11337 +tp11338 +a(g826 +V\u000a +p11339 +tp11340 +a(g440 +Vmsgstr +p11341 +tp11342 +a(g826 +g999 +tp11343 +a(g89 +V"" +p11344 +tp11345 +a(g826 +V\u000a +p11346 +tp11347 +a(g89 +V"Sie dürfen keine Seite auf Rechtschreibung prüfen, die Sie nicht lesen " +p11348 +tp11349 +a(g826 +V\u000a +p11350 +tp11351 +a(g89 +V"können." +p11352 +tp11353 +a(g826 +V\u000a +p11354 +tp11355 +a(g826 +V\u000a +p11356 +tp11357 +a(g440 +Vmsgid +p11358 +tp11359 +a(g826 +g999 +tp11360 +a(g89 +V"You are now logged out." +p11361 +tp11362 +a(g826 +V\u000a +p11363 +tp11364 +a(g440 +Vmsgstr +p11365 +tp11366 +a(g826 +g999 +tp11367 +a(g89 +V"Sie sind nun abgemeldet." +p11368 +tp11369 +a(g826 +V\u000a +p11370 +tp11371 +a(g826 +V\u000a +p11372 +tp11373 +a(g440 +Vmsgid +p11374 +tp11375 +a(g826 +g999 +tp11376 +a(g89 +V"You are not allowed to subscribe to a page you can't read." +p11377 +tp11378 +a(g826 +V\u000a +p11379 +tp11380 +a(g440 +Vmsgstr +p11381 +tp11382 +a(g826 +g999 +tp11383 +a(g89 +V"Sie dürfen keine Seiten abonnieren, die Sie nicht lesen dürfen." +p11384 +tp11385 +a(g826 +V\u000a +p11386 +tp11387 +a(g826 +V\u000a +p11388 +tp11389 +a(g440 +Vmsgid +p11390 +tp11391 +a(g826 +g999 +tp11392 +a(g89 +V"This wiki is not enabled for mail processing." +p11393 +tp11394 +a(g826 +V\u000a +p11395 +tp11396 +a(g440 +Vmsgstr +p11397 +tp11398 +a(g826 +g999 +tp11399 +a(g89 +V"In diesem Wiki ist Mail-Verarbeitung nicht eingeschaltet." +p11400 +tp11401 +a(g826 +V\u000a +p11402 +tp11403 +a(g826 +V\u000a +p11404 +tp11405 +a(g440 +Vmsgid +p11406 +tp11407 +a(g826 +g999 +tp11408 +a(g89 +V"You must log in to use subscriptions." +p11409 +tp11410 +a(g826 +V\u000a +p11411 +tp11412 +a(g440 +Vmsgstr +p11413 +tp11414 +a(g826 +g999 +tp11415 +a(g89 +V"Sie müssen sich anmelden, um Abonnements verwenden zu können." +p11416 +tp11417 +a(g826 +V\u000a +p11418 +tp11419 +a(g826 +V\u000a +p11420 +tp11421 +a(g440 +Vmsgid +p11422 +tp11423 +a(g826 +g999 +tp11424 +a(g89 +V"Add your email address in your UserPreferences to use subscriptions." +p11425 +tp11426 +a(g826 +V\u000a +p11427 +tp11428 +a(g440 +Vmsgstr +p11429 +tp11430 +a(g826 +g999 +tp11431 +a(g89 +V"" +p11432 +tp11433 +a(g826 +V\u000a +p11434 +tp11435 +a(g89 +V"Fügen Sie Ihre E-Mail-Adresse in den BenutzerEinstellungen hinzu, um " +p11436 +tp11437 +a(g826 +V\u000a +p11438 +tp11439 +a(g89 +V"Abonnements benutzen zu können." +p11440 +tp11441 +a(g826 +V\u000a +p11442 +tp11443 +a(g826 +V\u000a +p11444 +tp11445 +a(g440 +Vmsgid +p11446 +tp11447 +a(g826 +g999 +tp11448 +a(g89 +V"Your subscription to this page has been removed." +p11449 +tp11450 +a(g826 +V\u000a +p11451 +tp11452 +a(g440 +Vmsgstr +p11453 +tp11454 +a(g826 +g999 +tp11455 +a(g89 +V"Ihr Abonnementsfür diese Seite wurde entfernt." +p11456 +tp11457 +a(g826 +V\u000a +p11458 +tp11459 +a(g826 +V\u000a +p11460 +tp11461 +a(g440 +Vmsgid +p11462 +tp11463 +a(g826 +g999 +tp11464 +a(g89 +V"Can't remove regular expression subscription!" +p11465 +tp11466 +a(g826 +V\u000a +p11467 +tp11468 +a(g440 +Vmsgstr +p11469 +tp11470 +a(g826 +g999 +tp11471 +a(g89 +V"Kann nicht Abonnement mit regulärem Ausdruck entfernen." +p11472 +tp11473 +a(g826 +V\u000a +p11474 +tp11475 +a(g826 +V\u000a +p11476 +tp11477 +a(g440 +Vmsgid +p11478 +tp11479 +a(g826 +g999 +tp11480 +a(g89 +V"Edit the subscription regular expressions in your UserPreferences." +p11481 +tp11482 +a(g826 +V\u000a +p11483 +tp11484 +a(g440 +Vmsgstr +p11485 +tp11486 +a(g826 +g999 +tp11487 +a(g89 +V"" +p11488 +tp11489 +a(g826 +V\u000a +p11490 +tp11491 +a(g89 +V"Editieren Sie die regulären Ausdrücke für Abonnements in Ihren " +p11492 +tp11493 +a(g826 +V\u000a +p11494 +tp11495 +a(g89 +V"BenutzerEinstellungen." +p11496 +tp11497 +a(g826 +V\u000a +p11498 +tp11499 +a(g826 +V\u000a +p11500 +tp11501 +a(g440 +Vmsgid +p11502 +tp11503 +a(g826 +g999 +tp11504 +a(g89 +V"You have been subscribed to this page." +p11505 +tp11506 +a(g826 +V\u000a +p11507 +tp11508 +a(g440 +Vmsgstr +p11509 +tp11510 +a(g826 +g999 +tp11511 +a(g89 +V"Die Seite wurde zur Liste abonnierter Seiten hinzugefügt." +p11512 +tp11513 +a(g826 +V\u000a +p11514 +tp11515 +a(g826 +V\u000a +p11516 +tp11517 +a(g440 +Vmsgid +p11518 +tp11519 +a(g826 +g999 +tp11520 +a(g89 +V"You could not get subscribed to this page." +p11521 +tp11522 +a(g826 +V\u000a +p11523 +tp11524 +a(g440 +Vmsgstr +p11525 +tp11526 +a(g826 +g999 +tp11527 +a(g89 +V"" +p11528 +tp11529 +a(g826 +V\u000a +p11530 +tp11531 +a(g89 +V"Die Seite konnte nicht zur Liste abonnierter Seiten hinzugefügt werden." +p11532 +tp11533 +a(g826 +V\u000a +p11534 +tp11535 +a(g826 +V\u000a +p11536 +tp11537 +a(g440 +Vmsgid +p11538 +tp11539 +a(g826 +g999 +tp11540 +a(g89 +V"General Information" +p11541 +tp11542 +a(g826 +V\u000a +p11543 +tp11544 +a(g440 +Vmsgstr +p11545 +tp11546 +a(g826 +g999 +tp11547 +a(g89 +V"Allgemeine Informationen" +p11548 +tp11549 +a(g826 +V\u000a +p11550 +tp11551 +a(g826 +V\u000a +p11552 +tp11553 +a(g748 +V#, python-format +p11554 +tp11555 +a(g826 +V\u000a +p11556 +tp11557 +a(g440 +Vmsgid +p11558 +tp11559 +a(g826 +g999 +tp11560 +a(g89 +V"Page size: %d" +p11561 +tp11562 +a(g826 +V\u000a +p11563 +tp11564 +a(g440 +Vmsgstr +p11565 +tp11566 +a(g826 +g999 +tp11567 +a(g89 +V"Seitengröße: %d" +p11568 +tp11569 +a(g826 +V\u000a +p11570 +tp11571 +a(g826 +V\u000a +p11572 +tp11573 +a(g440 +Vmsgid +p11574 +tp11575 +a(g826 +g999 +tp11576 +a(g89 +V"SHA digest of this page's content is:" +p11577 +tp11578 +a(g826 +V\u000a +p11579 +tp11580 +a(g440 +Vmsgstr +p11581 +tp11582 +a(g826 +g999 +tp11583 +a(g89 +V"Signatur des Seiteninhalts nach dem SHA-Verfahren:" +p11584 +tp11585 +a(g826 +V\u000a +p11586 +tp11587 +a(g826 +V\u000a +p11588 +tp11589 +a(g440 +Vmsgid +p11590 +tp11591 +a(g826 +g999 +tp11592 +a(g89 +V"The following users subscribed to this page:" +p11593 +tp11594 +a(g826 +V\u000a +p11595 +tp11596 +a(g440 +Vmsgstr +p11597 +tp11598 +a(g826 +g999 +tp11599 +a(g89 +V"Nachfolgende Benutzer haben diese Seite abonniert:" +p11600 +tp11601 +a(g826 +V\u000a +p11602 +tp11603 +a(g826 +V\u000a +p11604 +tp11605 +a(g440 +Vmsgid +p11606 +tp11607 +a(g826 +g999 +tp11608 +a(g89 +V"This page links to the following pages:" +p11609 +tp11610 +a(g826 +V\u000a +p11611 +tp11612 +a(g440 +Vmsgstr +p11613 +tp11614 +a(g826 +g999 +tp11615 +a(g89 +V"Diese Seite verweist auf die folgenden Seiten:" +p11616 +tp11617 +a(g826 +V\u000a +p11618 +tp11619 +a(g826 +V\u000a +p11620 +tp11621 +a(g440 +Vmsgid +p11622 +tp11623 +a(g826 +g999 +tp11624 +a(g89 +V"Diff" +p11625 +tp11626 +a(g826 +V\u000a +p11627 +tp11628 +a(g440 +Vmsgstr +p11629 +tp11630 +a(g826 +g999 +tp11631 +a(g89 +V"Differenz" +p11632 +tp11633 +a(g826 +V\u000a +p11634 +tp11635 +a(g826 +V\u000a +p11636 +tp11637 +a(g440 +Vmsgid +p11638 +tp11639 +a(g826 +g999 +tp11640 +a(g89 +V"Comment" +p11641 +tp11642 +a(g826 +V\u000a +p11643 +tp11644 +a(g440 +Vmsgstr +p11645 +tp11646 +a(g826 +g999 +tp11647 +a(g89 +V"Kommentar" +p11648 +tp11649 +a(g826 +V\u000a +p11650 +tp11651 +a(g826 +V\u000a +p11652 +tp11653 +a(g440 +Vmsgid +p11654 +tp11655 +a(g826 +g999 +tp11656 +a(g89 +V"Revision History" +p11657 +tp11658 +a(g826 +V\u000a +p11659 +tp11660 +a(g440 +Vmsgstr +p11661 +tp11662 +a(g826 +g999 +tp11663 +a(g89 +V"Versionshistorie" +p11664 +tp11665 +a(g826 +V\u000a +p11666 +tp11667 +a(g826 +V\u000a +p11668 +tp11669 +a(g440 +Vmsgid +p11670 +tp11671 +a(g826 +g999 +tp11672 +a(g89 +V"No log entries found." +p11673 +tp11674 +a(g826 +V\u000a +p11675 +tp11676 +a(g440 +Vmsgstr +p11677 +tp11678 +a(g826 +g999 +tp11679 +a(g89 +V"Keine Log-Einträge gefunden." +p11680 +tp11681 +a(g826 +V\u000a +p11682 +tp11683 +a(g826 +V\u000a +p11684 +tp11685 +a(g748 +V#, python-format +p11686 +tp11687 +a(g826 +V\u000a +p11688 +tp11689 +a(g440 +Vmsgid +p11690 +tp11691 +a(g826 +g999 +tp11692 +a(g89 +V"Info for \u005c"%s\u005c"" +p11693 +tp11694 +a(g826 +V\u000a +p11695 +tp11696 +a(g440 +Vmsgstr +p11697 +tp11698 +a(g826 +g999 +tp11699 +a(g89 +V"Info für \u005c"%s\u005c"" +p11700 +tp11701 +a(g826 +V\u000a +p11702 +tp11703 +a(g826 +V\u000a +p11704 +tp11705 +a(g748 +V#, python-format +p11706 +tp11707 +a(g826 +V\u000a +p11708 +tp11709 +a(g440 +Vmsgid +p11710 +tp11711 +a(g826 +g999 +tp11712 +a(g89 +V"Show \u005c"%(title)s\u005c"" +p11713 +tp11714 +a(g826 +V\u000a +p11715 +tp11716 +a(g440 +Vmsgstr +p11717 +tp11718 +a(g826 +g999 +tp11719 +a(g89 +V"\u005c"%(title)s\u005c" anzeigen" +p11720 +tp11721 +a(g826 +V\u000a +p11722 +tp11723 +a(g826 +V\u000a +p11724 +tp11725 +a(g440 +Vmsgid +p11726 +tp11727 +a(g826 +g999 +tp11728 +a(g89 +V"General Page Infos" +p11729 +tp11730 +a(g826 +V\u000a +p11731 +tp11732 +a(g440 +Vmsgstr +p11733 +tp11734 +a(g826 +g999 +tp11735 +a(g89 +V"Allgemeine Seiten-Informationen" +p11736 +tp11737 +a(g826 +V\u000a +p11738 +tp11739 +a(g826 +V\u000a +p11740 +tp11741 +a(g440 +Vmsgid +p11742 +tp11743 +a(g826 +g999 +tp11744 +a(g89 +V"Please log in first." +p11745 +tp11746 +a(g826 +V\u000a +p11747 +tp11748 +a(g440 +Vmsgstr +p11749 +tp11750 +a(g826 +g999 +tp11751 +a(g89 +V"Bitte melden Sie sich vorher an." +p11752 +tp11753 +a(g826 +V\u000a +p11754 +tp11755 +a(g826 +V\u000a +p11756 +tp11757 +a(g440 +Vmsgid +p11758 +tp11759 +a(g826 +g999 +tp11760 +a(g89 +V"Please first create a homepage before creating additional pages." +p11761 +tp11762 +a(g826 +V\u000a +p11763 +tp11764 +a(g440 +Vmsgstr +p11765 +tp11766 +a(g826 +g999 +tp11767 +a(g89 +V"" +p11768 +tp11769 +a(g826 +V\u000a +p11770 +tp11771 +a(g89 +V"Bitte erzeugen Sie zuerst eine Homepage, bevor Sie weitere Seiten anlegen." +p11772 +tp11773 +a(g826 +V\u000a +p11774 +tp11775 +a(g826 +V\u000a +p11776 +tp11777 +a(g748 +V#, python-format +p11778 +tp11779 +a(g826 +V\u000a +p11780 +tp11781 +a(g440 +Vmsgid +p11782 +tp11783 +a(g826 +g999 +tp11784 +a(g89 +V"" +p11785 +tp11786 +a(g826 +V\u000a +p11787 +tp11788 +a(g89 +V"You can add some additional sub pages to your already existing homepage " +p11789 +tp11790 +a(g826 +V\u000a +p11791 +tp11792 +a(g89 +V"here.\u005cn" +p11793 +tp11794 +a(g826 +V\u000a +p11795 +tp11796 +a(g89 +V"\u005cn" +p11797 +tp11798 +a(g826 +V\u000a +p11799 +tp11800 +a(g89 +V"You can choose how open to other readers or writers those pages shall be,\u005cn" +p11801 +tp11802 +a(g826 +V\u000a +p11803 +tp11804 +a(g89 +V"access is controlled by group membership of the corresponding group page.\u005cn" +p11805 +tp11806 +a(g826 +V\u000a +p11807 +tp11808 +a(g89 +V"\u005cn" +p11809 +tp11810 +a(g826 +V\u000a +p11811 +tp11812 +a(g89 +V"Just enter the sub page's name and click on the button to create a new " +p11813 +tp11814 +a(g826 +V\u000a +p11815 +tp11816 +a(g89 +V"page.\u005cn" +p11817 +tp11818 +a(g826 +V\u000a +p11819 +tp11820 +a(g89 +V"\u005cn" +p11821 +tp11822 +a(g826 +V\u000a +p11823 +tp11824 +a(g89 +V"Before creating access protected pages, make sure the corresponding group " +p11825 +tp11826 +a(g826 +V\u000a +p11827 +tp11828 +a(g89 +V"page\u005cn" +p11829 +tp11830 +a(g826 +V\u000a +p11831 +tp11832 +a(g89 +V"exists and has the appropriate members in it. Use HomepageGroupsTemplate for " +p11833 +tp11834 +a(g826 +V\u000a +p11835 +tp11836 +a(g89 +V"creating\u005cn" +p11837 +tp11838 +a(g826 +V\u000a +p11839 +tp11840 +a(g89 +V"the group pages.\u005cn" +p11841 +tp11842 +a(g826 +V\u000a +p11843 +tp11844 +a(g89 +V"\u005cn" +p11845 +tp11846 +a(g826 +V\u000a +p11847 +tp11848 +a(g89 +V"||'''Add a new personal page:'''||'''Related access control list " +p11849 +tp11850 +a(g826 +V\u000a +p11851 +tp11852 +a(g89 +g1012 +tp11853 +a(g525 +Vgroup: +p11854 +tp11855 +a(g89 +V'''||\u005cn" +p11856 +tp11857 +a(g826 +V\u000a +p11858 +tp11859 +a(g89 +V"||[[NewPage(HomepageReadWritePageTemplate,read-write page,%(username)s)]]||" +p11860 +tp11861 +a(g826 +V\u000a +p11862 +tp11863 +a(g89 +V"[\u005c"%(username)s/ReadWriteGroup\u005c"]||\u005cn" +p11864 +tp11865 +a(g826 +V\u000a +p11866 +tp11867 +a(g89 +V"||[[NewPage(HomepageReadPageTemplate,read-only page,%(username)s)]]||[\u005c"%" +p11868 +tp11869 +a(g826 +V\u000a +p11870 +tp11871 +a(g89 +V"(username)s/ReadGroup\u005c"]||\u005cn" +p11872 +tp11873 +a(g826 +V\u000a +p11874 +tp11875 +a(g89 +V"||[[NewPage(HomepagePrivatePageTemplate,private page,%(username)s)]]||%" +p11876 +tp11877 +a(g826 +V\u000a +p11878 +tp11879 +a(g89 +V"(username)s only||\u005cn" +p11880 +tp11881 +a(g826 +V\u000a +p11882 +tp11883 +a(g89 +V"\u005cn" +p11884 +tp11885 +a(g826 +V\u000a +p11886 +tp11887 +a(g440 +Vmsgstr +p11888 +tp11889 +a(g826 +g999 +tp11890 +a(g89 +V"" +p11891 +tp11892 +a(g826 +V\u000a +p11893 +tp11894 +a(g89 +V"Hier können Sie zusätzliche Unterseiten zu Ihrer bereits existierenden " +p11895 +tp11896 +a(g826 +V\u000a +p11897 +tp11898 +a(g89 +V"Homepage hinzufügen.\u005cn" +p11899 +tp11900 +a(g826 +V\u000a +p11901 +tp11902 +a(g89 +V"\u005cn" +p11903 +tp11904 +a(g826 +V\u000a +p11905 +tp11906 +a(g89 +V"Sie können wählen, wie offen diese Seiten für andere Leser oder Autoren sein " +p11907 +tp11908 +a(g826 +V\u000a +p11909 +tp11910 +a(g89 +V"sollen,\u005cn" +p11911 +tp11912 +a(g826 +V\u000a +p11913 +tp11914 +a(g89 +V"der Zugriff wird über Gruppenmitgliedschaft in der entsprechenden Gruppe " +p11915 +tp11916 +a(g826 +V\u000a +p11917 +tp11918 +a(g89 +V"kontrolliert.\u005cn" +p11919 +tp11920 +a(g826 +V\u000a +p11921 +tp11922 +a(g89 +V"\u005cn" +p11923 +tp11924 +a(g826 +V\u000a +p11925 +tp11926 +a(g89 +V"Geben Sie einfach den Namen der Unterseite ein und klicken Sie auf den " +p11927 +tp11928 +a(g826 +V\u000a +p11929 +tp11930 +a(g89 +V"Knopf, um eine neue Seite zu erzeugen.\u005cn" +p11931 +tp11932 +a(g826 +V\u000a +p11933 +tp11934 +a(g89 +V"\u005cn" +p11935 +tp11936 +a(g826 +V\u000a +p11937 +tp11938 +a(g89 +V"Bevor Sie zugriffsgeschützte Seiten erzeugen, stellen Sie sicher, dass die " +p11939 +tp11940 +a(g826 +V\u000a +p11941 +tp11942 +a(g89 +V"entsprechende Gruppenseite existiert und die richtigen Mitglieder hat. " +p11943 +tp11944 +a(g826 +V\u000a +p11945 +tp11946 +a(g89 +V"Benutzen Sie HomepageGroupsTemplate für das Erzeugen der Gruppenseiten.\u005cn" +p11947 +tp11948 +a(g826 +V\u000a +p11949 +tp11950 +a(g89 +V"\u005cn" +p11951 +tp11952 +a(g826 +V\u000a +p11953 +tp11954 +a(g89 +V"||'''Neue persönliche Seite hinzufügen:'''||'''Zugeordnete ACL-Gruppe:'''||\u005cn" +p11955 +tp11956 +a(g826 +V\u000a +p11957 +tp11958 +a(g89 +V"||[[NewPage(HomepageReadWritePageTemplate,Seite (read/write),%(username)" +p11959 +tp11960 +a(g826 +V\u000a +p11961 +tp11962 +a(g89 +V"s)]]||[\u005c"%(username)s/ReadWriteGroup\u005c"]||\u005cn" +p11963 +tp11964 +a(g826 +V\u000a +p11965 +tp11966 +a(g89 +V"||[[NewPage(HomepageReadPageTemplate,Seite (read-only),%(username)s)]]||[\u005c"%" +p11967 +tp11968 +a(g826 +V\u000a +p11969 +tp11970 +a(g89 +V"(username)s/ReadGroup\u005c"]||\u005cn" +p11971 +tp11972 +a(g826 +V\u000a +p11973 +tp11974 +a(g89 +V"||[[NewPage(HomepagePrivatePageTemplate,Seite (privat),%(username)s)]]||nur %" +p11975 +tp11976 +a(g826 +V\u000a +p11977 +tp11978 +a(g89 +V"(username)s||\u005cn" +p11979 +tp11980 +a(g826 +V\u000a +p11981 +tp11982 +a(g89 +V"\u005cn" +p11983 +tp11984 +a(g826 +V\u000a +p11985 +tp11986 +a(g826 +V\u000a +p11987 +tp11988 +a(g440 +Vmsgid +p11989 +tp11990 +a(g826 +g999 +tp11991 +a(g89 +V"MyPages management" +p11992 +tp11993 +a(g826 +V\u000a +p11994 +tp11995 +a(g440 +Vmsgstr +p11996 +tp11997 +a(g826 +g999 +tp11998 +a(g89 +V"Verwaltung meiner Seiten" +p11999 +tp12000 +a(g826 +V\u000a +p12001 +tp12002 +a(g826 +V\u000a +p12003 +tp12004 +a(g748 +V#, python-format +p12005 +tp12006 +a(g826 +V\u000a +p12007 +tp12008 +a(g440 +Vmsgid +p12009 +tp12010 +a(g826 +g999 +tp12011 +a(g89 +V"Subscribe users to the page %s" +p12012 +tp12013 +a(g826 +V\u000a +p12014 +tp12015 +a(g440 +Vmsgstr +p12016 +tp12017 +a(g826 +g999 +tp12018 +a(g89 +V"Seite %s für Benutzer abonnieren" +p12019 +tp12020 +a(g826 +V\u000a +p12021 +tp12022 +a(g826 +V\u000a +p12023 +tp12024 +a(g748 +V#, python-format +p12025 +tp12026 +a(g826 +V\u000a +p12027 +tp12028 +a(g440 +Vmsgid +p12029 +tp12030 +a(g826 +g999 +tp12031 +a(g89 +V"Subscribed for %s:" +p12032 +tp12033 +a(g826 +V\u000a +p12034 +tp12035 +a(g440 +Vmsgstr +p12036 +tp12037 +a(g826 +g999 +tp12038 +a(g89 +V"Abonnenten von %s:" +p12039 +tp12040 +a(g826 +V\u000a +p12041 +tp12042 +a(g826 +V\u000a +p12043 +tp12044 +a(g440 +Vmsgid +p12045 +tp12046 +a(g826 +g999 +tp12047 +a(g89 +V"Not a user:" +p12048 +tp12049 +a(g826 +V\u000a +p12050 +tp12051 +a(g440 +Vmsgstr +p12052 +tp12053 +a(g826 +g999 +tp12054 +a(g89 +V"Kein Benutzer:" +p12055 +tp12056 +a(g826 +V\u000a +p12057 +tp12058 +a(g826 +V\u000a +p12059 +tp12060 +a(g440 +Vmsgid +p12061 +tp12062 +a(g826 +g999 +tp12063 +a(g89 +V"You are not allowed to perform this action." +p12064 +tp12065 +a(g826 +V\u000a +p12066 +tp12067 +a(g440 +Vmsgstr +p12068 +tp12069 +a(g826 +g999 +tp12070 +a(g89 +V"Sie dürfen diese Aktion nicht ausführen." +p12071 +tp12072 +a(g826 +V\u000a +p12073 +tp12074 +a(g826 +V\u000a +p12075 +tp12076 +a(g748 +V#, python-format +p12077 +tp12078 +a(g826 +V\u000a +p12079 +tp12080 +a(g440 +Vmsgid +p12081 +tp12082 +a(g826 +g999 +tp12083 +a(g89 +V"(!) Only pages changed since '''%s''' are being displayed!" +p12084 +tp12085 +a(g826 +V\u000a +p12086 +tp12087 +a(g440 +Vmsgstr +p12088 +tp12089 +a(g826 +g999 +tp12090 +a(g89 +V"(!) Nur Seiten, die seit '''%s''' geändert wurden, werden angezeigt!" +p12091 +tp12092 +a(g826 +V\u000a +p12093 +tp12094 +a(g826 +V\u000a +p12095 +tp12096 +a(g440 +Vmsgid +p12097 +tp12098 +a(g826 +g999 +tp12099 +a(g89 +V"" +p12100 +tp12101 +a(g826 +V\u000a +p12102 +tp12103 +a(g89 +V"/!\u005c\u005c The modification date you entered was not recognized and is therefore " +p12104 +tp12105 +a(g826 +V\u000a +p12106 +tp12107 +a(g89 +V"not considered for the search results!" +p12108 +tp12109 +a(g826 +V\u000a +p12110 +tp12111 +a(g440 +Vmsgstr +p12112 +tp12113 +a(g826 +g999 +tp12114 +a(g89 +V"" +p12115 +tp12116 +a(g826 +V\u000a +p12117 +tp12118 +a(g89 +V"/!\u005c\u005c Das eingegebene Änderungsdatum wurde nicht erkannt und wird deshalb " +p12119 +tp12120 +a(g826 +V\u000a +p12121 +tp12122 +a(g89 +V"nicht bei der Suche berücksichtigt." +p12123 +tp12124 +a(g826 +V\u000a +p12125 +tp12126 +a(g826 +V\u000a +p12127 +tp12128 +a(g748 +V#, python-format +p12129 +tp12130 +a(g826 +V\u000a +p12131 +tp12132 +a(g440 +Vmsgid +p12133 +tp12134 +a(g826 +g999 +tp12135 +a(g89 +V"Title Search: \u005c"%s\u005c"" +p12136 +tp12137 +a(g826 +V\u000a +p12138 +tp12139 +a(g440 +Vmsgstr +p12140 +tp12141 +a(g826 +g999 +tp12142 +a(g89 +V"Titelsuche: \u005c"%s\u005c"" +p12143 +tp12144 +a(g826 +V\u000a +p12145 +tp12146 +a(g826 +V\u000a +p12147 +tp12148 +a(g748 +V#, python-format +p12149 +tp12150 +a(g826 +V\u000a +p12151 +tp12152 +a(g440 +Vmsgid +p12153 +tp12154 +a(g826 +g999 +tp12155 +a(g89 +V"Advanced Search: \u005c"%s\u005c"" +p12156 +tp12157 +a(g826 +V\u000a +p12158 +tp12159 +a(g440 +Vmsgstr +p12160 +tp12161 +a(g826 +g999 +tp12162 +a(g89 +V"Erweiterte Suche: \u005c"%s\u005c"" +p12163 +tp12164 +a(g826 +V\u000a +p12165 +tp12166 +a(g826 +V\u000a +p12167 +tp12168 +a(g748 +V#, python-format +p12169 +tp12170 +a(g826 +V\u000a +p12171 +tp12172 +a(g440 +Vmsgid +p12173 +tp12174 +a(g826 +g999 +tp12175 +a(g89 +V"Full Text Search: \u005c"%s\u005c"" +p12176 +tp12177 +a(g826 +V\u000a +p12178 +tp12179 +a(g440 +Vmsgstr +p12180 +tp12181 +a(g826 +g999 +tp12182 +a(g89 +V"Volltextsuche: \u005c"%s\u005c"" +p12183 +tp12184 +a(g826 +V\u000a +p12185 +tp12186 +a(g826 +V\u000a +p12187 +tp12188 +a(g748 +V#, python-format +p12189 +tp12190 +a(g826 +V\u000a +p12191 +tp12192 +a(g440 +Vmsgid +p12193 +tp12194 +a(g826 +g999 +tp12195 +a(g89 +V"" +p12196 +tp12197 +a(g826 +V\u000a +p12198 +tp12199 +a(g89 +V"Your search query {{{\u005c"%s\u005c"}}} is invalid. Please refer to HelpOnSearching " +p12200 +tp12201 +a(g826 +V\u000a +p12202 +tp12203 +a(g89 +V"for more information." +p12204 +tp12205 +a(g826 +V\u000a +p12206 +tp12207 +a(g440 +Vmsgstr +p12208 +tp12209 +a(g826 +g999 +tp12210 +a(g89 +V"" +p12211 +tp12212 +a(g826 +V\u000a +p12213 +tp12214 +a(g89 +V"Ihre Suchanfrage {{{\u005c"%s\u005c"}}} ist ungültig. Siehe HilfeZumSuchen für weitere " +p12215 +tp12216 +a(g826 +V\u000a +p12217 +tp12218 +a(g89 +V"Informationen." +p12219 +tp12220 +a(g826 +V\u000a +p12221 +tp12222 +a(g826 +V\u000a +p12223 +tp12224 +a(g748 +V#, python-format +p12225 +tp12226 +a(g826 +V\u000a +p12227 +tp12228 +a(g440 +Vmsgid +p12229 +tp12230 +a(g826 +g999 +tp12231 +a(g89 +V"" +p12232 +tp12233 +a(g826 +V\u000a +p12234 +tp12235 +a(g89 +V"Your search query {{{\u005c"%s\u005c"}}} didn't return any results. Please change some " +p12236 +tp12237 +a(g826 +V\u000a +p12238 +tp12239 +a(g89 +V"terms and refer to HelpOnSearching for more information.%s" +p12240 +tp12241 +a(g826 +V\u000a +p12242 +tp12243 +a(g440 +Vmsgstr +p12244 +tp12245 +a(g826 +g999 +tp12246 +a(g89 +V"" +p12247 +tp12248 +a(g826 +V\u000a +p12249 +tp12250 +a(g89 +V"Ihre Suche nach {{{\u005c"%s\u005c"}}} hat keine Resultate ergeben. Bitte ändern Sie " +p12251 +tp12252 +a(g826 +V\u000a +p12253 +tp12254 +a(g89 +V"einige Suchbegriffe und lesen Sie für weitere Informationen auf " +p12255 +tp12256 +a(g826 +V\u000a +p12257 +tp12258 +a(g89 +V"HilfeZumSuchen nach. %s" +p12259 +tp12260 +a(g826 +V\u000a +p12261 +tp12262 +a(g826 +V\u000a +p12263 +tp12264 +a(g440 +Vmsgid +p12265 +tp12266 +a(g826 +g999 +tp12267 +a(g89 +V"(!) Consider performing a" +p12268 +tp12269 +a(g826 +V\u000a +p12270 +tp12271 +a(g440 +Vmsgstr +p12272 +tp12273 +a(g826 +g999 +tp12274 +a(g89 +V"(!) Erwägen Sie eine" +p12275 +tp12276 +a(g826 +V\u000a +p12277 +tp12278 +a(g826 +V\u000a +p12279 +tp12280 +a(g440 +Vmsgid +p12281 +tp12282 +a(g826 +g999 +tp12283 +a(g89 +V"full-text search with your search terms" +p12284 +tp12285 +a(g826 +V\u000a +p12286 +tp12287 +a(g440 +Vmsgstr +p12288 +tp12289 +a(g826 +g999 +tp12290 +a(g89 +V"Volltextsuche mit Ihren Suchbegriffen" +p12291 +tp12292 +a(g826 +V\u000a +p12293 +tp12294 +a(g826 +V\u000a +p12295 +tp12296 +a(g440 +Vmsgid +p12297 +tp12298 +a(g826 +g999 +tp12299 +a(g89 +V"" +p12300 +tp12301 +a(g826 +V\u000a +p12302 +tp12303 +a(g89 +V"(!) You're performing a title search that might not include all related " +p12304 +tp12305 +a(g826 +V\u000a +p12306 +tp12307 +a(g89 +V"results of your search query in this wiki. [[BR]]" +p12308 +tp12309 +a(g826 +V\u000a +p12310 +tp12311 +a(g440 +Vmsgstr +p12312 +tp12313 +a(g826 +g999 +tp12314 +a(g89 +V"" +p12315 +tp12316 +a(g826 +V\u000a +p12317 +tp12318 +a(g89 +V"(!) Sie führen eine Titelsuche durch, die möglicherweise nicht alle " +p12319 +tp12320 +a(g826 +V\u000a +p12321 +tp12322 +a(g89 +V"relevanten Ergebnisse Ihrer Sucheanfrage in diesem Wiki enthält. [[BR]]" +p12323 +tp12324 +a(g826 +V\u000a +p12325 +tp12326 +a(g826 +V\u000a +p12327 +tp12328 +a(g440 +Vmsgid +p12329 +tp12330 +a(g826 +g999 +tp12331 +a(g89 +V"Click here to perform a full-text search with your search terms!" +p12332 +tp12333 +a(g826 +V\u000a +p12334 +tp12335 +a(g440 +Vmsgstr +p12336 +tp12337 +a(g826 +g999 +tp12338 +a(g89 +V"Hier klicken für eine Volltextsuche mit diesen Suchbegriffen!" +p12339 +tp12340 +a(g826 +V\u000a +p12341 +tp12342 +a(g826 +V\u000a +p12343 +tp12344 +a(g748 +V#, python-format +p12345 +tp12346 +a(g826 +V\u000a +p12347 +tp12348 +a(g440 +Vmsgid +p12349 +tp12350 +a(g826 +g999 +tp12351 +a(g89 +V"" +p12352 +tp12353 +a(g826 +V\u000a +p12354 +tp12355 +a(g89 +V"Restored Backup: %(filename)s to target dir: %(targetdir)s.\u005cn" +p12356 +tp12357 +a(g826 +V\u000a +p12358 +tp12359 +a(g89 +g1012 +tp12360 +a(g525 +VFiles: +p12361 +tp12362 +a(g89 +V %(filecount)d, Directories: %(dircount)d" +p12363 +tp12364 +a(g826 +V\u000a +p12365 +tp12366 +a(g440 +Vmsgstr +p12367 +tp12368 +a(g826 +g999 +tp12369 +a(g89 +V"" +p12370 +tp12371 +a(g826 +V\u000a +p12372 +tp12373 +a(g89 +V"Wiederhergestelltes Backup: %(filename)s nach Zielverzeichnis: %(targetdir)" +p12374 +tp12375 +a(g826 +V\u000a +p12376 +tp12377 +a(g89 +V"s.\u005cn" +p12378 +tp12379 +a(g826 +V\u000a +p12380 +tp12381 +a(g89 +g1012 +tp12382 +a(g525 +VDateien: +p12383 +tp12384 +a(g89 +V %(filecount)d, Verzeichnisse: %(dircount)d" +p12385 +tp12386 +a(g826 +V\u000a +p12387 +tp12388 +a(g826 +V\u000a +p12389 +tp12390 +a(g748 +V#, python-format +p12391 +tp12392 +a(g826 +V\u000a +p12393 +tp12394 +a(g440 +Vmsgid +p12395 +tp12396 +a(g826 +g999 +tp12397 +a(g89 +V"Restoring backup: %(filename)s to target dir: %(targetdir)s failed." +p12398 +tp12399 +a(g826 +V\u000a +p12400 +tp12401 +a(g440 +Vmsgstr +p12402 +tp12403 +a(g826 +g999 +tp12404 +a(g89 +V"" +p12405 +tp12406 +a(g826 +V\u000a +p12407 +tp12408 +a(g89 +V"Wiederherstellen von Backup %(filename)s in das Zielverzeichnis %(targetdir)" +p12409 +tp12410 +a(g826 +V\u000a +p12411 +tp12412 +a(g89 +V"s fehlgeschlagen." +p12413 +tp12414 +a(g826 +V\u000a +p12415 +tp12416 +a(g826 +V\u000a +p12417 +tp12418 +a(g440 +Vmsgid +p12419 +tp12420 +a(g826 +g999 +tp12421 +a(g89 +V"Wiki Backup / Restore" +p12422 +tp12423 +a(g826 +V\u000a +p12424 +tp12425 +a(g440 +Vmsgstr +p12426 +tp12427 +a(g826 +g999 +tp12428 +a(g89 +V"Wiki Sicherung / Wiederherstellung" +p12429 +tp12430 +a(g826 +V\u000a +p12431 +tp12432 +a(g826 +V\u000a +p12433 +tp12434 +a(g440 +Vmsgid +p12435 +tp12436 +a(g826 +g999 +tp12437 +a(g89 +V"" +p12438 +tp12439 +a(g826 +V\u000a +p12440 +tp12441 +a(g89 +V"Some hints:\u005cn" +p12442 +tp12443 +a(g826 +V\u000a +p12444 +tp12445 +a(g89 +V" * To restore a backup:\u005cn" +p12446 +tp12447 +a(g826 +V\u000a +p12448 +tp12449 +a(g89 +V" * Restoring a backup will overwrite existing data, so be careful.\u005cn" +p12450 +tp12451 +a(g826 +V\u000a +p12452 +tp12453 +a(g89 +V" * Rename it to .tar. (remove the --date--time--UTC " +p12454 +tp12455 +a(g826 +V\u000a +p12456 +tp12457 +a(g89 +V"stuff).\u005cn" +p12458 +tp12459 +a(g826 +V\u000a +p12460 +tp12461 +a(g89 +V" * Put the backup file into the backup_storage_dir (use scp, ftp, ...).\u005cn" +p12462 +tp12463 +a(g826 +V\u000a +p12464 +tp12465 +a(g89 +V" * Hit the [[GetText(Restore)]] button below.\u005cn" +p12466 +tp12467 +a(g826 +V\u000a +p12468 +tp12469 +a(g89 +V"\u005cn" +p12470 +tp12471 +a(g826 +V\u000a +p12472 +tp12473 +a(g89 +V" * To make a backup, just hit the [[GetText(Backup)]] button and save the " +p12474 +tp12475 +a(g826 +V\u000a +p12476 +tp12477 +a(g89 +V"file\u005cn" +p12478 +tp12479 +a(g826 +V\u000a +p12480 +tp12481 +a(g89 +V" you get to a secure place.\u005cn" +p12482 +tp12483 +a(g826 +V\u000a +p12484 +tp12485 +a(g89 +V"\u005cn" +p12486 +tp12487 +a(g826 +V\u000a +p12488 +tp12489 +a(g89 +V"Please make sure your wiki configuration backup_* values are correct and " +p12490 +tp12491 +a(g826 +V\u000a +p12492 +tp12493 +a(g89 +V"complete.\u005cn" +p12494 +tp12495 +a(g826 +V\u000a +p12496 +tp12497 +a(g89 +V"\u005cn" +p12498 +tp12499 +a(g826 +V\u000a +p12500 +tp12501 +a(g440 +Vmsgstr +p12502 +tp12503 +a(g826 +g999 +tp12504 +a(g89 +V"" +p12505 +tp12506 +a(g826 +V\u000a +p12507 +tp12508 +a(g89 +g1012 +tp12509 +a(g525 +VHinweise: +p12510 +tp12511 +a(g89 +V\u005cn" +p12512 +tp12513 +a(g826 +V\u000a +p12514 +tp12515 +a(g89 +V" * Um ein Backup wiederherzustellen:\u005cn" +p12516 +tp12517 +a(g826 +V\u000a +p12518 +tp12519 +a(g89 +V" * Das Wiederherstellen eines Backups wird bestehende Daten überschreiben, " +p12520 +tp12521 +a(g826 +V\u000a +p12522 +tp12523 +a(g89 +V"also seien Sie vorsichtig.\u005cn" +p12524 +tp12525 +a(g826 +V\u000a +p12526 +tp12527 +a(g89 +V" * Benennen Sie es auf .tar. um (entfernen Sie --date--" +p12528 +tp12529 +a(g826 +V\u000a +p12530 +tp12531 +a(g89 +V"time--UTC).\u005cn" +p12532 +tp12533 +a(g826 +V\u000a +p12534 +tp12535 +a(g89 +V" * Legen Sie die Backupdatei in das backup_storage_dir (mit scp, " +p12536 +tp12537 +a(g826 +V\u000a +p12538 +tp12539 +a(g89 +V"ftp, ...).\u005cn" +p12540 +tp12541 +a(g826 +V\u000a +p12542 +tp12543 +a(g89 +V" * Drücken Sie unten auf [[GetText(Restore)]]-Knopf unten.\u005cn" +p12544 +tp12545 +a(g826 +V\u000a +p12546 +tp12547 +a(g89 +V"\u005cn" +p12548 +tp12549 +a(g826 +V\u000a +p12550 +tp12551 +a(g89 +V" * Um ein Backup zu erstellen, drücken Sie einfach auf den [[GetText" +p12552 +tp12553 +a(g826 +V\u000a +p12554 +tp12555 +a(g89 +V"(Backup)]]-Knopf und sichern Sie die Datei,\u005cn" +p12556 +tp12557 +a(g826 +V\u000a +p12558 +tp12559 +a(g89 +V" die Sie erhalten an eine sichere Stelle.\u005cn" +p12560 +tp12561 +a(g826 +V\u000a +p12562 +tp12563 +a(g89 +V"\u005cn" +p12564 +tp12565 +a(g826 +V\u000a +p12566 +tp12567 +a(g89 +V"Bitte stellen Sie sicher, dass die backup_* Werte in Ihrer Wiki-" +p12568 +tp12569 +a(g826 +V\u000a +p12570 +tp12571 +a(g89 +V"Konfiguration korrekt und vollständig sind.\u005cn" +p12572 +tp12573 +a(g826 +V\u000a +p12574 +tp12575 +a(g826 +V\u000a +p12576 +tp12577 +a(g440 +Vmsgid +p12578 +tp12579 +a(g826 +g999 +tp12580 +a(g89 +V"Backup" +p12581 +tp12582 +a(g826 +V\u000a +p12583 +tp12584 +a(g440 +Vmsgstr +p12585 +tp12586 +a(g826 +g999 +tp12587 +a(g89 +V"Datensicherung" +p12588 +tp12589 +a(g826 +V\u000a +p12590 +tp12591 +a(g826 +V\u000a +p12592 +tp12593 +a(g440 +Vmsgid +p12594 +tp12595 +a(g826 +g999 +tp12596 +a(g89 +V"Restore" +p12597 +tp12598 +a(g826 +V\u000a +p12599 +tp12600 +a(g440 +Vmsgstr +p12601 +tp12602 +a(g826 +g999 +tp12603 +a(g89 +V"Datenwiederherstellung" +p12604 +tp12605 +a(g826 +V\u000a +p12606 +tp12607 +a(g826 +V\u000a +p12608 +tp12609 +a(g440 +Vmsgid +p12610 +tp12611 +a(g826 +g999 +tp12612 +a(g89 +V"You are not allowed to do remote backup." +p12613 +tp12614 +a(g826 +V\u000a +p12615 +tp12616 +a(g440 +Vmsgstr +p12617 +tp12618 +a(g826 +g999 +tp12619 +a(g89 +V"Sie dürfen kein Remote-Backup ausführen." +p12620 +tp12621 +a(g826 +V\u000a +p12622 +tp12623 +a(g826 +V\u000a +p12624 +tp12625 +a(g748 +V#, python-format +p12626 +tp12627 +a(g826 +V\u000a +p12628 +tp12629 +a(g440 +Vmsgid +p12630 +tp12631 +a(g826 +g999 +tp12632 +a(g89 +V"Unknown backup subaction: %s." +p12633 +tp12634 +a(g826 +V\u000a +p12635 +tp12636 +a(g440 +Vmsgstr +p12637 +tp12638 +a(g826 +g999 +tp12639 +a(g89 +V"Unbekannte backup Unteraktion: %s." +p12640 +tp12641 +a(g826 +V\u000a +p12642 +tp12643 +a(g826 +V\u000a +p12644 +tp12645 +a(g440 +Vmsgid +p12646 +tp12647 +a(g826 +g999 +tp12648 +a(g89 +V"You are not allowed to revert this page!" +p12649 +tp12650 +a(g826 +V\u000a +p12651 +tp12652 +a(g440 +Vmsgstr +p12653 +tp12654 +a(g826 +g999 +tp12655 +a(g89 +V"Sie dürfen diese Seite nicht restaurieren!" +p12656 +tp12657 +a(g826 +V\u000a +p12658 +tp12659 +a(g826 +V\u000a +p12660 +tp12661 +a(g440 +Vmsgid +p12662 +tp12663 +a(g826 +g999 +tp12664 +a(g89 +V"" +p12665 +tp12666 +a(g826 +V\u000a +p12667 +tp12668 +a(g89 +V"You were viewing the current revision of this page when you called the " +p12669 +tp12670 +a(g826 +V\u000a +p12671 +tp12672 +a(g89 +V"revert action. If you want to revert to an older revision, first view that " +p12673 +tp12674 +a(g826 +V\u000a +p12675 +tp12676 +a(g89 +V"older revision and then call revert to this (older) revision again." +p12677 +tp12678 +a(g826 +V\u000a +p12679 +tp12680 +a(g440 +Vmsgstr +p12681 +tp12682 +a(g826 +g999 +tp12683 +a(g89 +V"" +p12684 +tp12685 +a(g826 +V\u000a +p12686 +tp12687 +a(g89 +V"Sie haben die aktuelle Revision dieser Seite angeschaut als Sie die " +p12688 +tp12689 +a(g826 +V\u000a +p12690 +tp12691 +a(g89 +V"Restaurieren-Funktion aufgerufen haben. Wenn Sie eine ältere Revision " +p12692 +tp12693 +a(g826 +V\u000a +p12694 +tp12695 +a(g89 +V"restaurieren wollen, betrachten Sie erst diese ältere Revision und rufen Sie " +p12696 +tp12697 +a(g826 +V\u000a +p12698 +tp12699 +a(g89 +V"dann die Restaurieren-Funktion für diese ältere Revision erneut auf." +p12700 +tp12701 +a(g826 +V\u000a +p12702 +tp12703 +a(g826 +V\u000a +p12704 +tp12705 +a(g748 +V#, python-format +p12706 +tp12707 +a(g826 +V\u000a +p12708 +tp12709 +a(g440 +Vmsgid +p12710 +tp12711 +a(g826 +g999 +tp12712 +a(g89 +V"Local Site Map for \u005c"%s\u005c"" +p12713 +tp12714 +a(g826 +V\u000a +p12715 +tp12716 +a(g440 +Vmsgstr +p12717 +tp12718 +a(g826 +g999 +tp12719 +a(g89 +V"Lokale Seitenverweise für \u005c"%s\u005c"" +p12720 +tp12721 +a(g826 +V\u000a +p12722 +tp12723 +a(g826 +V\u000a +p12724 +tp12725 +a(g748 +V#, python-format +p12726 +tp12727 +a(g826 +V\u000a +p12728 +tp12729 +a(g440 +Vmsgid +p12730 +tp12731 +a(g826 +g999 +tp12732 +a(g89 +V"No pages like \u005c"%s\u005c"!" +p12733 +tp12734 +a(g826 +V\u000a +p12735 +tp12736 +a(g440 +Vmsgstr +p12737 +tp12738 +a(g826 +g999 +tp12739 +a(g89 +V"Keine Seite ähnlich wie \u005c"%s\u005c"!" +p12740 +tp12741 +a(g826 +V\u000a +p12742 +tp12743 +a(g826 +V\u000a +p12744 +tp12745 +a(g748 +V#, python-format +p12746 +tp12747 +a(g826 +V\u000a +p12748 +tp12749 +a(g440 +Vmsgid +p12750 +tp12751 +a(g826 +g999 +tp12752 +a(g89 +V"Invalid filename \u005c"%s\u005c"!" +p12753 +tp12754 +a(g826 +V\u000a +p12755 +tp12756 +a(g440 +Vmsgstr +p12757 +tp12758 +a(g826 +g999 +tp12759 +a(g89 +V"Ungültiger Dateiname \u005c"%s\u005c"!" +p12760 +tp12761 +a(g826 +V\u000a +p12762 +tp12763 +a(g826 +V\u000a +p12764 +tp12765 +a(g748 +V#, python-format +p12766 +tp12767 +a(g826 +V\u000a +p12768 +tp12769 +a(g440 +Vmsgid +p12770 +tp12771 +a(g826 +g999 +tp12772 +a(g89 +V"Created the package %s containing the pages %s." +p12773 +tp12774 +a(g826 +V\u000a +p12775 +tp12776 +a(g440 +Vmsgstr +p12777 +tp12778 +a(g826 +g999 +tp12779 +a(g89 +V"Paket %s, das die Seiten %s enthält wurde erzeugt." +p12780 +tp12781 +a(g826 +V\u000a +p12782 +tp12783 +a(g826 +V\u000a +p12784 +tp12785 +a(g440 +Vmsgid +p12786 +tp12787 +a(g826 +g999 +tp12788 +a(g89 +V"Package pages" +p12789 +tp12790 +a(g826 +V\u000a +p12791 +tp12792 +a(g440 +Vmsgstr +p12793 +tp12794 +a(g826 +g999 +tp12795 +a(g89 +V"Seiten paketieren" +p12796 +tp12797 +a(g826 +V\u000a +p12798 +tp12799 +a(g826 +V\u000a +p12800 +tp12801 +a(g440 +Vmsgid +p12802 +tp12803 +a(g826 +g999 +tp12804 +a(g89 +V"Package name" +p12805 +tp12806 +a(g826 +V\u000a +p12807 +tp12808 +a(g440 +Vmsgstr +p12809 +tp12810 +a(g826 +g999 +tp12811 +a(g89 +V"Paketname" +p12812 +tp12813 +a(g826 +V\u000a +p12814 +tp12815 +a(g826 +V\u000a +p12816 +tp12817 +a(g440 +Vmsgid +p12818 +tp12819 +a(g826 +g999 +tp12820 +a(g89 +V"List of page names - separated by a comma" +p12821 +tp12822 +a(g826 +V\u000a +p12823 +tp12824 +a(g440 +Vmsgstr +p12825 +tp12826 +a(g826 +g999 +tp12827 +a(g89 +V"Liste von Seitennamen - getrennt durch ein Komma" +p12828 +tp12829 +a(g826 +V\u000a +p12830 +tp12831 +a(g826 +V\u000a +p12832 +tp12833 +a(g440 +Vmsgid +p12834 +tp12835 +a(g826 +g999 +tp12836 +a(g89 +V"No older revisions available!" +p12837 +tp12838 +a(g826 +V\u000a +p12839 +tp12840 +a(g440 +Vmsgstr +p12841 +tp12842 +a(g826 +g999 +tp12843 +a(g89 +V"Es sind keine älteren Versionen dieser Seite verfügbar!" +p12844 +tp12845 +a(g826 +V\u000a +p12846 +tp12847 +a(g826 +V\u000a +p12848 +tp12849 +a(g748 +V#, python-format +p12850 +tp12851 +a(g826 +V\u000a +p12852 +tp12853 +a(g440 +Vmsgid +p12854 +tp12855 +a(g826 +g999 +tp12856 +a(g89 +V"Diff for \u005c"%s\u005c"" +p12857 +tp12858 +a(g826 +V\u000a +p12859 +tp12860 +a(g440 +Vmsgstr +p12861 +tp12862 +a(g826 +g999 +tp12863 +a(g89 +V"Änderungen von \u005c"%s\u005c"" +p12864 +tp12865 +a(g826 +V\u000a +p12866 +tp12867 +a(g826 +V\u000a +p12868 +tp12869 +a(g748 +V#, python-format +p12870 +tp12871 +a(g826 +V\u000a +p12872 +tp12873 +a(g440 +Vmsgid +p12874 +tp12875 +a(g826 +g999 +tp12876 +a(g89 +V"Differences between revisions %d and %d" +p12877 +tp12878 +a(g826 +V\u000a +p12879 +tp12880 +a(g440 +Vmsgstr +p12881 +tp12882 +a(g826 +g999 +tp12883 +a(g89 +V"Unterschiede zwischen den Revisionen %d und %d" +p12884 +tp12885 +a(g826 +V\u000a +p12886 +tp12887 +a(g826 +V\u000a +p12888 +tp12889 +a(g748 +V#, python-format +p12890 +tp12891 +a(g826 +V\u000a +p12892 +tp12893 +a(g440 +Vmsgid +p12894 +tp12895 +a(g826 +g999 +tp12896 +a(g89 +V"(spanning %d versions)" +p12897 +tp12898 +a(g826 +V\u000a +p12899 +tp12900 +a(g440 +Vmsgstr +p12901 +tp12902 +a(g826 +g999 +tp12903 +a(g89 +V"(über %d Versionen hinweg)" +p12904 +tp12905 +a(g826 +V\u000a +p12906 +tp12907 +a(g826 +V\u000a +p12908 +tp12909 +a(g748 +V#, python-format +p12910 +tp12911 +a(g826 +V\u000a +p12912 +tp12913 +a(g440 +Vmsgid +p12914 +tp12915 +a(g826 +g999 +tp12916 +a(g89 +V"The page was saved %(count)d times, though!" +p12917 +tp12918 +a(g826 +V\u000a +p12919 +tp12920 +a(g440 +Vmsgstr +p12921 +tp12922 +a(g826 +g999 +tp12923 +a(g89 +V"Die Seite wurde jedoch %(count)d mal gespeichert!" +p12924 +tp12925 +a(g826 +V\u000a +p12926 +tp12927 +a(g826 +V\u000a +p12928 +tp12929 +a(g440 +Vmsgid +p12930 +tp12931 +a(g826 +g999 +tp12932 +a(g89 +V"(ignoring whitespace)" +p12933 +tp12934 +a(g826 +V\u000a +p12935 +tp12936 +a(g440 +Vmsgstr +p12937 +tp12938 +a(g826 +g999 +tp12939 +a(g89 +V"(ignoriere Leerraum)" +p12940 +tp12941 +a(g826 +V\u000a +p12942 +tp12943 +a(g826 +V\u000a +p12944 +tp12945 +a(g440 +Vmsgid +p12946 +tp12947 +a(g826 +g999 +tp12948 +a(g89 +V"Ignore changes in the amount of whitespace" +p12949 +tp12950 +a(g826 +V\u000a +p12951 +tp12952 +a(g440 +Vmsgstr +p12953 +tp12954 +a(g826 +g999 +tp12955 +a(g89 +V"Ausschließlich Leerraum betreffende Änderungen ignorieren" +p12956 +tp12957 +a(g826 +V\u000a +p12958 +tp12959 +a(g826 +V\u000a +p12960 +tp12961 +a(g748 +V#, python-format +p12962 +tp12963 +a(g826 +V\u000a +p12964 +tp12965 +a(g440 +Vmsgid +p12966 +tp12967 +a(g826 +g999 +tp12968 +a(g89 +V"Exactly one page like \u005c"%s\u005c" found, redirecting to page." +p12969 +tp12970 +a(g826 +V\u000a +p12971 +tp12972 +a(g440 +Vmsgstr +p12973 +tp12974 +a(g826 +g999 +tp12975 +a(g89 +V"Genau eine Seite wie \u005c"%s\u005c" gefunden, leite dorthin weiter." +p12976 +tp12977 +a(g826 +V\u000a +p12978 +tp12979 +a(g826 +V\u000a +p12980 +tp12981 +a(g748 +V#, python-format +p12982 +tp12983 +a(g826 +V\u000a +p12984 +tp12985 +a(g440 +Vmsgid +p12986 +tp12987 +a(g826 +g999 +tp12988 +a(g89 +V"Pages like \u005c"%s\u005c"" +p12989 +tp12990 +a(g826 +V\u000a +p12991 +tp12992 +a(g440 +Vmsgstr +p12993 +tp12994 +a(g826 +g999 +tp12995 +a(g89 +V"Seiten ähnlich wie \u005c"%s\u005c"" +p12996 +tp12997 +a(g826 +V\u000a +p12998 +tp12999 +a(g826 +V\u000a +p13000 +tp13001 +a(g748 +V#, python-format +p13002 +tp13003 +a(g826 +V\u000a +p13004 +tp13005 +a(g440 +Vmsgid +p13006 +tp13007 +a(g826 +g999 +tp13008 +a(g89 +V"%(matchcount)d %(matches)s for \u005c"%(title)s\u005c"" +p13009 +tp13010 +a(g826 +V\u000a +p13011 +tp13012 +a(g440 +Vmsgstr +p13013 +tp13014 +a(g826 +g999 +tp13015 +a(g89 +V"%(matchcount)d %(matches)s passen zu \u005c"%(title)s\u005c"" +p13016 +tp13017 +a(g826 +V\u000a +p13018 +tp13019 +a(g826 +V\u000a +p13020 +tp13021 +a(g440 +Vmsgid +p13022 +tp13023 +a(g826 +g999 +tp13024 +a(g89 +V"Copy all /subpages too?" +p13025 +tp13026 +a(g826 +V\u000a +p13027 +tp13028 +a(g440 +Vmsgstr +p13029 +tp13030 +a(g826 +g999 +tp13031 +a(g89 +V"Alle /UnterSeiten auch kopieren?" +p13032 +tp13033 +a(g826 +V\u000a +p13034 +tp13035 +a(g826 +V\u000a +p13036 +tp13037 +a(g440 +Vmsgid +p13038 +tp13039 +a(g826 +g999 +tp13040 +a(g89 +V"Optional reason for the copying" +p13041 +tp13042 +a(g826 +V\u000a +p13043 +tp13044 +a(g440 +Vmsgstr +p13045 +tp13046 +a(g826 +g999 +tp13047 +a(g89 +V"Optionale Begründung für das Kopieren" +p13048 +tp13049 +a(g826 +V\u000a +p13050 +tp13051 +a(g826 +V\u000a +p13052 +tp13053 +a(g440 +Vmsgid +p13054 +tp13055 +a(g826 +g999 +tp13056 +a(g89 +V"Really copy this page?" +p13057 +tp13058 +a(g826 +V\u000a +p13059 +tp13060 +a(g440 +Vmsgstr +p13061 +tp13062 +a(g826 +g999 +tp13063 +a(g89 +V"Diese Seite wirklich kopieren?" +p13064 +tp13065 +a(g826 +V\u000a +p13066 +tp13067 +a(g826 +V\u000a +p13068 +tp13069 +a(g440 +Vmsgid +p13070 +tp13071 +a(g826 +g999 +tp13072 +a(g89 +V"" +p13073 +tp13074 +a(g826 +V\u000a +p13075 +tp13076 +a(g89 +V"Cannot create a new page without a page name. Please specify a page name." +p13077 +tp13078 +a(g826 +V\u000a +p13079 +tp13080 +a(g440 +Vmsgstr +p13081 +tp13082 +a(g826 +g999 +tp13083 +a(g89 +V"" +p13084 +tp13085 +a(g826 +V\u000a +p13086 +tp13087 +a(g89 +V"Kann keine neue Seite ohne Seitennamen anlegen - bitte geben Sie einen " +p13088 +tp13089 +a(g826 +V\u000a +p13090 +tp13091 +a(g89 +V"Seitennamen an." +p13092 +tp13093 +a(g826 +V\u000a +p13094 +tp13095 +a(g826 +V\u000a +p13096 +tp13097 +a(g440 +Vmsgid +p13098 +tp13099 +a(g826 +g999 +tp13100 +a(g89 +V"Delete" +p13101 +tp13102 +a(g826 +V\u000a +p13103 +tp13104 +a(g440 +Vmsgstr +p13105 +tp13106 +a(g826 +g999 +tp13107 +a(g89 +V"Löschen" +p13108 +tp13109 +a(g826 +V\u000a +p13110 +tp13111 +a(g826 +V\u000a +p13112 +tp13113 +a(g440 +Vmsgid +p13114 +tp13115 +a(g826 +g999 +tp13116 +a(g89 +V"Delete all /subpages too?" +p13117 +tp13118 +a(g826 +V\u000a +p13119 +tp13120 +a(g440 +Vmsgstr +p13121 +tp13122 +a(g826 +g999 +tp13123 +a(g89 +V"Alle /UnterSeiten auch löschen?" +p13124 +tp13125 +a(g826 +V\u000a +p13126 +tp13127 +a(g826 +V\u000a +p13128 +tp13129 +a(g440 +Vmsgid +p13130 +tp13131 +a(g826 +g999 +tp13132 +a(g89 +V"Optional reason for the deletion" +p13133 +tp13134 +a(g826 +V\u000a +p13135 +tp13136 +a(g440 +Vmsgstr +p13137 +tp13138 +a(g826 +g999 +tp13139 +a(g89 +V"Optionale Begründung für die Löschung" +p13140 +tp13141 +a(g826 +V\u000a +p13142 +tp13143 +a(g826 +V\u000a +p13144 +tp13145 +a(g440 +Vmsgid +p13146 +tp13147 +a(g826 +g999 +tp13148 +a(g89 +V"Really delete this page?" +p13149 +tp13150 +a(g826 +V\u000a +p13151 +tp13152 +a(g440 +Vmsgstr +p13153 +tp13154 +a(g826 +g999 +tp13155 +a(g89 +V"Diese Seite wirklich löschen?" +p13156 +tp13157 +a(g826 +V\u000a +p13158 +tp13159 +a(g826 +V\u000a +p13160 +tp13161 +a(g8 +V#~ msgid "filename" +p13162 +tp13163 +a(g826 +V\u000a +p13164 +tp13165 +a(g8 +V#~ msgstr "Dateiname" +p13166 +tp13167 +a(g826 +V\u000a +p13168 +tp13169 +a(g826 +V\u000a +p13170 +tp13171 +a(g8 +V#~ msgid "" +p13172 +tp13173 +a(g826 +V\u000a +p13174 +tp13175 +a(g8 +V#~ "~-If you submit this form, the submitted values will be displayed.\u005cn" +p13176 +tp13177 +a(g826 +V\u000a +p13178 +tp13179 +a(g8 +V#~ "To use this form on other pages, insert a\u005cn" +p13180 +tp13181 +a(g826 +V\u000a +p13182 +tp13183 +a(g8 +V#~ "[[BR]][[BR]]'''{{{ [[Form(\u005c"%(pagename)s\u005c")]]}}}'''[[BR]][[BR]]\u005cn" +p13184 +tp13185 +a(g826 +V\u000a +p13186 +tp13187 +a(g8 +V#~ "macro call.-~\u005cn" +p13188 +tp13189 +a(g826 +V\u000a +p13190 +tp13191 +a(g8 +V#~ msgstr "" +p13192 +tp13193 +a(g826 +V\u000a +p13194 +tp13195 +a(g8 +V#~ "~-Das Absenden dieses Formulars zeigt die eingegebenen Werte an.\u005cn" +p13196 +tp13197 +a(g826 +V\u000a +p13198 +tp13199 +a(g8 +V#~ "Um das Formular auf anderen Seiten zu benutzen, muss folgender " +p13200 +tp13201 +a(g826 +V\u000a +p13202 +tp13203 +a(g8 +V#~ "Makroaufruf\u005cn" +p13204 +tp13205 +a(g826 +V\u000a +p13206 +tp13207 +a(g8 +V#~ "[[BR]][[BR]]'''{{{ [[Form(\u005c"%(pagename)s\u005c")]]}}}'''[[BR]][[BR]]\u005cn" +p13208 +tp13209 +a(g826 +V\u000a +p13210 +tp13211 +a(g8 +V#~ "auf diesen Seiten platziert werden.-~\u005cn" +p13212 +tp13213 +a(g826 +V\u000a +p13214 +tp13215 +a(g826 +V\u000a +p13216 +tp13217 +a(g8 +V#~ msgid "" +p13218 +tp13219 +a(g826 +V\u000a +p13220 +tp13221 +a(g8 +V#~ "Unknown user name: {{{\u005c"%s\u005c"}}}. Please enter user name and password." +p13222 +tp13223 +a(g826 +V\u000a +p13224 +tp13225 +a(g8 +V#~ msgstr "" +p13226 +tp13227 +a(g826 +V\u000a +p13228 +tp13229 +a(g8 +V#~ "Unbekannter Benutzername: {{{\u005c"%s\u005c"}}}. Bitte geben Sie Benutzername und " +p13230 +tp13231 +a(g826 +V\u000a +p13232 +tp13233 +a(g8 +V#~ "Passwort ein." +p13234 +tp13235 +a(g826 +V\u000a +p13236 +tp13237 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.ahk b/tests/examplefiles/output/demo.ahk new file mode 100644 index 0000000..13061da --- /dev/null +++ b/tests/examplefiles/output/demo.ahk @@ -0,0 +1,7344 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* +p956 +tp957 +a(g8 +V\u000a +p958 +tp959 +a(g8 +Vm +p960 +tp961 +a(g8 +Vu +p962 +tp963 +a(g8 +Vl +p964 +tp965 +a(g8 +Vt +p966 +tp967 +a(g8 +Vi +p968 +tp969 +a(g8 +g964 +tp970 +a(g8 +g968 +tp971 +a(g8 +Vn +p972 +tp973 +a(g8 +Ve +p974 +tp975 +a(g8 +V +p976 +tp977 +a(g8 +Vc +p978 +tp979 +a(g8 +Vo +p980 +tp981 +a(g8 +g960 +tp982 +a(g8 +g960 +tp983 +a(g8 +g974 +tp984 +a(g8 +g972 +tp985 +a(g8 +g966 +tp986 +a(g8 +V\u000a +p987 +tp988 +a(g8 +g960 +tp989 +a(g8 +Vs +p990 +tp991 +a(g8 +Vg +p992 +tp993 +a(g8 +Vb +p994 +tp995 +a(g8 +g980 +tp996 +a(g8 +Vx +p997 +tp998 +a(g8 +V( +p999 +tp1000 +a(g8 +g978 +tp1001 +a(g8 +g980 +tp1002 +a(g8 +g960 +tp1003 +a(g8 +g960 +tp1004 +a(g8 +g974 +tp1005 +a(g8 +g972 +tp1006 +a(g8 +g966 +tp1007 +a(g8 +V) +p1008 +tp1009 +a(g8 +V\u000a +p1010 +tp1011 +a(g8 +V*/ +p1012 +tp1013 +a(g827 +V\u000a +p1014 +tp1015 +a(g682 +Vsend +p1016 +tp1017 +a(g706 +V, +p1018 +tp1019 +a(g827 +g976 +tp1020 +a(g436 +Vkey +p1021 +tp1022 +a(g706 +V[ +p1023 +tp1024 +a(g436 +Vpgdn +p1025 +tp1026 +a(g706 +V] +p1027 +tp1028 +a(g827 +V\u000a +p1029 +tp1030 +a(g436 +Vstring +p1031 +tp1032 +a(g827 +g976 +tp1033 +a(g413 +V:= +p1034 +tp1035 +a(g827 +g976 +tp1036 +a(g89 +V" +p1037 +tp1038 +a(g89 +Vhello +p1039 +tp1040 +a(g89 +g1037 +tp1041 +a(g827 +g976 +tp1042 +a(g413 +V. +p1043 +tp1044 +a(g827 +g976 +tp1045 +a(g436 +g997 +tp1046 +a(g827 +g976 +tp1047 +a(g413 +g1043 +tp1048 +a(g827 +g976 +tp1049 +a(g89 +g1037 +tp1050 +a(g89 +Vworld! +p1051 +tp1052 +a(g89 +g1037 +tp1053 +a(g827 +V\u000a +p1054 +tp1055 +a(g436 +Vstring +p1056 +tp1057 +a(g827 +g976 +tp1058 +a(g413 +V:= +p1059 +tp1060 +a(g827 +g976 +tp1061 +a(g89 +g1037 +tp1062 +a(g89 +Vhello +p1063 +tp1064 +a(g213 +V"" +p1065 +tp1066 +a(g89 +Vworld +p1067 +tp1068 +a(g213 +V"" +p1069 +tp1070 +a(g89 +V! +p1071 +tp1072 +a(g89 +g1037 +tp1073 +a(g827 +V\u000a +p1074 +tp1075 +a(g436 +Vstring +p1076 +tp1077 +a(g827 +g976 +tp1078 +a(g413 +V:= +p1079 +tp1080 +a(g827 +g976 +tp1081 +a(g89 +g1037 +tp1082 +a(g89 +Vhello ` +p1083 +tp1084 +a(g89 +g1037 +tp1085 +a(g436 +Vworld +p1086 +tp1087 +a(g89 +g1037 +tp1088 +a(g89 +g1037 +tp1089 +a(g413 +V! +p1090 +tp1091 +a(g827 +g976 +tp1092 +a(g89 +g1037 +tp1093 +a(g827 +V\u000a +p1094 +tp1095 +a(g906 +V; single line comment1 +p1096 +tp1097 +a(g906 +V\u000a;;; single line comment2 +p1098 +tp1099 +a(g827 +V\u000a +p1100 +tp1101 +a(g827 +V\u000a +p1102 +tp1103 +a(g597 +V::stopi:: +p1104 +tp1105 +a(g436 +Vviper_off +p1106 +tp1107 +a(g706 +g999 +tp1108 +a(g706 +g1008 +tp1109 +a(g827 +g976 +tp1110 +a(g827 +g976 +tp1111 +a(g827 +V\u000a +p1112 +tp1113 +a(g827 +V\u000a +p1114 +tp1115 +a(g597 +Va:: +p1116 +tp1117 +a(g436 +Vsend +p1118 +tp1119 +a(g706 +g1018 +tp1120 +a(g827 +g976 +tp1121 +a(g413 +V^ +p1122 +tp1123 +a(g436 +Va +p1124 +tp1125 +a(g827 +V\u000a +p1126 +tp1127 +a(g597 +Vmylabel: +p1128 +tp1129 +a(g436 +Vsend +p1130 +tp1131 +a(g706 +g1018 +tp1132 +a(g827 +g976 +tp1133 +a(g413 +g1122 +tp1134 +a(g706 +V{ +p1135 +tp1136 +a(g436 +Vspace +p1137 +tp1138 +a(g706 +V} +p1139 +tp1140 +a(g906 +V ;; set mark +p1141 +tp1142 +a(g827 +V\u000a +p1143 +tp1144 +a(g597 +Ve:: +p1145 +tp1146 +a(g436 +Vsend +p1147 +tp1148 +a(g706 +g1018 +tp1149 +a(g827 +g976 +tp1150 +a(g413 +g1122 +tp1151 +a(g436 +g974 +tp1152 +a(g827 +V\u000a +p1153 +tp1154 +a(g597 +Vn:: +p1155 +tp1156 +a(g827 +V\u000a +p1157 +tp1158 +a(g827 +V +p1159 +tp1160 +a(g682 +Vsend +p1161 +tp1162 +a(g706 +g1018 +tp1163 +a(g827 +g976 +tp1164 +a(g413 +g1122 +tp1165 +a(g436 +g972 +tp1166 +a(g827 +V\u000a +p1167 +tp1168 +a(g827 +V +p1169 +tp1170 +a(g682 +Vreturn +p1171 +tp1172 +a(g827 +V\u000a +p1173 +tp1174 +a(g413 +g1090 +tp1175 +a(g436 +g968 +tp1176 +a(g413 +V: +p1177 +tp1178 +a(g413 +g1177 +tp1179 +a(g827 +g976 +tp1180 +a(g827 +g976 +tp1181 +a(g827 +g976 +tp1182 +a(g827 +g976 +tp1183 +a(g827 +g976 +tp1184 +a(g827 +V\u000a +p1185 +tp1186 +a(g436 +Vviper +p1187 +tp1188 +a(g706 +g999 +tp1189 +a(g89 +g1037 +tp1190 +a(g89 +Viviper +p1191 +tp1192 +a(g89 +g1037 +tp1193 +a(g706 +g1008 +tp1194 +a(g906 +V ; stdlib +p1195 +tp1196 +a(g827 +V\u000a +p1197 +tp1198 +a(g436 +g997 +tp1199 +a(g827 +g976 +tp1200 +a(g413 +V= +p1201 +tp1202 +a(g827 +g976 +tp1203 +a(g89 +g1037 +tp1204 +a(g89 +Vviper +p1205 +tp1206 +a(g213 +V"" +p1207 +tp1208 +a(g89 +g976 +tp1209 +a(g89 +g1037 +tp1210 +a(g906 +V ; escaped quote +p1211 +tp1212 +a(g827 +V\u000a +p1213 +tp1214 +a(g682 +VReturn +p1215 +tp1216 +a(g827 +V\u000a +p1217 +tp1218 +a(g436 +V#If +p1219 +tp1220 +a(g827 +g976 +tp1221 +a(g574 +VWinExist +p1222 +tp1223 +a(g706 +g999 +tp1224 +a(g89 +g1037 +tp1225 +a(g89 +Viviper +p1226 +tp1227 +a(g89 +g1037 +tp1228 +a(g827 +g976 +tp1229 +a(g706 +g1008 +tp1230 +a(g827 +V\u000a +p1231 +tp1232 +a(g436 +Vindexdir +p1233 +tp1234 +a(g827 +g976 +tp1235 +a(g413 +g1201 +tp1236 +a(g827 +g976 +tp1237 +a(g441 +V%A_ScriptDir% +p1238 +tp1239 +a(g827 +V\u005c +p1240 +tp1241 +a(g441 +V%dir% +p1242 +tp1243 +a(g827 +V\u000a +p1244 +tp1245 +a(g682 +VFileCreateDir +p1246 +tp1247 +a(g706 +g1018 +tp1248 +a(g827 +g976 +tp1249 +a(g413 +V% +p1250 +tp1251 +a(g827 +g976 +tp1252 +a(g436 +Vindexdir +p1253 +tp1254 +a(g827 +V\u000a +p1255 +tp1256 +a(g436 +Vfileindex +p1257 +tp1258 +a(g827 +g976 +tp1259 +a(g413 +g1201 +tp1260 +a(g827 +g976 +tp1261 +a(g441 +V%indexdir% +p1262 +tp1263 +a(g827 +V\u005c +p1264 +tp1265 +a(g436 +V_files +p1266 +tp1267 +a(g827 +V\u000a +p1268 +tp1269 +a(g682 +VFileSelectFile +p1270 +tp1271 +a(g706 +g1018 +tp1272 +a(g827 +g976 +tp1273 +a(g436 +Vfile +p1274 +tp1275 +a(g706 +g1018 +tp1276 +a(g706 +g1018 +tp1277 +a(g706 +g1018 +tp1278 +a(g827 +g976 +tp1279 +a(g436 +VSelect +p1280 +tp1281 +a(g827 +g976 +tp1282 +a(g436 +Van +p1283 +tp1284 +a(g827 +g976 +tp1285 +a(g436 +Vimage +p1286 +tp1287 +a(g413 +g1177 +tp1288 +a(g706 +g1018 +tp1289 +a(g827 +g976 +tp1290 +a(g436 +VImages +p1291 +tp1292 +a(g827 +g976 +tp1293 +a(g706 +g999 +tp1294 +a(g413 +V* +p1295 +tp1296 +a(g413 +g1043 +tp1297 +a(g436 +Vgif +p1298 +tp1299 +a(g706 +V; +p1300 +tp1301 +a(g827 +g976 +tp1302 +a(g413 +g1295 +tp1303 +a(g413 +g1043 +tp1304 +a(g436 +Vjpg +p1305 +tp1306 +a(g706 +g1300 +tp1307 +a(g827 +g976 +tp1308 +a(g413 +g1295 +tp1309 +a(g413 +g1043 +tp1310 +a(g436 +Vbmp +p1311 +tp1312 +a(g706 +g1300 +tp1313 +a(g827 +g976 +tp1314 +a(g413 +g1295 +tp1315 +a(g413 +g1043 +tp1316 +a(g436 +Vpng +p1317 +tp1318 +a(g706 +g1300 +tp1319 +a(g827 +g976 +tp1320 +a(g413 +g1295 +tp1321 +a(g413 +g1043 +tp1322 +a(g436 +Vtif +p1323 +tp1324 +a(g706 +g1300 +tp1325 +a(g827 +g976 +tp1326 +a(g413 +g1295 +tp1327 +a(g413 +g1043 +tp1328 +a(g436 +Vico +p1329 +tp1330 +a(g706 +g1300 +tp1331 +a(g827 +g976 +tp1332 +a(g413 +g1295 +tp1333 +a(g413 +g1043 +tp1334 +a(g436 +Vcur +p1335 +tp1336 +a(g706 +g1300 +tp1337 +a(g827 +g976 +tp1338 +a(g413 +g1295 +tp1339 +a(g413 +g1043 +tp1340 +a(g436 +Vani +p1341 +tp1342 +a(g706 +g1300 +tp1343 +a(g827 +g976 +tp1344 +a(g413 +g1295 +tp1345 +a(g413 +g1043 +tp1346 +a(g436 +Vexe +p1347 +tp1348 +a(g706 +g1300 +tp1349 +a(g827 +g976 +tp1350 +a(g413 +g1295 +tp1351 +a(g413 +g1043 +tp1352 +a(g436 +Vdll +p1353 +tp1354 +a(g706 +g1008 +tp1355 +a(g906 +V\u000a\u000a; viper +p1356 +tp1357 +a(g827 +V\u000a +p1358 +tp1359 +a(g827 +V\u000a\u000a +p1360 +tp1361 +a(g597 +Vi:: +p1362 +tp1363 +a(g436 +Vviper_off +p1364 +tp1365 +a(g706 +g999 +tp1366 +a(g706 +g1008 +tp1367 +a(g827 +g976 +tp1368 +a(g827 +V\u000a +p1369 +tp1370 +a(g436 +V#If +p1371 +tp1372 +a(g906 +V\u000a\u000a;; keybindings +p1373 +tp1374 +a(g827 +V\u000a +p1375 +tp1376 +a(g436 +V#If +p1377 +tp1378 +a(g827 +g976 +tp1379 +a(g574 +VWinExist +p1380 +tp1381 +a(g706 +g999 +tp1382 +a(g89 +g1037 +tp1383 +a(g89 +Viviper +p1384 +tp1385 +a(g89 +g1037 +tp1386 +a(g706 +g1008 +tp1387 +a(g827 +g976 +tp1388 +a(g427 +Vand +p1389 +tp1390 +a(g827 +g976 +tp1391 +a(g574 +VWinActive +p1392 +tp1393 +a(g706 +g999 +tp1394 +a(g89 +g1037 +tp1395 +a(g89 +Vahk_class Emacs +p1396 +tp1397 +a(g89 +g1037 +tp1398 +a(g706 +g1008 +tp1399 +a(g827 +V\u000a +p1400 +tp1401 +a(g827 +V\u000a +p1402 +tp1403 +a(g597 +Vp:: +p1404 +tp1405 +a(g827 +V\u000a +p1406 +tp1407 +a(g597 +Vk:: +p1408 +tp1409 +a(g827 +V\u000a +p1410 +tp1411 +a(g682 +Vsend +p1412 +tp1413 +a(g706 +g1018 +tp1414 +a(g827 +g976 +tp1415 +a(g413 +g1122 +tp1416 +a(g436 +Vp +p1417 +tp1418 +a(g827 +V\u000a +p1419 +tp1420 +a(g682 +Vreturn +p1421 +tp1422 +a(g827 +V\u000a +p1423 +tp1424 +a(g706 +g1018 +tp1425 +a(g413 +g1177 +tp1426 +a(g413 +g1177 +tp1427 +a(g436 +Vsend +p1428 +tp1429 +a(g706 +g1018 +tp1430 +a(g827 +g976 +tp1431 +a(g413 +V+ +p1432 +tp1433 +a(g413 +g1090 +tp1434 +a(g706 +g1018 +tp1435 +a(g906 +V ;; beginning of page +p1436 +tp1437 +a(g827 +V\u000a +p1438 +tp1439 +a(g413 +g1043 +tp1440 +a(g413 +g1177 +tp1441 +a(g413 +g1177 +tp1442 +a(g436 +Vsend +p1443 +tp1444 +a(g706 +g1018 +tp1445 +a(g827 +g976 +tp1446 +a(g413 +g1432 +tp1447 +a(g413 +g1090 +tp1448 +a(g413 +g1043 +tp1449 +a(g906 +V ;; end of page +p1450 +tp1451 +a(g827 +V\u000a +p1452 +tp1453 +a(g706 +g1023 +tp1454 +a(g413 +g1177 +tp1455 +a(g413 +g1177 +tp1456 +a(g436 +Vsend +p1457 +tp1458 +a(g706 +g1018 +tp1459 +a(g827 +g976 +tp1460 +a(g413 +g1090 +tp1461 +a(g436 +g1124 +tp1462 +a(g827 +V\u000a +p1463 +tp1464 +a(g706 +g1027 +tp1465 +a(g413 +g1177 +tp1466 +a(g413 +g1177 +tp1467 +a(g436 +Vsend +p1468 +tp1469 +a(g706 +g1018 +tp1470 +a(g827 +g976 +tp1471 +a(g413 +g1090 +tp1472 +a(g436 +g974 +tp1473 +a(g827 +g976 +tp1474 +a(g827 +g976 +tp1475 +a(g827 +V\u000a +p1476 +tp1477 +a(g597 +Vd:: +p1478 +tp1479 +a(g827 +g976 +tp1480 +a(g413 +g1122 +tp1481 +a(g436 +Vk +p1482 +tp1483 +a(g906 +V ;; kill line +p1484 +tp1485 +a(g827 +V\u000a +p1486 +tp1487 +a(g597 +Vx:: +p1488 +tp1489 +a(g827 +g976 +tp1490 +a(g436 +Vsend +p1491 +tp1492 +a(g827 +g976 +tp1493 +a(g413 +g1122 +tp1494 +a(g436 +Vd +p1495 +tp1496 +a(g827 +V\u000a +p1497 +tp1498 +a(g597 +V\u005c:: +p1499 +tp1500 +a(g827 +g976 +tp1501 +a(g413 +g1122 +tp1502 +a(g413 +g1090 +tp1503 +a(g436 +g1482 +tp1504 +a(g906 +V ;; kill next word or sexp +p1505 +tp1506 +a(g827 +V\u000a +p1507 +tp1508 +a(g827 +V\u000a\u000a +p1509 +tp1510 +a(g682 +V#IfWinActive +p1511 +tp1512 +a(g827 +V\u000a +p1513 +tp1514 +a(g682 +V#Persistent +p1515 +tp1516 +a(g827 +V\u000a +p1517 +tp1518 +a(g827 +V \u000a +p1519 +tp1520 +a(g597 +VF2:: +p1521 +tp1522 +a(g906 +V ;; hotkey +p1523 +tp1524 +a(g827 +V\u000a +p1525 +tp1526 +a(g597 +Vstart: +p1527 +tp1528 +a(g906 +V ;; label +p1529 +tp1530 +a(g827 +V\u000a +p1531 +tp1532 +a(g597 +Vstart2: +p1533 +tp1534 +a(g906 +V ; label +p1535 +tp1536 +a(g827 +V\u000a +p1537 +tp1538 +a(g827 +g976 +tp1539 +a(g827 +g976 +tp1540 +a(g436 +Vppm +p1541 +tp1542 +a(g827 +g976 +tp1543 +a(g413 +V:= +p1544 +tp1545 +a(g827 +g976 +tp1546 +a(g436 +Vppm_new +p1547 +tp1548 +a(g706 +g999 +tp1549 +a(g37 +V50 +p1550 +tp1551 +a(g706 +g1018 +tp1552 +a(g827 +g976 +tp1553 +a(g37 +V50 +p1554 +tp1555 +a(g706 +g1018 +tp1556 +a(g827 +g976 +tp1557 +a(g37 +V255 +p1558 +tp1559 +a(g706 +g1008 +tp1560 +a(g827 +g976 +tp1561 +a(g827 +g976 +tp1562 +a(g827 +g976 +tp1563 +a(g827 +g976 +tp1564 +a(g827 +V\u000a +p1565 +tp1566 +a(g827 +g976 +tp1567 +a(g827 +g976 +tp1568 +a(g436 +Vppm_fill +p1569 +tp1570 +a(g706 +g999 +tp1571 +a(g436 +Vppm +p1572 +tp1573 +a(g706 +g1018 +tp1574 +a(g827 +g976 +tp1575 +a(g37 +V80 +p1576 +tp1577 +a(g706 +g1018 +tp1578 +a(g827 +g976 +tp1579 +a(g37 +V90 +p1580 +tp1581 +a(g706 +g1018 +tp1582 +a(g827 +g976 +tp1583 +a(g37 +V95 +p1584 +tp1585 +a(g706 +g1008 +tp1586 +a(g827 +g976 +tp1587 +a(g827 +g976 +tp1588 +a(g827 +g976 +tp1589 +a(g827 +g976 +tp1590 +a(g827 +g976 +tp1591 +a(g827 +g976 +tp1592 +a(g827 +V\u000a +p1593 +tp1594 +a(g827 +V +p1595 +tp1596 +a(g682 +Vmsgbox +p1597 +tp1598 +a(g827 +g976 +tp1599 +a(g413 +g1250 +tp1600 +a(g827 +g976 +tp1601 +a(g436 +VgetPixel +p1602 +tp1603 +a(g706 +g999 +tp1604 +a(g436 +Vppm +p1605 +tp1606 +a(g706 +g1018 +tp1607 +a(g827 +g976 +tp1608 +a(g37 +V1 +p1609 +tp1610 +a(g706 +g1018 +tp1611 +a(g827 +g976 +tp1612 +a(g37 +g1609 +tp1613 +a(g706 +g1008 +tp1614 +a(g827 +g976 +tp1615 +a(g827 +g976 +tp1616 +a(g827 +g976 +tp1617 +a(g827 +g976 +tp1618 +a(g827 +V\u000a +p1619 +tp1620 +a(g827 +g976 +tp1621 +a(g827 +g976 +tp1622 +a(g436 +VsetPixel +p1623 +tp1624 +a(g706 +g999 +tp1625 +a(g37 +V90 +p1626 +tp1627 +a(g706 +g1018 +tp1628 +a(g827 +g976 +tp1629 +a(g37 +V90 +p1630 +tp1631 +a(g706 +g1018 +tp1632 +a(g827 +g976 +tp1633 +a(g37 +V90 +p1634 +tp1635 +a(g706 +g1018 +tp1636 +a(g827 +g976 +tp1637 +a(g436 +Vppm +p1638 +tp1639 +a(g706 +g1018 +tp1640 +a(g827 +g976 +tp1641 +a(g37 +g1609 +tp1642 +a(g706 +g1018 +tp1643 +a(g827 +g976 +tp1644 +a(g37 +g1609 +tp1645 +a(g706 +g1008 +tp1646 +a(g827 +V\u000a +p1647 +tp1648 +a(g827 +V +p1649 +tp1650 +a(g682 +Vmsgbox +p1651 +tp1652 +a(g827 +g976 +tp1653 +a(g413 +g1250 +tp1654 +a(g827 +g976 +tp1655 +a(g436 +VgetPixel +p1656 +tp1657 +a(g706 +g999 +tp1658 +a(g436 +Vppm +p1659 +tp1660 +a(g706 +g1018 +tp1661 +a(g827 +g976 +tp1662 +a(g37 +g1609 +tp1663 +a(g706 +g1018 +tp1664 +a(g827 +g976 +tp1665 +a(g37 +g1609 +tp1666 +a(g706 +g1008 +tp1667 +a(g827 +V\u000a +p1668 +tp1669 +a(g827 +V +p1670 +tp1671 +a(g682 +VListVars +p1672 +tp1673 +a(g906 +V ; command +p1674 +tp1675 +a(g827 +V\u000a +p1676 +tp1677 +a(g827 +V +p1678 +tp1679 +a(g682 +Vmsgbox +p1680 +tp1681 +a(g827 +g976 +tp1682 +a(g413 +g1250 +tp1683 +a(g827 +g976 +tp1684 +a(g436 +Vppm +p1685 +tp1686 +a(g827 +V\u000a +p1687 +tp1688 +a(g827 +V +p1689 +tp1690 +a(g682 +Vreturn +p1691 +tp1692 +a(g827 +V\u000a +p1693 +tp1694 +a(g827 +g976 +tp1695 +a(g827 +g976 +tp1696 +a(g827 +V\u000a +p1697 +tp1698 +a(g827 +g976 +tp1699 +a(g827 +g976 +tp1700 +a(g827 +V\u000a +p1701 +tp1702 +a(g827 +g976 +tp1703 +a(g827 +g976 +tp1704 +a(g436 +Vppm_read +p1705 +tp1706 +a(g706 +g999 +tp1707 +a(g436 +Vfile +p1708 +tp1709 +a(g706 +g1008 +tp1710 +a(g827 +V\u000a +p1711 +tp1712 +a(g827 +g976 +tp1713 +a(g827 +g976 +tp1714 +a(g706 +g1135 +tp1715 +a(g827 +V\u000a +p1716 +tp1717 +a(g827 +V +p1718 +tp1719 +a(g682 +Vfileread +p1720 +tp1721 +a(g706 +g1018 +tp1722 +a(g827 +g976 +tp1723 +a(g436 +Vppm +p1724 +tp1725 +a(g706 +g1018 +tp1726 +a(g827 +g976 +tp1727 +a(g413 +g1250 +tp1728 +a(g827 +g976 +tp1729 +a(g436 +Vfile +p1730 +tp1731 +a(g827 +V\u000a +p1732 +tp1733 +a(g827 +g976 +tp1734 +a(g682 +Vreturn +p1735 +tp1736 +a(g827 +g976 +tp1737 +a(g436 +Vppm +p1738 +tp1739 +a(g827 +V\u000a +p1740 +tp1741 +a(g706 +g1139 +tp1742 +a(g827 +V\u000a +p1743 +tp1744 +a(g827 +V\u000a +p1745 +tp1746 +a(g597 +V::hotstring:: +p1747 +tp1748 +a(g827 +V\u000a +p1749 +tp1750 +a(g413 +g1177 +tp1751 +a(g413 +g1177 +tp1752 +a(g436 +Vhot3 +p1753 +tp1754 +a(g413 +g1177 +tp1755 +a(g413 +g1177 +tp1756 +a(g827 +V\u000a +p1757 +tp1758 +a(g436 +Vppm_width +p1759 +tp1760 +a(g706 +g999 +tp1761 +a(g436 +Vppm +p1762 +tp1763 +a(g706 +g1008 +tp1764 +a(g827 +V\u000a +p1765 +tp1766 +a(g706 +g1135 +tp1767 +a(g827 +V\u000a +p1768 +tp1769 +a(g827 +g976 +tp1770 +a(g574 +Vregexmatch +p1771 +tp1772 +a(g706 +g999 +tp1773 +a(g436 +Vppm +p1774 +tp1775 +a(g706 +g1018 +tp1776 +a(g827 +g976 +tp1777 +a(g89 +g1037 +tp1778 +a(g89 +V\u005cR(\u005cd+)\u005cs(\u005cd+) +p1779 +tp1780 +a(g89 +g1037 +tp1781 +a(g706 +g1018 +tp1782 +a(g827 +g976 +tp1783 +a(g436 +Vdim +p1784 +tp1785 +a(g706 +g1008 +tp1786 +a(g827 +V\u000a +p1787 +tp1788 +a(g827 +g976 +tp1789 +a(g682 +Vreturn +p1790 +tp1791 +a(g827 +g976 +tp1792 +a(g436 +Vdim1 +p1793 +tp1794 +a(g827 +V\u000a +p1795 +tp1796 +a(g706 +g1139 +tp1797 +a(g827 +V\u000a +p1798 +tp1799 +a(g436 +Vppm_height +p1800 +tp1801 +a(g706 +g999 +tp1802 +a(g436 +Vppm +p1803 +tp1804 +a(g706 +g1008 +tp1805 +a(g827 +V\u000a +p1806 +tp1807 +a(g706 +g1135 +tp1808 +a(g827 +V\u000a +p1809 +tp1810 +a(g827 +g976 +tp1811 +a(g574 +Vregexmatch +p1812 +tp1813 +a(g706 +g999 +tp1814 +a(g436 +Vppm +p1815 +tp1816 +a(g706 +g1018 +tp1817 +a(g827 +g976 +tp1818 +a(g89 +g1037 +tp1819 +a(g89 +V\u005cR(\u005cd+)\u005cs(\u005cd+) +p1820 +tp1821 +a(g89 +g1037 +tp1822 +a(g706 +g1018 +tp1823 +a(g827 +g976 +tp1824 +a(g436 +Vdim +p1825 +tp1826 +a(g706 +g1008 +tp1827 +a(g827 +V\u000a +p1828 +tp1829 +a(g827 +V +p1830 +tp1831 +a(g682 +Vreturn +p1832 +tp1833 +a(g827 +g976 +tp1834 +a(g436 +Vdim2 +p1835 +tp1836 +a(g827 +V\u000a +p1837 +tp1838 +a(g706 +g1139 +tp1839 +a(g827 +g976 +tp1840 +a(g827 +V\u000a +p1841 +tp1842 +a(g827 +V\u000a +p1843 +tp1844 +a(g436 +Vppm_colors +p1845 +tp1846 +a(g706 +g999 +tp1847 +a(g436 +Vppm +p1848 +tp1849 +a(g706 +g1008 +tp1850 +a(g827 +V\u000a +p1851 +tp1852 +a(g706 +g1135 +tp1853 +a(g827 +V\u000a +p1854 +tp1855 +a(g574 +Vregexmatch +p1856 +tp1857 +a(g706 +g999 +tp1858 +a(g436 +Vppm +p1859 +tp1860 +a(g706 +g1018 +tp1861 +a(g827 +g976 +tp1862 +a(g89 +g1037 +tp1863 +a(g89 +V\u005cR(\u005cd+)\u005cD*\u005cR +p1864 +tp1865 +a(g89 +g1037 +tp1866 +a(g706 +g1018 +tp1867 +a(g827 +g976 +tp1868 +a(g436 +Vcolors +p1869 +tp1870 +a(g706 +g1008 +tp1871 +a(g906 +V ; \u005cR stands for any +p1872 +tp1873 +a(g827 +V\u000a +p1874 +tp1875 +a(g682 +Vreturn +p1876 +tp1877 +a(g827 +g976 +tp1878 +a(g436 +Vcolors1 +p1879 +tp1880 +a(g827 +V\u000a +p1881 +tp1882 +a(g706 +g1139 +tp1883 +a(g827 +V\u000a +p1884 +tp1885 +a(g827 +V\u000a +p1886 +tp1887 +a(g436 +Vppm_data +p1888 +tp1889 +a(g706 +g999 +tp1890 +a(g436 +Vppm +p1891 +tp1892 +a(g706 +g1008 +tp1893 +a(g827 +V\u000a +p1894 +tp1895 +a(g706 +g1135 +tp1896 +a(g827 +V\u000a +p1897 +tp1898 +a(g436 +Vpos +p1899 +tp1900 +a(g827 +g976 +tp1901 +a(g413 +V:= +p1902 +tp1903 +a(g827 +g976 +tp1904 +a(g827 +g976 +tp1905 +a(g574 +Vregexmatch +p1906 +tp1907 +a(g706 +g999 +tp1908 +a(g436 +Vppm +p1909 +tp1910 +a(g706 +g1018 +tp1911 +a(g827 +g976 +tp1912 +a(g89 +g1037 +tp1913 +a(g89 +V\u005cR(\u005cd+)\u005cD*\u005cR +p1914 +tp1915 +a(g89 +g1037 +tp1916 +a(g706 +g1018 +tp1917 +a(g827 +g976 +tp1918 +a(g436 +Vcolors +p1919 +tp1920 +a(g706 +g1008 +tp1921 +a(g906 +V ; \u005cR stands for any newline +p1922 +tp1923 +a(g827 +V\u000a +p1924 +tp1925 +a(g682 +Vstringtrimleft +p1926 +tp1927 +a(g706 +g1018 +tp1928 +a(g827 +g976 +tp1929 +a(g436 +Vdata +p1930 +tp1931 +a(g706 +g1018 +tp1932 +a(g827 +g976 +tp1933 +a(g436 +Vppm +p1934 +tp1935 +a(g706 +g1018 +tp1936 +a(g827 +g976 +tp1937 +a(g436 +Vpos +p1938 +tp1939 +a(g827 +g976 +tp1940 +a(g413 +g1432 +tp1941 +a(g827 +g976 +tp1942 +a(g574 +Vstrlen +p1943 +tp1944 +a(g706 +g999 +tp1945 +a(g436 +Vcolors1 +p1946 +tp1947 +a(g706 +g1008 +tp1948 +a(g827 +V\u000a +p1949 +tp1950 +a(g682 +Vreturn +p1951 +tp1952 +a(g827 +g976 +tp1953 +a(g436 +Vdata +p1954 +tp1955 +a(g827 +V\u000a +p1956 +tp1957 +a(g706 +g1139 +tp1958 +a(g827 +V\u000a +p1959 +tp1960 +a(g436 +Vppm_header +p1961 +tp1962 +a(g706 +g999 +tp1963 +a(g436 +Vppm +p1964 +tp1965 +a(g706 +g1008 +tp1966 +a(g827 +V\u000a +p1967 +tp1968 +a(g706 +g1135 +tp1969 +a(g827 +V\u000a +p1970 +tp1971 +a(g436 +Vpos +p1972 +tp1973 +a(g827 +g976 +tp1974 +a(g413 +V:= +p1975 +tp1976 +a(g827 +g976 +tp1977 +a(g827 +g976 +tp1978 +a(g574 +Vregexmatch +p1979 +tp1980 +a(g706 +g999 +tp1981 +a(g436 +Vppm +p1982 +tp1983 +a(g706 +g1018 +tp1984 +a(g827 +g976 +tp1985 +a(g89 +g1037 +tp1986 +a(g89 +V\u005cR(\u005cd+)\u005cD*\u005cR +p1987 +tp1988 +a(g89 +g1037 +tp1989 +a(g706 +g1018 +tp1990 +a(g827 +g976 +tp1991 +a(g436 +Vcolors +p1992 +tp1993 +a(g706 +g1008 +tp1994 +a(g906 +V ; \u005cR stands for any newline +p1995 +tp1996 +a(g827 +V\u000a +p1997 +tp1998 +a(g682 +Vstringleft +p1999 +tp2000 +a(g706 +g1018 +tp2001 +a(g827 +g976 +tp2002 +a(g436 +Vheader +p2003 +tp2004 +a(g706 +g1018 +tp2005 +a(g827 +g976 +tp2006 +a(g436 +Vppm +p2007 +tp2008 +a(g706 +g1018 +tp2009 +a(g827 +g976 +tp2010 +a(g436 +Vpos +p2011 +tp2012 +a(g827 +g976 +tp2013 +a(g413 +g1432 +tp2014 +a(g827 +g976 +tp2015 +a(g574 +Vstrlen +p2016 +tp2017 +a(g706 +g999 +tp2018 +a(g436 +Vcolors1 +p2019 +tp2020 +a(g706 +g1008 +tp2021 +a(g827 +V\u000a +p2022 +tp2023 +a(g682 +Vreturn +p2024 +tp2025 +a(g827 +g976 +tp2026 +a(g436 +Vheader +p2027 +tp2028 +a(g827 +V\u000a +p2029 +tp2030 +a(g706 +g1139 +tp2031 +a(g827 +V\u000a +p2032 +tp2033 +a(g827 +V\u000a +p2034 +tp2035 +a(g436 +Vppm_fill +p2036 +tp2037 +a(g706 +g999 +tp2038 +a(g436 +VByRef +p2039 +tp2040 +a(g827 +g976 +tp2041 +a(g436 +Vppm +p2042 +tp2043 +a(g706 +g1018 +tp2044 +a(g827 +g976 +tp2045 +a(g436 +Vr +p2046 +tp2047 +a(g706 +g1018 +tp2048 +a(g827 +g976 +tp2049 +a(g436 +g992 +tp2050 +a(g706 +g1018 +tp2051 +a(g827 +g976 +tp2052 +a(g436 +g994 +tp2053 +a(g706 +g1008 +tp2054 +a(g827 +V\u000a +p2055 +tp2056 +a(g706 +g1135 +tp2057 +a(g827 +V\u000a +p2058 +tp2059 +a(g827 +g976 +tp2060 +a(g827 +g976 +tp2061 +a(g436 +Vwidth +p2062 +tp2063 +a(g827 +g976 +tp2064 +a(g413 +V:= +p2065 +tp2066 +a(g827 +g976 +tp2067 +a(g436 +Vppm_width +p2068 +tp2069 +a(g706 +g999 +tp2070 +a(g436 +Vppm +p2071 +tp2072 +a(g706 +g1008 +tp2073 +a(g827 +g1718 +tp2074 +a(g827 +V\u000a +p2075 +tp2076 +a(g827 +g976 +tp2077 +a(g827 +g976 +tp2078 +a(g436 +Vheight +p2079 +tp2080 +a(g827 +g976 +tp2081 +a(g413 +V:= +p2082 +tp2083 +a(g827 +g976 +tp2084 +a(g436 +Vppm_height +p2085 +tp2086 +a(g706 +g999 +tp2087 +a(g436 +Vppm +p2088 +tp2089 +a(g706 +g1008 +tp2090 +a(g827 +V\u000a +p2091 +tp2092 +a(g827 +g976 +tp2093 +a(g827 +g976 +tp2094 +a(g436 +Vheader +p2095 +tp2096 +a(g827 +g976 +tp2097 +a(g413 +V:= +p2098 +tp2099 +a(g827 +g976 +tp2100 +a(g436 +Vppm_header +p2101 +tp2102 +a(g706 +g999 +tp2103 +a(g436 +Vppm +p2104 +tp2105 +a(g706 +g1008 +tp2106 +a(g827 +V\u000a +p2107 +tp2108 +a(g827 +g976 +tp2109 +a(g827 +g976 +tp2110 +a(g436 +VheaderLength +p2111 +tp2112 +a(g827 +g976 +tp2113 +a(g413 +V:= +p2114 +tp2115 +a(g827 +g976 +tp2116 +a(g574 +Vstrlen +p2117 +tp2118 +a(g706 +g999 +tp2119 +a(g436 +Vheader +p2120 +tp2121 +a(g706 +g1008 +tp2122 +a(g827 +g976 +tp2123 +a(g827 +V\u000a +p2124 +tp2125 +a(g827 +g976 +tp2126 +a(g827 +g976 +tp2127 +a(g574 +Vvarsetcapacity +p2128 +tp2129 +a(g706 +g999 +tp2130 +a(g436 +Vdata +p2131 +tp2132 +a(g706 +g1018 +tp2133 +a(g827 +g976 +tp2134 +a(g436 +Vwidth +p2135 +tp2136 +a(g827 +g976 +tp2137 +a(g413 +g1295 +tp2138 +a(g827 +g976 +tp2139 +a(g436 +Vheight +p2140 +tp2141 +a(g706 +g1018 +tp2142 +a(g827 +g976 +tp2143 +a(g37 +V0 +p2144 +tp2145 +a(g706 +g1008 +tp2146 +a(g827 +V\u000a +p2147 +tp2148 +a(g827 +V +p2149 +tp2150 +a(g682 +Vloop +p2151 +tp2152 +a(g706 +g1018 +tp2153 +a(g827 +g976 +tp2154 +a(g413 +g1250 +tp2155 +a(g827 +g976 +tp2156 +a(g706 +g999 +tp2157 +a(g436 +Vwidth +p2158 +tp2159 +a(g827 +g976 +tp2160 +a(g413 +g1295 +tp2161 +a(g827 +g976 +tp2162 +a(g436 +Vheight +p2163 +tp2164 +a(g706 +g1008 +tp2165 +a(g827 +V\u000a +p2166 +tp2167 +a(g827 +g976 +tp2168 +a(g827 +g976 +tp2169 +a(g706 +g1135 +tp2170 +a(g827 +V\u000a +p2171 +tp2172 +a(g827 +g1718 +tp2173 +a(g682 +Vif +p2174 +tp2175 +a(g436 +g2046 +tp2176 +a(g827 +V\u000a +p2177 +tp2178 +a(g827 +g976 +tp2179 +a(g827 +g976 +tp2180 +a(g827 +g976 +tp2181 +a(g574 +Vnumput +p2182 +tp2183 +a(g706 +g999 +tp2184 +a(g436 +g2046 +tp2185 +a(g706 +g1018 +tp2186 +a(g827 +g976 +tp2187 +a(g436 +Vdata +p2188 +tp2189 +a(g706 +g1018 +tp2190 +a(g827 +g976 +tp2191 +a(g706 +g999 +tp2192 +a(g441 +VA_Index +p2193 +tp2194 +a(g827 +g976 +tp2195 +a(g413 +V- +p2196 +tp2197 +a(g827 +g976 +tp2198 +a(g37 +g1609 +tp2199 +a(g706 +g1008 +tp2200 +a(g827 +g976 +tp2201 +a(g413 +g1295 +tp2202 +a(g827 +g976 +tp2203 +a(g37 +V3 +p2204 +tp2205 +a(g706 +g1018 +tp2206 +a(g827 +g976 +tp2207 +a(g89 +g1037 +tp2208 +a(g89 +Vuchar +p2209 +tp2210 +a(g89 +g1037 +tp2211 +a(g706 +g1008 +tp2212 +a(g827 +V\u000a +p2213 +tp2214 +a(g827 +g976 +tp2215 +a(g682 +Vif +p2216 +tp2217 +a(g436 +g992 +tp2218 +a(g827 +V\u000a +p2219 +tp2220 +a(g827 +g976 +tp2221 +a(g827 +g976 +tp2222 +a(g827 +g976 +tp2223 +a(g574 +Vnumput +p2224 +tp2225 +a(g706 +g999 +tp2226 +a(g436 +g992 +tp2227 +a(g706 +g1018 +tp2228 +a(g827 +g976 +tp2229 +a(g436 +Vdata +p2230 +tp2231 +a(g706 +g1018 +tp2232 +a(g827 +g976 +tp2233 +a(g706 +g999 +tp2234 +a(g441 +VA_Index +p2235 +tp2236 +a(g827 +g976 +tp2237 +a(g413 +g2196 +tp2238 +a(g827 +g976 +tp2239 +a(g37 +g1609 +tp2240 +a(g706 +g1008 +tp2241 +a(g827 +g976 +tp2242 +a(g413 +g1295 +tp2243 +a(g827 +g976 +tp2244 +a(g37 +g2204 +tp2245 +a(g827 +g976 +tp2246 +a(g413 +g1432 +tp2247 +a(g827 +g976 +tp2248 +a(g37 +g1609 +tp2249 +a(g706 +g1018 +tp2250 +a(g827 +g976 +tp2251 +a(g89 +g1037 +tp2252 +a(g89 +Vuchar +p2253 +tp2254 +a(g89 +g1037 +tp2255 +a(g706 +g1008 +tp2256 +a(g827 +V\u000a +p2257 +tp2258 +a(g827 +g976 +tp2259 +a(g682 +Vif +p2260 +tp2261 +a(g436 +g994 +tp2262 +a(g827 +V\u000a +p2263 +tp2264 +a(g827 +g976 +tp2265 +a(g827 +g976 +tp2266 +a(g827 +g976 +tp2267 +a(g574 +Vnumput +p2268 +tp2269 +a(g706 +g999 +tp2270 +a(g436 +g994 +tp2271 +a(g706 +g1018 +tp2272 +a(g827 +g976 +tp2273 +a(g436 +Vdata +p2274 +tp2275 +a(g706 +g1018 +tp2276 +a(g827 +g976 +tp2277 +a(g706 +g999 +tp2278 +a(g441 +VA_Index +p2279 +tp2280 +a(g827 +g976 +tp2281 +a(g413 +g2196 +tp2282 +a(g827 +g976 +tp2283 +a(g37 +g1609 +tp2284 +a(g706 +g1008 +tp2285 +a(g827 +g976 +tp2286 +a(g413 +g1295 +tp2287 +a(g827 +g976 +tp2288 +a(g37 +g2204 +tp2289 +a(g827 +g976 +tp2290 +a(g413 +g1432 +tp2291 +a(g827 +g976 +tp2292 +a(g37 +V2 +p2293 +tp2294 +a(g706 +g1018 +tp2295 +a(g827 +g976 +tp2296 +a(g89 +g1037 +tp2297 +a(g89 +Vuchar +p2298 +tp2299 +a(g89 +g1037 +tp2300 +a(g706 +g1008 +tp2301 +a(g827 +V\u000a +p2302 +tp2303 +a(g706 +g1139 +tp2304 +a(g827 +V\u000a +p2305 +tp2306 +a(g436 +VVarCopy +p2307 +tp2308 +a(g706 +g999 +tp2309 +a(g413 +V& +p2310 +tp2311 +a(g436 +Vppm +p2312 +tp2313 +a(g827 +g976 +tp2314 +a(g413 +g1432 +tp2315 +a(g827 +g976 +tp2316 +a(g436 +VheaderLength +p2317 +tp2318 +a(g706 +g1018 +tp2319 +a(g827 +g976 +tp2320 +a(g413 +g2310 +tp2321 +a(g436 +Vdata +p2322 +tp2323 +a(g706 +g1018 +tp2324 +a(g827 +g976 +tp2325 +a(g436 +Vwidth +p2326 +tp2327 +a(g827 +g976 +tp2328 +a(g413 +g1295 +tp2329 +a(g827 +g976 +tp2330 +a(g436 +Vheight +p2331 +tp2332 +a(g706 +g1008 +tp2333 +a(g827 +V\u000a +p2334 +tp2335 +a(g827 +V\u000a +p2336 +tp2337 +a(g706 +g1139 +tp2338 +a(g827 +V\u000a +p2339 +tp2340 +a(g827 +V\u000a +p2341 +tp2342 +a(g436 +Vppm_new +p2343 +tp2344 +a(g706 +g999 +tp2345 +a(g436 +Vwidth +p2346 +tp2347 +a(g706 +g1018 +tp2348 +a(g827 +g976 +tp2349 +a(g436 +Vheight +p2350 +tp2351 +a(g706 +g1018 +tp2352 +a(g827 +g976 +tp2353 +a(g436 +Vcolors +p2354 +tp2355 +a(g706 +g1008 +tp2356 +a(g827 +V\u000a +p2357 +tp2358 +a(g706 +g1135 +tp2359 +a(g827 +V\u000a +p2360 +tp2361 +a(g827 +g976 +tp2362 +a(g827 +g976 +tp2363 +a(g436 +Vheader +p2364 +tp2365 +a(g827 +g976 +tp2366 +a(g413 +g1201 +tp2367 +a(g827 +g976 +tp2368 +a(g436 +VP6 +p2369 +tp2370 +a(g213 +V`n +p2371 +tp2372 +a(g441 +V%width% +p2373 +tp2374 +a(g827 +g976 +tp2375 +a(g441 +V%height% +p2376 +tp2377 +a(g213 +V`n +p2378 +tp2379 +a(g441 +V%colors% +p2380 +tp2381 +a(g213 +V`n +p2382 +tp2383 +a(g827 +V\u000a +p2384 +tp2385 +a(g827 +g976 +tp2386 +a(g827 +g976 +tp2387 +a(g436 +VheaderLength +p2388 +tp2389 +a(g827 +g976 +tp2390 +a(g413 +V:= +p2391 +tp2392 +a(g827 +g976 +tp2393 +a(g574 +Vstrlen +p2394 +tp2395 +a(g706 +g999 +tp2396 +a(g436 +Vheader +p2397 +tp2398 +a(g706 +g1008 +tp2399 +a(g827 +V\u000a +p2400 +tp2401 +a(g827 +g976 +tp2402 +a(g827 +g976 +tp2403 +a(g574 +Vvarsetcapacity +p2404 +tp2405 +a(g706 +g999 +tp2406 +a(g436 +Vppm +p2407 +tp2408 +a(g706 +g1018 +tp2409 +a(g827 +g976 +tp2410 +a(g436 +Vwidth +p2411 +tp2412 +a(g827 +g976 +tp2413 +a(g413 +g1295 +tp2414 +a(g827 +g976 +tp2415 +a(g436 +Vheight +p2416 +tp2417 +a(g827 +g976 +tp2418 +a(g413 +g1432 +tp2419 +a(g827 +g976 +tp2420 +a(g436 +VheaderLength +p2421 +tp2422 +a(g706 +g1018 +tp2423 +a(g827 +g976 +tp2424 +a(g37 +g1609 +tp2425 +a(g706 +g1008 +tp2426 +a(g827 +V\u000a +p2427 +tp2428 +a(g827 +g976 +tp2429 +a(g827 +g976 +tp2430 +a(g574 +Vvarsetcapacity +p2431 +tp2432 +a(g706 +g999 +tp2433 +a(g436 +Vdata +p2434 +tp2435 +a(g706 +g1018 +tp2436 +a(g827 +g976 +tp2437 +a(g436 +Vwidth +p2438 +tp2439 +a(g827 +g976 +tp2440 +a(g413 +g1295 +tp2441 +a(g827 +g976 +tp2442 +a(g436 +Vheight +p2443 +tp2444 +a(g706 +g1018 +tp2445 +a(g827 +g976 +tp2446 +a(g37 +g2144 +tp2447 +a(g706 +g1008 +tp2448 +a(g827 +V\u000a +p2449 +tp2450 +a(g827 +g976 +tp2451 +a(g827 +g976 +tp2452 +a(g436 +VVarCopy +p2453 +tp2454 +a(g706 +g999 +tp2455 +a(g413 +g2310 +tp2456 +a(g436 +Vppm +p2457 +tp2458 +a(g706 +g1018 +tp2459 +a(g827 +g976 +tp2460 +a(g413 +g2310 +tp2461 +a(g436 +Vheader +p2462 +tp2463 +a(g706 +g1018 +tp2464 +a(g827 +g976 +tp2465 +a(g436 +VheaderLength +p2466 +tp2467 +a(g706 +g1008 +tp2468 +a(g827 +V\u000a +p2469 +tp2470 +a(g827 +g976 +tp2471 +a(g827 +g976 +tp2472 +a(g436 +VVarCopy +p2473 +tp2474 +a(g706 +g999 +tp2475 +a(g413 +g2310 +tp2476 +a(g436 +Vppm +p2477 +tp2478 +a(g827 +g976 +tp2479 +a(g413 +g1432 +tp2480 +a(g827 +g976 +tp2481 +a(g436 +VheaderLength +p2482 +tp2483 +a(g706 +g1018 +tp2484 +a(g827 +g976 +tp2485 +a(g413 +g2310 +tp2486 +a(g436 +Vdata +p2487 +tp2488 +a(g706 +g1018 +tp2489 +a(g827 +g976 +tp2490 +a(g436 +Vwidth +p2491 +tp2492 +a(g827 +g976 +tp2493 +a(g413 +g1295 +tp2494 +a(g827 +g976 +tp2495 +a(g436 +Vheight +p2496 +tp2497 +a(g706 +g1008 +tp2498 +a(g827 +V\u000a +p2499 +tp2500 +a(g827 +V +p2501 +tp2502 +a(g682 +Vreturn +p2503 +tp2504 +a(g827 +g976 +tp2505 +a(g436 +Vppm +p2506 +tp2507 +a(g827 +V\u000a +p2508 +tp2509 +a(g706 +g1139 +tp2510 +a(g827 +V\u000a +p2511 +tp2512 +a(g827 +V\u000a +p2513 +tp2514 +a(g436 +Vheredoc +p2515 +tp2516 +a(g827 +g976 +tp2517 +a(g413 +g1201 +tp2518 +a(g827 +g976 +tp2519 +a(g827 +V\u000a +p2520 +tp2521 +a(g325 +g999 +tp2522 +a(g325 +V\u000a +p2523 +tp2524 +a(g325 +g976 +tp2525 +a(g325 +g976 +tp2526 +a(g325 +VP +p2527 +tp2528 +a(g325 +V6 +p2529 +tp2530 +a(g325 +V\u000a +p2531 +tp2532 +a(g325 +g976 +tp2533 +a(g325 +g976 +tp2534 +a(g325 +V# +p2535 +tp2536 +a(g325 +g976 +tp2537 +a(g325 +g964 +tp2538 +a(g325 +g1124 +tp2539 +a(g325 +g990 +tp2540 +a(g325 +g1495 +tp2541 +a(g325 +Vj +p2542 +tp2543 +a(g325 +g1482 +tp2544 +a(g325 +Vf +p2545 +tp2546 +a(g325 +V\u000a +p2547 +tp2548 +a(g325 +g976 +tp2549 +a(g325 +g976 +tp2550 +a(g325 +V8 +p2551 +tp2552 +a(g325 +V7 +p2553 +tp2554 +a(g325 +g976 +tp2555 +a(g325 +g2144 +tp2556 +a(g325 +V9 +p2557 +tp2558 +a(g325 +V\u000a +p2559 +tp2560 +a(g325 +g976 +tp2561 +a(g325 +g976 +tp2562 +a(g325 +g2293 +tp2563 +a(g325 +V5 +p2564 +tp2565 +a(g325 +g2564 +tp2566 +a(g325 +V\u000a +p2567 +tp2568 +a(g325 +g976 +tp2569 +a(g325 +g976 +tp2570 +a(g325 +g978 +tp2571 +a(g325 +g980 +tp2572 +a(g325 +g964 +tp2573 +a(g325 +g980 +tp2574 +a(g325 +g2046 +tp2575 +a(g325 +g976 +tp2576 +a(g325 +g1495 +tp2577 +a(g325 +g1124 +tp2578 +a(g325 +g966 +tp2579 +a(g325 +g1124 +tp2580 +a(g325 +g1043 +tp2581 +a(g325 +g1043 +tp2582 +a(g325 +g1043 +tp2583 +a(g325 +V\u000a +p2584 +tp2585 +a(g325 +g1008 +tp2586 +a(g906 +V\u000a\u000a; Example: Simple image viewer: +p2587 +tp2588 +a(g827 +V\u000a +p2589 +tp2590 +a(g827 +V\u000a +p2591 +tp2592 +a(g682 +VGui +p2593 +tp2594 +a(g706 +g1018 +tp2595 +a(g827 +g976 +tp2596 +a(g413 +g1432 +tp2597 +a(g436 +VResize +p2598 +tp2599 +a(g827 +V\u000a +p2600 +tp2601 +a(g682 +VGui +p2602 +tp2603 +a(g706 +g1018 +tp2604 +a(g827 +g976 +tp2605 +a(g436 +VAdd +p2606 +tp2607 +a(g706 +g1018 +tp2608 +a(g827 +g976 +tp2609 +a(g436 +VButton +p2610 +tp2611 +a(g706 +g1018 +tp2612 +a(g827 +g976 +tp2613 +a(g436 +Vdefault +p2614 +tp2615 +a(g706 +g1018 +tp2616 +a(g827 +g976 +tp2617 +a(g413 +g2310 +tp2618 +a(g436 +VLoad +p2619 +tp2620 +a(g827 +g976 +tp2621 +a(g436 +VNew +p2622 +tp2623 +a(g827 +g976 +tp2624 +a(g436 +VImage +p2625 +tp2626 +a(g827 +V\u000a +p2627 +tp2628 +a(g682 +VGui +p2629 +tp2630 +a(g706 +g1018 +tp2631 +a(g827 +g976 +tp2632 +a(g436 +VAdd +p2633 +tp2634 +a(g706 +g1018 +tp2635 +a(g827 +g976 +tp2636 +a(g436 +VRadio +p2637 +tp2638 +a(g706 +g1018 +tp2639 +a(g827 +g976 +tp2640 +a(g436 +Vym +p2641 +tp2642 +a(g413 +g1432 +tp2643 +a(g37 +g2564 +tp2644 +a(g827 +g976 +tp2645 +a(g436 +g997 +tp2646 +a(g413 +g1432 +tp2647 +a(g37 +V10 +p2648 +tp2649 +a(g827 +g976 +tp2650 +a(g436 +VvRadio +p2651 +tp2652 +a(g827 +g976 +tp2653 +a(g436 +Vchecked +p2654 +tp2655 +a(g706 +g1018 +tp2656 +a(g827 +g976 +tp2657 +a(g436 +VLoad +p2658 +tp2659 +a(g827 +g976 +tp2660 +a(g413 +g2310 +tp2661 +a(g436 +Vactual +p2662 +tp2663 +a(g827 +g976 +tp2664 +a(g436 +Vsize +p2665 +tp2666 +a(g827 +V\u000a +p2667 +tp2668 +a(g682 +VGui +p2669 +tp2670 +a(g706 +g1018 +tp2671 +a(g827 +g976 +tp2672 +a(g436 +VAdd +p2673 +tp2674 +a(g706 +g1018 +tp2675 +a(g827 +g976 +tp2676 +a(g436 +VRadio +p2677 +tp2678 +a(g706 +g1018 +tp2679 +a(g827 +g976 +tp2680 +a(g436 +Vym +p2681 +tp2682 +a(g413 +g1432 +tp2683 +a(g37 +g2564 +tp2684 +a(g827 +g976 +tp2685 +a(g436 +g997 +tp2686 +a(g413 +g1432 +tp2687 +a(g37 +V10 +p2688 +tp2689 +a(g706 +g1018 +tp2690 +a(g827 +g976 +tp2691 +a(g436 +VLoad +p2692 +tp2693 +a(g827 +g976 +tp2694 +a(g436 +Vto +p2695 +tp2696 +a(g827 +g976 +tp2697 +a(g413 +g2310 +tp2698 +a(g436 +Vfit +p2699 +tp2700 +a(g827 +g976 +tp2701 +a(g436 +Vscreen +p2702 +tp2703 +a(g827 +V\u000a +p2704 +tp2705 +a(g682 +VGui +p2706 +tp2707 +a(g706 +g1018 +tp2708 +a(g827 +g976 +tp2709 +a(g436 +VAdd +p2710 +tp2711 +a(g706 +g1018 +tp2712 +a(g827 +g976 +tp2713 +a(g436 +VPic +p2714 +tp2715 +a(g706 +g1018 +tp2716 +a(g827 +g976 +tp2717 +a(g436 +Vxm +p2718 +tp2719 +a(g827 +g976 +tp2720 +a(g436 +VvPic +p2721 +tp2722 +a(g827 +V\u000a +p2723 +tp2724 +a(g682 +VGui +p2725 +tp2726 +a(g706 +g1018 +tp2727 +a(g827 +g976 +tp2728 +a(g436 +VShow +p2729 +tp2730 +a(g827 +V\u000a +p2731 +tp2732 +a(g682 +Vreturn +p2733 +tp2734 +a(g827 +V\u000a +p2735 +tp2736 +a(g827 +V\u000a +p2737 +tp2738 +a(g597 +VButtonLoadNewImage: +p2739 +tp2740 +a(g827 +V\u000a +p2741 +tp2742 +a(g682 +VFileSelectFile +p2743 +tp2744 +a(g706 +g1018 +tp2745 +a(g827 +g976 +tp2746 +a(g436 +Vfile +p2747 +tp2748 +a(g706 +g1018 +tp2749 +a(g706 +g1018 +tp2750 +a(g706 +g1018 +tp2751 +a(g827 +g976 +tp2752 +a(g436 +VSelect +p2753 +tp2754 +a(g827 +g976 +tp2755 +a(g436 +Van +p2756 +tp2757 +a(g827 +g976 +tp2758 +a(g436 +Vimage +p2759 +tp2760 +a(g413 +g1177 +tp2761 +a(g706 +g1018 +tp2762 +a(g827 +g976 +tp2763 +a(g436 +VImages +p2764 +tp2765 +a(g827 +g976 +tp2766 +a(g706 +g999 +tp2767 +a(g413 +g1295 +tp2768 +a(g413 +g1043 +tp2769 +a(g436 +Vgif +p2770 +tp2771 +a(g706 +g1300 +tp2772 +a(g827 +g976 +tp2773 +a(g413 +g1295 +tp2774 +a(g413 +g1043 +tp2775 +a(g436 +Vjpg +p2776 +tp2777 +a(g706 +g1300 +tp2778 +a(g827 +g976 +tp2779 +a(g413 +g1295 +tp2780 +a(g413 +g1043 +tp2781 +a(g436 +Vbmp +p2782 +tp2783 +a(g706 +g1300 +tp2784 +a(g827 +g976 +tp2785 +a(g413 +g1295 +tp2786 +a(g413 +g1043 +tp2787 +a(g436 +Vpng +p2788 +tp2789 +a(g706 +g1300 +tp2790 +a(g827 +g976 +tp2791 +a(g413 +g1295 +tp2792 +a(g413 +g1043 +tp2793 +a(g436 +Vtif +p2794 +tp2795 +a(g706 +g1300 +tp2796 +a(g827 +g976 +tp2797 +a(g413 +g1295 +tp2798 +a(g413 +g1043 +tp2799 +a(g436 +Vico +p2800 +tp2801 +a(g706 +g1300 +tp2802 +a(g827 +g976 +tp2803 +a(g413 +g1295 +tp2804 +a(g413 +g1043 +tp2805 +a(g436 +Vcur +p2806 +tp2807 +a(g706 +g1300 +tp2808 +a(g827 +g976 +tp2809 +a(g413 +g1295 +tp2810 +a(g413 +g1043 +tp2811 +a(g436 +Vani +p2812 +tp2813 +a(g706 +g1300 +tp2814 +a(g827 +g976 +tp2815 +a(g413 +g1295 +tp2816 +a(g413 +g1043 +tp2817 +a(g436 +Vexe +p2818 +tp2819 +a(g706 +g1300 +tp2820 +a(g827 +g976 +tp2821 +a(g413 +g1295 +tp2822 +a(g413 +g1043 +tp2823 +a(g436 +Vdll +p2824 +tp2825 +a(g706 +g1008 +tp2826 +a(g827 +V\u000a +p2827 +tp2828 +a(g682 +Vif +p2829 +tp2830 +a(g436 +Vfile +p2831 +tp2832 +a(g827 +g976 +tp2833 +a(g413 +g1201 +tp2834 +a(g827 +V\u000a +p2835 +tp2836 +a(g827 +V +p2837 +tp2838 +a(g682 +Vreturn +p2839 +tp2840 +a(g827 +V\u000a +p2841 +tp2842 +a(g682 +VGui +p2843 +tp2844 +a(g706 +g1018 +tp2845 +a(g827 +g976 +tp2846 +a(g436 +VSubmit +p2847 +tp2848 +a(g706 +g1018 +tp2849 +a(g827 +g976 +tp2850 +a(g436 +VNoHide +p2851 +tp2852 +a(g906 +V ; Save the values of the radio buttons. +p2853 +tp2854 +a(g827 +V\u000a +p2855 +tp2856 +a(g682 +Vif +p2857 +tp2858 +a(g436 +VRadio +p2859 +tp2860 +a(g827 +g976 +tp2861 +a(g413 +g1201 +tp2862 +a(g827 +g976 +tp2863 +a(g37 +g1609 +tp2864 +a(g906 +V ; Display image at its actual size. +p2865 +tp2866 +a(g827 +V\u000a +p2867 +tp2868 +a(g706 +g1135 +tp2869 +a(g827 +V\u000a +p2870 +tp2871 +a(g827 +g976 +tp2872 +a(g827 +g976 +tp2873 +a(g827 +g976 +tp2874 +a(g827 +g976 +tp2875 +a(g436 +VWidth +p2876 +tp2877 +a(g827 +g976 +tp2878 +a(g413 +g1201 +tp2879 +a(g827 +g976 +tp2880 +a(g37 +g2144 +tp2881 +a(g827 +V\u000a +p2882 +tp2883 +a(g827 +g976 +tp2884 +a(g827 +g976 +tp2885 +a(g827 +g976 +tp2886 +a(g827 +g976 +tp2887 +a(g436 +VHeight +p2888 +tp2889 +a(g827 +g976 +tp2890 +a(g413 +g1201 +tp2891 +a(g827 +g976 +tp2892 +a(g37 +g2144 +tp2893 +a(g827 +V\u000a +p2894 +tp2895 +a(g706 +g1139 +tp2896 +a(g827 +V\u000a +p2897 +tp2898 +a(g682 +Velse +p2899 +tp2900 +a(g906 +V ; Second radio is selected: Resize the image to fit the screen. +p2901 +tp2902 +a(g827 +V\u000a +p2903 +tp2904 +a(g706 +g1135 +tp2905 +a(g827 +V\u000a +p2906 +tp2907 +a(g827 +g976 +tp2908 +a(g827 +g976 +tp2909 +a(g827 +g976 +tp2910 +a(g827 +g976 +tp2911 +a(g436 +VWidth +p2912 +tp2913 +a(g827 +g976 +tp2914 +a(g413 +V:= +p2915 +tp2916 +a(g827 +g976 +tp2917 +a(g441 +VA_ScreenWidth +p2918 +tp2919 +a(g827 +g976 +tp2920 +a(g413 +g2196 +tp2921 +a(g827 +g976 +tp2922 +a(g37 +V28 +p2923 +tp2924 +a(g906 +V ; Minus 28 to allow room for borders and margins inside. +p2925 +tp2926 +a(g827 +V\u000a +p2927 +tp2928 +a(g827 +g976 +tp2929 +a(g827 +g976 +tp2930 +a(g827 +g976 +tp2931 +a(g827 +g976 +tp2932 +a(g436 +VHeight +p2933 +tp2934 +a(g827 +g976 +tp2935 +a(g413 +g1201 +tp2936 +a(g827 +g976 +tp2937 +a(g413 +g2196 +tp2938 +a(g37 +g1609 +tp2939 +a(g906 +V ; "Keep aspect ratio" seems best. +p2940 +tp2941 +a(g827 +V\u000a +p2942 +tp2943 +a(g706 +g1139 +tp2944 +a(g827 +V\u000a +p2945 +tp2946 +a(g682 +VGuiControl +p2947 +tp2948 +a(g706 +g1018 +tp2949 +a(g706 +g1018 +tp2950 +a(g827 +g976 +tp2951 +a(g436 +VPic +p2952 +tp2953 +a(g706 +g1018 +tp2954 +a(g827 +g976 +tp2955 +a(g413 +g1295 +tp2956 +a(g436 +Vw +p2957 +tp2958 +a(g441 +V%width% +p2959 +tp2960 +a(g827 +g976 +tp2961 +a(g413 +g1295 +tp2962 +a(g436 +Vh +p2963 +tp2964 +a(g441 +V%height% +p2965 +tp2966 +a(g827 +g976 +tp2967 +a(g441 +V%file% +p2968 +tp2969 +a(g906 +V ; Load the image. +p2970 +tp2971 +a(g827 +V\u000a +p2972 +tp2973 +a(g682 +VGui +p2974 +tp2975 +a(g706 +g1018 +tp2976 +a(g827 +g976 +tp2977 +a(g436 +VShow +p2978 +tp2979 +a(g706 +g1018 +tp2980 +a(g827 +g976 +tp2981 +a(g436 +VxCenter +p2982 +tp2983 +a(g827 +g976 +tp2984 +a(g436 +Vy0 +p2985 +tp2986 +a(g827 +g976 +tp2987 +a(g436 +VAutoSize +p2988 +tp2989 +a(g706 +g1018 +tp2990 +a(g827 +g976 +tp2991 +a(g441 +V%file% +p2992 +tp2993 +a(g906 +V ; Resize the window to match the picture size. +p2994 +tp2995 +a(g827 +V\u000a +p2996 +tp2997 +a(g682 +Vreturn +p2998 +tp2999 +a(g827 +V\u000a +p3000 +tp3001 +a(g827 +V\u000a +p3002 +tp3003 +a(g597 +VGuiClose: +p3004 +tp3005 +a(g827 +V\u000a +p3006 +tp3007 +a(g682 +VExitApp +p3008 +tp3009 +a(g906 +V\u000a; Example: Simple text editor with menu bar. +p3010 +tp3011 +a(g906 +V\u000a\u000a; Create the sub-menus for the menu bar: +p3012 +tp3013 +a(g827 +V\u000a +p3014 +tp3015 +a(g682 +VMenu +p3016 +tp3017 +a(g706 +g1018 +tp3018 +a(g827 +g976 +tp3019 +a(g436 +VFileMenu +p3020 +tp3021 +a(g706 +g1018 +tp3022 +a(g827 +g976 +tp3023 +a(g436 +VAdd +p3024 +tp3025 +a(g706 +g1018 +tp3026 +a(g827 +g976 +tp3027 +a(g413 +g2310 +tp3028 +a(g436 +VNew +p3029 +tp3030 +a(g706 +g1018 +tp3031 +a(g827 +g976 +tp3032 +a(g436 +VFileNew +p3033 +tp3034 +a(g827 +V\u000a +p3035 +tp3036 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.cfm b/tests/examplefiles/output/demo.cfm new file mode 100644 index 0000000..7b72a46 --- /dev/null +++ b/tests/examplefiles/output/demo.cfm @@ -0,0 +1,3637 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g792 +V +p962 +tp963 +a(g7 +V\u000a +p964 +tp965 +a(g792 +V +p974 +tp975 +a(g792 +V +p976 +tp977 +a(g792 +V---> +p978 +tp979 +a(g7 +V\u000a +p980 +tp981 +a(g792 +V +p990 +tp991 +a(g792 +V\u000a +p992 +tp993 +a(g792 +V---> +p994 +tp995 +a(g7 +V\u000a +p996 +tp997 +a(g725 +V +p998 +tp999 +a(g7 +V\u000a +p1000 +tp1001 +a(g709 +V< +p1002 +tp1003 +a(g561 +Vhtml +p1004 +tp1005 +a(g709 +V> +p1006 +tp1007 +a(g7 +V\u000a +p1008 +tp1009 +a(g709 +g1002 +tp1010 +a(g561 +Vhead +p1011 +tp1012 +a(g709 +g1006 +tp1013 +a(g7 +V\u000a +p1014 +tp1015 +a(g709 +g1002 +tp1016 +a(g561 +Vtitle +p1017 +tp1018 +a(g709 +g1006 +tp1019 +a(g7 +VDate Functions +p1020 +tp1021 +a(g709 +g1002 +tp1022 +a(g709 +V/ +p1023 +tp1024 +a(g561 +Vtitle +p1025 +tp1026 +a(g709 +g1006 +tp1027 +a(g7 +V\u000a +p1028 +tp1029 +a(g709 +g1002 +tp1030 +a(g709 +g1023 +tp1031 +a(g561 +Vhead +p1032 +tp1033 +a(g709 +g1006 +tp1034 +a(g7 +V\u000a +p1035 +tp1036 +a(g709 +g1002 +tp1037 +a(g561 +Vbody +p1038 +tp1039 +a(g709 +g1006 +tp1040 +a(g7 +V\u000a +p1041 +tp1042 +a(g685 +V +p1061 +tp1062 +a(g7 +V\u000a +p1063 +tp1064 +a(g709 +V# +p1065 +tp1066 +a(g444 +VRightNow +p1067 +tp1068 +a(g709 +g1065 +tp1069 +a(g7 +g956 +tp1070 +a(g709 +g1002 +tp1071 +a(g561 +Vbr +p1072 +tp1073 +a(g7 +g976 +tp1074 +a(g709 +g1023 +tp1075 +a(g709 +g1006 +tp1076 +a(g7 +V\u000a +p1077 +tp1078 +a(g709 +g1065 +tp1079 +a(g577 +VDateFormat +p1080 +tp1081 +a(g709 +g1054 +tp1082 +a(g444 +VRightNow +p1083 +tp1084 +a(g709 +g1056 +tp1085 +a(g709 +g1065 +tp1086 +a(g7 +g956 +tp1087 +a(g709 +g1002 +tp1088 +a(g561 +Vbr +p1089 +tp1090 +a(g7 +g976 +tp1091 +a(g709 +g1023 +tp1092 +a(g709 +g1006 +tp1093 +a(g7 +V\u000a +p1094 +tp1095 +a(g709 +g1065 +tp1096 +a(g577 +VDateFormat +p1097 +tp1098 +a(g709 +g1054 +tp1099 +a(g444 +VRightNow +p1100 +tp1101 +a(g709 +V, +p1102 +tp1103 +a(g160 +V" +p1104 +tp1105 +a(g160 +Vmm/dd/yy +p1106 +tp1107 +a(g160 +g1104 +tp1108 +a(g709 +g1056 +tp1109 +a(g709 +g1065 +tp1110 +a(g7 +g956 +tp1111 +a(g709 +g1002 +tp1112 +a(g561 +Vbr +p1113 +tp1114 +a(g7 +g976 +tp1115 +a(g709 +g1023 +tp1116 +a(g709 +g1006 +tp1117 +a(g7 +V\u000a +p1118 +tp1119 +a(g709 +g1065 +tp1120 +a(g577 +VTimeFormat +p1121 +tp1122 +a(g709 +g1054 +tp1123 +a(g444 +VRightNow +p1124 +tp1125 +a(g709 +g1056 +tp1126 +a(g709 +g1065 +tp1127 +a(g7 +g956 +tp1128 +a(g709 +g1002 +tp1129 +a(g561 +Vbr +p1130 +tp1131 +a(g7 +g976 +tp1132 +a(g709 +g1023 +tp1133 +a(g709 +g1006 +tp1134 +a(g7 +V\u000a +p1135 +tp1136 +a(g709 +g1065 +tp1137 +a(g577 +VTimeFormat +p1138 +tp1139 +a(g709 +g1054 +tp1140 +a(g444 +VRightNow +p1141 +tp1142 +a(g709 +g1102 +tp1143 +a(g160 +g1104 +tp1144 +a(g160 +Vhh:mm tt +p1145 +tp1146 +a(g160 +g1104 +tp1147 +a(g709 +g1056 +tp1148 +a(g709 +g1065 +tp1149 +a(g7 +g956 +tp1150 +a(g709 +g1002 +tp1151 +a(g561 +Vbr +p1152 +tp1153 +a(g7 +g976 +tp1154 +a(g709 +g1023 +tp1155 +a(g709 +g1006 +tp1156 +a(g7 +V\u000a +p1157 +tp1158 +a(g709 +g1065 +tp1159 +a(g577 +VIsDate +p1160 +tp1161 +a(g709 +g1054 +tp1162 +a(g444 +VRightNow +p1163 +tp1164 +a(g709 +g1056 +tp1165 +a(g709 +g1065 +tp1166 +a(g7 +g956 +tp1167 +a(g709 +g1002 +tp1168 +a(g561 +Vbr +p1169 +tp1170 +a(g7 +g976 +tp1171 +a(g709 +g1023 +tp1172 +a(g709 +g1006 +tp1173 +a(g7 +V\u000a +p1174 +tp1175 +a(g709 +g1065 +tp1176 +a(g577 +VIsDate +p1177 +tp1178 +a(g709 +g1054 +tp1179 +a(g160 +g1104 +tp1180 +a(g160 +VJanuary 31, 2007 +p1181 +tp1182 +a(g160 +g1104 +tp1183 +a(g709 +g1056 +tp1184 +a(g709 +g1065 +tp1185 +a(g7 +g956 +tp1186 +a(g709 +g1002 +tp1187 +a(g561 +Vbr +p1188 +tp1189 +a(g7 +g976 +tp1190 +a(g709 +g1023 +tp1191 +a(g709 +g1006 +tp1192 +a(g7 +V\u000a +p1193 +tp1194 +a(g709 +g1065 +tp1195 +a(g577 +VIsDate +p1196 +tp1197 +a(g709 +g1054 +tp1198 +a(g160 +g1104 +tp1199 +a(g160 +Vfoo +p1200 +tp1201 +a(g160 +g1104 +tp1202 +a(g709 +g1056 +tp1203 +a(g709 +g1065 +tp1204 +a(g7 +g956 +tp1205 +a(g709 +g1002 +tp1206 +a(g561 +Vbr +p1207 +tp1208 +a(g7 +g976 +tp1209 +a(g709 +g1023 +tp1210 +a(g709 +g1006 +tp1211 +a(g7 +V\u000a +p1212 +tp1213 +a(g709 +g1065 +tp1214 +a(g577 +VDaysInMonth +p1215 +tp1216 +a(g709 +g1054 +tp1217 +a(g444 +VRightNow +p1218 +tp1219 +a(g709 +g1056 +tp1220 +a(g709 +g1065 +tp1221 +a(g7 +V\u000a +p1222 +tp1223 +a(g685 +V +p1224 +tp1225 +a(g7 +V\u000a +p1226 +tp1227 +a(g685 +V +p1264 +tp1265 +a(g7 +V\u000a +p1266 +tp1267 +a(g709 +g1065 +tp1268 +a(g444 +g1231 +tp1269 +a(g709 +g1065 +tp1270 +a(g7 +V\u000a +p1271 +tp1272 +a(g685 +V +p1273 +tp1274 +a(g709 +g1065 +tp1275 +a(g444 +g1243 +tp1276 +a(g709 +g1065 +tp1277 +a(g685 +V +p1278 +tp1279 +a(g7 +V\u000a +p1280 +tp1281 +a(g709 +g1065 +tp1282 +a(g444 +g1255 +tp1283 +a(g709 +g1065 +tp1284 +a(g7 +V\u000a +p1285 +tp1286 +a(g685 +V +p1287 +tp1288 +a(g7 +V\u000a +p1289 +tp1290 +a(g709 +g1002 +tp1291 +a(g709 +g1023 +tp1292 +a(g561 +Vbody +p1293 +tp1294 +a(g709 +g1006 +tp1295 +a(g7 +V\u000a +p1296 +tp1297 +a(g709 +g1002 +tp1298 +a(g709 +g1023 +tp1299 +a(g561 +Vhtml +p1300 +tp1301 +a(g709 +g1006 +tp1302 +a(g7 +V\u000a\u000a +p1303 +tp1304 +a(g685 +V +p1486 +tp1487 +a(g792 +V---> +p1488 +tp1489 +a(g792 +V comment +p1490 +tp1491 +a(g792 +V---> +p1492 +tp1493 +a(g7 +V\u000a +p1494 +tp1495 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.css.in b/tests/examplefiles/output/demo.css.in new file mode 100644 index 0000000..706ac2c --- /dev/null +++ b/tests/examplefiles/output/demo.css.in @@ -0,0 +1,2460 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g722 +V% +p958 +tp959 +a(g722 +Vif +p960 +tp961 +a(g892 +V +p962 +tp963 +a(g810 +Vdefined +p964 +tp965 +a(g7 +V( +p966 +tp967 +a(g428 +V__foo__ +p968 +tp969 +a(g7 +V) +p970 +tp971 +a(g892 +V\u000a +p972 +tp973 +a(g7 +V. +p974 +tp975 +a(g616 +Vcls +p976 +tp977 +a(g892 +g962 +tp978 +a(g7 +V{ +p979 +tp980 +a(g892 +V\u000a +p981 +tp982 +a(g7 +g956 +tp983 +a(g892 +V +p984 +tp985 +a(g810 +Vcolor +p986 +tp987 +a(g7 +V: +p988 +tp989 +a(g892 +g962 +tp990 +a(g285 +V#fff +p991 +tp992 +a(g7 +V; +p993 +tp994 +a(g892 +V\u000a +p995 +tp996 +a(g7 +g956 +tp997 +a(g7 +V} +p998 +tp999 +a(g892 +V\u000a +p1000 +tp1001 +a(g722 +g958 +tp1002 +a(g722 +Vendif +p1003 +tp1004 +a(g892 +V\u000a +p1005 +tp1006 +a(g722 +g958 +tp1007 +a(g722 +Vliteral +p1008 +tp1009 +a(g892 +V %foo +p1010 +tp1011 +a(g892 +V\u000a +p1012 +tp1013 +a(g7 +g956 +tp1014 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.frt b/tests/examplefiles/output/demo.frt new file mode 100644 index 0000000..10d6894 --- /dev/null +++ b/tests/examplefiles/output/demo.frt @@ -0,0 +1,2426 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Literal' +p4 +S'Number' +p5 +S'Integer' +p6 +tp7 +tp8 +Rp9 +(dp10 +S'parent' +p11 +g1 +(g2 +g3 +(g4 +g5 +tp12 +tp13 +Rp14 +(dp15 +g6 +g9 +sg11 +g1 +(g2 +g3 +(g4 +tp16 +tp17 +Rp18 +(dp19 +S'Scalar' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g11 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +g1 +(g2 +g3 +(g4 +g20 +S'Plain' +p28 +tp29 +tp30 +Rp31 +(dp32 +g11 +g23 +sg25 +g26 +((lp33 +tp34 +Rp35 +sbatp36 +Rp37 +sg28 +g31 +sbsg5 +g14 +sg11 +g1 +(g2 +g3 +(ttp38 +Rp39 +(dp40 +g5 +g14 +sS'Generic' +p41 +g1 +(g2 +g3 +(g41 +tp42 +tp43 +Rp44 +(dp45 +g11 +g39 +sS'Deleted' +p46 +g1 +(g2 +g3 +(g41 +g46 +tp47 +tp48 +Rp49 +(dp50 +g11 +g44 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsS'Subheading' +p54 +g1 +(g2 +g3 +(g41 +g54 +tp55 +tp56 +Rp57 +(dp58 +g11 +g44 +sg25 +g26 +((lp59 +tp60 +Rp61 +sbsS'Heading' +p62 +g1 +(g2 +g3 +(g41 +g62 +tp63 +tp64 +Rp65 +(dp66 +g11 +g44 +sg25 +g26 +((lp67 +tp68 +Rp69 +sbsS'Emph' +p70 +g1 +(g2 +g3 +(g41 +g70 +tp71 +tp72 +Rp73 +(dp74 +g11 +g44 +sg25 +g26 +((lp75 +tp76 +Rp77 +sbsS'Prompt' +p78 +g1 +(g2 +g3 +(g41 +g78 +tp79 +tp80 +Rp81 +(dp82 +g11 +g44 +sg25 +g26 +((lp83 +tp84 +Rp85 +sbsS'Inserted' +p86 +g1 +(g2 +g3 +(g41 +g86 +tp87 +tp88 +Rp89 +(dp90 +g11 +g44 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsS'Strong' +p94 +g1 +(g2 +g3 +(g41 +g94 +tp95 +tp96 +Rp97 +(dp98 +g11 +g44 +sg25 +g26 +((lp99 +tp100 +Rp101 +sbsS'Error' +p102 +g1 +(g2 +g3 +(g41 +g102 +tp103 +tp104 +Rp105 +(dp106 +g11 +g44 +sg25 +g26 +((lp107 +tp108 +Rp109 +sbsS'Traceback' +p110 +g1 +(g2 +g3 +(g41 +g110 +tp111 +tp112 +Rp113 +(dp114 +g11 +g44 +sg25 +g26 +((lp115 +tp116 +Rp117 +sbsg25 +g26 +((lp118 +g65 +ag57 +ag1 +(g2 +g3 +(g41 +S'Output' +p119 +tp120 +tp121 +Rp122 +(dp123 +g11 +g44 +sg25 +g26 +((lp124 +tp125 +Rp126 +sbag97 +ag73 +ag105 +ag113 +ag89 +ag81 +ag49 +atp127 +Rp128 +sg119 +g122 +sbsS'Operator' +p129 +g1 +(g2 +g3 +(g129 +tp130 +tp131 +Rp132 +(dp133 +g11 +g39 +sS'DBS' +p134 +g1 +(g2 +g3 +(g129 +g134 +tp135 +tp136 +Rp137 +(dp138 +g11 +g132 +sg25 +g26 +((lp139 +tp140 +Rp141 +sbsg25 +g26 +((lp142 +g137 +ag1 +(g2 +g3 +(g129 +S'Word' +p143 +tp144 +tp145 +Rp146 +(dp147 +g11 +g132 +sg25 +g26 +((lp148 +tp149 +Rp150 +sbatp151 +Rp152 +sg143 +g146 +sbsS'String' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +S'Char' +p158 +g1 +(g2 +g3 +(g4 +g153 +g158 +tp159 +tp160 +Rp161 +(dp162 +g11 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbsS'Backtick' +p166 +g1 +(g2 +g3 +(g4 +g153 +g166 +tp167 +tp168 +Rp169 +(dp170 +g11 +g156 +sg25 +g26 +((lp171 +tp172 +Rp173 +sbsS'Heredoc' +p174 +g1 +(g2 +g3 +(g4 +g153 +g174 +tp175 +tp176 +Rp177 +(dp178 +g11 +g156 +sg25 +g26 +((lp179 +tp180 +Rp181 +sbsS'Symbol' +p182 +g1 +(g2 +g3 +(g4 +g153 +g182 +tp183 +tp184 +Rp185 +(dp186 +g11 +g156 +sg25 +g26 +((lp187 +tp188 +Rp189 +sbsS'Interpol' +p190 +g1 +(g2 +g3 +(g4 +g153 +g190 +tp191 +tp192 +Rp193 +(dp194 +g11 +g156 +sg25 +g26 +((lp195 +tp196 +Rp197 +sbsS'Delimiter' +p198 +g1 +(g2 +g3 +(g4 +g153 +g198 +tp199 +tp200 +Rp201 +(dp202 +g11 +g156 +sg25 +g26 +((lp203 +tp204 +Rp205 +sbsS'Boolean' +p206 +g1 +(g2 +g3 +(g4 +g153 +g206 +tp207 +tp208 +Rp209 +(dp210 +g11 +g156 +sg25 +g26 +((lp211 +tp212 +Rp213 +sbsS'Character' +p214 +g1 +(g2 +g3 +(g4 +g153 +g214 +tp215 +tp216 +Rp217 +(dp218 +g11 +g156 +sg25 +g26 +((lp219 +tp220 +Rp221 +sbsS'Double' +p222 +g1 +(g2 +g3 +(g4 +g153 +g222 +tp223 +tp224 +Rp225 +(dp226 +g11 +g156 +sg25 +g26 +((lp227 +tp228 +Rp229 +sbsS'Delimeter' +p230 +g1 +(g2 +g3 +(g4 +g153 +g230 +tp231 +tp232 +Rp233 +(dp234 +g11 +g156 +sg25 +g26 +((lp235 +tp236 +Rp237 +sbsS'Atom' +p238 +g1 +(g2 +g3 +(g4 +g153 +g238 +tp239 +tp240 +Rp241 +(dp242 +g11 +g156 +sg25 +g26 +((lp243 +tp244 +Rp245 +sbsS'Affix' +p246 +g1 +(g2 +g3 +(g4 +g153 +g246 +tp247 +tp248 +Rp249 +(dp250 +g11 +g156 +sg25 +g26 +((lp251 +tp252 +Rp253 +sbsS'Name' +p254 +g1 +(g2 +g3 +(g4 +g153 +g254 +tp255 +tp256 +Rp257 +(dp258 +g11 +g156 +sg25 +g26 +((lp259 +tp260 +Rp261 +sbsS'Regex' +p262 +g1 +(g2 +g3 +(g4 +g153 +g262 +tp263 +tp264 +Rp265 +(dp266 +g11 +g156 +sg25 +g26 +((lp267 +tp268 +Rp269 +sbsS'Interp' +p270 +g1 +(g2 +g3 +(g4 +g153 +g270 +tp271 +tp272 +Rp273 +(dp274 +g11 +g156 +sg25 +g26 +((lp275 +tp276 +Rp277 +sbsS'Escape' +p278 +g1 +(g2 +g3 +(g4 +g153 +g278 +tp279 +tp280 +Rp281 +(dp282 +g11 +g156 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g201 +ag185 +ag265 +ag1 +(g2 +g3 +(g4 +g153 +S'Doc' +p287 +tp288 +tp289 +Rp290 +(dp291 +g11 +g156 +sg25 +g26 +((lp292 +tp293 +Rp294 +sbag217 +ag209 +ag225 +ag193 +ag241 +ag233 +ag257 +ag281 +ag1 +(g2 +g3 +(g4 +g153 +S'Single' +p295 +tp296 +tp297 +Rp298 +(dp299 +g11 +g156 +sg25 +g26 +((lp300 +tp301 +Rp302 +sbag1 +(g2 +g3 +(g4 +g153 +S'Other' +p303 +tp304 +tp305 +Rp306 +(dp307 +g11 +g156 +sg25 +g26 +((lp308 +tp309 +Rp310 +sbag273 +ag169 +ag249 +ag1 +(g2 +g3 +(g4 +g153 +S'Moment' +p311 +tp312 +tp313 +Rp314 +(dp315 +g11 +g156 +sg25 +g26 +((lp316 +tp317 +Rp318 +sbag161 +ag177 +atp319 +Rp320 +sg295 +g298 +sg311 +g314 +sg11 +g18 +sg303 +g306 +sg287 +g290 +sbsg254 +g1 +(g2 +g3 +(g254 +tp321 +tp322 +Rp323 +(dp324 +S'Variable' +p325 +g1 +(g2 +g3 +(g254 +g325 +tp326 +tp327 +Rp328 +(dp329 +g11 +g323 +sS'Class' +p330 +g1 +(g2 +g3 +(g254 +g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g11 +g328 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'Anonymous' +p338 +g1 +(g2 +g3 +(g254 +g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g11 +g328 +sg25 +g26 +((lp343 +tp344 +Rp345 +sbsS'Instance' +p346 +g1 +(g2 +g3 +(g254 +g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g11 +g328 +sg25 +g26 +((lp351 +tp352 +Rp353 +sbsS'Global' +p354 +g1 +(g2 +g3 +(g254 +g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g11 +g328 +sg25 +g26 +((lp359 +tp360 +Rp361 +sbsg25 +g26 +((lp362 +g341 +ag349 +ag1 +(g2 +g3 +(g254 +g325 +S'Magic' +p363 +tp364 +tp365 +Rp366 +(dp367 +g11 +g328 +sg25 +g26 +((lp368 +tp369 +Rp370 +sbag357 +ag333 +atp371 +Rp372 +sg363 +g366 +sbsg129 +g1 +(g2 +g3 +(g254 +g129 +tp373 +tp374 +Rp375 +(dp376 +g11 +g323 +sg25 +g26 +((lp377 +tp378 +Rp379 +sbsS'Decorator' +p380 +g1 +(g2 +g3 +(g254 +g380 +tp381 +tp382 +Rp383 +(dp384 +g11 +g323 +sg25 +g26 +((lp385 +tp386 +Rp387 +sbsS'Entity' +p388 +g1 +(g2 +g3 +(g254 +g388 +tp389 +tp390 +Rp391 +(dp392 +g11 +g323 +sg134 +g1 +(g2 +g3 +(g254 +g388 +g134 +tp393 +tp394 +Rp395 +(dp396 +g11 +g391 +sg25 +g26 +((lp397 +tp398 +Rp399 +sbsg25 +g26 +((lp400 +g395 +atp401 +Rp402 +sbsg182 +g1 +(g2 +g3 +(g254 +g182 +tp403 +tp404 +Rp405 +(dp406 +g11 +g323 +sg25 +g26 +((lp407 +tp408 +Rp409 +sbsS'Property' +p410 +g1 +(g2 +g3 +(g254 +g410 +tp411 +tp412 +Rp413 +(dp414 +g11 +g323 +sg25 +g26 +((lp415 +tp416 +Rp417 +sbsS'Pseudo' +p418 +g1 +(g2 +g3 +(g254 +g418 +tp419 +tp420 +Rp421 +(dp422 +g11 +g323 +sg25 +g26 +((lp423 +tp424 +Rp425 +sbsS'Type' +p426 +g1 +(g2 +g3 +(g254 +g426 +tp427 +tp428 +Rp429 +(dp430 +g11 +g323 +sg25 +g26 +((lp431 +tp432 +Rp433 +sbsS'Classes' +p434 +g1 +(g2 +g3 +(g254 +g434 +tp435 +tp436 +Rp437 +(dp438 +g11 +g323 +sg25 +g26 +((lp439 +tp440 +Rp441 +sbsS'Tag' +p442 +g1 +(g2 +g3 +(g254 +g442 +tp443 +tp444 +Rp445 +(dp446 +g11 +g323 +sg25 +g26 +((lp447 +tp448 +Rp449 +sbsS'Constant' +p450 +g1 +(g2 +g3 +(g254 +g450 +tp451 +tp452 +Rp453 +(dp454 +g11 +g323 +sg25 +g26 +((lp455 +tp456 +Rp457 +sbsS'Function' +p458 +g1 +(g2 +g3 +(g254 +g458 +tp459 +tp460 +Rp461 +(dp462 +g11 +g323 +sg25 +g26 +((lp463 +g1 +(g2 +g3 +(g254 +g458 +g363 +tp464 +tp465 +Rp466 +(dp467 +g11 +g461 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbatp471 +Rp472 +sg363 +g466 +sbsS'Blubb' +p473 +g1 +(g2 +g3 +(g254 +g473 +tp474 +tp475 +Rp476 +(dp477 +g11 +g323 +sg25 +g26 +((lp478 +tp479 +Rp480 +sbsS'Label' +p481 +g1 +(g2 +g3 +(g254 +g481 +tp482 +tp483 +Rp484 +(dp485 +g11 +g323 +sg25 +g26 +((lp486 +tp487 +Rp488 +sbsS'Field' +p489 +g1 +(g2 +g3 +(g254 +g489 +tp490 +tp491 +Rp492 +(dp493 +g11 +g323 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbsS'Exception' +p497 +g1 +(g2 +g3 +(g254 +g497 +tp498 +tp499 +Rp500 +(dp501 +g11 +g323 +sg25 +g26 +((lp502 +tp503 +Rp504 +sbsS'Namespace' +p505 +g1 +(g2 +g3 +(g254 +g505 +tp506 +tp507 +Rp508 +(dp509 +g11 +g323 +sg25 +g26 +((lp510 +tp511 +Rp512 +sbsg25 +g26 +((lp513 +g383 +ag476 +ag421 +ag391 +ag328 +ag500 +ag413 +ag445 +ag461 +ag437 +ag1 +(g2 +g3 +(g254 +g330 +tp514 +tp515 +Rp516 +(dp517 +g11 +g323 +sg134 +g1 +(g2 +g3 +(g254 +g330 +g134 +tp518 +tp519 +Rp520 +(dp521 +g11 +g516 +sg25 +g26 +((lp522 +tp523 +Rp524 +sbsg25 +g26 +((lp525 +g1 +(g2 +g3 +(g254 +g330 +S'Start' +p526 +tp527 +tp528 +Rp529 +(dp530 +g11 +g516 +sg25 +g26 +((lp531 +tp532 +Rp533 +sbag520 +atp534 +Rp535 +sg526 +g529 +sbag1 +(g2 +g3 +(g254 +g303 +tp536 +tp537 +Rp538 +(dp539 +g11 +g323 +sS'Member' +p540 +g1 +(g2 +g3 +(g254 +g303 +g540 +tp541 +tp542 +Rp543 +(dp544 +g11 +g538 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbsg25 +g26 +((lp548 +g543 +atp549 +Rp550 +sbag484 +ag375 +ag508 +ag1 +(g2 +g3 +(g254 +S'Attribute' +p551 +tp552 +tp553 +Rp554 +(dp555 +g11 +g323 +sg325 +g1 +(g2 +g3 +(g254 +g551 +g325 +tp556 +tp557 +Rp558 +(dp559 +g11 +g554 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbsg25 +g26 +((lp563 +g558 +atp564 +Rp565 +sbag453 +ag1 +(g2 +g3 +(g254 +S'Builtin' +p566 +tp567 +tp568 +Rp569 +(dp570 +g11 +g323 +sg426 +g1 +(g2 +g3 +(g254 +g566 +g426 +tp571 +tp572 +Rp573 +(dp574 +g11 +g569 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsg25 +g26 +((lp578 +g1 +(g2 +g3 +(g254 +g566 +g418 +tp579 +tp580 +Rp581 +(dp582 +g11 +g569 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbag573 +atp586 +Rp587 +sg418 +g581 +sbag492 +ag429 +ag405 +atp588 +Rp589 +sg11 +g39 +sg330 +g516 +sg566 +g569 +sg551 +g554 +sg303 +g538 +sbsS'Punctuation' +p590 +g1 +(g2 +g3 +(g590 +tp591 +tp592 +Rp593 +(dp594 +g11 +g39 +sg25 +g26 +((lp595 +g1 +(g2 +g3 +(g590 +S'Indicator' +p596 +tp597 +tp598 +Rp599 +(dp600 +g11 +g593 +sg25 +g26 +((lp601 +tp602 +Rp603 +sbatp604 +Rp605 +sg596 +g599 +sbsS'Comment' +p606 +g1 +(g2 +g3 +(g606 +tp607 +tp608 +Rp609 +(dp610 +S'Multi' +p611 +g1 +(g2 +g3 +(g606 +g611 +tp612 +tp613 +Rp614 +(dp615 +g11 +g609 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsg11 +g39 +sS'Special' +p619 +g1 +(g2 +g3 +(g606 +g619 +tp620 +tp621 +Rp622 +(dp623 +g11 +g609 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Hashbang' +p627 +g1 +(g2 +g3 +(g606 +g627 +tp628 +tp629 +Rp630 +(dp631 +g11 +g609 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsS'Preproc' +p635 +g1 +(g2 +g3 +(g606 +g635 +tp636 +tp637 +Rp638 +(dp639 +g11 +g609 +sg25 +g26 +((lp640 +tp641 +Rp642 +sbsg295 +g1 +(g2 +g3 +(g606 +g295 +tp643 +tp644 +Rp645 +(dp646 +g11 +g609 +sg25 +g26 +((lp647 +tp648 +Rp649 +sbsS'Directive' +p650 +g1 +(g2 +g3 +(g606 +g650 +tp651 +tp652 +Rp653 +(dp654 +g11 +g609 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbsg287 +g1 +(g2 +g3 +(g606 +g287 +tp658 +tp659 +Rp660 +(dp661 +g11 +g609 +sg25 +g26 +((lp662 +tp663 +Rp664 +sbsS'Singleline' +p665 +g1 +(g2 +g3 +(g606 +g665 +tp666 +tp667 +Rp668 +(dp669 +g11 +g609 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbsS'Multiline' +p673 +g1 +(g2 +g3 +(g606 +g673 +tp674 +tp675 +Rp676 +(dp677 +g11 +g609 +sg25 +g26 +((lp678 +tp679 +Rp680 +sbsg25 +g26 +((lp681 +g660 +ag653 +ag630 +ag614 +ag668 +ag638 +ag676 +ag645 +ag1 +(g2 +g3 +(g606 +S'PreprocFile' +p682 +tp683 +tp684 +Rp685 +(dp686 +g11 +g609 +sg25 +g26 +((lp687 +tp688 +Rp689 +sbag1 +(g2 +g3 +(g606 +S'SingleLine' +p690 +tp691 +tp692 +Rp693 +(dp694 +g11 +g609 +sg25 +g26 +((lp695 +tp696 +Rp697 +sbag622 +atp698 +Rp699 +sg682 +g685 +sg690 +g693 +sbsg4 +g18 +sg303 +g1 +(g2 +g3 +(g303 +tp700 +tp701 +Rp702 +(dp703 +g11 +g39 +sg25 +g26 +((lp704 +tp705 +Rp706 +sbsg102 +g1 +(g2 +g3 +(g102 +tp707 +tp708 +Rp709 +(dp710 +g11 +g39 +sg25 +g26 +((lp711 +tp712 +Rp713 +sbsS'Token' +p714 +g39 +sg278 +g1 +(g2 +g3 +(g278 +tp715 +tp716 +Rp717 +(dp718 +g11 +g39 +sg25 +g26 +((lp719 +tp720 +Rp721 +sbsg25 +g26 +((lp722 +g323 +ag702 +ag1 +(g2 +g3 +(S'Keyword' +p723 +tp724 +tp725 +Rp726 +(dp727 +g11 +g39 +sg426 +g1 +(g2 +g3 +(g723 +g426 +tp728 +tp729 +Rp730 +(dp731 +g11 +g726 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Control' +p735 +g1 +(g2 +g3 +(g723 +g735 +tp736 +tp737 +Rp738 +(dp739 +g11 +g726 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg450 +g1 +(g2 +g3 +(g723 +g450 +tp743 +tp744 +Rp745 +(dp746 +g11 +g726 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg505 +g1 +(g2 +g3 +(g723 +g505 +tp750 +tp751 +Rp752 +(dp753 +g11 +g726 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'PreProc' +p757 +g1 +(g2 +g3 +(g723 +g757 +tp758 +tp759 +Rp760 +(dp761 +g11 +g726 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsg418 +g1 +(g2 +g3 +(g723 +g418 +tp765 +tp766 +Rp767 +(dp768 +g11 +g726 +sg25 +g26 +((lp769 +tp770 +Rp771 +sbsS'Reserved' +p772 +g1 +(g2 +g3 +(g723 +g772 +tp773 +tp774 +Rp775 +(dp776 +g11 +g726 +sg25 +g26 +((lp777 +tp778 +Rp779 +sbsg25 +g26 +((lp780 +g752 +ag1 +(g2 +g3 +(g723 +g143 +tp781 +tp782 +Rp783 +(dp784 +g11 +g726 +sg25 +g26 +((lp785 +tp786 +Rp787 +sbag738 +ag1 +(g2 +g3 +(g723 +S'Declaration' +p788 +tp789 +tp790 +Rp791 +(dp792 +g11 +g726 +sg25 +g26 +((lp793 +tp794 +Rp795 +sbag1 +(g2 +g3 +(g723 +g723 +tp796 +tp797 +Rp798 +(dp799 +g11 +g726 +sg25 +g26 +((lp800 +tp801 +Rp802 +sbag767 +ag745 +ag730 +ag775 +ag760 +atp803 +Rp804 +sg723 +g798 +sg788 +g791 +sg143 +g783 +sbag44 +ag1 +(g2 +g3 +(S'Text' +p805 +tp806 +tp807 +Rp808 +(dp809 +S'Beer' +p810 +g1 +(g2 +g3 +(g805 +g810 +tp811 +tp812 +Rp813 +(dp814 +g11 +g808 +sg25 +g26 +((lp815 +tp816 +Rp817 +sbsS'Whitespace' +p818 +g1 +(g2 +g3 +(g805 +g818 +tp819 +tp820 +Rp821 +(dp822 +g11 +g808 +sg25 +g26 +((lp823 +tp824 +Rp825 +sbsg11 +g39 +sS'Root' +p826 +g1 +(g2 +g3 +(g805 +g826 +tp827 +tp828 +Rp829 +(dp830 +g11 +g808 +sg25 +g26 +((lp831 +tp832 +Rp833 +sbsg182 +g1 +(g2 +g3 +(g805 +g182 +tp834 +tp835 +Rp836 +(dp837 +g11 +g808 +sg25 +g26 +((lp838 +tp839 +Rp840 +sbsg590 +g1 +(g2 +g3 +(g805 +g590 +tp841 +tp842 +Rp843 +(dp844 +g11 +g808 +sg25 +g26 +((lp845 +tp846 +Rp847 +sbsg25 +g26 +((lp848 +g829 +ag836 +ag843 +ag821 +ag813 +ag1 +(g2 +g3 +(g805 +S'Rag' +p849 +tp850 +tp851 +Rp852 +(dp853 +g11 +g808 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbatp857 +Rp858 +sg849 +g852 +sbag132 +ag717 +ag593 +ag609 +ag709 +ag18 +atp859 +Rp860 +sg723 +g726 +sg805 +g808 +sbsg303 +g1 +(g2 +g3 +(g4 +g303 +tp861 +tp862 +Rp863 +(dp864 +g11 +g18 +sg25 +g26 +((lp865 +tp866 +Rp867 +sbsg158 +g1 +(g2 +g3 +(g4 +g158 +tp868 +tp869 +Rp870 +(dp871 +g11 +g18 +sg25 +g26 +((lp872 +tp873 +Rp874 +sbsg153 +g156 +sg25 +g26 +((lp875 +g156 +ag870 +ag863 +ag1 +(g2 +g3 +(g4 +S'Date' +p876 +tp877 +tp878 +Rp879 +(dp880 +g11 +g18 +sg25 +g26 +((lp881 +tp882 +Rp883 +sbag23 +ag14 +atp884 +Rp885 +sg876 +g879 +sbsS'Bin' +p886 +g1 +(g2 +g3 +(g4 +g5 +g886 +tp887 +tp888 +Rp889 +(dp890 +g11 +g14 +sg25 +g26 +((lp891 +tp892 +Rp893 +sbsS'Radix' +p894 +g1 +(g2 +g3 +(g4 +g5 +g894 +tp895 +tp896 +Rp897 +(dp898 +g11 +g14 +sg25 +g26 +((lp899 +tp900 +Rp901 +sbsS'Oct' +p902 +g1 +(g2 +g3 +(g4 +g5 +g902 +tp903 +tp904 +Rp905 +(dp906 +g11 +g14 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Dec' +p910 +g1 +(g2 +g3 +(g4 +g5 +g910 +tp911 +tp912 +Rp913 +(dp914 +g11 +g14 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsS'Hex' +p918 +g1 +(g2 +g3 +(g4 +g5 +g918 +tp919 +tp920 +Rp921 +(dp922 +g11 +g14 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g9 +ag897 +ag913 +ag1 +(g2 +g3 +(g4 +g5 +S'Decimal' +p927 +tp928 +tp929 +Rp930 +(dp931 +g11 +g14 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbag889 +ag1 +(g2 +g3 +(g4 +g5 +S'Float' +p935 +tp936 +tp937 +Rp938 +(dp939 +g11 +g14 +sg25 +g26 +((lp940 +tp941 +Rp942 +sbag905 +ag921 +atp943 +Rp944 +sg927 +g930 +sg935 +g938 +sbsS'Long' +p945 +g1 +(g2 +g3 +(g4 +g5 +g6 +g945 +tp946 +tp947 +Rp948 +(dp949 +g11 +g9 +sg25 +g26 +((lp950 +tp951 +Rp952 +sbsg25 +g26 +((lp953 +g948 +atp954 +Rp955 +sbV2 +p956 +tp957 +a(g808 +V +p958 +tp959 +a(g9 +V3 +p960 +tp961 +a(g808 +g958 +tp962 +a(g726 +V+ +p963 +tp964 +a(g726 +VCR +p965 +tp966 +a(g726 +V.\u000a +p967 +tp968 +a(g752 +V: +p969 +tp970 +a(g808 +g958 +tp971 +a(g516 +VF +p972 +tp973 +a(g808 +g958 +tp974 +a(g645 +V( blah ) +p975 +tp976 +a(g808 +g958 +tp977 +a(g726 +VDUP +p978 +tp979 +a(g726 +VDROP +p980 +tp981 +a(g9 +V1 +p982 +tp983 +a(g808 +g958 +tp984 +a(g726 +V+ +p985 +tp986 +a(g726 +V;\u000a +p987 +tp988 +a(g9 +g982 +tp989 +a(g808 +g958 +tp990 +a(g461 +g972 +tp991 +a(g808 +g958 +tp992 +a(g726 +VCR +p993 +tp994 +a(g726 +V.\u000a +p995 +tp996 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.hbs b/tests/examplefiles/output/demo.hbs new file mode 100644 index 0000000..e9f20aa --- /dev/null +++ b/tests/examplefiles/output/demo.hbs @@ -0,0 +1,3144 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV +p960 +tp961 +a(g822 +V\u000a\u000a +p962 +tp963 +a(g701 +V< +p964 +tp965 +a(g553 +Vdiv +p966 +tp967 +a(g822 +V +p968 +tp969 +a(g662 +Vclass +p970 +tp971 +a(g408 +V= +p972 +tp973 +a(g84 +V'intro' +p974 +tp975 +a(g701 +V> +p976 +tp977 +a(g822 +V\u000a +p978 +tp979 +a(g894 +V{{ +p980 +tp981 +a(g740 +Vin +p982 +tp983 +a(g436 +Vtro +p984 +tp985 +a(g894 +V}} +p986 +tp987 +a(g822 +V\u000a +p988 +tp989 +a(g701 +g964 +tp990 +a(g701 +V/ +p991 +tp992 +a(g553 +Vdiv +p993 +tp994 +a(g701 +g976 +tp995 +a(g822 +V\u000a\u000a +p996 +tp997 +a(g894 +V{{ +p998 +tp999 +a(g740 +V# +p1000 +tp1001 +a(g740 +Vif +p1002 +tp1003 +a(g822 +g968 +tp1004 +a(g436 +VisExpanded +p1005 +tp1006 +a(g894 +V}} +p1007 +tp1008 +a(g822 +V\u000a +p1009 +tp1010 +a(g701 +g964 +tp1011 +a(g553 +Vdiv +p1012 +tp1013 +a(g822 +g968 +tp1014 +a(g662 +Vclass +p1015 +tp1016 +a(g408 +g972 +tp1017 +a(g84 +V'body' +p1018 +tp1019 +a(g701 +g976 +tp1020 +a(g894 +V{{ +p1021 +tp1022 +a(g436 +Vbody +p1023 +tp1024 +a(g894 +V}} +p1025 +tp1026 +a(g701 +V +p1027 +tp1028 +a(g701 +g964 +tp1029 +a(g701 +g991 +tp1030 +a(g553 +Vdiv +p1031 +tp1032 +a(g701 +g976 +tp1033 +a(g822 +V\u000a +p1034 +tp1035 +a(g701 +g964 +tp1036 +a(g553 +Vbutton +p1037 +tp1038 +a(g822 +g968 +tp1039 +a(g894 +V{{ +p1040 +tp1041 +a(g436 +Vaction +p1042 +tp1043 +a(g822 +g968 +tp1044 +a(g436 +Vcontract +p1045 +tp1046 +a(g894 +V}} +p1047 +tp1048 +a(g822 +g1027 +tp1049 +a(g701 +g976 +tp1050 +a(g822 +VContract +p1051 +tp1052 +a(g701 +g964 +tp1053 +a(g701 +g991 +tp1054 +a(g553 +Vbutton +p1055 +tp1056 +a(g701 +g976 +tp1057 +a(g822 +V\u000a +p1058 +tp1059 +a(g894 +V{{ +p1060 +tp1061 +a(g740 +Velse +p1062 +tp1063 +a(g894 +V}} +p1064 +tp1065 +a(g822 +V\u000a +p1066 +tp1067 +a(g701 +g964 +tp1068 +a(g553 +Vbutton +p1069 +tp1070 +a(g822 +g968 +tp1071 +a(g894 +V{{ +p1072 +tp1073 +a(g436 +Vaction +p1074 +tp1075 +a(g822 +g968 +tp1076 +a(g436 +Vexpand +p1077 +tp1078 +a(g894 +V}} +p1079 +tp1080 +a(g822 +g1027 +tp1081 +a(g701 +g976 +tp1082 +a(g822 +VShow More... +p1083 +tp1084 +a(g701 +g964 +tp1085 +a(g701 +g991 +tp1086 +a(g553 +Vbutton +p1087 +tp1088 +a(g701 +g976 +tp1089 +a(g822 +V\u000a +p1090 +tp1091 +a(g894 +V{{ +p1092 +tp1093 +a(g740 +g991 +tp1094 +a(g740 +Vif +p1095 +tp1096 +a(g894 +V}} +p1097 +tp1098 +a(g822 +V\u000a\u000a +p1099 +tp1100 +a(g894 +V{{ +p1101 +tp1102 +a(g740 +g976 +tp1103 +a(g822 +g968 +tp1104 +a(g436 +VmyPartial +p1105 +tp1106 +a(g894 +V}} +p1107 +tp1108 +a(g822 +V\u000a +p1109 +tp1110 +a(g894 +V{{ +p1111 +tp1112 +a(g740 +g976 +tp1113 +a(g822 +g968 +tp1114 +a(g436 +VmyPartial +p1115 +tp1116 +a(g822 +g968 +tp1117 +a(g662 +Vvar +p1118 +tp1119 +a(g408 +g972 +tp1120 +a(g152 +V"value" +p1121 +tp1122 +a(g822 +g968 +tp1123 +a(g894 +V}} +p1124 +tp1125 +a(g822 +V\u000a +p1126 +tp1127 +a(g894 +V{{ +p1128 +tp1129 +a(g740 +g976 +tp1130 +a(g822 +g968 +tp1131 +a(g436 +VmyPartial +p1132 +tp1133 +a(g822 +g968 +tp1134 +a(g662 +Vvar +p1135 +tp1136 +a(g408 +g972 +tp1137 +a(g436 +V../value +p1138 +tp1139 +a(g894 +V}} +p1140 +tp1141 +a(g822 +V\u000a +p1142 +tp1143 +a(g894 +V{{ +p1144 +tp1145 +a(g740 +g976 +tp1146 +a(g822 +g968 +tp1147 +a(g701 +V( +p1148 +tp1149 +a(g569 +VmyPartial +p1150 +tp1151 +a(g701 +V) +p1152 +tp1153 +a(g894 +V}} +p1154 +tp1155 +a(g822 +V\u000a +p1156 +tp1157 +a(g894 +V{{ +p1158 +tp1159 +a(g740 +g976 +tp1160 +a(g822 +g968 +tp1161 +a(g701 +g1148 +tp1162 +a(g569 +VmyPartial +p1163 +tp1164 +a(g701 +g1152 +tp1165 +a(g822 +g968 +tp1166 +a(g662 +Vvar +p1167 +tp1168 +a(g408 +g972 +tp1169 +a(g152 +V"value" +p1170 +tp1171 +a(g894 +V}} +p1172 +tp1173 +a(g822 +V\u000a +p1174 +tp1175 +a(g894 +V{{ +p1176 +tp1177 +a(g740 +g976 +tp1178 +a(g822 +g968 +tp1179 +a(g701 +g1148 +tp1180 +a(g740 +Vlookup +p1181 +tp1182 +a(g822 +g968 +tp1183 +a(g436 +V. +p1184 +tp1185 +a(g822 +g968 +tp1186 +a(g152 +V"myPartial" +p1187 +tp1188 +a(g701 +g1152 +tp1189 +a(g894 +V}} +p1190 +tp1191 +a(g822 +V\u000a +p1192 +tp1193 +a(g894 +V{{ +p1194 +tp1195 +a(g740 +g976 +tp1196 +a(g822 +g968 +tp1197 +a(g701 +g1148 +tp1198 +a(g822 +g968 +tp1199 +a(g740 +Vlookup +p1200 +tp1201 +a(g822 +g968 +tp1202 +a(g436 +g1184 +tp1203 +a(g822 +g968 +tp1204 +a(g152 +V"myPartial" +p1205 +tp1206 +a(g822 +g968 +tp1207 +a(g701 +g1152 +tp1208 +a(g822 +g968 +tp1209 +a(g662 +Vvar +p1210 +tp1211 +a(g408 +g972 +tp1212 +a(g152 +V"value" +p1213 +tp1214 +a(g822 +g968 +tp1215 +a(g894 +V}} +p1216 +tp1217 +a(g822 +V\u000a +p1218 +tp1219 +a(g894 +V{{ +p1220 +tp1221 +a(g740 +g976 +tp1222 +a(g822 +g968 +tp1223 +a(g701 +g1148 +tp1224 +a(g740 +Vlookup +p1225 +tp1226 +a(g822 +g968 +tp1227 +a(g436 +V../foo +p1228 +tp1229 +a(g822 +g968 +tp1230 +a(g152 +V"myPartial" +p1231 +tp1232 +a(g701 +g1152 +tp1233 +a(g822 +g968 +tp1234 +a(g662 +Vvar +p1235 +tp1236 +a(g408 +g972 +tp1237 +a(g152 +V"value" +p1238 +tp1239 +a(g822 +g968 +tp1240 +a(g894 +V}} +p1241 +tp1242 +a(g822 +V\u000a +p1243 +tp1244 +a(g894 +V{{ +p1245 +tp1246 +a(g740 +g976 +tp1247 +a(g822 +g968 +tp1248 +a(g740 +V@partial-block +p1249 +tp1250 +a(g894 +V}} +p1251 +tp1252 +a(g822 +V\u000a\u000a +p1253 +tp1254 +a(g894 +V{{ +p1255 +tp1256 +a(g740 +V#> +p1257 +tp1258 +a(g436 +VmyPartial +p1259 +tp1260 +a(g894 +V}} +p1261 +tp1262 +a(g822 +V\u000a...\u000a +p1263 +tp1264 +a(g894 +V{{ +p1265 +tp1266 +a(g569 +g991 +tp1267 +a(g569 +VmyPartial +p1268 +tp1269 +a(g894 +V}} +p1270 +tp1271 +a(g822 +V\u000a\u000a +p1272 +tp1273 +a(g894 +V{{ +p1274 +tp1275 +a(g740 +V#*inline +p1276 +tp1277 +a(g822 +g968 +tp1278 +a(g152 +V"myPartial" +p1279 +tp1280 +a(g894 +V}} +p1281 +tp1282 +a(g822 +V\u000a...\u000a +p1283 +tp1284 +a(g894 +V{{ +p1285 +tp1286 +a(g740 +g991 +tp1287 +a(g740 +Vinline +p1288 +tp1289 +a(g894 +V}} +p1290 +tp1291 +a(g822 +V\u000a\u000a +p1292 +tp1293 +a(g894 +V{{ +p1294 +tp1295 +a(g436 +V../name +p1296 +tp1297 +a(g894 +V}} +p1298 +tp1299 +a(g822 +V\u000a +p1300 +tp1301 +a(g894 +V{{ +p1302 +tp1303 +a(g436 +V./name +p1304 +tp1305 +a(g894 +V}} +p1306 +tp1307 +a(g822 +V\u000a +p1308 +tp1309 +a(g894 +V{{ +p1310 +tp1311 +a(g436 +Vthis +p1312 +tp1313 +a(g569 +g991 +tp1314 +a(g569 +Vname +p1315 +tp1316 +a(g894 +V}} +p1317 +tp1318 +a(g822 +V\u000a +p1319 +tp1320 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.js.in b/tests/examplefiles/output/demo.js.in new file mode 100644 index 0000000..a5fd72e --- /dev/null +++ b/tests/examplefiles/output/demo.js.in @@ -0,0 +1,2464 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Builtin' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g247 +g15 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g254 +atp260 +Rp261 +sbag148 +ag8 +ag187 +ag124 +ag100 +atp262 +Rp263 +sg10 +g1 +(g2 +g3 +(ttp264 +Rp265 +(dp266 +S'Number' +p267 +g1 +(g2 +g3 +(S'Literal' +p268 +g267 +tp269 +tp270 +Rp271 +(dp272 +S'Integer' +p273 +g1 +(g2 +g3 +(g268 +g267 +g273 +tp274 +tp275 +Rp276 +(dp277 +g10 +g271 +sS'Long' +p278 +g1 +(g2 +g3 +(g268 +g267 +g273 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g276 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g281 +atp287 +Rp288 +sbsg10 +g1 +(g2 +g3 +(g268 +tp289 +tp290 +Rp291 +(dp292 +S'Scalar' +p293 +g1 +(g2 +g3 +(g268 +g293 +tp294 +tp295 +Rp296 +(dp297 +g10 +g291 +sg25 +g26 +((lp298 +g1 +(g2 +g3 +(g268 +g293 +S'Plain' +p299 +tp300 +tp301 +Rp302 +(dp303 +g10 +g296 +sg25 +g26 +((lp304 +tp305 +Rp306 +sbatp307 +Rp308 +sg299 +g302 +sbsg267 +g271 +sg10 +g265 +sg231 +g1 +(g2 +g3 +(g268 +g231 +tp309 +tp310 +Rp311 +(dp312 +g10 +g291 +sg25 +g26 +((lp313 +tp314 +Rp315 +sbsS'Char' +p316 +g1 +(g2 +g3 +(g268 +g316 +tp317 +tp318 +Rp319 +(dp320 +g10 +g291 +sg25 +g26 +((lp321 +tp322 +Rp323 +sbsS'String' +p324 +g1 +(g2 +g3 +(g268 +g324 +tp325 +tp326 +Rp327 +(dp328 +g316 +g1 +(g2 +g3 +(g268 +g324 +g316 +tp329 +tp330 +Rp331 +(dp332 +g10 +g327 +sg25 +g26 +((lp333 +tp334 +Rp335 +sbsS'Backtick' +p336 +g1 +(g2 +g3 +(g268 +g324 +g336 +tp337 +tp338 +Rp339 +(dp340 +g10 +g327 +sg25 +g26 +((lp341 +tp342 +Rp343 +sbsS'Heredoc' +p344 +g1 +(g2 +g3 +(g268 +g324 +g344 +tp345 +tp346 +Rp347 +(dp348 +g10 +g327 +sg25 +g26 +((lp349 +tp350 +Rp351 +sbsg97 +g1 +(g2 +g3 +(g268 +g324 +g97 +tp352 +tp353 +Rp354 +(dp355 +g10 +g327 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsS'Interpol' +p359 +g1 +(g2 +g3 +(g268 +g324 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g327 +sg25 +g26 +((lp364 +tp365 +Rp366 +sbsS'Delimiter' +p367 +g1 +(g2 +g3 +(g268 +g324 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g327 +sg25 +g26 +((lp372 +tp373 +Rp374 +sbsS'Boolean' +p375 +g1 +(g2 +g3 +(g268 +g324 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g327 +sg25 +g26 +((lp380 +tp381 +Rp382 +sbsS'Character' +p383 +g1 +(g2 +g3 +(g268 +g324 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g327 +sg25 +g26 +((lp388 +tp389 +Rp390 +sbsS'Double' +p391 +g1 +(g2 +g3 +(g268 +g324 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g327 +sg25 +g26 +((lp396 +tp397 +Rp398 +sbsS'Delimeter' +p399 +g1 +(g2 +g3 +(g268 +g324 +g399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g327 +sg25 +g26 +((lp404 +tp405 +Rp406 +sbsS'Atom' +p407 +g1 +(g2 +g3 +(g268 +g324 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g327 +sg25 +g26 +((lp412 +tp413 +Rp414 +sbsS'Affix' +p415 +g1 +(g2 +g3 +(g268 +g324 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g327 +sg25 +g26 +((lp420 +tp421 +Rp422 +sbsg4 +g1 +(g2 +g3 +(g268 +g324 +g4 +tp423 +tp424 +Rp425 +(dp426 +g10 +g327 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsS'Regex' +p430 +g1 +(g2 +g3 +(g268 +g324 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g327 +sg25 +g26 +((lp435 +tp436 +Rp437 +sbsS'Interp' +p438 +g1 +(g2 +g3 +(g268 +g324 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g327 +sg25 +g26 +((lp443 +tp444 +Rp445 +sbsS'Escape' +p446 +g1 +(g2 +g3 +(g268 +g324 +g446 +tp447 +tp448 +Rp449 +(dp450 +g10 +g327 +sg25 +g26 +((lp451 +tp452 +Rp453 +sbsg25 +g26 +((lp454 +g370 +ag354 +ag433 +ag1 +(g2 +g3 +(g268 +g324 +S'Doc' +p455 +tp456 +tp457 +Rp458 +(dp459 +g10 +g327 +sg25 +g26 +((lp460 +tp461 +Rp462 +sbag386 +ag378 +ag394 +ag362 +ag410 +ag402 +ag425 +ag449 +ag1 +(g2 +g3 +(g268 +g324 +S'Single' +p463 +tp464 +tp465 +Rp466 +(dp467 +g10 +g327 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbag1 +(g2 +g3 +(g268 +g324 +g231 +tp471 +tp472 +Rp473 +(dp474 +g10 +g327 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag441 +ag339 +ag418 +ag1 +(g2 +g3 +(g268 +g324 +S'Moment' +p478 +tp479 +tp480 +Rp481 +(dp482 +g10 +g327 +sg25 +g26 +((lp483 +tp484 +Rp485 +sbag331 +ag347 +atp486 +Rp487 +sg463 +g466 +sg478 +g481 +sg10 +g291 +sg231 +g473 +sg455 +g458 +sbsg25 +g26 +((lp488 +g327 +ag319 +ag311 +ag1 +(g2 +g3 +(g268 +S'Date' +p489 +tp490 +tp491 +Rp492 +(dp493 +g10 +g291 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbag296 +ag271 +atp497 +Rp498 +sg489 +g492 +sbsS'Bin' +p499 +g1 +(g2 +g3 +(g268 +g267 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g271 +sg25 +g26 +((lp504 +tp505 +Rp506 +sbsS'Radix' +p507 +g1 +(g2 +g3 +(g268 +g267 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g271 +sg25 +g26 +((lp512 +tp513 +Rp514 +sbsS'Oct' +p515 +g1 +(g2 +g3 +(g268 +g267 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g271 +sg25 +g26 +((lp520 +tp521 +Rp522 +sbsS'Dec' +p523 +g1 +(g2 +g3 +(g268 +g267 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g271 +sg25 +g26 +((lp528 +tp529 +Rp530 +sbsS'Hex' +p531 +g1 +(g2 +g3 +(g268 +g267 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g271 +sg25 +g26 +((lp536 +tp537 +Rp538 +sbsg25 +g26 +((lp539 +g276 +ag510 +ag526 +ag1 +(g2 +g3 +(g268 +g267 +S'Decimal' +p540 +tp541 +tp542 +Rp543 +(dp544 +g10 +g271 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbag502 +ag1 +(g2 +g3 +(g268 +g267 +S'Float' +p548 +tp549 +tp550 +Rp551 +(dp552 +g10 +g271 +sg25 +g26 +((lp553 +tp554 +Rp555 +sbag518 +ag534 +atp556 +Rp557 +sg540 +g543 +sg548 +g551 +sbsS'Generic' +p558 +g1 +(g2 +g3 +(g558 +tp559 +tp560 +Rp561 +(dp562 +g10 +g265 +sS'Deleted' +p563 +g1 +(g2 +g3 +(g558 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g561 +sg25 +g26 +((lp568 +tp569 +Rp570 +sbsS'Subheading' +p571 +g1 +(g2 +g3 +(g558 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g561 +sg25 +g26 +((lp576 +tp577 +Rp578 +sbsS'Heading' +p579 +g1 +(g2 +g3 +(g558 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g561 +sg25 +g26 +((lp584 +tp585 +Rp586 +sbsS'Emph' +p587 +g1 +(g2 +g3 +(g558 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g561 +sg25 +g26 +((lp592 +tp593 +Rp594 +sbsS'Prompt' +p595 +g1 +(g2 +g3 +(g558 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g561 +sg25 +g26 +((lp600 +tp601 +Rp602 +sbsS'Inserted' +p603 +g1 +(g2 +g3 +(g558 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g561 +sg25 +g26 +((lp608 +tp609 +Rp610 +sbsS'Strong' +p611 +g1 +(g2 +g3 +(g558 +g611 +tp612 +tp613 +Rp614 +(dp615 +g10 +g561 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsS'Error' +p619 +g1 +(g2 +g3 +(g558 +g619 +tp620 +tp621 +Rp622 +(dp623 +g10 +g561 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Traceback' +p627 +g1 +(g2 +g3 +(g558 +g627 +tp628 +tp629 +Rp630 +(dp631 +g10 +g561 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsg25 +g26 +((lp635 +g582 +ag574 +ag1 +(g2 +g3 +(g558 +S'Output' +p636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g561 +sg25 +g26 +((lp641 +tp642 +Rp643 +sbag614 +ag590 +ag622 +ag630 +ag606 +ag598 +ag566 +atp644 +Rp645 +sg636 +g639 +sbsg65 +g1 +(g2 +g3 +(g65 +tp646 +tp647 +Rp648 +(dp649 +g10 +g265 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp650 +tp651 +Rp652 +(dp653 +g10 +g648 +sg25 +g26 +((lp654 +tp655 +Rp656 +sbsg25 +g26 +((lp657 +g652 +ag1 +(g2 +g3 +(g65 +S'Word' +p658 +tp659 +tp660 +Rp661 +(dp662 +g10 +g648 +sg25 +g26 +((lp663 +tp664 +Rp665 +sbatp666 +Rp667 +sg658 +g661 +sbsg324 +g327 +sg4 +g13 +sS'Punctuation' +p668 +g1 +(g2 +g3 +(g668 +tp669 +tp670 +Rp671 +(dp672 +g10 +g265 +sg25 +g26 +((lp673 +g1 +(g2 +g3 +(g668 +S'Indicator' +p674 +tp675 +tp676 +Rp677 +(dp678 +g10 +g671 +sg25 +g26 +((lp679 +tp680 +Rp681 +sbatp682 +Rp683 +sg674 +g677 +sbsS'Comment' +p684 +g1 +(g2 +g3 +(g684 +tp685 +tp686 +Rp687 +(dp688 +S'Multi' +p689 +g1 +(g2 +g3 +(g684 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g687 +sg25 +g26 +((lp694 +tp695 +Rp696 +sbsg10 +g265 +sS'Special' +p697 +g1 +(g2 +g3 +(g684 +g697 +tp698 +tp699 +Rp700 +(dp701 +g10 +g687 +sg25 +g26 +((lp702 +tp703 +Rp704 +sbsS'Hashbang' +p705 +g1 +(g2 +g3 +(g684 +g705 +tp706 +tp707 +Rp708 +(dp709 +g10 +g687 +sg25 +g26 +((lp710 +tp711 +Rp712 +sbsS'Preproc' +p713 +g1 +(g2 +g3 +(g684 +g713 +tp714 +tp715 +Rp716 +(dp717 +g10 +g687 +sg25 +g26 +((lp718 +tp719 +Rp720 +sbsg463 +g1 +(g2 +g3 +(g684 +g463 +tp721 +tp722 +Rp723 +(dp724 +g10 +g687 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsS'Directive' +p728 +g1 +(g2 +g3 +(g684 +g728 +tp729 +tp730 +Rp731 +(dp732 +g10 +g687 +sg25 +g26 +((lp733 +tp734 +Rp735 +sbsg455 +g1 +(g2 +g3 +(g684 +g455 +tp736 +tp737 +Rp738 +(dp739 +g10 +g687 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsS'Singleline' +p743 +g1 +(g2 +g3 +(g684 +g743 +tp744 +tp745 +Rp746 +(dp747 +g10 +g687 +sg25 +g26 +((lp748 +tp749 +Rp750 +sbsS'Multiline' +p751 +g1 +(g2 +g3 +(g684 +g751 +tp752 +tp753 +Rp754 +(dp755 +g10 +g687 +sg25 +g26 +((lp756 +tp757 +Rp758 +sbsg25 +g26 +((lp759 +g738 +ag731 +ag708 +ag692 +ag746 +ag716 +ag754 +ag723 +ag1 +(g2 +g3 +(g684 +S'PreprocFile' +p760 +tp761 +tp762 +Rp763 +(dp764 +g10 +g687 +sg25 +g26 +((lp765 +tp766 +Rp767 +sbag1 +(g2 +g3 +(g684 +S'SingleLine' +p768 +tp769 +tp770 +Rp771 +(dp772 +g10 +g687 +sg25 +g26 +((lp773 +tp774 +Rp775 +sbag700 +atp776 +Rp777 +sg760 +g763 +sg768 +g771 +sbsg268 +g291 +sg231 +g1 +(g2 +g3 +(g231 +tp778 +tp779 +Rp780 +(dp781 +g10 +g265 +sg25 +g26 +((lp782 +tp783 +Rp784 +sbsg619 +g1 +(g2 +g3 +(g619 +tp785 +tp786 +Rp787 +(dp788 +g10 +g265 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsS'Token' +p792 +g265 +sg446 +g1 +(g2 +g3 +(g446 +tp793 +tp794 +Rp795 +(dp796 +g10 +g265 +sg25 +g26 +((lp797 +tp798 +Rp799 +sbsg25 +g26 +((lp800 +g13 +ag780 +ag1 +(g2 +g3 +(S'Keyword' +p801 +tp802 +tp803 +Rp804 +(dp805 +g10 +g265 +sg121 +g1 +(g2 +g3 +(g801 +g121 +tp806 +tp807 +Rp808 +(dp809 +g10 +g804 +sg25 +g26 +((lp810 +tp811 +Rp812 +sbsS'Control' +p813 +g1 +(g2 +g3 +(g801 +g813 +tp814 +tp815 +Rp816 +(dp817 +g10 +g804 +sg25 +g26 +((lp818 +tp819 +Rp820 +sbsg145 +g1 +(g2 +g3 +(g801 +g145 +tp821 +tp822 +Rp823 +(dp824 +g10 +g804 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg200 +g1 +(g2 +g3 +(g801 +g200 +tp828 +tp829 +Rp830 +(dp831 +g10 +g804 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsS'PreProc' +p835 +g1 +(g2 +g3 +(g801 +g835 +tp836 +tp837 +Rp838 +(dp839 +g10 +g804 +sg25 +g26 +((lp840 +tp841 +Rp842 +sbsg113 +g1 +(g2 +g3 +(g801 +g113 +tp843 +tp844 +Rp845 +(dp846 +g10 +g804 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsS'Reserved' +p850 +g1 +(g2 +g3 +(g801 +g850 +tp851 +tp852 +Rp853 +(dp854 +g10 +g804 +sg25 +g26 +((lp855 +tp856 +Rp857 +sbsg25 +g26 +((lp858 +g830 +ag1 +(g2 +g3 +(g801 +g658 +tp859 +tp860 +Rp861 +(dp862 +g10 +g804 +sg25 +g26 +((lp863 +tp864 +Rp865 +sbag816 +ag1 +(g2 +g3 +(g801 +S'Declaration' +p866 +tp867 +tp868 +Rp869 +(dp870 +g10 +g804 +sg25 +g26 +((lp871 +tp872 +Rp873 +sbag1 +(g2 +g3 +(g801 +g801 +tp874 +tp875 +Rp876 +(dp877 +g10 +g804 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag845 +ag823 +ag808 +ag853 +ag838 +atp881 +Rp882 +sg801 +g876 +sg866 +g869 +sg658 +g861 +sbag561 +ag1 +(g2 +g3 +(S'Text' +p883 +tp884 +tp885 +Rp886 +(dp887 +S'Beer' +p888 +g1 +(g2 +g3 +(g883 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g886 +sg25 +g26 +((lp893 +tp894 +Rp895 +sbsS'Whitespace' +p896 +g1 +(g2 +g3 +(g883 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g886 +sg25 +g26 +((lp901 +tp902 +Rp903 +sbsg10 +g265 +sS'Root' +p904 +g1 +(g2 +g3 +(g883 +g904 +tp905 +tp906 +Rp907 +(dp908 +g10 +g886 +sg25 +g26 +((lp909 +tp910 +Rp911 +sbsg97 +g1 +(g2 +g3 +(g883 +g97 +tp912 +tp913 +Rp914 +(dp915 +g10 +g886 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg668 +g1 +(g2 +g3 +(g883 +g668 +tp919 +tp920 +Rp921 +(dp922 +g10 +g886 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g907 +ag914 +ag921 +ag899 +ag891 +ag1 +(g2 +g3 +(g883 +S'Rag' +p927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g886 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbatp935 +Rp936 +sg927 +g930 +sbag648 +ag795 +ag671 +ag687 +ag787 +ag291 +atp937 +Rp938 +sg801 +g804 +sg883 +g886 +sbsg20 +g211 +sg5 +g8 +sg247 +g250 +sg231 +g234 +sbsg121 +g1 +(g2 +g3 +(g4 +g5 +g121 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg25 +g26 +((lp943 +tp944 +Rp945 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g113 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbag941 +atp954 +Rp955 +sg113 +g949 +sbVwindow +p956 +tp957 +a(g671 +V. +p958 +tp959 +a(g234 +Vfoo +p960 +tp961 +a(g886 +V +p962 +tp963 +a(g648 +V= +p964 +tp965 +a(g886 +g962 +tp966 +a(g671 +V{ +p967 +tp968 +a(g886 +V\u000a +p969 +tp970 +a(g716 +V# +p971 +tp972 +a(g716 +Vif +p973 +tp974 +a(g886 +g962 +tp975 +a(g804 +Vdefined +p976 +tp977 +a(g671 +V( +p978 +tp979 +a(g18 +V__foo__ +p980 +tp981 +a(g671 +V) +p982 +tp983 +a(g886 +V\u000a +p984 +tp985 +a(g671 +V +p986 +tp987 +a(g886 +V +p988 +tp989 +a(g466 +V'key' +p990 +tp991 +a(g648 +V: +p992 +tp993 +a(g886 +g962 +tp994 +a(g466 +V'value' +p995 +tp996 +a(g886 +V\u000a +p997 +tp998 +a(g716 +g971 +tp999 +a(g716 +Vendif +p1000 +tp1001 +a(g886 +V\u000a +p1002 +tp1003 +a(g466 +g986 +tp1004 +a(g671 +V} +p1005 +tp1006 +a(g886 +V\u000a +p1007 +tp1008 +a(g716 +g971 +tp1009 +a(g716 +Vliteral +p1010 +tp1011 +a(g886 +V #foo +p1012 +tp1013 +a(g886 +V\u000a +p1014 +tp1015 +a(g671 +g986 +tp1016 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.thrift b/tests/examplefiles/output/demo.thrift new file mode 100644 index 0000000..fbb79dc --- /dev/null +++ b/tests/examplefiles/output/demo.thrift @@ -0,0 +1,2553 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* comment */ +p956 +tp957 +a(g840 +V\u000a +p958 +tp959 +a(g8 +V/** doc comment */ +p960 +tp961 +a(g840 +V\u000a +p962 +tp963 +a(g840 +V\u000a +p964 +tp965 +a(g771 +Vnamespace +p966 +tp967 +a(g840 +V +p968 +tp969 +a(g621 +Vcpp +p970 +tp971 +a(g840 +g968 +tp972 +a(g436 +Vshared +p973 +tp974 +a(g840 +g968 +tp975 +a(g13 +V// inline comment\u000a +p976 +tp977 +a(g840 +V\u000a +p978 +tp979 +a(g810 +Vstruct +p980 +tp981 +a(g840 +g968 +tp982 +a(g629 +VFoo1 +p983 +tp984 +a(g840 +g968 +tp985 +a(g706 +V{ +p986 +tp987 +a(g840 +V\u000a +p988 +tp989 +a(g840 +V +p990 +tp991 +a(g37 +V1 +p992 +tp993 +a(g706 +V: +p994 +tp995 +a(g840 +g968 +tp996 +a(g749 +Vi32 +p997 +tp998 +a(g840 +g968 +tp999 +a(g436 +Vkey +p1000 +tp1001 +a(g840 +V\u000a +p1002 +tp1003 +a(g840 +V +p1004 +tp1005 +a(g37 +V2 +p1006 +tp1007 +a(g706 +g994 +tp1008 +a(g840 +g968 +tp1009 +a(g749 +Vstring +p1010 +tp1011 +a(g840 +g968 +tp1012 +a(g436 +Vvalue +p1013 +tp1014 +a(g840 +V\u000a +p1015 +tp1016 +a(g706 +V} +p1017 +tp1018 +a(g840 +V\u000a +p1019 +tp1020 +a(g840 +V\u000a +p1021 +tp1022 +a(g810 +Vservice +p1023 +tp1024 +a(g840 +g968 +tp1025 +a(g629 +VFoo2 +p1026 +tp1027 +a(g840 +g968 +tp1028 +a(g706 +g986 +tp1029 +a(g840 +V\u000a +p1030 +tp1031 +a(g840 +V +p1032 +tp1033 +a(g436 +VFoo1 +p1034 +tp1035 +a(g840 +g968 +tp1036 +a(g574 +Vbar +p1037 +tp1038 +a(g413 +V( +p1039 +tp1040 +a(g37 +g992 +tp1041 +a(g706 +g994 +tp1042 +a(g840 +g968 +tp1043 +a(g749 +Vi32 +p1044 +tp1045 +a(g840 +g968 +tp1046 +a(g436 +Vkey +p1047 +tp1048 +a(g706 +V) +p1049 +tp1050 +a(g840 +V\u000a +p1051 +tp1052 +a(g706 +g1017 +tp1053 +a(g840 +V\u000a +p1054 +tp1055 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.xul.in b/tests/examplefiles/output/demo.xul.in new file mode 100644 index 0000000..c6b7fb8 --- /dev/null +++ b/tests/examplefiles/output/demo.xul.in @@ -0,0 +1,2464 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g8 +V +p960 +tp961 +a(g558 +V +p973 +tp974 +a(g827 +V\u000a +p975 +tp976 +a(g8 +V# +p977 +tp978 +a(g8 +Vif +p979 +tp980 +a(g827 +V +p981 +tp982 +a(g745 +Vdefined +p983 +tp984 +a(g706 +V( +p985 +tp986 +a(g441 +V__foo__ +p987 +tp988 +a(g706 +V) +p989 +tp990 +a(g827 +V\u000a +p991 +tp992 +a(g558 +g960 +tp993 +a(g558 +V +p1002 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g8 +g977 +tp1006 +a(g8 +Vendif +p1007 +tp1008 +a(g827 +V\u000a +p1009 +tp1010 +a(g558 +g960 +tp1011 +a(g558 +V +p1012 +tp1013 +a(g827 +V\u000a +p1014 +tp1015 +a(g558 +g960 +tp1016 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/django_sample.html+django b/tests/examplefiles/output/django_sample.html+django new file mode 100644 index 0000000..4a9e360 --- /dev/null +++ b/tests/examplefiles/output/django_sample.html+django @@ -0,0 +1,5187 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV{% +p956 +tp957 +a(g827 +V +p958 +tp959 +a(g745 +Vextends +p960 +tp961 +a(g827 +g958 +tp962 +a(g157 +V"admin/base_site.html" +p963 +tp964 +a(g827 +g958 +tp965 +a(g8 +V%} +p966 +tp967 +a(g721 +V\u000a +p968 +tp969 +a(g8 +V{% +p970 +tp971 +a(g827 +g958 +tp972 +a(g745 +Vload +p973 +tp974 +a(g827 +g958 +tp975 +a(g441 +Vi18n +p976 +tp977 +a(g827 +g958 +tp978 +a(g441 +Vadmin_modify +p979 +tp980 +a(g827 +g958 +tp981 +a(g441 +Vadminmedia +p982 +tp983 +a(g827 +g958 +tp984 +a(g8 +V%} +p985 +tp986 +a(g721 +V\u000a +p987 +tp988 +a(g8 +V{% +p989 +tp990 +a(g827 +g958 +tp991 +a(g745 +Vblock +p992 +tp993 +a(g827 +g958 +tp994 +a(g441 +Vextrahead +p995 +tp996 +a(g827 +g958 +tp997 +a(g8 +V%} +p998 +tp999 +a(g8 +V{{ +p1000 +tp1001 +a(g827 +g958 +tp1002 +a(g682 +Vblock +p1003 +tp1004 +a(g441 +V.super +p1005 +tp1006 +a(g827 +g958 +tp1007 +a(g8 +V}} +p1008 +tp1009 +a(g721 +V\u000a\u000a +p1010 +tp1011 +a(g8 +V{% +p1012 +tp1013 +a(g827 +g958 +tp1014 +a(g745 +Vfor +p1015 +tp1016 +a(g827 +g958 +tp1017 +a(g441 +Vjs +p1018 +tp1019 +a(g827 +g958 +tp1020 +a(g745 +Vin +p1021 +tp1022 +a(g827 +g958 +tp1023 +a(g441 +Vjavascript_imports +p1024 +tp1025 +a(g827 +g958 +tp1026 +a(g8 +V%} +p1027 +tp1028 +a(g8 +V{% +p1029 +tp1030 +a(g827 +g958 +tp1031 +a(g745 +Vinclude_admin_script +p1032 +tp1033 +a(g827 +g958 +tp1034 +a(g441 +Vjs +p1035 +tp1036 +a(g827 +g958 +tp1037 +a(g8 +V%} +p1038 +tp1039 +a(g8 +V{% +p1040 +tp1041 +a(g827 +g958 +tp1042 +a(g745 +Vendfor +p1043 +tp1044 +a(g827 +g958 +tp1045 +a(g8 +V%} +p1046 +tp1047 +a(g721 +V\u000a +p1048 +tp1049 +a(g8 +V{% +p1050 +tp1051 +a(g827 +g958 +tp1052 +a(g745 +Vendblock +p1053 +tp1054 +a(g827 +g958 +tp1055 +a(g8 +V%} +p1056 +tp1057 +a(g721 +V\u000a +p1058 +tp1059 +a(g8 +V{% +p1060 +tp1061 +a(g827 +g958 +tp1062 +a(g745 +Vblock +p1063 +tp1064 +a(g827 +g958 +tp1065 +a(g441 +Vstylesheet +p1066 +tp1067 +a(g827 +g958 +tp1068 +a(g8 +V%} +p1069 +tp1070 +a(g8 +V{% +p1071 +tp1072 +a(g827 +g958 +tp1073 +a(g745 +Vadmin_media_prefix +p1074 +tp1075 +a(g827 +g958 +tp1076 +a(g8 +V%} +p1077 +tp1078 +a(g721 +Vcss/forms.css +p1079 +tp1080 +a(g8 +V{% +p1081 +tp1082 +a(g827 +g958 +tp1083 +a(g745 +Vendblock +p1084 +tp1085 +a(g827 +g958 +tp1086 +a(g8 +V%} +p1087 +tp1088 +a(g721 +V\u000a +p1089 +tp1090 +a(g8 +V{% +p1091 +tp1092 +a(g827 +g958 +tp1093 +a(g745 +Vblock +p1094 +tp1095 +a(g827 +g958 +tp1096 +a(g441 +Vcoltype +p1097 +tp1098 +a(g827 +g958 +tp1099 +a(g8 +V%} +p1100 +tp1101 +a(g8 +V{% +p1102 +tp1103 +a(g827 +g958 +tp1104 +a(g745 +Vif +p1105 +tp1106 +a(g827 +g958 +tp1107 +a(g441 +Vordered_objects +p1108 +tp1109 +a(g827 +g958 +tp1110 +a(g8 +V%} +p1111 +tp1112 +a(g721 +VcolMS +p1113 +tp1114 +a(g8 +V{% +p1115 +tp1116 +a(g827 +g958 +tp1117 +a(g745 +Velse +p1118 +tp1119 +a(g827 +g958 +tp1120 +a(g8 +V%} +p1121 +tp1122 +a(g721 +VcolM +p1123 +tp1124 +a(g8 +V{% +p1125 +tp1126 +a(g827 +g958 +tp1127 +a(g745 +Vendif +p1128 +tp1129 +a(g827 +g958 +tp1130 +a(g8 +V%} +p1131 +tp1132 +a(g8 +V{% +p1133 +tp1134 +a(g827 +g958 +tp1135 +a(g745 +Vendblock +p1136 +tp1137 +a(g827 +g958 +tp1138 +a(g8 +V%} +p1139 +tp1140 +a(g721 +V\u000a +p1141 +tp1142 +a(g8 +V{% +p1143 +tp1144 +a(g827 +g958 +tp1145 +a(g745 +Vblock +p1146 +tp1147 +a(g827 +g958 +tp1148 +a(g441 +Vbodyclass +p1149 +tp1150 +a(g827 +g958 +tp1151 +a(g8 +V%} +p1152 +tp1153 +a(g8 +V{{ +p1154 +tp1155 +a(g827 +g958 +tp1156 +a(g441 +Vopts +p1157 +tp1158 +a(g441 +V.app_label +p1159 +tp1160 +a(g827 +g958 +tp1161 +a(g8 +V}} +p1162 +tp1163 +a(g721 +V- +p1164 +tp1165 +a(g8 +V{{ +p1166 +tp1167 +a(g827 +g958 +tp1168 +a(g441 +Vopts +p1169 +tp1170 +a(g441 +V.object_name +p1171 +tp1172 +a(g441 +V.lower +p1173 +tp1174 +a(g827 +g958 +tp1175 +a(g8 +V}} +p1176 +tp1177 +a(g721 +V change-form +p1178 +tp1179 +a(g8 +V{% +p1180 +tp1181 +a(g827 +g958 +tp1182 +a(g745 +Vendblock +p1183 +tp1184 +a(g827 +g958 +tp1185 +a(g8 +V%} +p1186 +tp1187 +a(g721 +V\u000a +p1188 +tp1189 +a(g8 +V{% +p1190 +tp1191 +a(g827 +g958 +tp1192 +a(g745 +Vblock +p1193 +tp1194 +a(g827 +g958 +tp1195 +a(g441 +Vuserlinks +p1196 +tp1197 +a(g827 +g958 +tp1198 +a(g8 +V%} +p1199 +tp1200 +a(g721 +V +p1201 +tp1202 +a(g8 +V{% +p1203 +tp1204 +a(g827 +g958 +tp1205 +a(g745 +Vtrans +p1206 +tp1207 +a(g827 +g958 +tp1208 +a(g230 +V'Documentation' +p1209 +tp1210 +a(g827 +g958 +tp1211 +a(g8 +V%} +p1212 +tp1213 +a(g721 +V / +p1214 +tp1215 +a(g8 +V{% +p1216 +tp1217 +a(g827 +g958 +tp1218 +a(g745 +Vtrans +p1219 +tp1220 +a(g827 +g958 +tp1221 +a(g230 +V'Change password' +p1222 +tp1223 +a(g827 +g958 +tp1224 +a(g8 +V%} +p1225 +tp1226 +a(g721 +V / +p1227 +tp1228 +a(g8 +V{% +p1229 +tp1230 +a(g827 +g958 +tp1231 +a(g745 +Vtrans +p1232 +tp1233 +a(g827 +g958 +tp1234 +a(g230 +V'Log out' +p1235 +tp1236 +a(g827 +g958 +tp1237 +a(g8 +V%} +p1238 +tp1239 +a(g721 +V +p1240 +tp1241 +a(g8 +V{% +p1242 +tp1243 +a(g827 +g958 +tp1244 +a(g745 +Vendblock +p1245 +tp1246 +a(g827 +g958 +tp1247 +a(g8 +V%} +p1248 +tp1249 +a(g721 +V\u000a +p1250 +tp1251 +a(g8 +V{% +p1252 +tp1253 +a(g827 +g958 +tp1254 +a(g745 +Vblock +p1255 +tp1256 +a(g827 +g958 +tp1257 +a(g441 +Vbreadcrumbs +p1258 +tp1259 +a(g827 +g958 +tp1260 +a(g8 +V%} +p1261 +tp1262 +a(g8 +V{% +p1263 +tp1264 +a(g827 +g958 +tp1265 +a(g745 +Vif +p1266 +tp1267 +a(g827 +g958 +tp1268 +a(g745 +Vnot +p1269 +tp1270 +a(g827 +g958 +tp1271 +a(g441 +Vis_popup +p1272 +tp1273 +a(g827 +g958 +tp1274 +a(g8 +V%} +p1275 +tp1276 +a(g721 +V\u000a\u000a +p1379 +tp1380 +a(g8 +V{% +p1381 +tp1382 +a(g827 +g958 +tp1383 +a(g745 +Vendif +p1384 +tp1385 +a(g827 +g958 +tp1386 +a(g8 +V%} +p1387 +tp1388 +a(g8 +V{% +p1389 +tp1390 +a(g827 +g958 +tp1391 +a(g745 +Vendblock +p1392 +tp1393 +a(g827 +g958 +tp1394 +a(g8 +V%} +p1395 +tp1396 +a(g721 +V\u000a +p1397 +tp1398 +a(g8 +V{% +p1399 +tp1400 +a(g827 +g958 +tp1401 +a(g745 +Vblock +p1402 +tp1403 +a(g827 +g958 +tp1404 +a(g441 +Vcontent +p1405 +tp1406 +a(g827 +g958 +tp1407 +a(g8 +V%} +p1408 +tp1409 +a(g721 +V
    \u000a +p1410 +tp1411 +a(g8 +V{% +p1412 +tp1413 +a(g827 +g958 +tp1414 +a(g745 +Vif +p1415 +tp1416 +a(g827 +g958 +tp1417 +a(g441 +Vchange +p1418 +tp1419 +a(g827 +g958 +tp1420 +a(g8 +V%} +p1421 +tp1422 +a(g8 +V{% +p1423 +tp1424 +a(g827 +g958 +tp1425 +a(g745 +Vif +p1426 +tp1427 +a(g827 +g958 +tp1428 +a(g745 +Vnot +p1429 +tp1430 +a(g827 +g958 +tp1431 +a(g441 +Vis_popup +p1432 +tp1433 +a(g827 +g958 +tp1434 +a(g8 +V%} +p1435 +tp1436 +a(g721 +V\u000a \u000a +p1505 +tp1506 +a(g8 +V{% +p1507 +tp1508 +a(g827 +g958 +tp1509 +a(g745 +Vendif +p1510 +tp1511 +a(g827 +g958 +tp1512 +a(g8 +V%} +p1513 +tp1514 +a(g8 +V{% +p1515 +tp1516 +a(g827 +g958 +tp1517 +a(g745 +Vendif +p1518 +tp1519 +a(g827 +g958 +tp1520 +a(g8 +V%} +p1521 +tp1522 +a(g721 +V\u000a
    +p1568 +tp1569 +a(g8 +V{% +p1570 +tp1571 +a(g827 +g958 +tp1572 +a(g745 +Vblock +p1573 +tp1574 +a(g827 +g958 +tp1575 +a(g441 +Vform_top +p1576 +tp1577 +a(g827 +g958 +tp1578 +a(g8 +V%} +p1579 +tp1580 +a(g8 +V{% +p1581 +tp1582 +a(g827 +g958 +tp1583 +a(g745 +Vendblock +p1584 +tp1585 +a(g827 +g958 +tp1586 +a(g8 +V%} +p1587 +tp1588 +a(g721 +V\u000a
    \u000a +p1589 +tp1590 +a(g8 +V{% +p1591 +tp1592 +a(g827 +g958 +tp1593 +a(g745 +Vif +p1594 +tp1595 +a(g827 +g958 +tp1596 +a(g441 +Vis_popup +p1597 +tp1598 +a(g827 +g958 +tp1599 +a(g8 +V%} +p1600 +tp1601 +a(g721 +V +p1602 +tp1603 +a(g8 +V{% +p1604 +tp1605 +a(g827 +g958 +tp1606 +a(g745 +Vendif +p1607 +tp1608 +a(g827 +g958 +tp1609 +a(g8 +V%} +p1610 +tp1611 +a(g721 +V\u000a +p1612 +tp1613 +a(g8 +V{% +p1614 +tp1615 +a(g827 +g958 +tp1616 +a(g745 +Vif +p1617 +tp1618 +a(g827 +g958 +tp1619 +a(g441 +Vopts +p1620 +tp1621 +a(g441 +V.admin +p1622 +tp1623 +a(g441 +V.save_on_top +p1624 +tp1625 +a(g827 +g958 +tp1626 +a(g8 +V%} +p1627 +tp1628 +a(g8 +V{% +p1629 +tp1630 +a(g827 +g958 +tp1631 +a(g745 +Vsubmit_row +p1632 +tp1633 +a(g827 +g958 +tp1634 +a(g8 +V%} +p1635 +tp1636 +a(g8 +V{% +p1637 +tp1638 +a(g827 +g958 +tp1639 +a(g745 +Vendif +p1640 +tp1641 +a(g827 +g958 +tp1642 +a(g8 +V%} +p1643 +tp1644 +a(g721 +V\u000a +p1645 +tp1646 +a(g8 +V{% +p1647 +tp1648 +a(g827 +g958 +tp1649 +a(g745 +Vif +p1650 +tp1651 +a(g827 +g958 +tp1652 +a(g441 +Vform +p1653 +tp1654 +a(g441 +V.error_dict +p1655 +tp1656 +a(g827 +g958 +tp1657 +a(g8 +V%} +p1658 +tp1659 +a(g721 +V\u000a

    \u000a +p1660 +tp1661 +a(g8 +V{% +p1662 +tp1663 +a(g827 +g958 +tp1664 +a(g745 +Vblocktrans +p1665 +tp1666 +a(g827 +g958 +tp1667 +a(g441 +Vcount +p1668 +tp1669 +a(g827 +g958 +tp1670 +a(g441 +Vform +p1671 +tp1672 +a(g441 +V.error_dict +p1673 +tp1674 +a(g441 +V.items +p1675 +tp1676 +a(g413 +g1299 +tp1677 +a(g574 +Vlength +p1678 +tp1679 +a(g827 +g958 +tp1680 +a(g745 +Vas +p1681 +tp1682 +a(g827 +g958 +tp1683 +a(g441 +Vcounter +p1684 +tp1685 +a(g827 +g958 +tp1686 +a(g8 +V%} +p1687 +tp1688 +a(g721 +VPlease correct the error below. +p1689 +tp1690 +a(g8 +V{% +p1691 +tp1692 +a(g827 +g958 +tp1693 +a(g745 +Vplural +p1694 +tp1695 +a(g827 +g958 +tp1696 +a(g8 +V%} +p1697 +tp1698 +a(g721 +VPlease correct the errors below. +p1699 +tp1700 +a(g8 +V{% +p1701 +tp1702 +a(g827 +g958 +tp1703 +a(g745 +Vendblocktrans +p1704 +tp1705 +a(g827 +g958 +tp1706 +a(g8 +V%} +p1707 +tp1708 +a(g721 +V\u000a

    \u000a +p1709 +tp1710 +a(g8 +V{% +p1711 +tp1712 +a(g827 +g958 +tp1713 +a(g745 +Vendif +p1714 +tp1715 +a(g827 +g958 +tp1716 +a(g8 +V%} +p1717 +tp1718 +a(g721 +V\u000a +p1719 +tp1720 +a(g8 +V{% +p1721 +tp1722 +a(g827 +g958 +tp1723 +a(g745 +Vfor +p1724 +tp1725 +a(g827 +g958 +tp1726 +a(g441 +Vbound_field_set +p1727 +tp1728 +a(g827 +g958 +tp1729 +a(g745 +Vin +p1730 +tp1731 +a(g827 +g958 +tp1732 +a(g441 +Vbound_field_sets +p1733 +tp1734 +a(g827 +g958 +tp1735 +a(g8 +V%} +p1736 +tp1737 +a(g721 +V\u000a
    \u000a +p1750 +tp1751 +a(g8 +V{% +p1752 +tp1753 +a(g827 +g958 +tp1754 +a(g745 +Vif +p1755 +tp1756 +a(g827 +g958 +tp1757 +a(g441 +Vbound_field_set +p1758 +tp1759 +a(g441 +V.name +p1760 +tp1761 +a(g827 +g958 +tp1762 +a(g8 +V%} +p1763 +tp1764 +a(g721 +V

    +p1765 +tp1766 +a(g8 +V{{ +p1767 +tp1768 +a(g827 +g958 +tp1769 +a(g441 +Vbound_field_set +p1770 +tp1771 +a(g441 +V.name +p1772 +tp1773 +a(g827 +g958 +tp1774 +a(g8 +V}} +p1775 +tp1776 +a(g721 +V

    +p1777 +tp1778 +a(g8 +V{% +p1779 +tp1780 +a(g827 +g958 +tp1781 +a(g745 +Vendif +p1782 +tp1783 +a(g827 +g958 +tp1784 +a(g8 +V%} +p1785 +tp1786 +a(g721 +V\u000a +p1787 +tp1788 +a(g8 +V{% +p1789 +tp1790 +a(g827 +g958 +tp1791 +a(g745 +Vif +p1792 +tp1793 +a(g827 +g958 +tp1794 +a(g441 +Vbound_field_set +p1795 +tp1796 +a(g441 +V.description +p1797 +tp1798 +a(g827 +g958 +tp1799 +a(g8 +V%} +p1800 +tp1801 +a(g721 +V
    +p1802 +tp1803 +a(g8 +V{{ +p1804 +tp1805 +a(g827 +g958 +tp1806 +a(g441 +Vbound_field_set +p1807 +tp1808 +a(g441 +V.description +p1809 +tp1810 +a(g827 +g958 +tp1811 +a(g8 +V}} +p1812 +tp1813 +a(g721 +V
    +p1814 +tp1815 +a(g8 +V{% +p1816 +tp1817 +a(g827 +g958 +tp1818 +a(g745 +Vendif +p1819 +tp1820 +a(g827 +g958 +tp1821 +a(g8 +V%} +p1822 +tp1823 +a(g721 +V\u000a +p1824 +tp1825 +a(g8 +V{% +p1826 +tp1827 +a(g827 +g958 +tp1828 +a(g745 +Vfor +p1829 +tp1830 +a(g827 +g958 +tp1831 +a(g441 +Vbound_field_line +p1832 +tp1833 +a(g827 +g958 +tp1834 +a(g745 +Vin +p1835 +tp1836 +a(g827 +g958 +tp1837 +a(g441 +Vbound_field_set +p1838 +tp1839 +a(g827 +g958 +tp1840 +a(g8 +V%} +p1841 +tp1842 +a(g721 +V\u000a +p1843 +tp1844 +a(g8 +V{% +p1845 +tp1846 +a(g827 +g958 +tp1847 +a(g745 +Vadmin_field_line +p1848 +tp1849 +a(g827 +g958 +tp1850 +a(g441 +Vbound_field_line +p1851 +tp1852 +a(g827 +g958 +tp1853 +a(g8 +V%} +p1854 +tp1855 +a(g721 +V\u000a +p1856 +tp1857 +a(g8 +V{% +p1858 +tp1859 +a(g827 +g958 +tp1860 +a(g745 +Vfor +p1861 +tp1862 +a(g827 +g958 +tp1863 +a(g441 +Vbound_field +p1864 +tp1865 +a(g827 +g958 +tp1866 +a(g745 +Vin +p1867 +tp1868 +a(g827 +g958 +tp1869 +a(g441 +Vbound_field_line +p1870 +tp1871 +a(g827 +g958 +tp1872 +a(g8 +V%} +p1873 +tp1874 +a(g721 +V\u000a +p1875 +tp1876 +a(g8 +V{% +p1877 +tp1878 +a(g827 +g958 +tp1879 +a(g745 +Vfilter_interface_script_maybe +p1880 +tp1881 +a(g827 +g958 +tp1882 +a(g441 +Vbound_field +p1883 +tp1884 +a(g827 +g958 +tp1885 +a(g8 +V%} +p1886 +tp1887 +a(g721 +V\u000a +p1888 +tp1889 +a(g8 +V{% +p1890 +tp1891 +a(g827 +g958 +tp1892 +a(g745 +Vendfor +p1893 +tp1894 +a(g827 +g958 +tp1895 +a(g8 +V%} +p1896 +tp1897 +a(g721 +V\u000a +p1898 +tp1899 +a(g8 +V{% +p1900 +tp1901 +a(g827 +g958 +tp1902 +a(g745 +Vendfor +p1903 +tp1904 +a(g827 +g958 +tp1905 +a(g8 +V%} +p1906 +tp1907 +a(g721 +V\u000a
    \u000a +p1908 +tp1909 +a(g8 +V{% +p1910 +tp1911 +a(g827 +g958 +tp1912 +a(g745 +Vendfor +p1913 +tp1914 +a(g827 +g958 +tp1915 +a(g8 +V%} +p1916 +tp1917 +a(g721 +V\u000a +p1918 +tp1919 +a(g8 +V{% +p1920 +tp1921 +a(g827 +g958 +tp1922 +a(g745 +Vblock +p1923 +tp1924 +a(g827 +g958 +tp1925 +a(g441 +Vafter_field_sets +p1926 +tp1927 +a(g827 +g958 +tp1928 +a(g8 +V%} +p1929 +tp1930 +a(g8 +V{% +p1931 +tp1932 +a(g827 +g958 +tp1933 +a(g745 +Vendblock +p1934 +tp1935 +a(g827 +g958 +tp1936 +a(g8 +V%} +p1937 +tp1938 +a(g721 +V\u000a +p1939 +tp1940 +a(g8 +V{% +p1941 +tp1942 +a(g827 +g958 +tp1943 +a(g745 +Vif +p1944 +tp1945 +a(g827 +g958 +tp1946 +a(g441 +Vchange +p1947 +tp1948 +a(g827 +g958 +tp1949 +a(g8 +V%} +p1950 +tp1951 +a(g721 +V\u000a +p1952 +tp1953 +a(g8 +V{% +p1954 +tp1955 +a(g827 +g958 +tp1956 +a(g745 +Vif +p1957 +tp1958 +a(g827 +g958 +tp1959 +a(g441 +Vordered_objects +p1960 +tp1961 +a(g827 +g958 +tp1962 +a(g8 +V%} +p1963 +tp1964 +a(g721 +V\u000a

    +p1965 +tp1966 +a(g8 +V{% +p1967 +tp1968 +a(g827 +g958 +tp1969 +a(g745 +Vtrans +p1970 +tp1971 +a(g827 +g958 +tp1972 +a(g157 +V"Ordering" +p1973 +tp1974 +a(g827 +g958 +tp1975 +a(g8 +V%} +p1976 +tp1977 +a(g721 +V

    \u000a
    \u000a +p2005 +tp2006 +a(g8 +V{% +p2007 +tp2008 +a(g827 +g958 +tp2009 +a(g745 +Vif +p2010 +tp2011 +a(g827 +g958 +tp2012 +a(g441 +Vform +p2013 +tp2014 +a(g441 +V.order_ +p2015 +tp2016 +a(g441 +V.errors +p2017 +tp2018 +a(g827 +g958 +tp2019 +a(g8 +V%} +p2020 +tp2021 +a(g8 +V{{ +p2022 +tp2023 +a(g827 +g958 +tp2024 +a(g441 +Vform +p2025 +tp2026 +a(g441 +V.order_ +p2027 +tp2028 +a(g441 +V.html_error_list +p2029 +tp2030 +a(g827 +g958 +tp2031 +a(g8 +V}} +p2032 +tp2033 +a(g8 +V{% +p2034 +tp2035 +a(g827 +g958 +tp2036 +a(g745 +Vendif +p2037 +tp2038 +a(g827 +g958 +tp2039 +a(g8 +V%} +p2040 +tp2041 +a(g721 +V\u000a

    +p2055 +tp2056 +a(g8 +V{{ +p2057 +tp2058 +a(g827 +g958 +tp2059 +a(g441 +Vform +p2060 +tp2061 +a(g441 +V.order_ +p2062 +tp2063 +a(g827 +g958 +tp2064 +a(g8 +V}} +p2065 +tp2066 +a(g721 +V

    \u000a
    \u000a +p2067 +tp2068 +a(g8 +V{% +p2069 +tp2070 +a(g827 +g958 +tp2071 +a(g745 +Vendif +p2072 +tp2073 +a(g827 +g958 +tp2074 +a(g8 +V%} +p2075 +tp2076 +a(g721 +V\u000a +p2077 +tp2078 +a(g8 +V{% +p2079 +tp2080 +a(g827 +g958 +tp2081 +a(g745 +Vendif +p2082 +tp2083 +a(g827 +g958 +tp2084 +a(g8 +V%} +p2085 +tp2086 +a(g721 +V\u000a +p2087 +tp2088 +a(g8 +V{% +p2089 +tp2090 +a(g827 +g958 +tp2091 +a(g745 +Vfor +p2092 +tp2093 +a(g827 +g958 +tp2094 +a(g441 +Vrelated_object +p2095 +tp2096 +a(g827 +g958 +tp2097 +a(g745 +Vin +p2098 +tp2099 +a(g827 +g958 +tp2100 +a(g441 +Vinline_related_objects +p2101 +tp2102 +a(g827 +g958 +tp2103 +a(g8 +V%} +p2104 +tp2105 +a(g8 +V{% +p2106 +tp2107 +a(g827 +g958 +tp2108 +a(g745 +Vedit_inline +p2109 +tp2110 +a(g827 +g958 +tp2111 +a(g441 +Vrelated_object +p2112 +tp2113 +a(g827 +g958 +tp2114 +a(g8 +V%} +p2115 +tp2116 +a(g8 +V{% +p2117 +tp2118 +a(g827 +g958 +tp2119 +a(g745 +Vendfor +p2120 +tp2121 +a(g827 +g958 +tp2122 +a(g8 +V%} +p2123 +tp2124 +a(g721 +V\u000a +p2125 +tp2126 +a(g8 +V{% +p2127 +tp2128 +a(g827 +g958 +tp2129 +a(g745 +Vblock +p2130 +tp2131 +a(g827 +g958 +tp2132 +a(g441 +Vafter_related_objects +p2133 +tp2134 +a(g827 +g958 +tp2135 +a(g8 +V%} +p2136 +tp2137 +a(g8 +V{% +p2138 +tp2139 +a(g827 +g958 +tp2140 +a(g745 +Vendblock +p2141 +tp2142 +a(g827 +g958 +tp2143 +a(g8 +V%} +p2144 +tp2145 +a(g721 +V\u000a +p2146 +tp2147 +a(g8 +V{% +p2148 +tp2149 +a(g827 +g958 +tp2150 +a(g745 +Vsubmit_row +p2151 +tp2152 +a(g827 +g958 +tp2153 +a(g8 +V%} +p2154 +tp2155 +a(g721 +V\u000a +p2156 +tp2157 +a(g8 +V{% +p2158 +tp2159 +a(g827 +g958 +tp2160 +a(g745 +Vif +p2161 +tp2162 +a(g827 +g958 +tp2163 +a(g441 +Vadd +p2164 +tp2165 +a(g827 +g958 +tp2166 +a(g8 +V%} +p2167 +tp2168 +a(g721 +V\u000a \u000a +p2179 +tp2180 +a(g8 +V{% +p2181 +tp2182 +a(g827 +g958 +tp2183 +a(g745 +Vendif +p2184 +tp2185 +a(g827 +g958 +tp2186 +a(g8 +V%} +p2187 +tp2188 +a(g721 +V\u000a +p2189 +tp2190 +a(g8 +V{% +p2191 +tp2192 +a(g827 +g958 +tp2193 +a(g745 +Vif +p2194 +tp2195 +a(g827 +g958 +tp2196 +a(g441 +Vauto_populated_fields +p2197 +tp2198 +a(g827 +g958 +tp2199 +a(g8 +V%} +p2200 +tp2201 +a(g721 +V\u000a \u000a +p2218 +tp2219 +a(g8 +V{% +p2220 +tp2221 +a(g827 +g958 +tp2222 +a(g745 +Vendif +p2223 +tp2224 +a(g827 +g958 +tp2225 +a(g8 +V%} +p2226 +tp2227 +a(g721 +V\u000a
    \u000a
    \u000a +p2228 +tp2229 +a(g8 +V{% +p2230 +tp2231 +a(g827 +g958 +tp2232 +a(g745 +Vendblock +p2233 +tp2234 +a(g827 +g958 +tp2235 +a(g8 +V%} +p2236 +tp2237 +a(g721 +V\u000a +p2238 +tp2239 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/docker.docker b/tests/examplefiles/output/docker.docker new file mode 100644 index 0000000..69428d8 --- /dev/null +++ b/tests/examplefiles/output/docker.docker @@ -0,0 +1,2899 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVFROM +p956 +tp957 +a(g76 +V alpine:3.5 +p958 +tp959 +a(g826 +V\u000a +p960 +tp961 +a(g7 +VMAINTAINER +p962 +tp963 +a(g76 +V First O'Last +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g709 +V# comment +p970 +tp971 +a(g826 +V\u000a +p972 +tp973 +a(g7 +Vrun +p974 +tp975 +a(g826 +V +p976 +tp977 +a(g669 +Vecho +p978 +tp979 +a(g826 +g976 +tp980 +a(g200 +V\u005c\u000a +p981 +tp982 +a(g826 +V +p983 +tp984 +a(g17 +V123 +p985 +tp986 +a(g826 +g976 +tp987 +a(g428 +V$bar +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g7 +VRUN +p992 +tp993 +a(g826 +g976 +tp994 +a(g826 +Vapk +p995 +tp996 +a(g826 +g976 +tp997 +a(g826 +V--update +p998 +tp999 +a(g826 +g976 +tp1000 +a(g826 +Vadd +p1001 +tp1002 +a(g826 +g976 +tp1003 +a(g826 +Vrsync +p1004 +tp1005 +a(g826 +g976 +tp1006 +a(g826 +Vdumb-init +p1007 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g826 +V\u000a +p1011 +tp1012 +a(g709 +V# Test env with both syntax +p1013 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g7 +VENV +p1017 +tp1018 +a(g826 +g976 +tp1019 +a(g428 +VFOO +p1020 +tp1021 +a(g826 +g976 +tp1022 +a(g400 +V= +p1023 +tp1024 +a(g826 +g976 +tp1025 +a(g144 +V"BAR" +p1026 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g7 +VENV +p1030 +tp1031 +a(g826 +g976 +tp1032 +a(g826 +VFOO +p1033 +tp1034 +a(g826 +g976 +tp1035 +a(g200 +V\u005c\u000a +p1036 +tp1037 +a(g826 +V +p1038 +tp1039 +a(g144 +V"BAR" +p1040 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g826 +V\u000a +p1044 +tp1045 +a(g7 +VCOPY +p1046 +tp1047 +a(g826 +g976 +tp1048 +a(g826 +Vfoo +p1049 +tp1050 +a(g826 +g976 +tp1051 +a(g144 +V"bar" +p1052 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g7 +VCOPY +p1056 +tp1057 +a(g826 +g976 +tp1058 +a(g826 +Vfoo +p1059 +tp1060 +a(g826 +g976 +tp1061 +a(g200 +V\u005c\u000a +p1062 +tp1063 +a(g826 +g1038 +tp1064 +a(g144 +V"bar" +p1065 +tp1066 +a(g826 +V\u000a +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g7 +VHEALTHCHECK +p1071 +tp1072 +a(g826 +g976 +tp1073 +a(g200 +V\u005c\u000a +p1074 +tp1075 +a(g826 +V +p1076 +tp1077 +a(g826 +V--interval +p1078 +tp1079 +a(g400 +g1023 +tp1080 +a(g826 +V5m +p1081 +tp1082 +a(g826 +g976 +tp1083 +a(g826 +V--timeout +p1084 +tp1085 +a(g400 +g1023 +tp1086 +a(g826 +V3s +p1087 +tp1088 +a(g826 +g976 +tp1089 +a(g200 +V\u005c\u000a +p1090 +tp1091 +a(g826 +V +p1092 +tp1093 +a(g7 +VCMD +p1094 +tp1095 +a(g826 +g976 +tp1096 +a(g826 +Vcurl +p1097 +tp1098 +a(g826 +g976 +tp1099 +a(g826 +V-f +p1100 +tp1101 +a(g826 +g976 +tp1102 +a(g826 +Vhttp://localhost/ +p1103 +tp1104 +a(g826 +g976 +tp1105 +a(g400 +V|| +p1106 +tp1107 +a(g826 +g976 +tp1108 +a(g669 +Vexit +p1109 +tp1110 +a(g826 +g976 +tp1111 +a(g17 +V1 +p1112 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g826 +V\u000a +p1116 +tp1117 +a(g709 +V# ONBUILD keyword, then with linebreak +p1118 +tp1119 +a(g826 +V\u000a +p1120 +tp1121 +a(g7 +VONBUILD +p1122 +tp1123 +a(g826 +g976 +tp1124 +a(g7 +VADD +p1125 +tp1126 +a(g826 +g976 +tp1127 +a(g826 +V. +p1128 +tp1129 +a(g826 +g976 +tp1130 +a(g826 +V/app/src +p1131 +tp1132 +a(g826 +V\u000a +p1133 +tp1134 +a(g7 +VONBUILD +p1135 +tp1136 +a(g826 +g976 +tp1137 +a(g200 +V\u005c\u000a +p1138 +tp1139 +a(g826 +g1038 +tp1140 +a(g7 +VRUN +p1141 +tp1142 +a(g826 +g976 +tp1143 +a(g669 +Vecho +p1144 +tp1145 +a(g826 +g976 +tp1146 +a(g17 +V123 +p1147 +tp1148 +a(g826 +g976 +tp1149 +a(g428 +V$bar +p1150 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g709 +V# Potential JSON array parsing, mixed with linebreaks +p1156 +tp1157 +a(g826 +V\u000a +p1158 +tp1159 +a(g7 +VVOLUME +p1160 +tp1161 +a(g76 +V \u005c +p1162 +tp1163 +a(g826 +V\u000a +p1164 +tp1165 +a(g826 +V +p1166 +tp1167 +a(g826 +V/foo +p1168 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g7 +VVOLUME +p1172 +tp1173 +a(g826 +g976 +tp1174 +a(g200 +V\u005c\u000a +p1175 +tp1176 +a(g826 +V +p1177 +tp1178 +a(g693 +V[ +p1179 +tp1180 +a(g144 +V"/bar" +p1181 +tp1182 +a(g693 +V] +p1183 +tp1184 +a(g826 +V\u000a +p1185 +tp1186 +a(g7 +VVOLUME +p1187 +tp1188 +a(g826 +g976 +tp1189 +a(g693 +g1179 +tp1190 +a(g144 +V"/bar" +p1191 +tp1192 +a(g693 +g1183 +tp1193 +a(g826 +V\u000a +p1194 +tp1195 +a(g7 +VVOLUME +p1196 +tp1197 +a(g76 +V /foo +p1198 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g7 +VCMD +p1202 +tp1203 +a(g826 +g976 +tp1204 +a(g693 +g1179 +tp1205 +a(g144 +V"foo" +p1206 +tp1207 +a(g693 +V, +p1208 +tp1209 +a(g826 +g976 +tp1210 +a(g144 +V"bar" +p1211 +tp1212 +a(g693 +g1183 +tp1213 +a(g826 +V\u000a +p1214 +tp1215 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/durexmania.aheui b/tests/examplefiles/output/durexmania.aheui new file mode 100644 index 0000000..d29fb58 --- /dev/null +++ b/tests/examplefiles/output/durexmania.aheui @@ -0,0 +1,3526 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV\uc6b0 +p956 +tp957 +a(g408 +V\uc8fc +p958 +tp959 +a(g7 +V\uba54 +p960 +tp961 +a(g7 +V\uc774 +p962 +tp963 +a(g408 +V\uc800 +p964 +tp965 +a(g7 +V\u2606 +p966 +tp967 +a(g408 +V\ub4c0 +p968 +tp969 +a(g7 +V\ub809 +p970 +tp971 +a(g408 +V\uc2a4 +p972 +tp973 +a(g408 +V\uc804 +p974 +tp975 +a(g408 +V\ub3c4 +p976 +tp977 +a(g408 +V\uc0ac +p978 +tp979 +a(g7 +V\u2640 +p980 +tp981 +a(g7 +V\u2642 +p982 +tp983 +a(g7 +V\u2640 +p984 +tp985 +a(g7 +V\u2642 +p986 +tp987 +a(g7 +V\u2640 +p988 +tp989 +a(g7 +V\u2642 +p990 +tp991 +a(g7 +V\u2640 +p992 +tp993 +a(g7 +V\u2642 +p994 +tp995 +a(g7 +V\u2640 +p996 +tp997 +a(g7 +V\u2642 +p998 +tp999 +a(g7 +V\u2640 +p1000 +tp1001 +a(g7 +V\u2642 +p1002 +tp1003 +a(g7 +V\u2640 +p1004 +tp1005 +a(g7 +V\u2642 +p1006 +tp1007 +a(g7 +V\u2640 +p1008 +tp1009 +a(g7 +V\u2642 +p1010 +tp1011 +a(g7 +V\u2640 +p1012 +tp1013 +a(g7 +V\u2642 +p1014 +tp1015 +a(g7 +V\u2640 +p1016 +tp1017 +a(g7 +V\u2642 +p1018 +tp1019 +a(g7 +V\u2640 +p1020 +tp1021 +a(g7 +V\u2642 +p1022 +tp1023 +a(g7 +V\u2640 +p1024 +tp1025 +a(g7 +V\u2642 +p1026 +tp1027 +a(g7 +V\u2640 +p1028 +tp1029 +a(g7 +V\u2642 +p1030 +tp1031 +a(g7 +V\u2640 +p1032 +tp1033 +a(g7 +V\u2642 +p1034 +tp1035 +a(g7 +V\u2640 +p1036 +tp1037 +a(g7 +V\u2642 +p1038 +tp1039 +a(g7 +V\u2640 +p1040 +tp1041 +a(g7 +V\u2642 +p1042 +tp1043 +a(g7 +V\u2640 +p1044 +tp1045 +a(g7 +V\u2642 +p1046 +tp1047 +a(g7 +V\u2640 +p1048 +tp1049 +a(g7 +V\u2642 +p1050 +tp1051 +a(g7 +V\u2640 +p1052 +tp1053 +a(g7 +V\u2642 +p1054 +tp1055 +a(g7 +V\u2640 +p1056 +tp1057 +a(g7 +V\u2642 +p1058 +tp1059 +a(g7 +V\u2640 +p1060 +tp1061 +a(g7 +V\u2642 +p1062 +tp1063 +a(g7 +V\u2640 +p1064 +tp1065 +a(g7 +V\u2642 +p1066 +tp1067 +a(g7 +V\u2640 +p1068 +tp1069 +a(g7 +V\u2642 +p1070 +tp1071 +a(g7 +V\u2640 +p1072 +tp1073 +a(g7 +V\u2642 +p1074 +tp1075 +a(g7 +V\u2640 +p1076 +tp1077 +a(g7 +V\u2642 +p1078 +tp1079 +a(g7 +V\u2640 +p1080 +tp1081 +a(g7 +V\u2642 +p1082 +tp1083 +a(g7 +V\u2640 +p1084 +tp1085 +a(g7 +V\u2642 +p1086 +tp1087 +a(g7 +V\u2640 +p1088 +tp1089 +a(g7 +V\u2642 +p1090 +tp1091 +a(g7 +V\u2640 +p1092 +tp1093 +a(g7 +V\u2642 +p1094 +tp1095 +a(g7 +V\u2640 +p1096 +tp1097 +a(g7 +V\u2642 +p1098 +tp1099 +a(g7 +V\u2640 +p1100 +tp1101 +a(g7 +V\u2642 +p1102 +tp1103 +a(g7 +V\u2640 +p1104 +tp1105 +a(g7 +V\u2642 +p1106 +tp1107 +a(g7 +V\u2640 +p1108 +tp1109 +a(g7 +V\u2642 +p1110 +tp1111 +a(g7 +V\u2640 +p1112 +tp1113 +a(g7 +V\u2642 +p1114 +tp1115 +a(g7 +V\u2640 +p1116 +tp1117 +a(g7 +V\u2642 +p1118 +tp1119 +a(g7 +V\u2640 +p1120 +tp1121 +a(g7 +V\u2642 +p1122 +tp1123 +a(g7 +V\u2640 +p1124 +tp1125 +a(g7 +V\u2642 +p1126 +tp1127 +a(g7 +V\u2640 +p1128 +tp1129 +a(g7 +V\u2661 +p1130 +tp1131 +a(g408 +V\uba0a +p1132 +tp1133 +a(g822 +V\u000a +p1134 +tp1135 +a(g408 +V\uc0b6 +p1136 +tp1137 +a(g7 +V\uc740 +p1138 +tp1139 +a(g408 +V\ubc25 +p1140 +tp1141 +a(g7 +V\uacfc +p1142 +tp1143 +a(g7 +V\uc57c +p1144 +tp1145 +a(g7 +V\uadfc +p1146 +tp1147 +a(g408 +V\ubc25 +p1148 +tp1149 +a(g408 +V\uc0e4 +p1150 +tp1151 +a(g408 +V\uc8fc +p1152 +tp1153 +a(g7 +V\uc138 +p1154 +tp1155 +a(g7 +V\uc591 +p1156 +tp1157 +a(g7 +V\u2661 +p1158 +tp1159 +a(g408 +V\ubc25 +p1160 +tp1161 +a(g408 +V\uc0ac +p1162 +tp1163 +a(g408 +V\ubc25 +p1164 +tp1165 +a(g408 +V\uc0ac +p1166 +tp1167 +a(g408 +V\ubc25 +p1168 +tp1169 +a(g408 +V\uc0ac +p1170 +tp1171 +a(g408 +V\ubc25 +p1172 +tp1173 +a(g408 +V\uc0ac +p1174 +tp1175 +a(g408 +V\ubc25 +p1176 +tp1177 +a(g408 +V\uc0ac +p1178 +tp1179 +a(g408 +V\ub545 +p1180 +tp1181 +a(g408 +V\ub545 +p1182 +tp1183 +a(g408 +V\ub545 +p1184 +tp1185 +a(g408 +V\ube75 +p1186 +tp1187 +a(g7 +V\u2606 +p1188 +tp1189 +a(g408 +V\ub530 +p1190 +tp1191 +a(g408 +V\ubc26 +p1192 +tp1193 +a(g7 +V\ub0b4 +p1194 +tp1195 +a(g408 +V\ubc1c +p1196 +tp1197 +a(g408 +V\ub530 +p1198 +tp1199 +a(g408 +V\ubc26 +p1200 +tp1201 +a(g408 +V\ub2e4 +p1202 +tp1203 +a(g408 +V\ube75 +p1204 +tp1205 +a(g408 +V\ub9e3 +p1206 +tp1207 +a(g408 +V\ubc25 +p1208 +tp1209 +a(g408 +V\ubc25 +p1210 +tp1211 +a(g408 +V\ubc25 +p1212 +tp1213 +a(g7 +V\ub0b4 +p1214 +tp1215 +a(g7 +V\ub194 +p1216 +tp1217 +a(g408 +V\ubc25 +p1218 +tp1219 +a(g7 +V\uc918 +p1220 +tp1221 +a(g408 +V\ubc25 +p1222 +tp1223 +a(g408 +V\ubc25 +p1224 +tp1225 +a(g408 +V\ubc25 +p1226 +tp1227 +a(g408 +V\ubc17 +p1228 +tp1229 +a(g408 +V\ub545 +p1230 +tp1231 +a(g408 +V\ub545 +p1232 +tp1233 +a(g408 +V\ub545 +p1234 +tp1235 +a(g408 +V\ubc15 +p1236 +tp1237 +a(g408 +V\ubc1d +p1238 +tp1239 +a(g408 +V\ub545 +p1240 +tp1241 +a(g408 +V\ub545 +p1242 +tp1243 +a(g408 +V\ub53b +p1244 +tp1245 +a(g408 +V\ud0c0 +p1246 +tp1247 +a(g408 +V\ubc1f +p1248 +tp1249 +a(g408 +V\ud0c0 +p1250 +tp1251 +a(g408 +V\ub9e3 +p1252 +tp1253 +a(g408 +V\ubc26 +p1254 +tp1255 +a(g408 +V\ubc23 +p1256 +tp1257 +a(g408 +V\ub530 +p1258 +tp1259 +a(g408 +V\ubc15 +p1260 +tp1261 +a(g408 +V\ud0c0 +p1262 +tp1263 +a(g408 +V\ub9e3 +p1264 +tp1265 +a(g408 +V\ubc26 +p1266 +tp1267 +a(g408 +V\ubc23 +p1268 +tp1269 +a(g408 +V\ub530 +p1270 +tp1271 +a(g408 +V\ubc15 +p1272 +tp1273 +a(g408 +V\ud0c0 +p1274 +tp1275 +a(g408 +V\ub9e3 +p1276 +tp1277 +a(g408 +V\ubc26 +p1278 +tp1279 +a(g408 +V\ubc23 +p1280 +tp1281 +a(g408 +V\ub530 +p1282 +tp1283 +a(g408 +V\ubc15 +p1284 +tp1285 +a(g408 +V\ud0c0 +p1286 +tp1287 +a(g408 +V\ub9e3 +p1288 +tp1289 +a(g408 +V\ubc15 +p1290 +tp1291 +a(g408 +V\ube75 +p1292 +tp1293 +a(g408 +V\ube75 +p1294 +tp1295 +a(g408 +V\ube75 +p1296 +tp1297 +a(g408 +V\ube75 +p1298 +tp1299 +a(g408 +V\ub530 +p1300 +tp1301 +a(g408 +V\ub530 +p1302 +tp1303 +a(g408 +V\ub530 +p1304 +tp1305 +a(g408 +V\ub530 +p1306 +tp1307 +a(g408 +V\ub9e3 +p1308 +tp1309 +a(g408 +V\uc0b6 +p1310 +tp1311 +a(g408 +V\ubab2 +p1312 +tp1313 +a(g822 +V\u000a +p1314 +tp1315 +a(g7 +VO +p1316 +tp1317 +a(g7 +Vr +p1318 +tp1319 +a(g7 +Vi +p1320 +tp1321 +a(g7 +Vg +p1322 +tp1323 +a(g7 +g1320 +tp1324 +a(g7 +Vn +p1325 +tp1326 +a(g7 +Va +p1327 +tp1328 +a(g7 +Vl +p1329 +tp1330 +a(g7 +V +p1331 +tp1332 +a(g7 +VS +p1333 +tp1334 +a(g7 +Vo +p1335 +tp1336 +a(g7 +Vu +p1337 +tp1338 +a(g7 +g1318 +tp1339 +a(g7 +Vc +p1340 +tp1341 +a(g7 +Ve +p1342 +tp1343 +a(g7 +g1331 +tp1344 +a(g7 +Vb +p1345 +tp1346 +a(g7 +Vy +p1347 +tp1348 +a(g7 +g1331 +tp1349 +a(g7 +V@ +p1350 +tp1351 +a(g7 +Vh +p1352 +tp1353 +a(g7 +g1327 +tp1354 +a(g7 +g1318 +tp1355 +a(g7 +g1337 +tp1356 +a(g7 +g1325 +tp1357 +a(g7 +g1342 +tp1358 +a(g7 +g1325 +tp1359 +a(g7 +g1342 +tp1360 +a(g7 +g1331 +tp1361 +a(g7 +V/ +p1362 +tp1363 +a(g7 +g1362 +tp1364 +a(g7 +g1331 +tp1365 +a(g7 +VR +p1366 +tp1367 +a(g7 +g1337 +tp1368 +a(g7 +g1325 +tp1369 +a(g7 +g1331 +tp1370 +a(g7 +g1320 +tp1371 +a(g7 +Vt +p1372 +tp1373 +a(g7 +g1331 +tp1374 +a(g7 +g1335 +tp1375 +a(g7 +g1325 +tp1376 +a(g7 +g1331 +tp1377 +a(g7 +VA +p1378 +tp1379 +a(g7 +g1352 +tp1380 +a(g7 +g1342 +tp1381 +a(g7 +g1337 +tp1382 +a(g7 +g1320 +tp1383 +a(g7 +VC +p1384 +tp1385 +a(g7 +g1352 +tp1386 +a(g7 +g1342 +tp1387 +a(g7 +Vm +p1388 +tp1389 +a(g7 +V( +p1390 +tp1391 +a(g7 +g1352 +tp1392 +a(g7 +g1372 +tp1393 +a(g7 +g1372 +tp1394 +a(g7 +Vp +p1395 +tp1396 +a(g7 +V: +p1397 +tp1398 +a(g7 +g1362 +tp1399 +a(g7 +g1362 +tp1400 +a(g7 +g1347 +tp1401 +a(g7 +g1335 +tp1402 +a(g7 +g1335 +tp1403 +a(g7 +V2 +p1404 +tp1405 +a(g7 +V0 +p1406 +tp1407 +a(g7 +g1406 +tp1408 +a(g7 +V1 +p1409 +tp1410 +a(g7 +V8 +p1411 +tp1412 +a(g7 +g1409 +tp1413 +a(g7 +g1411 +tp1414 +a(g7 +V. +p1415 +tp1416 +a(g7 +g1322 +tp1417 +a(g7 +g1320 +tp1418 +a(g7 +g1372 +tp1419 +a(g7 +g1352 +tp1420 +a(g7 +g1337 +tp1421 +a(g7 +g1345 +tp1422 +a(g7 +g1415 +tp1423 +a(g7 +g1320 +tp1424 +a(g7 +g1335 +tp1425 +a(g7 +g1362 +tp1426 +a(g7 +g1378 +tp1427 +a(g7 +g1352 +tp1428 +a(g7 +g1342 +tp1429 +a(g7 +g1337 +tp1430 +a(g7 +g1320 +tp1431 +a(g7 +g1384 +tp1432 +a(g7 +g1352 +tp1433 +a(g7 +g1342 +tp1434 +a(g7 +g1388 +tp1435 +a(g7 +g1362 +tp1436 +a(g7 +V) +p1437 +tp1438 +a(g822 +V\u000a +p1439 +tp1440 +a(g7 +g1352 +tp1441 +a(g7 +g1372 +tp1442 +a(g7 +g1372 +tp1443 +a(g7 +g1395 +tp1444 +a(g7 +Vs +p1445 +tp1446 +a(g7 +g1397 +tp1447 +a(g7 +g1362 +tp1448 +a(g7 +g1362 +tp1449 +a(g7 +g1322 +tp1450 +a(g7 +g1320 +tp1451 +a(g7 +g1445 +tp1452 +a(g7 +g1372 +tp1453 +a(g7 +g1415 +tp1454 +a(g7 +g1322 +tp1455 +a(g7 +g1320 +tp1456 +a(g7 +g1372 +tp1457 +a(g7 +g1352 +tp1458 +a(g7 +g1337 +tp1459 +a(g7 +g1345 +tp1460 +a(g7 +g1415 +tp1461 +a(g7 +g1340 +tp1462 +a(g7 +g1335 +tp1463 +a(g7 +g1388 +tp1464 +a(g7 +g1362 +tp1465 +a(g7 +g1320 +tp1466 +a(g7 +g1372 +tp1467 +a(g7 +g1342 +tp1468 +a(g7 +g1388 +tp1469 +a(g7 +V4 +p1470 +tp1471 +a(g7 +g1362 +tp1472 +a(g7 +g1340 +tp1473 +a(g7 +g1327 +tp1474 +a(g7 +g1411 +tp1475 +a(g7 +V7 +p1476 +tp1477 +a(g7 +g1406 +tp1478 +a(g7 +g1327 +tp1479 +a(g7 +V6 +p1480 +tp1481 +a(g7 +V3 +p1482 +tp1483 +a(g7 +g1345 +tp1484 +a(g7 +g1482 +tp1485 +a(g7 +V9 +p1486 +tp1487 +a(g7 +g1406 +tp1488 +a(g7 +Vd +p1489 +tp1490 +a(g7 +g1327 +tp1491 +a(g7 +g1480 +tp1492 +a(g7 +g1340 +tp1493 +a(g7 +g1340 +tp1494 +a(g7 +g1480 +tp1495 +a(g7 +Vf +p1496 +tp1497 +a(g7 +g1409 +tp1498 +a(g822 +V\u000a +p1499 +tp1500 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/dwarf.cw b/tests/examplefiles/output/dwarf.cw new file mode 100644 index 0000000..ca36a9f --- /dev/null +++ b/tests/examplefiles/output/dwarf.cw @@ -0,0 +1,2669 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;redcode +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V;name Dwarf +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V;author A. K. Dewdney +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V;version 94.1 +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V;date April 29, 1993 +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V;strategy Bombs every fourth instruction. +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g573 +VORG +p980 +tp981 +a(g826 +V +p982 +tp983 +a(g435 +Vstart +p984 +tp985 +a(g826 +V +p986 +tp987 +a(g8 +V; Indicates the instruction with +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g8 +V; the label "start" should be the +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g8 +V; first to execute. +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g435 +Vstep +p1000 +tp1001 +a(g826 +V +p1002 +tp1003 +a(g573 +VEQU +p1004 +tp1005 +a(g826 +V +p1006 +tp1007 +a(g37 +V4 +p1008 +tp1009 +a(g826 +V +p1010 +tp1011 +a(g8 +V; Replaces all occurrences of "step" +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g8 +V; with the character "4". +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g435 +Vtarget +p1020 +tp1021 +a(g826 +V +p1022 +tp1023 +a(g573 +VDAT +p1024 +tp1025 +a(g705 +V. +p1026 +tp1027 +a(g495 +VF +p1028 +tp1029 +a(g826 +V +p1030 +tp1031 +a(g412 +V# +p1032 +tp1033 +a(g37 +V0 +p1034 +tp1035 +a(g705 +V, +p1036 +tp1037 +a(g826 +V +p1038 +tp1039 +a(g412 +g1032 +tp1040 +a(g37 +g1034 +tp1041 +a(g826 +V +p1042 +tp1043 +a(g8 +V; Pointer to target instruction. +p1044 +tp1045 +a(g826 +V\u000a +p1046 +tp1047 +a(g435 +Vstart +p1048 +tp1049 +a(g826 +V +p1050 +tp1051 +a(g573 +VADD +p1052 +tp1053 +a(g705 +g1026 +tp1054 +a(g495 +VAB +p1055 +tp1056 +a(g826 +V +p1057 +tp1058 +a(g412 +g1032 +tp1059 +a(g435 +Vstep +p1060 +tp1061 +a(g705 +g1036 +tp1062 +a(g826 +V +p1063 +tp1064 +a(g435 +Vtarget +p1065 +tp1066 +a(g826 +V +p1067 +tp1068 +a(g8 +V; Increments pointer by step. +p1069 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a(g573 +VMOV +p1073 +tp1074 +a(g705 +g1026 +tp1075 +a(g495 +VAB +p1076 +tp1077 +a(g826 +V +p1078 +tp1079 +a(g412 +g1032 +tp1080 +a(g37 +g1034 +tp1081 +a(g705 +g1036 +tp1082 +a(g826 +V +p1083 +tp1084 +a(g412 +V@ +p1085 +tp1086 +a(g435 +Vtarget +p1087 +tp1088 +a(g826 +V +p1089 +tp1090 +a(g8 +V; Bombs target instruction. +p1091 +tp1092 +a(g826 +V\u000a +p1093 +tp1094 +a(g573 +VJMP +p1095 +tp1096 +a(g705 +g1026 +tp1097 +a(g495 +VA +p1098 +tp1099 +a(g826 +V +p1100 +tp1101 +a(g435 +Vstart +p1102 +tp1103 +a(g826 +V +p1104 +tp1105 +a(g8 +V; Same as JMP.A -2. Loops back to +p1106 +tp1107 +a(g826 +V\u000a +p1108 +tp1109 +a(g8 +V; the instruction labelled "start". +p1110 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g573 +VEND +p1114 +tp1115 +a(g826 +V\u000a +p1116 +tp1117 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/eg_example1.eg b/tests/examplefiles/output/eg_example1.eg new file mode 100644 index 0000000..c018645 --- /dev/null +++ b/tests/examplefiles/output/eg_example1.eg @@ -0,0 +1,6554 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV;; filepath tests\u000a +p956 +tp957 +a(g781 +Vrequire +p958 +tp959 +a(g701 +V: +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g822 +V +p964 +tp965 +a(g513 +Vlibrary +p966 +tp967 +a(g822 +V\u000a +p968 +tp969 +a(g822 +V +p970 +tp971 +a(g835 +V./ +p972 +tp973 +a(g822 +Vawesome +p974 +tp975 +a(g822 +V\u000a +p976 +tp977 +a(g822 +V +p978 +tp979 +a(g835 +V./variable/greatness/ +p980 +tp981 +a(g822 +Vfile +p982 +tp983 +a(g822 +V\u000a +p984 +tp985 +a(g822 +V\u000a +p986 +tp987 +a(g822 +V\u000a +p988 +tp989 +a(g7 +V;; Strings\u000a +p990 +tp991 +a(g84 +V" +p992 +tp993 +a(g84 +VH +p994 +tp995 +a(g84 +Ve +p996 +tp997 +a(g84 +Vl +p998 +tp999 +a(g84 +g998 +tp1000 +a(g84 +Vo +p1001 +tp1002 +a(g84 +V +p1003 +tp1004 +a(g84 +VW +p1005 +tp1006 +a(g84 +g1001 +tp1007 +a(g84 +Vr +p1008 +tp1009 +a(g84 +g998 +tp1010 +a(g84 +Vd +p1011 +tp1012 +a(g84 +V! +p1013 +tp1014 +a(g84 +g992 +tp1015 +a(g822 +V\u000a +p1016 +tp1017 +a(g84 +g992 +tp1018 +a(g84 +g994 +tp1019 +a(g84 +g996 +tp1020 +a(g84 +g998 +tp1021 +a(g84 +g998 +tp1022 +a(g84 +g1001 +tp1023 +a(g84 +g1013 +tp1024 +a(g208 +V\u005cn +p1025 +tp1026 +a(g84 +g1005 +tp1027 +a(g84 +g1001 +tp1028 +a(g84 +g1008 +tp1029 +a(g84 +g998 +tp1030 +a(g84 +g1011 +tp1031 +a(g84 +g1013 +tp1032 +a(g84 +g992 +tp1033 +a(g822 +V\u000a +p1034 +tp1035 +a(g84 +V' +p1036 +tp1037 +a(g84 +Vs +p1038 +tp1039 +a(g84 +Va +p1040 +tp1041 +a(g84 +g1038 +tp1042 +a(g84 +g1040 +tp1043 +a(g84 +g1011 +tp1044 +a(g84 +g1040 +tp1045 +a(g84 +g1011 +tp1046 +a(g84 +g1038 +tp1047 +a(g84 +V\u005c +p1048 +tp1049 +a(g84 +g1038 +tp1050 +a(g84 +g1038 +tp1051 +a(g84 +g1011 +tp1052 +a(g84 +g1040 +tp1053 +a(g84 +g1038 +tp1054 +a(g84 +g1011 +tp1055 +a(g84 +g1040 +tp1056 +a(g84 +g1038 +tp1057 +a(g84 +g1011 +tp1058 +a(g120 +V{ +p1059 +tp1060 +a(g822 +g1003 +tp1061 +a(g457 +V@method +p1062 +tp1063 +a(g822 +g1003 +tp1064 +a(g513 +Vvariable +p1065 +tp1066 +a(g822 +g1003 +tp1067 +a(g120 +V} +p1068 +tp1069 +a(g84 +g1036 +tp1070 +a(g822 +V\u000a +p1071 +tp1072 +a(g752 +Vvar +p1073 +tp1074 +a(g436 +Vdstring +p1075 +tp1076 +a(g822 +g1003 +tp1077 +a(g422 +V= +p1078 +tp1079 +a(g822 +g1003 +tp1080 +a(g112 +V.dotted-string +p1081 +tp1082 +a(g822 +V\u000a +p1083 +tp1084 +a(g822 +V\u000a +p1085 +tp1086 +a(g513 +Vkey-dash +p1087 +tp1088 +a(g822 +V\u000a +p1089 +tp1090 +a(g513 +Vbut-can-it-do-ops +p1091 +tp1092 +a(g822 +g1003 +tp1093 +a(g408 +V+ +p1094 +tp1095 +a(g822 +V\u000a +p1096 +tp1097 +a(g822 +V +p1098 +tp1099 +a(g752 +Vyes-it-can +p1100 +tp1101 +a(g701 +g960 +tp1102 +a(g822 +V\u000a +p1103 +tp1104 +a(g822 +V +p1105 +tp1106 +a(g32 +V100 +p1107 +tp1108 +a(g822 +g1003 +tp1109 +a(g408 +g1094 +tp1110 +a(g822 +V\u000a +p1111 +tp1112 +a(g822 +V +p1113 +tp1114 +a(g752 +Vprint +p1115 +tp1116 +a(g84 +g992 +tp1117 +a(g84 +VS +p1118 +tp1119 +a(g84 +g1001 +tp1120 +a(g84 +g1003 +tp1121 +a(g84 +Vc +p1122 +tp1123 +a(g84 +g1001 +tp1124 +a(g84 +g1001 +tp1125 +a(g84 +g998 +tp1126 +a(g84 +g1013 +tp1127 +a(g84 +g992 +tp1128 +a(g822 +V\u000a +p1129 +tp1130 +a(g822 +V +p1131 +tp1132 +a(g32 +V100 +p1133 +tp1134 +a(g822 +V\u000a +p1135 +tp1136 +a(g822 +V +p1137 +tp1138 +a(g701 +g1059 +tp1139 +a(g436 +Vthat +p1140 +tp1141 +a(g822 +g1003 +tp1142 +a(g422 +g1078 +tp1143 +a(g822 +g1003 +tp1144 +a(g84 +g992 +tp1145 +a(g84 +Vi +p1146 +tp1147 +a(g84 +g1038 +tp1148 +a(g84 +g1003 +tp1149 +a(g84 +g1040 +tp1150 +a(g84 +Vw +p1151 +tp1152 +a(g84 +g996 +tp1153 +a(g84 +g1038 +tp1154 +a(g84 +g1001 +tp1155 +a(g84 +Vm +p1156 +tp1157 +a(g84 +g996 +tp1158 +a(g84 +g992 +tp1159 +a(g701 +V, +p1160 +tp1161 +a(g822 +g1003 +tp1162 +a(g436 +Vyou +p1163 +tp1164 +a(g822 +g1003 +tp1165 +a(g422 +g1078 +tp1166 +a(g822 +g1003 +tp1167 +a(g84 +g992 +tp1168 +a(g84 +g1040 +tp1169 +a(g84 +g1008 +tp1170 +a(g84 +g996 +tp1171 +a(g84 +g1003 +tp1172 +a(g84 +Vh +p1173 +tp1174 +a(g84 +g1146 +tp1175 +a(g84 +g998 +tp1176 +a(g84 +g1040 +tp1177 +a(g84 +g1008 +tp1178 +a(g84 +g1146 +tp1179 +a(g84 +g1001 +tp1180 +a(g84 +Vu +p1181 +tp1182 +a(g84 +g1038 +tp1183 +a(g84 +g992 +tp1184 +a(g701 +g1068 +tp1185 +a(g822 +g1003 +tp1186 +a(g408 +g1094 +tp1187 +a(g822 +V\u000a +p1188 +tp1189 +a(g822 +V +p1190 +tp1191 +a(g752 +Vjiminy-crickets +p1192 +tp1193 +a(g701 +g960 +tp1194 +a(g822 +V\u000a +p1195 +tp1196 +a(g822 +V +p1197 +tp1198 +a(g513 +Voliver-twist +p1199 +tp1200 +a(g822 +g1003 +tp1201 +a(g752 +Veach +p1202 +tp1203 +a(g513 +Vmr-bojangles +p1204 +tp1205 +a(g822 +g1003 +tp1206 +a(g408 +g1094 +tp1207 +a(g822 +V\u000a +p1208 +tp1209 +a(g822 +V +p1210 +tp1211 +a(g436 +Vkittens +p1212 +tp1213 +a(g822 +g1003 +tp1214 +a(g422 +g1078 +tp1215 +a(g822 +V\u000a +p1216 +tp1217 +a(g822 +V +p1218 +tp1219 +a(g32 +V12 +p1220 +tp1221 +a(g822 +V\u000a +p1222 +tp1223 +a(g822 +V\u000a +p1224 +tp1225 +a(g513 +Vcheck-it +p1226 +tp1227 +a(g701 +g960 +tp1228 +a(g822 +V\u000a +p1229 +tp1230 +a(g822 +V +p1231 +tp1232 +a(g752 +Vstill-works +p1233 +tp1234 +a(g701 +g960 +tp1235 +a(g822 +V\u000a +p1236 +tp1237 +a(g822 +V +p1238 +tp1239 +a(g701 +g1059 +tp1240 +a(g32 +V1 +p1241 +tp1242 +a(g701 +g1160 +tp1243 +a(g822 +g1003 +tp1244 +a(g32 +V2 +p1245 +tp1246 +a(g701 +g1160 +tp1247 +a(g822 +g1003 +tp1248 +a(g32 +V3 +p1249 +tp1250 +a(g701 +g1068 +tp1251 +a(g701 +V. +p1252 +tp1253 +a(g600 +Vreversed +p1254 +tp1255 +a(g701 +g1252 +tp1256 +a(g600 +Vreversed +p1257 +tp1258 +a(g701 +g1252 +tp1259 +a(g569 +Vawesome +p1260 +tp1261 +a(g701 +g1059 +tp1262 +a(g701 +g1068 +tp1263 +a(g701 +g1252 +tp1264 +a(g600 +Vthat +p1265 +tp1266 +a(g701 +g1252 +tp1267 +a(g569 +Vsort +p1268 +tp1269 +a(g701 +V( +p1270 +tp1271 +a(g701 +V) +p1272 +tp1273 +a(g701 +g1252 +tp1274 +a(g600 +Vwhat +p1275 +tp1276 +a(g701 +g1252 +tp1277 +a(g569 +Vmap +p1278 +tp1279 +a(g822 +g1003 +tp1280 +a(g422 +Vwith +p1281 +tp1282 +a(g822 +V\u000a +p1283 +tp1284 +a(g822 +V +p1285 +tp1286 +a(g513 +Vx +p1287 +tp1288 +a(g822 +g1003 +tp1289 +a(g569 +V-> +p1290 +tp1291 +a(g822 +g1003 +tp1292 +a(g513 +g1287 +tp1293 +a(g822 +g1003 +tp1294 +a(g408 +V* +p1295 +tp1296 +a(g822 +g1003 +tp1297 +a(g513 +g1287 +tp1298 +a(g822 +V\u000a +p1299 +tp1300 +a(g822 +V +p1301 +tp1302 +a(g701 +g1270 +tp1303 +a(g32 +g1241 +tp1304 +a(g701 +g1160 +tp1305 +a(g822 +g1003 +tp1306 +a(g32 +g1245 +tp1307 +a(g701 +g1160 +tp1308 +a(g822 +g1003 +tp1309 +a(g32 +g1249 +tp1310 +a(g701 +g1272 +tp1311 +a(g822 +g1003 +tp1312 +a(g408 +g1094 +tp1313 +a(g822 +g1003 +tp1314 +a(g759 +Vthis +p1315 +tp1316 +a(g822 +V\u000a +p1317 +tp1318 +a(g822 +V\u000a +p1319 +tp1320 +a(g752 +Vif +p1321 +tp1322 +a(g752 +Vtotal +p1323 +tp1324 +a(g513 +Vawesomeness +p1325 +tp1326 +a(g822 +g1003 +tp1327 +a(g408 +V> +p1328 +tp1329 +a(g822 +g1003 +tp1330 +a(g752 +Vgreat +p1331 +tp1332 +a(g513 +Vstupidity +p1333 +tp1334 +a(g701 +g960 +tp1335 +a(g822 +V\u000a +p1336 +tp1337 +a(g822 +V +p1338 +tp1339 +a(g752 +Vprint +p1340 +tp1341 +a(g84 +g992 +tp1342 +a(g84 +VY +p1343 +tp1344 +a(g84 +g1001 +tp1345 +a(g84 +g1181 +tp1346 +a(g84 +g1036 +tp1347 +a(g84 +Vv +p1348 +tp1349 +a(g84 +g996 +tp1350 +a(g84 +g1003 +tp1351 +a(g84 +g1151 +tp1352 +a(g84 +g1001 +tp1353 +a(g84 +Vn +p1354 +tp1355 +a(g84 +g1013 +tp1356 +a(g84 +g992 +tp1357 +a(g822 +V\u000a +p1358 +tp1359 +a(g822 +V +p1360 +tp1361 +a(g513 +Vnot-sure-what-this-does +p1362 +tp1363 +a(g822 +g1003 +tp1364 +a(g408 +V@@ +p1365 +tp1366 +a(g822 +g1003 +tp1367 +a(g513 +Vbut-it-wont-capture +p1368 +tp1369 +a(g822 +V\u000a +p1370 +tp1371 +a(g781 +Velse +p1372 +tp1373 +a(g701 +g960 +tp1374 +a(g822 +V\u000a +p1375 +tp1376 +a(g822 +V +p1377 +tp1378 +a(g752 +Vprint +p1379 +tp1380 +a(g84 +g992 +tp1381 +a(g84 +VK +p1382 +tp1383 +a(g84 +g996 +tp1384 +a(g84 +g996 +tp1385 +a(g84 +Vp +p1386 +tp1387 +a(g84 +g1003 +tp1388 +a(g84 +Vt +p1389 +tp1390 +a(g84 +g1008 +tp1391 +a(g84 +Vy +p1392 +tp1393 +a(g84 +g1146 +tp1394 +a(g84 +g1354 +tp1395 +a(g84 +Vg +p1396 +tp1397 +a(g84 +g1013 +tp1398 +a(g84 +g992 +tp1399 +a(g822 +V\u000a +p1400 +tp1401 +a(g822 +V +p1402 +tp1403 +a(g513 +Vneeds-work +p1404 +tp1405 +a(g822 +g1003 +tp1406 +a(g408 +V++ +p1407 +tp1408 +a(g822 +g1003 +tp1409 +a(g513 +Vyou +p1410 +tp1411 +a(g822 +V\u000a +p1412 +tp1413 +a(g822 +V\u000a +p1414 +tp1415 +a(g7 +V;; with tests\u000a +p1416 +tp1417 +a(g513 +Vneeds-obj +p1418 +tp1419 +a(g701 +g1270 +tp1420 +a(g84 +g1036 +tp1421 +a(g84 +g1040 +tp1422 +a(g84 +g1151 +tp1423 +a(g84 +g996 +tp1424 +a(g84 +g1038 +tp1425 +a(g84 +g1001 +tp1426 +a(g84 +g1156 +tp1427 +a(g84 +g996 +tp1428 +a(g84 +g1036 +tp1429 +a(g701 +g1272 +tp1430 +a(g822 +g1003 +tp1431 +a(g752 +Vwith +p1432 +tp1433 +a(g701 +g1059 +tp1434 +a(g84 +g992 +tp1435 +a(g84 +g1396 +tp1436 +a(g84 +g1008 +tp1437 +a(g84 +g996 +tp1438 +a(g84 +g1040 +tp1439 +a(g84 +g1389 +tp1440 +a(g84 +g1354 +tp1441 +a(g84 +g996 +tp1442 +a(g84 +g1038 +tp1443 +a(g84 +g1038 +tp1444 +a(g84 +g1003 +tp1445 +a(g84 +g1348 +tp1446 +a(g84 +g1040 +tp1447 +a(g84 +g998 +tp1448 +a(g84 +g1181 +tp1449 +a(g84 +g996 +tp1450 +a(g84 +g1038 +tp1451 +a(g84 +g992 +tp1452 +a(g701 +g1068 +tp1453 +a(g822 +V\u000a +p1454 +tp1455 +a(g513 +Vobject +p1456 +tp1457 +a(g701 +g1252 +tp1458 +a(g600 +Vfield +p1459 +tp1460 +a(g701 +g1252 +tp1461 +a(g569 +Vmethod +p1462 +tp1463 +a(g822 +g1003 +tp1464 +a(g752 +Vwith +p1465 +tp1466 +a(g701 +g1059 +tp1467 +a(g32 +g1241 +tp1468 +a(g701 +g1160 +tp1469 +a(g822 +g1003 +tp1470 +a(g32 +g1245 +tp1471 +a(g701 +g1160 +tp1472 +a(g822 +g1003 +tp1473 +a(g32 +g1249 +tp1474 +a(g701 +g1068 +tp1475 +a(g822 +V\u000a +p1476 +tp1477 +a(g822 +V\u000a +p1478 +tp1479 +a(g7 +V;; object assignment\u000a +p1480 +tp1481 +a(g436 +Vobj +p1482 +tp1483 +a(g822 +g1003 +tp1484 +a(g422 +g1078 +tp1485 +a(g822 +g1003 +tp1486 +a(g701 +g1059 +tp1487 +a(g822 +V\u000a +p1488 +tp1489 +a(g822 +V +p1490 +tp1491 +a(g436 +Vkey +p1492 +tp1493 +a(g822 +g1003 +tp1494 +a(g422 +g1078 +tp1495 +a(g822 +g1003 +tp1496 +a(g84 +g992 +tp1497 +a(g84 +g1001 +tp1498 +a(g84 +g1173 +tp1499 +a(g84 +g1003 +tp1500 +a(g84 +g1392 +tp1501 +a(g84 +g996 +tp1502 +a(g84 +g1040 +tp1503 +a(g84 +g1173 +tp1504 +a(g84 +g992 +tp1505 +a(g822 +V\u000a +p1506 +tp1507 +a(g822 +V +p1508 +tp1509 +a(g436 +Vtree +p1510 +tp1511 +a(g822 +g1003 +tp1512 +a(g422 +g1078 +tp1513 +a(g822 +g1003 +tp1514 +a(g84 +g992 +tp1515 +a(g84 +g1038 +tp1516 +a(g84 +g1122 +tp1517 +a(g84 +g1173 +tp1518 +a(g84 +g1156 +tp1519 +a(g84 +g1001 +tp1520 +a(g84 +g1173 +tp1521 +a(g84 +g1003 +tp1522 +a(g84 +g1122 +tp1523 +a(g84 +g1173 +tp1524 +a(g84 +g996 +tp1525 +a(g84 +g1040 +tp1526 +a(g84 +g1173 +tp1527 +a(g84 +g992 +tp1528 +a(g822 +V\u000a +p1529 +tp1530 +a(g701 +g1068 +tp1531 +a(g822 +V\u000a +p1532 +tp1533 +a(g513 +Vobj +p1534 +tp1535 +a(g701 +g1252 +tp1536 +a(g436 +Vfield +p1537 +tp1538 +a(g822 +g1003 +tp1539 +a(g422 +g1078 +tp1540 +a(g822 +g1003 +tp1541 +a(g701 +g1059 +tp1542 +a(g822 +V\u000a +p1543 +tp1544 +a(g822 +V +p1545 +tp1546 +a(g436 +Vthat +p1547 +tp1548 +a(g822 +g1003 +tp1549 +a(g422 +g1078 +tp1550 +a(g822 +g1003 +tp1551 +a(g752 +Vsuper +p1552 +tp1553 +a(g513 +Vcool +p1554 +tp1555 +a(g701 +g960 +tp1556 +a(g822 +g1003 +tp1557 +a(g84 +g992 +tp1558 +a(g84 +g1151 +tp1559 +a(g84 +g1001 +tp1560 +a(g84 +g1151 +tp1561 +a(g84 +Vz +p1562 +tp1563 +a(g84 +g996 +tp1564 +a(g84 +g1008 +tp1565 +a(g84 +g1038 +tp1566 +a(g84 +g1013 +tp1567 +a(g84 +g992 +tp1568 +a(g822 +V\u000a +p1569 +tp1570 +a(g822 +V +p1571 +tp1572 +a(g436 +VthatFunc +p1573 +tp1574 +a(g822 +g1003 +tp1575 +a(g422 +g1078 +tp1576 +a(g822 +g1003 +tp1577 +a(g701 +g1059 +tp1578 +a(g513 +g1287 +tp1579 +a(g701 +g1068 +tp1580 +a(g822 +g1003 +tp1581 +a(g569 +V-> +p1582 +tp1583 +a(g822 +g1003 +tp1584 +a(g513 +g1287 +tp1585 +a(g822 +V\u000a +p1586 +tp1587 +a(g701 +g1068 +tp1588 +a(g822 +V\u000a +p1589 +tp1590 +a(g822 +V\u000a +p1591 +tp1592 +a(g7 +V;; match statements\u000a +p1593 +tp1594 +a(g752 +Vmatch +p1595 +tp1596 +a(g457 +V@awesome +p1597 +tp1598 +a(g701 +g1252 +tp1599 +a(g600 +Vgreat +p1600 +tp1601 +a(g701 +g960 +tp1602 +a(g822 +V\u000a +p1603 +tp1604 +a(g822 +V +p1605 +tp1606 +a(g701 +g1059 +tp1607 +a(g513 +Vhead +p1608 +tp1609 +a(g701 +g1160 +tp1610 +a(g822 +g1003 +tp1611 +a(g408 +g1295 +tp1612 +a(g513 +Vtail +p1613 +tp1614 +a(g701 +g1068 +tp1615 +a(g822 +g1003 +tp1616 +a(g569 +V-> +p1617 +tp1618 +a(g822 +g1003 +tp1619 +a(g701 +V[ +p1620 +tp1621 +a(g752 +Vprint +p1622 +tp1623 +a(g513 +Vhead +p1624 +tp1625 +a(g701 +V; +p1626 +tp1627 +a(g822 +g1003 +tp1628 +a(g513 +Vtail +p1629 +tp1630 +a(g701 +V] +p1631 +tp1632 +a(g822 +V\u000a +p1633 +tp1634 +a(g822 +V +p1635 +tp1636 +a(g744 +VArray +p1637 +tp1638 +a(g701 +g1620 +tp1639 +a(g701 +g1631 +tp1640 +a(g822 +V +p1641 +tp1642 +a(g569 +V-> +p1643 +tp1644 +a(g822 +g1003 +tp1645 +a(g513 +Vconvert +p1646 +tp1647 +a(g701 +g1270 +tp1648 +a(g112 +V.arr +p1649 +tp1650 +a(g701 +g1272 +tp1651 +a(g822 +V\u000a +p1652 +tp1653 +a(g822 +V +p1654 +tp1655 +a(g744 +VString +p1656 +tp1657 +a(g408 +V? +p1658 +tp1659 +a(g822 +V +p1660 +tp1661 +a(g569 +V-> +p1662 +tp1663 +a(g822 +g1003 +tp1664 +a(g513 +Vconvert +p1665 +tp1666 +a(g701 +g1270 +tp1667 +a(g112 +V.str +p1668 +tp1669 +a(g701 +g1272 +tp1670 +a(g822 +V\u000a +p1671 +tp1672 +a(g822 +V +p1673 +tp1674 +a(g781 +Velse +p1675 +tp1676 +a(g822 +V +p1677 +tp1678 +a(g569 +V-> +p1679 +tp1680 +a(g822 +g1003 +tp1681 +a(g752 +Vthrow +p1682 +tp1683 +a(g608 +VE.error +p1684 +tp1685 +a(g701 +g1270 +tp1686 +a(g84 +g992 +tp1687 +a(g84 +VT +p1688 +tp1689 +a(g84 +g1173 +tp1690 +a(g84 +g1146 +tp1691 +a(g84 +g1038 +tp1692 +a(g84 +g1003 +tp1693 +a(g84 +g1146 +tp1694 +a(g84 +g1038 +tp1695 +a(g84 +g1003 +tp1696 +a(g84 +g1040 +tp1697 +a(g84 +g1354 +tp1698 +a(g84 +g1003 +tp1699 +a(g84 +g996 +tp1700 +a(g84 +g1008 +tp1701 +a(g84 +g1008 +tp1702 +a(g84 +g1001 +tp1703 +a(g84 +g1008 +tp1704 +a(g84 +g1013 +tp1705 +a(g84 +g992 +tp1706 +a(g701 +g1272 +tp1707 +a(g822 +V\u000a +p1708 +tp1709 +a(g822 +V\u000a +p1710 +tp1711 +a(g436 +Vunimpl-func +p1712 +tp1713 +a(g822 +g1003 +tp1714 +a(g422 +g1078 +tp1715 +a(g822 +g1003 +tp1716 +a(g569 +V-> +p1717 +tp1718 +a(g822 +g1003 +tp1719 +a(g781 +Vpass +p1720 +tp1721 +a(g822 +V\u000a +p1722 +tp1723 +a(g822 +V\u000a +p1724 +tp1725 +a(g7 +V;; if / elif / else test\u000a +p1726 +tp1727 +a(g752 +Vif +p1728 +tp1729 +a(g513 +Vcoolness +p1730 +tp1731 +a(g822 +g1003 +tp1732 +a(g408 +g1328 +tp1733 +a(g822 +g1003 +tp1734 +a(g32 +V11 +p1735 +tp1736 +a(g701 +g960 +tp1737 +a(g822 +V\u000a +p1738 +tp1739 +a(g822 +V +p1740 +tp1741 +a(g752 +Vadd +p1742 +tp1743 +a(g513 +Vsomething +p1744 +tp1745 +a(g701 +g960 +tp1746 +a(g822 +g1003 +tp1747 +a(g513 +Vsomething-else +p1748 +tp1749 +a(g822 +V\u000a +p1750 +tp1751 +a(g752 +Velif +p1752 +tp1753 +a(g561 +Vtrue +p1754 +tp1755 +a(g701 +g960 +tp1756 +a(g822 +V\u000a +p1757 +tp1758 +a(g822 +V +p1759 +tp1760 +a(g752 +Vadd +p1761 +tp1762 +a(g513 +Vnothing +p1763 +tp1764 +a(g701 +g960 +tp1765 +a(g822 +g1003 +tp1766 +a(g513 +Vsomething-else +p1767 +tp1768 +a(g822 +V\u000a +p1769 +tp1770 +a(g781 +Velse +p1771 +tp1772 +a(g701 +g960 +tp1773 +a(g822 +V\u000a +p1774 +tp1775 +a(g822 +V +p1776 +tp1777 +a(g752 +Vadd +p1778 +tp1779 +a(g513 +Veverything +p1780 +tp1781 +a(g701 +g960 +tp1782 +a(g822 +g1003 +tp1783 +a(g513 +Vthe-castle +p1784 +tp1785 +a(g822 +V\u000a +p1786 +tp1787 +a(g822 +V\u000a +p1788 +tp1789 +a(g7 +V;; nested if / elif / else test\u000a +p1790 +tp1791 +a(g436 +Vmad-function +p1792 +tp1793 +a(g822 +g1003 +tp1794 +a(g422 +g1078 +tp1795 +a(g822 +g1003 +tp1796 +a(g513 +Vbananas +p1797 +tp1798 +a(g822 +g1003 +tp1799 +a(g569 +V-> +p1800 +tp1801 +a(g822 +V\u000a +p1802 +tp1803 +a(g822 +V +p1804 +tp1805 +a(g752 +Vif +p1806 +tp1807 +a(g513 +Vbananas +p1808 +tp1809 +a(g822 +g1003 +tp1810 +a(g408 +g1328 +tp1811 +a(g822 +g1003 +tp1812 +a(g32 +V5 +p1813 +tp1814 +a(g701 +g960 +tp1815 +a(g822 +V\u000a +p1816 +tp1817 +a(g822 +V +p1818 +tp1819 +a(g752 +Vprint +p1820 +tp1821 +a(g84 +g992 +tp1822 +a(g84 +g1343 +tp1823 +a(g84 +g1001 +tp1824 +a(g84 +g1181 +tp1825 +a(g84 +g1036 +tp1826 +a(g84 +g1348 +tp1827 +a(g84 +g996 +tp1828 +a(g84 +g1003 +tp1829 +a(g84 +g1396 +tp1830 +a(g84 +g1001 +tp1831 +a(g84 +g1389 +tp1832 +a(g84 +g1003 +tp1833 +a(g84 +g1040 +tp1834 +a(g84 +g1003 +tp1835 +a(g84 +g998 +tp1836 +a(g84 +g1001 +tp1837 +a(g84 +g1389 +tp1838 +a(g84 +g1003 +tp1839 +a(g84 +g1001 +tp1840 +a(g84 +Vf +p1841 +tp1842 +a(g84 +g1003 +tp1843 +a(g84 +Vb +p1844 +tp1845 +a(g84 +g1040 +tp1846 +a(g84 +g1354 +tp1847 +a(g84 +g1040 +tp1848 +a(g84 +g1354 +tp1849 +a(g84 +g1040 +tp1850 +a(g84 +g1038 +tp1851 +a(g84 +g1013 +tp1852 +a(g84 +g992 +tp1853 +a(g822 +V\u000a +p1854 +tp1855 +a(g822 +V +p1856 +tp1857 +a(g752 +Velif +p1858 +tp1859 +a(g513 +Vbananas +p1860 +tp1861 +a(g822 +g1003 +tp1862 +a(g422 +g1078 +tp1863 +a(g422 +g1078 +tp1864 +a(g822 +g1003 +tp1865 +a(g32 +g1813 +tp1866 +a(g701 +g960 +tp1867 +a(g822 +V\u000a +p1868 +tp1869 +a(g822 +V +p1870 +tp1871 +a(g752 +Vprint +p1872 +tp1873 +a(g84 +g992 +tp1874 +a(g84 +g1343 +tp1875 +a(g84 +g1001 +tp1876 +a(g84 +g1181 +tp1877 +a(g84 +g1003 +tp1878 +a(g84 +g1156 +tp1879 +a(g84 +g1146 +tp1880 +a(g84 +g1396 +tp1881 +a(g84 +g1173 +tp1882 +a(g84 +g1389 +tp1883 +a(g84 +g1003 +tp1884 +a(g84 +g1151 +tp1885 +a(g84 +g1040 +tp1886 +a(g84 +g1354 +tp1887 +a(g84 +g1389 +tp1888 +a(g84 +g1003 +tp1889 +a(g84 +g1389 +tp1890 +a(g84 +g1001 +tp1891 +a(g84 +g1003 +tp1892 +a(g84 +g1122 +tp1893 +a(g84 +g1001 +tp1894 +a(g84 +g1354 +tp1895 +a(g84 +g1038 +tp1896 +a(g84 +g1146 +tp1897 +a(g84 +g1011 +tp1898 +a(g84 +g996 +tp1899 +a(g84 +g1008 +tp1900 +a(g84 +g1003 +tp1901 +a(g84 +g1396 +tp1902 +a(g84 +g996 +tp1903 +a(g84 +g1389 +tp1904 +a(g84 +g1389 +tp1905 +a(g84 +g1146 +tp1906 +a(g84 +g1354 +tp1907 +a(g84 +g1396 +tp1908 +a(g84 +g1003 +tp1909 +a(g84 +g1156 +tp1910 +a(g84 +g1001 +tp1911 +a(g84 +g1008 +tp1912 +a(g84 +g996 +tp1913 +a(g84 +g1003 +tp1914 +a(g84 +g1844 +tp1915 +a(g84 +g1040 +tp1916 +a(g84 +g1354 +tp1917 +a(g84 +g1040 +tp1918 +a(g84 +g1354 +tp1919 +a(g84 +g1040 +tp1920 +a(g84 +g1038 +tp1921 +a(g84 +g992 +tp1922 +a(g822 +V\u000a +p1923 +tp1924 +a(g822 +V +p1925 +tp1926 +a(g752 +Velse +p1927 +tp1928 +a(g701 +g960 +tp1929 +a(g822 +V\u000a +p1930 +tp1931 +a(g822 +V +p1932 +tp1933 +a(g752 +Vprint +p1934 +tp1935 +a(g84 +g992 +tp1936 +a(g84 +VG +p1937 +tp1938 +a(g84 +g1001 +tp1939 +a(g84 +g1003 +tp1940 +a(g84 +g1396 +tp1941 +a(g84 +g996 +tp1942 +a(g84 +g1389 +tp1943 +a(g84 +g1003 +tp1944 +a(g84 +g1156 +tp1945 +a(g84 +g1001 +tp1946 +a(g84 +g1008 +tp1947 +a(g84 +g996 +tp1948 +a(g84 +g1003 +tp1949 +a(g84 +g1844 +tp1950 +a(g84 +g1040 +tp1951 +a(g84 +g1354 +tp1952 +a(g84 +g1040 +tp1953 +a(g84 +g1354 +tp1954 +a(g84 +g1040 +tp1955 +a(g84 +g1038 +tp1956 +a(g84 +g1003 +tp1957 +a(g84 +g1354 +tp1958 +a(g84 +g1001 +tp1959 +a(g84 +g1151 +tp1960 +a(g84 +g1013 +tp1961 +a(g84 +g992 +tp1962 +a(g822 +V\u000a +p1963 +tp1964 +a(g822 +V\u000a +p1965 +tp1966 +a(g7 +V;; class test\u000a +p1967 +tp1968 +a(g752 +Vclass +p1969 +tp1970 +a(g513 +VDog +p1971 +tp1972 +a(g701 +g960 +tp1973 +a(g822 +V\u000a +p1974 +tp1975 +a(g822 +V +p1976 +tp1977 +a(g677 +Vconstructor +p1978 +tp1979 +a(g701 +g1270 +tp1980 +a(g457 +V@name +p1981 +tp1982 +a(g701 +g1160 +tp1983 +a(g822 +g1003 +tp1984 +a(g457 +V@breed +p1985 +tp1986 +a(g701 +g1272 +tp1987 +a(g822 +g1003 +tp1988 +a(g422 +g1078 +tp1989 +a(g822 +V\u000a +p1990 +tp1991 +a(g822 +V +p1992 +tp1993 +a(g759 +Vthis +p1994 +tp1995 +a(g701 +g1252 +tp1996 +a(g436 +Vawesomesauce +p1997 +tp1998 +a(g822 +g1003 +tp1999 +a(g422 +g1078 +tp2000 +a(g822 +g1003 +tp2001 +a(g32 +V100 +p2002 +tp2003 +a(g822 +V\u000a +p2004 +tp2005 +a(g822 +V\u000a +p2006 +tp2007 +a(g822 +V +p2008 +tp2009 +a(g436 +Vunimpl-meth +p2010 +tp2011 +a(g822 +g1003 +tp2012 +a(g422 +g1078 +tp2013 +a(g822 +g1003 +tp2014 +a(g569 +V-> +p2015 +tp2016 +a(g822 +g1003 +tp2017 +a(g781 +Vpass +p2018 +tp2019 +a(g822 +V\u000a +p2020 +tp2021 +a(g822 +V\u000a +p2022 +tp2023 +a(g822 +V +p2024 +tp2025 +a(g513 +Vbark +p2026 +tp2027 +a(g701 +g1270 +tp2028 +a(g759 +V@ +p2029 +tp2030 +a(g701 +g1160 +tp2031 +a(g822 +g1003 +tp2032 +a(g513 +Vsd +p2033 +tp2034 +a(g701 +g1272 +tp2035 +a(g822 +V\u000a +p2036 +tp2037 +a(g822 +V\u000a +p2038 +tp2039 +a(g7 +V;; error test\u000a +p2040 +tp2041 +a(g513 +Vtry +p2042 +tp2043 +a(g701 +g960 +tp2044 +a(g822 +V\u000a +p2045 +tp2046 +a(g822 +V +p2047 +tp2048 +a(g752 +Vthrow +p2049 +tp2050 +a(g608 +VE.test.my-error +p2051 +tp2052 +a(g701 +g1270 +tp2053 +a(g84 +g992 +tp2054 +a(g84 +g1688 +tp2055 +a(g84 +g1173 +tp2056 +a(g84 +g1146 +tp2057 +a(g84 +g1038 +tp2058 +a(g84 +g1003 +tp2059 +a(g84 +g1146 +tp2060 +a(g84 +g1038 +tp2061 +a(g84 +g1003 +tp2062 +a(g84 +g1156 +tp2063 +a(g84 +g1392 +tp2064 +a(g84 +g1003 +tp2065 +a(g84 +g996 +tp2066 +a(g84 +g1008 +tp2067 +a(g84 +g1008 +tp2068 +a(g84 +g1001 +tp2069 +a(g84 +g1008 +tp2070 +a(g84 +g1252 +tp2071 +a(g84 +g992 +tp2072 +a(g701 +g1272 +tp2073 +a(g822 +V\u000a +p2074 +tp2075 +a(g752 +Vcatch +p2076 +tp2077 +a(g608 +VTypeError +p2078 +tp2079 +a(g408 +g1658 +tp2080 +a(g822 +g1003 +tp2081 +a(g513 +g996 +tp2082 +a(g701 +g960 +tp2083 +a(g822 +V\u000a +p2084 +tp2085 +a(g822 +V +p2086 +tp2087 +a(g752 +Vprint +p2088 +tp2089 +a(g84 +g992 +tp2090 +a(g84 +g1688 +tp2091 +a(g84 +g1173 +tp2092 +a(g84 +g996 +tp2093 +a(g84 +g1008 +tp2094 +a(g84 +g996 +tp2095 +a(g84 +g1003 +tp2096 +a(g84 +g1151 +tp2097 +a(g84 +g1040 +tp2098 +a(g84 +g1038 +tp2099 +a(g84 +g1003 +tp2100 +a(g84 +g1040 +tp2101 +a(g84 +g1003 +tp2102 +a(g84 +g1389 +tp2103 +a(g84 +g1392 +tp2104 +a(g84 +g1386 +tp2105 +a(g84 +g996 +tp2106 +a(g84 +g1003 +tp2107 +a(g84 +g996 +tp2108 +a(g84 +g1008 +tp2109 +a(g84 +g1008 +tp2110 +a(g84 +g1001 +tp2111 +a(g84 +g1008 +tp2112 +a(g84 +g1252 +tp2113 +a(g84 +g992 +tp2114 +a(g822 +V\u000a +p2115 +tp2116 +a(g752 +Vcatch +p2117 +tp2118 +a(g608 +VE.my-error +p2119 +tp2120 +a(g408 +g1658 +tp2121 +a(g822 +g1003 +tp2122 +a(g513 +g996 +tp2123 +a(g701 +g960 +tp2124 +a(g822 +V\u000a +p2125 +tp2126 +a(g822 +V +p2127 +tp2128 +a(g752 +Vprint +p2129 +tp2130 +a(g84 +g992 +tp2131 +a(g84 +VM +p2132 +tp2133 +a(g84 +g1392 +tp2134 +a(g84 +g1003 +tp2135 +a(g84 +g996 +tp2136 +a(g84 +g1008 +tp2137 +a(g84 +g1008 +tp2138 +a(g84 +g1001 +tp2139 +a(g84 +g1008 +tp2140 +a(g84 +g1013 +tp2141 +a(g84 +g992 +tp2142 +a(g822 +V\u000a +p2143 +tp2144 +a(g752 +Vcatch +p2145 +tp2146 +a(g513 +g996 +tp2147 +a(g701 +g960 +tp2148 +a(g822 +V\u000a +p2149 +tp2150 +a(g822 +V +p2151 +tp2152 +a(g752 +Vprint +p2153 +tp2154 +a(g84 +g992 +tp2155 +a(g84 +g1118 +tp2156 +a(g84 +g1001 +tp2157 +a(g84 +g1156 +tp2158 +a(g84 +g996 +tp2159 +a(g84 +g1003 +tp2160 +a(g84 +g1001 +tp2161 +a(g84 +g1389 +tp2162 +a(g84 +g1173 +tp2163 +a(g84 +g996 +tp2164 +a(g84 +g1008 +tp2165 +a(g84 +g1003 +tp2166 +a(g84 +g996 +tp2167 +a(g84 +g1008 +tp2168 +a(g84 +g1008 +tp2169 +a(g84 +g1001 +tp2170 +a(g84 +g1008 +tp2171 +a(g84 +g1252 +tp2172 +a(g84 +g992 +tp2173 +a(g822 +V\u000a +p2174 +tp2175 +a(g513 +Vfinally +p2176 +tp2177 +a(g701 +g960 +tp2178 +a(g822 +V\u000a +p2179 +tp2180 +a(g822 +V +p2181 +tp2182 +a(g752 +Vprint +p2183 +tp2184 +a(g84 +g992 +tp2185 +a(g84 +g1005 +tp2186 +a(g84 +g996 +tp2187 +a(g84 +g1003 +tp2188 +a(g84 +g1040 +tp2189 +a(g84 +g1008 +tp2190 +a(g84 +g996 +tp2191 +a(g84 +g1003 +tp2192 +a(g84 +g1011 +tp2193 +a(g84 +g1001 +tp2194 +a(g84 +g1354 +tp2195 +a(g84 +g996 +tp2196 +a(g84 +g1252 +tp2197 +a(g84 +g992 +tp2198 +a(g822 +V\u000a +p2199 +tp2200 +a(g822 +V\u000a +p2201 +tp2202 +a(g7 +V;; method shorthand operator\u000a +p2203 +tp2204 +a(g436 +Vstream-of-twos +p2205 +tp2206 +a(g822 +g1003 +tp2207 +a(g422 +g1078 +tp2208 +a(g822 +g1003 +tp2209 +a(g569 +V*-> +p2210 +tp2211 +a(g822 +V\u000a +p2212 +tp2213 +a(g822 +V +p2214 +tp2215 +a(g752 +Vwhile +p2216 +tp2217 +a(g701 +g1620 +tp2218 +a(g561 +Vtrue +p2219 +tp2220 +a(g701 +g1631 +tp2221 +a(g701 +g960 +tp2222 +a(g822 +g1003 +tp2223 +a(g752 +Vyield +p2224 +tp2225 +a(g32 +g1245 +tp2226 +a(g822 +g1003 +tp2227 +a(g7 +V;; need to fix literals with colons after them.\u000a +p2228 +tp2229 +a(g822 +V\u000a +p2230 +tp2231 +a(g7 +V;; gen shorthand operator\u000a +p2232 +tp2233 +a(g436 +Vfull-name +p2234 +tp2235 +a(g822 +g1003 +tp2236 +a(g422 +g1078 +tp2237 +a(g822 +g1003 +tp2238 +a(g569 +V@-> +p2239 +tp2240 +a(g822 +V\u000a +p2241 +tp2242 +a(g822 +V +p2243 +tp2244 +a(g84 +g1036 +tp2245 +a(g120 +g1059 +tp2246 +a(g457 +V@first-name +p2247 +tp2248 +a(g120 +g1068 +tp2249 +a(g84 +g1003 +tp2250 +a(g120 +g1059 +tp2251 +a(g457 +V@last-name +p2252 +tp2253 +a(g120 +g1068 +tp2254 +a(g84 +g1036 +tp2255 +a(g822 +V\u000a +p2256 +tp2257 +a(g822 +V\u000a +p2258 +tp2259 +a(g436 +Vname-method +p2260 +tp2261 +a(g822 +g1003 +tp2262 +a(g422 +g1078 +tp2263 +a(g822 +g1003 +tp2264 +a(g569 +V@-> +p2265 +tp2266 +a(g822 +V\u000a +p2267 +tp2268 +a(g822 +V +p2269 +tp2270 +a(g457 +V@name +p2271 +tp2272 +a(g701 +g1252 +tp2273 +a(g600 +Vparents +p2274 +tp2275 +a(g701 +g1252 +tp2276 +a(g569 +Vfull-name +p2277 +tp2278 +a(g701 +g1270 +tp2279 +a(g701 +g1272 +tp2280 +a(g822 +V\u000a +p2281 +tp2282 +a(g822 +V\u000a +p2283 +tp2284 +a(g7 +V;; Keyword highlight test\u000a +p2285 +tp2286 +a(g752 +Vkey +p2287 +tp2288 +a(g513 +g1287 +tp2289 +a(g822 +V\u000a +p2290 +tp2291 +a(g752 +Vkey +p2292 +tp2293 +a(g408 +g1094 +tp2294 +a(g513 +g1287 +tp2295 +a(g701 +g1626 +tp2296 +a(g822 +g1003 +tp2297 +a(g752 +Vkey +p2298 +tp2299 +a(g457 +V@x +p2300 +tp2301 +a(g701 +g1626 +tp2302 +a(g822 +g1003 +tp2303 +a(g752 +Vkey +p2304 +tp2305 +a(g112 +V.x +p2306 +tp2307 +a(g822 +g1003 +tp2308 +a(g701 +g1626 +tp2309 +a(g822 +g1003 +tp2310 +a(g752 +Vkey +p2311 +tp2312 +a(g84 +g992 +tp2313 +a(g84 +g1287 +tp2314 +a(g84 +g992 +tp2315 +a(g701 +g1626 +tp2316 +a(g822 +g1003 +tp2317 +a(g752 +Vkey +p2318 +tp2319 +a(g32 +V0 +p2320 +tp2321 +a(g701 +g1626 +tp2322 +a(g822 +g1003 +tp2323 +a(g112 +V.asdasd +p2324 +tp2325 +a(g822 +V\u000a +p2326 +tp2327 +a(g752 +Vkey +p2328 +tp2329 +a(g701 +g1270 +tp2330 +a(g513 +g1287 +tp2331 +a(g701 +g1272 +tp2332 +a(g701 +g1626 +tp2333 +a(g822 +g1003 +tp2334 +a(g752 +Vkey +p2335 +tp2336 +a(g701 +g1620 +tp2337 +a(g513 +g1287 +tp2338 +a(g701 +g1631 +tp2339 +a(g701 +g1626 +tp2340 +a(g822 +g1003 +tp2341 +a(g752 +Vkey +p2342 +tp2343 +a(g701 +g1059 +tp2344 +a(g513 +g1287 +tp2345 +a(g701 +g1068 +tp2346 +a(g822 +V\u000a +p2347 +tp2348 +a(g513 +Vnokey +p2349 +tp2350 +a(g701 +g1252 +tp2351 +a(g569 +g1287 +tp2352 +a(g701 +g1270 +tp2353 +a(g701 +g1272 +tp2354 +a(g701 +g1626 +tp2355 +a(g822 +g1003 +tp2356 +a(g513 +Vnokey +p2357 +tp2358 +a(g701 +g1059 +tp2359 +a(g513 +g1287 +tp2360 +a(g701 +g1068 +tp2361 +a(g822 +V\u000a +p2362 +tp2363 +a(g752 +Vkey +p2364 +tp2365 +a(g513 +g1287 +tp2366 +a(g822 +g1003 +tp2367 +a(g408 +g1094 +tp2368 +a(g822 +g1003 +tp2369 +a(g513 +g1392 +tp2370 +a(g822 +V\u000a +p2371 +tp2372 +a(g752 +Vkey +p2373 +tp2374 +a(g752 +Vkey +p2375 +tp2376 +a(g513 +g1287 +tp2377 +a(g822 +V\u000a +p2378 +tp2379 +a(g513 +g1287 +tp2380 +a(g822 +g1003 +tp2381 +a(g408 +g1094 +tp2382 +a(g822 +g1003 +tp2383 +a(g752 +Vkey +p2384 +tp2385 +a(g513 +g1392 +tp2386 +a(g822 +V\u000a +p2387 +tp2388 +a(g513 +g1287 +tp2389 +a(g822 +g1003 +tp2390 +a(g408 +V- +p2391 +tp2392 +a(g822 +g1003 +tp2393 +a(g752 +Vkey +p2394 +tp2395 +a(g513 +g1392 +tp2396 +a(g822 +V\u000a +p2397 +tp2398 +a(g513 +Vnokey +p2399 +tp2400 +a(g822 +g1003 +tp2401 +a(g408 +g1094 +tp2402 +a(g822 +g1003 +tp2403 +a(g513 +g1287 +tp2404 +a(g822 +V\u000a +p2405 +tp2406 +a(g513 +Vnokey +p2407 +tp2408 +a(g822 +V\u000a +p2409 +tp2410 +a(g513 +Vkey +p2411 +tp2412 +a(g701 +g960 +tp2413 +a(g822 +g1003 +tp2414 +a(g513 +g1287 +tp2415 +a(g822 +V\u000a +p2416 +tp2417 +a(g752 +Vkey +p2418 +tp2419 +a(g513 +Vnokey +p2420 +tp2421 +a(g701 +g960 +tp2422 +a(g822 +g1003 +tp2423 +a(g513 +g1392 +tp2424 +a(g822 +V\u000a +p2425 +tp2426 +a(g752 +Vkey +p2427 +tp2428 +a(g513 +g1287 +tp2429 +a(g822 +g1003 +tp2430 +a(g408 +g1328 +tp2431 +a(g822 +g1003 +tp2432 +a(g513 +Vnokey +p2433 +tp2434 +a(g701 +g960 +tp2435 +a(g822 +g1003 +tp2436 +a(g513 +g1562 +tp2437 +a(g822 +V\u000a +p2438 +tp2439 +a(g513 +g1287 +tp2440 +a(g822 +g1003 +tp2441 +a(g408 +g1094 +tp2442 +a(g822 +g1003 +tp2443 +a(g752 +Vkey +p2444 +tp2445 +a(g513 +Vnokey +p2446 +tp2447 +a(g701 +g960 +tp2448 +a(g822 +g1003 +tp2449 +a(g513 +g1562 +tp2450 +a(g822 +V\u000a +p2451 +tp2452 +a(g513 +g1287 +tp2453 +a(g822 +g1003 +tp2454 +a(g752 +Vand +p2455 +tp2456 +a(g701 +g1059 +tp2457 +a(g513 +g1392 +tp2458 +a(g701 +g1160 +tp2459 +a(g822 +g1003 +tp2460 +a(g513 +g1562 +tp2461 +a(g701 +g1068 +tp2462 +a(g822 +V\u000a +p2463 +tp2464 +a(g513 +g1287 +tp2465 +a(g822 +g1003 +tp2466 +a(g408 +g1094 +tp2467 +a(g822 +g1003 +tp2468 +a(g513 +Vnokey +p2469 +tp2470 +a(g701 +g960 +tp2471 +a(g822 +g1003 +tp2472 +a(g513 +g1392 +tp2473 +a(g822 +V\u000a +p2474 +tp2475 +a(g513 +g1287 +tp2476 +a(g822 +g1003 +tp2477 +a(g752 +Vmod +p2478 +tp2479 +a(g513 +Vnokey +p2480 +tp2481 +a(g701 +g960 +tp2482 +a(g822 +g1003 +tp2483 +a(g513 +g1392 +tp2484 +a(g822 +V\u000a +p2485 +tp2486 +a(g436 +g1287 +tp2487 +a(g822 +g1003 +tp2488 +a(g422 +g1078 +tp2489 +a(g822 +g1003 +tp2490 +a(g752 +Vkey +p2491 +tp2492 +a(g701 +g960 +tp2493 +a(g822 +g1003 +tp2494 +a(g513 +g1392 +tp2495 +a(g822 +g1003 +tp2496 +a(g7 +V;; comments work after keywords!\u000a +p2497 +tp2498 +a(g513 +g1287 +tp2499 +a(g822 +g1003 +tp2500 +a(g752 +Veach +p2501 +tp2502 +a(g513 +Vkey +p2503 +tp2504 +a(g701 +g960 +tp2505 +a(g822 +g1003 +tp2506 +a(g513 +g1392 +tp2507 +a(g822 +V\u000a +p2508 +tp2509 +a(g513 +g1287 +tp2510 +a(g822 +g1003 +tp2511 +a(g781 +Veach* +p2512 +tp2513 +a(g822 +g1003 +tp2514 +a(g513 +Vk +p2515 +tp2516 +a(g822 +g1003 +tp2517 +a(g7 +V;;\u000a +p2518 +tp2519 +a(g513 +g1040 +tp2520 +a(g701 +g1252 +tp2521 +a(g569 +g1844 +tp2522 +a(g701 +g1059 +tp2523 +a(g513 +g1122 +tp2524 +a(g701 +g1252 +tp2525 +a(g600 +g1011 +tp2526 +a(g701 +g1160 +tp2527 +a(g822 +g1003 +tp2528 +a(g513 +g996 +tp2529 +a(g701 +g1252 +tp2530 +a(g600 +g1841 +tp2531 +a(g701 +g1068 +tp2532 +a(g822 +V\u000a +p2533 +tp2534 +a(g513 +g1040 +tp2535 +a(g701 +g1252 +tp2536 +a(g569 +Vbad-method +p2537 +tp2538 +a(g701 +g1270 +tp2539 +a(g513 +g1122 +tp2540 +a(g701 +g1252 +tp2541 +a(g600 +g1011 +tp2542 +a(g701 +g1160 +tp2543 +a(g822 +g1003 +tp2544 +a(g513 +g996 +tp2545 +a(g701 +g1252 +tp2546 +a(g600 +g1841 +tp2547 +a(g701 +g1272 +tp2548 +a(g822 +V\u000a +p2549 +tp2550 +a(g616 +V#a +p2551 +tp2552 +a(g701 +g1059 +tp2553 +a(g701 +g1068 +tp2554 +a(g822 +V\u000a +p2555 +tp2556 +a(g513 +Vnokey +p2557 +tp2558 +a(g822 +g1003 +tp2559 +a(g752 +Vmod +p2560 +tp2561 +a(g701 +g960 +tp2562 +a(g822 +g1003 +tp2563 +a(g513 +g1392 +tp2564 +a(g822 +g1003 +tp2565 +a(g7 +V;; do not highlight nokey\u000a +p2566 +tp2567 +a(g7 +V;; because mod is an operator\u000a +p2568 +tp2569 +a(g822 +V\u000a +p2570 +tp2571 +a(g7 +V;; Highlight all of these:\u000a +p2572 +tp2573 +a(g781 +Vawait +p2574 +tp2575 +a(g701 +g1626 +tp2576 +a(g822 +g1003 +tp2577 +a(g781 +Vbreak +p2578 +tp2579 +a(g701 +g1626 +tp2580 +a(g822 +g1003 +tp2581 +a(g781 +Vchain +p2582 +tp2583 +a(g701 +g1626 +tp2584 +a(g822 +g1003 +tp2585 +a(g781 +Vcontinue +p2586 +tp2587 +a(g701 +g1626 +tp2588 +a(g822 +g1003 +tp2589 +a(g752 +Velse +p2590 +tp2591 +a(g701 +g960 +tp2592 +a(g701 +g1626 +tp2593 +a(g822 +g1003 +tp2594 +a(g781 +Vexpr-value +p2595 +tp2596 +a(g822 +V\u000a +p2597 +tp2598 +a(g781 +Vmatch +p2599 +tp2600 +a(g701 +g1626 +tp2601 +a(g822 +g1003 +tp2602 +a(g781 +Vreturn +p2603 +tp2604 +a(g701 +g1626 +tp2605 +a(g822 +g1003 +tp2606 +a(g781 +Vyield +p2607 +tp2608 +a(g822 +V\u000a +p2609 +tp2610 +a(g822 +V\u000a +p2611 +tp2612 +a(g7 +V;; Edge-case highlighting test\u000a +p2613 +tp2614 +a(g513 +Vkey-word +p2615 +tp2616 +a(g701 +g960 +tp2617 +a(g822 +g1003 +tp2618 +a(g513 +Vxyz +p2619 +tp2620 +a(g822 +V\u000a +p2621 +tp2622 +a(g513 +Vnokey +p2623 +tp2624 +a(g822 +g1003 +tp2625 +a(g408 +g2391 +tp2626 +a(g822 +g1003 +tp2627 +a(g513 +g1287 +tp2628 +a(g701 +g960 +tp2629 +a(g822 +g1003 +tp2630 +a(g513 +Vyz +p2631 +tp2632 +a(g822 +V\u000a +p2633 +tp2634 +a(g822 +V\u000a +p2635 +tp2636 +a(g7 +V;; Some keywords may contain operators as a subpart. If your regexp\u000a +p2637 +tp2638 +a(g7 +V;; uses \u005cb to single out operators like each, is or in, you may\u000a +p2639 +tp2640 +a(g7 +V;; fail to highlight these properly:\u000a +p2641 +tp2642 +a(g513 +Vbeaches +p2643 +tp2644 +a(g822 +V +p2645 +tp2646 +a(g7 +V;; Do not highlight each inside the word beaches\u000a +p2647 +tp2648 +a(g513 +Veach-thing +p2649 +tp2650 +a(g822 +V +p2651 +tp2652 +a(g7 +V;; Do not highlight each\u000a +p2653 +tp2654 +a(g513 +Vsleep-in +p2655 +tp2656 +a(g822 +V +p2657 +tp2658 +a(g7 +V;; Do not highlight in\u000a +p2659 +tp2660 +a(g513 +Vbefore-each +p2661 +tp2662 +a(g701 +g960 +tp2663 +a(g822 +g1003 +tp2664 +a(g513 +Vxyz +p2665 +tp2666 +a(g822 +V +p2667 +tp2668 +a(g7 +V;; Highlight before-each as a keyword\u000a +p2669 +tp2670 +a(g513 +Vis-great +p2671 +tp2672 +a(g701 +g960 +tp2673 +a(g822 +g1003 +tp2674 +a(g513 +Vxyz +p2675 +tp2676 +a(g822 +V +p2677 +tp2678 +a(g7 +V;; Highlight is-great as a keyword\u000a +p2679 +tp2680 +a(g822 +V\u000a +p2681 +tp2682 +a(g677 +Vsend +p2683 +tp2684 +a(g701 +g1270 +tp2685 +a(g701 +g1272 +tp2686 +a(g822 +V\u000a +p2687 +tp2688 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ember.handlebars b/tests/examplefiles/output/ember.handlebars new file mode 100644 index 0000000..97c13e0 --- /dev/null +++ b/tests/examplefiles/output/ember.handlebars @@ -0,0 +1,3349 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g754 +V{{ +p958 +tp959 +a(g577 +V# +p960 +tp961 +a(g577 +Vview +p962 +tp963 +a(g7 +V +p964 +tp965 +a(g444 +VEmberFirebaseChat +p966 +tp967 +a(g444 +V.ChatView +p968 +tp969 +a(g7 +g964 +tp970 +a(g670 +Vclass +p971 +tp972 +a(g416 +V= +p973 +tp974 +a(g160 +V"chat-container" +p975 +tp976 +a(g754 +V}} +p977 +tp978 +a(g7 +V\u000a +p979 +tp980 +a(g709 +V< +p981 +tp982 +a(g561 +Vdiv +p983 +tp984 +a(g7 +g964 +tp985 +a(g670 +Vclass +p986 +tp987 +a(g416 +g973 +tp988 +a(g92 +V"chat-messages-container" +p989 +tp990 +a(g709 +V> +p991 +tp992 +a(g7 +V\u000a +p993 +tp994 +a(g709 +g981 +tp995 +a(g561 +Vul +p996 +tp997 +a(g7 +g964 +tp998 +a(g670 +Vclass +p999 +tp1000 +a(g416 +g973 +tp1001 +a(g92 +V"chat-messages" +p1002 +tp1003 +a(g709 +g991 +tp1004 +a(g7 +V\u000a +p1005 +tp1006 +a(g754 +V{{ +p1007 +tp1008 +a(g842 +g960 +tp1009 +a(g842 +Veach +p1010 +tp1011 +a(g7 +g964 +tp1012 +a(g444 +Vmessage +p1013 +tp1014 +a(g7 +g964 +tp1015 +a(g842 +Vin +p1016 +tp1017 +a(g7 +g964 +tp1018 +a(g444 +Vcontent +p1019 +tp1020 +a(g754 +V}} +p1021 +tp1022 +a(g7 +V\u000a +p1023 +tp1024 +a(g709 +g981 +tp1025 +a(g561 +Vli +p1026 +tp1027 +a(g709 +g991 +tp1028 +a(g7 +V\u000a [ +p1029 +tp1030 +a(g754 +V{{ +p1031 +tp1032 +a(g444 +VformatTimestamp +p1033 +tp1034 +a(g7 +g964 +tp1035 +a(g160 +V"message.timestamp" +p1036 +tp1037 +a(g7 +g964 +tp1038 +a(g670 +VfmtString +p1039 +tp1040 +a(g416 +g973 +tp1041 +a(g160 +V"h:mm:ss A" +p1042 +tp1043 +a(g754 +V}} +p1044 +tp1045 +a(g7 +V] \u000a +p1046 +tp1047 +a(g709 +g981 +tp1048 +a(g561 +Vstrong +p1049 +tp1050 +a(g709 +g991 +tp1051 +a(g754 +V{{ +p1052 +tp1053 +a(g444 +Vmessage +p1054 +tp1055 +a(g444 +V.sender +p1056 +tp1057 +a(g754 +V}} +p1058 +tp1059 +a(g709 +g956 +tp1060 +a(g709 +g981 +tp1061 +a(g709 +V/ +p1062 +tp1063 +a(g561 +Vstrong +p1064 +tp1065 +a(g709 +g991 +tp1066 +a(g7 +V: +p1067 +tp1068 +a(g754 +V{{ +p1069 +tp1070 +a(g444 +Vmessage +p1071 +tp1072 +a(g444 +V.content +p1073 +tp1074 +a(g754 +V}} +p1075 +tp1076 +a(g7 +V\u000a +p1077 +tp1078 +a(g709 +g981 +tp1079 +a(g709 +g1062 +tp1080 +a(g561 +Vli +p1081 +tp1082 +a(g709 +g991 +tp1083 +a(g7 +V\u000a +p1084 +tp1085 +a(g754 +V{{ +p1086 +tp1087 +a(g842 +g1062 +tp1088 +a(g842 +Veach +p1089 +tp1090 +a(g754 +V}} +p1091 +tp1092 +a(g7 +V\u000a +p1093 +tp1094 +a(g709 +g981 +tp1095 +a(g709 +g1062 +tp1096 +a(g561 +Vul +p1097 +tp1098 +a(g709 +g991 +tp1099 +a(g7 +V\u000a +p1100 +tp1101 +a(g709 +g981 +tp1102 +a(g709 +g1062 +tp1103 +a(g561 +Vdiv +p1104 +tp1105 +a(g709 +g991 +tp1106 +a(g7 +V\u000a\u000a +p1107 +tp1108 +a(g725 +V{{! Comment }} +p1109 +tp1110 +a(g7 +V\u000a +p1111 +tp1112 +a(g738 +V{{{ +p1113 +tp1114 +a(g444 +Vunescaped +p1115 +tp1116 +a(g7 +g964 +tp1117 +a(g444 +Vvalue +p1118 +tp1119 +a(g738 +V}}} +p1120 +tp1121 +a(g7 +V\u000a\u000a +p1122 +tp1123 +a(g754 +V{{ +p1124 +tp1125 +a(g577 +g960 +tp1126 +a(g577 +Vview +p1127 +tp1128 +a(g7 +g964 +tp1129 +a(g444 +VEmberFirebaseChat +p1130 +tp1131 +a(g444 +V.InputView +p1132 +tp1133 +a(g7 +g964 +tp1134 +a(g670 +Vclass +p1135 +tp1136 +a(g416 +g973 +tp1137 +a(g160 +V"chat-input-container" +p1138 +tp1139 +a(g754 +V}} +p1140 +tp1141 +a(g7 +V\u000a +p1142 +tp1143 +a(g709 +g981 +tp1144 +a(g561 +Vform +p1145 +tp1146 +a(g7 +g964 +tp1147 +a(g670 +Vclass +p1148 +tp1149 +a(g416 +g973 +tp1150 +a(g92 +V"form-inline" +p1151 +tp1152 +a(g709 +g991 +tp1153 +a(g7 +V\u000a +p1154 +tp1155 +a(g754 +V{{ +p1156 +tp1157 +a(g842 +g960 +tp1158 +a(g842 +Vif +p1159 +tp1160 +a(g7 +g964 +tp1161 +a(g160 +V"auth.authed" +p1162 +tp1163 +a(g754 +V}} +p1164 +tp1165 +a(g7 +V\u000a +p1166 +tp1167 +a(g754 +V{{ +p1168 +tp1169 +a(g842 +g960 +tp1170 +a(g842 +Vif +p1171 +tp1172 +a(g7 +g964 +tp1173 +a(g160 +V"auth.hasName" +p1174 +tp1175 +a(g754 +V}} +p1176 +tp1177 +a(g7 +V\u000a +p1178 +tp1179 +a(g709 +g981 +tp1180 +a(g561 +Vinput +p1181 +tp1182 +a(g7 +g964 +tp1183 +a(g670 +Vtype +p1184 +tp1185 +a(g416 +g973 +tp1186 +a(g92 +V"text" +p1187 +tp1188 +a(g7 +g964 +tp1189 +a(g670 +Vid +p1190 +tp1191 +a(g416 +g973 +tp1192 +a(g92 +V"message" +p1193 +tp1194 +a(g7 +g964 +tp1195 +a(g670 +Vplaceholder +p1196 +tp1197 +a(g416 +g973 +tp1198 +a(g92 +V"Message" +p1199 +tp1200 +a(g709 +g991 +tp1201 +a(g7 +V\u000a +p1202 +tp1203 +a(g709 +g981 +tp1204 +a(g561 +Vbutton +p1205 +tp1206 +a(g7 +g964 +tp1207 +a(g754 +V{{ +p1208 +tp1209 +a(g444 +Vaction +p1210 +tp1211 +a(g7 +g964 +tp1212 +a(g160 +V"postMessage" +p1213 +tp1214 +a(g7 +g964 +tp1215 +a(g670 +Vtarget +p1216 +tp1217 +a(g416 +g973 +tp1218 +a(g160 +V"view" +p1219 +tp1220 +a(g754 +V}} +p1221 +tp1222 +a(g7 +g964 +tp1223 +a(g670 +Vclass +p1224 +tp1225 +a(g416 +g973 +tp1226 +a(g92 +V"btn" +p1227 +tp1228 +a(g709 +g991 +tp1229 +a(g7 +VSend +p1230 +tp1231 +a(g709 +g981 +tp1232 +a(g709 +g1062 +tp1233 +a(g561 +Vbutton +p1234 +tp1235 +a(g709 +g991 +tp1236 +a(g7 +V\u000a +p1237 +tp1238 +a(g754 +V{{ +p1239 +tp1240 +a(g842 +Velse +p1241 +tp1242 +a(g754 +V}} +p1243 +tp1244 +a(g7 +V\u000a +p1245 +tp1246 +a(g709 +g981 +tp1247 +a(g561 +Vinput +p1248 +tp1249 +a(g7 +g964 +tp1250 +a(g670 +Vtype +p1251 +tp1252 +a(g416 +g973 +tp1253 +a(g92 +V"text" +p1254 +tp1255 +a(g7 +g964 +tp1256 +a(g670 +Vid +p1257 +tp1258 +a(g416 +g973 +tp1259 +a(g92 +V"username" +p1260 +tp1261 +a(g7 +g964 +tp1262 +a(g670 +Vplaceholder +p1263 +tp1264 +a(g416 +g973 +tp1265 +a(g92 +V"Enter your username..." +p1266 +tp1267 +a(g709 +g991 +tp1268 +a(g7 +V\u000a +p1269 +tp1270 +a(g709 +g981 +tp1271 +a(g561 +Vbutton +p1272 +tp1273 +a(g7 +g964 +tp1274 +a(g754 +V{{ +p1275 +tp1276 +a(g444 +Vaction +p1277 +tp1278 +a(g7 +g964 +tp1279 +a(g160 +V"pickName" +p1280 +tp1281 +a(g7 +g964 +tp1282 +a(g670 +Vtarget +p1283 +tp1284 +a(g416 +g973 +tp1285 +a(g160 +V"view" +p1286 +tp1287 +a(g754 +V}} +p1288 +tp1289 +a(g7 +g964 +tp1290 +a(g670 +Vclass +p1291 +tp1292 +a(g416 +g973 +tp1293 +a(g92 +V"btn" +p1294 +tp1295 +a(g709 +g991 +tp1296 +a(g7 +VSend +p1297 +tp1298 +a(g709 +g981 +tp1299 +a(g709 +g1062 +tp1300 +a(g561 +Vbutton +p1301 +tp1302 +a(g709 +g991 +tp1303 +a(g7 +V\u000a +p1304 +tp1305 +a(g754 +V{{ +p1306 +tp1307 +a(g842 +g1062 +tp1308 +a(g842 +Vif +p1309 +tp1310 +a(g754 +V}} +p1311 +tp1312 +a(g7 +V\u000a +p1313 +tp1314 +a(g754 +V{{ +p1315 +tp1316 +a(g842 +Velse +p1317 +tp1318 +a(g754 +V}} +p1319 +tp1320 +a(g7 +V\u000a +p1321 +tp1322 +a(g709 +g981 +tp1323 +a(g561 +Vinput +p1324 +tp1325 +a(g7 +g964 +tp1326 +a(g670 +Vtype +p1327 +tp1328 +a(g416 +g973 +tp1329 +a(g92 +V"text" +p1330 +tp1331 +a(g7 +g964 +tp1332 +a(g670 +Vplaceholder +p1333 +tp1334 +a(g416 +g973 +tp1335 +a(g92 +V"Log in with Persona to chat!" +p1336 +tp1337 +a(g7 +g964 +tp1338 +a(g670 +Vdisabled +p1339 +tp1340 +a(g416 +g973 +tp1341 +a(g92 +V"disabled" +p1342 +tp1343 +a(g709 +g991 +tp1344 +a(g7 +V\u000a +p1345 +tp1346 +a(g709 +g981 +tp1347 +a(g561 +Vbutton +p1348 +tp1349 +a(g7 +g964 +tp1350 +a(g754 +V{{ +p1351 +tp1352 +a(g444 +Vaction +p1353 +tp1354 +a(g7 +g964 +tp1355 +a(g160 +V"login" +p1356 +tp1357 +a(g754 +V}} +p1358 +tp1359 +a(g7 +g964 +tp1360 +a(g670 +Vclass +p1361 +tp1362 +a(g416 +g973 +tp1363 +a(g92 +V"btn" +p1364 +tp1365 +a(g709 +g991 +tp1366 +a(g7 +VLogin +p1367 +tp1368 +a(g709 +g981 +tp1369 +a(g709 +g1062 +tp1370 +a(g561 +Vbutton +p1371 +tp1372 +a(g709 +g991 +tp1373 +a(g7 +V\u000a +p1374 +tp1375 +a(g754 +V{{ +p1376 +tp1377 +a(g842 +g1062 +tp1378 +a(g842 +Vif +p1379 +tp1380 +a(g754 +V}} +p1381 +tp1382 +a(g7 +V\u000a +p1383 +tp1384 +a(g709 +g981 +tp1385 +a(g709 +g1062 +tp1386 +a(g561 +Vform +p1387 +tp1388 +a(g709 +g991 +tp1389 +a(g7 +V\u000a +p1390 +tp1391 +a(g754 +V{{ +p1392 +tp1393 +a(g577 +g1062 +tp1394 +a(g577 +Vview +p1395 +tp1396 +a(g754 +V}} +p1397 +tp1398 +a(g7 +V\u000a +p1399 +tp1400 +a(g754 +V{{ +p1401 +tp1402 +a(g577 +g1062 +tp1403 +a(g577 +Vview +p1404 +tp1405 +a(g754 +V}} +p1406 +tp1407 +a(g7 +V\u000a +p1408 +tp1409 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/erl_session b/tests/examplefiles/output/erl_session new file mode 100644 index 0000000..b227c19 --- /dev/null +++ b/tests/examplefiles/output/erl_session @@ -0,0 +1,2558 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g365 +V1> +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g624 +Vio +p962 +tp963 +a(g709 +V: +p964 +tp965 +a(g577 +Vformat +p966 +tp967 +a(g709 +V( +p968 +tp969 +a(g92 +V" +p970 +tp971 +a(g92 +VHello +p972 +tp973 +a(g216 +V\u005cn +p974 +tp975 +a(g92 +g970 +tp976 +a(g709 +V) +p977 +tp978 +a(g709 +V. +p979 +tp980 +a(g7 +V\u000a +p981 +tp982 +a(g406 +VHello\u000a +p983 +tp984 +a(g406 +Vok\u000a +p985 +tp986 +a(g7 +g956 +tp987 +a(g365 +V2> +p988 +tp989 +a(g7 +g960 +tp990 +a(g842 +Vfun +p991 +tp992 +a(g709 +g968 +tp993 +a(g444 +VX +p994 +tp995 +a(g709 +g977 +tp996 +a(g7 +g960 +tp997 +a(g416 +V- +p998 +tp999 +a(g416 +V> +p1000 +tp1001 +a(g7 +g960 +tp1002 +a(g444 +g994 +tp1003 +a(g7 +g960 +tp1004 +a(g416 +V+ +p1005 +tp1006 +a(g7 +g960 +tp1007 +a(g40 +V1 +p1008 +tp1009 +a(g7 +g960 +tp1010 +a(g842 +Vend +p1011 +tp1012 +a(g709 +g979 +tp1013 +a(g7 +V\u000a +p1014 +tp1015 +a(g406 +V#Fun\u000a +p1016 +tp1017 +a(g7 +g956 +tp1018 +a(g365 +V3> +p1019 +tp1020 +a(g7 +g960 +tp1021 +a(g40 +V123 +p1022 +tp1023 +a(g7 +g960 +tp1024 +a(g416 +g1005 +tp1025 +a(g7 +g960 +tp1026 +a(g40 +V234 +p1027 +tp1028 +a(g709 +g979 +tp1029 +a(g7 +V\u000a +p1030 +tp1031 +a(g406 +V357\u000a +p1032 +tp1033 +a(g7 +g956 +tp1034 +a(g365 +V4> +p1035 +tp1036 +a(g7 +g960 +tp1037 +a(g444 +g994 +tp1038 +a(g7 +g960 +tp1039 +a(g416 +V= +p1040 +tp1041 +a(g7 +g960 +tp1042 +a(g444 +VY +p1043 +tp1044 +a(g709 +g979 +tp1045 +a(g7 +V\u000a +p1046 +tp1047 +a(g397 +V* 1: variable 'Y' is unbound\u000a +p1048 +tp1049 +a(g7 +g956 +tp1050 +a(g365 +V5> +p1051 +tp1052 +a(g7 +V \u000a +p1053 +tp1054 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/es6.js b/tests/examplefiles/output/es6.js new file mode 100644 index 0000000..a8a103d --- /dev/null +++ b/tests/examplefiles/output/es6.js @@ -0,0 +1,3628 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g761 +V// Most examples from https://github.com/rse/es6-features under MIT license\u000a +p958 +tp959 +a(g891 +Vconst +p960 +tp961 +a(g7 +V +p962 +tp963 +a(g654 +VPI +p964 +tp965 +a(g7 +g962 +tp966 +a(g416 +V= +p967 +tp968 +a(g7 +g962 +tp969 +a(g318 +V3.141593 +p970 +tp971 +a(g709 +V; +p972 +tp973 +a(g7 +V\u000a\u000a +p974 +tp975 +a(g907 +Vlet +p976 +tp977 +a(g7 +g962 +tp978 +a(g654 +Vcallbacks +p979 +tp980 +a(g7 +g962 +tp981 +a(g416 +g967 +tp982 +a(g7 +g962 +tp983 +a(g709 +V[ +p984 +tp985 +a(g709 +V] +p986 +tp987 +a(g709 +g972 +tp988 +a(g7 +V\u000a\u000a +p989 +tp990 +a(g654 +Vodds +p991 +tp992 +a(g7 +V +p993 +tp994 +a(g416 +g967 +tp995 +a(g7 +g962 +tp996 +a(g654 +Vevens +p997 +tp998 +a(g709 +V. +p999 +tp1000 +a(g654 +Vmap +p1001 +tp1002 +a(g709 +V( +p1003 +tp1004 +a(g654 +Vv +p1005 +tp1006 +a(g7 +g962 +tp1007 +a(g709 +V=> +p1008 +tp1009 +a(g7 +g962 +tp1010 +a(g654 +g1005 +tp1011 +a(g7 +g962 +tp1012 +a(g416 +V+ +p1013 +tp1014 +a(g7 +g962 +tp1015 +a(g40 +V1 +p1016 +tp1017 +a(g709 +V) +p1018 +tp1019 +a(g709 +g972 +tp1020 +a(g7 +V\u000a\u000a +p1021 +tp1022 +a(g654 +Vnums +p1023 +tp1024 +a(g709 +g999 +tp1025 +a(g654 +VforEach +p1026 +tp1027 +a(g709 +g1003 +tp1028 +a(g654 +g1005 +tp1029 +a(g7 +g962 +tp1030 +a(g709 +V=> +p1031 +tp1032 +a(g7 +g962 +tp1033 +a(g709 +V{ +p1034 +tp1035 +a(g7 +V\u000a +p1036 +tp1037 +a(g842 +Vif +p1038 +tp1039 +a(g7 +g962 +tp1040 +a(g709 +g1003 +tp1041 +a(g654 +g1005 +tp1042 +a(g7 +g962 +tp1043 +a(g416 +V% +p1044 +tp1045 +a(g7 +g962 +tp1046 +a(g40 +V5 +p1047 +tp1048 +a(g7 +g962 +tp1049 +a(g416 +V=== +p1050 +tp1051 +a(g7 +g962 +tp1052 +a(g40 +V0 +p1053 +tp1054 +a(g709 +g1018 +tp1055 +a(g7 +V\u000a +p1056 +tp1057 +a(g654 +Vfives +p1058 +tp1059 +a(g709 +g999 +tp1060 +a(g654 +Vpush +p1061 +tp1062 +a(g709 +g1003 +tp1063 +a(g654 +g1005 +tp1064 +a(g709 +g1018 +tp1065 +a(g709 +g972 +tp1066 +a(g7 +V\u000a +p1067 +tp1068 +a(g709 +V} +p1069 +tp1070 +a(g709 +g1018 +tp1071 +a(g7 +V\u000a\u000a +p1072 +tp1073 +a(g907 +Vfunction +p1074 +tp1075 +a(g7 +g962 +tp1076 +a(g654 +Vf +p1077 +tp1078 +a(g7 +g962 +tp1079 +a(g709 +g1003 +tp1080 +a(g654 +Vx +p1081 +tp1082 +a(g709 +V, +p1083 +tp1084 +a(g7 +g962 +tp1085 +a(g654 +Vy +p1086 +tp1087 +a(g709 +g1083 +tp1088 +a(g7 +g962 +tp1089 +a(g709 +V... +p1090 +tp1091 +a(g654 +Va +p1092 +tp1093 +a(g709 +g1018 +tp1094 +a(g7 +g962 +tp1095 +a(g709 +g1034 +tp1096 +a(g7 +V\u000a +p1097 +tp1098 +a(g842 +Vreturn +p1099 +tp1100 +a(g7 +g962 +tp1101 +a(g709 +g1003 +tp1102 +a(g654 +g1081 +tp1103 +a(g7 +g962 +tp1104 +a(g416 +g1013 +tp1105 +a(g7 +g962 +tp1106 +a(g654 +g1086 +tp1107 +a(g709 +g1018 +tp1108 +a(g7 +g962 +tp1109 +a(g416 +V* +p1110 +tp1111 +a(g7 +g962 +tp1112 +a(g654 +g1092 +tp1113 +a(g709 +g999 +tp1114 +a(g654 +Vlength +p1115 +tp1116 +a(g709 +g972 +tp1117 +a(g7 +V\u000a +p1118 +tp1119 +a(g709 +g1069 +tp1120 +a(g7 +V\u000a\u000a +p1121 +tp1122 +a(g907 +Vvar +p1123 +tp1124 +a(g7 +g962 +tp1125 +a(g654 +Vparams +p1126 +tp1127 +a(g7 +g962 +tp1128 +a(g416 +g967 +tp1129 +a(g7 +g962 +tp1130 +a(g709 +g984 +tp1131 +a(g7 +g962 +tp1132 +a(g160 +V"hello" +p1133 +tp1134 +a(g709 +g1083 +tp1135 +a(g7 +g962 +tp1136 +a(g861 +Vtrue +p1137 +tp1138 +a(g709 +g1083 +tp1139 +a(g7 +g962 +tp1140 +a(g40 +V7 +p1141 +tp1142 +a(g7 +g962 +tp1143 +a(g709 +g986 +tp1144 +a(g709 +g972 +tp1145 +a(g7 +V\u000a +p1146 +tp1147 +a(g907 +Vvar +p1148 +tp1149 +a(g7 +g962 +tp1150 +a(g654 +Vother +p1151 +tp1152 +a(g7 +g962 +tp1153 +a(g416 +g967 +tp1154 +a(g7 +g962 +tp1155 +a(g709 +g984 +tp1156 +a(g7 +g962 +tp1157 +a(g40 +g1016 +tp1158 +a(g709 +g1083 +tp1159 +a(g7 +g962 +tp1160 +a(g40 +V2 +p1161 +tp1162 +a(g709 +g1083 +tp1163 +a(g7 +g962 +tp1164 +a(g709 +V... +p1165 +tp1166 +a(g654 +Vparams +p1167 +tp1168 +a(g7 +g962 +tp1169 +a(g709 +g986 +tp1170 +a(g709 +g972 +tp1171 +a(g7 +g962 +tp1172 +a(g761 +V// [ 1, 2, "hello", true, 7 ]\u000a +p1173 +tp1174 +a(g654 +g1077 +tp1175 +a(g709 +g1003 +tp1176 +a(g40 +g1016 +tp1177 +a(g709 +g1083 +tp1178 +a(g7 +g962 +tp1179 +a(g40 +g1161 +tp1180 +a(g709 +g1083 +tp1181 +a(g7 +g962 +tp1182 +a(g709 +V... +p1183 +tp1184 +a(g654 +Vparams +p1185 +tp1186 +a(g709 +g1018 +tp1187 +a(g7 +g962 +tp1188 +a(g416 +V=== +p1189 +tp1190 +a(g7 +g962 +tp1191 +a(g40 +V9 +p1192 +tp1193 +a(g709 +g972 +tp1194 +a(g7 +V\u000a\u000a +p1195 +tp1196 +a(g907 +Vvar +p1197 +tp1198 +a(g7 +g962 +tp1199 +a(g654 +Vstr +p1200 +tp1201 +a(g7 +g962 +tp1202 +a(g416 +g967 +tp1203 +a(g7 +g962 +tp1204 +a(g160 +V"foo" +p1205 +tp1206 +a(g709 +g972 +tp1207 +a(g7 +V\u000a +p1208 +tp1209 +a(g907 +Vvar +p1210 +tp1211 +a(g7 +g962 +tp1212 +a(g654 +Vchars +p1213 +tp1214 +a(g7 +g962 +tp1215 +a(g416 +g967 +tp1216 +a(g7 +g962 +tp1217 +a(g709 +g984 +tp1218 +a(g7 +g962 +tp1219 +a(g709 +V... +p1220 +tp1221 +a(g654 +Vstr +p1222 +tp1223 +a(g7 +g962 +tp1224 +a(g709 +g986 +tp1225 +a(g709 +g972 +tp1226 +a(g7 +g962 +tp1227 +a(g761 +V// [ "f", "o", "o" ]\u000a +p1228 +tp1229 +a(g7 +V\u000a +p1230 +tp1231 +a(g907 +Vvar +p1232 +tp1233 +a(g7 +g962 +tp1234 +a(g654 +Vcustomer +p1235 +tp1236 +a(g7 +g962 +tp1237 +a(g416 +g967 +tp1238 +a(g7 +g962 +tp1239 +a(g709 +g1034 +tp1240 +a(g7 +g962 +tp1241 +a(g654 +Vname +p1242 +tp1243 +a(g416 +V: +p1244 +tp1245 +a(g7 +g962 +tp1246 +a(g160 +V"Foo" +p1247 +tp1248 +a(g7 +g962 +tp1249 +a(g709 +g1069 +tp1250 +a(g709 +g972 +tp1251 +a(g7 +V\u000a +p1252 +tp1253 +a(g907 +Vvar +p1254 +tp1255 +a(g7 +g962 +tp1256 +a(g654 +Vcard +p1257 +tp1258 +a(g7 +g962 +tp1259 +a(g416 +g967 +tp1260 +a(g7 +g962 +tp1261 +a(g709 +g1034 +tp1262 +a(g7 +g962 +tp1263 +a(g654 +Vamount +p1264 +tp1265 +a(g416 +g1244 +tp1266 +a(g7 +g962 +tp1267 +a(g40 +g1141 +tp1268 +a(g709 +g1083 +tp1269 +a(g7 +g962 +tp1270 +a(g654 +Vproduct +p1271 +tp1272 +a(g416 +g1244 +tp1273 +a(g7 +g962 +tp1274 +a(g160 +V"Bar" +p1275 +tp1276 +a(g709 +g1083 +tp1277 +a(g7 +g962 +tp1278 +a(g654 +Vunitprice +p1279 +tp1280 +a(g416 +g1244 +tp1281 +a(g7 +g962 +tp1282 +a(g40 +V42 +p1283 +tp1284 +a(g7 +g962 +tp1285 +a(g709 +g1069 +tp1286 +a(g709 +g972 +tp1287 +a(g7 +V\u000a +p1288 +tp1289 +a(g654 +Vmessage +p1290 +tp1291 +a(g7 +g962 +tp1292 +a(g416 +g967 +tp1293 +a(g7 +g962 +tp1294 +a(g104 +V` +p1295 +tp1296 +a(g104 +VHello +p1297 +tp1298 +a(g128 +V${ +p1299 +tp1300 +a(g654 +Vcustomer +p1301 +tp1302 +a(g709 +g999 +tp1303 +a(g654 +Vname +p1304 +tp1305 +a(g128 +g1069 +tp1306 +a(g104 +V,\u000awant to buy +p1307 +tp1308 +a(g128 +V${ +p1309 +tp1310 +a(g654 +Vcard +p1311 +tp1312 +a(g709 +g999 +tp1313 +a(g654 +Vamount +p1314 +tp1315 +a(g128 +g1069 +tp1316 +a(g104 +g962 +tp1317 +a(g128 +V${ +p1318 +tp1319 +a(g654 +Vcard +p1320 +tp1321 +a(g709 +g999 +tp1322 +a(g654 +Vproduct +p1323 +tp1324 +a(g128 +g1069 +tp1325 +a(g104 +V for\u000aa total of +p1326 +tp1327 +a(g128 +V${ +p1328 +tp1329 +a(g654 +Vcard +p1330 +tp1331 +a(g709 +g999 +tp1332 +a(g654 +Vamount +p1333 +tp1334 +a(g7 +g962 +tp1335 +a(g416 +g1110 +tp1336 +a(g7 +g962 +tp1337 +a(g654 +Vcard +p1338 +tp1339 +a(g709 +g999 +tp1340 +a(g654 +Vunitprice +p1341 +tp1342 +a(g128 +g1069 +tp1343 +a(g104 +V bucks? +p1344 +tp1345 +a(g104 +g1295 +tp1346 +a(g709 +g972 +tp1347 +a(g7 +V\u000a\u000a +p1348 +tp1349 +a(g269 +V0b111110111 +p1350 +tp1351 +a(g7 +g962 +tp1352 +a(g416 +V=== +p1353 +tp1354 +a(g7 +g962 +tp1355 +a(g40 +V503 +p1356 +tp1357 +a(g709 +g972 +tp1358 +a(g7 +V\u000a +p1359 +tp1360 +a(g285 +V0o767 +p1361 +tp1362 +a(g7 +g962 +tp1363 +a(g416 +V=== +p1364 +tp1365 +a(g7 +g962 +tp1366 +a(g40 +V503 +p1367 +tp1368 +a(g709 +g972 +tp1369 +a(g7 +V\u000a\u000a +p1370 +tp1371 +a(g842 +Vfor +p1372 +tp1373 +a(g7 +g962 +tp1374 +a(g709 +g1003 +tp1375 +a(g907 +Vlet +p1376 +tp1377 +a(g7 +g962 +tp1378 +a(g654 +Vcodepoint +p1379 +tp1380 +a(g7 +g962 +tp1381 +a(g842 +Vof +p1382 +tp1383 +a(g7 +g962 +tp1384 +a(g160 +V"\U00020bb7" +p1385 +tp1386 +a(g709 +g1018 +tp1387 +a(g7 +g962 +tp1388 +a(g654 +Vconsole +p1389 +tp1390 +a(g709 +g999 +tp1391 +a(g654 +Vlog +p1392 +tp1393 +a(g709 +g1003 +tp1394 +a(g654 +Vcodepoint +p1395 +tp1396 +a(g709 +g1018 +tp1397 +a(g709 +g972 +tp1398 +a(g7 +V\u000a\u000a +p1399 +tp1400 +a(g907 +Vfunction +p1401 +tp1402 +a(g416 +g1110 +tp1403 +a(g7 +g962 +tp1404 +a(g709 +g1003 +tp1405 +a(g709 +g1018 +tp1406 +a(g709 +g972 +tp1407 +a(g7 +V\u000a +p1408 +tp1409 +a(g416 +g1110 +tp1410 +a(g907 +Vfunction +p1411 +tp1412 +a(g709 +g1003 +tp1413 +a(g709 +g1018 +tp1414 +a(g709 +g972 +tp1415 +a(g7 +V\u000a +p1416 +tp1417 +a(g842 +Vyield +p1418 +tp1419 +a(g709 +g972 +tp1420 +a(g7 +V\u000a\u000a +p1421 +tp1422 +a(g891 +Vexport +p1423 +tp1424 +a(g7 +g962 +tp1425 +a(g891 +Vclass +p1426 +tp1427 +a(g7 +g962 +tp1428 +a(g654 +VNode +p1429 +tp1430 +a(g7 +g962 +tp1431 +a(g709 +g1034 +tp1432 +a(g7 +V\u000a +p1433 +tp1434 +a(g709 +g1069 +tp1435 +a(g7 +V\u000a\u000a +p1436 +tp1437 +a(g685 +VisFinite +p1438 +tp1439 +a(g709 +g1003 +tp1440 +a(g709 +g1018 +tp1441 +a(g709 +g972 +tp1442 +a(g7 +V\u000a +p1443 +tp1444 +a(g685 +VisNaN +p1445 +tp1446 +a(g709 +g1003 +tp1447 +a(g709 +g1018 +tp1448 +a(g709 +g972 +tp1449 +a(g7 +V\u000a +p1450 +tp1451 +a(g685 +VisSafeInteger +p1452 +tp1453 +a(g709 +g1003 +tp1454 +a(g709 +g1018 +tp1455 +a(g709 +g972 +tp1456 +a(g7 +V\u000a +p1457 +tp1458 +a(g654 +g1081 +tp1459 +a(g7 +g962 +tp1460 +a(g416 +g967 +tp1461 +a(g7 +g962 +tp1462 +a(g842 +Vnew +p1463 +tp1464 +a(g7 +g962 +tp1465 +a(g685 +VPromise +p1466 +tp1467 +a(g709 +g1003 +tp1468 +a(g709 +V... +p1469 +tp1470 +a(g654 +g1092 +tp1471 +a(g709 +g1018 +tp1472 +a(g709 +g972 +tp1473 +a(g7 +V\u000a +p1474 +tp1475 +a(g654 +g1081 +tp1476 +a(g7 +g962 +tp1477 +a(g416 +g967 +tp1478 +a(g7 +g962 +tp1479 +a(g842 +Vnew +p1480 +tp1481 +a(g7 +g962 +tp1482 +a(g685 +VProxy +p1483 +tp1484 +a(g709 +g1003 +tp1485 +a(g709 +V... +p1486 +tp1487 +a(g654 +g1092 +tp1488 +a(g709 +g1018 +tp1489 +a(g709 +g972 +tp1490 +a(g7 +V\u000a +p1491 +tp1492 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/escape_semicolon.clj b/tests/examplefiles/output/escape_semicolon.clj new file mode 100644 index 0000000..1196f90 --- /dev/null +++ b/tests/examplefiles/output/escape_semicolon.clj @@ -0,0 +1,2410 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV( +p956 +tp957 +a(g669 +V= +p958 +tp959 +a(g428 +Vc +p960 +tp961 +a(g892 +V +p962 +tp963 +a(g7 +g956 +tp964 +a(g669 +Vint +p965 +tp966 +a(g80 +V\u005c; +p967 +tp968 +a(g7 +V) +p969 +tp970 +a(g7 +g969 +tp971 +a(g892 +g962 +tp972 +a(g7 +g956 +tp973 +a(g810 +Vdo +p974 +tp975 +a(g7 +g956 +tp976 +a(g561 +V.readLine +p977 +tp978 +a(g892 +g962 +tp979 +a(g428 +Vs +p980 +tp981 +a(g7 +g969 +tp982 +a(g892 +g962 +tp983 +a(g104 +V:line-start +p984 +tp985 +a(g7 +g969 +tp986 +a(g892 +V\u000a +p987 +tp988 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/eval.rs b/tests/examplefiles/output/eval.rs new file mode 100644 index 0000000..cb82763 --- /dev/null +++ b/tests/examplefiles/output/eval.rs @@ -0,0 +1,25551 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// -------------------------------------------------------------------------------------------------\u000a +p956 +tp957 +a(g8 +V// Rick, a Rust intercal compiler. Save your souls!\u000a +p958 +tp959 +a(g8 +V//\u000a +p960 +tp961 +a(g8 +V// Copyright (c) 2015 Georg Brandl\u000a +p962 +tp963 +a(g8 +V//\u000a +p964 +tp965 +a(g8 +V// This program is free software; you can redistribute it and/or modify it under the terms of the\u000a +p966 +tp967 +a(g8 +V// GNU General Public License as published by the Free Software Foundation; either version 2 of the\u000a +p968 +tp969 +a(g8 +V// License, or (at your option) any later version.\u000a +p970 +tp971 +a(g8 +V//\u000a +p972 +tp973 +a(g8 +V// This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without\u000a +p974 +tp975 +a(g8 +V// even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU\u000a +p976 +tp977 +a(g8 +V// General Public License for more details.\u000a +p978 +tp979 +a(g8 +V//\u000a +p980 +tp981 +a(g8 +V// You should have received a copy of the GNU General Public License along with this program;\u000a +p982 +tp983 +a(g8 +V// if not, write to the Free Software Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.\u000a +p984 +tp985 +a(g8 +V// -------------------------------------------------------------------------------------------------\u000a +p986 +tp987 +a(g839 +V\u000a +p988 +tp989 +a(g222 +V/// Interprets INTERCAL source.\u000a +p990 +tp991 +a(g222 +V///\u000a +p992 +tp993 +a(g222 +V/// The evaluator is used when rick is called with `-i`, or when the compiler generates\u000a +p994 +tp995 +a(g222 +V/// the output while compiling (in the constant-output case).\u000a +p996 +tp997 +a(g839 +V\u000a +p998 +tp999 +a(g744 +Vuse +p1000 +tp1001 +a(g839 +V +p1002 +tp1003 +a(g435 +Vstd +p1004 +tp1005 +a(g826 +V:: +p1006 +tp1007 +a(g435 +Vfmt +p1008 +tp1009 +a(g826 +V: +p1010 +tp1011 +a(g826 +g1010 +tp1012 +a(g705 +V{ +p1013 +tp1014 +a(g839 +g1002 +tp1015 +a(g435 +VDebug +p1016 +tp1017 +a(g705 +V, +p1018 +tp1019 +a(g839 +g1002 +tp1020 +a(g435 +VDisplay +p1021 +tp1022 +a(g839 +g1002 +tp1023 +a(g705 +V} +p1024 +tp1025 +a(g705 +V; +p1026 +tp1027 +a(g839 +V\u000a +p1028 +tp1029 +a(g744 +Vuse +p1030 +tp1031 +a(g839 +g1002 +tp1032 +a(g435 +Vstd +p1033 +tp1034 +a(g826 +V:: +p1035 +tp1036 +a(g435 +Vio +p1037 +tp1038 +a(g826 +V:: +p1039 +tp1040 +a(g435 +VWrite +p1041 +tp1042 +a(g705 +g1026 +tp1043 +a(g839 +V\u000a +p1044 +tp1045 +a(g744 +Vuse +p1046 +tp1047 +a(g839 +g1002 +tp1048 +a(g435 +Vstd +p1049 +tp1050 +a(g826 +V:: +p1051 +tp1052 +a(g748 +Vu16 +p1053 +tp1054 +a(g705 +g1026 +tp1055 +a(g839 +V\u000a +p1056 +tp1057 +a(g839 +V\u000a +p1058 +tp1059 +a(g744 +Vuse +p1060 +tp1061 +a(g839 +g1002 +tp1062 +a(g435 +Verr +p1063 +tp1064 +a(g826 +g1010 +tp1065 +a(g826 +g1010 +tp1066 +a(g705 +g1013 +tp1067 +a(g839 +g1002 +tp1068 +a(g435 +VRes +p1069 +tp1070 +a(g705 +g1018 +tp1071 +a(g839 +g1002 +tp1072 +a(g435 +VIE123 +p1073 +tp1074 +a(g705 +g1018 +tp1075 +a(g839 +g1002 +tp1076 +a(g435 +VIE129 +p1077 +tp1078 +a(g705 +g1018 +tp1079 +a(g839 +g1002 +tp1080 +a(g435 +VIE252 +p1081 +tp1082 +a(g705 +g1018 +tp1083 +a(g839 +g1002 +tp1084 +a(g435 +VIE275 +p1085 +tp1086 +a(g705 +g1018 +tp1087 +a(g839 +g1002 +tp1088 +a(g435 +VIE555 +p1089 +tp1090 +a(g705 +g1018 +tp1091 +a(g839 +g1002 +tp1092 +a(g435 +VIE633 +p1093 +tp1094 +a(g705 +g1018 +tp1095 +a(g839 +g1002 +tp1096 +a(g435 +VIE774 +p1097 +tp1098 +a(g705 +g1018 +tp1099 +a(g839 +g1002 +tp1100 +a(g435 +VIE994 +p1101 +tp1102 +a(g839 +g1002 +tp1103 +a(g705 +g1024 +tp1104 +a(g705 +g1026 +tp1105 +a(g839 +V\u000a +p1106 +tp1107 +a(g744 +Vuse +p1108 +tp1109 +a(g839 +g1002 +tp1110 +a(g435 +Vast +p1111 +tp1112 +a(g826 +g1010 +tp1113 +a(g826 +g1010 +tp1114 +a(g705 +g1013 +tp1115 +a(g839 +g1002 +tp1116 +a(g693 +Vself +p1117 +tp1118 +a(g705 +g1018 +tp1119 +a(g839 +g1002 +tp1120 +a(g435 +VProgram +p1121 +tp1122 +a(g705 +g1018 +tp1123 +a(g839 +g1002 +tp1124 +a(g435 +VStmt +p1125 +tp1126 +a(g705 +g1018 +tp1127 +a(g839 +g1002 +tp1128 +a(g435 +VStmtBody +p1129 +tp1130 +a(g705 +g1018 +tp1131 +a(g839 +g1002 +tp1132 +a(g435 +VComeFrom +p1133 +tp1134 +a(g705 +g1018 +tp1135 +a(g839 +g1002 +tp1136 +a(g435 +VExpr +p1137 +tp1138 +a(g705 +g1018 +tp1139 +a(g839 +g1002 +tp1140 +a(g435 +VVar +p1141 +tp1142 +a(g705 +g1018 +tp1143 +a(g839 +g1002 +tp1144 +a(g435 +VVType +p1145 +tp1146 +a(g839 +g1002 +tp1147 +a(g705 +g1024 +tp1148 +a(g705 +g1026 +tp1149 +a(g839 +V\u000a +p1150 +tp1151 +a(g744 +Vuse +p1152 +tp1153 +a(g839 +g1002 +tp1154 +a(g435 +Vstdops +p1155 +tp1156 +a(g826 +g1010 +tp1157 +a(g826 +g1010 +tp1158 +a(g705 +g1013 +tp1159 +a(g839 +g1002 +tp1160 +a(g435 +VBind +p1161 +tp1162 +a(g705 +g1018 +tp1163 +a(g839 +g1002 +tp1164 +a(g435 +VArray +p1165 +tp1166 +a(g705 +g1018 +tp1167 +a(g839 +g1002 +tp1168 +a(g435 +Vwrite_number +p1169 +tp1170 +a(g705 +g1018 +tp1171 +a(g839 +g1002 +tp1172 +a(g435 +Vread_number +p1173 +tp1174 +a(g705 +g1018 +tp1175 +a(g839 +g1002 +tp1176 +a(g435 +Vcheck_chance +p1177 +tp1178 +a(g705 +g1018 +tp1179 +a(g839 +g1002 +tp1180 +a(g435 +Vcheck_ovf +p1181 +tp1182 +a(g705 +g1018 +tp1183 +a(g839 +g1002 +tp1184 +a(g435 +Vpop_jumps +p1185 +tp1186 +a(g705 +g1018 +tp1187 +a(g839 +V\u000a +p1188 +tp1189 +a(g839 +V +p1190 +tp1191 +a(g435 +Vget_random_seed +p1192 +tp1193 +a(g705 +g1018 +tp1194 +a(g839 +g1002 +tp1195 +a(g435 +Vmingle +p1196 +tp1197 +a(g705 +g1018 +tp1198 +a(g839 +g1002 +tp1199 +a(g435 +Vselect +p1200 +tp1201 +a(g705 +g1018 +tp1202 +a(g839 +g1002 +tp1203 +a(g435 +Vand_16 +p1204 +tp1205 +a(g705 +g1018 +tp1206 +a(g839 +g1002 +tp1207 +a(g435 +Vand_32 +p1208 +tp1209 +a(g705 +g1018 +tp1210 +a(g839 +g1002 +tp1211 +a(g435 +Vor_16 +p1212 +tp1213 +a(g705 +g1018 +tp1214 +a(g839 +g1002 +tp1215 +a(g435 +Vor_32 +p1216 +tp1217 +a(g705 +g1018 +tp1218 +a(g839 +g1002 +tp1219 +a(g435 +Vxor_16 +p1220 +tp1221 +a(g705 +g1018 +tp1222 +a(g839 +g1002 +tp1223 +a(g435 +Vxor_32 +p1224 +tp1225 +a(g839 +g1002 +tp1226 +a(g705 +g1024 +tp1227 +a(g705 +g1026 +tp1228 +a(g839 +V\u000a +p1229 +tp1230 +a(g839 +V\u000a +p1231 +tp1232 +a(g839 +V\u000a +p1233 +tp1234 +a(g222 +V/// Represents a value (either 16-bit or 32-bit) at runtime.\u000a +p1235 +tp1236 +a(g898 +V#[ +p1237 +tp1238 +a(g898 +Vderive(Clone, PartialEq, Eq, Debug) +p1239 +tp1240 +a(g898 +V] +p1241 +tp1242 +a(g839 +V\u000a +p1243 +tp1244 +a(g744 +Vpub +p1245 +tp1246 +a(g839 +g1002 +tp1247 +a(g744 +Venum +p1248 +tp1249 +a(g826 +g1002 +tp1250 +a(g628 +VVal +p1251 +tp1252 +a(g839 +g1002 +tp1253 +a(g705 +g1013 +tp1254 +a(g839 +V\u000a +p1255 +tp1256 +a(g839 +V +p1257 +tp1258 +a(g435 +VI16 +p1259 +tp1260 +a(g705 +V( +p1261 +tp1262 +a(g748 +Vu16 +p1263 +tp1264 +a(g705 +V) +p1265 +tp1266 +a(g705 +g1018 +tp1267 +a(g839 +V\u000a +p1268 +tp1269 +a(g839 +V +p1270 +tp1271 +a(g435 +VI32 +p1272 +tp1273 +a(g705 +g1261 +tp1274 +a(g748 +Vu32 +p1275 +tp1276 +a(g705 +g1265 +tp1277 +a(g705 +g1018 +tp1278 +a(g839 +V\u000a +p1279 +tp1280 +a(g705 +g1024 +tp1281 +a(g839 +V\u000a +p1282 +tp1283 +a(g839 +V\u000a +p1284 +tp1285 +a(g744 +Vimpl +p1286 +tp1287 +a(g839 +g1002 +tp1288 +a(g435 +VVal +p1289 +tp1290 +a(g839 +g1002 +tp1291 +a(g705 +g1013 +tp1292 +a(g839 +V\u000a +p1293 +tp1294 +a(g839 +V +p1295 +tp1296 +a(g222 +V/// Cast as a 16-bit value; returns an error if 32-bit and too big.\u000a +p1297 +tp1298 +a(g839 +V +p1299 +tp1300 +a(g744 +Vpub +p1301 +tp1302 +a(g839 +g1002 +tp1303 +a(g744 +Vfn +p1304 +tp1305 +a(g826 +g1002 +tp1306 +a(g573 +Vas_u16 +p1307 +tp1308 +a(g705 +g1261 +tp1309 +a(g412 +V& +p1310 +tp1311 +a(g693 +Vself +p1312 +tp1313 +a(g705 +g1265 +tp1314 +a(g839 +g1002 +tp1315 +a(g826 +V-> +p1316 +tp1317 +a(g826 +g1002 +tp1318 +a(g628 +VRes +p1319 +tp1320 +a(g412 +V< +p1321 +tp1322 +a(g748 +Vu16 +p1323 +tp1324 +a(g412 +V> +p1325 +tp1326 +a(g839 +g1002 +tp1327 +a(g705 +g1013 +tp1328 +a(g839 +V\u000a +p1329 +tp1330 +a(g839 +V +p1331 +tp1332 +a(g744 +Vmatch +p1333 +tp1334 +a(g839 +g1002 +tp1335 +a(g412 +V* +p1336 +tp1337 +a(g693 +Vself +p1338 +tp1339 +a(g839 +g1002 +tp1340 +a(g705 +g1013 +tp1341 +a(g839 +V\u000a +p1342 +tp1343 +a(g839 +V +p1344 +tp1345 +a(g435 +VVal +p1346 +tp1347 +a(g826 +V:: +p1348 +tp1349 +a(g435 +VI16 +p1350 +tp1351 +a(g705 +g1261 +tp1352 +a(g435 +Vv +p1353 +tp1354 +a(g705 +g1265 +tp1355 +a(g839 +g1002 +tp1356 +a(g412 +V= +p1357 +tp1358 +a(g412 +g1325 +tp1359 +a(g839 +g1002 +tp1360 +a(g681 +VOk +p1361 +tp1362 +a(g705 +g1261 +tp1363 +a(g435 +g1353 +tp1364 +a(g705 +g1265 +tp1365 +a(g705 +g1018 +tp1366 +a(g839 +V\u000a +p1367 +tp1368 +a(g839 +V +p1369 +tp1370 +a(g435 +VVal +p1371 +tp1372 +a(g826 +V:: +p1373 +tp1374 +a(g435 +VI32 +p1375 +tp1376 +a(g705 +g1261 +tp1377 +a(g435 +g1353 +tp1378 +a(g705 +g1265 +tp1379 +a(g839 +g1002 +tp1380 +a(g412 +g1357 +tp1381 +a(g412 +g1325 +tp1382 +a(g839 +g1002 +tp1383 +a(g705 +g1013 +tp1384 +a(g839 +V\u000a +p1385 +tp1386 +a(g839 +V +p1387 +tp1388 +a(g744 +Vif +p1389 +tp1390 +a(g839 +g1002 +tp1391 +a(g435 +g1353 +tp1392 +a(g839 +g1002 +tp1393 +a(g412 +g1325 +tp1394 +a(g839 +g1002 +tp1395 +a(g705 +g1261 +tp1396 +a(g748 +Vu16 +p1397 +tp1398 +a(g826 +V:: +p1399 +tp1400 +a(g435 +VMAX +p1401 +tp1402 +a(g839 +g1002 +tp1403 +a(g744 +Vas +p1404 +tp1405 +a(g839 +g1002 +tp1406 +a(g748 +Vu32 +p1407 +tp1408 +a(g705 +g1265 +tp1409 +a(g839 +g1002 +tp1410 +a(g705 +g1013 +tp1411 +a(g839 +V\u000a +p1412 +tp1413 +a(g839 +V +p1414 +tp1415 +a(g744 +Vreturn +p1416 +tp1417 +a(g839 +g1002 +tp1418 +a(g435 +VIE275 +p1419 +tp1420 +a(g705 +V. +p1421 +tp1422 +a(g435 +Verr +p1423 +tp1424 +a(g705 +g1261 +tp1425 +a(g705 +g1265 +tp1426 +a(g705 +g1026 +tp1427 +a(g839 +V\u000a +p1428 +tp1429 +a(g839 +V +p1430 +tp1431 +a(g705 +g1024 +tp1432 +a(g839 +V\u000a +p1433 +tp1434 +a(g839 +V +p1435 +tp1436 +a(g681 +VOk +p1437 +tp1438 +a(g705 +g1261 +tp1439 +a(g435 +g1353 +tp1440 +a(g839 +g1002 +tp1441 +a(g744 +Vas +p1442 +tp1443 +a(g839 +g1002 +tp1444 +a(g748 +Vu16 +p1445 +tp1446 +a(g705 +g1265 +tp1447 +a(g839 +V\u000a +p1448 +tp1449 +a(g839 +V +p1450 +tp1451 +a(g705 +g1024 +tp1452 +a(g839 +V\u000a +p1453 +tp1454 +a(g839 +V +p1455 +tp1456 +a(g705 +g1024 +tp1457 +a(g839 +V\u000a +p1458 +tp1459 +a(g839 +V +p1460 +tp1461 +a(g705 +g1024 +tp1462 +a(g839 +V\u000a +p1463 +tp1464 +a(g839 +V\u000a +p1465 +tp1466 +a(g839 +V +p1467 +tp1468 +a(g222 +V/// Cast as a 32-bit value; always succeeds.\u000a +p1469 +tp1470 +a(g839 +V +p1471 +tp1472 +a(g744 +Vpub +p1473 +tp1474 +a(g839 +g1002 +tp1475 +a(g744 +Vfn +p1476 +tp1477 +a(g826 +g1002 +tp1478 +a(g573 +Vas_u32 +p1479 +tp1480 +a(g705 +g1261 +tp1481 +a(g412 +g1310 +tp1482 +a(g693 +Vself +p1483 +tp1484 +a(g705 +g1265 +tp1485 +a(g839 +g1002 +tp1486 +a(g826 +V-> +p1487 +tp1488 +a(g826 +g1002 +tp1489 +a(g748 +Vu32 +p1490 +tp1491 +a(g826 +g1002 +tp1492 +a(g705 +g1013 +tp1493 +a(g839 +V\u000a +p1494 +tp1495 +a(g839 +V +p1496 +tp1497 +a(g744 +Vmatch +p1498 +tp1499 +a(g839 +g1002 +tp1500 +a(g412 +g1336 +tp1501 +a(g693 +Vself +p1502 +tp1503 +a(g839 +g1002 +tp1504 +a(g705 +g1013 +tp1505 +a(g839 +V\u000a +p1506 +tp1507 +a(g839 +V +p1508 +tp1509 +a(g435 +VVal +p1510 +tp1511 +a(g826 +V:: +p1512 +tp1513 +a(g435 +VI16 +p1514 +tp1515 +a(g705 +g1261 +tp1516 +a(g435 +g1353 +tp1517 +a(g705 +g1265 +tp1518 +a(g839 +g1002 +tp1519 +a(g412 +g1357 +tp1520 +a(g412 +g1325 +tp1521 +a(g839 +g1002 +tp1522 +a(g435 +g1353 +tp1523 +a(g839 +g1002 +tp1524 +a(g744 +Vas +p1525 +tp1526 +a(g839 +g1002 +tp1527 +a(g748 +Vu32 +p1528 +tp1529 +a(g705 +g1018 +tp1530 +a(g839 +V\u000a +p1531 +tp1532 +a(g839 +V +p1533 +tp1534 +a(g435 +VVal +p1535 +tp1536 +a(g826 +V:: +p1537 +tp1538 +a(g435 +VI32 +p1539 +tp1540 +a(g705 +g1261 +tp1541 +a(g435 +g1353 +tp1542 +a(g705 +g1265 +tp1543 +a(g839 +g1002 +tp1544 +a(g412 +g1357 +tp1545 +a(g412 +g1325 +tp1546 +a(g839 +g1002 +tp1547 +a(g435 +g1353 +tp1548 +a(g839 +V\u000a +p1549 +tp1550 +a(g839 +V +p1551 +tp1552 +a(g705 +g1024 +tp1553 +a(g839 +V\u000a +p1554 +tp1555 +a(g839 +V +p1556 +tp1557 +a(g705 +g1024 +tp1558 +a(g839 +V\u000a +p1559 +tp1560 +a(g839 +V\u000a +p1561 +tp1562 +a(g839 +V +p1563 +tp1564 +a(g222 +V/// Cast as an usize value; always succeeds.\u000a +p1565 +tp1566 +a(g839 +V +p1567 +tp1568 +a(g744 +Vpub +p1569 +tp1570 +a(g839 +g1002 +tp1571 +a(g744 +Vfn +p1572 +tp1573 +a(g826 +g1002 +tp1574 +a(g573 +Vas_usize +p1575 +tp1576 +a(g705 +g1261 +tp1577 +a(g412 +g1310 +tp1578 +a(g693 +Vself +p1579 +tp1580 +a(g705 +g1265 +tp1581 +a(g839 +g1002 +tp1582 +a(g826 +V-> +p1583 +tp1584 +a(g826 +g1002 +tp1585 +a(g748 +Vusize +p1586 +tp1587 +a(g826 +g1002 +tp1588 +a(g705 +g1013 +tp1589 +a(g839 +V\u000a +p1590 +tp1591 +a(g839 +V +p1592 +tp1593 +a(g693 +Vself +p1594 +tp1595 +a(g705 +g1421 +tp1596 +a(g435 +Vas_u32 +p1597 +tp1598 +a(g705 +g1261 +tp1599 +a(g705 +g1265 +tp1600 +a(g839 +g1002 +tp1601 +a(g744 +Vas +p1602 +tp1603 +a(g839 +g1002 +tp1604 +a(g748 +Vusize +p1605 +tp1606 +a(g839 +V\u000a +p1607 +tp1608 +a(g839 +V +p1609 +tp1610 +a(g705 +g1024 +tp1611 +a(g839 +V\u000a +p1612 +tp1613 +a(g839 +V\u000a +p1614 +tp1615 +a(g839 +V +p1616 +tp1617 +a(g222 +V/// Create from a 32-bit value; will select the smallest possible type.\u000a +p1618 +tp1619 +a(g839 +V +p1620 +tp1621 +a(g744 +Vpub +p1622 +tp1623 +a(g839 +g1002 +tp1624 +a(g744 +Vfn +p1625 +tp1626 +a(g826 +g1002 +tp1627 +a(g573 +Vfrom_u32 +p1628 +tp1629 +a(g705 +g1261 +tp1630 +a(g435 +g1353 +tp1631 +a(g826 +g1010 +tp1632 +a(g826 +g1002 +tp1633 +a(g748 +Vu32 +p1634 +tp1635 +a(g705 +g1265 +tp1636 +a(g839 +g1002 +tp1637 +a(g826 +V-> +p1638 +tp1639 +a(g826 +g1002 +tp1640 +a(g628 +VVal +p1641 +tp1642 +a(g839 +g1002 +tp1643 +a(g705 +g1013 +tp1644 +a(g839 +V\u000a +p1645 +tp1646 +a(g839 +V +p1647 +tp1648 +a(g744 +Vif +p1649 +tp1650 +a(g839 +g1002 +tp1651 +a(g435 +g1353 +tp1652 +a(g839 +g1002 +tp1653 +a(g412 +g1310 +tp1654 +a(g839 +g1002 +tp1655 +a(g297 +V0xFFFF +p1656 +tp1657 +a(g839 +g1002 +tp1658 +a(g412 +g1357 +tp1659 +a(g412 +g1357 +tp1660 +a(g839 +g1002 +tp1661 +a(g435 +g1353 +tp1662 +a(g839 +g1002 +tp1663 +a(g705 +g1013 +tp1664 +a(g839 +V\u000a +p1665 +tp1666 +a(g839 +V +p1667 +tp1668 +a(g435 +VVal +p1669 +tp1670 +a(g826 +V:: +p1671 +tp1672 +a(g435 +VI16 +p1673 +tp1674 +a(g705 +g1261 +tp1675 +a(g435 +g1353 +tp1676 +a(g839 +g1002 +tp1677 +a(g744 +Vas +p1678 +tp1679 +a(g839 +g1002 +tp1680 +a(g748 +Vu16 +p1681 +tp1682 +a(g705 +g1265 +tp1683 +a(g839 +V\u000a +p1684 +tp1685 +a(g839 +V +p1686 +tp1687 +a(g705 +g1024 +tp1688 +a(g839 +g1002 +tp1689 +a(g744 +Velse +p1690 +tp1691 +a(g839 +g1002 +tp1692 +a(g705 +g1013 +tp1693 +a(g839 +V\u000a +p1694 +tp1695 +a(g839 +V +p1696 +tp1697 +a(g435 +VVal +p1698 +tp1699 +a(g826 +V:: +p1700 +tp1701 +a(g435 +VI32 +p1702 +tp1703 +a(g705 +g1261 +tp1704 +a(g435 +g1353 +tp1705 +a(g705 +g1265 +tp1706 +a(g839 +V\u000a +p1707 +tp1708 +a(g839 +V +p1709 +tp1710 +a(g705 +g1024 +tp1711 +a(g839 +V\u000a +p1712 +tp1713 +a(g839 +V +p1714 +tp1715 +a(g705 +g1024 +tp1716 +a(g839 +V\u000a +p1717 +tp1718 +a(g705 +g1024 +tp1719 +a(g839 +V\u000a +p1720 +tp1721 +a(g839 +V\u000a +p1722 +tp1723 +a(g222 +V/// The state of the interpreter's evaluator.\u000a +p1724 +tp1725 +a(g744 +Vpub +p1726 +tp1727 +a(g839 +g1002 +tp1728 +a(g744 +Vstruct +p1729 +tp1730 +a(g826 +g1002 +tp1731 +a(g628 +VEval +p1732 +tp1733 +a(g412 +g1321 +tp1734 +a(g666 +V'a +p1735 +tp1736 +a(g412 +g1325 +tp1737 +a(g839 +g1002 +tp1738 +a(g705 +g1013 +tp1739 +a(g839 +V\u000a +p1740 +tp1741 +a(g839 +V +p1742 +tp1743 +a(g222 +V/// Program to execute.\u000a +p1744 +tp1745 +a(g839 +V +p1746 +tp1747 +a(g435 +Vprogram +p1748 +tp1749 +a(g826 +g1010 +tp1750 +a(g826 +g1002 +tp1751 +a(g785 +g1310 +tp1752 +a(g666 +V'a +p1753 +tp1754 +a(g839 +g1002 +tp1755 +a(g435 +VProgram +p1756 +tp1757 +a(g705 +g1018 +tp1758 +a(g839 +V\u000a +p1759 +tp1760 +a(g839 +V +p1761 +tp1762 +a(g222 +V/// Stream to use for printing output.\u000a +p1763 +tp1764 +a(g839 +V +p1765 +tp1766 +a(g435 +Vstdout +p1767 +tp1768 +a(g826 +g1010 +tp1769 +a(g826 +g1002 +tp1770 +a(g785 +g1310 +tp1771 +a(g666 +V'a +p1772 +tp1773 +a(g839 +g1002 +tp1774 +a(g744 +Vmut +p1775 +tp1776 +a(g839 +g1002 +tp1777 +a(g435 +VWrite +p1778 +tp1779 +a(g705 +g1018 +tp1780 +a(g839 +V\u000a +p1781 +tp1782 +a(g839 +V +p1783 +tp1784 +a(g222 +V/// Whether to print debugging output during execution.\u000a +p1785 +tp1786 +a(g839 +V +p1787 +tp1788 +a(g435 +Vdebug +p1789 +tp1790 +a(g826 +g1010 +tp1791 +a(g826 +g1002 +tp1792 +a(g748 +Vbool +p1793 +tp1794 +a(g705 +g1018 +tp1795 +a(g839 +V\u000a +p1796 +tp1797 +a(g839 +V +p1798 +tp1799 +a(g222 +V/// Variable bindings for the four types of variables.\u000a +p1800 +tp1801 +a(g839 +V +p1802 +tp1803 +a(g435 +Vspot +p1804 +tp1805 +a(g826 +g1010 +tp1806 +a(g826 +g1002 +tp1807 +a(g681 +VVec +p1808 +tp1809 +a(g412 +g1321 +tp1810 +a(g435 +VBind +p1811 +tp1812 +a(g412 +g1321 +tp1813 +a(g748 +Vu16 +p1814 +tp1815 +a(g412 +g1325 +tp1816 +a(g412 +g1325 +tp1817 +a(g705 +g1018 +tp1818 +a(g839 +V\u000a +p1819 +tp1820 +a(g839 +V +p1821 +tp1822 +a(g435 +Vtwospot +p1823 +tp1824 +a(g826 +g1010 +tp1825 +a(g826 +g1002 +tp1826 +a(g681 +VVec +p1827 +tp1828 +a(g412 +g1321 +tp1829 +a(g435 +VBind +p1830 +tp1831 +a(g412 +g1321 +tp1832 +a(g748 +Vu32 +p1833 +tp1834 +a(g412 +g1325 +tp1835 +a(g412 +g1325 +tp1836 +a(g705 +g1018 +tp1837 +a(g839 +V\u000a +p1838 +tp1839 +a(g839 +V +p1840 +tp1841 +a(g435 +Vtail +p1842 +tp1843 +a(g826 +g1010 +tp1844 +a(g826 +g1002 +tp1845 +a(g681 +VVec +p1846 +tp1847 +a(g412 +g1321 +tp1848 +a(g435 +VBind +p1849 +tp1850 +a(g412 +g1321 +tp1851 +a(g435 +VArray +p1852 +tp1853 +a(g412 +g1321 +tp1854 +a(g748 +Vu16 +p1855 +tp1856 +a(g412 +g1325 +tp1857 +a(g412 +g1325 +tp1858 +a(g412 +g1325 +tp1859 +a(g705 +g1018 +tp1860 +a(g839 +V\u000a +p1861 +tp1862 +a(g839 +V +p1863 +tp1864 +a(g435 +Vhybrid +p1865 +tp1866 +a(g826 +g1010 +tp1867 +a(g826 +g1002 +tp1868 +a(g681 +VVec +p1869 +tp1870 +a(g412 +g1321 +tp1871 +a(g435 +VBind +p1872 +tp1873 +a(g412 +g1321 +tp1874 +a(g435 +VArray +p1875 +tp1876 +a(g412 +g1321 +tp1877 +a(g748 +Vu32 +p1878 +tp1879 +a(g412 +g1325 +tp1880 +a(g412 +g1325 +tp1881 +a(g412 +g1325 +tp1882 +a(g705 +g1018 +tp1883 +a(g839 +V\u000a +p1884 +tp1885 +a(g839 +V +p1886 +tp1887 +a(g222 +V/// The infamous NEXT stack, capable of holding 80 elements.\u000a +p1888 +tp1889 +a(g839 +V +p1890 +tp1891 +a(g435 +Vjumps +p1892 +tp1893 +a(g826 +g1010 +tp1894 +a(g826 +g1002 +tp1895 +a(g681 +VVec +p1896 +tp1897 +a(g412 +g1321 +tp1898 +a(g435 +Vast +p1899 +tp1900 +a(g826 +V:: +p1901 +tp1902 +a(g435 +VLogLine +p1903 +tp1904 +a(g412 +g1325 +tp1905 +a(g705 +g1018 +tp1906 +a(g839 +V\u000a +p1907 +tp1908 +a(g839 +V +p1909 +tp1910 +a(g222 +V/// Abstain counter for each statement.\u000a +p1911 +tp1912 +a(g839 +V +p1913 +tp1914 +a(g435 +Vabstain +p1915 +tp1916 +a(g826 +g1010 +tp1917 +a(g826 +g1002 +tp1918 +a(g681 +VVec +p1919 +tp1920 +a(g412 +g1321 +tp1921 +a(g748 +Vu32 +p1922 +tp1923 +a(g412 +g1325 +tp1924 +a(g705 +g1018 +tp1925 +a(g839 +V\u000a +p1926 +tp1927 +a(g839 +V +p1928 +tp1929 +a(g222 +V/// Binary I/O "tape" state.\u000a +p1930 +tp1931 +a(g839 +V +p1932 +tp1933 +a(g435 +Vlast_in +p1934 +tp1935 +a(g826 +g1010 +tp1936 +a(g826 +g1002 +tp1937 +a(g748 +Vu8 +p1938 +tp1939 +a(g705 +g1018 +tp1940 +a(g839 +V\u000a +p1941 +tp1942 +a(g839 +V +p1943 +tp1944 +a(g435 +Vlast_out +p1945 +tp1946 +a(g826 +g1010 +tp1947 +a(g826 +g1002 +tp1948 +a(g748 +Vu8 +p1949 +tp1950 +a(g705 +g1018 +tp1951 +a(g839 +V\u000a +p1952 +tp1953 +a(g839 +V +p1954 +tp1955 +a(g222 +V/// Random number generator state.\u000a +p1956 +tp1957 +a(g839 +V +p1958 +tp1959 +a(g435 +Vrand_st +p1960 +tp1961 +a(g826 +g1010 +tp1962 +a(g826 +g1002 +tp1963 +a(g748 +Vu32 +p1964 +tp1965 +a(g705 +g1018 +tp1966 +a(g839 +V\u000a +p1967 +tp1968 +a(g839 +V +p1969 +tp1970 +a(g222 +V/// Counts the number of executed statements.\u000a +p1971 +tp1972 +a(g839 +V +p1973 +tp1974 +a(g435 +Vstmt_ctr +p1975 +tp1976 +a(g826 +g1010 +tp1977 +a(g826 +g1002 +tp1978 +a(g748 +Vusize +p1979 +tp1980 +a(g705 +g1018 +tp1981 +a(g839 +V\u000a +p1982 +tp1983 +a(g705 +g1024 +tp1984 +a(g839 +V\u000a +p1985 +tp1986 +a(g839 +V\u000a +p1987 +tp1988 +a(g222 +V/// Represents the control flow effect of an executed statement.\u000a +p1989 +tp1990 +a(g744 +Venum +p1991 +tp1992 +a(g826 +g1002 +tp1993 +a(g628 +VStmtRes +p1994 +tp1995 +a(g839 +g1002 +tp1996 +a(g705 +g1013 +tp1997 +a(g839 +V\u000a +p1998 +tp1999 +a(g839 +V +p2000 +tp2001 +a(g222 +V/// normal execution, next statement\u000a +p2002 +tp2003 +a(g839 +V +p2004 +tp2005 +a(g435 +VNext +p2006 +tp2007 +a(g705 +g1018 +tp2008 +a(g839 +V\u000a +p2009 +tp2010 +a(g839 +V +p2011 +tp2012 +a(g222 +V/// jump around, from DO ... NEXT\u000a +p2013 +tp2014 +a(g839 +V +p2015 +tp2016 +a(g435 +VJump +p2017 +tp2018 +a(g705 +g1261 +tp2019 +a(g748 +Vusize +p2020 +tp2021 +a(g705 +g1265 +tp2022 +a(g705 +g1018 +tp2023 +a(g839 +V\u000a +p2024 +tp2025 +a(g839 +V +p2026 +tp2027 +a(g222 +V/// jump back, from RESUME\u000a +p2028 +tp2029 +a(g839 +V +p2030 +tp2031 +a(g435 +VBack +p2032 +tp2033 +a(g705 +g1261 +tp2034 +a(g748 +Vusize +p2035 +tp2036 +a(g705 +g1265 +tp2037 +a(g705 +g1018 +tp2038 +a(g839 +V\u000a +p2039 +tp2040 +a(g839 +V +p2041 +tp2042 +a(g222 +V/// start from the first statement, from TRY AGAIN\u000a +p2043 +tp2044 +a(g839 +V +p2045 +tp2046 +a(g435 +VFromTop +p2047 +tp2048 +a(g705 +g1018 +tp2049 +a(g839 +V\u000a +p2050 +tp2051 +a(g839 +V +p2052 +tp2053 +a(g222 +V/// end the program, from GIVE UP\u000a +p2054 +tp2055 +a(g839 +V +p2056 +tp2057 +a(g435 +VEnd +p2058 +tp2059 +a(g705 +g1018 +tp2060 +a(g839 +V\u000a +p2061 +tp2062 +a(g705 +g1024 +tp2063 +a(g839 +V\u000a +p2064 +tp2065 +a(g839 +V\u000a +p2066 +tp2067 +a(g744 +Vimpl +p2068 +tp2069 +a(g412 +g1321 +tp2070 +a(g666 +V'a +p2071 +tp2072 +a(g412 +g1325 +tp2073 +a(g839 +g1002 +tp2074 +a(g435 +VEval +p2075 +tp2076 +a(g412 +g1321 +tp2077 +a(g666 +V'a +p2078 +tp2079 +a(g412 +g1325 +tp2080 +a(g839 +g1002 +tp2081 +a(g705 +g1013 +tp2082 +a(g839 +V\u000a +p2083 +tp2084 +a(g839 +V +p2085 +tp2086 +a(g222 +V/// Construct a new evaluator.\u000a +p2087 +tp2088 +a(g839 +V +p2089 +tp2090 +a(g744 +Vpub +p2091 +tp2092 +a(g839 +g1002 +tp2093 +a(g744 +Vfn +p2094 +tp2095 +a(g826 +g1002 +tp2096 +a(g573 +Vnew +p2097 +tp2098 +a(g705 +g1261 +tp2099 +a(g435 +Vprogram +p2100 +tp2101 +a(g826 +g1010 +tp2102 +a(g826 +g1002 +tp2103 +a(g785 +g1310 +tp2104 +a(g666 +V'a +p2105 +tp2106 +a(g839 +g1002 +tp2107 +a(g435 +VProgram +p2108 +tp2109 +a(g705 +g1018 +tp2110 +a(g839 +g1002 +tp2111 +a(g435 +Vstdout +p2112 +tp2113 +a(g826 +g1010 +tp2114 +a(g826 +g1002 +tp2115 +a(g785 +g1310 +tp2116 +a(g666 +V'a +p2117 +tp2118 +a(g839 +g1002 +tp2119 +a(g744 +Vmut +p2120 +tp2121 +a(g839 +g1002 +tp2122 +a(g435 +VWrite +p2123 +tp2124 +a(g705 +g1018 +tp2125 +a(g839 +g1002 +tp2126 +a(g435 +Vdebug +p2127 +tp2128 +a(g826 +g1010 +tp2129 +a(g826 +g1002 +tp2130 +a(g748 +Vbool +p2131 +tp2132 +a(g705 +g1018 +tp2133 +a(g839 +V\u000a +p2134 +tp2135 +a(g839 +V +p2136 +tp2137 +a(g435 +Vrandom +p2138 +tp2139 +a(g826 +g1010 +tp2140 +a(g826 +g1002 +tp2141 +a(g748 +Vbool +p2142 +tp2143 +a(g705 +g1265 +tp2144 +a(g839 +g1002 +tp2145 +a(g826 +V-> +p2146 +tp2147 +a(g826 +g1002 +tp2148 +a(g628 +VEval +p2149 +tp2150 +a(g412 +g1321 +tp2151 +a(g666 +V'a +p2152 +tp2153 +a(g412 +g1325 +tp2154 +a(g839 +g1002 +tp2155 +a(g705 +g1013 +tp2156 +a(g839 +V\u000a +p2157 +tp2158 +a(g839 +V +p2159 +tp2160 +a(g809 +Vlet +p2161 +tp2162 +a(g839 +g1002 +tp2163 +a(g435 +Vabs +p2164 +tp2165 +a(g839 +g1002 +tp2166 +a(g412 +g1357 +tp2167 +a(g839 +g1002 +tp2168 +a(g435 +Vprogram +p2169 +tp2170 +a(g705 +g1421 +tp2171 +a(g435 +Vstmts +p2172 +tp2173 +a(g705 +g1421 +tp2174 +a(g435 +Viter +p2175 +tp2176 +a(g705 +g1261 +tp2177 +a(g705 +g1265 +tp2178 +a(g705 +g1421 +tp2179 +a(g435 +Vmap +p2180 +tp2181 +a(g705 +g1261 +tp2182 +a(g412 +V| +p2183 +tp2184 +a(g435 +Vstmt +p2185 +tp2186 +a(g412 +g2183 +tp2187 +a(g839 +g1002 +tp2188 +a(g435 +Vstmt +p2189 +tp2190 +a(g705 +g1421 +tp2191 +a(g435 +Vprops +p2192 +tp2193 +a(g705 +g1421 +tp2194 +a(g435 +Vdisabled +p2195 +tp2196 +a(g839 +g1002 +tp2197 +a(g744 +Vas +p2198 +tp2199 +a(g839 +g1002 +tp2200 +a(g748 +Vu32 +p2201 +tp2202 +a(g705 +g1265 +tp2203 +a(g705 +g1421 +tp2204 +a(g435 +Vcollect +p2205 +tp2206 +a(g705 +g1261 +tp2207 +a(g705 +g1265 +tp2208 +a(g705 +g1026 +tp2209 +a(g839 +V\u000a +p2210 +tp2211 +a(g839 +V +p2212 +tp2213 +a(g809 +Vlet +p2214 +tp2215 +a(g839 +g1002 +tp2216 +a(g435 +Vnvars +p2217 +tp2218 +a(g839 +g1002 +tp2219 +a(g412 +g1357 +tp2220 +a(g839 +g1002 +tp2221 +a(g705 +g1261 +tp2222 +a(g435 +Vprogram +p2223 +tp2224 +a(g705 +g1421 +tp2225 +a(g435 +Vvar_info +p2226 +tp2227 +a(g705 +g1421 +tp2228 +a(g314 +V0. +p2229 +tp2230 +a(g435 +Vlen +p2231 +tp2232 +a(g705 +g1261 +tp2233 +a(g705 +g1265 +tp2234 +a(g705 +g1018 +tp2235 +a(g839 +V\u000a +p2236 +tp2237 +a(g839 +V +p2238 +tp2239 +a(g435 +Vprogram +p2240 +tp2241 +a(g705 +g1421 +tp2242 +a(g435 +Vvar_info +p2243 +tp2244 +a(g705 +g1421 +tp2245 +a(g314 +V1. +p2246 +tp2247 +a(g435 +Vlen +p2248 +tp2249 +a(g705 +g1261 +tp2250 +a(g705 +g1265 +tp2251 +a(g705 +g1018 +tp2252 +a(g839 +V\u000a +p2253 +tp2254 +a(g839 +V +p2255 +tp2256 +a(g435 +Vprogram +p2257 +tp2258 +a(g705 +g1421 +tp2259 +a(g435 +Vvar_info +p2260 +tp2261 +a(g705 +g1421 +tp2262 +a(g314 +V2. +p2263 +tp2264 +a(g435 +Vlen +p2265 +tp2266 +a(g705 +g1261 +tp2267 +a(g705 +g1265 +tp2268 +a(g705 +g1018 +tp2269 +a(g839 +V\u000a +p2270 +tp2271 +a(g839 +V +p2272 +tp2273 +a(g435 +Vprogram +p2274 +tp2275 +a(g705 +g1421 +tp2276 +a(g435 +Vvar_info +p2277 +tp2278 +a(g705 +g1421 +tp2279 +a(g314 +V3. +p2280 +tp2281 +a(g435 +Vlen +p2282 +tp2283 +a(g705 +g1261 +tp2284 +a(g705 +g1265 +tp2285 +a(g705 +g1265 +tp2286 +a(g705 +g1026 +tp2287 +a(g839 +V\u000a +p2288 +tp2289 +a(g839 +V +p2290 +tp2291 +a(g435 +VEval +p2292 +tp2293 +a(g839 +g1002 +tp2294 +a(g705 +g1013 +tp2295 +a(g839 +V\u000a +p2296 +tp2297 +a(g839 +V +p2298 +tp2299 +a(g435 +Vprogram +p2300 +tp2301 +a(g826 +g1010 +tp2302 +a(g826 +V +p2303 +tp2304 +a(g628 +Vprogram +p2305 +tp2306 +a(g705 +g1018 +tp2307 +a(g839 +V\u000a +p2308 +tp2309 +a(g839 +V +p2310 +tp2311 +a(g435 +Vstdout +p2312 +tp2313 +a(g826 +g1010 +tp2314 +a(g826 +V +p2315 +tp2316 +a(g628 +Vstdout +p2317 +tp2318 +a(g705 +g1018 +tp2319 +a(g839 +V\u000a +p2320 +tp2321 +a(g839 +V +p2322 +tp2323 +a(g435 +Vdebug +p2324 +tp2325 +a(g826 +g1010 +tp2326 +a(g826 +V +p2327 +tp2328 +a(g628 +Vdebug +p2329 +tp2330 +a(g705 +g1018 +tp2331 +a(g839 +V\u000a +p2332 +tp2333 +a(g839 +V +p2334 +tp2335 +a(g435 +Vspot +p2336 +tp2337 +a(g826 +g1010 +tp2338 +a(g826 +V +p2339 +tp2340 +a(g628 +Vvec +p2341 +tp2342 +a(g412 +V! +p2343 +tp2344 +a(g705 +V[ +p2345 +tp2346 +a(g435 +VBind +p2347 +tp2348 +a(g826 +V:: +p2349 +tp2350 +a(g435 +Vnew +p2351 +tp2352 +a(g705 +g1261 +tp2353 +a(g37 +V0 +p2354 +tp2355 +a(g705 +g1265 +tp2356 +a(g705 +g1026 +tp2357 +a(g839 +g1002 +tp2358 +a(g435 +Vnvars +p2359 +tp2360 +a(g705 +g1421 +tp2361 +a(g37 +g2354 +tp2362 +a(g705 +g1241 +tp2363 +a(g705 +g1018 +tp2364 +a(g839 +V\u000a +p2365 +tp2366 +a(g839 +V +p2367 +tp2368 +a(g435 +Vtwospot +p2369 +tp2370 +a(g826 +g1010 +tp2371 +a(g826 +V +p2372 +tp2373 +a(g628 +Vvec +p2374 +tp2375 +a(g412 +g2343 +tp2376 +a(g705 +g2345 +tp2377 +a(g435 +VBind +p2378 +tp2379 +a(g826 +V:: +p2380 +tp2381 +a(g435 +Vnew +p2382 +tp2383 +a(g705 +g1261 +tp2384 +a(g37 +g2354 +tp2385 +a(g705 +g1265 +tp2386 +a(g705 +g1026 +tp2387 +a(g839 +g1002 +tp2388 +a(g435 +Vnvars +p2389 +tp2390 +a(g705 +g1421 +tp2391 +a(g37 +V1 +p2392 +tp2393 +a(g705 +g1241 +tp2394 +a(g705 +g1018 +tp2395 +a(g839 +V\u000a +p2396 +tp2397 +a(g839 +V +p2398 +tp2399 +a(g435 +Vtail +p2400 +tp2401 +a(g826 +g1010 +tp2402 +a(g826 +V +p2403 +tp2404 +a(g628 +Vvec +p2405 +tp2406 +a(g412 +g2343 +tp2407 +a(g705 +g2345 +tp2408 +a(g435 +VBind +p2409 +tp2410 +a(g826 +V:: +p2411 +tp2412 +a(g435 +Vnew +p2413 +tp2414 +a(g705 +g1261 +tp2415 +a(g435 +VArray +p2416 +tp2417 +a(g826 +V:: +p2418 +tp2419 +a(g435 +Vempty +p2420 +tp2421 +a(g705 +g1261 +tp2422 +a(g705 +g1265 +tp2423 +a(g705 +g1265 +tp2424 +a(g705 +g1026 +tp2425 +a(g839 +g1002 +tp2426 +a(g435 +Vnvars +p2427 +tp2428 +a(g705 +g1421 +tp2429 +a(g37 +V2 +p2430 +tp2431 +a(g705 +g1241 +tp2432 +a(g705 +g1018 +tp2433 +a(g839 +V\u000a +p2434 +tp2435 +a(g839 +V +p2436 +tp2437 +a(g435 +Vhybrid +p2438 +tp2439 +a(g826 +g1010 +tp2440 +a(g826 +V +p2441 +tp2442 +a(g628 +Vvec +p2443 +tp2444 +a(g412 +g2343 +tp2445 +a(g705 +g2345 +tp2446 +a(g435 +VBind +p2447 +tp2448 +a(g826 +V:: +p2449 +tp2450 +a(g435 +Vnew +p2451 +tp2452 +a(g705 +g1261 +tp2453 +a(g435 +VArray +p2454 +tp2455 +a(g826 +V:: +p2456 +tp2457 +a(g435 +Vempty +p2458 +tp2459 +a(g705 +g1261 +tp2460 +a(g705 +g1265 +tp2461 +a(g705 +g1265 +tp2462 +a(g705 +g1026 +tp2463 +a(g839 +g1002 +tp2464 +a(g435 +Vnvars +p2465 +tp2466 +a(g705 +g1421 +tp2467 +a(g37 +V3 +p2468 +tp2469 +a(g705 +g1241 +tp2470 +a(g705 +g1018 +tp2471 +a(g839 +V\u000a +p2472 +tp2473 +a(g839 +V +p2474 +tp2475 +a(g435 +Vjumps +p2476 +tp2477 +a(g826 +g1010 +tp2478 +a(g826 +V +p2479 +tp2480 +a(g681 +VVec +p2481 +tp2482 +a(g826 +V:: +p2483 +tp2484 +a(g435 +Vwith_capacity +p2485 +tp2486 +a(g705 +g1261 +tp2487 +a(g37 +V80 +p2488 +tp2489 +a(g705 +g1265 +tp2490 +a(g705 +g1018 +tp2491 +a(g839 +V\u000a +p2492 +tp2493 +a(g839 +V +p2494 +tp2495 +a(g435 +Vrand_st +p2496 +tp2497 +a(g826 +g1010 +tp2498 +a(g826 +V +p2499 +tp2500 +a(g628 +Vif +p2501 +tp2502 +a(g839 +g1002 +tp2503 +a(g435 +Vrandom +p2504 +tp2505 +a(g839 +g1002 +tp2506 +a(g705 +g1013 +tp2507 +a(g839 +g1002 +tp2508 +a(g435 +Vget_random_seed +p2509 +tp2510 +a(g705 +g1261 +tp2511 +a(g705 +g1265 +tp2512 +a(g839 +g1002 +tp2513 +a(g705 +g1024 +tp2514 +a(g839 +g1002 +tp2515 +a(g744 +Velse +p2516 +tp2517 +a(g839 +g1002 +tp2518 +a(g705 +g1013 +tp2519 +a(g839 +g1002 +tp2520 +a(g37 +g2354 +tp2521 +a(g839 +g1002 +tp2522 +a(g705 +g1024 +tp2523 +a(g705 +g1018 +tp2524 +a(g839 +V\u000a +p2525 +tp2526 +a(g839 +V +p2527 +tp2528 +a(g435 +Vabstain +p2529 +tp2530 +a(g826 +g1010 +tp2531 +a(g826 +V +p2532 +tp2533 +a(g628 +Vabs +p2534 +tp2535 +a(g705 +g1018 +tp2536 +a(g839 +V\u000a +p2537 +tp2538 +a(g839 +V +p2539 +tp2540 +a(g435 +Vlast_in +p2541 +tp2542 +a(g826 +g1010 +tp2543 +a(g826 +V +p2544 +tp2545 +a(g37 +g2354 +tp2546 +a(g705 +g1018 +tp2547 +a(g839 +V\u000a +p2548 +tp2549 +a(g839 +V +p2550 +tp2551 +a(g435 +Vlast_out +p2552 +tp2553 +a(g826 +g1010 +tp2554 +a(g826 +g1002 +tp2555 +a(g37 +g2354 +tp2556 +a(g705 +g1018 +tp2557 +a(g839 +V\u000a +p2558 +tp2559 +a(g839 +V +p2560 +tp2561 +a(g435 +Vstmt_ctr +p2562 +tp2563 +a(g826 +g1010 +tp2564 +a(g826 +g1002 +tp2565 +a(g37 +g2354 +tp2566 +a(g705 +g1018 +tp2567 +a(g839 +V\u000a +p2568 +tp2569 +a(g839 +V +p2570 +tp2571 +a(g705 +g1024 +tp2572 +a(g839 +V\u000a +p2573 +tp2574 +a(g839 +V +p2575 +tp2576 +a(g705 +g1024 +tp2577 +a(g839 +V\u000a +p2578 +tp2579 +a(g839 +V\u000a +p2580 +tp2581 +a(g839 +V +p2582 +tp2583 +a(g222 +V/// Interpret the program. Returns either the number of executed statements,\u000a +p2584 +tp2585 +a(g839 +V +p2586 +tp2587 +a(g222 +V/// or an error (RtError).\u000a +p2588 +tp2589 +a(g839 +V +p2590 +tp2591 +a(g744 +Vpub +p2592 +tp2593 +a(g839 +g1002 +tp2594 +a(g744 +Vfn +p2595 +tp2596 +a(g826 +g1002 +tp2597 +a(g573 +Veval +p2598 +tp2599 +a(g705 +g1261 +tp2600 +a(g412 +g1310 +tp2601 +a(g744 +Vmut +p2602 +tp2603 +a(g839 +g1002 +tp2604 +a(g693 +Vself +p2605 +tp2606 +a(g705 +g1265 +tp2607 +a(g839 +g1002 +tp2608 +a(g826 +V-> +p2609 +tp2610 +a(g826 +g1002 +tp2611 +a(g628 +VRes +p2612 +tp2613 +a(g412 +g1321 +tp2614 +a(g748 +Vusize +p2615 +tp2616 +a(g412 +g1325 +tp2617 +a(g839 +g1002 +tp2618 +a(g705 +g1013 +tp2619 +a(g839 +V\u000a +p2620 +tp2621 +a(g839 +V +p2622 +tp2623 +a(g809 +Vlet +p2624 +tp2625 +a(g839 +g1002 +tp2626 +a(g744 +Vmut +p2627 +tp2628 +a(g839 +g1002 +tp2629 +a(g435 +Vpctr +p2630 +tp2631 +a(g839 +g1002 +tp2632 +a(g412 +g1357 +tp2633 +a(g839 +g1002 +tp2634 +a(g37 +g2354 +tp2635 +a(g705 +g1026 +tp2636 +a(g839 +V +p2637 +tp2638 +a(g8 +V// index of current statement\u000a +p2639 +tp2640 +a(g839 +V +p2641 +tp2642 +a(g809 +Vlet +p2643 +tp2644 +a(g839 +g1002 +tp2645 +a(g435 +Vprogram +p2646 +tp2647 +a(g839 +g1002 +tp2648 +a(g412 +g1357 +tp2649 +a(g839 +g1002 +tp2650 +a(g693 +Vself +p2651 +tp2652 +a(g705 +g1421 +tp2653 +a(g435 +Vprogram +p2654 +tp2655 +a(g705 +g1421 +tp2656 +a(g435 +Vclone +p2657 +tp2658 +a(g705 +g1261 +tp2659 +a(g705 +g1265 +tp2660 +a(g705 +g1026 +tp2661 +a(g839 +V\u000a +p2662 +tp2663 +a(g839 +V +p2664 +tp2665 +a(g809 +Vlet +p2666 +tp2667 +a(g839 +g1002 +tp2668 +a(g435 +Vnstmts +p2669 +tp2670 +a(g839 +g1002 +tp2671 +a(g412 +g1357 +tp2672 +a(g839 +g1002 +tp2673 +a(g435 +Vprogram +p2674 +tp2675 +a(g705 +g1421 +tp2676 +a(g435 +Vstmts +p2677 +tp2678 +a(g705 +g1421 +tp2679 +a(g435 +Vlen +p2680 +tp2681 +a(g705 +g1261 +tp2682 +a(g705 +g1265 +tp2683 +a(g705 +g1026 +tp2684 +a(g839 +V\u000a +p2685 +tp2686 +a(g839 +V +p2687 +tp2688 +a(g744 +Vloop +p2689 +tp2690 +a(g839 +g1002 +tp2691 +a(g705 +g1013 +tp2692 +a(g839 +V\u000a +p2693 +tp2694 +a(g839 +V +p2695 +tp2696 +a(g8 +V// check for falling off the end\u000a +p2697 +tp2698 +a(g839 +V +p2699 +tp2700 +a(g744 +Vif +p2701 +tp2702 +a(g839 +g1002 +tp2703 +a(g435 +Vpctr +p2704 +tp2705 +a(g839 +g1002 +tp2706 +a(g412 +g1325 +tp2707 +a(g412 +g1357 +tp2708 +a(g839 +g1002 +tp2709 +a(g435 +Vnstmts +p2710 +tp2711 +a(g839 +g1002 +tp2712 +a(g705 +g1013 +tp2713 +a(g839 +V\u000a +p2714 +tp2715 +a(g839 +V +p2716 +tp2717 +a(g8 +V// if the last statement was a TRY AGAIN, falling off the end is fine\u000a +p2718 +tp2719 +a(g839 +V +p2720 +tp2721 +a(g744 +Vif +p2722 +tp2723 +a(g839 +g1002 +tp2724 +a(g809 +Vlet +p2725 +tp2726 +a(g839 +g1002 +tp2727 +a(g435 +VStmtBody +p2728 +tp2729 +a(g826 +V:: +p2730 +tp2731 +a(g435 +VTryAgain +p2732 +tp2733 +a(g839 +g1002 +tp2734 +a(g412 +g1357 +tp2735 +a(g839 +g1002 +tp2736 +a(g435 +Vprogram +p2737 +tp2738 +a(g705 +g1421 +tp2739 +a(g435 +Vstmts +p2740 +tp2741 +a(g705 +g2345 +tp2742 +a(g435 +Vprogram +p2743 +tp2744 +a(g705 +g1421 +tp2745 +a(g435 +Vstmts +p2746 +tp2747 +a(g705 +g1421 +tp2748 +a(g435 +Vlen +p2749 +tp2750 +a(g705 +g1261 +tp2751 +a(g705 +g1265 +tp2752 +a(g839 +g1002 +tp2753 +a(g412 +V- +p2754 +tp2755 +a(g839 +g1002 +tp2756 +a(g37 +g2392 +tp2757 +a(g705 +g1241 +tp2758 +a(g705 +g1421 +tp2759 +a(g435 +Vbody +p2760 +tp2761 +a(g839 +g1002 +tp2762 +a(g705 +g1013 +tp2763 +a(g839 +V\u000a +p2764 +tp2765 +a(g839 +V +p2766 +tp2767 +a(g744 +Vbreak +p2768 +tp2769 +a(g705 +g1026 +tp2770 +a(g839 +V\u000a +p2771 +tp2772 +a(g839 +V +p2773 +tp2774 +a(g705 +g1024 +tp2775 +a(g839 +V\u000a +p2776 +tp2777 +a(g839 +V +p2778 +tp2779 +a(g744 +Vreturn +p2780 +tp2781 +a(g839 +g1002 +tp2782 +a(g435 +VIE633 +p2783 +tp2784 +a(g705 +g1421 +tp2785 +a(g435 +Verr +p2786 +tp2787 +a(g705 +g1261 +tp2788 +a(g705 +g1265 +tp2789 +a(g705 +g1026 +tp2790 +a(g839 +V\u000a +p2791 +tp2792 +a(g839 +V +p2793 +tp2794 +a(g705 +g1024 +tp2795 +a(g839 +V\u000a +p2796 +tp2797 +a(g839 +V +p2798 +tp2799 +a(g693 +Vself +p2800 +tp2801 +a(g705 +g1421 +tp2802 +a(g435 +Vstmt_ctr +p2803 +tp2804 +a(g839 +g1002 +tp2805 +a(g412 +V+ +p2806 +tp2807 +a(g412 +g1357 +tp2808 +a(g839 +g1002 +tp2809 +a(g37 +g2392 +tp2810 +a(g705 +g1026 +tp2811 +a(g839 +V\u000a +p2812 +tp2813 +a(g839 +V +p2814 +tp2815 +a(g809 +Vlet +p2816 +tp2817 +a(g839 +g1002 +tp2818 +a(g435 +Vstmt +p2819 +tp2820 +a(g839 +g1002 +tp2821 +a(g412 +g1357 +tp2822 +a(g839 +g1002 +tp2823 +a(g412 +g1310 +tp2824 +a(g435 +Vprogram +p2825 +tp2826 +a(g705 +g1421 +tp2827 +a(g435 +Vstmts +p2828 +tp2829 +a(g705 +g2345 +tp2830 +a(g435 +Vpctr +p2831 +tp2832 +a(g705 +g1241 +tp2833 +a(g705 +g1026 +tp2834 +a(g839 +V\u000a +p2835 +tp2836 +a(g839 +V +p2837 +tp2838 +a(g8 +V// execute statement if not abstained\u000a +p2839 +tp2840 +a(g839 +V +p2841 +tp2842 +a(g744 +Vif +p2843 +tp2844 +a(g839 +g1002 +tp2845 +a(g693 +Vself +p2846 +tp2847 +a(g705 +g1421 +tp2848 +a(g435 +Vabstain +p2849 +tp2850 +a(g705 +g2345 +tp2851 +a(g435 +Vpctr +p2852 +tp2853 +a(g705 +g1241 +tp2854 +a(g839 +g1002 +tp2855 +a(g412 +g1357 +tp2856 +a(g412 +g1357 +tp2857 +a(g839 +g1002 +tp2858 +a(g37 +g2354 +tp2859 +a(g839 +g1002 +tp2860 +a(g705 +g1013 +tp2861 +a(g839 +V\u000a +p2862 +tp2863 +a(g839 +V +p2864 +tp2865 +a(g8 +V// check execution chance\u000a +p2866 +tp2867 +a(g839 +V +p2868 +tp2869 +a(g809 +Vlet +p2870 +tp2871 +a(g839 +g1002 +tp2872 +a(g705 +g1261 +tp2873 +a(g435 +Vpassed +p2874 +tp2875 +a(g705 +g1018 +tp2876 +a(g839 +g1002 +tp2877 +a(g435 +Vrand_st +p2878 +tp2879 +a(g705 +g1265 +tp2880 +a(g839 +g1002 +tp2881 +a(g412 +g1357 +tp2882 +a(g839 +g1002 +tp2883 +a(g435 +Vcheck_chance +p2884 +tp2885 +a(g705 +g1261 +tp2886 +a(g435 +Vstmt +p2887 +tp2888 +a(g705 +g1421 +tp2889 +a(g435 +Vprops +p2890 +tp2891 +a(g705 +g1421 +tp2892 +a(g435 +Vchance +p2893 +tp2894 +a(g705 +g1018 +tp2895 +a(g839 +g1002 +tp2896 +a(g693 +Vself +p2897 +tp2898 +a(g705 +g1421 +tp2899 +a(g435 +Vrand_st +p2900 +tp2901 +a(g705 +g1265 +tp2902 +a(g705 +g1026 +tp2903 +a(g839 +V\u000a +p2904 +tp2905 +a(g839 +V +p2906 +tp2907 +a(g693 +Vself +p2908 +tp2909 +a(g705 +g1421 +tp2910 +a(g435 +Vrand_st +p2911 +tp2912 +a(g839 +g1002 +tp2913 +a(g412 +g1357 +tp2914 +a(g839 +g1002 +tp2915 +a(g435 +Vrand_st +p2916 +tp2917 +a(g705 +g1026 +tp2918 +a(g839 +V\u000a +p2919 +tp2920 +a(g839 +V +p2921 +tp2922 +a(g744 +Vif +p2923 +tp2924 +a(g839 +g1002 +tp2925 +a(g435 +Vpassed +p2926 +tp2927 +a(g839 +g1002 +tp2928 +a(g705 +g1013 +tp2929 +a(g839 +V\u000a +p2930 +tp2931 +a(g839 +V +p2932 +tp2933 +a(g8 +V// try to eval this statement\u000a +p2934 +tp2935 +a(g839 +V +p2936 +tp2937 +a(g809 +Vlet +p2938 +tp2939 +a(g839 +g1002 +tp2940 +a(g435 +Vres +p2941 +tp2942 +a(g839 +g1002 +tp2943 +a(g412 +g1357 +tp2944 +a(g839 +g1002 +tp2945 +a(g744 +Vmatch +p2946 +tp2947 +a(g839 +g1002 +tp2948 +a(g693 +Vself +p2949 +tp2950 +a(g705 +g1421 +tp2951 +a(g435 +Veval_stmt +p2952 +tp2953 +a(g705 +g1261 +tp2954 +a(g435 +Vstmt +p2955 +tp2956 +a(g705 +g1265 +tp2957 +a(g839 +g1002 +tp2958 +a(g705 +g1013 +tp2959 +a(g839 +V\u000a +p2960 +tp2961 +a(g839 +V +p2962 +tp2963 +a(g8 +V// on error, set the correct line number and bubble up\u000a +p2964 +tp2965 +a(g839 +V +p2966 +tp2967 +a(g681 +VErr +p2968 +tp2969 +a(g705 +g1261 +tp2970 +a(g744 +Vmut +p2971 +tp2972 +a(g839 +g1002 +tp2973 +a(g435 +Verr +p2974 +tp2975 +a(g705 +g1265 +tp2976 +a(g839 +g1002 +tp2977 +a(g412 +g1357 +tp2978 +a(g412 +g1325 +tp2979 +a(g839 +g1002 +tp2980 +a(g705 +g1013 +tp2981 +a(g839 +V\u000a +p2982 +tp2983 +a(g839 +V +p2984 +tp2985 +a(g435 +Verr +p2986 +tp2987 +a(g705 +g1421 +tp2988 +a(g435 +Vset_line +p2989 +tp2990 +a(g705 +g1261 +tp2991 +a(g435 +Vstmt +p2992 +tp2993 +a(g705 +g1421 +tp2994 +a(g435 +Vprops +p2995 +tp2996 +a(g705 +g1421 +tp2997 +a(g435 +Vonthewayto +p2998 +tp2999 +a(g705 +g1265 +tp3000 +a(g705 +g1026 +tp3001 +a(g839 +V\u000a +p3002 +tp3003 +a(g839 +V +p3004 +tp3005 +a(g8 +V// special treatment for NEXT\u000a +p3006 +tp3007 +a(g839 +V +p3008 +tp3009 +a(g744 +Vif +p3010 +tp3011 +a(g839 +g1002 +tp3012 +a(g809 +Vlet +p3013 +tp3014 +a(g839 +g1002 +tp3015 +a(g435 +VStmtBody +p3016 +tp3017 +a(g826 +V:: +p3018 +tp3019 +a(g435 +VDoNext +p3020 +tp3021 +a(g705 +g1261 +tp3022 +a(g435 +Vn +p3023 +tp3024 +a(g705 +g1265 +tp3025 +a(g839 +g1002 +tp3026 +a(g412 +g1357 +tp3027 +a(g839 +g1002 +tp3028 +a(g435 +Vstmt +p3029 +tp3030 +a(g705 +g1421 +tp3031 +a(g435 +Vbody +p3032 +tp3033 +a(g839 +g1002 +tp3034 +a(g705 +g1013 +tp3035 +a(g839 +V\u000a +p3036 +tp3037 +a(g839 +V +p3038 +tp3039 +a(g744 +Vif +p3040 +tp3041 +a(g839 +g1002 +tp3042 +a(g809 +Vlet +p3043 +tp3044 +a(g839 +g1002 +tp3045 +a(g681 +VSome +p3046 +tp3047 +a(g705 +g1261 +tp3048 +a(g435 +Vi +p3049 +tp3050 +a(g705 +g1265 +tp3051 +a(g839 +g1002 +tp3052 +a(g412 +g1357 +tp3053 +a(g839 +g1002 +tp3054 +a(g435 +Vprogram +p3055 +tp3056 +a(g705 +g1421 +tp3057 +a(g435 +Vlabels +p3058 +tp3059 +a(g705 +g1421 +tp3060 +a(g435 +Vget +p3061 +tp3062 +a(g705 +g1261 +tp3063 +a(g412 +g1310 +tp3064 +a(g435 +g3023 +tp3065 +a(g705 +g1265 +tp3066 +a(g839 +g1002 +tp3067 +a(g705 +g1013 +tp3068 +a(g839 +V\u000a +p3069 +tp3070 +a(g839 +V +p3071 +tp3072 +a(g435 +Verr +p3073 +tp3074 +a(g705 +g1421 +tp3075 +a(g435 +Vset_line +p3076 +tp3077 +a(g705 +g1261 +tp3078 +a(g435 +Vprogram +p3079 +tp3080 +a(g705 +g1421 +tp3081 +a(g435 +Vstmts +p3082 +tp3083 +a(g705 +g2345 +tp3084 +a(g412 +g1336 +tp3085 +a(g435 +g3049 +tp3086 +a(g839 +g1002 +tp3087 +a(g744 +Vas +p3088 +tp3089 +a(g839 +g1002 +tp3090 +a(g748 +Vusize +p3091 +tp3092 +a(g705 +g1241 +tp3093 +a(g705 +g1421 +tp3094 +a(g435 +Vprops +p3095 +tp3096 +a(g705 +g1421 +tp3097 +a(g435 +Vsrcline +p3098 +tp3099 +a(g705 +g1265 +tp3100 +a(g705 +g1026 +tp3101 +a(g839 +V\u000a +p3102 +tp3103 +a(g839 +V +p3104 +tp3105 +a(g705 +g1024 +tp3106 +a(g839 +V\u000a +p3107 +tp3108 +a(g839 +V +p3109 +tp3110 +a(g705 +g1024 +tp3111 +a(g839 +V\u000a +p3112 +tp3113 +a(g839 +V +p3114 +tp3115 +a(g744 +Vreturn +p3116 +tp3117 +a(g839 +g1002 +tp3118 +a(g681 +VErr +p3119 +tp3120 +a(g705 +g1261 +tp3121 +a(g435 +Verr +p3122 +tp3123 +a(g705 +g1265 +tp3124 +a(g705 +g1026 +tp3125 +a(g839 +V\u000a +p3126 +tp3127 +a(g839 +V +p3128 +tp3129 +a(g705 +g1024 +tp3130 +a(g839 +V\u000a +p3131 +tp3132 +a(g839 +V +p3133 +tp3134 +a(g681 +VOk +p3135 +tp3136 +a(g705 +g1261 +tp3137 +a(g435 +Vres +p3138 +tp3139 +a(g705 +g1265 +tp3140 +a(g839 +V +p3141 +tp3142 +a(g412 +g1357 +tp3143 +a(g412 +g1325 +tp3144 +a(g839 +g1002 +tp3145 +a(g435 +Vres +p3146 +tp3147 +a(g839 +V\u000a +p3148 +tp3149 +a(g839 +V +p3150 +tp3151 +a(g705 +g1024 +tp3152 +a(g705 +g1026 +tp3153 +a(g839 +V\u000a +p3154 +tp3155 +a(g839 +V +p3156 +tp3157 +a(g8 +V// handle control flow effects\u000a +p3158 +tp3159 +a(g839 +V +p3160 +tp3161 +a(g744 +Vmatch +p3162 +tp3163 +a(g839 +g1002 +tp3164 +a(g435 +Vres +p3165 +tp3166 +a(g839 +g1002 +tp3167 +a(g705 +g1013 +tp3168 +a(g839 +V\u000a +p3169 +tp3170 +a(g839 +V +p3171 +tp3172 +a(g435 +VStmtRes +p3173 +tp3174 +a(g826 +V:: +p3175 +tp3176 +a(g435 +VNext +p3177 +tp3178 +a(g839 +V +p3179 +tp3180 +a(g412 +g1357 +tp3181 +a(g412 +g1325 +tp3182 +a(g839 +g1002 +tp3183 +a(g705 +g1013 +tp3184 +a(g839 +g1002 +tp3185 +a(g705 +g1024 +tp3186 +a(g839 +V\u000a +p3187 +tp3188 +a(g839 +V +p3189 +tp3190 +a(g435 +VStmtRes +p3191 +tp3192 +a(g826 +V:: +p3193 +tp3194 +a(g435 +VJump +p3195 +tp3196 +a(g705 +g1261 +tp3197 +a(g435 +g3023 +tp3198 +a(g705 +g1265 +tp3199 +a(g839 +g1002 +tp3200 +a(g412 +g1357 +tp3201 +a(g412 +g1325 +tp3202 +a(g839 +g1002 +tp3203 +a(g705 +g1013 +tp3204 +a(g839 +V\u000a +p3205 +tp3206 +a(g839 +V +p3207 +tp3208 +a(g693 +Vself +p3209 +tp3210 +a(g705 +g1421 +tp3211 +a(g435 +Vjumps +p3212 +tp3213 +a(g705 +g1421 +tp3214 +a(g435 +Vpush +p3215 +tp3216 +a(g705 +g1261 +tp3217 +a(g435 +Vpctr +p3218 +tp3219 +a(g839 +g1002 +tp3220 +a(g744 +Vas +p3221 +tp3222 +a(g839 +g1002 +tp3223 +a(g748 +Vu16 +p3224 +tp3225 +a(g705 +g1265 +tp3226 +a(g705 +g1026 +tp3227 +a(g839 +V +p3228 +tp3229 +a(g8 +V// push the line with the NEXT\u000a +p3230 +tp3231 +a(g839 +V +p3232 +tp3233 +a(g435 +Vpctr +p3234 +tp3235 +a(g839 +g1002 +tp3236 +a(g412 +g1357 +tp3237 +a(g839 +g1002 +tp3238 +a(g435 +g3023 +tp3239 +a(g705 +g1026 +tp3240 +a(g839 +V\u000a +p3241 +tp3242 +a(g839 +V +p3243 +tp3244 +a(g744 +Vcontinue +p3245 +tp3246 +a(g705 +g1026 +tp3247 +a(g839 +V +p3248 +tp3249 +a(g8 +V// do not increment or check for COME FROMs\u000a +p3250 +tp3251 +a(g839 +V +p3252 +tp3253 +a(g705 +g1024 +tp3254 +a(g839 +V\u000a +p3255 +tp3256 +a(g839 +V +p3257 +tp3258 +a(g435 +VStmtRes +p3259 +tp3260 +a(g826 +V:: +p3261 +tp3262 +a(g435 +VBack +p3263 +tp3264 +a(g705 +g1261 +tp3265 +a(g435 +g3023 +tp3266 +a(g705 +g1265 +tp3267 +a(g839 +g1002 +tp3268 +a(g412 +g1357 +tp3269 +a(g412 +g1325 +tp3270 +a(g839 +g1002 +tp3271 +a(g705 +g1013 +tp3272 +a(g839 +V\u000a +p3273 +tp3274 +a(g839 +V +p3275 +tp3276 +a(g435 +Vpctr +p3277 +tp3278 +a(g839 +g1002 +tp3279 +a(g412 +g1357 +tp3280 +a(g839 +g1002 +tp3281 +a(g435 +g3023 +tp3282 +a(g705 +g1026 +tp3283 +a(g839 +V +p3284 +tp3285 +a(g8 +V// will be incremented below after COME FROM check\u000a +p3286 +tp3287 +a(g839 +V +p3288 +tp3289 +a(g705 +g1024 +tp3290 +a(g839 +V\u000a +p3291 +tp3292 +a(g839 +V +p3293 +tp3294 +a(g435 +VStmtRes +p3295 +tp3296 +a(g826 +V:: +p3297 +tp3298 +a(g435 +VFromTop +p3299 +tp3300 +a(g839 +g1002 +tp3301 +a(g412 +g1357 +tp3302 +a(g412 +g1325 +tp3303 +a(g839 +g1002 +tp3304 +a(g705 +g1013 +tp3305 +a(g839 +V\u000a +p3306 +tp3307 +a(g839 +V +p3308 +tp3309 +a(g435 +Vpctr +p3310 +tp3311 +a(g839 +g1002 +tp3312 +a(g412 +g1357 +tp3313 +a(g839 +g1002 +tp3314 +a(g37 +g2354 +tp3315 +a(g705 +g1026 +tp3316 +a(g839 +V +p3317 +tp3318 +a(g8 +V// start from the beginning, do not push any stack\u000a +p3319 +tp3320 +a(g839 +V +p3321 +tp3322 +a(g744 +Vcontinue +p3323 +tp3324 +a(g705 +g1026 +tp3325 +a(g839 +V\u000a +p3326 +tp3327 +a(g839 +V +p3328 +tp3329 +a(g705 +g1024 +tp3330 +a(g839 +V\u000a +p3331 +tp3332 +a(g839 +V +p3333 +tp3334 +a(g435 +VStmtRes +p3335 +tp3336 +a(g826 +V:: +p3337 +tp3338 +a(g435 +VEnd +p3339 +tp3340 +a(g839 +V +p3341 +tp3342 +a(g412 +g1357 +tp3343 +a(g412 +g1325 +tp3344 +a(g839 +g1002 +tp3345 +a(g744 +Vbreak +p3346 +tp3347 +a(g705 +g1018 +tp3348 +a(g839 +V\u000a +p3349 +tp3350 +a(g839 +V +p3351 +tp3352 +a(g705 +g1024 +tp3353 +a(g839 +V\u000a +p3354 +tp3355 +a(g839 +V +p3356 +tp3357 +a(g705 +g1024 +tp3358 +a(g839 +V\u000a +p3359 +tp3360 +a(g839 +V +p3361 +tp3362 +a(g705 +g1024 +tp3363 +a(g839 +V\u000a +p3364 +tp3365 +a(g839 +V +p3366 +tp3367 +a(g8 +V// if we are on the line with the compiler bug, error out\u000a +p3368 +tp3369 +a(g839 +V +p3370 +tp3371 +a(g744 +Vif +p3372 +tp3373 +a(g839 +g1002 +tp3374 +a(g435 +Vpctr +p3375 +tp3376 +a(g839 +g1002 +tp3377 +a(g412 +g1357 +tp3378 +a(g412 +g1357 +tp3379 +a(g839 +g1002 +tp3380 +a(g693 +Vself +p3381 +tp3382 +a(g705 +g1421 +tp3383 +a(g435 +Vprogram +p3384 +tp3385 +a(g705 +g1421 +tp3386 +a(g435 +Vbugline +p3387 +tp3388 +a(g839 +g1002 +tp3389 +a(g744 +Vas +p3390 +tp3391 +a(g839 +g1002 +tp3392 +a(g748 +Vusize +p3393 +tp3394 +a(g839 +g1002 +tp3395 +a(g705 +g1013 +tp3396 +a(g839 +V\u000a +p3397 +tp3398 +a(g839 +V +p3399 +tp3400 +a(g744 +Vreturn +p3401 +tp3402 +a(g839 +g1002 +tp3403 +a(g435 +VIE774 +p3404 +tp3405 +a(g705 +g1421 +tp3406 +a(g435 +Verr_with +p3407 +tp3408 +a(g705 +g1261 +tp3409 +a(g681 +VNone +p3410 +tp3411 +a(g705 +g1018 +tp3412 +a(g839 +g1002 +tp3413 +a(g435 +Vstmt +p3414 +tp3415 +a(g705 +g1421 +tp3416 +a(g435 +Vprops +p3417 +tp3418 +a(g705 +g1421 +tp3419 +a(g435 +Vonthewayto +p3420 +tp3421 +a(g705 +g1265 +tp3422 +a(g705 +g1026 +tp3423 +a(g839 +V\u000a +p3424 +tp3425 +a(g839 +V +p3426 +tp3427 +a(g705 +g1024 +tp3428 +a(g839 +V\u000a +p3429 +tp3430 +a(g839 +V +p3431 +tp3432 +a(g8 +V// try to determine if we have to go to a COME FROM statement\u000a +p3433 +tp3434 +a(g839 +V +p3435 +tp3436 +a(g8 +V// (note: in general, program.stmts[pctr] != stmt)\u000a +p3437 +tp3438 +a(g839 +V +p3439 +tp3440 +a(g8 +V//\u000a +p3441 +tp3442 +a(g839 +V +p3443 +tp3444 +a(g8 +V// the static COME FROM is always a possibility\u000a +p3445 +tp3446 +a(g839 +V +p3447 +tp3448 +a(g809 +Vlet +p3449 +tp3450 +a(g839 +g1002 +tp3451 +a(g744 +Vmut +p3452 +tp3453 +a(g839 +g1002 +tp3454 +a(g435 +Vmaybe_next +p3455 +tp3456 +a(g839 +g1002 +tp3457 +a(g412 +g1357 +tp3458 +a(g839 +g1002 +tp3459 +a(g435 +Vprogram +p3460 +tp3461 +a(g705 +g1421 +tp3462 +a(g435 +Vstmts +p3463 +tp3464 +a(g705 +g2345 +tp3465 +a(g435 +Vpctr +p3466 +tp3467 +a(g705 +g1241 +tp3468 +a(g705 +g1421 +tp3469 +a(g435 +Vcomefrom +p3470 +tp3471 +a(g705 +g1026 +tp3472 +a(g839 +V\u000a +p3473 +tp3474 +a(g839 +V +p3475 +tp3476 +a(g8 +V// the complicated case: evaluate all computed-come-from expressions\u000a +p3477 +tp3478 +a(g839 +V +p3479 +tp3480 +a(g809 +Vlet +p3481 +tp3482 +a(g839 +g1002 +tp3483 +a(g435 +Vmy_label +p3484 +tp3485 +a(g839 +g1002 +tp3486 +a(g412 +g1357 +tp3487 +a(g839 +g1002 +tp3488 +a(g435 +Vprogram +p3489 +tp3490 +a(g705 +g1421 +tp3491 +a(g435 +Vstmts +p3492 +tp3493 +a(g705 +g2345 +tp3494 +a(g435 +Vpctr +p3495 +tp3496 +a(g705 +g1241 +tp3497 +a(g705 +g1421 +tp3498 +a(g435 +Vprops +p3499 +tp3500 +a(g705 +g1421 +tp3501 +a(g435 +Vlabel +p3502 +tp3503 +a(g705 +g1026 +tp3504 +a(g839 +V\u000a +p3505 +tp3506 +a(g839 +V +p3507 +tp3508 +a(g744 +Vif +p3509 +tp3510 +a(g839 +g1002 +tp3511 +a(g435 +Vprogram +p3512 +tp3513 +a(g705 +g1421 +tp3514 +a(g435 +Vuses_complex_comefrom +p3515 +tp3516 +a(g839 +g1002 +tp3517 +a(g412 +g1310 +tp3518 +a(g412 +g1310 +tp3519 +a(g839 +g1002 +tp3520 +a(g435 +Vmy_label +p3521 +tp3522 +a(g839 +g1002 +tp3523 +a(g412 +g1325 +tp3524 +a(g839 +g1002 +tp3525 +a(g37 +g2354 +tp3526 +a(g839 +g1002 +tp3527 +a(g705 +g1013 +tp3528 +a(g839 +V\u000a +p3529 +tp3530 +a(g839 +V +p3531 +tp3532 +a(g744 +Vfor +p3533 +tp3534 +a(g839 +g1002 +tp3535 +a(g705 +g1261 +tp3536 +a(g435 +g3049 +tp3537 +a(g705 +g1018 +tp3538 +a(g839 +g1002 +tp3539 +a(g435 +Vstmt +p3540 +tp3541 +a(g705 +g1265 +tp3542 +a(g839 +g1002 +tp3543 +a(g744 +Vin +p3544 +tp3545 +a(g839 +g1002 +tp3546 +a(g435 +Vprogram +p3547 +tp3548 +a(g705 +g1421 +tp3549 +a(g435 +Vstmts +p3550 +tp3551 +a(g705 +g1421 +tp3552 +a(g435 +Viter +p3553 +tp3554 +a(g705 +g1261 +tp3555 +a(g705 +g1265 +tp3556 +a(g705 +g1421 +tp3557 +a(g435 +Venumerate +p3558 +tp3559 +a(g705 +g1261 +tp3560 +a(g705 +g1265 +tp3561 +a(g839 +g1002 +tp3562 +a(g705 +g1013 +tp3563 +a(g839 +V\u000a +p3564 +tp3565 +a(g839 +V +p3566 +tp3567 +a(g744 +Vif +p3568 +tp3569 +a(g839 +g1002 +tp3570 +a(g809 +Vlet +p3571 +tp3572 +a(g839 +g1002 +tp3573 +a(g435 +VStmtBody +p3574 +tp3575 +a(g826 +V:: +p3576 +tp3577 +a(g435 +VComeFrom +p3578 +tp3579 +a(g705 +g1261 +tp3580 +a(g435 +VComeFrom +p3581 +tp3582 +a(g826 +V:: +p3583 +tp3584 +a(g435 +VExpr +p3585 +tp3586 +a(g705 +g1261 +tp3587 +a(g744 +Vref +p3588 +tp3589 +a(g839 +g1002 +tp3590 +a(g435 +Ve +p3591 +tp3592 +a(g705 +g1265 +tp3593 +a(g705 +g1265 +tp3594 +a(g839 +g1002 +tp3595 +a(g412 +g1357 +tp3596 +a(g839 +g1002 +tp3597 +a(g435 +Vstmt +p3598 +tp3599 +a(g705 +g1421 +tp3600 +a(g435 +Vbody +p3601 +tp3602 +a(g839 +g1002 +tp3603 +a(g705 +g1013 +tp3604 +a(g839 +V\u000a +p3605 +tp3606 +a(g839 +V +p3607 +tp3608 +a(g809 +Vlet +p3609 +tp3610 +a(g839 +g1002 +tp3611 +a(g435 +g1353 +tp3612 +a(g839 +g1002 +tp3613 +a(g412 +g1357 +tp3614 +a(g839 +g1002 +tp3615 +a(g435 +Vtry +p3616 +tp3617 +a(g412 +g2343 +tp3618 +a(g705 +g1261 +tp3619 +a(g435 +Vtry +p3620 +tp3621 +a(g412 +g2343 +tp3622 +a(g705 +g1261 +tp3623 +a(g693 +Vself +p3624 +tp3625 +a(g705 +g1421 +tp3626 +a(g435 +Veval_expr +p3627 +tp3628 +a(g705 +g1261 +tp3629 +a(g435 +g3591 +tp3630 +a(g705 +g1265 +tp3631 +a(g705 +g1265 +tp3632 +a(g705 +g1421 +tp3633 +a(g435 +Vas_u16 +p3634 +tp3635 +a(g705 +g1261 +tp3636 +a(g705 +g1265 +tp3637 +a(g705 +g1265 +tp3638 +a(g705 +g1026 +tp3639 +a(g839 +V\u000a +p3640 +tp3641 +a(g839 +V +p3642 +tp3643 +a(g744 +Vif +p3644 +tp3645 +a(g839 +g1002 +tp3646 +a(g435 +g1353 +tp3647 +a(g839 +g1002 +tp3648 +a(g412 +g1357 +tp3649 +a(g412 +g1357 +tp3650 +a(g839 +g1002 +tp3651 +a(g435 +Vmy_label +p3652 +tp3653 +a(g839 +g1002 +tp3654 +a(g705 +g1013 +tp3655 +a(g839 +V\u000a +p3656 +tp3657 +a(g839 +V +p3658 +tp3659 +a(g8 +V// as soon as we have multiple candidates, we can bail out\u000a +p3660 +tp3661 +a(g839 +V +p3662 +tp3663 +a(g744 +Vif +p3664 +tp3665 +a(g839 +g1002 +tp3666 +a(g435 +Vmaybe_next +p3667 +tp3668 +a(g705 +g1421 +tp3669 +a(g435 +Vis_some +p3670 +tp3671 +a(g705 +g1261 +tp3672 +a(g705 +g1265 +tp3673 +a(g839 +g1002 +tp3674 +a(g705 +g1013 +tp3675 +a(g839 +V\u000a +p3676 +tp3677 +a(g839 +V +p3678 +tp3679 +a(g744 +Vreturn +p3680 +tp3681 +a(g839 +g1002 +tp3682 +a(g435 +VIE555 +p3683 +tp3684 +a(g705 +g1421 +tp3685 +a(g435 +Verr +p3686 +tp3687 +a(g705 +g1261 +tp3688 +a(g705 +g1265 +tp3689 +a(g705 +g1026 +tp3690 +a(g839 +V\u000a +p3691 +tp3692 +a(g839 +V +p3693 +tp3694 +a(g705 +g1024 +tp3695 +a(g839 +V\u000a +p3696 +tp3697 +a(g839 +V +p3698 +tp3699 +a(g435 +Vmaybe_next +p3700 +tp3701 +a(g839 +g1002 +tp3702 +a(g412 +g1357 +tp3703 +a(g839 +g1002 +tp3704 +a(g681 +VSome +p3705 +tp3706 +a(g705 +g1261 +tp3707 +a(g435 +g3049 +tp3708 +a(g839 +g1002 +tp3709 +a(g744 +Vas +p3710 +tp3711 +a(g839 +g1002 +tp3712 +a(g748 +Vu16 +p3713 +tp3714 +a(g705 +g1265 +tp3715 +a(g705 +g1026 +tp3716 +a(g839 +V\u000a +p3717 +tp3718 +a(g839 +V +p3719 +tp3720 +a(g705 +g1024 +tp3721 +a(g839 +V\u000a +p3722 +tp3723 +a(g839 +V +p3724 +tp3725 +a(g705 +g1024 +tp3726 +a(g839 +V\u000a +p3727 +tp3728 +a(g839 +V +p3729 +tp3730 +a(g705 +g1024 +tp3731 +a(g839 +V\u000a +p3732 +tp3733 +a(g839 +V +p3734 +tp3735 +a(g705 +g1024 +tp3736 +a(g839 +V\u000a +p3737 +tp3738 +a(g839 +V +p3739 +tp3740 +a(g8 +V// check for COME FROMs from this line\u000a +p3741 +tp3742 +a(g839 +V +p3743 +tp3744 +a(g744 +Vif +p3745 +tp3746 +a(g839 +g1002 +tp3747 +a(g809 +Vlet +p3748 +tp3749 +a(g839 +g1002 +tp3750 +a(g681 +VSome +p3751 +tp3752 +a(g705 +g1261 +tp3753 +a(g435 +Vnext +p3754 +tp3755 +a(g705 +g1265 +tp3756 +a(g839 +g1002 +tp3757 +a(g412 +g1357 +tp3758 +a(g839 +g1002 +tp3759 +a(g435 +Vmaybe_next +p3760 +tp3761 +a(g839 +g1002 +tp3762 +a(g705 +g1013 +tp3763 +a(g839 +V\u000a +p3764 +tp3765 +a(g839 +V +p3766 +tp3767 +a(g809 +Vlet +p3768 +tp3769 +a(g839 +g1002 +tp3770 +a(g435 +Vnext +p3771 +tp3772 +a(g839 +g1002 +tp3773 +a(g412 +g1357 +tp3774 +a(g839 +g1002 +tp3775 +a(g435 +Vnext +p3776 +tp3777 +a(g839 +g1002 +tp3778 +a(g744 +Vas +p3779 +tp3780 +a(g839 +g1002 +tp3781 +a(g748 +Vusize +p3782 +tp3783 +a(g705 +g1026 +tp3784 +a(g839 +V\u000a +p3785 +tp3786 +a(g839 +V +p3787 +tp3788 +a(g8 +V// check for abstained COME FROM\u000a +p3789 +tp3790 +a(g839 +V +p3791 +tp3792 +a(g744 +Vif +p3793 +tp3794 +a(g839 +g1002 +tp3795 +a(g693 +Vself +p3796 +tp3797 +a(g705 +g1421 +tp3798 +a(g435 +Vabstain +p3799 +tp3800 +a(g705 +g2345 +tp3801 +a(g435 +Vnext +p3802 +tp3803 +a(g705 +g1241 +tp3804 +a(g839 +g1002 +tp3805 +a(g412 +g1357 +tp3806 +a(g412 +g1357 +tp3807 +a(g839 +g1002 +tp3808 +a(g37 +g2354 +tp3809 +a(g839 +g1002 +tp3810 +a(g705 +g1013 +tp3811 +a(g839 +V\u000a +p3812 +tp3813 +a(g839 +V +p3814 +tp3815 +a(g8 +V// the COME FROM can also have a % chance\u000a +p3816 +tp3817 +a(g839 +V +p3818 +tp3819 +a(g809 +Vlet +p3820 +tp3821 +a(g839 +g1002 +tp3822 +a(g705 +g1261 +tp3823 +a(g435 +Vpassed +p3824 +tp3825 +a(g705 +g1018 +tp3826 +a(g839 +g1002 +tp3827 +a(g435 +Vrand_st +p3828 +tp3829 +a(g705 +g1265 +tp3830 +a(g839 +g1002 +tp3831 +a(g412 +g1357 +tp3832 +a(g839 +g1002 +tp3833 +a(g435 +Vcheck_chance +p3834 +tp3835 +a(g705 +g1261 +tp3836 +a(g435 +Vprogram +p3837 +tp3838 +a(g705 +g1421 +tp3839 +a(g435 +Vstmts +p3840 +tp3841 +a(g705 +g2345 +tp3842 +a(g435 +Vnext +p3843 +tp3844 +a(g705 +g1241 +tp3845 +a(g705 +g1421 +tp3846 +a(g435 +Vprops +p3847 +tp3848 +a(g705 +g1421 +tp3849 +a(g435 +Vchance +p3850 +tp3851 +a(g705 +g1018 +tp3852 +a(g839 +V\u000a +p3853 +tp3854 +a(g839 +V +p3855 +tp3856 +a(g693 +Vself +p3857 +tp3858 +a(g705 +g1421 +tp3859 +a(g435 +Vrand_st +p3860 +tp3861 +a(g705 +g1265 +tp3862 +a(g705 +g1026 +tp3863 +a(g839 +V\u000a +p3864 +tp3865 +a(g839 +V +p3866 +tp3867 +a(g693 +Vself +p3868 +tp3869 +a(g705 +g1421 +tp3870 +a(g435 +Vrand_st +p3871 +tp3872 +a(g839 +g1002 +tp3873 +a(g412 +g1357 +tp3874 +a(g839 +g1002 +tp3875 +a(g435 +Vrand_st +p3876 +tp3877 +a(g705 +g1026 +tp3878 +a(g839 +V\u000a +p3879 +tp3880 +a(g839 +V +p3881 +tp3882 +a(g744 +Vif +p3883 +tp3884 +a(g839 +g1002 +tp3885 +a(g435 +Vpassed +p3886 +tp3887 +a(g839 +g1002 +tp3888 +a(g705 +g1013 +tp3889 +a(g839 +V\u000a +p3890 +tp3891 +a(g839 +V +p3892 +tp3893 +a(g435 +Vpctr +p3894 +tp3895 +a(g839 +g1002 +tp3896 +a(g412 +g1357 +tp3897 +a(g839 +g1002 +tp3898 +a(g435 +Vnext +p3899 +tp3900 +a(g705 +g1026 +tp3901 +a(g839 +V\u000a +p3902 +tp3903 +a(g839 +V +p3904 +tp3905 +a(g744 +Vcontinue +p3906 +tp3907 +a(g705 +g1026 +tp3908 +a(g839 +V\u000a +p3909 +tp3910 +a(g839 +V +p3911 +tp3912 +a(g705 +g1024 +tp3913 +a(g839 +V\u000a +p3914 +tp3915 +a(g839 +V +p3916 +tp3917 +a(g705 +g1024 +tp3918 +a(g839 +V\u000a +p3919 +tp3920 +a(g839 +V +p3921 +tp3922 +a(g705 +g1024 +tp3923 +a(g839 +V\u000a +p3924 +tp3925 +a(g839 +V +p3926 +tp3927 +a(g8 +V// no COME FROM, normal execution\u000a +p3928 +tp3929 +a(g839 +V +p3930 +tp3931 +a(g435 +Vpctr +p3932 +tp3933 +a(g839 +g1002 +tp3934 +a(g412 +g2806 +tp3935 +a(g412 +g1357 +tp3936 +a(g839 +g1002 +tp3937 +a(g37 +g2392 +tp3938 +a(g705 +g1026 +tp3939 +a(g839 +V\u000a +p3940 +tp3941 +a(g839 +V +p3942 +tp3943 +a(g705 +g1024 +tp3944 +a(g839 +V\u000a +p3945 +tp3946 +a(g839 +V +p3947 +tp3948 +a(g681 +VOk +p3949 +tp3950 +a(g705 +g1261 +tp3951 +a(g693 +Vself +p3952 +tp3953 +a(g705 +g1421 +tp3954 +a(g435 +Vstmt_ctr +p3955 +tp3956 +a(g705 +g1265 +tp3957 +a(g839 +V\u000a +p3958 +tp3959 +a(g839 +V +p3960 +tp3961 +a(g705 +g1024 +tp3962 +a(g839 +V\u000a +p3963 +tp3964 +a(g839 +V\u000a +p3965 +tp3966 +a(g839 +V +p3967 +tp3968 +a(g222 +V/// Interpret a single statement.\u000a +p3969 +tp3970 +a(g839 +V +p3971 +tp3972 +a(g744 +Vfn +p3973 +tp3974 +a(g826 +g1002 +tp3975 +a(g573 +Veval_stmt +p3976 +tp3977 +a(g705 +g1261 +tp3978 +a(g412 +g1310 +tp3979 +a(g744 +Vmut +p3980 +tp3981 +a(g839 +g1002 +tp3982 +a(g693 +Vself +p3983 +tp3984 +a(g705 +g1018 +tp3985 +a(g839 +g1002 +tp3986 +a(g435 +Vstmt +p3987 +tp3988 +a(g826 +g1010 +tp3989 +a(g826 +g1002 +tp3990 +a(g785 +g1310 +tp3991 +a(g628 +VStmt +p3992 +tp3993 +a(g705 +g1265 +tp3994 +a(g839 +g1002 +tp3995 +a(g826 +V-> +p3996 +tp3997 +a(g826 +g1002 +tp3998 +a(g628 +VRes +p3999 +tp4000 +a(g412 +g1321 +tp4001 +a(g435 +VStmtRes +p4002 +tp4003 +a(g412 +g1325 +tp4004 +a(g839 +g1002 +tp4005 +a(g705 +g1013 +tp4006 +a(g839 +V\u000a +p4007 +tp4008 +a(g839 +V +p4009 +tp4010 +a(g744 +Vif +p4011 +tp4012 +a(g839 +g1002 +tp4013 +a(g693 +Vself +p4014 +tp4015 +a(g705 +g1421 +tp4016 +a(g435 +Vdebug +p4017 +tp4018 +a(g839 +g1002 +tp4019 +a(g705 +g1013 +tp4020 +a(g839 +V\u000a +p4021 +tp4022 +a(g839 +V +p4023 +tp4024 +a(g435 +Vprintln +p4025 +tp4026 +a(g412 +g2343 +tp4027 +a(g705 +g1261 +tp4028 +a(g89 +V" +p4029 +tp4030 +a(g213 +V\u005cn +p4031 +tp4032 +a(g89 +VExecuting Stmt #{} (state before following) +p4033 +tp4034 +a(g89 +g4029 +tp4035 +a(g705 +g1018 +tp4036 +a(g839 +g1002 +tp4037 +a(g693 +Vself +p4038 +tp4039 +a(g705 +g1421 +tp4040 +a(g435 +Vstmt_ctr +p4041 +tp4042 +a(g705 +g1265 +tp4043 +a(g705 +g1026 +tp4044 +a(g839 +V\u000a +p4045 +tp4046 +a(g839 +V +p4047 +tp4048 +a(g693 +Vself +p4049 +tp4050 +a(g705 +g1421 +tp4051 +a(g435 +Vdump_state +p4052 +tp4053 +a(g705 +g1261 +tp4054 +a(g705 +g1265 +tp4055 +a(g705 +g1026 +tp4056 +a(g839 +V\u000a +p4057 +tp4058 +a(g839 +V +p4059 +tp4060 +a(g435 +Vprintln +p4061 +tp4062 +a(g412 +g2343 +tp4063 +a(g705 +g1261 +tp4064 +a(g89 +g4029 +tp4065 +a(g89 +V{} +p4066 +tp4067 +a(g89 +g4029 +tp4068 +a(g705 +g1018 +tp4069 +a(g839 +g1002 +tp4070 +a(g435 +Vstmt +p4071 +tp4072 +a(g705 +g1265 +tp4073 +a(g705 +g1026 +tp4074 +a(g839 +V\u000a +p4075 +tp4076 +a(g839 +V +p4077 +tp4078 +a(g705 +g1024 +tp4079 +a(g839 +V\u000a +p4080 +tp4081 +a(g839 +V +p4082 +tp4083 +a(g744 +Vmatch +p4084 +tp4085 +a(g839 +g1002 +tp4086 +a(g435 +Vstmt +p4087 +tp4088 +a(g705 +g1421 +tp4089 +a(g435 +Vbody +p4090 +tp4091 +a(g839 +g1002 +tp4092 +a(g705 +g1013 +tp4093 +a(g839 +V\u000a +p4094 +tp4095 +a(g839 +V +p4096 +tp4097 +a(g435 +VStmtBody +p4098 +tp4099 +a(g826 +V:: +p4100 +tp4101 +a(g435 +VCalc +p4102 +tp4103 +a(g705 +g1261 +tp4104 +a(g744 +Vref +p4105 +tp4106 +a(g839 +g1002 +tp4107 +a(g435 +Vvar +p4108 +tp4109 +a(g705 +g1018 +tp4110 +a(g839 +g1002 +tp4111 +a(g744 +Vref +p4112 +tp4113 +a(g839 +g1002 +tp4114 +a(g435 +Vexpr +p4115 +tp4116 +a(g705 +g1265 +tp4117 +a(g839 +g1002 +tp4118 +a(g412 +g1357 +tp4119 +a(g412 +g1325 +tp4120 +a(g839 +g1002 +tp4121 +a(g705 +g1013 +tp4122 +a(g839 +V\u000a +p4123 +tp4124 +a(g839 +V +p4125 +tp4126 +a(g809 +Vlet +p4127 +tp4128 +a(g839 +g1002 +tp4129 +a(g435 +Vval +p4130 +tp4131 +a(g839 +g1002 +tp4132 +a(g412 +g1357 +tp4133 +a(g839 +g1002 +tp4134 +a(g435 +Vtry +p4135 +tp4136 +a(g412 +g2343 +tp4137 +a(g705 +g1261 +tp4138 +a(g693 +Vself +p4139 +tp4140 +a(g705 +g1421 +tp4141 +a(g435 +Veval_expr +p4142 +tp4143 +a(g705 +g1261 +tp4144 +a(g435 +Vexpr +p4145 +tp4146 +a(g705 +g1265 +tp4147 +a(g705 +g1265 +tp4148 +a(g705 +g1026 +tp4149 +a(g839 +V\u000a +p4150 +tp4151 +a(g839 +V +p4152 +tp4153 +a(g435 +Vtry +p4154 +tp4155 +a(g412 +g2343 +tp4156 +a(g705 +g1261 +tp4157 +a(g693 +Vself +p4158 +tp4159 +a(g705 +g1421 +tp4160 +a(g435 +Vassign +p4161 +tp4162 +a(g705 +g1261 +tp4163 +a(g435 +Vvar +p4164 +tp4165 +a(g705 +g1018 +tp4166 +a(g839 +g1002 +tp4167 +a(g435 +Vval +p4168 +tp4169 +a(g705 +g1265 +tp4170 +a(g705 +g1265 +tp4171 +a(g705 +g1026 +tp4172 +a(g839 +V\u000a +p4173 +tp4174 +a(g839 +V +p4175 +tp4176 +a(g681 +VOk +p4177 +tp4178 +a(g705 +g1261 +tp4179 +a(g435 +VStmtRes +p4180 +tp4181 +a(g826 +V:: +p4182 +tp4183 +a(g435 +VNext +p4184 +tp4185 +a(g705 +g1265 +tp4186 +a(g839 +V\u000a +p4187 +tp4188 +a(g839 +V +p4189 +tp4190 +a(g705 +g1024 +tp4191 +a(g839 +V\u000a +p4192 +tp4193 +a(g839 +V +p4194 +tp4195 +a(g435 +VStmtBody +p4196 +tp4197 +a(g826 +V:: +p4198 +tp4199 +a(g435 +VDim +p4200 +tp4201 +a(g705 +g1261 +tp4202 +a(g744 +Vref +p4203 +tp4204 +a(g839 +g1002 +tp4205 +a(g435 +Vvar +p4206 +tp4207 +a(g705 +g1018 +tp4208 +a(g839 +g1002 +tp4209 +a(g744 +Vref +p4210 +tp4211 +a(g839 +g1002 +tp4212 +a(g435 +Vexprs +p4213 +tp4214 +a(g705 +g1265 +tp4215 +a(g839 +g1002 +tp4216 +a(g412 +g1357 +tp4217 +a(g412 +g1325 +tp4218 +a(g839 +g1002 +tp4219 +a(g705 +g1013 +tp4220 +a(g839 +V\u000a +p4221 +tp4222 +a(g839 +V +p4223 +tp4224 +a(g435 +Vtry +p4225 +tp4226 +a(g412 +g2343 +tp4227 +a(g705 +g1261 +tp4228 +a(g693 +Vself +p4229 +tp4230 +a(g705 +g1421 +tp4231 +a(g435 +Varray_dim +p4232 +tp4233 +a(g705 +g1261 +tp4234 +a(g435 +Vvar +p4235 +tp4236 +a(g705 +g1018 +tp4237 +a(g839 +g1002 +tp4238 +a(g435 +Vexprs +p4239 +tp4240 +a(g705 +g1265 +tp4241 +a(g705 +g1265 +tp4242 +a(g705 +g1026 +tp4243 +a(g839 +V\u000a +p4244 +tp4245 +a(g839 +V +p4246 +tp4247 +a(g681 +VOk +p4248 +tp4249 +a(g705 +g1261 +tp4250 +a(g435 +VStmtRes +p4251 +tp4252 +a(g826 +V:: +p4253 +tp4254 +a(g435 +VNext +p4255 +tp4256 +a(g705 +g1265 +tp4257 +a(g839 +V\u000a +p4258 +tp4259 +a(g839 +V +p4260 +tp4261 +a(g705 +g1024 +tp4262 +a(g839 +V\u000a +p4263 +tp4264 +a(g839 +V +p4265 +tp4266 +a(g435 +VStmtBody +p4267 +tp4268 +a(g826 +V:: +p4269 +tp4270 +a(g435 +VDoNext +p4271 +tp4272 +a(g705 +g1261 +tp4273 +a(g435 +g3023 +tp4274 +a(g705 +g1265 +tp4275 +a(g839 +g1002 +tp4276 +a(g412 +g1357 +tp4277 +a(g412 +g1325 +tp4278 +a(g839 +g1002 +tp4279 +a(g705 +g1013 +tp4280 +a(g839 +V\u000a +p4281 +tp4282 +a(g839 +V +p4283 +tp4284 +a(g744 +Vmatch +p4285 +tp4286 +a(g839 +g1002 +tp4287 +a(g693 +Vself +p4288 +tp4289 +a(g705 +g1421 +tp4290 +a(g435 +Vprogram +p4291 +tp4292 +a(g705 +g1421 +tp4293 +a(g435 +Vlabels +p4294 +tp4295 +a(g705 +g1421 +tp4296 +a(g435 +Vget +p4297 +tp4298 +a(g705 +g1261 +tp4299 +a(g412 +g1310 +tp4300 +a(g435 +g3023 +tp4301 +a(g705 +g1265 +tp4302 +a(g839 +g1002 +tp4303 +a(g705 +g1013 +tp4304 +a(g839 +V\u000a +p4305 +tp4306 +a(g839 +V +p4307 +tp4308 +a(g8 +V// too many jumps on stack already?\u000a +p4309 +tp4310 +a(g839 +V +p4311 +tp4312 +a(g681 +VSome +p4313 +tp4314 +a(g705 +g1261 +tp4315 +a(g435 +V_ +p4316 +tp4317 +a(g705 +g1265 +tp4318 +a(g839 +g1002 +tp4319 +a(g744 +Vif +p4320 +tp4321 +a(g839 +g1002 +tp4322 +a(g693 +Vself +p4323 +tp4324 +a(g705 +g1421 +tp4325 +a(g435 +Vjumps +p4326 +tp4327 +a(g705 +g1421 +tp4328 +a(g435 +Vlen +p4329 +tp4330 +a(g705 +g1261 +tp4331 +a(g705 +g1265 +tp4332 +a(g839 +g1002 +tp4333 +a(g412 +g1325 +tp4334 +a(g412 +g1357 +tp4335 +a(g839 +g1002 +tp4336 +a(g37 +V80 +p4337 +tp4338 +a(g839 +g1002 +tp4339 +a(g412 +g1357 +tp4340 +a(g412 +g1325 +tp4341 +a(g839 +g1002 +tp4342 +a(g435 +VIE123 +p4343 +tp4344 +a(g705 +g1421 +tp4345 +a(g435 +Verr +p4346 +tp4347 +a(g705 +g1261 +tp4348 +a(g705 +g1265 +tp4349 +a(g705 +g1018 +tp4350 +a(g839 +V\u000a +p4351 +tp4352 +a(g839 +V +p4353 +tp4354 +a(g681 +VSome +p4355 +tp4356 +a(g705 +g1261 +tp4357 +a(g435 +g3049 +tp4358 +a(g705 +g1265 +tp4359 +a(g839 +V +p4360 +tp4361 +a(g412 +g1357 +tp4362 +a(g412 +g1325 +tp4363 +a(g839 +g1002 +tp4364 +a(g681 +VOk +p4365 +tp4366 +a(g705 +g1261 +tp4367 +a(g435 +VStmtRes +p4368 +tp4369 +a(g826 +V:: +p4370 +tp4371 +a(g435 +VJump +p4372 +tp4373 +a(g705 +g1261 +tp4374 +a(g412 +g1336 +tp4375 +a(g435 +g3049 +tp4376 +a(g839 +g1002 +tp4377 +a(g744 +Vas +p4378 +tp4379 +a(g839 +g1002 +tp4380 +a(g748 +Vusize +p4381 +tp4382 +a(g705 +g1265 +tp4383 +a(g705 +g1265 +tp4384 +a(g705 +g1018 +tp4385 +a(g839 +V\u000a +p4386 +tp4387 +a(g839 +V +p4388 +tp4389 +a(g681 +VNone +p4390 +tp4391 +a(g839 +V +p4392 +tp4393 +a(g412 +g1357 +tp4394 +a(g412 +g1325 +tp4395 +a(g839 +g1002 +tp4396 +a(g435 +VIE129 +p4397 +tp4398 +a(g705 +g1421 +tp4399 +a(g435 +Verr +p4400 +tp4401 +a(g705 +g1261 +tp4402 +a(g705 +g1265 +tp4403 +a(g705 +g1018 +tp4404 +a(g839 +V\u000a +p4405 +tp4406 +a(g839 +V +p4407 +tp4408 +a(g705 +g1024 +tp4409 +a(g839 +V\u000a +p4410 +tp4411 +a(g839 +V +p4412 +tp4413 +a(g705 +g1024 +tp4414 +a(g839 +V\u000a +p4415 +tp4416 +a(g839 +V +p4417 +tp4418 +a(g435 +VStmtBody +p4419 +tp4420 +a(g826 +V:: +p4421 +tp4422 +a(g435 +VComeFrom +p4423 +tp4424 +a(g705 +g1261 +tp4425 +a(g435 +g4316 +tp4426 +a(g705 +g1265 +tp4427 +a(g839 +g1002 +tp4428 +a(g412 +g1357 +tp4429 +a(g412 +g1325 +tp4430 +a(g839 +g1002 +tp4431 +a(g705 +g1013 +tp4432 +a(g839 +V\u000a +p4433 +tp4434 +a(g839 +V +p4435 +tp4436 +a(g8 +V// nothing to do here at runtime\u000a +p4437 +tp4438 +a(g839 +V +p4439 +tp4440 +a(g681 +VOk +p4441 +tp4442 +a(g705 +g1261 +tp4443 +a(g435 +VStmtRes +p4444 +tp4445 +a(g826 +V:: +p4446 +tp4447 +a(g435 +VNext +p4448 +tp4449 +a(g705 +g1265 +tp4450 +a(g839 +V\u000a +p4451 +tp4452 +a(g839 +V +p4453 +tp4454 +a(g705 +g1024 +tp4455 +a(g839 +V\u000a +p4456 +tp4457 +a(g839 +V +p4458 +tp4459 +a(g435 +VStmtBody +p4460 +tp4461 +a(g826 +V:: +p4462 +tp4463 +a(g435 +VResume +p4464 +tp4465 +a(g705 +g1261 +tp4466 +a(g744 +Vref +p4467 +tp4468 +a(g839 +g1002 +tp4469 +a(g435 +Vexpr +p4470 +tp4471 +a(g705 +g1265 +tp4472 +a(g839 +g1002 +tp4473 +a(g412 +g1357 +tp4474 +a(g412 +g1325 +tp4475 +a(g839 +g1002 +tp4476 +a(g705 +g1013 +tp4477 +a(g839 +V\u000a +p4478 +tp4479 +a(g839 +V +p4480 +tp4481 +a(g809 +Vlet +p4482 +tp4483 +a(g839 +g1002 +tp4484 +a(g435 +g3023 +tp4485 +a(g839 +g1002 +tp4486 +a(g412 +g1357 +tp4487 +a(g839 +g1002 +tp4488 +a(g435 +Vtry +p4489 +tp4490 +a(g412 +g2343 +tp4491 +a(g705 +g1261 +tp4492 +a(g693 +Vself +p4493 +tp4494 +a(g705 +g1421 +tp4495 +a(g435 +Veval_expr +p4496 +tp4497 +a(g705 +g1261 +tp4498 +a(g435 +Vexpr +p4499 +tp4500 +a(g705 +g1265 +tp4501 +a(g705 +g1265 +tp4502 +a(g705 +g1421 +tp4503 +a(g435 +Vas_u32 +p4504 +tp4505 +a(g705 +g1261 +tp4506 +a(g705 +g1265 +tp4507 +a(g705 +g1026 +tp4508 +a(g839 +V\u000a +p4509 +tp4510 +a(g839 +V +p4511 +tp4512 +a(g8 +V// this expect() is safe: if the third arg is true, there will\u000a +p4513 +tp4514 +a(g839 +V +p4515 +tp4516 +a(g8 +V// be no Ok(None) returns\u000a +p4517 +tp4518 +a(g839 +V +p4519 +tp4520 +a(g809 +Vlet +p4521 +tp4522 +a(g839 +g1002 +tp4523 +a(g435 +Vnext +p4524 +tp4525 +a(g839 +g1002 +tp4526 +a(g412 +g1357 +tp4527 +a(g839 +g1002 +tp4528 +a(g435 +Vtry +p4529 +tp4530 +a(g412 +g2343 +tp4531 +a(g705 +g1261 +tp4532 +a(g435 +Vpop_jumps +p4533 +tp4534 +a(g705 +g1261 +tp4535 +a(g412 +g1310 +tp4536 +a(g744 +Vmut +p4537 +tp4538 +a(g839 +g1002 +tp4539 +a(g693 +Vself +p4540 +tp4541 +a(g705 +g1421 +tp4542 +a(g435 +Vjumps +p4543 +tp4544 +a(g705 +g1018 +tp4545 +a(g839 +g1002 +tp4546 +a(g435 +g3023 +tp4547 +a(g705 +g1018 +tp4548 +a(g839 +g1002 +tp4549 +a(g763 +Vtrue +p4550 +tp4551 +a(g705 +g1018 +tp4552 +a(g839 +g1002 +tp4553 +a(g37 +g2354 +tp4554 +a(g705 +g1265 +tp4555 +a(g705 +g1265 +tp4556 +a(g839 +V\u000a +p4557 +tp4558 +a(g839 +V +p4559 +tp4560 +a(g705 +g1421 +tp4561 +a(g435 +Vexpect +p4562 +tp4563 +a(g705 +g1261 +tp4564 +a(g89 +g4029 +tp4565 +a(g89 +Vhttps://xkcd.com/378/ ?! +p4566 +tp4567 +a(g89 +g4029 +tp4568 +a(g705 +g1265 +tp4569 +a(g705 +g1026 +tp4570 +a(g839 +V\u000a +p4571 +tp4572 +a(g839 +V +p4573 +tp4574 +a(g681 +VOk +p4575 +tp4576 +a(g705 +g1261 +tp4577 +a(g435 +VStmtRes +p4578 +tp4579 +a(g826 +V:: +p4580 +tp4581 +a(g435 +VBack +p4582 +tp4583 +a(g705 +g1261 +tp4584 +a(g435 +Vnext +p4585 +tp4586 +a(g839 +g1002 +tp4587 +a(g744 +Vas +p4588 +tp4589 +a(g839 +g1002 +tp4590 +a(g748 +Vusize +p4591 +tp4592 +a(g705 +g1265 +tp4593 +a(g705 +g1265 +tp4594 +a(g839 +V\u000a +p4595 +tp4596 +a(g839 +V +p4597 +tp4598 +a(g705 +g1024 +tp4599 +a(g839 +V\u000a +p4600 +tp4601 +a(g839 +V +p4602 +tp4603 +a(g435 +VStmtBody +p4604 +tp4605 +a(g826 +V:: +p4606 +tp4607 +a(g435 +VForget +p4608 +tp4609 +a(g705 +g1261 +tp4610 +a(g744 +Vref +p4611 +tp4612 +a(g839 +g1002 +tp4613 +a(g435 +Vexpr +p4614 +tp4615 +a(g705 +g1265 +tp4616 +a(g839 +g1002 +tp4617 +a(g412 +g1357 +tp4618 +a(g412 +g1325 +tp4619 +a(g839 +g1002 +tp4620 +a(g705 +g1013 +tp4621 +a(g839 +V\u000a +p4622 +tp4623 +a(g839 +V +p4624 +tp4625 +a(g809 +Vlet +p4626 +tp4627 +a(g839 +g1002 +tp4628 +a(g435 +g3023 +tp4629 +a(g839 +g1002 +tp4630 +a(g412 +g1357 +tp4631 +a(g839 +g1002 +tp4632 +a(g435 +Vtry +p4633 +tp4634 +a(g412 +g2343 +tp4635 +a(g705 +g1261 +tp4636 +a(g693 +Vself +p4637 +tp4638 +a(g705 +g1421 +tp4639 +a(g435 +Veval_expr +p4640 +tp4641 +a(g705 +g1261 +tp4642 +a(g435 +Vexpr +p4643 +tp4644 +a(g705 +g1265 +tp4645 +a(g705 +g1265 +tp4646 +a(g705 +g1421 +tp4647 +a(g435 +Vas_u32 +p4648 +tp4649 +a(g705 +g1261 +tp4650 +a(g705 +g1265 +tp4651 +a(g705 +g1026 +tp4652 +a(g839 +V\u000a +p4653 +tp4654 +a(g839 +V +p4655 +tp4656 +a(g435 +Vtry +p4657 +tp4658 +a(g412 +g2343 +tp4659 +a(g705 +g1261 +tp4660 +a(g435 +Vpop_jumps +p4661 +tp4662 +a(g705 +g1261 +tp4663 +a(g412 +g1310 +tp4664 +a(g744 +Vmut +p4665 +tp4666 +a(g839 +g1002 +tp4667 +a(g693 +Vself +p4668 +tp4669 +a(g705 +g1421 +tp4670 +a(g435 +Vjumps +p4671 +tp4672 +a(g705 +g1018 +tp4673 +a(g839 +g1002 +tp4674 +a(g435 +g3023 +tp4675 +a(g705 +g1018 +tp4676 +a(g839 +g1002 +tp4677 +a(g763 +Vfalse +p4678 +tp4679 +a(g705 +g1018 +tp4680 +a(g839 +g1002 +tp4681 +a(g37 +g2354 +tp4682 +a(g705 +g1265 +tp4683 +a(g705 +g1265 +tp4684 +a(g705 +g1026 +tp4685 +a(g839 +V\u000a +p4686 +tp4687 +a(g839 +V +p4688 +tp4689 +a(g681 +VOk +p4690 +tp4691 +a(g705 +g1261 +tp4692 +a(g435 +VStmtRes +p4693 +tp4694 +a(g826 +V:: +p4695 +tp4696 +a(g435 +VNext +p4697 +tp4698 +a(g705 +g1265 +tp4699 +a(g839 +V\u000a +p4700 +tp4701 +a(g839 +V +p4702 +tp4703 +a(g705 +g1024 +tp4704 +a(g839 +V\u000a +p4705 +tp4706 +a(g839 +V +p4707 +tp4708 +a(g435 +VStmtBody +p4709 +tp4710 +a(g826 +V:: +p4711 +tp4712 +a(g435 +VIgnore +p4713 +tp4714 +a(g705 +g1261 +tp4715 +a(g744 +Vref +p4716 +tp4717 +a(g839 +g1002 +tp4718 +a(g435 +Vvars +p4719 +tp4720 +a(g705 +g1265 +tp4721 +a(g839 +g1002 +tp4722 +a(g412 +g1357 +tp4723 +a(g412 +g1325 +tp4724 +a(g839 +g1002 +tp4725 +a(g705 +g1013 +tp4726 +a(g839 +V\u000a +p4727 +tp4728 +a(g839 +V +p4729 +tp4730 +a(g744 +Vfor +p4731 +tp4732 +a(g839 +g1002 +tp4733 +a(g435 +Vvar +p4734 +tp4735 +a(g839 +g1002 +tp4736 +a(g744 +Vin +p4737 +tp4738 +a(g839 +g1002 +tp4739 +a(g435 +Vvars +p4740 +tp4741 +a(g839 +g1002 +tp4742 +a(g705 +g1013 +tp4743 +a(g839 +V\u000a +p4744 +tp4745 +a(g839 +V +p4746 +tp4747 +a(g693 +Vself +p4748 +tp4749 +a(g705 +g1421 +tp4750 +a(g435 +Vset_rw +p4751 +tp4752 +a(g705 +g1261 +tp4753 +a(g435 +Vvar +p4754 +tp4755 +a(g705 +g1018 +tp4756 +a(g839 +g1002 +tp4757 +a(g763 +Vfalse +p4758 +tp4759 +a(g705 +g1265 +tp4760 +a(g705 +g1026 +tp4761 +a(g839 +V\u000a +p4762 +tp4763 +a(g839 +V +p4764 +tp4765 +a(g705 +g1024 +tp4766 +a(g839 +V\u000a +p4767 +tp4768 +a(g839 +V +p4769 +tp4770 +a(g681 +VOk +p4771 +tp4772 +a(g705 +g1261 +tp4773 +a(g435 +VStmtRes +p4774 +tp4775 +a(g826 +V:: +p4776 +tp4777 +a(g435 +VNext +p4778 +tp4779 +a(g705 +g1265 +tp4780 +a(g839 +V\u000a +p4781 +tp4782 +a(g839 +V +p4783 +tp4784 +a(g705 +g1024 +tp4785 +a(g839 +V\u000a +p4786 +tp4787 +a(g839 +V +p4788 +tp4789 +a(g435 +VStmtBody +p4790 +tp4791 +a(g826 +V:: +p4792 +tp4793 +a(g435 +VRemember +p4794 +tp4795 +a(g705 +g1261 +tp4796 +a(g744 +Vref +p4797 +tp4798 +a(g839 +g1002 +tp4799 +a(g435 +Vvars +p4800 +tp4801 +a(g705 +g1265 +tp4802 +a(g839 +g1002 +tp4803 +a(g412 +g1357 +tp4804 +a(g412 +g1325 +tp4805 +a(g839 +g1002 +tp4806 +a(g705 +g1013 +tp4807 +a(g839 +V\u000a +p4808 +tp4809 +a(g839 +V +p4810 +tp4811 +a(g744 +Vfor +p4812 +tp4813 +a(g839 +g1002 +tp4814 +a(g435 +Vvar +p4815 +tp4816 +a(g839 +g1002 +tp4817 +a(g744 +Vin +p4818 +tp4819 +a(g839 +g1002 +tp4820 +a(g435 +Vvars +p4821 +tp4822 +a(g839 +g1002 +tp4823 +a(g705 +g1013 +tp4824 +a(g839 +V\u000a +p4825 +tp4826 +a(g839 +V +p4827 +tp4828 +a(g693 +Vself +p4829 +tp4830 +a(g705 +g1421 +tp4831 +a(g435 +Vset_rw +p4832 +tp4833 +a(g705 +g1261 +tp4834 +a(g435 +Vvar +p4835 +tp4836 +a(g705 +g1018 +tp4837 +a(g839 +g1002 +tp4838 +a(g763 +Vtrue +p4839 +tp4840 +a(g705 +g1265 +tp4841 +a(g705 +g1026 +tp4842 +a(g839 +V\u000a +p4843 +tp4844 +a(g839 +V +p4845 +tp4846 +a(g705 +g1024 +tp4847 +a(g839 +V\u000a +p4848 +tp4849 +a(g839 +V +p4850 +tp4851 +a(g681 +VOk +p4852 +tp4853 +a(g705 +g1261 +tp4854 +a(g435 +VStmtRes +p4855 +tp4856 +a(g826 +V:: +p4857 +tp4858 +a(g435 +VNext +p4859 +tp4860 +a(g705 +g1265 +tp4861 +a(g839 +V\u000a +p4862 +tp4863 +a(g839 +V +p4864 +tp4865 +a(g705 +g1024 +tp4866 +a(g839 +V\u000a +p4867 +tp4868 +a(g839 +V +p4869 +tp4870 +a(g435 +VStmtBody +p4871 +tp4872 +a(g826 +V:: +p4873 +tp4874 +a(g435 +VStash +p4875 +tp4876 +a(g705 +g1261 +tp4877 +a(g744 +Vref +p4878 +tp4879 +a(g839 +g1002 +tp4880 +a(g435 +Vvars +p4881 +tp4882 +a(g705 +g1265 +tp4883 +a(g839 +g1002 +tp4884 +a(g412 +g1357 +tp4885 +a(g412 +g1325 +tp4886 +a(g839 +g1002 +tp4887 +a(g705 +g1013 +tp4888 +a(g839 +V\u000a +p4889 +tp4890 +a(g839 +V +p4891 +tp4892 +a(g744 +Vfor +p4893 +tp4894 +a(g839 +g1002 +tp4895 +a(g435 +Vvar +p4896 +tp4897 +a(g839 +g1002 +tp4898 +a(g744 +Vin +p4899 +tp4900 +a(g839 +g1002 +tp4901 +a(g435 +Vvars +p4902 +tp4903 +a(g839 +g1002 +tp4904 +a(g705 +g1013 +tp4905 +a(g839 +V\u000a +p4906 +tp4907 +a(g839 +V +p4908 +tp4909 +a(g693 +Vself +p4910 +tp4911 +a(g705 +g1421 +tp4912 +a(g435 +Vstash +p4913 +tp4914 +a(g705 +g1261 +tp4915 +a(g435 +Vvar +p4916 +tp4917 +a(g705 +g1265 +tp4918 +a(g705 +g1026 +tp4919 +a(g839 +V\u000a +p4920 +tp4921 +a(g839 +V +p4922 +tp4923 +a(g705 +g1024 +tp4924 +a(g839 +V\u000a +p4925 +tp4926 +a(g839 +V +p4927 +tp4928 +a(g681 +VOk +p4929 +tp4930 +a(g705 +g1261 +tp4931 +a(g435 +VStmtRes +p4932 +tp4933 +a(g826 +V:: +p4934 +tp4935 +a(g435 +VNext +p4936 +tp4937 +a(g705 +g1265 +tp4938 +a(g839 +V\u000a +p4939 +tp4940 +a(g839 +V +p4941 +tp4942 +a(g705 +g1024 +tp4943 +a(g839 +V\u000a +p4944 +tp4945 +a(g839 +V +p4946 +tp4947 +a(g435 +VStmtBody +p4948 +tp4949 +a(g826 +V:: +p4950 +tp4951 +a(g435 +VRetrieve +p4952 +tp4953 +a(g705 +g1261 +tp4954 +a(g744 +Vref +p4955 +tp4956 +a(g839 +g1002 +tp4957 +a(g435 +Vvars +p4958 +tp4959 +a(g705 +g1265 +tp4960 +a(g839 +g1002 +tp4961 +a(g412 +g1357 +tp4962 +a(g412 +g1325 +tp4963 +a(g839 +g1002 +tp4964 +a(g705 +g1013 +tp4965 +a(g839 +V\u000a +p4966 +tp4967 +a(g839 +V +p4968 +tp4969 +a(g744 +Vfor +p4970 +tp4971 +a(g839 +g1002 +tp4972 +a(g435 +Vvar +p4973 +tp4974 +a(g839 +g1002 +tp4975 +a(g744 +Vin +p4976 +tp4977 +a(g839 +g1002 +tp4978 +a(g435 +Vvars +p4979 +tp4980 +a(g839 +g1002 +tp4981 +a(g705 +g1013 +tp4982 +a(g839 +V\u000a +p4983 +tp4984 +a(g839 +V +p4985 +tp4986 +a(g435 +Vtry +p4987 +tp4988 +a(g412 +g2343 +tp4989 +a(g705 +g1261 +tp4990 +a(g693 +Vself +p4991 +tp4992 +a(g705 +g1421 +tp4993 +a(g435 +Vretrieve +p4994 +tp4995 +a(g705 +g1261 +tp4996 +a(g435 +Vvar +p4997 +tp4998 +a(g705 +g1265 +tp4999 +a(g705 +g1265 +tp5000 +a(g705 +g1026 +tp5001 +a(g839 +V\u000a +p5002 +tp5003 +a(g839 +V +p5004 +tp5005 +a(g705 +g1024 +tp5006 +a(g839 +V\u000a +p5007 +tp5008 +a(g839 +V +p5009 +tp5010 +a(g681 +VOk +p5011 +tp5012 +a(g705 +g1261 +tp5013 +a(g435 +VStmtRes +p5014 +tp5015 +a(g826 +V:: +p5016 +tp5017 +a(g435 +VNext +p5018 +tp5019 +a(g705 +g1265 +tp5020 +a(g839 +V\u000a +p5021 +tp5022 +a(g839 +V +p5023 +tp5024 +a(g705 +g1024 +tp5025 +a(g839 +V\u000a +p5026 +tp5027 +a(g839 +V +p5028 +tp5029 +a(g435 +VStmtBody +p5030 +tp5031 +a(g826 +V:: +p5032 +tp5033 +a(g435 +VAbstain +p5034 +tp5035 +a(g705 +g1261 +tp5036 +a(g744 +Vref +p5037 +tp5038 +a(g839 +g1002 +tp5039 +a(g435 +Vexpr +p5040 +tp5041 +a(g705 +g1018 +tp5042 +a(g839 +g1002 +tp5043 +a(g744 +Vref +p5044 +tp5045 +a(g839 +g1002 +tp5046 +a(g435 +Vwhats +p5047 +tp5048 +a(g705 +g1265 +tp5049 +a(g839 +g1002 +tp5050 +a(g412 +g1357 +tp5051 +a(g412 +g1325 +tp5052 +a(g839 +g1002 +tp5053 +a(g705 +g1013 +tp5054 +a(g839 +V\u000a +p5055 +tp5056 +a(g839 +V +p5057 +tp5058 +a(g809 +Vlet +p5059 +tp5060 +a(g839 +g1002 +tp5061 +a(g435 +Vf +p5062 +tp5063 +a(g826 +g1010 +tp5064 +a(g826 +g1002 +tp5065 +a(g681 +VBox +p5066 +tp5067 +a(g412 +g1321 +tp5068 +a(g681 +VFn +p5069 +tp5070 +a(g705 +g1261 +tp5071 +a(g748 +Vu32 +p5072 +tp5073 +a(g705 +g1265 +tp5074 +a(g839 +g1002 +tp5075 +a(g826 +V-> +p5076 +tp5077 +a(g826 +g1002 +tp5078 +a(g748 +Vu32 +p5079 +tp5080 +a(g412 +g1325 +tp5081 +a(g839 +g1002 +tp5082 +a(g412 +g1357 +tp5083 +a(g839 +g1002 +tp5084 +a(g744 +Vif +p5085 +tp5086 +a(g839 +g1002 +tp5087 +a(g809 +Vlet +p5088 +tp5089 +a(g839 +g1002 +tp5090 +a(g681 +VSome +p5091 +tp5092 +a(g705 +g1261 +tp5093 +a(g744 +Vref +p5094 +tp5095 +a(g839 +g1002 +tp5096 +a(g435 +g3591 +tp5097 +a(g705 +g1265 +tp5098 +a(g839 +g1002 +tp5099 +a(g412 +g1357 +tp5100 +a(g839 +g1002 +tp5101 +a(g412 +g1336 +tp5102 +a(g435 +Vexpr +p5103 +tp5104 +a(g839 +g1002 +tp5105 +a(g705 +g1013 +tp5106 +a(g839 +V\u000a +p5107 +tp5108 +a(g839 +V +p5109 +tp5110 +a(g809 +Vlet +p5111 +tp5112 +a(g839 +g1002 +tp5113 +a(g435 +g3023 +tp5114 +a(g839 +g1002 +tp5115 +a(g412 +g1357 +tp5116 +a(g839 +g1002 +tp5117 +a(g435 +Vtry +p5118 +tp5119 +a(g412 +g2343 +tp5120 +a(g705 +g1261 +tp5121 +a(g693 +Vself +p5122 +tp5123 +a(g705 +g1421 +tp5124 +a(g435 +Veval_expr +p5125 +tp5126 +a(g705 +g1261 +tp5127 +a(g435 +g3591 +tp5128 +a(g705 +g1265 +tp5129 +a(g705 +g1265 +tp5130 +a(g705 +g1421 +tp5131 +a(g435 +Vas_u32 +p5132 +tp5133 +a(g705 +g1261 +tp5134 +a(g705 +g1265 +tp5135 +a(g705 +g1026 +tp5136 +a(g839 +V\u000a +p5137 +tp5138 +a(g839 +V +p5139 +tp5140 +a(g744 +Vbox +p5141 +tp5142 +a(g839 +g1002 +tp5143 +a(g744 +Vmove +p5144 +tp5145 +a(g839 +g1002 +tp5146 +a(g412 +g2183 +tp5147 +a(g435 +g1353 +tp5148 +a(g826 +g1010 +tp5149 +a(g826 +g1002 +tp5150 +a(g748 +Vu32 +p5151 +tp5152 +a(g412 +g2183 +tp5153 +a(g839 +g1002 +tp5154 +a(g435 +g1353 +tp5155 +a(g705 +g1421 +tp5156 +a(g435 +Vsaturating_add +p5157 +tp5158 +a(g705 +g1261 +tp5159 +a(g435 +g3023 +tp5160 +a(g705 +g1265 +tp5161 +a(g839 +V\u000a +p5162 +tp5163 +a(g839 +V +p5164 +tp5165 +a(g705 +g1024 +tp5166 +a(g839 +g1002 +tp5167 +a(g744 +Velse +p5168 +tp5169 +a(g839 +g1002 +tp5170 +a(g705 +g1013 +tp5171 +a(g839 +V\u000a +p5172 +tp5173 +a(g839 +V +p5174 +tp5175 +a(g744 +Vbox +p5176 +tp5177 +a(g839 +g1002 +tp5178 +a(g412 +g2183 +tp5179 +a(g435 +g4316 +tp5180 +a(g412 +g2183 +tp5181 +a(g839 +g1002 +tp5182 +a(g37 +g2392 +tp5183 +a(g839 +V\u000a +p5184 +tp5185 +a(g839 +V +p5186 +tp5187 +a(g705 +g1024 +tp5188 +a(g705 +g1026 +tp5189 +a(g839 +V\u000a +p5190 +tp5191 +a(g839 +V +p5192 +tp5193 +a(g744 +Vfor +p5194 +tp5195 +a(g839 +g1002 +tp5196 +a(g435 +Vwhat +p5197 +tp5198 +a(g839 +g1002 +tp5199 +a(g744 +Vin +p5200 +tp5201 +a(g839 +g1002 +tp5202 +a(g435 +Vwhats +p5203 +tp5204 +a(g839 +g1002 +tp5205 +a(g705 +g1013 +tp5206 +a(g839 +V\u000a +p5207 +tp5208 +a(g839 +V +p5209 +tp5210 +a(g693 +Vself +p5211 +tp5212 +a(g705 +g1421 +tp5213 +a(g435 +Vabstain +p5214 +tp5215 +a(g705 +g1261 +tp5216 +a(g435 +Vwhat +p5217 +tp5218 +a(g705 +g1018 +tp5219 +a(g839 +g1002 +tp5220 +a(g412 +g1310 +tp5221 +a(g412 +g1336 +tp5222 +a(g435 +g5062 +tp5223 +a(g705 +g1265 +tp5224 +a(g705 +g1026 +tp5225 +a(g839 +V\u000a +p5226 +tp5227 +a(g839 +V +p5228 +tp5229 +a(g705 +g1024 +tp5230 +a(g839 +V\u000a +p5231 +tp5232 +a(g839 +V +p5233 +tp5234 +a(g681 +VOk +p5235 +tp5236 +a(g705 +g1261 +tp5237 +a(g435 +VStmtRes +p5238 +tp5239 +a(g826 +V:: +p5240 +tp5241 +a(g435 +VNext +p5242 +tp5243 +a(g705 +g1265 +tp5244 +a(g839 +V\u000a +p5245 +tp5246 +a(g839 +V +p5247 +tp5248 +a(g705 +g1024 +tp5249 +a(g839 +V\u000a +p5250 +tp5251 +a(g839 +V +p5252 +tp5253 +a(g435 +VStmtBody +p5254 +tp5255 +a(g826 +V:: +p5256 +tp5257 +a(g435 +VReinstate +p5258 +tp5259 +a(g705 +g1261 +tp5260 +a(g744 +Vref +p5261 +tp5262 +a(g839 +g1002 +tp5263 +a(g435 +Vwhats +p5264 +tp5265 +a(g705 +g1265 +tp5266 +a(g839 +g1002 +tp5267 +a(g412 +g1357 +tp5268 +a(g412 +g1325 +tp5269 +a(g839 +g1002 +tp5270 +a(g705 +g1013 +tp5271 +a(g839 +V\u000a +p5272 +tp5273 +a(g839 +V +p5274 +tp5275 +a(g744 +Vfor +p5276 +tp5277 +a(g839 +g1002 +tp5278 +a(g435 +Vwhat +p5279 +tp5280 +a(g839 +g1002 +tp5281 +a(g744 +Vin +p5282 +tp5283 +a(g839 +g1002 +tp5284 +a(g435 +Vwhats +p5285 +tp5286 +a(g839 +g1002 +tp5287 +a(g705 +g1013 +tp5288 +a(g839 +V\u000a +p5289 +tp5290 +a(g839 +V +p5291 +tp5292 +a(g693 +Vself +p5293 +tp5294 +a(g705 +g1421 +tp5295 +a(g435 +Vabstain +p5296 +tp5297 +a(g705 +g1261 +tp5298 +a(g435 +Vwhat +p5299 +tp5300 +a(g705 +g1018 +tp5301 +a(g839 +g1002 +tp5302 +a(g412 +g1310 +tp5303 +a(g412 +g2183 +tp5304 +a(g435 +g1353 +tp5305 +a(g826 +g1010 +tp5306 +a(g826 +g1002 +tp5307 +a(g748 +Vu32 +p5308 +tp5309 +a(g412 +g2183 +tp5310 +a(g839 +g1002 +tp5311 +a(g435 +g1353 +tp5312 +a(g705 +g1421 +tp5313 +a(g435 +Vsaturating_sub +p5314 +tp5315 +a(g705 +g1261 +tp5316 +a(g37 +g2392 +tp5317 +a(g705 +g1265 +tp5318 +a(g705 +g1265 +tp5319 +a(g705 +g1026 +tp5320 +a(g839 +V\u000a +p5321 +tp5322 +a(g839 +V +p5323 +tp5324 +a(g705 +g1024 +tp5325 +a(g839 +V\u000a +p5326 +tp5327 +a(g839 +V +p5328 +tp5329 +a(g681 +VOk +p5330 +tp5331 +a(g705 +g1261 +tp5332 +a(g435 +VStmtRes +p5333 +tp5334 +a(g826 +V:: +p5335 +tp5336 +a(g435 +VNext +p5337 +tp5338 +a(g705 +g1265 +tp5339 +a(g839 +V\u000a +p5340 +tp5341 +a(g839 +V +p5342 +tp5343 +a(g705 +g1024 +tp5344 +a(g839 +V\u000a +p5345 +tp5346 +a(g839 +V +p5347 +tp5348 +a(g435 +VStmtBody +p5349 +tp5350 +a(g826 +V:: +p5351 +tp5352 +a(g435 +VReadOut +p5353 +tp5354 +a(g705 +g1261 +tp5355 +a(g744 +Vref +p5356 +tp5357 +a(g839 +g1002 +tp5358 +a(g435 +Vvars +p5359 +tp5360 +a(g705 +g1265 +tp5361 +a(g839 +g1002 +tp5362 +a(g412 +g1357 +tp5363 +a(g412 +g1325 +tp5364 +a(g839 +g1002 +tp5365 +a(g705 +g1013 +tp5366 +a(g839 +V\u000a +p5367 +tp5368 +a(g839 +V +p5369 +tp5370 +a(g744 +Vfor +p5371 +tp5372 +a(g839 +g1002 +tp5373 +a(g435 +Vvar +p5374 +tp5375 +a(g839 +g1002 +tp5376 +a(g744 +Vin +p5377 +tp5378 +a(g839 +g1002 +tp5379 +a(g435 +Vvars +p5380 +tp5381 +a(g839 +g1002 +tp5382 +a(g705 +g1013 +tp5383 +a(g839 +V\u000a +p5384 +tp5385 +a(g839 +V +p5386 +tp5387 +a(g744 +Vmatch +p5388 +tp5389 +a(g839 +g1002 +tp5390 +a(g412 +g1336 +tp5391 +a(g435 +Vvar +p5392 +tp5393 +a(g839 +g1002 +tp5394 +a(g705 +g1013 +tp5395 +a(g839 +V\u000a +p5396 +tp5397 +a(g839 +V +p5398 +tp5399 +a(g8 +V// read out whole array\u000a +p5400 +tp5401 +a(g839 +V +p5402 +tp5403 +a(g435 +VExpr +p5404 +tp5405 +a(g826 +V:: +p5406 +tp5407 +a(g435 +VVar +p5408 +tp5409 +a(g705 +g1261 +tp5410 +a(g744 +Vref +p5411 +tp5412 +a(g839 +g1002 +tp5413 +a(g435 +Vvar +p5414 +tp5415 +a(g705 +g1265 +tp5416 +a(g839 +g1002 +tp5417 +a(g744 +Vif +p5418 +tp5419 +a(g839 +g1002 +tp5420 +a(g435 +Vvar +p5421 +tp5422 +a(g705 +g1421 +tp5423 +a(g435 +Vis_dim +p5424 +tp5425 +a(g705 +g1261 +tp5426 +a(g705 +g1265 +tp5427 +a(g839 +g1002 +tp5428 +a(g412 +g1357 +tp5429 +a(g412 +g1325 +tp5430 +a(g839 +g1002 +tp5431 +a(g705 +g1013 +tp5432 +a(g839 +V\u000a +p5433 +tp5434 +a(g839 +V +p5435 +tp5436 +a(g435 +Vtry +p5437 +tp5438 +a(g412 +g2343 +tp5439 +a(g705 +g1261 +tp5440 +a(g693 +Vself +p5441 +tp5442 +a(g705 +g1421 +tp5443 +a(g435 +Varray_readout +p5444 +tp5445 +a(g705 +g1261 +tp5446 +a(g435 +Vvar +p5447 +tp5448 +a(g705 +g1265 +tp5449 +a(g705 +g1265 +tp5450 +a(g705 +g1026 +tp5451 +a(g839 +V\u000a +p5452 +tp5453 +a(g839 +V +p5454 +tp5455 +a(g705 +g1024 +tp5456 +a(g839 +V\u000a +p5457 +tp5458 +a(g839 +V +p5459 +tp5460 +a(g8 +V// read out single var or array element\u000a +p5461 +tp5462 +a(g839 +V +p5463 +tp5464 +a(g435 +VExpr +p5465 +tp5466 +a(g826 +V:: +p5467 +tp5468 +a(g435 +VVar +p5469 +tp5470 +a(g705 +g1261 +tp5471 +a(g744 +Vref +p5472 +tp5473 +a(g839 +g1002 +tp5474 +a(g435 +Vvar +p5475 +tp5476 +a(g705 +g1265 +tp5477 +a(g839 +g1002 +tp5478 +a(g412 +g1357 +tp5479 +a(g412 +g1325 +tp5480 +a(g839 +g1002 +tp5481 +a(g705 +g1013 +tp5482 +a(g839 +V\u000a +p5483 +tp5484 +a(g839 +V +p5485 +tp5486 +a(g809 +Vlet +p5487 +tp5488 +a(g839 +g1002 +tp5489 +a(g435 +Vvarval +p5490 +tp5491 +a(g839 +g1002 +tp5492 +a(g412 +g1357 +tp5493 +a(g839 +g1002 +tp5494 +a(g435 +Vtry +p5495 +tp5496 +a(g412 +g2343 +tp5497 +a(g705 +g1261 +tp5498 +a(g693 +Vself +p5499 +tp5500 +a(g705 +g1421 +tp5501 +a(g435 +Vlookup +p5502 +tp5503 +a(g705 +g1261 +tp5504 +a(g435 +Vvar +p5505 +tp5506 +a(g705 +g1265 +tp5507 +a(g705 +g1265 +tp5508 +a(g705 +g1026 +tp5509 +a(g839 +V\u000a +p5510 +tp5511 +a(g839 +V +p5512 +tp5513 +a(g435 +Vtry +p5514 +tp5515 +a(g412 +g2343 +tp5516 +a(g705 +g1261 +tp5517 +a(g435 +Vwrite_number +p5518 +tp5519 +a(g705 +g1261 +tp5520 +a(g693 +Vself +p5521 +tp5522 +a(g705 +g1421 +tp5523 +a(g435 +Vstdout +p5524 +tp5525 +a(g705 +g1018 +tp5526 +a(g839 +g1002 +tp5527 +a(g435 +Vvarval +p5528 +tp5529 +a(g705 +g1421 +tp5530 +a(g435 +Vas_u32 +p5531 +tp5532 +a(g705 +g1261 +tp5533 +a(g705 +g1265 +tp5534 +a(g705 +g1018 +tp5535 +a(g839 +g1002 +tp5536 +a(g37 +g2354 +tp5537 +a(g705 +g1265 +tp5538 +a(g705 +g1265 +tp5539 +a(g705 +g1026 +tp5540 +a(g839 +V\u000a +p5541 +tp5542 +a(g839 +V +p5543 +tp5544 +a(g705 +g1024 +tp5545 +a(g839 +V\u000a +p5546 +tp5547 +a(g839 +V +p5548 +tp5549 +a(g8 +V// read out constant\u000a +p5550 +tp5551 +a(g839 +V +p5552 +tp5553 +a(g435 +VExpr +p5554 +tp5555 +a(g826 +V:: +p5556 +tp5557 +a(g435 +VNum +p5558 +tp5559 +a(g705 +g1261 +tp5560 +a(g435 +g4316 +tp5561 +a(g705 +g1018 +tp5562 +a(g839 +g1002 +tp5563 +a(g435 +g1353 +tp5564 +a(g705 +g1265 +tp5565 +a(g839 +g1002 +tp5566 +a(g412 +g1357 +tp5567 +a(g412 +g1325 +tp5568 +a(g839 +g1002 +tp5569 +a(g435 +Vtry +p5570 +tp5571 +a(g412 +g2343 +tp5572 +a(g705 +g1261 +tp5573 +a(g435 +Vwrite_number +p5574 +tp5575 +a(g705 +g1261 +tp5576 +a(g693 +Vself +p5577 +tp5578 +a(g705 +g1421 +tp5579 +a(g435 +Vstdout +p5580 +tp5581 +a(g705 +g1018 +tp5582 +a(g839 +g1002 +tp5583 +a(g435 +g1353 +tp5584 +a(g705 +g1018 +tp5585 +a(g839 +g1002 +tp5586 +a(g37 +g2354 +tp5587 +a(g705 +g1265 +tp5588 +a(g705 +g1265 +tp5589 +a(g705 +g1018 +tp5590 +a(g839 +V\u000a +p5591 +tp5592 +a(g839 +V +p5593 +tp5594 +a(g8 +V// others will not be generated\u000a +p5595 +tp5596 +a(g839 +V +p5597 +tp5598 +a(g435 +g4316 +tp5599 +a(g839 +g1002 +tp5600 +a(g412 +g1357 +tp5601 +a(g412 +g1325 +tp5602 +a(g839 +g1002 +tp5603 +a(g744 +Vreturn +p5604 +tp5605 +a(g839 +g1002 +tp5606 +a(g435 +VIE994 +p5607 +tp5608 +a(g705 +g1421 +tp5609 +a(g435 +Verr +p5610 +tp5611 +a(g705 +g1261 +tp5612 +a(g705 +g1265 +tp5613 +a(g705 +g1018 +tp5614 +a(g839 +V\u000a +p5615 +tp5616 +a(g839 +V +p5617 +tp5618 +a(g705 +g1024 +tp5619 +a(g705 +g1026 +tp5620 +a(g839 +V\u000a +p5621 +tp5622 +a(g839 +V +p5623 +tp5624 +a(g705 +g1024 +tp5625 +a(g839 +V\u000a +p5626 +tp5627 +a(g839 +V +p5628 +tp5629 +a(g681 +VOk +p5630 +tp5631 +a(g705 +g1261 +tp5632 +a(g435 +VStmtRes +p5633 +tp5634 +a(g826 +V:: +p5635 +tp5636 +a(g435 +VNext +p5637 +tp5638 +a(g705 +g1265 +tp5639 +a(g839 +V\u000a +p5640 +tp5641 +a(g839 +V +p5642 +tp5643 +a(g705 +g1024 +tp5644 +a(g839 +V\u000a +p5645 +tp5646 +a(g839 +V +p5647 +tp5648 +a(g435 +VStmtBody +p5649 +tp5650 +a(g826 +V:: +p5651 +tp5652 +a(g435 +VWriteIn +p5653 +tp5654 +a(g705 +g1261 +tp5655 +a(g744 +Vref +p5656 +tp5657 +a(g839 +g1002 +tp5658 +a(g435 +Vvars +p5659 +tp5660 +a(g705 +g1265 +tp5661 +a(g839 +g1002 +tp5662 +a(g412 +g1357 +tp5663 +a(g412 +g1325 +tp5664 +a(g839 +g1002 +tp5665 +a(g705 +g1013 +tp5666 +a(g839 +V\u000a +p5667 +tp5668 +a(g839 +V +p5669 +tp5670 +a(g744 +Vfor +p5671 +tp5672 +a(g839 +g1002 +tp5673 +a(g435 +Vvar +p5674 +tp5675 +a(g839 +g1002 +tp5676 +a(g744 +Vin +p5677 +tp5678 +a(g839 +g1002 +tp5679 +a(g435 +Vvars +p5680 +tp5681 +a(g839 +g1002 +tp5682 +a(g705 +g1013 +tp5683 +a(g839 +V\u000a +p5684 +tp5685 +a(g839 +V +p5686 +tp5687 +a(g744 +Vif +p5688 +tp5689 +a(g839 +g1002 +tp5690 +a(g435 +Vvar +p5691 +tp5692 +a(g705 +g1421 +tp5693 +a(g435 +Vis_dim +p5694 +tp5695 +a(g705 +g1261 +tp5696 +a(g705 +g1265 +tp5697 +a(g839 +g1002 +tp5698 +a(g705 +g1013 +tp5699 +a(g839 +V\u000a +p5700 +tp5701 +a(g839 +V +p5702 +tp5703 +a(g8 +V// write in whole array\u000a +p5704 +tp5705 +a(g839 +V +p5706 +tp5707 +a(g435 +Vtry +p5708 +tp5709 +a(g412 +g2343 +tp5710 +a(g705 +g1261 +tp5711 +a(g693 +Vself +p5712 +tp5713 +a(g705 +g1421 +tp5714 +a(g435 +Varray_writein +p5715 +tp5716 +a(g705 +g1261 +tp5717 +a(g435 +Vvar +p5718 +tp5719 +a(g705 +g1265 +tp5720 +a(g705 +g1265 +tp5721 +a(g705 +g1026 +tp5722 +a(g839 +V\u000a +p5723 +tp5724 +a(g839 +V +p5725 +tp5726 +a(g705 +g1024 +tp5727 +a(g839 +g1002 +tp5728 +a(g744 +Velse +p5729 +tp5730 +a(g839 +g1002 +tp5731 +a(g705 +g1013 +tp5732 +a(g839 +V\u000a +p5733 +tp5734 +a(g839 +V +p5735 +tp5736 +a(g8 +V// write in single var or array element\u000a +p5737 +tp5738 +a(g839 +V +p5739 +tp5740 +a(g809 +Vlet +p5741 +tp5742 +a(g839 +g1002 +tp5743 +a(g435 +g3023 +tp5744 +a(g839 +g1002 +tp5745 +a(g412 +g1357 +tp5746 +a(g839 +g1002 +tp5747 +a(g435 +Vtry +p5748 +tp5749 +a(g412 +g2343 +tp5750 +a(g705 +g1261 +tp5751 +a(g435 +Vread_number +p5752 +tp5753 +a(g705 +g1261 +tp5754 +a(g37 +g2354 +tp5755 +a(g705 +g1265 +tp5756 +a(g705 +g1265 +tp5757 +a(g705 +g1026 +tp5758 +a(g839 +V\u000a +p5759 +tp5760 +a(g839 +V +p5761 +tp5762 +a(g435 +Vtry +p5763 +tp5764 +a(g412 +g2343 +tp5765 +a(g705 +g1261 +tp5766 +a(g693 +Vself +p5767 +tp5768 +a(g705 +g1421 +tp5769 +a(g435 +Vassign +p5770 +tp5771 +a(g705 +g1261 +tp5772 +a(g435 +Vvar +p5773 +tp5774 +a(g705 +g1018 +tp5775 +a(g839 +g1002 +tp5776 +a(g435 +VVal +p5777 +tp5778 +a(g826 +V:: +p5779 +tp5780 +a(g435 +Vfrom_u32 +p5781 +tp5782 +a(g705 +g1261 +tp5783 +a(g435 +g3023 +tp5784 +a(g705 +g1265 +tp5785 +a(g705 +g1265 +tp5786 +a(g705 +g1265 +tp5787 +a(g705 +g1026 +tp5788 +a(g839 +V\u000a +p5789 +tp5790 +a(g839 +V +p5791 +tp5792 +a(g705 +g1024 +tp5793 +a(g839 +V\u000a +p5794 +tp5795 +a(g839 +V +p5796 +tp5797 +a(g705 +g1024 +tp5798 +a(g839 +V\u000a +p5799 +tp5800 +a(g839 +V +p5801 +tp5802 +a(g681 +VOk +p5803 +tp5804 +a(g705 +g1261 +tp5805 +a(g435 +VStmtRes +p5806 +tp5807 +a(g826 +V:: +p5808 +tp5809 +a(g435 +VNext +p5810 +tp5811 +a(g705 +g1265 +tp5812 +a(g839 +V\u000a +p5813 +tp5814 +a(g839 +V +p5815 +tp5816 +a(g705 +g1024 +tp5817 +a(g839 +V\u000a +p5818 +tp5819 +a(g839 +V +p5820 +tp5821 +a(g8 +V// this one is only generated by the constant-program optimizer\u000a +p5822 +tp5823 +a(g839 +V +p5824 +tp5825 +a(g435 +VStmtBody +p5826 +tp5827 +a(g826 +V:: +p5828 +tp5829 +a(g435 +VPrint +p5830 +tp5831 +a(g705 +g1261 +tp5832 +a(g744 +Vref +p5833 +tp5834 +a(g839 +g1002 +tp5835 +a(g435 +Vs +p5836 +tp5837 +a(g705 +g1265 +tp5838 +a(g839 +g1002 +tp5839 +a(g412 +g1357 +tp5840 +a(g412 +g1325 +tp5841 +a(g839 +g1002 +tp5842 +a(g705 +g1013 +tp5843 +a(g839 +V\u000a +p5844 +tp5845 +a(g839 +V +p5846 +tp5847 +a(g744 +Vif +p5848 +tp5849 +a(g839 +g1002 +tp5850 +a(g809 +Vlet +p5851 +tp5852 +a(g839 +g1002 +tp5853 +a(g681 +VErr +p5854 +tp5855 +a(g705 +g1261 +tp5856 +a(g435 +g4316 +tp5857 +a(g705 +g1265 +tp5858 +a(g839 +g1002 +tp5859 +a(g412 +g1357 +tp5860 +a(g839 +g1002 +tp5861 +a(g693 +Vself +p5862 +tp5863 +a(g705 +g1421 +tp5864 +a(g435 +Vstdout +p5865 +tp5866 +a(g705 +g1421 +tp5867 +a(g435 +Vwrite +p5868 +tp5869 +a(g705 +g1261 +tp5870 +a(g412 +g1310 +tp5871 +a(g435 +g5836 +tp5872 +a(g705 +g1265 +tp5873 +a(g839 +g1002 +tp5874 +a(g705 +g1013 +tp5875 +a(g839 +V\u000a +p5876 +tp5877 +a(g839 +V +p5878 +tp5879 +a(g744 +Vreturn +p5880 +tp5881 +a(g839 +g1002 +tp5882 +a(g435 +VIE252 +p5883 +tp5884 +a(g705 +g1421 +tp5885 +a(g435 +Verr +p5886 +tp5887 +a(g705 +g1261 +tp5888 +a(g705 +g1265 +tp5889 +a(g705 +g1026 +tp5890 +a(g839 +V\u000a +p5891 +tp5892 +a(g839 +V +p5893 +tp5894 +a(g705 +g1024 +tp5895 +a(g839 +V\u000a +p5896 +tp5897 +a(g839 +V +p5898 +tp5899 +a(g681 +VOk +p5900 +tp5901 +a(g705 +g1261 +tp5902 +a(g435 +VStmtRes +p5903 +tp5904 +a(g826 +V:: +p5905 +tp5906 +a(g435 +VNext +p5907 +tp5908 +a(g705 +g1265 +tp5909 +a(g839 +V\u000a +p5910 +tp5911 +a(g839 +V +p5912 +tp5913 +a(g705 +g1024 +tp5914 +a(g839 +V\u000a +p5915 +tp5916 +a(g839 +V +p5917 +tp5918 +a(g435 +VStmtBody +p5919 +tp5920 +a(g826 +V:: +p5921 +tp5922 +a(g435 +VTryAgain +p5923 +tp5924 +a(g839 +g1002 +tp5925 +a(g412 +g1357 +tp5926 +a(g412 +g1325 +tp5927 +a(g839 +g1002 +tp5928 +a(g681 +VOk +p5929 +tp5930 +a(g705 +g1261 +tp5931 +a(g435 +VStmtRes +p5932 +tp5933 +a(g826 +V:: +p5934 +tp5935 +a(g435 +VFromTop +p5936 +tp5937 +a(g705 +g1265 +tp5938 +a(g705 +g1018 +tp5939 +a(g839 +V\u000a +p5940 +tp5941 +a(g839 +V +p5942 +tp5943 +a(g435 +VStmtBody +p5944 +tp5945 +a(g826 +V:: +p5946 +tp5947 +a(g435 +VGiveUp +p5948 +tp5949 +a(g839 +g1002 +tp5950 +a(g412 +g1357 +tp5951 +a(g412 +g1325 +tp5952 +a(g839 +g1002 +tp5953 +a(g681 +VOk +p5954 +tp5955 +a(g705 +g1261 +tp5956 +a(g435 +VStmtRes +p5957 +tp5958 +a(g826 +V:: +p5959 +tp5960 +a(g435 +VEnd +p5961 +tp5962 +a(g705 +g1265 +tp5963 +a(g705 +g1018 +tp5964 +a(g839 +V\u000a +p5965 +tp5966 +a(g839 +V +p5967 +tp5968 +a(g435 +VStmtBody +p5969 +tp5970 +a(g826 +V:: +p5971 +tp5972 +a(g435 +VError +p5973 +tp5974 +a(g705 +g1261 +tp5975 +a(g744 +Vref +p5976 +tp5977 +a(g839 +g1002 +tp5978 +a(g435 +g3591 +tp5979 +a(g705 +g1265 +tp5980 +a(g839 +g1002 +tp5981 +a(g412 +g1357 +tp5982 +a(g412 +g1325 +tp5983 +a(g839 +g1002 +tp5984 +a(g681 +VErr +p5985 +tp5986 +a(g705 +g1261 +tp5987 +a(g705 +g1261 +tp5988 +a(g412 +g1336 +tp5989 +a(g435 +g3591 +tp5990 +a(g705 +g1265 +tp5991 +a(g705 +g1421 +tp5992 +a(g435 +Vclone +p5993 +tp5994 +a(g705 +g1261 +tp5995 +a(g705 +g1265 +tp5996 +a(g705 +g1265 +tp5997 +a(g705 +g1018 +tp5998 +a(g839 +V\u000a +p5999 +tp6000 +a(g839 +V +p6001 +tp6002 +a(g705 +g1024 +tp6003 +a(g839 +V\u000a +p6004 +tp6005 +a(g839 +V +p6006 +tp6007 +a(g705 +g1024 +tp6008 +a(g839 +V\u000a +p6009 +tp6010 +a(g839 +V\u000a +p6011 +tp6012 +a(g839 +V +p6013 +tp6014 +a(g222 +V/// Evaluate an expression to a value.\u000a +p6015 +tp6016 +a(g839 +V +p6017 +tp6018 +a(g744 +Vfn +p6019 +tp6020 +a(g826 +g1002 +tp6021 +a(g573 +Veval_expr +p6022 +tp6023 +a(g705 +g1261 +tp6024 +a(g412 +g1310 +tp6025 +a(g693 +Vself +p6026 +tp6027 +a(g705 +g1018 +tp6028 +a(g839 +g1002 +tp6029 +a(g435 +Vexpr +p6030 +tp6031 +a(g826 +g1010 +tp6032 +a(g826 +g1002 +tp6033 +a(g785 +g1310 +tp6034 +a(g628 +VExpr +p6035 +tp6036 +a(g705 +g1265 +tp6037 +a(g839 +g1002 +tp6038 +a(g826 +V-> +p6039 +tp6040 +a(g826 +g1002 +tp6041 +a(g628 +VRes +p6042 +tp6043 +a(g412 +g1321 +tp6044 +a(g435 +VVal +p6045 +tp6046 +a(g412 +g1325 +tp6047 +a(g839 +g1002 +tp6048 +a(g705 +g1013 +tp6049 +a(g839 +V\u000a +p6050 +tp6051 +a(g839 +V +p6052 +tp6053 +a(g744 +Vmatch +p6054 +tp6055 +a(g839 +g1002 +tp6056 +a(g412 +g1336 +tp6057 +a(g435 +Vexpr +p6058 +tp6059 +a(g839 +g1002 +tp6060 +a(g705 +g1013 +tp6061 +a(g839 +V\u000a +p6062 +tp6063 +a(g839 +V +p6064 +tp6065 +a(g435 +VExpr +p6066 +tp6067 +a(g826 +V:: +p6068 +tp6069 +a(g435 +VNum +p6070 +tp6071 +a(g705 +g1261 +tp6072 +a(g435 +Vvtype +p6073 +tp6074 +a(g705 +g1018 +tp6075 +a(g839 +g1002 +tp6076 +a(g435 +g1353 +tp6077 +a(g705 +g1265 +tp6078 +a(g839 +g1002 +tp6079 +a(g412 +g1357 +tp6080 +a(g412 +g1325 +tp6081 +a(g839 +g1002 +tp6082 +a(g744 +Vmatch +p6083 +tp6084 +a(g839 +g1002 +tp6085 +a(g435 +Vvtype +p6086 +tp6087 +a(g839 +g1002 +tp6088 +a(g705 +g1013 +tp6089 +a(g839 +V\u000a +p6090 +tp6091 +a(g839 +V +p6092 +tp6093 +a(g435 +VVType +p6094 +tp6095 +a(g826 +V:: +p6096 +tp6097 +a(g435 +VI16 +p6098 +tp6099 +a(g839 +g1002 +tp6100 +a(g412 +g1357 +tp6101 +a(g412 +g1325 +tp6102 +a(g839 +g1002 +tp6103 +a(g681 +VOk +p6104 +tp6105 +a(g705 +g1261 +tp6106 +a(g435 +VVal +p6107 +tp6108 +a(g826 +V:: +p6109 +tp6110 +a(g435 +VI16 +p6111 +tp6112 +a(g705 +g1261 +tp6113 +a(g435 +g1353 +tp6114 +a(g839 +g1002 +tp6115 +a(g744 +Vas +p6116 +tp6117 +a(g839 +g1002 +tp6118 +a(g748 +Vu16 +p6119 +tp6120 +a(g705 +g1265 +tp6121 +a(g705 +g1265 +tp6122 +a(g705 +g1018 +tp6123 +a(g839 +V\u000a +p6124 +tp6125 +a(g839 +V +p6126 +tp6127 +a(g435 +VVType +p6128 +tp6129 +a(g826 +V:: +p6130 +tp6131 +a(g435 +VI32 +p6132 +tp6133 +a(g839 +g1002 +tp6134 +a(g412 +g1357 +tp6135 +a(g412 +g1325 +tp6136 +a(g839 +g1002 +tp6137 +a(g681 +VOk +p6138 +tp6139 +a(g705 +g1261 +tp6140 +a(g435 +VVal +p6141 +tp6142 +a(g826 +V:: +p6143 +tp6144 +a(g435 +VI32 +p6145 +tp6146 +a(g705 +g1261 +tp6147 +a(g435 +g1353 +tp6148 +a(g705 +g1265 +tp6149 +a(g705 +g1265 +tp6150 +a(g705 +g1018 +tp6151 +a(g839 +V\u000a +p6152 +tp6153 +a(g839 +V +p6154 +tp6155 +a(g705 +g1024 +tp6156 +a(g705 +g1018 +tp6157 +a(g839 +V\u000a +p6158 +tp6159 +a(g839 +V +p6160 +tp6161 +a(g435 +VExpr +p6162 +tp6163 +a(g826 +V:: +p6164 +tp6165 +a(g435 +VVar +p6166 +tp6167 +a(g705 +g1261 +tp6168 +a(g744 +Vref +p6169 +tp6170 +a(g839 +g1002 +tp6171 +a(g435 +Vvar +p6172 +tp6173 +a(g705 +g1265 +tp6174 +a(g839 +g1002 +tp6175 +a(g412 +g1357 +tp6176 +a(g412 +g1325 +tp6177 +a(g839 +g1002 +tp6178 +a(g693 +Vself +p6179 +tp6180 +a(g705 +g1421 +tp6181 +a(g435 +Vlookup +p6182 +tp6183 +a(g705 +g1261 +tp6184 +a(g435 +Vvar +p6185 +tp6186 +a(g705 +g1265 +tp6187 +a(g705 +g1018 +tp6188 +a(g839 +V\u000a +p6189 +tp6190 +a(g839 +V +p6191 +tp6192 +a(g435 +VExpr +p6193 +tp6194 +a(g826 +V:: +p6195 +tp6196 +a(g435 +VMingle +p6197 +tp6198 +a(g705 +g1261 +tp6199 +a(g744 +Vref +p6200 +tp6201 +a(g839 +g1002 +tp6202 +a(g435 +Vvx +p6203 +tp6204 +a(g705 +g1018 +tp6205 +a(g839 +g1002 +tp6206 +a(g744 +Vref +p6207 +tp6208 +a(g839 +g1002 +tp6209 +a(g435 +Vwx +p6210 +tp6211 +a(g705 +g1265 +tp6212 +a(g839 +g1002 +tp6213 +a(g412 +g1357 +tp6214 +a(g412 +g1325 +tp6215 +a(g839 +g1002 +tp6216 +a(g705 +g1013 +tp6217 +a(g839 +V\u000a +p6218 +tp6219 +a(g839 +V +p6220 +tp6221 +a(g809 +Vlet +p6222 +tp6223 +a(g839 +g1002 +tp6224 +a(g435 +g1353 +tp6225 +a(g839 +g1002 +tp6226 +a(g412 +g1357 +tp6227 +a(g839 +g1002 +tp6228 +a(g435 +Vtry +p6229 +tp6230 +a(g412 +g2343 +tp6231 +a(g705 +g1261 +tp6232 +a(g693 +Vself +p6233 +tp6234 +a(g705 +g1421 +tp6235 +a(g435 +Veval_expr +p6236 +tp6237 +a(g705 +g1261 +tp6238 +a(g435 +Vvx +p6239 +tp6240 +a(g705 +g1265 +tp6241 +a(g705 +g1265 +tp6242 +a(g705 +g1421 +tp6243 +a(g435 +Vas_u32 +p6244 +tp6245 +a(g705 +g1261 +tp6246 +a(g705 +g1265 +tp6247 +a(g705 +g1026 +tp6248 +a(g839 +V\u000a +p6249 +tp6250 +a(g839 +V +p6251 +tp6252 +a(g809 +Vlet +p6253 +tp6254 +a(g839 +g1002 +tp6255 +a(g435 +Vw +p6256 +tp6257 +a(g839 +g1002 +tp6258 +a(g412 +g1357 +tp6259 +a(g839 +g1002 +tp6260 +a(g435 +Vtry +p6261 +tp6262 +a(g412 +g2343 +tp6263 +a(g705 +g1261 +tp6264 +a(g693 +Vself +p6265 +tp6266 +a(g705 +g1421 +tp6267 +a(g435 +Veval_expr +p6268 +tp6269 +a(g705 +g1261 +tp6270 +a(g435 +Vwx +p6271 +tp6272 +a(g705 +g1265 +tp6273 +a(g705 +g1265 +tp6274 +a(g705 +g1421 +tp6275 +a(g435 +Vas_u32 +p6276 +tp6277 +a(g705 +g1261 +tp6278 +a(g705 +g1265 +tp6279 +a(g705 +g1026 +tp6280 +a(g839 +V\u000a +p6281 +tp6282 +a(g839 +V +p6283 +tp6284 +a(g809 +Vlet +p6285 +tp6286 +a(g839 +g1002 +tp6287 +a(g435 +g1353 +tp6288 +a(g839 +g1002 +tp6289 +a(g412 +g1357 +tp6290 +a(g839 +g1002 +tp6291 +a(g435 +Vtry +p6292 +tp6293 +a(g412 +g2343 +tp6294 +a(g705 +g1261 +tp6295 +a(g435 +Vcheck_ovf +p6296 +tp6297 +a(g705 +g1261 +tp6298 +a(g435 +g1353 +tp6299 +a(g705 +g1018 +tp6300 +a(g839 +g1002 +tp6301 +a(g37 +g2354 +tp6302 +a(g705 +g1265 +tp6303 +a(g705 +g1265 +tp6304 +a(g705 +g1026 +tp6305 +a(g839 +V\u000a +p6306 +tp6307 +a(g839 +V +p6308 +tp6309 +a(g809 +Vlet +p6310 +tp6311 +a(g839 +g1002 +tp6312 +a(g435 +g6256 +tp6313 +a(g839 +g1002 +tp6314 +a(g412 +g1357 +tp6315 +a(g839 +g1002 +tp6316 +a(g435 +Vtry +p6317 +tp6318 +a(g412 +g2343 +tp6319 +a(g705 +g1261 +tp6320 +a(g435 +Vcheck_ovf +p6321 +tp6322 +a(g705 +g1261 +tp6323 +a(g435 +g6256 +tp6324 +a(g705 +g1018 +tp6325 +a(g839 +g1002 +tp6326 +a(g37 +g2354 +tp6327 +a(g705 +g1265 +tp6328 +a(g705 +g1265 +tp6329 +a(g705 +g1026 +tp6330 +a(g839 +V\u000a +p6331 +tp6332 +a(g839 +V +p6333 +tp6334 +a(g681 +VOk +p6335 +tp6336 +a(g705 +g1261 +tp6337 +a(g435 +VVal +p6338 +tp6339 +a(g826 +V:: +p6340 +tp6341 +a(g435 +VI32 +p6342 +tp6343 +a(g705 +g1261 +tp6344 +a(g435 +Vmingle +p6345 +tp6346 +a(g705 +g1261 +tp6347 +a(g435 +g1353 +tp6348 +a(g705 +g1018 +tp6349 +a(g839 +g1002 +tp6350 +a(g435 +g6256 +tp6351 +a(g705 +g1265 +tp6352 +a(g705 +g1265 +tp6353 +a(g705 +g1265 +tp6354 +a(g839 +V\u000a +p6355 +tp6356 +a(g839 +V +p6357 +tp6358 +a(g705 +g1024 +tp6359 +a(g839 +V\u000a +p6360 +tp6361 +a(g839 +V +p6362 +tp6363 +a(g435 +VExpr +p6364 +tp6365 +a(g826 +V:: +p6366 +tp6367 +a(g435 +VSelect +p6368 +tp6369 +a(g705 +g1261 +tp6370 +a(g435 +Vvtype +p6371 +tp6372 +a(g705 +g1018 +tp6373 +a(g839 +g1002 +tp6374 +a(g744 +Vref +p6375 +tp6376 +a(g839 +g1002 +tp6377 +a(g435 +Vvx +p6378 +tp6379 +a(g705 +g1018 +tp6380 +a(g839 +g1002 +tp6381 +a(g744 +Vref +p6382 +tp6383 +a(g839 +g1002 +tp6384 +a(g435 +Vwx +p6385 +tp6386 +a(g705 +g1265 +tp6387 +a(g839 +g1002 +tp6388 +a(g412 +g1357 +tp6389 +a(g412 +g1325 +tp6390 +a(g839 +g1002 +tp6391 +a(g705 +g1013 +tp6392 +a(g839 +V\u000a +p6393 +tp6394 +a(g839 +V +p6395 +tp6396 +a(g809 +Vlet +p6397 +tp6398 +a(g839 +g1002 +tp6399 +a(g435 +g1353 +tp6400 +a(g839 +g1002 +tp6401 +a(g412 +g1357 +tp6402 +a(g839 +g1002 +tp6403 +a(g435 +Vtry +p6404 +tp6405 +a(g412 +g2343 +tp6406 +a(g705 +g1261 +tp6407 +a(g693 +Vself +p6408 +tp6409 +a(g705 +g1421 +tp6410 +a(g435 +Veval_expr +p6411 +tp6412 +a(g705 +g1261 +tp6413 +a(g435 +Vvx +p6414 +tp6415 +a(g705 +g1265 +tp6416 +a(g705 +g1265 +tp6417 +a(g705 +g1026 +tp6418 +a(g839 +V\u000a +p6419 +tp6420 +a(g839 +V +p6421 +tp6422 +a(g809 +Vlet +p6423 +tp6424 +a(g839 +g1002 +tp6425 +a(g435 +g6256 +tp6426 +a(g839 +g1002 +tp6427 +a(g412 +g1357 +tp6428 +a(g839 +g1002 +tp6429 +a(g435 +Vtry +p6430 +tp6431 +a(g412 +g2343 +tp6432 +a(g705 +g1261 +tp6433 +a(g693 +Vself +p6434 +tp6435 +a(g705 +g1421 +tp6436 +a(g435 +Veval_expr +p6437 +tp6438 +a(g705 +g1261 +tp6439 +a(g435 +Vwx +p6440 +tp6441 +a(g705 +g1265 +tp6442 +a(g705 +g1265 +tp6443 +a(g705 +g1026 +tp6444 +a(g839 +V\u000a +p6445 +tp6446 +a(g839 +V +p6447 +tp6448 +a(g744 +Vif +p6449 +tp6450 +a(g839 +g1002 +tp6451 +a(g435 +Vvtype +p6452 +tp6453 +a(g839 +g1002 +tp6454 +a(g412 +g1357 +tp6455 +a(g412 +g1357 +tp6456 +a(g839 +g1002 +tp6457 +a(g435 +VVType +p6458 +tp6459 +a(g826 +V:: +p6460 +tp6461 +a(g435 +VI16 +p6462 +tp6463 +a(g839 +g1002 +tp6464 +a(g705 +g1013 +tp6465 +a(g839 +V\u000a +p6466 +tp6467 +a(g839 +V +p6468 +tp6469 +a(g681 +VOk +p6470 +tp6471 +a(g705 +g1261 +tp6472 +a(g435 +VVal +p6473 +tp6474 +a(g826 +V:: +p6475 +tp6476 +a(g435 +VI16 +p6477 +tp6478 +a(g705 +g1261 +tp6479 +a(g435 +Vselect +p6480 +tp6481 +a(g705 +g1261 +tp6482 +a(g435 +g1353 +tp6483 +a(g705 +g1421 +tp6484 +a(g435 +Vas_u32 +p6485 +tp6486 +a(g705 +g1261 +tp6487 +a(g705 +g1265 +tp6488 +a(g705 +g1018 +tp6489 +a(g839 +g1002 +tp6490 +a(g435 +Vtry +p6491 +tp6492 +a(g412 +g2343 +tp6493 +a(g705 +g1261 +tp6494 +a(g435 +g6256 +tp6495 +a(g705 +g1421 +tp6496 +a(g435 +Vas_u16 +p6497 +tp6498 +a(g705 +g1261 +tp6499 +a(g705 +g1265 +tp6500 +a(g705 +g1265 +tp6501 +a(g839 +g1002 +tp6502 +a(g744 +Vas +p6503 +tp6504 +a(g839 +g1002 +tp6505 +a(g748 +Vu32 +p6506 +tp6507 +a(g705 +g1265 +tp6508 +a(g839 +g1002 +tp6509 +a(g744 +Vas +p6510 +tp6511 +a(g839 +g1002 +tp6512 +a(g748 +Vu16 +p6513 +tp6514 +a(g705 +g1265 +tp6515 +a(g705 +g1265 +tp6516 +a(g839 +V\u000a +p6517 +tp6518 +a(g839 +V +p6519 +tp6520 +a(g705 +g1024 +tp6521 +a(g839 +g1002 +tp6522 +a(g744 +Velse +p6523 +tp6524 +a(g839 +g1002 +tp6525 +a(g705 +g1013 +tp6526 +a(g839 +V\u000a +p6527 +tp6528 +a(g839 +V +p6529 +tp6530 +a(g681 +VOk +p6531 +tp6532 +a(g705 +g1261 +tp6533 +a(g435 +VVal +p6534 +tp6535 +a(g826 +V:: +p6536 +tp6537 +a(g435 +VI32 +p6538 +tp6539 +a(g705 +g1261 +tp6540 +a(g435 +Vselect +p6541 +tp6542 +a(g705 +g1261 +tp6543 +a(g435 +g1353 +tp6544 +a(g705 +g1421 +tp6545 +a(g435 +Vas_u32 +p6546 +tp6547 +a(g705 +g1261 +tp6548 +a(g705 +g1265 +tp6549 +a(g705 +g1018 +tp6550 +a(g839 +g1002 +tp6551 +a(g435 +g6256 +tp6552 +a(g705 +g1421 +tp6553 +a(g435 +Vas_u32 +p6554 +tp6555 +a(g705 +g1261 +tp6556 +a(g705 +g1265 +tp6557 +a(g705 +g1265 +tp6558 +a(g705 +g1265 +tp6559 +a(g705 +g1265 +tp6560 +a(g839 +V\u000a +p6561 +tp6562 +a(g839 +V +p6563 +tp6564 +a(g705 +g1024 +tp6565 +a(g839 +V\u000a +p6566 +tp6567 +a(g839 +V +p6568 +tp6569 +a(g705 +g1024 +tp6570 +a(g839 +V\u000a +p6571 +tp6572 +a(g839 +V +p6573 +tp6574 +a(g435 +VExpr +p6575 +tp6576 +a(g826 +V:: +p6577 +tp6578 +a(g435 +VAnd +p6579 +tp6580 +a(g705 +g1261 +tp6581 +a(g435 +Vvtype +p6582 +tp6583 +a(g705 +g1018 +tp6584 +a(g839 +g1002 +tp6585 +a(g744 +Vref +p6586 +tp6587 +a(g839 +g1002 +tp6588 +a(g435 +Vvx +p6589 +tp6590 +a(g705 +g1265 +tp6591 +a(g839 +g1002 +tp6592 +a(g412 +g1357 +tp6593 +a(g412 +g1325 +tp6594 +a(g839 +g1002 +tp6595 +a(g705 +g1013 +tp6596 +a(g839 +V\u000a +p6597 +tp6598 +a(g839 +V +p6599 +tp6600 +a(g809 +Vlet +p6601 +tp6602 +a(g839 +g1002 +tp6603 +a(g435 +g1353 +tp6604 +a(g839 +g1002 +tp6605 +a(g412 +g1357 +tp6606 +a(g839 +g1002 +tp6607 +a(g435 +Vtry +p6608 +tp6609 +a(g412 +g2343 +tp6610 +a(g705 +g1261 +tp6611 +a(g693 +Vself +p6612 +tp6613 +a(g705 +g1421 +tp6614 +a(g435 +Veval_expr +p6615 +tp6616 +a(g705 +g1261 +tp6617 +a(g435 +Vvx +p6618 +tp6619 +a(g705 +g1265 +tp6620 +a(g705 +g1265 +tp6621 +a(g705 +g1026 +tp6622 +a(g839 +V\u000a +p6623 +tp6624 +a(g839 +V +p6625 +tp6626 +a(g744 +Vmatch +p6627 +tp6628 +a(g839 +g1002 +tp6629 +a(g435 +Vvtype +p6630 +tp6631 +a(g839 +g1002 +tp6632 +a(g705 +g1013 +tp6633 +a(g839 +V\u000a +p6634 +tp6635 +a(g839 +V +p6636 +tp6637 +a(g435 +VVType +p6638 +tp6639 +a(g826 +V:: +p6640 +tp6641 +a(g435 +VI16 +p6642 +tp6643 +a(g839 +g1002 +tp6644 +a(g412 +g1357 +tp6645 +a(g412 +g1325 +tp6646 +a(g839 +g1002 +tp6647 +a(g681 +VOk +p6648 +tp6649 +a(g705 +g1261 +tp6650 +a(g435 +VVal +p6651 +tp6652 +a(g826 +V:: +p6653 +tp6654 +a(g435 +VI16 +p6655 +tp6656 +a(g705 +g1261 +tp6657 +a(g435 +Vand_16 +p6658 +tp6659 +a(g705 +g1261 +tp6660 +a(g435 +Vtry +p6661 +tp6662 +a(g412 +g2343 +tp6663 +a(g705 +g1261 +tp6664 +a(g435 +g1353 +tp6665 +a(g705 +g1421 +tp6666 +a(g435 +Vas_u16 +p6667 +tp6668 +a(g705 +g1261 +tp6669 +a(g705 +g1265 +tp6670 +a(g705 +g1265 +tp6671 +a(g839 +g1002 +tp6672 +a(g744 +Vas +p6673 +tp6674 +a(g839 +g1002 +tp6675 +a(g748 +Vu32 +p6676 +tp6677 +a(g705 +g1265 +tp6678 +a(g839 +g1002 +tp6679 +a(g744 +Vas +p6680 +tp6681 +a(g839 +g1002 +tp6682 +a(g748 +Vu16 +p6683 +tp6684 +a(g705 +g1265 +tp6685 +a(g705 +g1265 +tp6686 +a(g705 +g1018 +tp6687 +a(g839 +V\u000a +p6688 +tp6689 +a(g839 +V +p6690 +tp6691 +a(g435 +VVType +p6692 +tp6693 +a(g826 +V:: +p6694 +tp6695 +a(g435 +VI32 +p6696 +tp6697 +a(g839 +g1002 +tp6698 +a(g412 +g1357 +tp6699 +a(g412 +g1325 +tp6700 +a(g839 +g1002 +tp6701 +a(g681 +VOk +p6702 +tp6703 +a(g705 +g1261 +tp6704 +a(g435 +VVal +p6705 +tp6706 +a(g826 +V:: +p6707 +tp6708 +a(g435 +VI32 +p6709 +tp6710 +a(g705 +g1261 +tp6711 +a(g435 +Vand_32 +p6712 +tp6713 +a(g705 +g1261 +tp6714 +a(g435 +g1353 +tp6715 +a(g705 +g1421 +tp6716 +a(g435 +Vas_u32 +p6717 +tp6718 +a(g705 +g1261 +tp6719 +a(g705 +g1265 +tp6720 +a(g705 +g1265 +tp6721 +a(g705 +g1265 +tp6722 +a(g705 +g1265 +tp6723 +a(g705 +g1018 +tp6724 +a(g839 +V\u000a +p6725 +tp6726 +a(g839 +V +p6727 +tp6728 +a(g705 +g1024 +tp6729 +a(g839 +V\u000a +p6730 +tp6731 +a(g839 +V +p6732 +tp6733 +a(g705 +g1024 +tp6734 +a(g839 +V\u000a +p6735 +tp6736 +a(g839 +V +p6737 +tp6738 +a(g435 +VExpr +p6739 +tp6740 +a(g826 +V:: +p6741 +tp6742 +a(g435 +VOr +p6743 +tp6744 +a(g705 +g1261 +tp6745 +a(g435 +Vvtype +p6746 +tp6747 +a(g705 +g1018 +tp6748 +a(g839 +g1002 +tp6749 +a(g744 +Vref +p6750 +tp6751 +a(g839 +g1002 +tp6752 +a(g435 +Vvx +p6753 +tp6754 +a(g705 +g1265 +tp6755 +a(g839 +g1002 +tp6756 +a(g412 +g1357 +tp6757 +a(g412 +g1325 +tp6758 +a(g839 +g1002 +tp6759 +a(g705 +g1013 +tp6760 +a(g839 +V\u000a +p6761 +tp6762 +a(g839 +V +p6763 +tp6764 +a(g809 +Vlet +p6765 +tp6766 +a(g839 +g1002 +tp6767 +a(g435 +g1353 +tp6768 +a(g839 +g1002 +tp6769 +a(g412 +g1357 +tp6770 +a(g839 +g1002 +tp6771 +a(g435 +Vtry +p6772 +tp6773 +a(g412 +g2343 +tp6774 +a(g705 +g1261 +tp6775 +a(g693 +Vself +p6776 +tp6777 +a(g705 +g1421 +tp6778 +a(g435 +Veval_expr +p6779 +tp6780 +a(g705 +g1261 +tp6781 +a(g435 +Vvx +p6782 +tp6783 +a(g705 +g1265 +tp6784 +a(g705 +g1265 +tp6785 +a(g705 +g1026 +tp6786 +a(g839 +V\u000a +p6787 +tp6788 +a(g839 +V +p6789 +tp6790 +a(g744 +Vmatch +p6791 +tp6792 +a(g839 +g1002 +tp6793 +a(g435 +Vvtype +p6794 +tp6795 +a(g839 +g1002 +tp6796 +a(g705 +g1013 +tp6797 +a(g839 +V\u000a +p6798 +tp6799 +a(g839 +V +p6800 +tp6801 +a(g435 +VVType +p6802 +tp6803 +a(g826 +V:: +p6804 +tp6805 +a(g435 +VI16 +p6806 +tp6807 +a(g839 +g1002 +tp6808 +a(g412 +g1357 +tp6809 +a(g412 +g1325 +tp6810 +a(g839 +g1002 +tp6811 +a(g681 +VOk +p6812 +tp6813 +a(g705 +g1261 +tp6814 +a(g435 +VVal +p6815 +tp6816 +a(g826 +V:: +p6817 +tp6818 +a(g435 +VI16 +p6819 +tp6820 +a(g705 +g1261 +tp6821 +a(g435 +Vor_16 +p6822 +tp6823 +a(g705 +g1261 +tp6824 +a(g435 +Vtry +p6825 +tp6826 +a(g412 +g2343 +tp6827 +a(g705 +g1261 +tp6828 +a(g435 +g1353 +tp6829 +a(g705 +g1421 +tp6830 +a(g435 +Vas_u16 +p6831 +tp6832 +a(g705 +g1261 +tp6833 +a(g705 +g1265 +tp6834 +a(g705 +g1265 +tp6835 +a(g839 +g1002 +tp6836 +a(g744 +Vas +p6837 +tp6838 +a(g839 +g1002 +tp6839 +a(g748 +Vu32 +p6840 +tp6841 +a(g705 +g1265 +tp6842 +a(g839 +g1002 +tp6843 +a(g744 +Vas +p6844 +tp6845 +a(g839 +g1002 +tp6846 +a(g748 +Vu16 +p6847 +tp6848 +a(g705 +g1265 +tp6849 +a(g705 +g1265 +tp6850 +a(g705 +g1018 +tp6851 +a(g839 +V\u000a +p6852 +tp6853 +a(g839 +V +p6854 +tp6855 +a(g435 +VVType +p6856 +tp6857 +a(g826 +V:: +p6858 +tp6859 +a(g435 +VI32 +p6860 +tp6861 +a(g839 +g1002 +tp6862 +a(g412 +g1357 +tp6863 +a(g412 +g1325 +tp6864 +a(g839 +g1002 +tp6865 +a(g681 +VOk +p6866 +tp6867 +a(g705 +g1261 +tp6868 +a(g435 +VVal +p6869 +tp6870 +a(g826 +V:: +p6871 +tp6872 +a(g435 +VI32 +p6873 +tp6874 +a(g705 +g1261 +tp6875 +a(g435 +Vor_32 +p6876 +tp6877 +a(g705 +g1261 +tp6878 +a(g435 +g1353 +tp6879 +a(g705 +g1421 +tp6880 +a(g435 +Vas_u32 +p6881 +tp6882 +a(g705 +g1261 +tp6883 +a(g705 +g1265 +tp6884 +a(g705 +g1265 +tp6885 +a(g705 +g1265 +tp6886 +a(g705 +g1265 +tp6887 +a(g705 +g1018 +tp6888 +a(g839 +V\u000a +p6889 +tp6890 +a(g839 +V +p6891 +tp6892 +a(g705 +g1024 +tp6893 +a(g839 +V\u000a +p6894 +tp6895 +a(g839 +V +p6896 +tp6897 +a(g705 +g1024 +tp6898 +a(g839 +V\u000a +p6899 +tp6900 +a(g839 +V +p6901 +tp6902 +a(g435 +VExpr +p6903 +tp6904 +a(g826 +V:: +p6905 +tp6906 +a(g435 +VXor +p6907 +tp6908 +a(g705 +g1261 +tp6909 +a(g435 +Vvtype +p6910 +tp6911 +a(g705 +g1018 +tp6912 +a(g839 +g1002 +tp6913 +a(g744 +Vref +p6914 +tp6915 +a(g839 +g1002 +tp6916 +a(g435 +Vvx +p6917 +tp6918 +a(g705 +g1265 +tp6919 +a(g839 +g1002 +tp6920 +a(g412 +g1357 +tp6921 +a(g412 +g1325 +tp6922 +a(g839 +g1002 +tp6923 +a(g705 +g1013 +tp6924 +a(g839 +V\u000a +p6925 +tp6926 +a(g839 +V +p6927 +tp6928 +a(g809 +Vlet +p6929 +tp6930 +a(g839 +g1002 +tp6931 +a(g435 +g1353 +tp6932 +a(g839 +g1002 +tp6933 +a(g412 +g1357 +tp6934 +a(g839 +g1002 +tp6935 +a(g435 +Vtry +p6936 +tp6937 +a(g412 +g2343 +tp6938 +a(g705 +g1261 +tp6939 +a(g693 +Vself +p6940 +tp6941 +a(g705 +g1421 +tp6942 +a(g435 +Veval_expr +p6943 +tp6944 +a(g705 +g1261 +tp6945 +a(g435 +Vvx +p6946 +tp6947 +a(g705 +g1265 +tp6948 +a(g705 +g1265 +tp6949 +a(g705 +g1026 +tp6950 +a(g839 +V\u000a +p6951 +tp6952 +a(g839 +V +p6953 +tp6954 +a(g744 +Vmatch +p6955 +tp6956 +a(g839 +g1002 +tp6957 +a(g435 +Vvtype +p6958 +tp6959 +a(g839 +g1002 +tp6960 +a(g705 +g1013 +tp6961 +a(g839 +V\u000a +p6962 +tp6963 +a(g839 +V +p6964 +tp6965 +a(g435 +VVType +p6966 +tp6967 +a(g826 +V:: +p6968 +tp6969 +a(g435 +VI16 +p6970 +tp6971 +a(g839 +g1002 +tp6972 +a(g412 +g1357 +tp6973 +a(g412 +g1325 +tp6974 +a(g839 +g1002 +tp6975 +a(g681 +VOk +p6976 +tp6977 +a(g705 +g1261 +tp6978 +a(g435 +VVal +p6979 +tp6980 +a(g826 +V:: +p6981 +tp6982 +a(g435 +VI16 +p6983 +tp6984 +a(g705 +g1261 +tp6985 +a(g435 +Vxor_16 +p6986 +tp6987 +a(g705 +g1261 +tp6988 +a(g435 +Vtry +p6989 +tp6990 +a(g412 +g2343 +tp6991 +a(g705 +g1261 +tp6992 +a(g435 +g1353 +tp6993 +a(g705 +g1421 +tp6994 +a(g435 +Vas_u16 +p6995 +tp6996 +a(g705 +g1261 +tp6997 +a(g705 +g1265 +tp6998 +a(g705 +g1265 +tp6999 +a(g839 +g1002 +tp7000 +a(g744 +Vas +p7001 +tp7002 +a(g839 +g1002 +tp7003 +a(g748 +Vu32 +p7004 +tp7005 +a(g705 +g1265 +tp7006 +a(g839 +g1002 +tp7007 +a(g744 +Vas +p7008 +tp7009 +a(g839 +g1002 +tp7010 +a(g748 +Vu16 +p7011 +tp7012 +a(g705 +g1265 +tp7013 +a(g705 +g1265 +tp7014 +a(g705 +g1018 +tp7015 +a(g839 +V\u000a +p7016 +tp7017 +a(g839 +V +p7018 +tp7019 +a(g435 +VVType +p7020 +tp7021 +a(g826 +V:: +p7022 +tp7023 +a(g435 +VI32 +p7024 +tp7025 +a(g839 +g1002 +tp7026 +a(g412 +g1357 +tp7027 +a(g412 +g1325 +tp7028 +a(g839 +g1002 +tp7029 +a(g681 +VOk +p7030 +tp7031 +a(g705 +g1261 +tp7032 +a(g435 +VVal +p7033 +tp7034 +a(g826 +V:: +p7035 +tp7036 +a(g435 +VI32 +p7037 +tp7038 +a(g705 +g1261 +tp7039 +a(g435 +Vxor_32 +p7040 +tp7041 +a(g705 +g1261 +tp7042 +a(g435 +g1353 +tp7043 +a(g705 +g1421 +tp7044 +a(g435 +Vas_u32 +p7045 +tp7046 +a(g705 +g1261 +tp7047 +a(g705 +g1265 +tp7048 +a(g705 +g1265 +tp7049 +a(g705 +g1265 +tp7050 +a(g705 +g1265 +tp7051 +a(g705 +g1018 +tp7052 +a(g839 +V\u000a +p7053 +tp7054 +a(g839 +V +p7055 +tp7056 +a(g705 +g1024 +tp7057 +a(g839 +V\u000a +p7058 +tp7059 +a(g839 +V +p7060 +tp7061 +a(g705 +g1024 +tp7062 +a(g839 +V\u000a +p7063 +tp7064 +a(g839 +V +p7065 +tp7066 +a(g435 +VExpr +p7067 +tp7068 +a(g826 +V:: +p7069 +tp7070 +a(g435 +VRsNot +p7071 +tp7072 +a(g705 +g1261 +tp7073 +a(g744 +Vref +p7074 +tp7075 +a(g839 +g1002 +tp7076 +a(g435 +Vvx +p7077 +tp7078 +a(g705 +g1265 +tp7079 +a(g839 +g1002 +tp7080 +a(g412 +g1357 +tp7081 +a(g412 +g1325 +tp7082 +a(g839 +g1002 +tp7083 +a(g705 +g1013 +tp7084 +a(g839 +V\u000a +p7085 +tp7086 +a(g839 +V +p7087 +tp7088 +a(g809 +Vlet +p7089 +tp7090 +a(g839 +g1002 +tp7091 +a(g435 +g1353 +tp7092 +a(g839 +g1002 +tp7093 +a(g412 +g1357 +tp7094 +a(g839 +g1002 +tp7095 +a(g435 +Vtry +p7096 +tp7097 +a(g412 +g2343 +tp7098 +a(g705 +g1261 +tp7099 +a(g693 +Vself +p7100 +tp7101 +a(g705 +g1421 +tp7102 +a(g435 +Veval_expr +p7103 +tp7104 +a(g705 +g1261 +tp7105 +a(g435 +Vvx +p7106 +tp7107 +a(g705 +g1265 +tp7108 +a(g705 +g1265 +tp7109 +a(g705 +g1026 +tp7110 +a(g839 +V\u000a +p7111 +tp7112 +a(g839 +V +p7113 +tp7114 +a(g681 +VOk +p7115 +tp7116 +a(g705 +g1261 +tp7117 +a(g435 +VVal +p7118 +tp7119 +a(g826 +V:: +p7120 +tp7121 +a(g435 +VI32 +p7122 +tp7123 +a(g705 +g1261 +tp7124 +a(g412 +g2343 +tp7125 +a(g435 +g1353 +tp7126 +a(g705 +g1421 +tp7127 +a(g435 +Vas_u32 +p7128 +tp7129 +a(g705 +g1261 +tp7130 +a(g705 +g1265 +tp7131 +a(g705 +g1265 +tp7132 +a(g705 +g1265 +tp7133 +a(g839 +V\u000a +p7134 +tp7135 +a(g839 +V +p7136 +tp7137 +a(g705 +g1024 +tp7138 +a(g839 +V\u000a +p7139 +tp7140 +a(g839 +V +p7141 +tp7142 +a(g435 +VExpr +p7143 +tp7144 +a(g826 +V:: +p7145 +tp7146 +a(g435 +VRsAnd +p7147 +tp7148 +a(g705 +g1261 +tp7149 +a(g744 +Vref +p7150 +tp7151 +a(g839 +g1002 +tp7152 +a(g435 +Vvx +p7153 +tp7154 +a(g705 +g1018 +tp7155 +a(g839 +g1002 +tp7156 +a(g744 +Vref +p7157 +tp7158 +a(g839 +g1002 +tp7159 +a(g435 +Vwx +p7160 +tp7161 +a(g705 +g1265 +tp7162 +a(g839 +g1002 +tp7163 +a(g412 +g1357 +tp7164 +a(g412 +g1325 +tp7165 +a(g839 +g1002 +tp7166 +a(g705 +g1013 +tp7167 +a(g839 +V\u000a +p7168 +tp7169 +a(g839 +V +p7170 +tp7171 +a(g809 +Vlet +p7172 +tp7173 +a(g839 +g1002 +tp7174 +a(g435 +g1353 +tp7175 +a(g839 +g1002 +tp7176 +a(g412 +g1357 +tp7177 +a(g839 +g1002 +tp7178 +a(g435 +Vtry +p7179 +tp7180 +a(g412 +g2343 +tp7181 +a(g705 +g1261 +tp7182 +a(g693 +Vself +p7183 +tp7184 +a(g705 +g1421 +tp7185 +a(g435 +Veval_expr +p7186 +tp7187 +a(g705 +g1261 +tp7188 +a(g435 +Vvx +p7189 +tp7190 +a(g705 +g1265 +tp7191 +a(g705 +g1265 +tp7192 +a(g705 +g1026 +tp7193 +a(g839 +V\u000a +p7194 +tp7195 +a(g839 +V +p7196 +tp7197 +a(g809 +Vlet +p7198 +tp7199 +a(g839 +g1002 +tp7200 +a(g435 +g6256 +tp7201 +a(g839 +g1002 +tp7202 +a(g412 +g1357 +tp7203 +a(g839 +g1002 +tp7204 +a(g435 +Vtry +p7205 +tp7206 +a(g412 +g2343 +tp7207 +a(g705 +g1261 +tp7208 +a(g693 +Vself +p7209 +tp7210 +a(g705 +g1421 +tp7211 +a(g435 +Veval_expr +p7212 +tp7213 +a(g705 +g1261 +tp7214 +a(g435 +Vwx +p7215 +tp7216 +a(g705 +g1265 +tp7217 +a(g705 +g1265 +tp7218 +a(g705 +g1026 +tp7219 +a(g839 +V\u000a +p7220 +tp7221 +a(g839 +V +p7222 +tp7223 +a(g681 +VOk +p7224 +tp7225 +a(g705 +g1261 +tp7226 +a(g435 +VVal +p7227 +tp7228 +a(g826 +V:: +p7229 +tp7230 +a(g435 +VI32 +p7231 +tp7232 +a(g705 +g1261 +tp7233 +a(g435 +g1353 +tp7234 +a(g705 +g1421 +tp7235 +a(g435 +Vas_u32 +p7236 +tp7237 +a(g705 +g1261 +tp7238 +a(g705 +g1265 +tp7239 +a(g839 +g1002 +tp7240 +a(g412 +g1310 +tp7241 +a(g839 +g1002 +tp7242 +a(g435 +g6256 +tp7243 +a(g705 +g1421 +tp7244 +a(g435 +Vas_u32 +p7245 +tp7246 +a(g705 +g1261 +tp7247 +a(g705 +g1265 +tp7248 +a(g705 +g1265 +tp7249 +a(g705 +g1265 +tp7250 +a(g839 +V\u000a +p7251 +tp7252 +a(g839 +V +p7253 +tp7254 +a(g705 +g1024 +tp7255 +a(g839 +V\u000a +p7256 +tp7257 +a(g839 +V +p7258 +tp7259 +a(g435 +VExpr +p7260 +tp7261 +a(g826 +V:: +p7262 +tp7263 +a(g435 +VRsOr +p7264 +tp7265 +a(g705 +g1261 +tp7266 +a(g744 +Vref +p7267 +tp7268 +a(g839 +g1002 +tp7269 +a(g435 +Vvx +p7270 +tp7271 +a(g705 +g1018 +tp7272 +a(g839 +g1002 +tp7273 +a(g744 +Vref +p7274 +tp7275 +a(g839 +g1002 +tp7276 +a(g435 +Vwx +p7277 +tp7278 +a(g705 +g1265 +tp7279 +a(g839 +g1002 +tp7280 +a(g412 +g1357 +tp7281 +a(g412 +g1325 +tp7282 +a(g839 +g1002 +tp7283 +a(g705 +g1013 +tp7284 +a(g839 +V\u000a +p7285 +tp7286 +a(g839 +V +p7287 +tp7288 +a(g809 +Vlet +p7289 +tp7290 +a(g839 +g1002 +tp7291 +a(g435 +g1353 +tp7292 +a(g839 +g1002 +tp7293 +a(g412 +g1357 +tp7294 +a(g839 +g1002 +tp7295 +a(g435 +Vtry +p7296 +tp7297 +a(g412 +g2343 +tp7298 +a(g705 +g1261 +tp7299 +a(g693 +Vself +p7300 +tp7301 +a(g705 +g1421 +tp7302 +a(g435 +Veval_expr +p7303 +tp7304 +a(g705 +g1261 +tp7305 +a(g435 +Vvx +p7306 +tp7307 +a(g705 +g1265 +tp7308 +a(g705 +g1265 +tp7309 +a(g705 +g1026 +tp7310 +a(g839 +V\u000a +p7311 +tp7312 +a(g839 +V +p7313 +tp7314 +a(g809 +Vlet +p7315 +tp7316 +a(g839 +g1002 +tp7317 +a(g435 +g6256 +tp7318 +a(g839 +g1002 +tp7319 +a(g412 +g1357 +tp7320 +a(g839 +g1002 +tp7321 +a(g435 +Vtry +p7322 +tp7323 +a(g412 +g2343 +tp7324 +a(g705 +g1261 +tp7325 +a(g693 +Vself +p7326 +tp7327 +a(g705 +g1421 +tp7328 +a(g435 +Veval_expr +p7329 +tp7330 +a(g705 +g1261 +tp7331 +a(g435 +Vwx +p7332 +tp7333 +a(g705 +g1265 +tp7334 +a(g705 +g1265 +tp7335 +a(g705 +g1026 +tp7336 +a(g839 +V\u000a +p7337 +tp7338 +a(g839 +V +p7339 +tp7340 +a(g681 +VOk +p7341 +tp7342 +a(g705 +g1261 +tp7343 +a(g435 +VVal +p7344 +tp7345 +a(g826 +V:: +p7346 +tp7347 +a(g435 +VI32 +p7348 +tp7349 +a(g705 +g1261 +tp7350 +a(g435 +g1353 +tp7351 +a(g705 +g1421 +tp7352 +a(g435 +Vas_u32 +p7353 +tp7354 +a(g705 +g1261 +tp7355 +a(g705 +g1265 +tp7356 +a(g839 +g1002 +tp7357 +a(g412 +g2183 +tp7358 +a(g839 +g1002 +tp7359 +a(g435 +g6256 +tp7360 +a(g705 +g1421 +tp7361 +a(g435 +Vas_u32 +p7362 +tp7363 +a(g705 +g1261 +tp7364 +a(g705 +g1265 +tp7365 +a(g705 +g1265 +tp7366 +a(g705 +g1265 +tp7367 +a(g839 +V\u000a +p7368 +tp7369 +a(g839 +V +p7370 +tp7371 +a(g705 +g1024 +tp7372 +a(g839 +V\u000a +p7373 +tp7374 +a(g839 +V +p7375 +tp7376 +a(g435 +VExpr +p7377 +tp7378 +a(g826 +V:: +p7379 +tp7380 +a(g435 +VRsXor +p7381 +tp7382 +a(g705 +g1261 +tp7383 +a(g744 +Vref +p7384 +tp7385 +a(g839 +g1002 +tp7386 +a(g435 +Vvx +p7387 +tp7388 +a(g705 +g1018 +tp7389 +a(g839 +g1002 +tp7390 +a(g744 +Vref +p7391 +tp7392 +a(g839 +g1002 +tp7393 +a(g435 +Vwx +p7394 +tp7395 +a(g705 +g1265 +tp7396 +a(g839 +g1002 +tp7397 +a(g412 +g1357 +tp7398 +a(g412 +g1325 +tp7399 +a(g839 +g1002 +tp7400 +a(g705 +g1013 +tp7401 +a(g839 +V\u000a +p7402 +tp7403 +a(g839 +V +p7404 +tp7405 +a(g809 +Vlet +p7406 +tp7407 +a(g839 +g1002 +tp7408 +a(g435 +g1353 +tp7409 +a(g839 +g1002 +tp7410 +a(g412 +g1357 +tp7411 +a(g839 +g1002 +tp7412 +a(g435 +Vtry +p7413 +tp7414 +a(g412 +g2343 +tp7415 +a(g705 +g1261 +tp7416 +a(g693 +Vself +p7417 +tp7418 +a(g705 +g1421 +tp7419 +a(g435 +Veval_expr +p7420 +tp7421 +a(g705 +g1261 +tp7422 +a(g435 +Vvx +p7423 +tp7424 +a(g705 +g1265 +tp7425 +a(g705 +g1265 +tp7426 +a(g705 +g1026 +tp7427 +a(g839 +V\u000a +p7428 +tp7429 +a(g839 +V +p7430 +tp7431 +a(g809 +Vlet +p7432 +tp7433 +a(g839 +g1002 +tp7434 +a(g435 +g6256 +tp7435 +a(g839 +g1002 +tp7436 +a(g412 +g1357 +tp7437 +a(g839 +g1002 +tp7438 +a(g435 +Vtry +p7439 +tp7440 +a(g412 +g2343 +tp7441 +a(g705 +g1261 +tp7442 +a(g693 +Vself +p7443 +tp7444 +a(g705 +g1421 +tp7445 +a(g435 +Veval_expr +p7446 +tp7447 +a(g705 +g1261 +tp7448 +a(g435 +Vwx +p7449 +tp7450 +a(g705 +g1265 +tp7451 +a(g705 +g1265 +tp7452 +a(g705 +g1026 +tp7453 +a(g839 +V\u000a +p7454 +tp7455 +a(g839 +V +p7456 +tp7457 +a(g681 +VOk +p7458 +tp7459 +a(g705 +g1261 +tp7460 +a(g435 +VVal +p7461 +tp7462 +a(g826 +V:: +p7463 +tp7464 +a(g435 +VI32 +p7465 +tp7466 +a(g705 +g1261 +tp7467 +a(g435 +g1353 +tp7468 +a(g705 +g1421 +tp7469 +a(g435 +Vas_u32 +p7470 +tp7471 +a(g705 +g1261 +tp7472 +a(g705 +g1265 +tp7473 +a(g839 +g1002 +tp7474 +a(g412 +V^ +p7475 +tp7476 +a(g839 +g1002 +tp7477 +a(g435 +g6256 +tp7478 +a(g705 +g1421 +tp7479 +a(g435 +Vas_u32 +p7480 +tp7481 +a(g705 +g1261 +tp7482 +a(g705 +g1265 +tp7483 +a(g705 +g1265 +tp7484 +a(g705 +g1265 +tp7485 +a(g839 +V\u000a +p7486 +tp7487 +a(g839 +V +p7488 +tp7489 +a(g705 +g1024 +tp7490 +a(g839 +V\u000a +p7491 +tp7492 +a(g839 +V +p7493 +tp7494 +a(g435 +VExpr +p7495 +tp7496 +a(g826 +V:: +p7497 +tp7498 +a(g435 +VRsRshift +p7499 +tp7500 +a(g705 +g1261 +tp7501 +a(g744 +Vref +p7502 +tp7503 +a(g839 +g1002 +tp7504 +a(g435 +Vvx +p7505 +tp7506 +a(g705 +g1018 +tp7507 +a(g839 +g1002 +tp7508 +a(g744 +Vref +p7509 +tp7510 +a(g839 +g1002 +tp7511 +a(g435 +Vwx +p7512 +tp7513 +a(g705 +g1265 +tp7514 +a(g839 +g1002 +tp7515 +a(g412 +g1357 +tp7516 +a(g412 +g1325 +tp7517 +a(g839 +g1002 +tp7518 +a(g705 +g1013 +tp7519 +a(g839 +V\u000a +p7520 +tp7521 +a(g839 +V +p7522 +tp7523 +a(g809 +Vlet +p7524 +tp7525 +a(g839 +g1002 +tp7526 +a(g435 +g1353 +tp7527 +a(g839 +g1002 +tp7528 +a(g412 +g1357 +tp7529 +a(g839 +g1002 +tp7530 +a(g435 +Vtry +p7531 +tp7532 +a(g412 +g2343 +tp7533 +a(g705 +g1261 +tp7534 +a(g693 +Vself +p7535 +tp7536 +a(g705 +g1421 +tp7537 +a(g435 +Veval_expr +p7538 +tp7539 +a(g705 +g1261 +tp7540 +a(g435 +Vvx +p7541 +tp7542 +a(g705 +g1265 +tp7543 +a(g705 +g1265 +tp7544 +a(g705 +g1026 +tp7545 +a(g839 +V\u000a +p7546 +tp7547 +a(g839 +V +p7548 +tp7549 +a(g809 +Vlet +p7550 +tp7551 +a(g839 +g1002 +tp7552 +a(g435 +g6256 +tp7553 +a(g839 +g1002 +tp7554 +a(g412 +g1357 +tp7555 +a(g839 +g1002 +tp7556 +a(g435 +Vtry +p7557 +tp7558 +a(g412 +g2343 +tp7559 +a(g705 +g1261 +tp7560 +a(g693 +Vself +p7561 +tp7562 +a(g705 +g1421 +tp7563 +a(g435 +Veval_expr +p7564 +tp7565 +a(g705 +g1261 +tp7566 +a(g435 +Vwx +p7567 +tp7568 +a(g705 +g1265 +tp7569 +a(g705 +g1265 +tp7570 +a(g705 +g1026 +tp7571 +a(g839 +V\u000a +p7572 +tp7573 +a(g839 +V +p7574 +tp7575 +a(g681 +VOk +p7576 +tp7577 +a(g705 +g1261 +tp7578 +a(g435 +VVal +p7579 +tp7580 +a(g826 +V:: +p7581 +tp7582 +a(g435 +VI32 +p7583 +tp7584 +a(g705 +g1261 +tp7585 +a(g435 +g1353 +tp7586 +a(g705 +g1421 +tp7587 +a(g435 +Vas_u32 +p7588 +tp7589 +a(g705 +g1261 +tp7590 +a(g705 +g1265 +tp7591 +a(g839 +g1002 +tp7592 +a(g412 +g1325 +tp7593 +a(g412 +g1325 +tp7594 +a(g839 +g1002 +tp7595 +a(g435 +g6256 +tp7596 +a(g705 +g1421 +tp7597 +a(g435 +Vas_u32 +p7598 +tp7599 +a(g705 +g1261 +tp7600 +a(g705 +g1265 +tp7601 +a(g705 +g1265 +tp7602 +a(g705 +g1265 +tp7603 +a(g839 +V\u000a +p7604 +tp7605 +a(g839 +V +p7606 +tp7607 +a(g705 +g1024 +tp7608 +a(g839 +V\u000a +p7609 +tp7610 +a(g839 +V +p7611 +tp7612 +a(g435 +VExpr +p7613 +tp7614 +a(g826 +V:: +p7615 +tp7616 +a(g435 +VRsLshift +p7617 +tp7618 +a(g705 +g1261 +tp7619 +a(g744 +Vref +p7620 +tp7621 +a(g839 +g1002 +tp7622 +a(g435 +Vvx +p7623 +tp7624 +a(g705 +g1018 +tp7625 +a(g839 +g1002 +tp7626 +a(g744 +Vref +p7627 +tp7628 +a(g839 +g1002 +tp7629 +a(g435 +Vwx +p7630 +tp7631 +a(g705 +g1265 +tp7632 +a(g839 +g1002 +tp7633 +a(g412 +g1357 +tp7634 +a(g412 +g1325 +tp7635 +a(g839 +g1002 +tp7636 +a(g705 +g1013 +tp7637 +a(g839 +V\u000a +p7638 +tp7639 +a(g839 +V +p7640 +tp7641 +a(g809 +Vlet +p7642 +tp7643 +a(g839 +g1002 +tp7644 +a(g435 +g1353 +tp7645 +a(g839 +g1002 +tp7646 +a(g412 +g1357 +tp7647 +a(g839 +g1002 +tp7648 +a(g435 +Vtry +p7649 +tp7650 +a(g412 +g2343 +tp7651 +a(g705 +g1261 +tp7652 +a(g693 +Vself +p7653 +tp7654 +a(g705 +g1421 +tp7655 +a(g435 +Veval_expr +p7656 +tp7657 +a(g705 +g1261 +tp7658 +a(g435 +Vvx +p7659 +tp7660 +a(g705 +g1265 +tp7661 +a(g705 +g1265 +tp7662 +a(g705 +g1026 +tp7663 +a(g839 +V\u000a +p7664 +tp7665 +a(g839 +V +p7666 +tp7667 +a(g809 +Vlet +p7668 +tp7669 +a(g839 +g1002 +tp7670 +a(g435 +g6256 +tp7671 +a(g839 +g1002 +tp7672 +a(g412 +g1357 +tp7673 +a(g839 +g1002 +tp7674 +a(g435 +Vtry +p7675 +tp7676 +a(g412 +g2343 +tp7677 +a(g705 +g1261 +tp7678 +a(g693 +Vself +p7679 +tp7680 +a(g705 +g1421 +tp7681 +a(g435 +Veval_expr +p7682 +tp7683 +a(g705 +g1261 +tp7684 +a(g435 +Vwx +p7685 +tp7686 +a(g705 +g1265 +tp7687 +a(g705 +g1265 +tp7688 +a(g705 +g1026 +tp7689 +a(g839 +V\u000a +p7690 +tp7691 +a(g839 +V +p7692 +tp7693 +a(g681 +VOk +p7694 +tp7695 +a(g705 +g1261 +tp7696 +a(g435 +VVal +p7697 +tp7698 +a(g826 +V:: +p7699 +tp7700 +a(g435 +VI32 +p7701 +tp7702 +a(g705 +g1261 +tp7703 +a(g435 +g1353 +tp7704 +a(g705 +g1421 +tp7705 +a(g435 +Vas_u32 +p7706 +tp7707 +a(g705 +g1261 +tp7708 +a(g705 +g1265 +tp7709 +a(g839 +g1002 +tp7710 +a(g412 +g1321 +tp7711 +a(g412 +g1321 +tp7712 +a(g839 +g1002 +tp7713 +a(g435 +g6256 +tp7714 +a(g705 +g1421 +tp7715 +a(g435 +Vas_u32 +p7716 +tp7717 +a(g705 +g1261 +tp7718 +a(g705 +g1265 +tp7719 +a(g705 +g1265 +tp7720 +a(g705 +g1265 +tp7721 +a(g839 +V\u000a +p7722 +tp7723 +a(g839 +V +p7724 +tp7725 +a(g705 +g1024 +tp7726 +a(g839 +V\u000a +p7727 +tp7728 +a(g839 +V +p7729 +tp7730 +a(g8 +V// Expr::RsEqual(ref vx, ref wx) => {\u000a +p7731 +tp7732 +a(g839 +V +p7733 +tp7734 +a(g8 +V// let v = try!(self.eval_expr(vx));\u000a +p7735 +tp7736 +a(g839 +V +p7737 +tp7738 +a(g8 +V// let w = try!(self.eval_expr(wx));\u000a +p7739 +tp7740 +a(g839 +V +p7741 +tp7742 +a(g8 +V// Ok(Val::I32((v.as_u32() == w.as_u32()) as u32))\u000a +p7743 +tp7744 +a(g839 +V +p7745 +tp7746 +a(g8 +V// }\u000a +p7747 +tp7748 +a(g839 +V +p7749 +tp7750 +a(g435 +VExpr +p7751 +tp7752 +a(g826 +V:: +p7753 +tp7754 +a(g435 +VRsNotEqual +p7755 +tp7756 +a(g705 +g1261 +tp7757 +a(g744 +Vref +p7758 +tp7759 +a(g839 +g1002 +tp7760 +a(g435 +Vvx +p7761 +tp7762 +a(g705 +g1018 +tp7763 +a(g839 +g1002 +tp7764 +a(g744 +Vref +p7765 +tp7766 +a(g839 +g1002 +tp7767 +a(g435 +Vwx +p7768 +tp7769 +a(g705 +g1265 +tp7770 +a(g839 +g1002 +tp7771 +a(g412 +g1357 +tp7772 +a(g412 +g1325 +tp7773 +a(g839 +g1002 +tp7774 +a(g705 +g1013 +tp7775 +a(g839 +V\u000a +p7776 +tp7777 +a(g839 +V +p7778 +tp7779 +a(g809 +Vlet +p7780 +tp7781 +a(g839 +g1002 +tp7782 +a(g435 +g1353 +tp7783 +a(g839 +g1002 +tp7784 +a(g412 +g1357 +tp7785 +a(g839 +g1002 +tp7786 +a(g435 +Vtry +p7787 +tp7788 +a(g412 +g2343 +tp7789 +a(g705 +g1261 +tp7790 +a(g693 +Vself +p7791 +tp7792 +a(g705 +g1421 +tp7793 +a(g435 +Veval_expr +p7794 +tp7795 +a(g705 +g1261 +tp7796 +a(g435 +Vvx +p7797 +tp7798 +a(g705 +g1265 +tp7799 +a(g705 +g1265 +tp7800 +a(g705 +g1026 +tp7801 +a(g839 +V\u000a +p7802 +tp7803 +a(g839 +V +p7804 +tp7805 +a(g809 +Vlet +p7806 +tp7807 +a(g839 +g1002 +tp7808 +a(g435 +g6256 +tp7809 +a(g839 +g1002 +tp7810 +a(g412 +g1357 +tp7811 +a(g839 +g1002 +tp7812 +a(g435 +Vtry +p7813 +tp7814 +a(g412 +g2343 +tp7815 +a(g705 +g1261 +tp7816 +a(g693 +Vself +p7817 +tp7818 +a(g705 +g1421 +tp7819 +a(g435 +Veval_expr +p7820 +tp7821 +a(g705 +g1261 +tp7822 +a(g435 +Vwx +p7823 +tp7824 +a(g705 +g1265 +tp7825 +a(g705 +g1265 +tp7826 +a(g705 +g1026 +tp7827 +a(g839 +V\u000a +p7828 +tp7829 +a(g839 +V +p7830 +tp7831 +a(g681 +VOk +p7832 +tp7833 +a(g705 +g1261 +tp7834 +a(g435 +VVal +p7835 +tp7836 +a(g826 +V:: +p7837 +tp7838 +a(g435 +VI32 +p7839 +tp7840 +a(g705 +g1261 +tp7841 +a(g705 +g1261 +tp7842 +a(g435 +g1353 +tp7843 +a(g705 +g1421 +tp7844 +a(g435 +Vas_u32 +p7845 +tp7846 +a(g705 +g1261 +tp7847 +a(g705 +g1265 +tp7848 +a(g839 +g1002 +tp7849 +a(g412 +g2343 +tp7850 +a(g412 +g1357 +tp7851 +a(g839 +g1002 +tp7852 +a(g435 +g6256 +tp7853 +a(g705 +g1421 +tp7854 +a(g435 +Vas_u32 +p7855 +tp7856 +a(g705 +g1261 +tp7857 +a(g705 +g1265 +tp7858 +a(g705 +g1265 +tp7859 +a(g839 +g1002 +tp7860 +a(g744 +Vas +p7861 +tp7862 +a(g839 +g1002 +tp7863 +a(g748 +Vu32 +p7864 +tp7865 +a(g705 +g1265 +tp7866 +a(g705 +g1265 +tp7867 +a(g839 +V\u000a +p7868 +tp7869 +a(g839 +V +p7870 +tp7871 +a(g705 +g1024 +tp7872 +a(g839 +V\u000a +p7873 +tp7874 +a(g839 +V +p7875 +tp7876 +a(g435 +VExpr +p7877 +tp7878 +a(g826 +V:: +p7879 +tp7880 +a(g435 +VRsPlus +p7881 +tp7882 +a(g705 +g1261 +tp7883 +a(g744 +Vref +p7884 +tp7885 +a(g839 +g1002 +tp7886 +a(g435 +Vvx +p7887 +tp7888 +a(g705 +g1018 +tp7889 +a(g839 +g1002 +tp7890 +a(g744 +Vref +p7891 +tp7892 +a(g839 +g1002 +tp7893 +a(g435 +Vwx +p7894 +tp7895 +a(g705 +g1265 +tp7896 +a(g839 +g1002 +tp7897 +a(g412 +g1357 +tp7898 +a(g412 +g1325 +tp7899 +a(g839 +g1002 +tp7900 +a(g705 +g1013 +tp7901 +a(g839 +V\u000a +p7902 +tp7903 +a(g839 +V +p7904 +tp7905 +a(g809 +Vlet +p7906 +tp7907 +a(g839 +g1002 +tp7908 +a(g435 +g1353 +tp7909 +a(g839 +g1002 +tp7910 +a(g412 +g1357 +tp7911 +a(g839 +g1002 +tp7912 +a(g435 +Vtry +p7913 +tp7914 +a(g412 +g2343 +tp7915 +a(g705 +g1261 +tp7916 +a(g693 +Vself +p7917 +tp7918 +a(g705 +g1421 +tp7919 +a(g435 +Veval_expr +p7920 +tp7921 +a(g705 +g1261 +tp7922 +a(g435 +Vvx +p7923 +tp7924 +a(g705 +g1265 +tp7925 +a(g705 +g1265 +tp7926 +a(g705 +g1026 +tp7927 +a(g839 +V\u000a +p7928 +tp7929 +a(g839 +V +p7930 +tp7931 +a(g809 +Vlet +p7932 +tp7933 +a(g839 +g1002 +tp7934 +a(g435 +g6256 +tp7935 +a(g839 +g1002 +tp7936 +a(g412 +g1357 +tp7937 +a(g839 +g1002 +tp7938 +a(g435 +Vtry +p7939 +tp7940 +a(g412 +g2343 +tp7941 +a(g705 +g1261 +tp7942 +a(g693 +Vself +p7943 +tp7944 +a(g705 +g1421 +tp7945 +a(g435 +Veval_expr +p7946 +tp7947 +a(g705 +g1261 +tp7948 +a(g435 +Vwx +p7949 +tp7950 +a(g705 +g1265 +tp7951 +a(g705 +g1265 +tp7952 +a(g705 +g1026 +tp7953 +a(g839 +V\u000a +p7954 +tp7955 +a(g839 +V +p7956 +tp7957 +a(g681 +VOk +p7958 +tp7959 +a(g705 +g1261 +tp7960 +a(g435 +VVal +p7961 +tp7962 +a(g826 +V:: +p7963 +tp7964 +a(g435 +VI32 +p7965 +tp7966 +a(g705 +g1261 +tp7967 +a(g435 +g1353 +tp7968 +a(g705 +g1421 +tp7969 +a(g435 +Vas_u32 +p7970 +tp7971 +a(g705 +g1261 +tp7972 +a(g705 +g1265 +tp7973 +a(g839 +g1002 +tp7974 +a(g412 +g2806 +tp7975 +a(g839 +g1002 +tp7976 +a(g435 +g6256 +tp7977 +a(g705 +g1421 +tp7978 +a(g435 +Vas_u32 +p7979 +tp7980 +a(g705 +g1261 +tp7981 +a(g705 +g1265 +tp7982 +a(g705 +g1265 +tp7983 +a(g705 +g1265 +tp7984 +a(g839 +V\u000a +p7985 +tp7986 +a(g839 +V +p7987 +tp7988 +a(g705 +g1024 +tp7989 +a(g839 +V\u000a +p7990 +tp7991 +a(g839 +V +p7992 +tp7993 +a(g435 +VExpr +p7994 +tp7995 +a(g826 +V:: +p7996 +tp7997 +a(g435 +VRsMinus +p7998 +tp7999 +a(g705 +g1261 +tp8000 +a(g744 +Vref +p8001 +tp8002 +a(g839 +g1002 +tp8003 +a(g435 +Vvx +p8004 +tp8005 +a(g705 +g1018 +tp8006 +a(g839 +g1002 +tp8007 +a(g744 +Vref +p8008 +tp8009 +a(g839 +g1002 +tp8010 +a(g435 +Vwx +p8011 +tp8012 +a(g705 +g1265 +tp8013 +a(g839 +g1002 +tp8014 +a(g412 +g1357 +tp8015 +a(g412 +g1325 +tp8016 +a(g839 +g1002 +tp8017 +a(g705 +g1013 +tp8018 +a(g839 +V\u000a +p8019 +tp8020 +a(g839 +V +p8021 +tp8022 +a(g809 +Vlet +p8023 +tp8024 +a(g839 +g1002 +tp8025 +a(g435 +g1353 +tp8026 +a(g839 +g1002 +tp8027 +a(g412 +g1357 +tp8028 +a(g839 +g1002 +tp8029 +a(g435 +Vtry +p8030 +tp8031 +a(g412 +g2343 +tp8032 +a(g705 +g1261 +tp8033 +a(g693 +Vself +p8034 +tp8035 +a(g705 +g1421 +tp8036 +a(g435 +Veval_expr +p8037 +tp8038 +a(g705 +g1261 +tp8039 +a(g435 +Vvx +p8040 +tp8041 +a(g705 +g1265 +tp8042 +a(g705 +g1265 +tp8043 +a(g705 +g1026 +tp8044 +a(g839 +V\u000a +p8045 +tp8046 +a(g839 +V +p8047 +tp8048 +a(g809 +Vlet +p8049 +tp8050 +a(g839 +g1002 +tp8051 +a(g435 +g6256 +tp8052 +a(g839 +g1002 +tp8053 +a(g412 +g1357 +tp8054 +a(g839 +g1002 +tp8055 +a(g435 +Vtry +p8056 +tp8057 +a(g412 +g2343 +tp8058 +a(g705 +g1261 +tp8059 +a(g693 +Vself +p8060 +tp8061 +a(g705 +g1421 +tp8062 +a(g435 +Veval_expr +p8063 +tp8064 +a(g705 +g1261 +tp8065 +a(g435 +Vwx +p8066 +tp8067 +a(g705 +g1265 +tp8068 +a(g705 +g1265 +tp8069 +a(g705 +g1026 +tp8070 +a(g839 +V\u000a +p8071 +tp8072 +a(g839 +V +p8073 +tp8074 +a(g681 +VOk +p8075 +tp8076 +a(g705 +g1261 +tp8077 +a(g435 +VVal +p8078 +tp8079 +a(g826 +V:: +p8080 +tp8081 +a(g435 +VI32 +p8082 +tp8083 +a(g705 +g1261 +tp8084 +a(g435 +g1353 +tp8085 +a(g705 +g1421 +tp8086 +a(g435 +Vas_u32 +p8087 +tp8088 +a(g705 +g1261 +tp8089 +a(g705 +g1265 +tp8090 +a(g839 +g1002 +tp8091 +a(g412 +g2754 +tp8092 +a(g839 +g1002 +tp8093 +a(g435 +g6256 +tp8094 +a(g705 +g1421 +tp8095 +a(g435 +Vas_u32 +p8096 +tp8097 +a(g705 +g1261 +tp8098 +a(g705 +g1265 +tp8099 +a(g705 +g1265 +tp8100 +a(g705 +g1265 +tp8101 +a(g839 +V\u000a +p8102 +tp8103 +a(g839 +V +p8104 +tp8105 +a(g705 +g1024 +tp8106 +a(g839 +V\u000a +p8107 +tp8108 +a(g839 +V +p8109 +tp8110 +a(g705 +g1024 +tp8111 +a(g839 +V\u000a +p8112 +tp8113 +a(g839 +V +p8114 +tp8115 +a(g705 +g1024 +tp8116 +a(g839 +V\u000a +p8117 +tp8118 +a(g839 +V\u000a +p8119 +tp8120 +a(g839 +V +p8121 +tp8122 +a(g898 +V#[ +p8123 +tp8124 +a(g898 +Vinline +p8125 +tp8126 +a(g898 +g1241 +tp8127 +a(g839 +V\u000a +p8128 +tp8129 +a(g839 +V +p8130 +tp8131 +a(g744 +Vfn +p8132 +tp8133 +a(g826 +g1002 +tp8134 +a(g573 +Veval_subs +p8135 +tp8136 +a(g705 +g1261 +tp8137 +a(g412 +g1310 +tp8138 +a(g693 +Vself +p8139 +tp8140 +a(g705 +g1018 +tp8141 +a(g839 +g1002 +tp8142 +a(g435 +Vsubs +p8143 +tp8144 +a(g826 +g1010 +tp8145 +a(g826 +g1002 +tp8146 +a(g785 +g1310 +tp8147 +a(g681 +VVec +p8148 +tp8149 +a(g412 +g1321 +tp8150 +a(g435 +VExpr +p8151 +tp8152 +a(g412 +g1325 +tp8153 +a(g705 +g1265 +tp8154 +a(g839 +g1002 +tp8155 +a(g826 +V-> +p8156 +tp8157 +a(g826 +g1002 +tp8158 +a(g628 +VRes +p8159 +tp8160 +a(g412 +g1321 +tp8161 +a(g681 +VVec +p8162 +tp8163 +a(g412 +g1321 +tp8164 +a(g748 +Vusize +p8165 +tp8166 +a(g412 +g1325 +tp8167 +a(g412 +g1325 +tp8168 +a(g839 +g1002 +tp8169 +a(g705 +g1013 +tp8170 +a(g839 +V\u000a +p8171 +tp8172 +a(g839 +V +p8173 +tp8174 +a(g435 +Vsubs +p8175 +tp8176 +a(g705 +g1421 +tp8177 +a(g435 +Viter +p8178 +tp8179 +a(g705 +g1261 +tp8180 +a(g705 +g1265 +tp8181 +a(g705 +g1421 +tp8182 +a(g435 +Vmap +p8183 +tp8184 +a(g705 +g1261 +tp8185 +a(g412 +g2183 +tp8186 +a(g435 +g1353 +tp8187 +a(g412 +g2183 +tp8188 +a(g839 +g1002 +tp8189 +a(g693 +Vself +p8190 +tp8191 +a(g705 +g1421 +tp8192 +a(g435 +Veval_expr +p8193 +tp8194 +a(g705 +g1261 +tp8195 +a(g435 +g1353 +tp8196 +a(g705 +g1265 +tp8197 +a(g705 +g1421 +tp8198 +a(g435 +Vmap +p8199 +tp8200 +a(g705 +g1261 +tp8201 +a(g412 +g2183 +tp8202 +a(g435 +g6256 +tp8203 +a(g412 +g2183 +tp8204 +a(g839 +g1002 +tp8205 +a(g435 +g6256 +tp8206 +a(g705 +g1421 +tp8207 +a(g435 +Vas_usize +p8208 +tp8209 +a(g705 +g1261 +tp8210 +a(g705 +g1265 +tp8211 +a(g705 +g1265 +tp8212 +a(g705 +g1265 +tp8213 +a(g705 +g1421 +tp8214 +a(g435 +Vcollect +p8215 +tp8216 +a(g705 +g1261 +tp8217 +a(g705 +g1265 +tp8218 +a(g839 +V\u000a +p8219 +tp8220 +a(g839 +V +p8221 +tp8222 +a(g705 +g1024 +tp8223 +a(g839 +V\u000a +p8224 +tp8225 +a(g839 +V\u000a +p8226 +tp8227 +a(g839 +V +p8228 +tp8229 +a(g222 +V/// Dimension an array.\u000a +p8230 +tp8231 +a(g839 +V +p8232 +tp8233 +a(g744 +Vfn +p8234 +tp8235 +a(g826 +g1002 +tp8236 +a(g573 +Varray_dim +p8237 +tp8238 +a(g705 +g1261 +tp8239 +a(g412 +g1310 +tp8240 +a(g744 +Vmut +p8241 +tp8242 +a(g839 +g1002 +tp8243 +a(g693 +Vself +p8244 +tp8245 +a(g705 +g1018 +tp8246 +a(g839 +g1002 +tp8247 +a(g435 +Vvar +p8248 +tp8249 +a(g826 +g1010 +tp8250 +a(g826 +g1002 +tp8251 +a(g785 +g1310 +tp8252 +a(g628 +VVar +p8253 +tp8254 +a(g705 +g1018 +tp8255 +a(g839 +g1002 +tp8256 +a(g435 +Vdims +p8257 +tp8258 +a(g826 +g1010 +tp8259 +a(g826 +g1002 +tp8260 +a(g785 +g1310 +tp8261 +a(g681 +VVec +p8262 +tp8263 +a(g412 +g1321 +tp8264 +a(g435 +VExpr +p8265 +tp8266 +a(g412 +g1325 +tp8267 +a(g705 +g1265 +tp8268 +a(g839 +g1002 +tp8269 +a(g826 +V-> +p8270 +tp8271 +a(g826 +g1002 +tp8272 +a(g628 +VRes +p8273 +tp8274 +a(g412 +g1321 +tp8275 +a(g705 +g1261 +tp8276 +a(g705 +g1265 +tp8277 +a(g412 +g1325 +tp8278 +a(g839 +g1002 +tp8279 +a(g705 +g1013 +tp8280 +a(g839 +V\u000a +p8281 +tp8282 +a(g839 +V +p8283 +tp8284 +a(g809 +Vlet +p8285 +tp8286 +a(g839 +g1002 +tp8287 +a(g435 +Vdims +p8288 +tp8289 +a(g839 +g1002 +tp8290 +a(g412 +g1357 +tp8291 +a(g839 +g1002 +tp8292 +a(g435 +Vtry +p8293 +tp8294 +a(g412 +g2343 +tp8295 +a(g705 +g1261 +tp8296 +a(g693 +Vself +p8297 +tp8298 +a(g705 +g1421 +tp8299 +a(g435 +Veval_subs +p8300 +tp8301 +a(g705 +g1261 +tp8302 +a(g435 +Vdims +p8303 +tp8304 +a(g705 +g1265 +tp8305 +a(g705 +g1265 +tp8306 +a(g705 +g1026 +tp8307 +a(g839 +V\u000a +p8308 +tp8309 +a(g839 +V +p8310 +tp8311 +a(g744 +Vmatch +p8312 +tp8313 +a(g839 +g1002 +tp8314 +a(g412 +g1336 +tp8315 +a(g435 +Vvar +p8316 +tp8317 +a(g839 +g1002 +tp8318 +a(g705 +g1013 +tp8319 +a(g839 +V\u000a +p8320 +tp8321 +a(g839 +V +p8322 +tp8323 +a(g435 +VVar +p8324 +tp8325 +a(g826 +V:: +p8326 +tp8327 +a(g435 +VA16 +p8328 +tp8329 +a(g705 +g1261 +tp8330 +a(g435 +g3023 +tp8331 +a(g705 +g1018 +tp8332 +a(g839 +g1002 +tp8333 +a(g435 +g4316 +tp8334 +a(g705 +g1265 +tp8335 +a(g839 +g1002 +tp8336 +a(g412 +g1357 +tp8337 +a(g412 +g1325 +tp8338 +a(g839 +g1002 +tp8339 +a(g693 +Vself +p8340 +tp8341 +a(g705 +g1421 +tp8342 +a(g435 +Vtail +p8343 +tp8344 +a(g705 +g2345 +tp8345 +a(g435 +g3023 +tp8346 +a(g705 +g1241 +tp8347 +a(g705 +g1421 +tp8348 +a(g435 +Vdimension +p8349 +tp8350 +a(g705 +g1261 +tp8351 +a(g435 +Vdims +p8352 +tp8353 +a(g705 +g1018 +tp8354 +a(g839 +g1002 +tp8355 +a(g37 +g2354 +tp8356 +a(g705 +g1265 +tp8357 +a(g705 +g1018 +tp8358 +a(g839 +V\u000a +p8359 +tp8360 +a(g839 +V +p8361 +tp8362 +a(g435 +VVar +p8363 +tp8364 +a(g826 +V:: +p8365 +tp8366 +a(g435 +VA32 +p8367 +tp8368 +a(g705 +g1261 +tp8369 +a(g435 +g3023 +tp8370 +a(g705 +g1018 +tp8371 +a(g839 +g1002 +tp8372 +a(g435 +g4316 +tp8373 +a(g705 +g1265 +tp8374 +a(g839 +g1002 +tp8375 +a(g412 +g1357 +tp8376 +a(g412 +g1325 +tp8377 +a(g839 +g1002 +tp8378 +a(g693 +Vself +p8379 +tp8380 +a(g705 +g1421 +tp8381 +a(g435 +Vhybrid +p8382 +tp8383 +a(g705 +g2345 +tp8384 +a(g435 +g3023 +tp8385 +a(g705 +g1241 +tp8386 +a(g705 +g1421 +tp8387 +a(g435 +Vdimension +p8388 +tp8389 +a(g705 +g1261 +tp8390 +a(g435 +Vdims +p8391 +tp8392 +a(g705 +g1018 +tp8393 +a(g839 +g1002 +tp8394 +a(g37 +g2354 +tp8395 +a(g705 +g1265 +tp8396 +a(g705 +g1018 +tp8397 +a(g839 +V\u000a +p8398 +tp8399 +a(g839 +V +p8400 +tp8401 +a(g435 +g4316 +tp8402 +a(g839 +g1002 +tp8403 +a(g412 +g1357 +tp8404 +a(g412 +g1325 +tp8405 +a(g839 +g1002 +tp8406 +a(g744 +Vreturn +p8407 +tp8408 +a(g839 +g1002 +tp8409 +a(g435 +VIE994 +p8410 +tp8411 +a(g705 +g1421 +tp8412 +a(g435 +Verr +p8413 +tp8414 +a(g705 +g1261 +tp8415 +a(g705 +g1265 +tp8416 +a(g705 +g1018 +tp8417 +a(g839 +V\u000a +p8418 +tp8419 +a(g839 +V +p8420 +tp8421 +a(g705 +g1024 +tp8422 +a(g839 +V\u000a +p8423 +tp8424 +a(g839 +V +p8425 +tp8426 +a(g705 +g1024 +tp8427 +a(g839 +V\u000a +p8428 +tp8429 +a(g839 +V\u000a +p8430 +tp8431 +a(g839 +V +p8432 +tp8433 +a(g222 +V/// Assign to a variable.\u000a +p8434 +tp8435 +a(g839 +V +p8436 +tp8437 +a(g744 +Vfn +p8438 +tp8439 +a(g826 +g1002 +tp8440 +a(g573 +Vassign +p8441 +tp8442 +a(g705 +g1261 +tp8443 +a(g412 +g1310 +tp8444 +a(g744 +Vmut +p8445 +tp8446 +a(g839 +g1002 +tp8447 +a(g693 +Vself +p8448 +tp8449 +a(g705 +g1018 +tp8450 +a(g839 +g1002 +tp8451 +a(g435 +Vvar +p8452 +tp8453 +a(g826 +g1010 +tp8454 +a(g826 +g1002 +tp8455 +a(g785 +g1310 +tp8456 +a(g628 +VVar +p8457 +tp8458 +a(g705 +g1018 +tp8459 +a(g839 +g1002 +tp8460 +a(g435 +Vval +p8461 +tp8462 +a(g826 +g1010 +tp8463 +a(g826 +g1002 +tp8464 +a(g628 +VVal +p8465 +tp8466 +a(g705 +g1265 +tp8467 +a(g839 +g1002 +tp8468 +a(g826 +V-> +p8469 +tp8470 +a(g826 +g1002 +tp8471 +a(g628 +VRes +p8472 +tp8473 +a(g412 +g1321 +tp8474 +a(g705 +g1261 +tp8475 +a(g705 +g1265 +tp8476 +a(g412 +g1325 +tp8477 +a(g839 +g1002 +tp8478 +a(g705 +g1013 +tp8479 +a(g839 +V\u000a +p8480 +tp8481 +a(g839 +V +p8482 +tp8483 +a(g744 +Vmatch +p8484 +tp8485 +a(g839 +g1002 +tp8486 +a(g412 +g1336 +tp8487 +a(g435 +Vvar +p8488 +tp8489 +a(g839 +g1002 +tp8490 +a(g705 +g1013 +tp8491 +a(g839 +V\u000a +p8492 +tp8493 +a(g839 +V +p8494 +tp8495 +a(g435 +VVar +p8496 +tp8497 +a(g826 +V:: +p8498 +tp8499 +a(g435 +VI16 +p8500 +tp8501 +a(g705 +g1261 +tp8502 +a(g435 +g3023 +tp8503 +a(g705 +g1265 +tp8504 +a(g839 +g1002 +tp8505 +a(g412 +g1357 +tp8506 +a(g412 +g1325 +tp8507 +a(g839 +g1002 +tp8508 +a(g681 +VOk +p8509 +tp8510 +a(g705 +g1261 +tp8511 +a(g693 +Vself +p8512 +tp8513 +a(g705 +g1421 +tp8514 +a(g435 +Vspot +p8515 +tp8516 +a(g705 +g2345 +tp8517 +a(g435 +g3023 +tp8518 +a(g705 +g1241 +tp8519 +a(g705 +g1421 +tp8520 +a(g435 +Vassign +p8521 +tp8522 +a(g705 +g1261 +tp8523 +a(g435 +Vtry +p8524 +tp8525 +a(g412 +g2343 +tp8526 +a(g705 +g1261 +tp8527 +a(g435 +Vval +p8528 +tp8529 +a(g705 +g1421 +tp8530 +a(g435 +Vas_u16 +p8531 +tp8532 +a(g705 +g1261 +tp8533 +a(g705 +g1265 +tp8534 +a(g705 +g1265 +tp8535 +a(g705 +g1265 +tp8536 +a(g705 +g1265 +tp8537 +a(g705 +g1018 +tp8538 +a(g839 +V\u000a +p8539 +tp8540 +a(g839 +V +p8541 +tp8542 +a(g435 +VVar +p8543 +tp8544 +a(g826 +V:: +p8545 +tp8546 +a(g435 +VI32 +p8547 +tp8548 +a(g705 +g1261 +tp8549 +a(g435 +g3023 +tp8550 +a(g705 +g1265 +tp8551 +a(g839 +g1002 +tp8552 +a(g412 +g1357 +tp8553 +a(g412 +g1325 +tp8554 +a(g839 +g1002 +tp8555 +a(g681 +VOk +p8556 +tp8557 +a(g705 +g1261 +tp8558 +a(g693 +Vself +p8559 +tp8560 +a(g705 +g1421 +tp8561 +a(g435 +Vtwospot +p8562 +tp8563 +a(g705 +g2345 +tp8564 +a(g435 +g3023 +tp8565 +a(g705 +g1241 +tp8566 +a(g705 +g1421 +tp8567 +a(g435 +Vassign +p8568 +tp8569 +a(g705 +g1261 +tp8570 +a(g435 +Vval +p8571 +tp8572 +a(g705 +g1421 +tp8573 +a(g435 +Vas_u32 +p8574 +tp8575 +a(g705 +g1261 +tp8576 +a(g705 +g1265 +tp8577 +a(g705 +g1265 +tp8578 +a(g705 +g1265 +tp8579 +a(g705 +g1018 +tp8580 +a(g839 +V\u000a +p8581 +tp8582 +a(g839 +V +p8583 +tp8584 +a(g435 +VVar +p8585 +tp8586 +a(g826 +V:: +p8587 +tp8588 +a(g435 +VA16 +p8589 +tp8590 +a(g705 +g1261 +tp8591 +a(g435 +g3023 +tp8592 +a(g705 +g1018 +tp8593 +a(g839 +g1002 +tp8594 +a(g744 +Vref +p8595 +tp8596 +a(g839 +g1002 +tp8597 +a(g435 +Vsubs +p8598 +tp8599 +a(g705 +g1265 +tp8600 +a(g839 +g1002 +tp8601 +a(g412 +g1357 +tp8602 +a(g412 +g1325 +tp8603 +a(g839 +g1002 +tp8604 +a(g705 +g1013 +tp8605 +a(g839 +V\u000a +p8606 +tp8607 +a(g839 +V +p8608 +tp8609 +a(g809 +Vlet +p8610 +tp8611 +a(g839 +g1002 +tp8612 +a(g435 +Vsubs +p8613 +tp8614 +a(g839 +g1002 +tp8615 +a(g412 +g1357 +tp8616 +a(g839 +g1002 +tp8617 +a(g435 +Vtry +p8618 +tp8619 +a(g412 +g2343 +tp8620 +a(g705 +g1261 +tp8621 +a(g693 +Vself +p8622 +tp8623 +a(g705 +g1421 +tp8624 +a(g435 +Veval_subs +p8625 +tp8626 +a(g705 +g1261 +tp8627 +a(g435 +Vsubs +p8628 +tp8629 +a(g705 +g1265 +tp8630 +a(g705 +g1265 +tp8631 +a(g705 +g1026 +tp8632 +a(g839 +V\u000a +p8633 +tp8634 +a(g839 +V +p8635 +tp8636 +a(g693 +Vself +p8637 +tp8638 +a(g705 +g1421 +tp8639 +a(g435 +Vtail +p8640 +tp8641 +a(g705 +g2345 +tp8642 +a(g435 +g3023 +tp8643 +a(g705 +g1241 +tp8644 +a(g705 +g1421 +tp8645 +a(g435 +Vset_md +p8646 +tp8647 +a(g705 +g1261 +tp8648 +a(g435 +Vsubs +p8649 +tp8650 +a(g705 +g1018 +tp8651 +a(g839 +g1002 +tp8652 +a(g435 +Vtry +p8653 +tp8654 +a(g412 +g2343 +tp8655 +a(g705 +g1261 +tp8656 +a(g435 +Vval +p8657 +tp8658 +a(g705 +g1421 +tp8659 +a(g435 +Vas_u16 +p8660 +tp8661 +a(g705 +g1261 +tp8662 +a(g705 +g1265 +tp8663 +a(g705 +g1265 +tp8664 +a(g705 +g1018 +tp8665 +a(g839 +g1002 +tp8666 +a(g37 +g2354 +tp8667 +a(g705 +g1265 +tp8668 +a(g839 +V\u000a +p8669 +tp8670 +a(g839 +V +p8671 +tp8672 +a(g705 +g1024 +tp8673 +a(g839 +V\u000a +p8674 +tp8675 +a(g839 +V +p8676 +tp8677 +a(g435 +VVar +p8678 +tp8679 +a(g826 +V:: +p8680 +tp8681 +a(g435 +VA32 +p8682 +tp8683 +a(g705 +g1261 +tp8684 +a(g435 +g3023 +tp8685 +a(g705 +g1018 +tp8686 +a(g839 +g1002 +tp8687 +a(g744 +Vref +p8688 +tp8689 +a(g839 +g1002 +tp8690 +a(g435 +Vsubs +p8691 +tp8692 +a(g705 +g1265 +tp8693 +a(g839 +g1002 +tp8694 +a(g412 +g1357 +tp8695 +a(g412 +g1325 +tp8696 +a(g839 +g1002 +tp8697 +a(g705 +g1013 +tp8698 +a(g839 +V\u000a +p8699 +tp8700 +a(g839 +V +p8701 +tp8702 +a(g809 +Vlet +p8703 +tp8704 +a(g839 +g1002 +tp8705 +a(g435 +Vsubs +p8706 +tp8707 +a(g839 +g1002 +tp8708 +a(g412 +g1357 +tp8709 +a(g839 +g1002 +tp8710 +a(g435 +Vtry +p8711 +tp8712 +a(g412 +g2343 +tp8713 +a(g705 +g1261 +tp8714 +a(g693 +Vself +p8715 +tp8716 +a(g705 +g1421 +tp8717 +a(g435 +Veval_subs +p8718 +tp8719 +a(g705 +g1261 +tp8720 +a(g435 +Vsubs +p8721 +tp8722 +a(g705 +g1265 +tp8723 +a(g705 +g1265 +tp8724 +a(g705 +g1026 +tp8725 +a(g839 +V\u000a +p8726 +tp8727 +a(g839 +V +p8728 +tp8729 +a(g693 +Vself +p8730 +tp8731 +a(g705 +g1421 +tp8732 +a(g435 +Vhybrid +p8733 +tp8734 +a(g705 +g2345 +tp8735 +a(g435 +g3023 +tp8736 +a(g705 +g1241 +tp8737 +a(g705 +g1421 +tp8738 +a(g435 +Vset_md +p8739 +tp8740 +a(g705 +g1261 +tp8741 +a(g435 +Vsubs +p8742 +tp8743 +a(g705 +g1018 +tp8744 +a(g839 +g1002 +tp8745 +a(g435 +Vval +p8746 +tp8747 +a(g705 +g1421 +tp8748 +a(g435 +Vas_u32 +p8749 +tp8750 +a(g705 +g1261 +tp8751 +a(g705 +g1265 +tp8752 +a(g705 +g1018 +tp8753 +a(g839 +g1002 +tp8754 +a(g37 +g2354 +tp8755 +a(g705 +g1265 +tp8756 +a(g839 +V\u000a +p8757 +tp8758 +a(g839 +V +p8759 +tp8760 +a(g705 +g1024 +tp8761 +a(g839 +V\u000a +p8762 +tp8763 +a(g839 +V +p8764 +tp8765 +a(g705 +g1024 +tp8766 +a(g839 +V\u000a +p8767 +tp8768 +a(g839 +V +p8769 +tp8770 +a(g705 +g1024 +tp8771 +a(g839 +V\u000a +p8772 +tp8773 +a(g839 +V\u000a +p8774 +tp8775 +a(g839 +V +p8776 +tp8777 +a(g222 +V/// Look up the value of a variable.\u000a +p8778 +tp8779 +a(g839 +V +p8780 +tp8781 +a(g744 +Vfn +p8782 +tp8783 +a(g826 +g1002 +tp8784 +a(g573 +Vlookup +p8785 +tp8786 +a(g705 +g1261 +tp8787 +a(g412 +g1310 +tp8788 +a(g693 +Vself +p8789 +tp8790 +a(g705 +g1018 +tp8791 +a(g839 +g1002 +tp8792 +a(g435 +Vvar +p8793 +tp8794 +a(g826 +g1010 +tp8795 +a(g826 +g1002 +tp8796 +a(g785 +g1310 +tp8797 +a(g628 +VVar +p8798 +tp8799 +a(g705 +g1265 +tp8800 +a(g839 +g1002 +tp8801 +a(g826 +V-> +p8802 +tp8803 +a(g826 +g1002 +tp8804 +a(g628 +VRes +p8805 +tp8806 +a(g412 +g1321 +tp8807 +a(g435 +VVal +p8808 +tp8809 +a(g412 +g1325 +tp8810 +a(g839 +g1002 +tp8811 +a(g705 +g1013 +tp8812 +a(g839 +V\u000a +p8813 +tp8814 +a(g839 +V +p8815 +tp8816 +a(g744 +Vmatch +p8817 +tp8818 +a(g839 +g1002 +tp8819 +a(g412 +g1336 +tp8820 +a(g435 +Vvar +p8821 +tp8822 +a(g839 +g1002 +tp8823 +a(g705 +g1013 +tp8824 +a(g839 +V\u000a +p8825 +tp8826 +a(g839 +V +p8827 +tp8828 +a(g435 +VVar +p8829 +tp8830 +a(g826 +V:: +p8831 +tp8832 +a(g435 +VI16 +p8833 +tp8834 +a(g705 +g1261 +tp8835 +a(g435 +g3023 +tp8836 +a(g705 +g1265 +tp8837 +a(g839 +g1002 +tp8838 +a(g412 +g1357 +tp8839 +a(g412 +g1325 +tp8840 +a(g839 +g1002 +tp8841 +a(g681 +VOk +p8842 +tp8843 +a(g705 +g1261 +tp8844 +a(g435 +VVal +p8845 +tp8846 +a(g826 +V:: +p8847 +tp8848 +a(g435 +VI16 +p8849 +tp8850 +a(g705 +g1261 +tp8851 +a(g693 +Vself +p8852 +tp8853 +a(g705 +g1421 +tp8854 +a(g435 +Vspot +p8855 +tp8856 +a(g705 +g2345 +tp8857 +a(g435 +g3023 +tp8858 +a(g705 +g1241 +tp8859 +a(g705 +g1421 +tp8860 +a(g435 +Vval +p8861 +tp8862 +a(g705 +g1265 +tp8863 +a(g705 +g1265 +tp8864 +a(g705 +g1018 +tp8865 +a(g839 +V\u000a +p8866 +tp8867 +a(g839 +V +p8868 +tp8869 +a(g435 +VVar +p8870 +tp8871 +a(g826 +V:: +p8872 +tp8873 +a(g435 +VI32 +p8874 +tp8875 +a(g705 +g1261 +tp8876 +a(g435 +g3023 +tp8877 +a(g705 +g1265 +tp8878 +a(g839 +g1002 +tp8879 +a(g412 +g1357 +tp8880 +a(g412 +g1325 +tp8881 +a(g839 +g1002 +tp8882 +a(g681 +VOk +p8883 +tp8884 +a(g705 +g1261 +tp8885 +a(g435 +VVal +p8886 +tp8887 +a(g826 +V:: +p8888 +tp8889 +a(g435 +VI32 +p8890 +tp8891 +a(g705 +g1261 +tp8892 +a(g693 +Vself +p8893 +tp8894 +a(g705 +g1421 +tp8895 +a(g435 +Vtwospot +p8896 +tp8897 +a(g705 +g2345 +tp8898 +a(g435 +g3023 +tp8899 +a(g705 +g1241 +tp8900 +a(g705 +g1421 +tp8901 +a(g435 +Vval +p8902 +tp8903 +a(g705 +g1265 +tp8904 +a(g705 +g1265 +tp8905 +a(g705 +g1018 +tp8906 +a(g839 +V\u000a +p8907 +tp8908 +a(g839 +V +p8909 +tp8910 +a(g435 +VVar +p8911 +tp8912 +a(g826 +V:: +p8913 +tp8914 +a(g435 +VA16 +p8915 +tp8916 +a(g705 +g1261 +tp8917 +a(g435 +g3023 +tp8918 +a(g705 +g1018 +tp8919 +a(g839 +g1002 +tp8920 +a(g744 +Vref +p8921 +tp8922 +a(g839 +g1002 +tp8923 +a(g435 +Vsubs +p8924 +tp8925 +a(g705 +g1265 +tp8926 +a(g839 +g1002 +tp8927 +a(g412 +g1357 +tp8928 +a(g412 +g1325 +tp8929 +a(g839 +g1002 +tp8930 +a(g705 +g1013 +tp8931 +a(g839 +V\u000a +p8932 +tp8933 +a(g839 +V +p8934 +tp8935 +a(g809 +Vlet +p8936 +tp8937 +a(g839 +g1002 +tp8938 +a(g435 +Vsubs +p8939 +tp8940 +a(g839 +g1002 +tp8941 +a(g412 +g1357 +tp8942 +a(g839 +g1002 +tp8943 +a(g435 +Vtry +p8944 +tp8945 +a(g412 +g2343 +tp8946 +a(g705 +g1261 +tp8947 +a(g693 +Vself +p8948 +tp8949 +a(g705 +g1421 +tp8950 +a(g435 +Veval_subs +p8951 +tp8952 +a(g705 +g1261 +tp8953 +a(g435 +Vsubs +p8954 +tp8955 +a(g705 +g1265 +tp8956 +a(g705 +g1265 +tp8957 +a(g705 +g1026 +tp8958 +a(g839 +V\u000a +p8959 +tp8960 +a(g839 +V +p8961 +tp8962 +a(g693 +Vself +p8963 +tp8964 +a(g705 +g1421 +tp8965 +a(g435 +Vtail +p8966 +tp8967 +a(g705 +g2345 +tp8968 +a(g435 +g3023 +tp8969 +a(g705 +g1241 +tp8970 +a(g705 +g1421 +tp8971 +a(g435 +Vget_md +p8972 +tp8973 +a(g705 +g1261 +tp8974 +a(g435 +Vsubs +p8975 +tp8976 +a(g705 +g1018 +tp8977 +a(g839 +g1002 +tp8978 +a(g37 +g2354 +tp8979 +a(g705 +g1265 +tp8980 +a(g705 +g1421 +tp8981 +a(g435 +Vmap +p8982 +tp8983 +a(g705 +g1261 +tp8984 +a(g435 +VVal +p8985 +tp8986 +a(g826 +V:: +p8987 +tp8988 +a(g435 +VI16 +p8989 +tp8990 +a(g705 +g1265 +tp8991 +a(g839 +V\u000a +p8992 +tp8993 +a(g839 +V +p8994 +tp8995 +a(g705 +g1024 +tp8996 +a(g839 +V\u000a +p8997 +tp8998 +a(g839 +V +p8999 +tp9000 +a(g435 +VVar +p9001 +tp9002 +a(g826 +V:: +p9003 +tp9004 +a(g435 +VA32 +p9005 +tp9006 +a(g705 +g1261 +tp9007 +a(g435 +g3023 +tp9008 +a(g705 +g1018 +tp9009 +a(g839 +g1002 +tp9010 +a(g744 +Vref +p9011 +tp9012 +a(g839 +g1002 +tp9013 +a(g435 +Vsubs +p9014 +tp9015 +a(g705 +g1265 +tp9016 +a(g839 +g1002 +tp9017 +a(g412 +g1357 +tp9018 +a(g412 +g1325 +tp9019 +a(g839 +g1002 +tp9020 +a(g705 +g1013 +tp9021 +a(g839 +V\u000a +p9022 +tp9023 +a(g839 +V +p9024 +tp9025 +a(g809 +Vlet +p9026 +tp9027 +a(g839 +g1002 +tp9028 +a(g435 +Vsubs +p9029 +tp9030 +a(g839 +g1002 +tp9031 +a(g412 +g1357 +tp9032 +a(g839 +g1002 +tp9033 +a(g435 +Vtry +p9034 +tp9035 +a(g412 +g2343 +tp9036 +a(g705 +g1261 +tp9037 +a(g693 +Vself +p9038 +tp9039 +a(g705 +g1421 +tp9040 +a(g435 +Veval_subs +p9041 +tp9042 +a(g705 +g1261 +tp9043 +a(g435 +Vsubs +p9044 +tp9045 +a(g705 +g1265 +tp9046 +a(g705 +g1265 +tp9047 +a(g705 +g1026 +tp9048 +a(g839 +V\u000a +p9049 +tp9050 +a(g839 +V +p9051 +tp9052 +a(g693 +Vself +p9053 +tp9054 +a(g705 +g1421 +tp9055 +a(g435 +Vhybrid +p9056 +tp9057 +a(g705 +g2345 +tp9058 +a(g435 +g3023 +tp9059 +a(g705 +g1241 +tp9060 +a(g705 +g1421 +tp9061 +a(g435 +Vget_md +p9062 +tp9063 +a(g705 +g1261 +tp9064 +a(g435 +Vsubs +p9065 +tp9066 +a(g705 +g1018 +tp9067 +a(g839 +g1002 +tp9068 +a(g37 +g2354 +tp9069 +a(g705 +g1265 +tp9070 +a(g705 +g1421 +tp9071 +a(g435 +Vmap +p9072 +tp9073 +a(g705 +g1261 +tp9074 +a(g435 +VVal +p9075 +tp9076 +a(g826 +V:: +p9077 +tp9078 +a(g435 +VI32 +p9079 +tp9080 +a(g705 +g1265 +tp9081 +a(g839 +V\u000a +p9082 +tp9083 +a(g839 +V +p9084 +tp9085 +a(g705 +g1024 +tp9086 +a(g839 +V\u000a +p9087 +tp9088 +a(g839 +V +p9089 +tp9090 +a(g705 +g1024 +tp9091 +a(g839 +V\u000a +p9092 +tp9093 +a(g839 +V +p9094 +tp9095 +a(g705 +g1024 +tp9096 +a(g839 +V\u000a +p9097 +tp9098 +a(g839 +V\u000a +p9099 +tp9100 +a(g839 +V +p9101 +tp9102 +a(g222 +V/// Process a STASH statement.\u000a +p9103 +tp9104 +a(g839 +V +p9105 +tp9106 +a(g744 +Vfn +p9107 +tp9108 +a(g826 +g1002 +tp9109 +a(g573 +Vstash +p9110 +tp9111 +a(g705 +g1261 +tp9112 +a(g412 +g1310 +tp9113 +a(g744 +Vmut +p9114 +tp9115 +a(g839 +g1002 +tp9116 +a(g693 +Vself +p9117 +tp9118 +a(g705 +g1018 +tp9119 +a(g839 +g1002 +tp9120 +a(g435 +Vvar +p9121 +tp9122 +a(g826 +g1010 +tp9123 +a(g826 +g1002 +tp9124 +a(g785 +g1310 +tp9125 +a(g628 +VVar +p9126 +tp9127 +a(g705 +g1265 +tp9128 +a(g839 +g1002 +tp9129 +a(g705 +g1013 +tp9130 +a(g839 +V\u000a +p9131 +tp9132 +a(g839 +V +p9133 +tp9134 +a(g744 +Vmatch +p9135 +tp9136 +a(g839 +g1002 +tp9137 +a(g412 +g1336 +tp9138 +a(g435 +Vvar +p9139 +tp9140 +a(g839 +g1002 +tp9141 +a(g705 +g1013 +tp9142 +a(g839 +V\u000a +p9143 +tp9144 +a(g839 +V +p9145 +tp9146 +a(g435 +VVar +p9147 +tp9148 +a(g826 +V:: +p9149 +tp9150 +a(g435 +VI16 +p9151 +tp9152 +a(g705 +g1261 +tp9153 +a(g435 +g3023 +tp9154 +a(g705 +g1265 +tp9155 +a(g839 +g1002 +tp9156 +a(g412 +g1357 +tp9157 +a(g412 +g1325 +tp9158 +a(g839 +g1002 +tp9159 +a(g693 +Vself +p9160 +tp9161 +a(g705 +g1421 +tp9162 +a(g435 +Vspot +p9163 +tp9164 +a(g705 +g2345 +tp9165 +a(g435 +g3023 +tp9166 +a(g705 +g1241 +tp9167 +a(g705 +g1421 +tp9168 +a(g435 +Vstash +p9169 +tp9170 +a(g705 +g1261 +tp9171 +a(g705 +g1265 +tp9172 +a(g705 +g1018 +tp9173 +a(g839 +V\u000a +p9174 +tp9175 +a(g839 +V +p9176 +tp9177 +a(g435 +VVar +p9178 +tp9179 +a(g826 +V:: +p9180 +tp9181 +a(g435 +VI32 +p9182 +tp9183 +a(g705 +g1261 +tp9184 +a(g435 +g3023 +tp9185 +a(g705 +g1265 +tp9186 +a(g839 +g1002 +tp9187 +a(g412 +g1357 +tp9188 +a(g412 +g1325 +tp9189 +a(g839 +g1002 +tp9190 +a(g693 +Vself +p9191 +tp9192 +a(g705 +g1421 +tp9193 +a(g435 +Vtwospot +p9194 +tp9195 +a(g705 +g2345 +tp9196 +a(g435 +g3023 +tp9197 +a(g705 +g1241 +tp9198 +a(g705 +g1421 +tp9199 +a(g435 +Vstash +p9200 +tp9201 +a(g705 +g1261 +tp9202 +a(g705 +g1265 +tp9203 +a(g705 +g1018 +tp9204 +a(g839 +V\u000a +p9205 +tp9206 +a(g839 +V +p9207 +tp9208 +a(g435 +VVar +p9209 +tp9210 +a(g826 +V:: +p9211 +tp9212 +a(g435 +VA16 +p9213 +tp9214 +a(g705 +g1261 +tp9215 +a(g435 +g3023 +tp9216 +a(g705 +g1018 +tp9217 +a(g839 +g1002 +tp9218 +a(g435 +g4316 +tp9219 +a(g705 +g1265 +tp9220 +a(g839 +g1002 +tp9221 +a(g412 +g1357 +tp9222 +a(g412 +g1325 +tp9223 +a(g839 +g1002 +tp9224 +a(g693 +Vself +p9225 +tp9226 +a(g705 +g1421 +tp9227 +a(g435 +Vtail +p9228 +tp9229 +a(g705 +g2345 +tp9230 +a(g435 +g3023 +tp9231 +a(g705 +g1241 +tp9232 +a(g705 +g1421 +tp9233 +a(g435 +Vstash +p9234 +tp9235 +a(g705 +g1261 +tp9236 +a(g705 +g1265 +tp9237 +a(g705 +g1018 +tp9238 +a(g839 +V\u000a +p9239 +tp9240 +a(g839 +V +p9241 +tp9242 +a(g435 +VVar +p9243 +tp9244 +a(g826 +V:: +p9245 +tp9246 +a(g435 +VA32 +p9247 +tp9248 +a(g705 +g1261 +tp9249 +a(g435 +g3023 +tp9250 +a(g705 +g1018 +tp9251 +a(g839 +g1002 +tp9252 +a(g435 +g4316 +tp9253 +a(g705 +g1265 +tp9254 +a(g839 +g1002 +tp9255 +a(g412 +g1357 +tp9256 +a(g412 +g1325 +tp9257 +a(g839 +g1002 +tp9258 +a(g693 +Vself +p9259 +tp9260 +a(g705 +g1421 +tp9261 +a(g435 +Vhybrid +p9262 +tp9263 +a(g705 +g2345 +tp9264 +a(g435 +g3023 +tp9265 +a(g705 +g1241 +tp9266 +a(g705 +g1421 +tp9267 +a(g435 +Vstash +p9268 +tp9269 +a(g705 +g1261 +tp9270 +a(g705 +g1265 +tp9271 +a(g705 +g1018 +tp9272 +a(g839 +V\u000a +p9273 +tp9274 +a(g839 +V +p9275 +tp9276 +a(g705 +g1024 +tp9277 +a(g839 +V\u000a +p9278 +tp9279 +a(g839 +V +p9280 +tp9281 +a(g705 +g1024 +tp9282 +a(g839 +V\u000a +p9283 +tp9284 +a(g839 +V\u000a +p9285 +tp9286 +a(g839 +V +p9287 +tp9288 +a(g222 +V/// Process a RETRIEVE statement.\u000a +p9289 +tp9290 +a(g839 +V +p9291 +tp9292 +a(g744 +Vfn +p9293 +tp9294 +a(g826 +g1002 +tp9295 +a(g573 +Vretrieve +p9296 +tp9297 +a(g705 +g1261 +tp9298 +a(g412 +g1310 +tp9299 +a(g744 +Vmut +p9300 +tp9301 +a(g839 +g1002 +tp9302 +a(g693 +Vself +p9303 +tp9304 +a(g705 +g1018 +tp9305 +a(g839 +g1002 +tp9306 +a(g435 +Vvar +p9307 +tp9308 +a(g826 +g1010 +tp9309 +a(g826 +g1002 +tp9310 +a(g785 +g1310 +tp9311 +a(g628 +VVar +p9312 +tp9313 +a(g705 +g1265 +tp9314 +a(g839 +g1002 +tp9315 +a(g826 +V-> +p9316 +tp9317 +a(g826 +g1002 +tp9318 +a(g628 +VRes +p9319 +tp9320 +a(g412 +g1321 +tp9321 +a(g705 +g1261 +tp9322 +a(g705 +g1265 +tp9323 +a(g412 +g1325 +tp9324 +a(g839 +g1002 +tp9325 +a(g705 +g1013 +tp9326 +a(g839 +V\u000a +p9327 +tp9328 +a(g839 +V +p9329 +tp9330 +a(g744 +Vmatch +p9331 +tp9332 +a(g839 +g1002 +tp9333 +a(g412 +g1336 +tp9334 +a(g435 +Vvar +p9335 +tp9336 +a(g839 +g1002 +tp9337 +a(g705 +g1013 +tp9338 +a(g839 +V\u000a +p9339 +tp9340 +a(g839 +V +p9341 +tp9342 +a(g435 +VVar +p9343 +tp9344 +a(g826 +V:: +p9345 +tp9346 +a(g435 +VI16 +p9347 +tp9348 +a(g705 +g1261 +tp9349 +a(g435 +g3023 +tp9350 +a(g705 +g1265 +tp9351 +a(g839 +g1002 +tp9352 +a(g412 +g1357 +tp9353 +a(g412 +g1325 +tp9354 +a(g839 +g1002 +tp9355 +a(g693 +Vself +p9356 +tp9357 +a(g705 +g1421 +tp9358 +a(g435 +Vspot +p9359 +tp9360 +a(g705 +g2345 +tp9361 +a(g435 +g3023 +tp9362 +a(g705 +g1241 +tp9363 +a(g705 +g1421 +tp9364 +a(g435 +Vretrieve +p9365 +tp9366 +a(g705 +g1261 +tp9367 +a(g37 +g2354 +tp9368 +a(g705 +g1265 +tp9369 +a(g705 +g1018 +tp9370 +a(g839 +V\u000a +p9371 +tp9372 +a(g839 +V +p9373 +tp9374 +a(g435 +VVar +p9375 +tp9376 +a(g826 +V:: +p9377 +tp9378 +a(g435 +VI32 +p9379 +tp9380 +a(g705 +g1261 +tp9381 +a(g435 +g3023 +tp9382 +a(g705 +g1265 +tp9383 +a(g839 +g1002 +tp9384 +a(g412 +g1357 +tp9385 +a(g412 +g1325 +tp9386 +a(g839 +g1002 +tp9387 +a(g693 +Vself +p9388 +tp9389 +a(g705 +g1421 +tp9390 +a(g435 +Vtwospot +p9391 +tp9392 +a(g705 +g2345 +tp9393 +a(g435 +g3023 +tp9394 +a(g705 +g1241 +tp9395 +a(g705 +g1421 +tp9396 +a(g435 +Vretrieve +p9397 +tp9398 +a(g705 +g1261 +tp9399 +a(g37 +g2354 +tp9400 +a(g705 +g1265 +tp9401 +a(g705 +g1018 +tp9402 +a(g839 +V\u000a +p9403 +tp9404 +a(g839 +V +p9405 +tp9406 +a(g435 +VVar +p9407 +tp9408 +a(g826 +V:: +p9409 +tp9410 +a(g435 +VA16 +p9411 +tp9412 +a(g705 +g1261 +tp9413 +a(g435 +g3023 +tp9414 +a(g705 +g1018 +tp9415 +a(g839 +g1002 +tp9416 +a(g435 +g4316 +tp9417 +a(g705 +g1265 +tp9418 +a(g839 +g1002 +tp9419 +a(g412 +g1357 +tp9420 +a(g412 +g1325 +tp9421 +a(g839 +g1002 +tp9422 +a(g693 +Vself +p9423 +tp9424 +a(g705 +g1421 +tp9425 +a(g435 +Vtail +p9426 +tp9427 +a(g705 +g2345 +tp9428 +a(g435 +g3023 +tp9429 +a(g705 +g1241 +tp9430 +a(g705 +g1421 +tp9431 +a(g435 +Vretrieve +p9432 +tp9433 +a(g705 +g1261 +tp9434 +a(g37 +g2354 +tp9435 +a(g705 +g1265 +tp9436 +a(g705 +g1018 +tp9437 +a(g839 +V\u000a +p9438 +tp9439 +a(g839 +V +p9440 +tp9441 +a(g435 +VVar +p9442 +tp9443 +a(g826 +V:: +p9444 +tp9445 +a(g435 +VA32 +p9446 +tp9447 +a(g705 +g1261 +tp9448 +a(g435 +g3023 +tp9449 +a(g705 +g1018 +tp9450 +a(g839 +g1002 +tp9451 +a(g435 +g4316 +tp9452 +a(g705 +g1265 +tp9453 +a(g839 +g1002 +tp9454 +a(g412 +g1357 +tp9455 +a(g412 +g1325 +tp9456 +a(g839 +g1002 +tp9457 +a(g693 +Vself +p9458 +tp9459 +a(g705 +g1421 +tp9460 +a(g435 +Vhybrid +p9461 +tp9462 +a(g705 +g2345 +tp9463 +a(g435 +g3023 +tp9464 +a(g705 +g1241 +tp9465 +a(g705 +g1421 +tp9466 +a(g435 +Vretrieve +p9467 +tp9468 +a(g705 +g1261 +tp9469 +a(g37 +g2354 +tp9470 +a(g705 +g1265 +tp9471 +a(g705 +g1018 +tp9472 +a(g839 +V\u000a +p9473 +tp9474 +a(g839 +V +p9475 +tp9476 +a(g705 +g1024 +tp9477 +a(g839 +V\u000a +p9478 +tp9479 +a(g839 +V +p9480 +tp9481 +a(g705 +g1024 +tp9482 +a(g839 +V\u000a +p9483 +tp9484 +a(g839 +V\u000a +p9485 +tp9486 +a(g839 +V +p9487 +tp9488 +a(g222 +V/// Process an IGNORE or REMEMBER statement. Cannot fail.\u000a +p9489 +tp9490 +a(g839 +V +p9491 +tp9492 +a(g744 +Vfn +p9493 +tp9494 +a(g826 +g1002 +tp9495 +a(g573 +Vset_rw +p9496 +tp9497 +a(g705 +g1261 +tp9498 +a(g412 +g1310 +tp9499 +a(g744 +Vmut +p9500 +tp9501 +a(g839 +g1002 +tp9502 +a(g693 +Vself +p9503 +tp9504 +a(g705 +g1018 +tp9505 +a(g839 +g1002 +tp9506 +a(g435 +Vvar +p9507 +tp9508 +a(g826 +g1010 +tp9509 +a(g826 +g1002 +tp9510 +a(g785 +g1310 +tp9511 +a(g628 +VVar +p9512 +tp9513 +a(g705 +g1018 +tp9514 +a(g839 +g1002 +tp9515 +a(g435 +Vrw +p9516 +tp9517 +a(g826 +g1010 +tp9518 +a(g826 +g1002 +tp9519 +a(g748 +Vbool +p9520 +tp9521 +a(g705 +g1265 +tp9522 +a(g839 +g1002 +tp9523 +a(g705 +g1013 +tp9524 +a(g839 +V\u000a +p9525 +tp9526 +a(g839 +V +p9527 +tp9528 +a(g744 +Vmatch +p9529 +tp9530 +a(g839 +g1002 +tp9531 +a(g412 +g1336 +tp9532 +a(g435 +Vvar +p9533 +tp9534 +a(g839 +g1002 +tp9535 +a(g705 +g1013 +tp9536 +a(g839 +V\u000a +p9537 +tp9538 +a(g839 +V +p9539 +tp9540 +a(g435 +VVar +p9541 +tp9542 +a(g826 +V:: +p9543 +tp9544 +a(g435 +VI16 +p9545 +tp9546 +a(g705 +g1261 +tp9547 +a(g435 +g3023 +tp9548 +a(g705 +g1265 +tp9549 +a(g839 +g1002 +tp9550 +a(g412 +g1357 +tp9551 +a(g412 +g1325 +tp9552 +a(g839 +g1002 +tp9553 +a(g693 +Vself +p9554 +tp9555 +a(g705 +g1421 +tp9556 +a(g435 +Vspot +p9557 +tp9558 +a(g705 +g2345 +tp9559 +a(g435 +g3023 +tp9560 +a(g705 +g1241 +tp9561 +a(g705 +g1421 +tp9562 +a(g435 +Vrw +p9563 +tp9564 +a(g839 +g1002 +tp9565 +a(g412 +g1357 +tp9566 +a(g839 +g1002 +tp9567 +a(g435 +Vrw +p9568 +tp9569 +a(g705 +g1018 +tp9570 +a(g839 +V\u000a +p9571 +tp9572 +a(g839 +V +p9573 +tp9574 +a(g435 +VVar +p9575 +tp9576 +a(g826 +V:: +p9577 +tp9578 +a(g435 +VI32 +p9579 +tp9580 +a(g705 +g1261 +tp9581 +a(g435 +g3023 +tp9582 +a(g705 +g1265 +tp9583 +a(g839 +g1002 +tp9584 +a(g412 +g1357 +tp9585 +a(g412 +g1325 +tp9586 +a(g839 +g1002 +tp9587 +a(g693 +Vself +p9588 +tp9589 +a(g705 +g1421 +tp9590 +a(g435 +Vtwospot +p9591 +tp9592 +a(g705 +g2345 +tp9593 +a(g435 +g3023 +tp9594 +a(g705 +g1241 +tp9595 +a(g705 +g1421 +tp9596 +a(g435 +Vrw +p9597 +tp9598 +a(g839 +g1002 +tp9599 +a(g412 +g1357 +tp9600 +a(g839 +g1002 +tp9601 +a(g435 +Vrw +p9602 +tp9603 +a(g705 +g1018 +tp9604 +a(g839 +V\u000a +p9605 +tp9606 +a(g839 +V +p9607 +tp9608 +a(g435 +VVar +p9609 +tp9610 +a(g826 +V:: +p9611 +tp9612 +a(g435 +VA16 +p9613 +tp9614 +a(g705 +g1261 +tp9615 +a(g435 +g3023 +tp9616 +a(g705 +g1018 +tp9617 +a(g839 +g1002 +tp9618 +a(g435 +g4316 +tp9619 +a(g705 +g1265 +tp9620 +a(g839 +g1002 +tp9621 +a(g412 +g1357 +tp9622 +a(g412 +g1325 +tp9623 +a(g839 +g1002 +tp9624 +a(g693 +Vself +p9625 +tp9626 +a(g705 +g1421 +tp9627 +a(g435 +Vtail +p9628 +tp9629 +a(g705 +g2345 +tp9630 +a(g435 +g3023 +tp9631 +a(g705 +g1241 +tp9632 +a(g705 +g1421 +tp9633 +a(g435 +Vrw +p9634 +tp9635 +a(g839 +g1002 +tp9636 +a(g412 +g1357 +tp9637 +a(g839 +g1002 +tp9638 +a(g435 +Vrw +p9639 +tp9640 +a(g705 +g1018 +tp9641 +a(g839 +V\u000a +p9642 +tp9643 +a(g839 +V +p9644 +tp9645 +a(g435 +VVar +p9646 +tp9647 +a(g826 +V:: +p9648 +tp9649 +a(g435 +VA32 +p9650 +tp9651 +a(g705 +g1261 +tp9652 +a(g435 +g3023 +tp9653 +a(g705 +g1018 +tp9654 +a(g839 +g1002 +tp9655 +a(g435 +g4316 +tp9656 +a(g705 +g1265 +tp9657 +a(g839 +g1002 +tp9658 +a(g412 +g1357 +tp9659 +a(g412 +g1325 +tp9660 +a(g839 +g1002 +tp9661 +a(g693 +Vself +p9662 +tp9663 +a(g705 +g1421 +tp9664 +a(g435 +Vhybrid +p9665 +tp9666 +a(g705 +g2345 +tp9667 +a(g435 +g3023 +tp9668 +a(g705 +g1241 +tp9669 +a(g705 +g1421 +tp9670 +a(g435 +Vrw +p9671 +tp9672 +a(g839 +g1002 +tp9673 +a(g412 +g1357 +tp9674 +a(g839 +g1002 +tp9675 +a(g435 +Vrw +p9676 +tp9677 +a(g705 +g1018 +tp9678 +a(g839 +V\u000a +p9679 +tp9680 +a(g839 +V +p9681 +tp9682 +a(g705 +g1024 +tp9683 +a(g839 +V\u000a +p9684 +tp9685 +a(g839 +V +p9686 +tp9687 +a(g705 +g1024 +tp9688 +a(g839 +V\u000a +p9689 +tp9690 +a(g839 +V\u000a +p9691 +tp9692 +a(g839 +V +p9693 +tp9694 +a(g222 +V/// P()rocess an ABSTAIN or REINSTATE statement. Cannot fail.\u000a +p9695 +tp9696 +a(g839 +V +p9697 +tp9698 +a(g744 +Vfn +p9699 +tp9700 +a(g826 +g1002 +tp9701 +a(g573 +Vabstain +p9702 +tp9703 +a(g705 +g1261 +tp9704 +a(g412 +g1310 +tp9705 +a(g744 +Vmut +p9706 +tp9707 +a(g839 +g1002 +tp9708 +a(g693 +Vself +p9709 +tp9710 +a(g705 +g1018 +tp9711 +a(g839 +g1002 +tp9712 +a(g435 +Vwhat +p9713 +tp9714 +a(g826 +g1010 +tp9715 +a(g826 +g1002 +tp9716 +a(g785 +g1310 +tp9717 +a(g628 +Vast +p9718 +tp9719 +a(g826 +V:: +p9720 +tp9721 +a(g435 +VAbstain +p9722 +tp9723 +a(g705 +g1018 +tp9724 +a(g839 +g1002 +tp9725 +a(g435 +g5062 +tp9726 +a(g826 +g1010 +tp9727 +a(g826 +g1002 +tp9728 +a(g785 +g1310 +tp9729 +a(g681 +VFn +p9730 +tp9731 +a(g705 +g1261 +tp9732 +a(g748 +Vu32 +p9733 +tp9734 +a(g705 +g1265 +tp9735 +a(g839 +g1002 +tp9736 +a(g826 +V-> +p9737 +tp9738 +a(g826 +g1002 +tp9739 +a(g748 +Vu32 +p9740 +tp9741 +a(g705 +g1265 +tp9742 +a(g839 +g1002 +tp9743 +a(g705 +g1013 +tp9744 +a(g839 +V\u000a +p9745 +tp9746 +a(g839 +V +p9747 +tp9748 +a(g744 +Vif +p9749 +tp9750 +a(g839 +g1002 +tp9751 +a(g809 +Vlet +p9752 +tp9753 +a(g839 +g1002 +tp9754 +a(g412 +g1310 +tp9755 +a(g435 +Vast +p9756 +tp9757 +a(g826 +V:: +p9758 +tp9759 +a(g435 +VAbstain +p9760 +tp9761 +a(g826 +V:: +p9762 +tp9763 +a(g435 +VLabel +p9764 +tp9765 +a(g705 +g1261 +tp9766 +a(g435 +Vlbl +p9767 +tp9768 +a(g705 +g1265 +tp9769 +a(g839 +g1002 +tp9770 +a(g412 +g1357 +tp9771 +a(g839 +g1002 +tp9772 +a(g435 +Vwhat +p9773 +tp9774 +a(g839 +g1002 +tp9775 +a(g705 +g1013 +tp9776 +a(g839 +V\u000a +p9777 +tp9778 +a(g839 +V +p9779 +tp9780 +a(g809 +Vlet +p9781 +tp9782 +a(g839 +g1002 +tp9783 +a(g435 +Vidx +p9784 +tp9785 +a(g839 +g1002 +tp9786 +a(g412 +g1357 +tp9787 +a(g839 +g1002 +tp9788 +a(g693 +Vself +p9789 +tp9790 +a(g705 +g1421 +tp9791 +a(g435 +Vprogram +p9792 +tp9793 +a(g705 +g1421 +tp9794 +a(g435 +Vlabels +p9795 +tp9796 +a(g705 +g2345 +tp9797 +a(g412 +g1310 +tp9798 +a(g435 +Vlbl +p9799 +tp9800 +a(g705 +g1241 +tp9801 +a(g839 +g1002 +tp9802 +a(g744 +Vas +p9803 +tp9804 +a(g839 +g1002 +tp9805 +a(g748 +Vusize +p9806 +tp9807 +a(g705 +g1026 +tp9808 +a(g839 +V\u000a +p9809 +tp9810 +a(g839 +V +p9811 +tp9812 +a(g744 +Vif +p9813 +tp9814 +a(g839 +g1002 +tp9815 +a(g693 +Vself +p9816 +tp9817 +a(g705 +g1421 +tp9818 +a(g435 +Vprogram +p9819 +tp9820 +a(g705 +g1421 +tp9821 +a(g435 +Vstmts +p9822 +tp9823 +a(g705 +g2345 +tp9824 +a(g435 +Vidx +p9825 +tp9826 +a(g705 +g1241 +tp9827 +a(g705 +g1421 +tp9828 +a(g435 +Vbody +p9829 +tp9830 +a(g839 +g1002 +tp9831 +a(g412 +g2343 +tp9832 +a(g412 +g1357 +tp9833 +a(g839 +g1002 +tp9834 +a(g435 +VStmtBody +p9835 +tp9836 +a(g826 +V:: +p9837 +tp9838 +a(g435 +VGiveUp +p9839 +tp9840 +a(g839 +g1002 +tp9841 +a(g705 +g1013 +tp9842 +a(g839 +V\u000a +p9843 +tp9844 +a(g839 +V +p9845 +tp9846 +a(g693 +Vself +p9847 +tp9848 +a(g705 +g1421 +tp9849 +a(g435 +Vabstain +p9850 +tp9851 +a(g705 +g2345 +tp9852 +a(g435 +Vidx +p9853 +tp9854 +a(g705 +g1241 +tp9855 +a(g839 +g1002 +tp9856 +a(g412 +g1357 +tp9857 +a(g839 +g1002 +tp9858 +a(g435 +g5062 +tp9859 +a(g705 +g1261 +tp9860 +a(g693 +Vself +p9861 +tp9862 +a(g705 +g1421 +tp9863 +a(g435 +Vabstain +p9864 +tp9865 +a(g705 +g2345 +tp9866 +a(g435 +Vidx +p9867 +tp9868 +a(g705 +g1241 +tp9869 +a(g705 +g1265 +tp9870 +a(g705 +g1026 +tp9871 +a(g839 +V\u000a +p9872 +tp9873 +a(g839 +V +p9874 +tp9875 +a(g705 +g1024 +tp9876 +a(g839 +V\u000a +p9877 +tp9878 +a(g839 +V +p9879 +tp9880 +a(g705 +g1024 +tp9881 +a(g839 +g1002 +tp9882 +a(g744 +Velse +p9883 +tp9884 +a(g839 +g1002 +tp9885 +a(g705 +g1013 +tp9886 +a(g839 +V\u000a +p9887 +tp9888 +a(g839 +V +p9889 +tp9890 +a(g744 +Vfor +p9891 +tp9892 +a(g839 +g1002 +tp9893 +a(g705 +g1261 +tp9894 +a(g435 +g3049 +tp9895 +a(g705 +g1018 +tp9896 +a(g839 +g1002 +tp9897 +a(g435 +Vstype +p9898 +tp9899 +a(g705 +g1265 +tp9900 +a(g839 +g1002 +tp9901 +a(g744 +Vin +p9902 +tp9903 +a(g839 +g1002 +tp9904 +a(g693 +Vself +p9905 +tp9906 +a(g705 +g1421 +tp9907 +a(g435 +Vprogram +p9908 +tp9909 +a(g705 +g1421 +tp9910 +a(g435 +Vstmt_types +p9911 +tp9912 +a(g705 +g1421 +tp9913 +a(g435 +Viter +p9914 +tp9915 +a(g705 +g1261 +tp9916 +a(g705 +g1265 +tp9917 +a(g705 +g1421 +tp9918 +a(g435 +Venumerate +p9919 +tp9920 +a(g705 +g1261 +tp9921 +a(g705 +g1265 +tp9922 +a(g839 +g1002 +tp9923 +a(g705 +g1013 +tp9924 +a(g839 +V\u000a +p9925 +tp9926 +a(g839 +V +p9927 +tp9928 +a(g744 +Vif +p9929 +tp9930 +a(g839 +g1002 +tp9931 +a(g435 +Vstype +p9932 +tp9933 +a(g839 +g1002 +tp9934 +a(g412 +g1357 +tp9935 +a(g412 +g1357 +tp9936 +a(g839 +g1002 +tp9937 +a(g435 +Vwhat +p9938 +tp9939 +a(g839 +g1002 +tp9940 +a(g705 +g1013 +tp9941 +a(g839 +V\u000a +p9942 +tp9943 +a(g839 +V +p9944 +tp9945 +a(g693 +Vself +p9946 +tp9947 +a(g705 +g1421 +tp9948 +a(g435 +Vabstain +p9949 +tp9950 +a(g705 +g2345 +tp9951 +a(g435 +g3049 +tp9952 +a(g705 +g1241 +tp9953 +a(g839 +g1002 +tp9954 +a(g412 +g1357 +tp9955 +a(g839 +g1002 +tp9956 +a(g435 +g5062 +tp9957 +a(g705 +g1261 +tp9958 +a(g693 +Vself +p9959 +tp9960 +a(g705 +g1421 +tp9961 +a(g435 +Vabstain +p9962 +tp9963 +a(g705 +g2345 +tp9964 +a(g435 +g3049 +tp9965 +a(g705 +g1241 +tp9966 +a(g705 +g1265 +tp9967 +a(g705 +g1026 +tp9968 +a(g839 +V\u000a +p9969 +tp9970 +a(g839 +V +p9971 +tp9972 +a(g705 +g1024 +tp9973 +a(g839 +V\u000a +p9974 +tp9975 +a(g839 +V +p9976 +tp9977 +a(g705 +g1024 +tp9978 +a(g839 +V\u000a +p9979 +tp9980 +a(g839 +V +p9981 +tp9982 +a(g705 +g1024 +tp9983 +a(g839 +V\u000a +p9984 +tp9985 +a(g839 +V +p9986 +tp9987 +a(g705 +g1024 +tp9988 +a(g839 +V\u000a +p9989 +tp9990 +a(g839 +V\u000a +p9991 +tp9992 +a(g839 +V +p9993 +tp9994 +a(g222 +V/// Array readout helper.\u000a +p9995 +tp9996 +a(g839 +V +p9997 +tp9998 +a(g744 +Vfn +p9999 +tp10000 +a(g826 +g1002 +tp10001 +a(g573 +Varray_readout +p10002 +tp10003 +a(g705 +g1261 +tp10004 +a(g412 +g1310 +tp10005 +a(g744 +Vmut +p10006 +tp10007 +a(g839 +g1002 +tp10008 +a(g693 +Vself +p10009 +tp10010 +a(g705 +g1018 +tp10011 +a(g839 +g1002 +tp10012 +a(g435 +Vvar +p10013 +tp10014 +a(g826 +g1010 +tp10015 +a(g826 +g1002 +tp10016 +a(g785 +g1310 +tp10017 +a(g628 +VVar +p10018 +tp10019 +a(g705 +g1265 +tp10020 +a(g839 +g1002 +tp10021 +a(g826 +V-> +p10022 +tp10023 +a(g826 +g1002 +tp10024 +a(g628 +VRes +p10025 +tp10026 +a(g412 +g1321 +tp10027 +a(g705 +g1261 +tp10028 +a(g705 +g1265 +tp10029 +a(g412 +g1325 +tp10030 +a(g839 +g1002 +tp10031 +a(g705 +g1013 +tp10032 +a(g839 +V\u000a +p10033 +tp10034 +a(g839 +V +p10035 +tp10036 +a(g809 +Vlet +p10037 +tp10038 +a(g839 +g1002 +tp10039 +a(g435 +Vstate +p10040 +tp10041 +a(g839 +g1002 +tp10042 +a(g412 +g1357 +tp10043 +a(g839 +g1002 +tp10044 +a(g412 +g1310 +tp10045 +a(g744 +Vmut +p10046 +tp10047 +a(g839 +g1002 +tp10048 +a(g693 +Vself +p10049 +tp10050 +a(g705 +g1421 +tp10051 +a(g435 +Vlast_out +p10052 +tp10053 +a(g705 +g1026 +tp10054 +a(g839 +V\u000a +p10055 +tp10056 +a(g839 +V +p10057 +tp10058 +a(g744 +Vmatch +p10059 +tp10060 +a(g839 +g1002 +tp10061 +a(g412 +g1336 +tp10062 +a(g435 +Vvar +p10063 +tp10064 +a(g839 +g1002 +tp10065 +a(g705 +g1013 +tp10066 +a(g839 +V\u000a +p10067 +tp10068 +a(g839 +V +p10069 +tp10070 +a(g435 +VVar +p10071 +tp10072 +a(g826 +V:: +p10073 +tp10074 +a(g435 +VA16 +p10075 +tp10076 +a(g705 +g1261 +tp10077 +a(g435 +g3023 +tp10078 +a(g705 +g1018 +tp10079 +a(g839 +g1002 +tp10080 +a(g435 +g4316 +tp10081 +a(g705 +g1265 +tp10082 +a(g839 +g1002 +tp10083 +a(g412 +g1357 +tp10084 +a(g412 +g1325 +tp10085 +a(g839 +g1002 +tp10086 +a(g693 +Vself +p10087 +tp10088 +a(g705 +g1421 +tp10089 +a(g435 +Vtail +p10090 +tp10091 +a(g705 +g2345 +tp10092 +a(g435 +g3023 +tp10093 +a(g705 +g1241 +tp10094 +a(g705 +g1421 +tp10095 +a(g435 +Vreadout +p10096 +tp10097 +a(g705 +g1261 +tp10098 +a(g693 +Vself +p10099 +tp10100 +a(g705 +g1421 +tp10101 +a(g435 +Vstdout +p10102 +tp10103 +a(g705 +g1018 +tp10104 +a(g839 +g1002 +tp10105 +a(g435 +Vstate +p10106 +tp10107 +a(g705 +g1018 +tp10108 +a(g839 +g1002 +tp10109 +a(g37 +g2354 +tp10110 +a(g705 +g1265 +tp10111 +a(g705 +g1018 +tp10112 +a(g839 +V\u000a +p10113 +tp10114 +a(g839 +V +p10115 +tp10116 +a(g435 +VVar +p10117 +tp10118 +a(g826 +V:: +p10119 +tp10120 +a(g435 +VA32 +p10121 +tp10122 +a(g705 +g1261 +tp10123 +a(g435 +g3023 +tp10124 +a(g705 +g1018 +tp10125 +a(g839 +g1002 +tp10126 +a(g435 +g4316 +tp10127 +a(g705 +g1265 +tp10128 +a(g839 +g1002 +tp10129 +a(g412 +g1357 +tp10130 +a(g412 +g1325 +tp10131 +a(g839 +g1002 +tp10132 +a(g693 +Vself +p10133 +tp10134 +a(g705 +g1421 +tp10135 +a(g435 +Vhybrid +p10136 +tp10137 +a(g705 +g2345 +tp10138 +a(g435 +g3023 +tp10139 +a(g705 +g1241 +tp10140 +a(g705 +g1421 +tp10141 +a(g435 +Vreadout +p10142 +tp10143 +a(g705 +g1261 +tp10144 +a(g693 +Vself +p10145 +tp10146 +a(g705 +g1421 +tp10147 +a(g435 +Vstdout +p10148 +tp10149 +a(g705 +g1018 +tp10150 +a(g839 +g1002 +tp10151 +a(g435 +Vstate +p10152 +tp10153 +a(g705 +g1018 +tp10154 +a(g839 +g1002 +tp10155 +a(g37 +g2354 +tp10156 +a(g705 +g1265 +tp10157 +a(g705 +g1018 +tp10158 +a(g839 +V\u000a +p10159 +tp10160 +a(g839 +V +p10161 +tp10162 +a(g435 +g4316 +tp10163 +a(g839 +g1002 +tp10164 +a(g412 +g1357 +tp10165 +a(g412 +g1325 +tp10166 +a(g839 +g1002 +tp10167 +a(g744 +Vreturn +p10168 +tp10169 +a(g839 +g1002 +tp10170 +a(g435 +VIE994 +p10171 +tp10172 +a(g705 +g1421 +tp10173 +a(g435 +Verr +p10174 +tp10175 +a(g705 +g1261 +tp10176 +a(g705 +g1265 +tp10177 +a(g705 +g1018 +tp10178 +a(g839 +V\u000a +p10179 +tp10180 +a(g839 +V +p10181 +tp10182 +a(g705 +g1024 +tp10183 +a(g839 +V\u000a +p10184 +tp10185 +a(g839 +V +p10186 +tp10187 +a(g705 +g1024 +tp10188 +a(g839 +V\u000a +p10189 +tp10190 +a(g839 +V\u000a +p10191 +tp10192 +a(g839 +V +p10193 +tp10194 +a(g222 +V/// Array writein helper.\u000a +p10195 +tp10196 +a(g839 +V +p10197 +tp10198 +a(g744 +Vfn +p10199 +tp10200 +a(g826 +g1002 +tp10201 +a(g573 +Varray_writein +p10202 +tp10203 +a(g705 +g1261 +tp10204 +a(g412 +g1310 +tp10205 +a(g744 +Vmut +p10206 +tp10207 +a(g839 +g1002 +tp10208 +a(g693 +Vself +p10209 +tp10210 +a(g705 +g1018 +tp10211 +a(g839 +g1002 +tp10212 +a(g435 +Vvar +p10213 +tp10214 +a(g826 +g1010 +tp10215 +a(g826 +g1002 +tp10216 +a(g785 +g1310 +tp10217 +a(g628 +VVar +p10218 +tp10219 +a(g705 +g1265 +tp10220 +a(g839 +g1002 +tp10221 +a(g826 +V-> +p10222 +tp10223 +a(g826 +g1002 +tp10224 +a(g628 +VRes +p10225 +tp10226 +a(g412 +g1321 +tp10227 +a(g705 +g1261 +tp10228 +a(g705 +g1265 +tp10229 +a(g412 +g1325 +tp10230 +a(g839 +g1002 +tp10231 +a(g705 +g1013 +tp10232 +a(g839 +V\u000a +p10233 +tp10234 +a(g839 +V +p10235 +tp10236 +a(g809 +Vlet +p10237 +tp10238 +a(g839 +g1002 +tp10239 +a(g435 +Vstate +p10240 +tp10241 +a(g839 +g1002 +tp10242 +a(g412 +g1357 +tp10243 +a(g839 +g1002 +tp10244 +a(g412 +g1310 +tp10245 +a(g744 +Vmut +p10246 +tp10247 +a(g839 +g1002 +tp10248 +a(g693 +Vself +p10249 +tp10250 +a(g705 +g1421 +tp10251 +a(g435 +Vlast_in +p10252 +tp10253 +a(g705 +g1026 +tp10254 +a(g839 +V\u000a +p10255 +tp10256 +a(g839 +V +p10257 +tp10258 +a(g744 +Vmatch +p10259 +tp10260 +a(g839 +g1002 +tp10261 +a(g412 +g1336 +tp10262 +a(g435 +Vvar +p10263 +tp10264 +a(g839 +g1002 +tp10265 +a(g705 +g1013 +tp10266 +a(g839 +V\u000a +p10267 +tp10268 +a(g839 +V +p10269 +tp10270 +a(g435 +VVar +p10271 +tp10272 +a(g826 +V:: +p10273 +tp10274 +a(g435 +VA16 +p10275 +tp10276 +a(g705 +g1261 +tp10277 +a(g435 +g3023 +tp10278 +a(g705 +g1018 +tp10279 +a(g839 +g1002 +tp10280 +a(g435 +g4316 +tp10281 +a(g705 +g1265 +tp10282 +a(g839 +g1002 +tp10283 +a(g412 +g1357 +tp10284 +a(g412 +g1325 +tp10285 +a(g839 +g1002 +tp10286 +a(g693 +Vself +p10287 +tp10288 +a(g705 +g1421 +tp10289 +a(g435 +Vtail +p10290 +tp10291 +a(g705 +g2345 +tp10292 +a(g435 +g3023 +tp10293 +a(g705 +g1241 +tp10294 +a(g705 +g1421 +tp10295 +a(g435 +Vwritein +p10296 +tp10297 +a(g705 +g1261 +tp10298 +a(g435 +Vstate +p10299 +tp10300 +a(g705 +g1018 +tp10301 +a(g839 +g1002 +tp10302 +a(g37 +g2354 +tp10303 +a(g705 +g1265 +tp10304 +a(g705 +g1018 +tp10305 +a(g839 +V\u000a +p10306 +tp10307 +a(g839 +V +p10308 +tp10309 +a(g435 +VVar +p10310 +tp10311 +a(g826 +V:: +p10312 +tp10313 +a(g435 +VA32 +p10314 +tp10315 +a(g705 +g1261 +tp10316 +a(g435 +g3023 +tp10317 +a(g705 +g1018 +tp10318 +a(g839 +g1002 +tp10319 +a(g435 +g4316 +tp10320 +a(g705 +g1265 +tp10321 +a(g839 +g1002 +tp10322 +a(g412 +g1357 +tp10323 +a(g412 +g1325 +tp10324 +a(g839 +g1002 +tp10325 +a(g693 +Vself +p10326 +tp10327 +a(g705 +g1421 +tp10328 +a(g435 +Vhybrid +p10329 +tp10330 +a(g705 +g2345 +tp10331 +a(g435 +g3023 +tp10332 +a(g705 +g1241 +tp10333 +a(g705 +g1421 +tp10334 +a(g435 +Vwritein +p10335 +tp10336 +a(g705 +g1261 +tp10337 +a(g435 +Vstate +p10338 +tp10339 +a(g705 +g1018 +tp10340 +a(g839 +g1002 +tp10341 +a(g37 +g2354 +tp10342 +a(g705 +g1265 +tp10343 +a(g705 +g1018 +tp10344 +a(g839 +V\u000a +p10345 +tp10346 +a(g839 +V +p10347 +tp10348 +a(g435 +g4316 +tp10349 +a(g839 +g1002 +tp10350 +a(g412 +g1357 +tp10351 +a(g412 +g1325 +tp10352 +a(g839 +g1002 +tp10353 +a(g744 +Vreturn +p10354 +tp10355 +a(g839 +g1002 +tp10356 +a(g435 +VIE994 +p10357 +tp10358 +a(g705 +g1421 +tp10359 +a(g435 +Verr +p10360 +tp10361 +a(g705 +g1261 +tp10362 +a(g705 +g1265 +tp10363 +a(g705 +g1018 +tp10364 +a(g839 +V\u000a +p10365 +tp10366 +a(g839 +V +p10367 +tp10368 +a(g705 +g1024 +tp10369 +a(g839 +V\u000a +p10370 +tp10371 +a(g839 +V +p10372 +tp10373 +a(g705 +g1024 +tp10374 +a(g839 +V\u000a +p10375 +tp10376 +a(g839 +V\u000a +p10377 +tp10378 +a(g839 +V +p10379 +tp10380 +a(g222 +V/// Debug helpers.\u000a +p10381 +tp10382 +a(g839 +V +p10383 +tp10384 +a(g744 +Vfn +p10385 +tp10386 +a(g826 +g1002 +tp10387 +a(g573 +Vdump_state +p10388 +tp10389 +a(g705 +g1261 +tp10390 +a(g412 +g1310 +tp10391 +a(g693 +Vself +p10392 +tp10393 +a(g705 +g1265 +tp10394 +a(g839 +g1002 +tp10395 +a(g705 +g1013 +tp10396 +a(g839 +V\u000a +p10397 +tp10398 +a(g839 +V +p10399 +tp10400 +a(g693 +Vself +p10401 +tp10402 +a(g705 +g1421 +tp10403 +a(g435 +Vdump_state_one +p10404 +tp10405 +a(g705 +g1261 +tp10406 +a(g412 +g1310 +tp10407 +a(g693 +Vself +p10408 +tp10409 +a(g705 +g1421 +tp10410 +a(g435 +Vspot +p10411 +tp10412 +a(g705 +g1018 +tp10413 +a(g839 +g1002 +tp10414 +a(g89 +g4029 +tp10415 +a(g89 +g1421 +tp10416 +a(g89 +g4029 +tp10417 +a(g705 +g1265 +tp10418 +a(g705 +g1026 +tp10419 +a(g839 +V\u000a +p10420 +tp10421 +a(g839 +V +p10422 +tp10423 +a(g693 +Vself +p10424 +tp10425 +a(g705 +g1421 +tp10426 +a(g435 +Vdump_state_one +p10427 +tp10428 +a(g705 +g1261 +tp10429 +a(g412 +g1310 +tp10430 +a(g693 +Vself +p10431 +tp10432 +a(g705 +g1421 +tp10433 +a(g435 +Vtwospot +p10434 +tp10435 +a(g705 +g1018 +tp10436 +a(g839 +g1002 +tp10437 +a(g89 +g4029 +tp10438 +a(g89 +g1010 +tp10439 +a(g89 +g4029 +tp10440 +a(g705 +g1265 +tp10441 +a(g705 +g1026 +tp10442 +a(g839 +V\u000a +p10443 +tp10444 +a(g839 +V +p10445 +tp10446 +a(g693 +Vself +p10447 +tp10448 +a(g705 +g1421 +tp10449 +a(g435 +Vdump_state_one +p10450 +tp10451 +a(g705 +g1261 +tp10452 +a(g412 +g1310 +tp10453 +a(g693 +Vself +p10454 +tp10455 +a(g705 +g1421 +tp10456 +a(g435 +Vtail +p10457 +tp10458 +a(g705 +g1018 +tp10459 +a(g839 +g1002 +tp10460 +a(g89 +g4029 +tp10461 +a(g89 +g1018 +tp10462 +a(g89 +g4029 +tp10463 +a(g705 +g1265 +tp10464 +a(g705 +g1026 +tp10465 +a(g839 +V\u000a +p10466 +tp10467 +a(g839 +V +p10468 +tp10469 +a(g693 +Vself +p10470 +tp10471 +a(g705 +g1421 +tp10472 +a(g435 +Vdump_state_one +p10473 +tp10474 +a(g705 +g1261 +tp10475 +a(g412 +g1310 +tp10476 +a(g693 +Vself +p10477 +tp10478 +a(g705 +g1421 +tp10479 +a(g435 +Vhybrid +p10480 +tp10481 +a(g705 +g1018 +tp10482 +a(g839 +g1002 +tp10483 +a(g89 +g4029 +tp10484 +a(g89 +g1026 +tp10485 +a(g89 +g4029 +tp10486 +a(g705 +g1265 +tp10487 +a(g705 +g1026 +tp10488 +a(g839 +V\u000a +p10489 +tp10490 +a(g839 +V +p10491 +tp10492 +a(g744 +Vif +p10493 +tp10494 +a(g839 +g1002 +tp10495 +a(g693 +Vself +p10496 +tp10497 +a(g705 +g1421 +tp10498 +a(g435 +Vjumps +p10499 +tp10500 +a(g705 +g1421 +tp10501 +a(g435 +Vlen +p10502 +tp10503 +a(g705 +g1261 +tp10504 +a(g705 +g1265 +tp10505 +a(g839 +g1002 +tp10506 +a(g412 +g1325 +tp10507 +a(g839 +g1002 +tp10508 +a(g37 +g2354 +tp10509 +a(g839 +g1002 +tp10510 +a(g705 +g1013 +tp10511 +a(g839 +V\u000a +p10512 +tp10513 +a(g839 +V +p10514 +tp10515 +a(g435 +Vprintln +p10516 +tp10517 +a(g412 +g2343 +tp10518 +a(g705 +g1261 +tp10519 +a(g89 +g4029 +tp10520 +a(g89 +VNext stack: {:?} +p10521 +tp10522 +a(g89 +g4029 +tp10523 +a(g705 +g1018 +tp10524 +a(g839 +g1002 +tp10525 +a(g693 +Vself +p10526 +tp10527 +a(g705 +g1421 +tp10528 +a(g435 +Vjumps +p10529 +tp10530 +a(g705 +g1265 +tp10531 +a(g705 +g1026 +tp10532 +a(g839 +V\u000a +p10533 +tp10534 +a(g839 +V +p10535 +tp10536 +a(g705 +g1024 +tp10537 +a(g839 +V\u000a +p10538 +tp10539 +a(g839 +V +p10540 +tp10541 +a(g8 +V//println!("Abstained: {:?}", self.abstain);\u000a +p10542 +tp10543 +a(g839 +V +p10544 +tp10545 +a(g705 +g1024 +tp10546 +a(g839 +V\u000a +p10547 +tp10548 +a(g839 +V\u000a +p10549 +tp10550 +a(g839 +V +p10551 +tp10552 +a(g744 +Vfn +p10553 +tp10554 +a(g826 +g1002 +tp10555 +a(g573 +Vdump_state_one +p10556 +tp10557 +a(g412 +g1321 +tp10558 +a(g435 +VT +p10559 +tp10560 +a(g826 +g1010 +tp10561 +a(g826 +g1002 +tp10562 +a(g628 +VDebug +p10563 +tp10564 +a(g839 +g1002 +tp10565 +a(g412 +g2806 +tp10566 +a(g839 +g1002 +tp10567 +a(g435 +VDisplay +p10568 +tp10569 +a(g412 +g1325 +tp10570 +a(g705 +g1261 +tp10571 +a(g412 +g1310 +tp10572 +a(g693 +Vself +p10573 +tp10574 +a(g705 +g1018 +tp10575 +a(g839 +g1002 +tp10576 +a(g435 +Vvec +p10577 +tp10578 +a(g826 +g1010 +tp10579 +a(g826 +g1002 +tp10580 +a(g785 +g1310 +tp10581 +a(g681 +VVec +p10582 +tp10583 +a(g412 +g1321 +tp10584 +a(g435 +VBind +p10585 +tp10586 +a(g412 +g1321 +tp10587 +a(g435 +g10559 +tp10588 +a(g412 +g1325 +tp10589 +a(g412 +g1325 +tp10590 +a(g705 +g1018 +tp10591 +a(g839 +g1002 +tp10592 +a(g435 +Vsigil +p10593 +tp10594 +a(g826 +g1010 +tp10595 +a(g826 +g1002 +tp10596 +a(g785 +g1310 +tp10597 +a(g748 +Vstr +p10598 +tp10599 +a(g705 +g1265 +tp10600 +a(g839 +g1002 +tp10601 +a(g705 +g1013 +tp10602 +a(g839 +V\u000a +p10603 +tp10604 +a(g839 +V +p10605 +tp10606 +a(g744 +Vif +p10607 +tp10608 +a(g839 +g1002 +tp10609 +a(g435 +Vvec +p10610 +tp10611 +a(g705 +g1421 +tp10612 +a(g435 +Vlen +p10613 +tp10614 +a(g705 +g1261 +tp10615 +a(g705 +g1265 +tp10616 +a(g839 +g1002 +tp10617 +a(g412 +g1325 +tp10618 +a(g839 +g1002 +tp10619 +a(g37 +g2354 +tp10620 +a(g839 +g1002 +tp10621 +a(g705 +g1013 +tp10622 +a(g839 +V\u000a +p10623 +tp10624 +a(g839 +V +p10625 +tp10626 +a(g744 +Vfor +p10627 +tp10628 +a(g839 +g1002 +tp10629 +a(g705 +g1261 +tp10630 +a(g435 +g3049 +tp10631 +a(g705 +g1018 +tp10632 +a(g839 +g1002 +tp10633 +a(g435 +g1353 +tp10634 +a(g705 +g1265 +tp10635 +a(g839 +g1002 +tp10636 +a(g744 +Vin +p10637 +tp10638 +a(g839 +g1002 +tp10639 +a(g435 +Vvec +p10640 +tp10641 +a(g705 +g1421 +tp10642 +a(g435 +Viter +p10643 +tp10644 +a(g705 +g1261 +tp10645 +a(g705 +g1265 +tp10646 +a(g705 +g1421 +tp10647 +a(g435 +Venumerate +p10648 +tp10649 +a(g705 +g1261 +tp10650 +a(g705 +g1265 +tp10651 +a(g839 +g1002 +tp10652 +a(g705 +g1013 +tp10653 +a(g839 +V\u000a +p10654 +tp10655 +a(g839 +V +p10656 +tp10657 +a(g435 +Vprint +p10658 +tp10659 +a(g412 +g2343 +tp10660 +a(g705 +g1261 +tp10661 +a(g89 +g4029 +tp10662 +a(g89 +V{}{} = {}, +p10663 +tp10664 +a(g89 +g4029 +tp10665 +a(g705 +g1018 +tp10666 +a(g839 +g1002 +tp10667 +a(g435 +Vsigil +p10668 +tp10669 +a(g705 +g1018 +tp10670 +a(g839 +g1002 +tp10671 +a(g435 +g3049 +tp10672 +a(g705 +g1018 +tp10673 +a(g839 +g1002 +tp10674 +a(g435 +g1353 +tp10675 +a(g705 +g1265 +tp10676 +a(g705 +g1026 +tp10677 +a(g839 +V\u000a +p10678 +tp10679 +a(g839 +V +p10680 +tp10681 +a(g705 +g1024 +tp10682 +a(g839 +V\u000a +p10683 +tp10684 +a(g839 +V +p10685 +tp10686 +a(g435 +Vprintln +p10687 +tp10688 +a(g412 +g2343 +tp10689 +a(g705 +g1261 +tp10690 +a(g89 +g4029 +tp10691 +a(g89 +g4029 +tp10692 +a(g705 +g1265 +tp10693 +a(g705 +g1026 +tp10694 +a(g839 +V\u000a +p10695 +tp10696 +a(g839 +V +p10697 +tp10698 +a(g705 +g1024 +tp10699 +a(g839 +V\u000a +p10700 +tp10701 +a(g839 +V +p10702 +tp10703 +a(g705 +g1024 +tp10704 +a(g839 +V\u000a +p10705 +tp10706 +a(g705 +g1024 +tp10707 +a(g839 +V\u000a +p10708 +tp10709 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/evil_regex.js b/tests/examplefiles/output/evil_regex.js new file mode 100644 index 0000000..e8e3f05 --- /dev/null +++ b/tests/examplefiles/output/evil_regex.js @@ -0,0 +1,3832 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g200 +V/regexp/ +p958 +tp959 +a(g709 +V. +p960 +tp961 +a(g654 +Vtest +p962 +tp963 +a(g709 +V( +p964 +tp965 +a(g654 +Vfoo +p966 +tp967 +a(g709 +V) +p968 +tp969 +a(g7 +V +p970 +tp971 +a(g416 +V|| +p972 +tp973 +a(g7 +g970 +tp974 +a(g654 +Vx +p975 +tp976 +a(g7 +g970 +tp977 +a(g416 +V= +p978 +tp979 +a(g7 +g970 +tp980 +a(g709 +V[ +p981 +tp982 +a(g200 +V/regexp/ +p983 +tp984 +a(g709 +V, +p985 +tp986 +a(g200 +V/regexp/ +p987 +tp988 +a(g709 +g985 +tp989 +a(g7 +g970 +tp990 +a(g200 +V/regexp/ +p991 +tp992 +a(g709 +g985 +tp993 +a(g7 +g970 +tp994 +a(g761 +V// comment\u000a +p995 +tp996 +a(g761 +V// comment\u000a +p997 +tp998 +a(g200 +V/regexp/ +p999 +tp1000 +a(g709 +V] +p1001 +tp1002 +a(g709 +V; +p1003 +tp1004 +a(g7 +V\u000a +p1005 +tp1006 +a(g842 +Vif +p1007 +tp1008 +a(g7 +g970 +tp1009 +a(g709 +g964 +tp1010 +a(g200 +V/regexp/ +p1011 +tp1012 +a(g709 +g960 +tp1013 +a(g654 +Vtest +p1014 +tp1015 +a(g709 +g964 +tp1016 +a(g654 +Vstring +p1017 +tp1018 +a(g709 +g968 +tp1019 +a(g709 +g968 +tp1020 +a(g7 +V\u000a +p1021 +tp1022 +a(g709 +V{ +p1023 +tp1024 +a(g200 +V/regexp/ +p1025 +tp1026 +a(g709 +g960 +tp1027 +a(g654 +Vtest +p1028 +tp1029 +a(g709 +g964 +tp1030 +a(g654 +Vstring +p1031 +tp1032 +a(g709 +g968 +tp1033 +a(g709 +g1003 +tp1034 +a(g709 +V} +p1035 +tp1036 +a(g709 +g1003 +tp1037 +a(g7 +V\u000a +p1038 +tp1039 +a(g654 +g975 +tp1040 +a(g7 +g970 +tp1041 +a(g416 +g978 +tp1042 +a(g200 +V/regexp/ +p1043 +tp1044 +a(g709 +g1003 +tp1045 +a(g7 +V\u000a +p1046 +tp1047 +a(g654 +g975 +tp1048 +a(g7 +g970 +tp1049 +a(g416 +g978 +tp1050 +a(g7 +g970 +tp1051 +a(g200 +V/regexp/ +p1052 +tp1053 +a(g709 +g1003 +tp1054 +a(g7 +V\u000a +p1055 +tp1056 +a(g842 +Vif +p1057 +tp1058 +a(g7 +g970 +tp1059 +a(g709 +g964 +tp1060 +a(g40 +V0 +p1061 +tp1062 +a(g416 +V< +p1063 +tp1064 +a(g200 +V/regexp/ +p1065 +tp1066 +a(g709 +g960 +tp1067 +a(g654 +Vexec +p1068 +tp1069 +a(g709 +g964 +tp1070 +a(g654 +Vstring +p1071 +tp1072 +a(g709 +g968 +tp1073 +a(g7 +g970 +tp1074 +a(g416 +V|| +p1075 +tp1076 +a(g7 +g970 +tp1077 +a(g40 +V1 +p1078 +tp1079 +a(g416 +V> +p1080 +tp1081 +a(g200 +V/regexp/ +p1082 +tp1083 +a(g709 +g960 +tp1084 +a(g654 +Vexec +p1085 +tp1086 +a(g709 +g964 +tp1087 +a(g654 +Vstring +p1088 +tp1089 +a(g709 +g968 +tp1090 +a(g709 +g968 +tp1091 +a(g7 +V\u000a +p1092 +tp1093 +a(g654 +g975 +tp1094 +a(g7 +g970 +tp1095 +a(g416 +g978 +tp1096 +a(g7 +g970 +tp1097 +a(g709 +g1023 +tp1098 +a(g7 +g970 +tp1099 +a(g654 +Vu +p1100 +tp1101 +a(g416 +V: +p1102 +tp1103 +a(g200 +V/regexp/ +p1104 +tp1105 +a(g709 +g985 +tp1106 +a(g7 +g970 +tp1107 +a(g654 +Vv +p1108 +tp1109 +a(g416 +g1102 +tp1110 +a(g7 +g970 +tp1111 +a(g200 +V/regexp/ +p1112 +tp1113 +a(g7 +g970 +tp1114 +a(g709 +g1035 +tp1115 +a(g709 +g1003 +tp1116 +a(g7 +V\u000a +p1117 +tp1118 +a(g654 +Vfoo +p1119 +tp1120 +a(g709 +g964 +tp1121 +a(g709 +g968 +tp1122 +a(g709 +g1003 +tp1123 +a(g200 +V/regexp/ +p1124 +tp1125 +a(g709 +g960 +tp1126 +a(g654 +Vtest +p1127 +tp1128 +a(g709 +g964 +tp1129 +a(g654 +Vstring +p1130 +tp1131 +a(g709 +g968 +tp1132 +a(g709 +g1003 +tp1133 +a(g7 +g970 +tp1134 +a(g200 +V/regexp/ +p1135 +tp1136 +a(g709 +g960 +tp1137 +a(g654 +Vtest +p1138 +tp1139 +a(g709 +g964 +tp1140 +a(g654 +Vstring +p1141 +tp1142 +a(g709 +g968 +tp1143 +a(g709 +g1003 +tp1144 +a(g7 +V\u000a +p1145 +tp1146 +a(g842 +Vif +p1147 +tp1148 +a(g7 +g970 +tp1149 +a(g709 +g964 +tp1150 +a(g416 +V! +p1151 +tp1152 +a(g200 +V/regexp/ +p1153 +tp1154 +a(g709 +g968 +tp1155 +a(g7 +g970 +tp1156 +a(g654 +Vfoobar +p1157 +tp1158 +a(g709 +g964 +tp1159 +a(g709 +g968 +tp1160 +a(g709 +g1003 +tp1161 +a(g7 +V\u000a +p1162 +tp1163 +a(g654 +g975 +tp1164 +a(g7 +g970 +tp1165 +a(g416 +g978 +tp1166 +a(g7 +g970 +tp1167 +a(g654 +g1100 +tp1168 +a(g7 +g970 +tp1169 +a(g416 +V% +p1170 +tp1171 +a(g200 +V/regexp/ +p1172 +tp1173 +a(g709 +g960 +tp1174 +a(g654 +Vexec +p1175 +tp1176 +a(g709 +g964 +tp1177 +a(g654 +Vstring +p1178 +tp1179 +a(g709 +g968 +tp1180 +a(g7 +g970 +tp1181 +a(g416 +V* +p1182 +tp1183 +a(g200 +V/regexp/ +p1184 +tp1185 +a(g709 +g960 +tp1186 +a(g654 +Vexec +p1187 +tp1188 +a(g709 +g964 +tp1189 +a(g654 +Vstring +p1190 +tp1191 +a(g709 +g968 +tp1192 +a(g7 +g970 +tp1193 +a(g416 +V/ +p1194 +tp1195 +a(g7 +g970 +tp1196 +a(g200 +V/regexp/ +p1197 +tp1198 +a(g709 +g960 +tp1199 +a(g654 +Vexec +p1200 +tp1201 +a(g709 +g964 +tp1202 +a(g654 +Vstring +p1203 +tp1204 +a(g709 +g968 +tp1205 +a(g709 +g1003 +tp1206 +a(g7 +V\u000a +p1207 +tp1208 +a(g654 +g975 +tp1209 +a(g7 +g970 +tp1210 +a(g416 +g978 +tp1211 +a(g7 +g970 +tp1212 +a(g654 +g1100 +tp1213 +a(g416 +V? +p1214 +tp1215 +a(g200 +V/regexp/ +p1216 +tp1217 +a(g709 +g960 +tp1218 +a(g654 +Vexec +p1219 +tp1220 +a(g709 +g964 +tp1221 +a(g654 +Vstring +p1222 +tp1223 +a(g709 +g968 +tp1224 +a(g7 +g970 +tp1225 +a(g416 +g1102 +tp1226 +a(g7 +g970 +tp1227 +a(g654 +g1108 +tp1228 +a(g7 +g970 +tp1229 +a(g416 +V+ +p1230 +tp1231 +a(g200 +V/regexp/ +p1232 +tp1233 +a(g709 +g960 +tp1234 +a(g654 +Vexec +p1235 +tp1236 +a(g709 +g964 +tp1237 +a(g654 +Vstring +p1238 +tp1239 +a(g709 +g968 +tp1240 +a(g7 +g970 +tp1241 +a(g416 +V- +p1242 +tp1243 +a(g200 +V/regexp/ +p1244 +tp1245 +a(g709 +g960 +tp1246 +a(g654 +Vexec +p1247 +tp1248 +a(g709 +g964 +tp1249 +a(g654 +Vstring +p1250 +tp1251 +a(g709 +g968 +tp1252 +a(g709 +g1003 +tp1253 +a(g7 +V\u000a +p1254 +tp1255 +a(g654 +Va +p1256 +tp1257 +a(g7 +g970 +tp1258 +a(g416 +g978 +tp1259 +a(g7 +g970 +tp1260 +a(g654 +g1100 +tp1261 +a(g416 +V^ +p1262 +tp1263 +a(g200 +V/regexp/ +p1264 +tp1265 +a(g709 +g960 +tp1266 +a(g654 +Vexec +p1267 +tp1268 +a(g709 +g964 +tp1269 +a(g654 +Vstring +p1270 +tp1271 +a(g709 +g968 +tp1272 +a(g7 +g970 +tp1273 +a(g416 +V& +p1274 +tp1275 +a(g200 +V/regexp/ +p1276 +tp1277 +a(g709 +g960 +tp1278 +a(g654 +Vexec +p1279 +tp1280 +a(g709 +g964 +tp1281 +a(g654 +Vstring +p1282 +tp1283 +a(g709 +g968 +tp1284 +a(g7 +g970 +tp1285 +a(g416 +V| +p1286 +tp1287 +a(g200 +V/regexp/ +p1288 +tp1289 +a(g709 +g960 +tp1290 +a(g654 +Vexec +p1291 +tp1292 +a(g709 +g964 +tp1293 +a(g654 +Vstring +p1294 +tp1295 +a(g709 +g968 +tp1296 +a(g7 +g970 +tp1297 +a(g416 +g1230 +tp1298 +a(g416 +V~ +p1299 +tp1300 +a(g200 +V/regexp/ +p1301 +tp1302 +a(g709 +g960 +tp1303 +a(g654 +Vexec +p1304 +tp1305 +a(g709 +g964 +tp1306 +a(g654 +Vstring +p1307 +tp1308 +a(g709 +g968 +tp1309 +a(g709 +g1003 +tp1310 +a(g7 +V\u000a +p1311 +tp1312 +a(g654 +g975 +tp1313 +a(g7 +g970 +tp1314 +a(g416 +g978 +tp1315 +a(g7 +g970 +tp1316 +a(g200 +V/regexp/ +p1317 +tp1318 +a(g7 +g970 +tp1319 +a(g792 +V/* a comment */ +p1320 +tp1321 +a(g7 +g970 +tp1322 +a(g709 +g1003 +tp1323 +a(g7 +V\u000a +p1324 +tp1325 +a(g654 +g975 +tp1326 +a(g7 +g970 +tp1327 +a(g416 +g978 +tp1328 +a(g7 +g970 +tp1329 +a(g200 +V/[reg/exp]/ +p1330 +tp1331 +a(g709 +g1003 +tp1332 +a(g7 +V\u000a +p1333 +tp1334 +a(g654 +g975 +tp1335 +a(g7 +g970 +tp1336 +a(g416 +g978 +tp1337 +a(g7 +g970 +tp1338 +a(g40 +V4 +p1339 +tp1340 +a(g416 +g1194 +tp1341 +a(g40 +V2 +p1342 +tp1343 +a(g416 +g1194 +tp1344 +a(g654 +Vi +p1345 +tp1346 +a(g709 +g1003 +tp1347 +a(g7 +V\u000a +p1348 +tp1349 +a(g654 +g975 +tp1350 +a(g7 +g970 +tp1351 +a(g416 +g978 +tp1352 +a(g7 +g970 +tp1353 +a(g709 +g964 +tp1354 +a(g654 +g1256 +tp1355 +a(g7 +g970 +tp1356 +a(g416 +V== +p1357 +tp1358 +a(g7 +g970 +tp1359 +a(g654 +Vb +p1360 +tp1361 +a(g709 +g968 +tp1362 +a(g7 +g970 +tp1363 +a(g416 +g1214 +tp1364 +a(g792 +V/* this is a comment */ +p1365 +tp1366 +a(g7 +g970 +tp1367 +a(g654 +Vc +p1368 +tp1369 +a(g7 +g970 +tp1370 +a(g416 +g1102 +tp1371 +a(g7 +g970 +tp1372 +a(g654 +Vd +p1373 +tp1374 +a(g709 +g1003 +tp1375 +a(g7 +V\u000a +p1376 +tp1377 +a(g761 +V/// a comment //\u000a +p1378 +tp1379 +a(g654 +g1256 +tp1380 +a(g7 +g970 +tp1381 +a(g416 +g978 +tp1382 +a(g7 +g970 +tp1383 +a(g200 +V/regex/ +p1384 +tp1385 +a(g416 +g1194 +tp1386 +a(g40 +g1342 +tp1387 +a(g416 +g1194 +tp1388 +a(g40 +g1078 +tp1389 +a(g709 +g1003 +tp1390 +a(g7 +g970 +tp1391 +a(g761 +V//syntactically correct, returns NaN\u000a +p1392 +tp1393 +a(g7 +V\u000a\u000a\u000a\u000a +p1394 +tp1395 +a(g792 +V/* original examples */ +p1396 +tp1397 +a(g7 +V\u000a\u000a +p1398 +tp1399 +a(g761 +V// regex\u000a +p1400 +tp1401 +a(g7 +V\u000a +p1402 +tp1403 +a(g654 +Vblah +p1404 +tp1405 +a(g709 +g964 +tp1406 +a(g200 +V/abc/ +p1407 +tp1408 +a(g709 +g968 +tp1409 +a(g709 +g1003 +tp1410 +a(g7 +V\u000a +p1411 +tp1412 +a(g654 +g975 +tp1413 +a(g7 +g970 +tp1414 +a(g416 +g978 +tp1415 +a(g7 +g970 +tp1416 +a(g200 +V/abc/ +p1417 +tp1418 +a(g709 +g1003 +tp1419 +a(g7 +V\u000a +p1420 +tp1421 +a(g654 +g975 +tp1422 +a(g7 +g970 +tp1423 +a(g416 +g978 +tp1424 +a(g7 +g970 +tp1425 +a(g200 +V/abc/ +p1426 +tp1427 +a(g709 +g960 +tp1428 +a(g654 +Vmatch +p1429 +tp1430 +a(g709 +g1003 +tp1431 +a(g7 +V\u000a\u000a +p1432 +tp1433 +a(g761 +V// math\u000a +p1434 +tp1435 +a(g7 +V\u000a +p1436 +tp1437 +a(g654 +Vblah +p1438 +tp1439 +a(g709 +g964 +tp1440 +a(g40 +g1078 +tp1441 +a(g416 +g1194 +tp1442 +a(g40 +g1342 +tp1443 +a(g709 +g968 +tp1444 +a(g709 +g1003 +tp1445 +a(g7 +g970 +tp1446 +a(g761 +V//comment\u000a +p1447 +tp1448 +a(g654 +g975 +tp1449 +a(g7 +g970 +tp1450 +a(g416 +g978 +tp1451 +a(g7 +g970 +tp1452 +a(g40 +g1078 +tp1453 +a(g7 +g970 +tp1454 +a(g416 +g1194 +tp1455 +a(g7 +g970 +tp1456 +a(g40 +g1342 +tp1457 +a(g7 +g970 +tp1458 +a(g416 +g1194 +tp1459 +a(g7 +g970 +tp1460 +a(g40 +V3 +p1461 +tp1462 +a(g709 +g1003 +tp1463 +a(g7 +V\u000a +p1464 +tp1465 +a(g654 +g975 +tp1466 +a(g7 +g970 +tp1467 +a(g416 +g978 +tp1468 +a(g7 +g970 +tp1469 +a(g40 +g1078 +tp1470 +a(g416 +g1194 +tp1471 +a(g40 +g1078 +tp1472 +a(g416 +g1194 +tp1473 +a(g318 +V.1 +p1474 +tp1475 +a(g709 +g1003 +tp1476 +a(g7 +V\u000a\u000a +p1477 +tp1478 +a(g761 +V// broken\u000a +p1479 +tp1480 +a(g7 +V\u000a +p1481 +tp1482 +a(g654 +g975 +tp1483 +a(g416 +g978 +tp1484 +a(g200 +V/1/ +p1485 +tp1486 +a(g709 +g1003 +tp1487 +a(g7 +V\u000a +p1488 +tp1489 +a(g654 +g975 +tp1490 +a(g416 +g978 +tp1491 +a(g40 +g1078 +tp1492 +a(g416 +g1194 +tp1493 +a(g654 +g1256 +tp1494 +a(g416 +g1194 +tp1495 +a(g654 +Vg +p1496 +tp1497 +a(g709 +g1003 +tp1498 +a(g7 +V\u000a +p1499 +tp1500 +a(g654 +g975 +tp1501 +a(g416 +g978 +tp1502 +a(g654 +g1256 +tp1503 +a(g416 +g1194 +tp1504 +a(g654 +g1256 +tp1505 +a(g416 +g1194 +tp1506 +a(g654 +g1496 +tp1507 +a(g709 +g1003 +tp1508 +a(g7 +V\u000a\u000a +p1509 +tp1510 +a(g761 +V// real-world\u000a +p1511 +tp1512 +a(g7 +V\u000a +p1513 +tp1514 +a(g907 +Vvar +p1515 +tp1516 +a(g7 +g970 +tp1517 +a(g654 +g975 +tp1518 +a(g7 +g970 +tp1519 +a(g416 +g978 +tp1520 +a(g7 +g970 +tp1521 +a(g40 +g1078 +tp1522 +a(g416 +g1194 +tp1523 +a(g709 +g964 +tp1524 +a(g40 +g1078 +tp1525 +a(g416 +g1230 +tp1526 +a(g685 +VMath +p1527 +tp1528 +a(g709 +g960 +tp1529 +a(g654 +Vsqrt +p1530 +tp1531 +a(g709 +g964 +tp1532 +a(g654 +Vsum +p1533 +tp1534 +a(g709 +g968 +tp1535 +a(g709 +g968 +tp1536 +a(g709 +g1003 +tp1537 +a(g7 +g970 +tp1538 +a(g761 +V// convert to number between 1-0\u000a +p1539 +tp1540 +a(g842 +Vreturn +p1541 +tp1542 +a(g7 +g970 +tp1543 +a(g685 +VMath +p1544 +tp1545 +a(g709 +g960 +tp1546 +a(g654 +Vround +p1547 +tp1548 +a(g709 +g964 +tp1549 +a(g709 +g964 +tp1550 +a(g654 +Vnum +p1551 +tp1552 +a(g7 +g970 +tp1553 +a(g416 +g1194 +tp1554 +a(g7 +g970 +tp1555 +a(g654 +Vden +p1556 +tp1557 +a(g709 +g968 +tp1558 +a(g7 +g970 +tp1559 +a(g416 +g1182 +tp1560 +a(g7 +g970 +tp1561 +a(g40 +V100 +p1562 +tp1563 +a(g709 +g968 +tp1564 +a(g416 +g1194 +tp1565 +a(g40 +V100 +p1566 +tp1567 +a(g709 +g1003 +tp1568 +a(g7 +V\u000a +p1569 +tp1570 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.Rd b/tests/examplefiles/output/example.Rd new file mode 100644 index 0000000..4145e6e --- /dev/null +++ b/tests/examplefiles/output/example.Rd @@ -0,0 +1,4009 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbV\u005cname +p956 +tp957 +a(g669 +V{ +p958 +tp959 +a(g826 +Vfoo +p960 +tp961 +a(g669 +V} +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g7 +V\u005calias +p966 +tp967 +a(g669 +g958 +tp968 +a(g826 +Vfoo +p969 +tp970 +a(g669 +g962 +tp971 +a(g826 +V\u000a +p972 +tp973 +a(g709 +V% I'm a comment +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g7 +V\u005ctitle +p978 +tp979 +a(g669 +g958 +tp980 +a(g826 +VThe foo function +p981 +tp982 +a(g669 +g962 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g7 +V\u005cdescription +p988 +tp989 +a(g669 +g958 +tp990 +a(g826 +VIt doesn't do much +p991 +tp992 +a(g669 +g962 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g7 +V\u005cusage +p998 +tp999 +a(g669 +g958 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g826 +Vfoo(x, y) +p1003 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g669 +g962 +tp1007 +a(g826 +V\u000a +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g7 +V\u005carguments +p1012 +tp1013 +a(g669 +g958 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g826 +V +p1017 +tp1018 +a(g7 +V\u005citem +p1019 +tp1020 +a(g669 +g958 +tp1021 +a(g826 +Vx +p1022 +tp1023 +a(g669 +g962 +tp1024 +a(g669 +g958 +tp1025 +a(g826 +VA number +p1026 +tp1027 +a(g669 +g962 +tp1028 +a(g826 +V\u000a +p1029 +tp1030 +a(g826 +V +p1031 +tp1032 +a(g7 +V\u005citem +p1033 +tp1034 +a(g669 +g958 +tp1035 +a(g826 +Vy +p1036 +tp1037 +a(g669 +g962 +tp1038 +a(g669 +g958 +tp1039 +a(g826 +VAnother number +p1040 +tp1041 +a(g669 +g962 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g669 +g962 +tp1045 +a(g826 +V\u000a +p1046 +tp1047 +a(g7 +V\u005cdetails +p1048 +tp1049 +a(g669 +g958 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a(g826 +V I just adds +p1053 +tp1054 +a(g7 +V\u005ccode +p1055 +tp1056 +a(g669 +g958 +tp1057 +a(g826 +g1022 +tp1058 +a(g669 +g962 +tp1059 +a(g826 +V and +p1060 +tp1061 +a(g7 +V\u005ccode +p1062 +tp1063 +a(g669 +g958 +tp1064 +a(g826 +g1036 +tp1065 +a(g669 +g962 +tp1066 +a(g826 +V, +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g669 +g962 +tp1071 +a(g826 +V\u000a +p1072 +tp1073 +a(g7 +V\u005cvalue +p1074 +tp1075 +a(g669 +g958 +tp1076 +a(g7 +V\u005ccode +p1077 +tp1078 +a(g669 +g958 +tp1079 +a(g826 +Vnumeric +p1080 +tp1081 +a(g669 +g962 +tp1082 +a(g826 +V. The sum of +p1083 +tp1084 +a(g7 +V\u005ccode +p1085 +tp1086 +a(g669 +g958 +tp1087 +a(g826 +g1022 +tp1088 +a(g669 +g962 +tp1089 +a(g826 +V and +p1090 +tp1091 +a(g7 +V\u005ccode +p1092 +tp1093 +a(g669 +g958 +tp1094 +a(g826 +g1036 +tp1095 +a(g669 +g962 +tp1096 +a(g826 +V. +p1097 +tp1098 +a(g669 +g962 +tp1099 +a(g826 +V\u000a +p1100 +tp1101 +a(g7 +V\u005creferences +p1102 +tp1103 +a(g669 +g958 +tp1104 +a(g826 +V\u000a +p1105 +tp1106 +a(g826 +V +p1107 +tp1108 +a(g7 +V\u005chref +p1109 +tp1110 +a(g669 +g958 +tp1111 +a(g826 +Vhttp://en.wikipedia.org/wiki/Sum +p1112 +tp1113 +a(g669 +g962 +tp1114 +a(g669 +g958 +tp1115 +a(g826 +VSum +p1116 +tp1117 +a(g669 +g962 +tp1118 +a(g826 +V\u000a +p1119 +tp1120 +a(g669 +g962 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g7 +V\u005cauthor +p1124 +tp1125 +a(g669 +g958 +tp1126 +a(g826 +V\u000a +p1127 +tp1128 +a(g826 +V Anonymous +p1129 +tp1130 +a(g826 +V\u000a +p1131 +tp1132 +a(g669 +g962 +tp1133 +a(g826 +V\u000a +p1134 +tp1135 +a(g7 +V\u005cnote +p1136 +tp1137 +a(g669 +g958 +tp1138 +a(g826 +V\u000a +p1139 +tp1140 +a(g826 +V Lorem ipsum +p1141 +tp1142 +a(g896 +V\u005cdots +p1143 +tp1144 +a(g826 +V +p1145 +tp1146 +a(g896 +V\u005cR +p1147 +tp1148 +a(g826 +g1145 +tp1149 +a(g7 +V\u005cemph +p1150 +tp1151 +a(g669 +g958 +tp1152 +a(g826 +Vemp +p1153 +tp1154 +a(g669 +g962 +tp1155 +a(g826 +V, +p1156 +tp1157 +a(g7 +V\u005cstrong +p1158 +tp1159 +a(g669 +g958 +tp1160 +a(g826 +Vstrong +p1161 +tp1162 +a(g669 +g962 +tp1163 +a(g826 +V, +p1164 +tp1165 +a(g7 +V\u005cbold +p1166 +tp1167 +a(g669 +g958 +tp1168 +a(g826 +Vbold +p1169 +tp1170 +a(g669 +g962 +tp1171 +a(g826 +V, +p1172 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g826 +V +p1176 +tp1177 +a(g7 +V\u005csQuote +p1178 +tp1179 +a(g669 +g958 +tp1180 +a(g826 +Vsingle quotes +p1181 +tp1182 +a(g669 +g962 +tp1183 +a(g826 +V, +p1184 +tp1185 +a(g7 +V\u005cdQuote +p1186 +tp1187 +a(g669 +g958 +tp1188 +a(g826 +Vdouble quotes +p1189 +tp1190 +a(g669 +g962 +tp1191 +a(g826 +V, +p1192 +tp1193 +a(g7 +V\u005ccode +p1194 +tp1195 +a(g669 +g958 +tp1196 +a(g826 +Vcode +p1197 +tp1198 +a(g669 +g962 +tp1199 +a(g826 +g1172 +tp1200 +a(g826 +V\u000a +p1201 +tp1202 +a(g826 +V +p1203 +tp1204 +a(g7 +V\u005cpreformatted +p1205 +tp1206 +a(g669 +g958 +tp1207 +a(g826 +Vx <- 2 + 2 +p1208 +tp1209 +a(g669 +g962 +tp1210 +a(g826 +V, +p1211 +tp1212 +a(g7 +V\u005ckbd +p1213 +tp1214 +a(g669 +g958 +tp1215 +a(g826 +Vtype this +p1216 +tp1217 +a(g669 +g962 +tp1218 +a(g826 +V, +p1219 +tp1220 +a(g7 +V\u005csamp +p1221 +tp1222 +a(g669 +g958 +tp1223 +a(g826 +Vliteral seq +p1224 +tp1225 +a(g669 +g962 +tp1226 +a(g826 +g1172 +tp1227 +a(g826 +V\u000a +p1228 +tp1229 +a(g826 +V +p1230 +tp1231 +a(g7 +V\u005cpkg +p1232 +tp1233 +a(g669 +g958 +tp1234 +a(g826 +Vbase +p1235 +tp1236 +a(g669 +g962 +tp1237 +a(g826 +V, +p1238 +tp1239 +a(g7 +V\u005cfile +p1240 +tp1241 +a(g669 +g958 +tp1242 +a(g826 +Vfoo.txt +p1243 +tp1244 +a(g669 +g962 +tp1245 +a(g826 +V, +p1246 +tp1247 +a(g7 +V\u005cemail +p1248 +tp1249 +a(g669 +g958 +tp1250 +a(g826 +Vemail@hostname +p1251 +tp1252 +a(g669 +g962 +tp1253 +a(g826 +g1172 +tp1254 +a(g826 +V\u000a +p1255 +tp1256 +a(g826 +V +p1257 +tp1258 +a(g7 +V\u005curl +p1259 +tp1260 +a(g669 +g958 +tp1261 +a(g826 +Vhttp://cran.r-project.org/ +p1262 +tp1263 +a(g669 +g962 +tp1264 +a(g826 +V, +p1265 +tp1266 +a(g7 +V\u005cvar +p1267 +tp1268 +a(g669 +g958 +tp1269 +a(g826 +Vfoo +p1270 +tp1271 +a(g669 +g962 +tp1272 +a(g826 +V, +p1273 +tp1274 +a(g7 +V\u005cenv +p1275 +tp1276 +a(g669 +g958 +tp1277 +a(g826 +VHOME +p1278 +tp1279 +a(g669 +g962 +tp1280 +a(g826 +g1172 +tp1281 +a(g826 +V\u000a +p1282 +tp1283 +a(g826 +V +p1284 +tp1285 +a(g7 +V\u005coption +p1286 +tp1287 +a(g669 +g958 +tp1288 +a(g826 +V-d +p1289 +tp1290 +a(g669 +g962 +tp1291 +a(g826 +V, +p1292 +tp1293 +a(g7 +V\u005cdfn +p1294 +tp1295 +a(g669 +g958 +tp1296 +a(g826 +Vsomething new +p1297 +tp1298 +a(g669 +g962 +tp1299 +a(g826 +V, +p1300 +tp1301 +a(g7 +V\u005cacronym +p1302 +tp1303 +a(g669 +g958 +tp1304 +a(g826 +VGNU +p1305 +tp1306 +a(g669 +g962 +tp1307 +a(g826 +g1097 +tp1308 +a(g826 +V\u000a +p1309 +tp1310 +a(g826 +V\u000a +p1311 +tp1312 +a(g826 +V Escaped symbols: +p1313 +tp1314 +a(g200 +V\u005c\u005c +p1315 +tp1316 +a(g826 +g1145 +tp1317 +a(g200 +V\u005c{ +p1318 +tp1319 +a(g826 +g1145 +tp1320 +a(g200 +V\u005c} +p1321 +tp1322 +a(g826 +g1145 +tp1323 +a(g200 +V\u005c% +p1324 +tp1325 +a(g826 +V not comment. +p1326 +tp1327 +a(g200 +V\u005c\u005c +p1328 +tp1329 +a(g826 +VNotAMacro. +p1330 +tp1331 +a(g826 +V\u000a +p1332 +tp1333 +a(g826 +V +p1334 +tp1335 +a(g826 +V\u000a +p1336 +tp1337 +a(g826 +V +p1338 +tp1339 +a(g7 +V\u005ctabular +p1340 +tp1341 +a(g669 +g958 +tp1342 +a(g826 +Vrlll +p1343 +tp1344 +a(g669 +g962 +tp1345 +a(g669 +g958 +tp1346 +a(g826 +V\u000a +p1347 +tp1348 +a(g826 +V [,1] +p1349 +tp1350 +a(g896 +V\u005ctab +p1351 +tp1352 +a(g826 +V alpha +p1353 +tp1354 +a(g896 +V\u005ctab +p1355 +tp1356 +a(g826 +V numeric +p1357 +tp1358 +a(g896 +V\u005ctab +p1359 +tp1360 +a(g826 +V A (ppb) +p1361 +tp1362 +a(g896 +V\u005ccr +p1363 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g826 +V [,2] +p1367 +tp1368 +a(g896 +V\u005ctab +p1369 +tp1370 +a(g826 +V bravo +p1371 +tp1372 +a(g896 +V\u005ctab +p1373 +tp1374 +a(g826 +V integer +p1375 +tp1376 +a(g896 +V\u005ctab +p1377 +tp1378 +a(g826 +V B +p1379 +tp1380 +a(g896 +V\u005ccr +p1381 +tp1382 +a(g826 +V\u000a +p1383 +tp1384 +a(g826 +V [,3] +p1385 +tp1386 +a(g896 +V\u005ctab +p1387 +tp1388 +a(g826 +V charlie +p1389 +tp1390 +a(g896 +V\u005ctab +p1391 +tp1392 +a(g826 +V character +p1393 +tp1394 +a(g896 +V\u005ctab +p1395 +tp1396 +a(g826 +V C +p1397 +tp1398 +a(g896 +V\u005ccr +p1399 +tp1400 +a(g826 +V\u000a +p1401 +tp1402 +a(g826 +V +p1403 +tp1404 +a(g669 +g962 +tp1405 +a(g826 +V\u000a +p1406 +tp1407 +a(g826 +V +p1408 +tp1409 +a(g7 +V\u005cenumerate +p1410 +tp1411 +a(g669 +g958 +tp1412 +a(g826 +V\u000a +p1413 +tp1414 +a(g826 +V +p1415 +tp1416 +a(g7 +V\u005citem +p1417 +tp1418 +a(g826 +V delta +p1419 +tp1420 +a(g826 +V\u000a +p1421 +tp1422 +a(g826 +V +p1423 +tp1424 +a(g7 +V\u005citem +p1425 +tp1426 +a(g826 +V echo +p1427 +tp1428 +a(g826 +V\u000a +p1429 +tp1430 +a(g826 +V +p1431 +tp1432 +a(g669 +g962 +tp1433 +a(g826 +V\u000a +p1434 +tp1435 +a(g826 +V +p1436 +tp1437 +a(g7 +V\u005citemize +p1438 +tp1439 +a(g669 +g958 +tp1440 +a(g826 +V\u000a +p1441 +tp1442 +a(g826 +V +p1443 +tp1444 +a(g7 +V\u005citem +p1445 +tp1446 +a(g826 +V foxtrot +p1447 +tp1448 +a(g826 +V\u000a +p1449 +tp1450 +a(g826 +V +p1451 +tp1452 +a(g7 +V\u005citem +p1453 +tp1454 +a(g826 +V golf +p1455 +tp1456 +a(g826 +V\u000a +p1457 +tp1458 +a(g826 +V +p1459 +tp1460 +a(g669 +g962 +tp1461 +a(g826 +V\u000a +p1462 +tp1463 +a(g826 +V +p1464 +tp1465 +a(g826 +V\u000a +p1466 +tp1467 +a(g826 +V +p1468 +tp1469 +a(g7 +V\u005cdeqn +p1470 +tp1471 +a(g669 +g958 +tp1472 +a(g826 +Vp(x; +p1473 +tp1474 +a(g7 +V\u005cmu +p1475 +tp1476 +a(g826 +V, +p1477 +tp1478 +a(g7 +V\u005csigma +p1479 +tp1480 +a(g826 +V^2) = +p1481 +tp1482 +a(g7 +V\u005cfrac +p1483 +tp1484 +a(g669 +g958 +tp1485 +a(g826 +V1 +p1486 +tp1487 +a(g669 +g962 +tp1488 +a(g669 +g958 +tp1489 +a(g7 +V\u005csigma +p1490 +tp1491 +a(g826 +g1145 +tp1492 +a(g7 +V\u005csqrt +p1493 +tp1494 +a(g669 +g958 +tp1495 +a(g826 +V2 +p1496 +tp1497 +a(g7 +V\u005cpi +p1498 +tp1499 +a(g669 +g962 +tp1500 +a(g669 +g962 +tp1501 +a(g826 +g1145 +tp1502 +a(g7 +V\u005cexp +p1503 +tp1504 +a(g826 +g1145 +tp1505 +a(g7 +V\u005cfrac +p1506 +tp1507 +a(g669 +g958 +tp1508 +a(g826 +V-(x - +p1509 +tp1510 +a(g7 +V\u005cmu +p1511 +tp1512 +a(g826 +V)^2 +p1513 +tp1514 +a(g669 +g962 +tp1515 +a(g669 +g958 +tp1516 +a(g826 +V2 +p1517 +tp1518 +a(g7 +V\u005csigma +p1519 +tp1520 +a(g669 +g962 +tp1521 +a(g669 +g958 +tp1522 +a(g709 +V% +p1523 +tp1524 +a(g826 +V\u000a +p1525 +tp1526 +a(g826 +V p( +p1527 +tp1528 +a(g7 +V\u005cmu +p1529 +tp1530 +a(g826 +V; x) = 1/ +p1531 +tp1532 +a(g7 +V\u005csigma +p1533 +tp1534 +a(g826 +V (2 +p1535 +tp1536 +a(g7 +V\u005cpi +p1537 +tp1538 +a(g826 +V)^(-1/2) exp( -(x - +p1539 +tp1540 +a(g7 +V\u005cmu +p1541 +tp1542 +a(g826 +V)^2 / (2 +p1543 +tp1544 +a(g7 +V\u005csigma +p1545 +tp1546 +a(g826 +V)) +p1547 +tp1548 +a(g669 +g962 +tp1549 +a(g826 +V\u000a +p1550 +tp1551 +a(g826 +V for +p1552 +tp1553 +a(g7 +V\u005ceqn +p1554 +tp1555 +a(g669 +g958 +tp1556 +a(g826 +Vx = 0, 1, 2, +p1557 +tp1558 +a(g896 +V\u005cldots +p1559 +tp1560 +a(g669 +g962 +tp1561 +a(g826 +g1097 +tp1562 +a(g826 +V\u000a +p1563 +tp1564 +a(g826 +V +p1565 +tp1566 +a(g826 +V\u000a +p1567 +tp1568 +a(g826 +V +p1569 +tp1570 +a(g7 +V\u005cif +p1571 +tp1572 +a(g669 +g958 +tp1573 +a(g826 +Vlatex +p1574 +tp1575 +a(g669 +g962 +tp1576 +a(g669 +g958 +tp1577 +a(g7 +V\u005cout +p1578 +tp1579 +a(g669 +g958 +tp1580 +a(g7 +V\u005cbeta +p1581 +tp1582 +a(g669 +g962 +tp1583 +a(g669 +g962 +tp1584 +a(g7 +V\u005cifelse +p1585 +tp1586 +a(g669 +g958 +tp1587 +a(g826 +Vhtml +p1588 +tp1589 +a(g669 +g962 +tp1590 +a(g669 +g958 +tp1591 +a(g7 +V\u005cout +p1592 +tp1593 +a(g669 +g958 +tp1594 +a(g826 +Vβ +p1595 +tp1596 +a(g669 +g962 +tp1597 +a(g669 +g962 +tp1598 +a(g669 +g958 +tp1599 +a(g826 +Vbeta +p1600 +tp1601 +a(g669 +g962 +tp1602 +a(g826 +V\u000a +p1603 +tp1604 +a(g738 +V\u000a#ifdef unix +p1605 +tp1606 +a(g826 +V\u000a +p1607 +tp1608 +a(g826 +VNow windows +p1609 +tp1610 +a(g826 +V\u000a +p1611 +tp1612 +a(g738 +V#endif +p1613 +tp1614 +a(g826 +V\u000a +p1615 +tp1616 +a(g738 +V#ifndef windows +p1617 +tp1618 +a(g826 +V\u000a +p1619 +tp1620 +a(g826 +VUsing windows +p1621 +tp1622 +a(g826 +V\u000a +p1623 +tp1624 +a(g738 +V#endif +p1625 +tp1626 +a(g826 +V\u000a +p1627 +tp1628 +a(g826 +V\u000a +p1629 +tp1630 +a(g669 +g962 +tp1631 +a(g826 +V\u000a +p1632 +tp1633 +a(g7 +V\u005csection +p1634 +tp1635 +a(g669 +g958 +tp1636 +a(g826 +VMisc +p1637 +tp1638 +a(g669 +g962 +tp1639 +a(g669 +g958 +tp1640 +a(g826 +V\u000a +p1641 +tp1642 +a(g826 +V Stuff. +p1643 +tp1644 +a(g826 +V\u000a +p1645 +tp1646 +a(g669 +g962 +tp1647 +a(g826 +V\u000a +p1648 +tp1649 +a(g826 +V\u000a +p1650 +tp1651 +a(g7 +V\u005cseealso +p1652 +tp1653 +a(g669 +g958 +tp1654 +a(g826 +V\u000a +p1655 +tp1656 +a(g826 +V +p1657 +tp1658 +a(g7 +V\u005ccode +p1659 +tp1660 +a(g669 +g958 +tp1661 +a(g7 +V\u005clink +p1662 +tp1663 +a(g669 +g958 +tp1664 +a(g826 +Vsum +p1665 +tp1666 +a(g669 +g962 +tp1667 +a(g669 +g962 +tp1668 +a(g826 +V\u000a +p1669 +tp1670 +a(g669 +g962 +tp1671 +a(g826 +V\u000a +p1672 +tp1673 +a(g7 +V\u005cexamples +p1674 +tp1675 +a(g669 +g958 +tp1676 +a(g826 +V\u000a +p1677 +tp1678 +a(g826 +Vx <- 1 +p1679 +tp1680 +a(g826 +V\u000a +p1681 +tp1682 +a(g826 +Vy <- 2 +p1683 +tp1684 +a(g826 +V\u000a +p1685 +tp1686 +a(g826 +Vz <- foo(x, y) +p1687 +tp1688 +a(g826 +V\u000a +p1689 +tp1690 +a(g7 +V\u005cdontrun +p1691 +tp1692 +a(g669 +g958 +tp1693 +a(g826 +Vplot(z) +p1694 +tp1695 +a(g669 +g962 +tp1696 +a(g826 +V\u000a +p1697 +tp1698 +a(g7 +V\u005cdontshow +p1699 +tp1700 +a(g669 +g958 +tp1701 +a(g826 +Vlog(x) +p1702 +tp1703 +a(g669 +g962 +tp1704 +a(g826 +V\u000a +p1705 +tp1706 +a(g669 +g962 +tp1707 +a(g826 +V\u000a +p1708 +tp1709 +a(g7 +V\u005ckeyword +p1710 +tp1711 +a(g669 +g958 +tp1712 +a(g826 +Varith +p1713 +tp1714 +a(g669 +g962 +tp1715 +a(g826 +V\u000a +p1716 +tp1717 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.als b/tests/examplefiles/output/example.als new file mode 100644 index 0000000..fd90915 --- /dev/null +++ b/tests/examplefiles/output/example.als @@ -0,0 +1,9276 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVmodule +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g428 +Vexamples +p960 +tp961 +a(g405 +V/ +p962 +tp963 +a(g428 +Vsystems +p964 +tp965 +a(g405 +g962 +tp966 +a(g428 +Vviews +p967 +tp968 +a(g830 +V\u000a +p969 +tp970 +a(g830 +V\u000a +p971 +tp972 +a(g780 +V/*\u000a * Model of views in object-oriented programming.\u000a *\u000a * Two object references, called the view and the backing,\u000a * are related by a view mechanism when changes to the\u000a * backing are automatically propagated to the view. Note\u000a * that the state of a view need not be a projection of the\u000a * state of the backing; the keySet method of Map, for\u000a * example, produces two view relationships, and for the\u000a * one in which the map is modified by changes to the key\u000a * set, the value of the new map cannot be determined from\u000a * the key set. Note that in the iterator view mechanism,\u000a * the iterator is by this definition the backing object,\u000a * since changes are propagated from iterator to collection\u000a * and not vice versa. Oddly, a reference may be a view of\u000a * more than one backing: there can be two iterators on the\u000a * same collection, eg. A reference cannot be a view under\u000a * more than one view type.\u000a *\u000a * A reference is made dirty when it is a backing for a view\u000a * with which it is no longer related by the view invariant.\u000a * This usually happens when a view is modified, either\u000a * directly or via another backing. For example, changing a\u000a * collection directly when it has an iterator invalidates\u000a * it, as does changing the collection through one iterator\u000a * when there are others.\u000a *\u000a * More work is needed if we want to model more closely the\u000a * failure of an iterator when its collection is invalidated.\u000a *\u000a * As a terminological convention, when there are two\u000a * complementary view relationships, we will give them types\u000a * t and t'. For example, KeySetView propagates from map to\u000a * set, and KeySetView' propagates from set to map.\u000a *\u000a * author: Daniel Jackson\u000a */ +p973 +tp974 +a(g830 +V\u000a +p975 +tp976 +a(g830 +V\u000a +p977 +tp978 +a(g8 +Vopen +p979 +tp980 +a(g830 +g958 +tp981 +a(g428 +Vutil +p982 +tp983 +a(g405 +g962 +tp984 +a(g428 +Vordering +p985 +tp986 +a(g405 +V[ +p987 +tp988 +a(g428 +VState +p989 +tp990 +a(g405 +V] +p991 +tp992 +a(g830 +g958 +tp993 +a(g13 +Vas +p994 +tp995 +a(g830 +g958 +tp996 +a(g428 +Vso +p997 +tp998 +a(g830 +V\u000a +p999 +tp1000 +a(g8 +Vopen +p1001 +tp1002 +a(g830 +g958 +tp1003 +a(g428 +Vutil +p1004 +tp1005 +a(g405 +g962 +tp1006 +a(g428 +Vrelation +p1007 +tp1008 +a(g830 +g958 +tp1009 +a(g13 +Vas +p1010 +tp1011 +a(g830 +g958 +tp1012 +a(g428 +Vrel +p1013 +tp1014 +a(g830 +V\u000a +p1015 +tp1016 +a(g830 +V\u000a +p1017 +tp1018 +a(g939 +Vsig +p1019 +tp1020 +a(g830 +g958 +tp1021 +a(g428 +VRef +p1022 +tp1023 +a(g830 +g958 +tp1024 +a(g405 +V{ +p1025 +tp1026 +a(g405 +V} +p1027 +tp1028 +a(g830 +V\u000a +p1029 +tp1030 +a(g939 +Vsig +p1031 +tp1032 +a(g830 +g958 +tp1033 +a(g428 +VObject +p1034 +tp1035 +a(g830 +g958 +tp1036 +a(g405 +g1025 +tp1037 +a(g405 +g1027 +tp1038 +a(g830 +V\u000a +p1039 +tp1040 +a(g830 +V\u000a +p1041 +tp1042 +a(g749 +V-- t->b->v in views when v is view of type t of backing b +p1043 +tp1044 +a(g830 +V\u000a +p1045 +tp1046 +a(g749 +V-- dirty contains refs that have been invalidated +p1047 +tp1048 +a(g830 +V\u000a +p1049 +tp1050 +a(g939 +Vsig +p1051 +tp1052 +a(g830 +g958 +tp1053 +a(g428 +VState +p1054 +tp1055 +a(g830 +g958 +tp1056 +a(g405 +g1025 +tp1057 +a(g830 +V\u000a +p1058 +tp1059 +a(g830 +V +p1060 +tp1061 +a(g428 +Vrefs +p1062 +tp1063 +a(g697 +V: +p1064 +tp1065 +a(g830 +g958 +tp1066 +a(g13 +Vset +p1067 +tp1068 +a(g830 +g958 +tp1069 +a(g428 +VRef +p1070 +tp1071 +a(g697 +V, +p1072 +tp1073 +a(g830 +V\u000a +p1074 +tp1075 +a(g830 +V +p1076 +tp1077 +a(g428 +Vobj +p1078 +tp1079 +a(g697 +g1064 +tp1080 +a(g830 +g958 +tp1081 +a(g428 +Vrefs +p1082 +tp1083 +a(g830 +g958 +tp1084 +a(g405 +V-> +p1085 +tp1086 +a(g830 +g958 +tp1087 +a(g13 +Vone +p1088 +tp1089 +a(g830 +g958 +tp1090 +a(g428 +VObject +p1091 +tp1092 +a(g697 +g1072 +tp1093 +a(g830 +V\u000a +p1094 +tp1095 +a(g830 +V +p1096 +tp1097 +a(g428 +Vviews +p1098 +tp1099 +a(g697 +g1064 +tp1100 +a(g830 +g958 +tp1101 +a(g428 +VViewType +p1102 +tp1103 +a(g830 +g958 +tp1104 +a(g405 +V-> +p1105 +tp1106 +a(g830 +g958 +tp1107 +a(g428 +Vrefs +p1108 +tp1109 +a(g830 +g958 +tp1110 +a(g405 +V-> +p1111 +tp1112 +a(g830 +g958 +tp1113 +a(g428 +Vrefs +p1114 +tp1115 +a(g697 +g1072 +tp1116 +a(g830 +V\u000a +p1117 +tp1118 +a(g830 +V +p1119 +tp1120 +a(g428 +Vdirty +p1121 +tp1122 +a(g697 +g1064 +tp1123 +a(g830 +g958 +tp1124 +a(g13 +Vset +p1125 +tp1126 +a(g830 +g958 +tp1127 +a(g428 +Vrefs +p1128 +tp1129 +a(g830 +V\u000a +p1130 +tp1131 +a(g749 +V-- , anyviews: Ref -> Ref -- for visualization +p1132 +tp1133 +a(g830 +V\u000a +p1134 +tp1135 +a(g830 +V +p1136 +tp1137 +a(g405 +g1027 +tp1138 +a(g830 +V\u000a +p1139 +tp1140 +a(g749 +V-- {anyviews = ViewType.views} +p1141 +tp1142 +a(g830 +V\u000a +p1143 +tp1144 +a(g830 +V\u000a +p1145 +tp1146 +a(g939 +Vsig +p1147 +tp1148 +a(g830 +g958 +tp1149 +a(g428 +VMap +p1150 +tp1151 +a(g830 +g958 +tp1152 +a(g13 +Vextends +p1153 +tp1154 +a(g830 +g958 +tp1155 +a(g428 +VObject +p1156 +tp1157 +a(g830 +g958 +tp1158 +a(g405 +g1025 +tp1159 +a(g830 +V\u000a +p1160 +tp1161 +a(g830 +V +p1162 +tp1163 +a(g428 +Vkeys +p1164 +tp1165 +a(g697 +g1064 +tp1166 +a(g830 +g958 +tp1167 +a(g13 +Vset +p1168 +tp1169 +a(g830 +g958 +tp1170 +a(g428 +VRef +p1171 +tp1172 +a(g697 +g1072 +tp1173 +a(g830 +V\u000a +p1174 +tp1175 +a(g830 +V +p1176 +tp1177 +a(g428 +Vmap +p1178 +tp1179 +a(g697 +g1064 +tp1180 +a(g830 +g958 +tp1181 +a(g428 +Vkeys +p1182 +tp1183 +a(g830 +g958 +tp1184 +a(g405 +V-> +p1185 +tp1186 +a(g830 +g958 +tp1187 +a(g13 +Vone +p1188 +tp1189 +a(g830 +g958 +tp1190 +a(g428 +VRef +p1191 +tp1192 +a(g830 +V\u000a +p1193 +tp1194 +a(g830 +V +p1195 +tp1196 +a(g405 +g1027 +tp1197 +a(g405 +g1025 +tp1198 +a(g13 +Vall +p1199 +tp1200 +a(g830 +g958 +tp1201 +a(g428 +Vs +p1202 +tp1203 +a(g697 +g1064 +tp1204 +a(g830 +g958 +tp1205 +a(g428 +VState +p1206 +tp1207 +a(g830 +g958 +tp1208 +a(g405 +V| +p1209 +tp1210 +a(g830 +V +p1211 +tp1212 +a(g428 +Vkeys +p1213 +tp1214 +a(g830 +g958 +tp1215 +a(g405 +V+ +p1216 +tp1217 +a(g830 +g958 +tp1218 +a(g428 +VRef +p1219 +tp1220 +a(g405 +V. +p1221 +tp1222 +a(g428 +Vmap +p1223 +tp1224 +a(g830 +g958 +tp1225 +a(g419 +Vin +p1226 +tp1227 +a(g830 +g958 +tp1228 +a(g428 +g1202 +tp1229 +a(g405 +g1221 +tp1230 +a(g428 +Vrefs +p1231 +tp1232 +a(g405 +g1027 +tp1233 +a(g830 +V\u000a +p1234 +tp1235 +a(g939 +Vsig +p1236 +tp1237 +a(g830 +g958 +tp1238 +a(g428 +VMapRef +p1239 +tp1240 +a(g830 +g958 +tp1241 +a(g13 +Vextends +p1242 +tp1243 +a(g830 +g958 +tp1244 +a(g428 +VRef +p1245 +tp1246 +a(g830 +g958 +tp1247 +a(g405 +g1025 +tp1248 +a(g405 +g1027 +tp1249 +a(g830 +V\u000a +p1250 +tp1251 +a(g13 +Vfact +p1252 +tp1253 +a(g830 +g958 +tp1254 +a(g405 +g1025 +tp1255 +a(g428 +VState +p1256 +tp1257 +a(g405 +g1221 +tp1258 +a(g428 +Vobj +p1259 +tp1260 +a(g405 +g987 +tp1261 +a(g428 +VMapRef +p1262 +tp1263 +a(g405 +g991 +tp1264 +a(g830 +g958 +tp1265 +a(g419 +Vin +p1266 +tp1267 +a(g830 +g958 +tp1268 +a(g428 +VMap +p1269 +tp1270 +a(g405 +g1027 +tp1271 +a(g830 +V\u000a +p1272 +tp1273 +a(g830 +V\u000a +p1274 +tp1275 +a(g939 +Vsig +p1276 +tp1277 +a(g830 +g958 +tp1278 +a(g428 +VIterator +p1279 +tp1280 +a(g830 +g958 +tp1281 +a(g13 +Vextends +p1282 +tp1283 +a(g830 +g958 +tp1284 +a(g428 +VObject +p1285 +tp1286 +a(g830 +g958 +tp1287 +a(g405 +g1025 +tp1288 +a(g830 +V\u000a +p1289 +tp1290 +a(g830 +V +p1291 +tp1292 +a(g428 +Vleft +p1293 +tp1294 +a(g697 +g1072 +tp1295 +a(g830 +g958 +tp1296 +a(g428 +Vdone +p1297 +tp1298 +a(g697 +g1064 +tp1299 +a(g830 +g958 +tp1300 +a(g13 +Vset +p1301 +tp1302 +a(g830 +g958 +tp1303 +a(g428 +VRef +p1304 +tp1305 +a(g697 +g1072 +tp1306 +a(g830 +V\u000a +p1307 +tp1308 +a(g830 +V +p1309 +tp1310 +a(g428 +VlastRef +p1311 +tp1312 +a(g697 +g1064 +tp1313 +a(g830 +g958 +tp1314 +a(g13 +Vlone +p1315 +tp1316 +a(g830 +g958 +tp1317 +a(g428 +Vdone +p1318 +tp1319 +a(g830 +V\u000a +p1320 +tp1321 +a(g830 +V +p1322 +tp1323 +a(g405 +g1027 +tp1324 +a(g405 +g1025 +tp1325 +a(g13 +Vall +p1326 +tp1327 +a(g830 +g958 +tp1328 +a(g428 +g1202 +tp1329 +a(g697 +g1064 +tp1330 +a(g830 +g958 +tp1331 +a(g428 +VState +p1332 +tp1333 +a(g830 +g958 +tp1334 +a(g405 +g1209 +tp1335 +a(g830 +g958 +tp1336 +a(g428 +Vdone +p1337 +tp1338 +a(g830 +g958 +tp1339 +a(g405 +g1216 +tp1340 +a(g830 +g958 +tp1341 +a(g428 +Vleft +p1342 +tp1343 +a(g830 +g958 +tp1344 +a(g405 +g1216 +tp1345 +a(g830 +g958 +tp1346 +a(g428 +VlastRef +p1347 +tp1348 +a(g830 +g958 +tp1349 +a(g419 +Vin +p1350 +tp1351 +a(g830 +g958 +tp1352 +a(g428 +g1202 +tp1353 +a(g405 +g1221 +tp1354 +a(g428 +Vrefs +p1355 +tp1356 +a(g405 +g1027 +tp1357 +a(g830 +V\u000a +p1358 +tp1359 +a(g939 +Vsig +p1360 +tp1361 +a(g830 +g958 +tp1362 +a(g428 +VIteratorRef +p1363 +tp1364 +a(g830 +g958 +tp1365 +a(g13 +Vextends +p1366 +tp1367 +a(g830 +g958 +tp1368 +a(g428 +VRef +p1369 +tp1370 +a(g830 +g958 +tp1371 +a(g405 +g1025 +tp1372 +a(g405 +g1027 +tp1373 +a(g830 +V\u000a +p1374 +tp1375 +a(g13 +Vfact +p1376 +tp1377 +a(g830 +g958 +tp1378 +a(g405 +g1025 +tp1379 +a(g428 +VState +p1380 +tp1381 +a(g405 +g1221 +tp1382 +a(g428 +Vobj +p1383 +tp1384 +a(g405 +g987 +tp1385 +a(g428 +VIteratorRef +p1386 +tp1387 +a(g405 +g991 +tp1388 +a(g830 +g958 +tp1389 +a(g419 +Vin +p1390 +tp1391 +a(g830 +g958 +tp1392 +a(g428 +VIterator +p1393 +tp1394 +a(g405 +g1027 +tp1395 +a(g830 +V\u000a +p1396 +tp1397 +a(g830 +V\u000a +p1398 +tp1399 +a(g939 +Vsig +p1400 +tp1401 +a(g830 +g958 +tp1402 +a(g428 +VSet +p1403 +tp1404 +a(g830 +g958 +tp1405 +a(g13 +Vextends +p1406 +tp1407 +a(g830 +g958 +tp1408 +a(g428 +VObject +p1409 +tp1410 +a(g830 +g958 +tp1411 +a(g405 +g1025 +tp1412 +a(g830 +V\u000a +p1413 +tp1414 +a(g830 +V +p1415 +tp1416 +a(g428 +Velts +p1417 +tp1418 +a(g697 +g1064 +tp1419 +a(g830 +g958 +tp1420 +a(g13 +Vset +p1421 +tp1422 +a(g830 +g958 +tp1423 +a(g428 +VRef +p1424 +tp1425 +a(g830 +V\u000a +p1426 +tp1427 +a(g830 +V +p1428 +tp1429 +a(g405 +g1027 +tp1430 +a(g405 +g1025 +tp1431 +a(g13 +Vall +p1432 +tp1433 +a(g830 +g958 +tp1434 +a(g428 +g1202 +tp1435 +a(g697 +g1064 +tp1436 +a(g830 +g958 +tp1437 +a(g428 +VState +p1438 +tp1439 +a(g830 +g958 +tp1440 +a(g405 +g1209 +tp1441 +a(g830 +g958 +tp1442 +a(g428 +Velts +p1443 +tp1444 +a(g830 +g958 +tp1445 +a(g419 +Vin +p1446 +tp1447 +a(g830 +g958 +tp1448 +a(g428 +g1202 +tp1449 +a(g405 +g1221 +tp1450 +a(g428 +Vrefs +p1451 +tp1452 +a(g405 +g1027 +tp1453 +a(g830 +V\u000a +p1454 +tp1455 +a(g939 +Vsig +p1456 +tp1457 +a(g830 +g958 +tp1458 +a(g428 +VSetRef +p1459 +tp1460 +a(g830 +g958 +tp1461 +a(g13 +Vextends +p1462 +tp1463 +a(g830 +g958 +tp1464 +a(g428 +VRef +p1465 +tp1466 +a(g830 +g958 +tp1467 +a(g405 +g1025 +tp1468 +a(g405 +g1027 +tp1469 +a(g830 +V\u000a +p1470 +tp1471 +a(g13 +Vfact +p1472 +tp1473 +a(g830 +g958 +tp1474 +a(g405 +g1025 +tp1475 +a(g428 +VState +p1476 +tp1477 +a(g405 +g1221 +tp1478 +a(g428 +Vobj +p1479 +tp1480 +a(g405 +g987 +tp1481 +a(g428 +VSetRef +p1482 +tp1483 +a(g405 +g991 +tp1484 +a(g830 +g958 +tp1485 +a(g419 +Vin +p1486 +tp1487 +a(g830 +g958 +tp1488 +a(g428 +VSet +p1489 +tp1490 +a(g405 +g1027 +tp1491 +a(g830 +V\u000a +p1492 +tp1493 +a(g830 +V\u000a +p1494 +tp1495 +a(g13 +Vabstract +p1496 +tp1497 +a(g830 +g958 +tp1498 +a(g939 +Vsig +p1499 +tp1500 +a(g830 +g958 +tp1501 +a(g428 +VViewType +p1502 +tp1503 +a(g830 +g958 +tp1504 +a(g405 +g1025 +tp1505 +a(g405 +g1027 +tp1506 +a(g830 +V\u000a +p1507 +tp1508 +a(g13 +Vone +p1509 +tp1510 +a(g830 +g958 +tp1511 +a(g939 +Vsig +p1512 +tp1513 +a(g830 +g958 +tp1514 +a(g428 +VKeySetView +p1515 +tp1516 +a(g697 +g1072 +tp1517 +a(g830 +g958 +tp1518 +a(g428 +VKeySetView' +p1519 +tp1520 +a(g697 +g1072 +tp1521 +a(g830 +g958 +tp1522 +a(g428 +VIteratorView +p1523 +tp1524 +a(g830 +g958 +tp1525 +a(g13 +Vextends +p1526 +tp1527 +a(g830 +g958 +tp1528 +a(g428 +VViewType +p1529 +tp1530 +a(g830 +g958 +tp1531 +a(g405 +g1025 +tp1532 +a(g405 +g1027 +tp1533 +a(g830 +V\u000a +p1534 +tp1535 +a(g13 +Vfact +p1536 +tp1537 +a(g830 +g958 +tp1538 +a(g428 +VViewTypes +p1539 +tp1540 +a(g830 +g958 +tp1541 +a(g405 +g1025 +tp1542 +a(g830 +V\u000a +p1543 +tp1544 +a(g830 +V +p1545 +tp1546 +a(g428 +VState +p1547 +tp1548 +a(g405 +g1221 +tp1549 +a(g428 +Vviews +p1550 +tp1551 +a(g405 +g987 +tp1552 +a(g428 +VKeySetView +p1553 +tp1554 +a(g405 +g991 +tp1555 +a(g830 +g958 +tp1556 +a(g419 +Vin +p1557 +tp1558 +a(g830 +g958 +tp1559 +a(g428 +VMapRef +p1560 +tp1561 +a(g830 +g958 +tp1562 +a(g405 +V-> +p1563 +tp1564 +a(g830 +g958 +tp1565 +a(g428 +VSetRef +p1566 +tp1567 +a(g830 +V\u000a +p1568 +tp1569 +a(g830 +V +p1570 +tp1571 +a(g428 +VState +p1572 +tp1573 +a(g405 +g1221 +tp1574 +a(g428 +Vviews +p1575 +tp1576 +a(g405 +g987 +tp1577 +a(g428 +VKeySetView' +p1578 +tp1579 +a(g405 +g991 +tp1580 +a(g830 +g958 +tp1581 +a(g419 +Vin +p1582 +tp1583 +a(g830 +g958 +tp1584 +a(g428 +VSetRef +p1585 +tp1586 +a(g830 +g958 +tp1587 +a(g405 +V-> +p1588 +tp1589 +a(g830 +g958 +tp1590 +a(g428 +VMapRef +p1591 +tp1592 +a(g830 +V\u000a +p1593 +tp1594 +a(g830 +V +p1595 +tp1596 +a(g428 +VState +p1597 +tp1598 +a(g405 +g1221 +tp1599 +a(g428 +Vviews +p1600 +tp1601 +a(g405 +g987 +tp1602 +a(g428 +VIteratorView +p1603 +tp1604 +a(g405 +g991 +tp1605 +a(g830 +g958 +tp1606 +a(g419 +Vin +p1607 +tp1608 +a(g830 +g958 +tp1609 +a(g428 +VIteratorRef +p1610 +tp1611 +a(g830 +g958 +tp1612 +a(g405 +V-> +p1613 +tp1614 +a(g830 +g958 +tp1615 +a(g428 +VSetRef +p1616 +tp1617 +a(g830 +V\u000a +p1618 +tp1619 +a(g830 +V +p1620 +tp1621 +a(g13 +Vall +p1622 +tp1623 +a(g830 +g958 +tp1624 +a(g428 +g1202 +tp1625 +a(g697 +g1064 +tp1626 +a(g830 +g958 +tp1627 +a(g428 +VState +p1628 +tp1629 +a(g830 +g958 +tp1630 +a(g405 +g1209 +tp1631 +a(g830 +g958 +tp1632 +a(g428 +g1202 +tp1633 +a(g405 +g1221 +tp1634 +a(g428 +Vviews +p1635 +tp1636 +a(g405 +g987 +tp1637 +a(g428 +VKeySetView +p1638 +tp1639 +a(g405 +g991 +tp1640 +a(g830 +g958 +tp1641 +a(g405 +V= +p1642 +tp1643 +a(g830 +g958 +tp1644 +a(g405 +V~ +p1645 +tp1646 +a(g405 +V( +p1647 +tp1648 +a(g428 +g1202 +tp1649 +a(g405 +g1221 +tp1650 +a(g428 +Vviews +p1651 +tp1652 +a(g405 +g987 +tp1653 +a(g428 +VKeySetView' +p1654 +tp1655 +a(g405 +g991 +tp1656 +a(g405 +V) +p1657 +tp1658 +a(g830 +V\u000a +p1659 +tp1660 +a(g830 +V +p1661 +tp1662 +a(g405 +g1027 +tp1663 +a(g830 +V\u000a +p1664 +tp1665 +a(g830 +V\u000a +p1666 +tp1667 +a(g780 +V/**\u000a * mods is refs modified directly or by view mechanism\u000a * doesn't handle possibility of modifying an object and its view at once?\u000a * should we limit frame conds to non-dirty refs?\u000a */ +p1668 +tp1669 +a(g830 +V\u000a +p1670 +tp1671 +a(g13 +Vpred +p1672 +tp1673 +a(g830 +g958 +tp1674 +a(g428 +Vmodifies +p1675 +tp1676 +a(g830 +g958 +tp1677 +a(g405 +g987 +tp1678 +a(g428 +Vpre +p1679 +tp1680 +a(g697 +g1072 +tp1681 +a(g830 +g958 +tp1682 +a(g428 +Vpost +p1683 +tp1684 +a(g697 +g1064 +tp1685 +a(g830 +g958 +tp1686 +a(g428 +VState +p1687 +tp1688 +a(g697 +g1072 +tp1689 +a(g830 +g958 +tp1690 +a(g428 +Vrs +p1691 +tp1692 +a(g697 +g1064 +tp1693 +a(g830 +g958 +tp1694 +a(g13 +Vset +p1695 +tp1696 +a(g830 +g958 +tp1697 +a(g428 +VRef +p1698 +tp1699 +a(g405 +g991 +tp1700 +a(g830 +g958 +tp1701 +a(g405 +g1025 +tp1702 +a(g830 +V\u000a +p1703 +tp1704 +a(g830 +V +p1705 +tp1706 +a(g13 +Vlet +p1707 +tp1708 +a(g830 +g958 +tp1709 +a(g428 +Vvr +p1710 +tp1711 +a(g830 +g958 +tp1712 +a(g405 +g1642 +tp1713 +a(g830 +g958 +tp1714 +a(g428 +Vpre +p1715 +tp1716 +a(g405 +g1221 +tp1717 +a(g428 +Vviews +p1718 +tp1719 +a(g405 +g987 +tp1720 +a(g428 +VViewType +p1721 +tp1722 +a(g405 +g991 +tp1723 +a(g697 +g1072 +tp1724 +a(g830 +g958 +tp1725 +a(g428 +Vmods +p1726 +tp1727 +a(g830 +g958 +tp1728 +a(g405 +g1642 +tp1729 +a(g830 +g958 +tp1730 +a(g428 +Vrs +p1731 +tp1732 +a(g405 +g1221 +tp1733 +a(g405 +V* +p1734 +tp1735 +a(g428 +Vvr +p1736 +tp1737 +a(g830 +g958 +tp1738 +a(g405 +g1025 +tp1739 +a(g830 +V\u000a +p1740 +tp1741 +a(g830 +V +p1742 +tp1743 +a(g13 +Vall +p1744 +tp1745 +a(g830 +g958 +tp1746 +a(g428 +Vr +p1747 +tp1748 +a(g697 +g1064 +tp1749 +a(g830 +g958 +tp1750 +a(g428 +Vpre +p1751 +tp1752 +a(g405 +g1221 +tp1753 +a(g428 +Vrefs +p1754 +tp1755 +a(g830 +g958 +tp1756 +a(g405 +V- +p1757 +tp1758 +a(g830 +g958 +tp1759 +a(g428 +Vmods +p1760 +tp1761 +a(g830 +g958 +tp1762 +a(g405 +g1209 +tp1763 +a(g830 +g958 +tp1764 +a(g428 +Vpre +p1765 +tp1766 +a(g405 +g1221 +tp1767 +a(g428 +Vobj +p1768 +tp1769 +a(g405 +g987 +tp1770 +a(g428 +g1747 +tp1771 +a(g405 +g991 +tp1772 +a(g830 +g958 +tp1773 +a(g405 +g1642 +tp1774 +a(g830 +g958 +tp1775 +a(g428 +Vpost +p1776 +tp1777 +a(g405 +g1221 +tp1778 +a(g428 +Vobj +p1779 +tp1780 +a(g405 +g987 +tp1781 +a(g428 +g1747 +tp1782 +a(g405 +g991 +tp1783 +a(g830 +V\u000a +p1784 +tp1785 +a(g830 +V +p1786 +tp1787 +a(g13 +Vall +p1788 +tp1789 +a(g830 +g958 +tp1790 +a(g428 +Vb +p1791 +tp1792 +a(g697 +g1064 +tp1793 +a(g830 +g958 +tp1794 +a(g428 +Vmods +p1795 +tp1796 +a(g697 +g1072 +tp1797 +a(g830 +g958 +tp1798 +a(g428 +Vv +p1799 +tp1800 +a(g697 +g1064 +tp1801 +a(g830 +g958 +tp1802 +a(g428 +Vpre +p1803 +tp1804 +a(g405 +g1221 +tp1805 +a(g428 +Vrefs +p1806 +tp1807 +a(g697 +g1072 +tp1808 +a(g830 +g958 +tp1809 +a(g428 +Vt +p1810 +tp1811 +a(g697 +g1064 +tp1812 +a(g830 +g958 +tp1813 +a(g428 +VViewType +p1814 +tp1815 +a(g830 +g958 +tp1816 +a(g405 +g1209 +tp1817 +a(g830 +V\u000a +p1818 +tp1819 +a(g830 +V +p1820 +tp1821 +a(g428 +g1791 +tp1822 +a(g405 +V-> +p1823 +tp1824 +a(g428 +g1799 +tp1825 +a(g830 +g958 +tp1826 +a(g419 +Vin +p1827 +tp1828 +a(g830 +g958 +tp1829 +a(g428 +Vpre +p1830 +tp1831 +a(g405 +g1221 +tp1832 +a(g428 +Vviews +p1833 +tp1834 +a(g405 +g987 +tp1835 +a(g428 +g1810 +tp1836 +a(g405 +g991 +tp1837 +a(g830 +g958 +tp1838 +a(g405 +g1642 +tp1839 +a(g405 +V> +p1840 +tp1841 +a(g830 +g958 +tp1842 +a(g428 +VviewFrame +p1843 +tp1844 +a(g830 +g958 +tp1845 +a(g405 +g987 +tp1846 +a(g428 +g1810 +tp1847 +a(g697 +g1072 +tp1848 +a(g830 +g958 +tp1849 +a(g428 +Vpre +p1850 +tp1851 +a(g405 +g1221 +tp1852 +a(g428 +Vobj +p1853 +tp1854 +a(g405 +g987 +tp1855 +a(g428 +g1799 +tp1856 +a(g405 +g991 +tp1857 +a(g697 +g1072 +tp1858 +a(g830 +g958 +tp1859 +a(g428 +Vpost +p1860 +tp1861 +a(g405 +g1221 +tp1862 +a(g428 +Vobj +p1863 +tp1864 +a(g405 +g987 +tp1865 +a(g428 +g1799 +tp1866 +a(g405 +g991 +tp1867 +a(g697 +g1072 +tp1868 +a(g830 +g958 +tp1869 +a(g428 +Vpost +p1870 +tp1871 +a(g405 +g1221 +tp1872 +a(g428 +Vobj +p1873 +tp1874 +a(g405 +g987 +tp1875 +a(g428 +g1791 +tp1876 +a(g405 +g991 +tp1877 +a(g405 +g991 +tp1878 +a(g830 +V\u000a +p1879 +tp1880 +a(g830 +V +p1881 +tp1882 +a(g428 +Vpost +p1883 +tp1884 +a(g405 +g1221 +tp1885 +a(g428 +Vdirty +p1886 +tp1887 +a(g830 +g958 +tp1888 +a(g405 +g1642 +tp1889 +a(g830 +g958 +tp1890 +a(g428 +Vpre +p1891 +tp1892 +a(g405 +g1221 +tp1893 +a(g428 +Vdirty +p1894 +tp1895 +a(g830 +g958 +tp1896 +a(g405 +g1216 +tp1897 +a(g830 +V\u000a +p1898 +tp1899 +a(g830 +V +p1900 +tp1901 +a(g405 +g1025 +tp1902 +a(g428 +g1791 +tp1903 +a(g697 +g1064 +tp1904 +a(g830 +g958 +tp1905 +a(g428 +Vpre +p1906 +tp1907 +a(g405 +g1221 +tp1908 +a(g428 +Vrefs +p1909 +tp1910 +a(g830 +g958 +tp1911 +a(g405 +g1209 +tp1912 +a(g830 +g958 +tp1913 +a(g13 +Vsome +p1914 +tp1915 +a(g830 +g958 +tp1916 +a(g428 +g1799 +tp1917 +a(g697 +g1064 +tp1918 +a(g830 +g958 +tp1919 +a(g428 +VRef +p1920 +tp1921 +a(g697 +g1072 +tp1922 +a(g830 +g958 +tp1923 +a(g428 +g1810 +tp1924 +a(g697 +g1064 +tp1925 +a(g830 +g958 +tp1926 +a(g428 +VViewType +p1927 +tp1928 +a(g830 +g958 +tp1929 +a(g405 +g1209 +tp1930 +a(g830 +V\u000a +p1931 +tp1932 +a(g830 +V +p1933 +tp1934 +a(g428 +g1791 +tp1935 +a(g405 +V-> +p1936 +tp1937 +a(g428 +g1799 +tp1938 +a(g830 +g958 +tp1939 +a(g419 +Vin +p1940 +tp1941 +a(g830 +g958 +tp1942 +a(g428 +Vpre +p1943 +tp1944 +a(g405 +g1221 +tp1945 +a(g428 +Vviews +p1946 +tp1947 +a(g405 +g987 +tp1948 +a(g428 +g1810 +tp1949 +a(g405 +g991 +tp1950 +a(g830 +g958 +tp1951 +a(g405 +V&& +p1952 +tp1953 +a(g830 +g958 +tp1954 +a(g405 +V! +p1955 +tp1956 +a(g428 +VviewFrame +p1957 +tp1958 +a(g830 +g958 +tp1959 +a(g405 +g987 +tp1960 +a(g428 +g1810 +tp1961 +a(g697 +g1072 +tp1962 +a(g830 +g958 +tp1963 +a(g428 +Vpre +p1964 +tp1965 +a(g405 +g1221 +tp1966 +a(g428 +Vobj +p1967 +tp1968 +a(g405 +g987 +tp1969 +a(g428 +g1799 +tp1970 +a(g405 +g991 +tp1971 +a(g697 +g1072 +tp1972 +a(g830 +g958 +tp1973 +a(g428 +Vpost +p1974 +tp1975 +a(g405 +g1221 +tp1976 +a(g428 +Vobj +p1977 +tp1978 +a(g405 +g987 +tp1979 +a(g428 +g1799 +tp1980 +a(g405 +g991 +tp1981 +a(g697 +g1072 +tp1982 +a(g830 +g958 +tp1983 +a(g428 +Vpost +p1984 +tp1985 +a(g405 +g1221 +tp1986 +a(g428 +Vobj +p1987 +tp1988 +a(g405 +g987 +tp1989 +a(g428 +g1791 +tp1990 +a(g405 +g991 +tp1991 +a(g405 +g991 +tp1992 +a(g830 +V\u000a +p1993 +tp1994 +a(g830 +V +p1995 +tp1996 +a(g405 +g1027 +tp1997 +a(g830 +V\u000a +p1998 +tp1999 +a(g830 +V +p2000 +tp2001 +a(g405 +g1027 +tp2002 +a(g830 +V\u000a +p2003 +tp2004 +a(g830 +V +p2005 +tp2006 +a(g405 +g1027 +tp2007 +a(g830 +V\u000a +p2008 +tp2009 +a(g830 +V\u000a +p2010 +tp2011 +a(g13 +Vpred +p2012 +tp2013 +a(g830 +g958 +tp2014 +a(g428 +Vallocates +p2015 +tp2016 +a(g830 +g958 +tp2017 +a(g405 +g987 +tp2018 +a(g428 +Vpre +p2019 +tp2020 +a(g697 +g1072 +tp2021 +a(g830 +g958 +tp2022 +a(g428 +Vpost +p2023 +tp2024 +a(g697 +g1064 +tp2025 +a(g830 +g958 +tp2026 +a(g428 +VState +p2027 +tp2028 +a(g697 +g1072 +tp2029 +a(g830 +g958 +tp2030 +a(g428 +Vrs +p2031 +tp2032 +a(g697 +g1064 +tp2033 +a(g830 +g958 +tp2034 +a(g13 +Vset +p2035 +tp2036 +a(g830 +g958 +tp2037 +a(g428 +VRef +p2038 +tp2039 +a(g405 +g991 +tp2040 +a(g830 +g958 +tp2041 +a(g405 +g1025 +tp2042 +a(g830 +V\u000a +p2043 +tp2044 +a(g830 +V +p2045 +tp2046 +a(g13 +Vno +p2047 +tp2048 +a(g830 +g958 +tp2049 +a(g428 +Vrs +p2050 +tp2051 +a(g830 +g958 +tp2052 +a(g405 +V& +p2053 +tp2054 +a(g830 +g958 +tp2055 +a(g428 +Vpre +p2056 +tp2057 +a(g405 +g1221 +tp2058 +a(g428 +Vrefs +p2059 +tp2060 +a(g830 +V\u000a +p2061 +tp2062 +a(g830 +V +p2063 +tp2064 +a(g428 +Vpost +p2065 +tp2066 +a(g405 +g1221 +tp2067 +a(g428 +Vrefs +p2068 +tp2069 +a(g830 +g958 +tp2070 +a(g405 +g1642 +tp2071 +a(g830 +g958 +tp2072 +a(g428 +Vpre +p2073 +tp2074 +a(g405 +g1221 +tp2075 +a(g428 +Vrefs +p2076 +tp2077 +a(g830 +g958 +tp2078 +a(g405 +g1216 +tp2079 +a(g830 +g958 +tp2080 +a(g428 +Vrs +p2081 +tp2082 +a(g830 +V\u000a +p2083 +tp2084 +a(g830 +V +p2085 +tp2086 +a(g405 +g1027 +tp2087 +a(g830 +V\u000a +p2088 +tp2089 +a(g830 +V\u000a +p2090 +tp2091 +a(g780 +V/** \u000a * models frame condition that limits change to view object from v to v' when backing object changes to b'\u000a */ +p2092 +tp2093 +a(g830 +V\u000a +p2094 +tp2095 +a(g13 +Vpred +p2096 +tp2097 +a(g830 +g958 +tp2098 +a(g428 +VviewFrame +p2099 +tp2100 +a(g830 +g958 +tp2101 +a(g405 +g987 +tp2102 +a(g428 +g1810 +tp2103 +a(g697 +g1064 +tp2104 +a(g830 +g958 +tp2105 +a(g428 +VViewType +p2106 +tp2107 +a(g697 +g1072 +tp2108 +a(g830 +g958 +tp2109 +a(g428 +g1799 +tp2110 +a(g697 +g1072 +tp2111 +a(g830 +g958 +tp2112 +a(g428 +Vv' +p2113 +tp2114 +a(g697 +g1072 +tp2115 +a(g830 +g958 +tp2116 +a(g428 +Vb' +p2117 +tp2118 +a(g697 +g1064 +tp2119 +a(g830 +g958 +tp2120 +a(g428 +VObject +p2121 +tp2122 +a(g405 +g991 +tp2123 +a(g830 +g958 +tp2124 +a(g405 +g1025 +tp2125 +a(g830 +V\u000a +p2126 +tp2127 +a(g830 +V +p2128 +tp2129 +a(g428 +g1810 +tp2130 +a(g830 +g958 +tp2131 +a(g419 +Vin +p2132 +tp2133 +a(g830 +g958 +tp2134 +a(g428 +VKeySetView +p2135 +tp2136 +a(g830 +g958 +tp2137 +a(g405 +g1642 +tp2138 +a(g405 +g1840 +tp2139 +a(g830 +g958 +tp2140 +a(g428 +Vv' +p2141 +tp2142 +a(g405 +g1221 +tp2143 +a(g428 +Velts +p2144 +tp2145 +a(g830 +g958 +tp2146 +a(g405 +g1642 +tp2147 +a(g830 +g958 +tp2148 +a(g428 +Vdom +p2149 +tp2150 +a(g830 +g958 +tp2151 +a(g405 +g987 +tp2152 +a(g428 +Vb' +p2153 +tp2154 +a(g405 +g1221 +tp2155 +a(g428 +Vmap +p2156 +tp2157 +a(g405 +g991 +tp2158 +a(g830 +V\u000a +p2159 +tp2160 +a(g830 +V +p2161 +tp2162 +a(g428 +g1810 +tp2163 +a(g830 +g958 +tp2164 +a(g419 +Vin +p2165 +tp2166 +a(g830 +g958 +tp2167 +a(g428 +VKeySetView' +p2168 +tp2169 +a(g830 +g958 +tp2170 +a(g405 +g1642 +tp2171 +a(g405 +g1840 +tp2172 +a(g830 +g958 +tp2173 +a(g428 +Vb' +p2174 +tp2175 +a(g405 +g1221 +tp2176 +a(g428 +Velts +p2177 +tp2178 +a(g830 +g958 +tp2179 +a(g405 +g1642 +tp2180 +a(g830 +g958 +tp2181 +a(g428 +Vdom +p2182 +tp2183 +a(g830 +g958 +tp2184 +a(g405 +g987 +tp2185 +a(g428 +Vv' +p2186 +tp2187 +a(g405 +g1221 +tp2188 +a(g428 +Vmap +p2189 +tp2190 +a(g405 +g991 +tp2191 +a(g830 +V\u000a +p2192 +tp2193 +a(g830 +V +p2194 +tp2195 +a(g428 +g1810 +tp2196 +a(g830 +g958 +tp2197 +a(g419 +Vin +p2198 +tp2199 +a(g830 +g958 +tp2200 +a(g428 +VKeySetView' +p2201 +tp2202 +a(g830 +g958 +tp2203 +a(g405 +g1642 +tp2204 +a(g405 +g1840 +tp2205 +a(g830 +g958 +tp2206 +a(g405 +g1647 +tp2207 +a(g428 +Vb' +p2208 +tp2209 +a(g405 +g1221 +tp2210 +a(g428 +Velts +p2211 +tp2212 +a(g405 +g1657 +tp2213 +a(g830 +g958 +tp2214 +a(g405 +V< +p2215 +tp2216 +a(g697 +g1064 +tp2217 +a(g830 +g958 +tp2218 +a(g405 +g1647 +tp2219 +a(g428 +g1799 +tp2220 +a(g405 +g1221 +tp2221 +a(g428 +Vmap +p2222 +tp2223 +a(g405 +g1657 +tp2224 +a(g830 +g958 +tp2225 +a(g405 +g1642 +tp2226 +a(g830 +g958 +tp2227 +a(g405 +g1647 +tp2228 +a(g428 +Vb' +p2229 +tp2230 +a(g405 +g1221 +tp2231 +a(g428 +Velts +p2232 +tp2233 +a(g405 +g1657 +tp2234 +a(g830 +g958 +tp2235 +a(g405 +g2215 +tp2236 +a(g697 +g1064 +tp2237 +a(g830 +g958 +tp2238 +a(g405 +g1647 +tp2239 +a(g428 +Vv' +p2240 +tp2241 +a(g405 +g1221 +tp2242 +a(g428 +Vmap +p2243 +tp2244 +a(g405 +g1657 +tp2245 +a(g830 +V\u000a +p2246 +tp2247 +a(g830 +V +p2248 +tp2249 +a(g428 +g1810 +tp2250 +a(g830 +g958 +tp2251 +a(g419 +Vin +p2252 +tp2253 +a(g830 +g958 +tp2254 +a(g428 +VIteratorView +p2255 +tp2256 +a(g830 +g958 +tp2257 +a(g405 +g1642 +tp2258 +a(g405 +g1840 +tp2259 +a(g830 +g958 +tp2260 +a(g428 +Vv' +p2261 +tp2262 +a(g405 +g1221 +tp2263 +a(g428 +Velts +p2264 +tp2265 +a(g830 +g958 +tp2266 +a(g405 +g1642 +tp2267 +a(g830 +g958 +tp2268 +a(g428 +Vb' +p2269 +tp2270 +a(g405 +g1221 +tp2271 +a(g428 +Vleft +p2272 +tp2273 +a(g830 +g958 +tp2274 +a(g405 +g1216 +tp2275 +a(g830 +g958 +tp2276 +a(g428 +Vb' +p2277 +tp2278 +a(g405 +g1221 +tp2279 +a(g428 +Vdone +p2280 +tp2281 +a(g830 +V\u000a +p2282 +tp2283 +a(g830 +V +p2284 +tp2285 +a(g405 +g1027 +tp2286 +a(g830 +V\u000a +p2287 +tp2288 +a(g830 +V\u000a +p2289 +tp2290 +a(g13 +Vpred +p2291 +tp2292 +a(g830 +g958 +tp2293 +a(g428 +VMapRef +p2294 +tp2295 +a(g405 +g1221 +tp2296 +a(g428 +VkeySet +p2297 +tp2298 +a(g830 +g958 +tp2299 +a(g405 +g987 +tp2300 +a(g428 +Vpre +p2301 +tp2302 +a(g697 +g1072 +tp2303 +a(g830 +g958 +tp2304 +a(g428 +Vpost +p2305 +tp2306 +a(g697 +g1064 +tp2307 +a(g830 +g958 +tp2308 +a(g428 +VState +p2309 +tp2310 +a(g697 +g1072 +tp2311 +a(g830 +g958 +tp2312 +a(g428 +VsetRefs +p2313 +tp2314 +a(g697 +g1064 +tp2315 +a(g830 +g958 +tp2316 +a(g428 +VSetRef +p2317 +tp2318 +a(g405 +g991 +tp2319 +a(g830 +g958 +tp2320 +a(g405 +g1025 +tp2321 +a(g830 +V\u000a +p2322 +tp2323 +a(g830 +V +p2324 +tp2325 +a(g428 +Vpost +p2326 +tp2327 +a(g405 +g1221 +tp2328 +a(g428 +Vobj +p2329 +tp2330 +a(g405 +g987 +tp2331 +a(g428 +VsetRefs +p2332 +tp2333 +a(g405 +g991 +tp2334 +a(g405 +g1221 +tp2335 +a(g428 +Velts +p2336 +tp2337 +a(g830 +g958 +tp2338 +a(g405 +g1642 +tp2339 +a(g830 +g958 +tp2340 +a(g428 +Vdom +p2341 +tp2342 +a(g830 +g958 +tp2343 +a(g405 +g987 +tp2344 +a(g428 +Vpre +p2345 +tp2346 +a(g405 +g1221 +tp2347 +a(g428 +Vobj +p2348 +tp2349 +a(g405 +g987 +tp2350 +a(g13 +Vthis +p2351 +tp2352 +a(g405 +g991 +tp2353 +a(g405 +g1221 +tp2354 +a(g428 +Vmap +p2355 +tp2356 +a(g405 +g991 +tp2357 +a(g830 +V\u000a +p2358 +tp2359 +a(g830 +V +p2360 +tp2361 +a(g428 +Vmodifies +p2362 +tp2363 +a(g830 +g958 +tp2364 +a(g405 +g987 +tp2365 +a(g428 +Vpre +p2366 +tp2367 +a(g697 +g1072 +tp2368 +a(g830 +g958 +tp2369 +a(g428 +Vpost +p2370 +tp2371 +a(g697 +g1072 +tp2372 +a(g830 +g958 +tp2373 +a(g900 +Vnone +p2374 +tp2375 +a(g405 +g991 +tp2376 +a(g830 +V\u000a +p2377 +tp2378 +a(g830 +V +p2379 +tp2380 +a(g428 +Vallocates +p2381 +tp2382 +a(g830 +g958 +tp2383 +a(g405 +g987 +tp2384 +a(g428 +Vpre +p2385 +tp2386 +a(g697 +g1072 +tp2387 +a(g830 +g958 +tp2388 +a(g428 +Vpost +p2389 +tp2390 +a(g697 +g1072 +tp2391 +a(g830 +g958 +tp2392 +a(g428 +VsetRefs +p2393 +tp2394 +a(g405 +g991 +tp2395 +a(g830 +V\u000a +p2396 +tp2397 +a(g830 +V +p2398 +tp2399 +a(g428 +Vpost +p2400 +tp2401 +a(g405 +g1221 +tp2402 +a(g428 +Vviews +p2403 +tp2404 +a(g830 +g958 +tp2405 +a(g405 +g1642 +tp2406 +a(g830 +g958 +tp2407 +a(g428 +Vpre +p2408 +tp2409 +a(g405 +g1221 +tp2410 +a(g428 +Vviews +p2411 +tp2412 +a(g830 +g958 +tp2413 +a(g405 +g1216 +tp2414 +a(g830 +g958 +tp2415 +a(g428 +VKeySetView +p2416 +tp2417 +a(g405 +V-> +p2418 +tp2419 +a(g13 +Vthis +p2420 +tp2421 +a(g405 +V-> +p2422 +tp2423 +a(g428 +VsetRefs +p2424 +tp2425 +a(g830 +g958 +tp2426 +a(g405 +g1216 +tp2427 +a(g830 +g958 +tp2428 +a(g428 +VKeySetView' +p2429 +tp2430 +a(g405 +V-> +p2431 +tp2432 +a(g428 +VsetRefs +p2433 +tp2434 +a(g405 +V-> +p2435 +tp2436 +a(g13 +Vthis +p2437 +tp2438 +a(g830 +V\u000a +p2439 +tp2440 +a(g830 +V +p2441 +tp2442 +a(g405 +g1027 +tp2443 +a(g830 +V\u000a +p2444 +tp2445 +a(g830 +V\u000a +p2446 +tp2447 +a(g13 +Vpred +p2448 +tp2449 +a(g830 +g958 +tp2450 +a(g428 +VMapRef +p2451 +tp2452 +a(g405 +g1221 +tp2453 +a(g428 +Vput +p2454 +tp2455 +a(g830 +g958 +tp2456 +a(g405 +g987 +tp2457 +a(g428 +Vpre +p2458 +tp2459 +a(g697 +g1072 +tp2460 +a(g830 +g958 +tp2461 +a(g428 +Vpost +p2462 +tp2463 +a(g697 +g1064 +tp2464 +a(g830 +g958 +tp2465 +a(g428 +VState +p2466 +tp2467 +a(g697 +g1072 +tp2468 +a(g830 +g958 +tp2469 +a(g428 +Vk +p2470 +tp2471 +a(g697 +g1072 +tp2472 +a(g830 +g958 +tp2473 +a(g428 +g1799 +tp2474 +a(g697 +g1064 +tp2475 +a(g830 +g958 +tp2476 +a(g428 +VRef +p2477 +tp2478 +a(g405 +g991 +tp2479 +a(g830 +g958 +tp2480 +a(g405 +g1025 +tp2481 +a(g830 +V\u000a +p2482 +tp2483 +a(g830 +V +p2484 +tp2485 +a(g428 +Vpost +p2486 +tp2487 +a(g405 +g1221 +tp2488 +a(g428 +Vobj +p2489 +tp2490 +a(g405 +g987 +tp2491 +a(g13 +Vthis +p2492 +tp2493 +a(g405 +g991 +tp2494 +a(g405 +g1221 +tp2495 +a(g428 +Vmap +p2496 +tp2497 +a(g830 +g958 +tp2498 +a(g405 +g1642 +tp2499 +a(g830 +g958 +tp2500 +a(g428 +Vpre +p2501 +tp2502 +a(g405 +g1221 +tp2503 +a(g428 +Vobj +p2504 +tp2505 +a(g405 +g987 +tp2506 +a(g13 +Vthis +p2507 +tp2508 +a(g405 +g991 +tp2509 +a(g405 +g1221 +tp2510 +a(g428 +Vmap +p2511 +tp2512 +a(g830 +g958 +tp2513 +a(g405 +V++ +p2514 +tp2515 +a(g830 +g958 +tp2516 +a(g428 +g2470 +tp2517 +a(g405 +V-> +p2518 +tp2519 +a(g428 +g1799 +tp2520 +a(g830 +V\u000a +p2521 +tp2522 +a(g830 +V +p2523 +tp2524 +a(g428 +Vmodifies +p2525 +tp2526 +a(g830 +g958 +tp2527 +a(g405 +g987 +tp2528 +a(g428 +Vpre +p2529 +tp2530 +a(g697 +g1072 +tp2531 +a(g830 +g958 +tp2532 +a(g428 +Vpost +p2533 +tp2534 +a(g697 +g1072 +tp2535 +a(g830 +g958 +tp2536 +a(g13 +Vthis +p2537 +tp2538 +a(g405 +g991 +tp2539 +a(g830 +V\u000a +p2540 +tp2541 +a(g830 +V +p2542 +tp2543 +a(g428 +Vallocates +p2544 +tp2545 +a(g830 +g958 +tp2546 +a(g405 +g987 +tp2547 +a(g428 +Vpre +p2548 +tp2549 +a(g697 +g1072 +tp2550 +a(g830 +g958 +tp2551 +a(g428 +Vpost +p2552 +tp2553 +a(g697 +g1072 +tp2554 +a(g830 +g958 +tp2555 +a(g900 +Vnone +p2556 +tp2557 +a(g405 +g991 +tp2558 +a(g830 +V\u000a +p2559 +tp2560 +a(g830 +V +p2561 +tp2562 +a(g428 +Vpost +p2563 +tp2564 +a(g405 +g1221 +tp2565 +a(g428 +Vviews +p2566 +tp2567 +a(g830 +g958 +tp2568 +a(g405 +g1642 +tp2569 +a(g830 +g958 +tp2570 +a(g428 +Vpre +p2571 +tp2572 +a(g405 +g1221 +tp2573 +a(g428 +Vviews +p2574 +tp2575 +a(g830 +V\u000a +p2576 +tp2577 +a(g830 +V +p2578 +tp2579 +a(g405 +g1027 +tp2580 +a(g830 +V\u000a +p2581 +tp2582 +a(g830 +V\u000a +p2583 +tp2584 +a(g13 +Vpred +p2585 +tp2586 +a(g830 +g958 +tp2587 +a(g428 +VSetRef +p2588 +tp2589 +a(g405 +g1221 +tp2590 +a(g428 +Viterator +p2591 +tp2592 +a(g830 +g958 +tp2593 +a(g405 +g987 +tp2594 +a(g428 +Vpre +p2595 +tp2596 +a(g697 +g1072 +tp2597 +a(g830 +g958 +tp2598 +a(g428 +Vpost +p2599 +tp2600 +a(g697 +g1064 +tp2601 +a(g830 +g958 +tp2602 +a(g428 +VState +p2603 +tp2604 +a(g697 +g1072 +tp2605 +a(g830 +g958 +tp2606 +a(g428 +ViterRef +p2607 +tp2608 +a(g697 +g1064 +tp2609 +a(g830 +g958 +tp2610 +a(g428 +VIteratorRef +p2611 +tp2612 +a(g405 +g991 +tp2613 +a(g830 +g958 +tp2614 +a(g405 +g1025 +tp2615 +a(g830 +V\u000a +p2616 +tp2617 +a(g830 +V +p2618 +tp2619 +a(g13 +Vlet +p2620 +tp2621 +a(g830 +g958 +tp2622 +a(g428 +Vi +p2623 +tp2624 +a(g830 +g958 +tp2625 +a(g405 +g1642 +tp2626 +a(g830 +g958 +tp2627 +a(g428 +Vpost +p2628 +tp2629 +a(g405 +g1221 +tp2630 +a(g428 +Vobj +p2631 +tp2632 +a(g405 +g987 +tp2633 +a(g428 +ViterRef +p2634 +tp2635 +a(g405 +g991 +tp2636 +a(g830 +g958 +tp2637 +a(g405 +g1025 +tp2638 +a(g830 +V\u000a +p2639 +tp2640 +a(g830 +V +p2641 +tp2642 +a(g428 +g2623 +tp2643 +a(g405 +g1221 +tp2644 +a(g428 +Vleft +p2645 +tp2646 +a(g830 +g958 +tp2647 +a(g405 +g1642 +tp2648 +a(g830 +g958 +tp2649 +a(g428 +Vpre +p2650 +tp2651 +a(g405 +g1221 +tp2652 +a(g428 +Vobj +p2653 +tp2654 +a(g405 +g987 +tp2655 +a(g13 +Vthis +p2656 +tp2657 +a(g405 +g991 +tp2658 +a(g405 +g1221 +tp2659 +a(g428 +Velts +p2660 +tp2661 +a(g830 +V\u000a +p2662 +tp2663 +a(g830 +V +p2664 +tp2665 +a(g13 +Vno +p2666 +tp2667 +a(g830 +g958 +tp2668 +a(g428 +g2623 +tp2669 +a(g405 +g1221 +tp2670 +a(g428 +Vdone +p2671 +tp2672 +a(g830 +g958 +tp2673 +a(g405 +g1216 +tp2674 +a(g830 +g958 +tp2675 +a(g428 +g2623 +tp2676 +a(g405 +g1221 +tp2677 +a(g428 +VlastRef +p2678 +tp2679 +a(g830 +V\u000a +p2680 +tp2681 +a(g830 +V +p2682 +tp2683 +a(g405 +g1027 +tp2684 +a(g830 +V\u000a +p2685 +tp2686 +a(g830 +V +p2687 +tp2688 +a(g428 +Vmodifies +p2689 +tp2690 +a(g830 +g958 +tp2691 +a(g405 +g987 +tp2692 +a(g428 +Vpre +p2693 +tp2694 +a(g697 +g1072 +tp2695 +a(g428 +Vpost +p2696 +tp2697 +a(g697 +g1072 +tp2698 +a(g900 +Vnone +p2699 +tp2700 +a(g405 +g991 +tp2701 +a(g830 +V\u000a +p2702 +tp2703 +a(g830 +V +p2704 +tp2705 +a(g428 +Vallocates +p2706 +tp2707 +a(g830 +g958 +tp2708 +a(g405 +g987 +tp2709 +a(g428 +Vpre +p2710 +tp2711 +a(g697 +g1072 +tp2712 +a(g830 +g958 +tp2713 +a(g428 +Vpost +p2714 +tp2715 +a(g697 +g1072 +tp2716 +a(g830 +g958 +tp2717 +a(g428 +ViterRef +p2718 +tp2719 +a(g405 +g991 +tp2720 +a(g830 +V\u000a +p2721 +tp2722 +a(g830 +V +p2723 +tp2724 +a(g428 +Vpost +p2725 +tp2726 +a(g405 +g1221 +tp2727 +a(g428 +Vviews +p2728 +tp2729 +a(g830 +g958 +tp2730 +a(g405 +g1642 +tp2731 +a(g830 +g958 +tp2732 +a(g428 +Vpre +p2733 +tp2734 +a(g405 +g1221 +tp2735 +a(g428 +Vviews +p2736 +tp2737 +a(g830 +g958 +tp2738 +a(g405 +g1216 +tp2739 +a(g830 +g958 +tp2740 +a(g428 +VIteratorView +p2741 +tp2742 +a(g405 +V-> +p2743 +tp2744 +a(g428 +ViterRef +p2745 +tp2746 +a(g405 +V-> +p2747 +tp2748 +a(g13 +Vthis +p2749 +tp2750 +a(g830 +V\u000a +p2751 +tp2752 +a(g830 +V +p2753 +tp2754 +a(g405 +g1027 +tp2755 +a(g830 +V\u000a +p2756 +tp2757 +a(g830 +V\u000a +p2758 +tp2759 +a(g13 +Vpred +p2760 +tp2761 +a(g830 +g958 +tp2762 +a(g428 +VIteratorRef +p2763 +tp2764 +a(g405 +g1221 +tp2765 +a(g428 +Vremove +p2766 +tp2767 +a(g830 +g958 +tp2768 +a(g405 +g987 +tp2769 +a(g428 +Vpre +p2770 +tp2771 +a(g697 +g1072 +tp2772 +a(g830 +g958 +tp2773 +a(g428 +Vpost +p2774 +tp2775 +a(g697 +g1064 +tp2776 +a(g830 +g958 +tp2777 +a(g428 +VState +p2778 +tp2779 +a(g405 +g991 +tp2780 +a(g830 +g958 +tp2781 +a(g405 +g1025 +tp2782 +a(g830 +V\u000a +p2783 +tp2784 +a(g830 +V +p2785 +tp2786 +a(g13 +Vlet +p2787 +tp2788 +a(g830 +g958 +tp2789 +a(g428 +g2623 +tp2790 +a(g830 +g958 +tp2791 +a(g405 +g1642 +tp2792 +a(g830 +g958 +tp2793 +a(g428 +Vpre +p2794 +tp2795 +a(g405 +g1221 +tp2796 +a(g428 +Vobj +p2797 +tp2798 +a(g405 +g987 +tp2799 +a(g13 +Vthis +p2800 +tp2801 +a(g405 +g991 +tp2802 +a(g697 +g1072 +tp2803 +a(g830 +g958 +tp2804 +a(g428 +Vi' +p2805 +tp2806 +a(g830 +g958 +tp2807 +a(g405 +g1642 +tp2808 +a(g830 +g958 +tp2809 +a(g428 +Vpost +p2810 +tp2811 +a(g405 +g1221 +tp2812 +a(g428 +Vobj +p2813 +tp2814 +a(g405 +g987 +tp2815 +a(g13 +Vthis +p2816 +tp2817 +a(g405 +g991 +tp2818 +a(g830 +g958 +tp2819 +a(g405 +g1025 +tp2820 +a(g830 +V\u000a +p2821 +tp2822 +a(g830 +V +p2823 +tp2824 +a(g428 +Vi' +p2825 +tp2826 +a(g405 +g1221 +tp2827 +a(g428 +Vleft +p2828 +tp2829 +a(g830 +g958 +tp2830 +a(g405 +g1642 +tp2831 +a(g830 +g958 +tp2832 +a(g428 +g2623 +tp2833 +a(g405 +g1221 +tp2834 +a(g428 +Vleft +p2835 +tp2836 +a(g830 +V\u000a +p2837 +tp2838 +a(g830 +V +p2839 +tp2840 +a(g428 +Vi' +p2841 +tp2842 +a(g405 +g1221 +tp2843 +a(g428 +Vdone +p2844 +tp2845 +a(g830 +g958 +tp2846 +a(g405 +g1642 +tp2847 +a(g830 +g958 +tp2848 +a(g428 +g2623 +tp2849 +a(g405 +g1221 +tp2850 +a(g428 +Vdone +p2851 +tp2852 +a(g830 +g958 +tp2853 +a(g405 +g1757 +tp2854 +a(g830 +g958 +tp2855 +a(g428 +g2623 +tp2856 +a(g405 +g1221 +tp2857 +a(g428 +VlastRef +p2858 +tp2859 +a(g830 +V\u000a +p2860 +tp2861 +a(g830 +V +p2862 +tp2863 +a(g13 +Vno +p2864 +tp2865 +a(g830 +g958 +tp2866 +a(g428 +Vi' +p2867 +tp2868 +a(g405 +g1221 +tp2869 +a(g428 +VlastRef +p2870 +tp2871 +a(g830 +V\u000a +p2872 +tp2873 +a(g830 +V +p2874 +tp2875 +a(g405 +g1027 +tp2876 +a(g830 +V\u000a +p2877 +tp2878 +a(g830 +V +p2879 +tp2880 +a(g428 +Vmodifies +p2881 +tp2882 +a(g830 +g958 +tp2883 +a(g405 +g987 +tp2884 +a(g428 +Vpre +p2885 +tp2886 +a(g697 +g1072 +tp2887 +a(g428 +Vpost +p2888 +tp2889 +a(g697 +g1072 +tp2890 +a(g13 +Vthis +p2891 +tp2892 +a(g405 +g991 +tp2893 +a(g830 +V\u000a +p2894 +tp2895 +a(g830 +V +p2896 +tp2897 +a(g428 +Vallocates +p2898 +tp2899 +a(g830 +g958 +tp2900 +a(g405 +g987 +tp2901 +a(g428 +Vpre +p2902 +tp2903 +a(g697 +g1072 +tp2904 +a(g830 +g958 +tp2905 +a(g428 +Vpost +p2906 +tp2907 +a(g697 +g1072 +tp2908 +a(g830 +g958 +tp2909 +a(g900 +Vnone +p2910 +tp2911 +a(g405 +g991 +tp2912 +a(g830 +V\u000a +p2913 +tp2914 +a(g830 +V +p2915 +tp2916 +a(g428 +Vpre +p2917 +tp2918 +a(g405 +g1221 +tp2919 +a(g428 +Vviews +p2920 +tp2921 +a(g830 +g958 +tp2922 +a(g405 +g1642 +tp2923 +a(g830 +g958 +tp2924 +a(g428 +Vpost +p2925 +tp2926 +a(g405 +g1221 +tp2927 +a(g428 +Vviews +p2928 +tp2929 +a(g830 +V\u000a +p2930 +tp2931 +a(g830 +V +p2932 +tp2933 +a(g405 +g1027 +tp2934 +a(g830 +V\u000a +p2935 +tp2936 +a(g830 +V\u000a +p2937 +tp2938 +a(g13 +Vpred +p2939 +tp2940 +a(g830 +g958 +tp2941 +a(g428 +VIteratorRef +p2942 +tp2943 +a(g405 +g1221 +tp2944 +a(g428 +Vnext +p2945 +tp2946 +a(g830 +g958 +tp2947 +a(g405 +g987 +tp2948 +a(g428 +Vpre +p2949 +tp2950 +a(g697 +g1072 +tp2951 +a(g830 +g958 +tp2952 +a(g428 +Vpost +p2953 +tp2954 +a(g697 +g1064 +tp2955 +a(g830 +g958 +tp2956 +a(g428 +VState +p2957 +tp2958 +a(g697 +g1072 +tp2959 +a(g830 +g958 +tp2960 +a(g428 +Vref +p2961 +tp2962 +a(g697 +g1064 +tp2963 +a(g830 +g958 +tp2964 +a(g428 +VRef +p2965 +tp2966 +a(g405 +g991 +tp2967 +a(g830 +g958 +tp2968 +a(g405 +g1025 +tp2969 +a(g830 +V\u000a +p2970 +tp2971 +a(g830 +V +p2972 +tp2973 +a(g13 +Vlet +p2974 +tp2975 +a(g830 +g958 +tp2976 +a(g428 +g2623 +tp2977 +a(g830 +g958 +tp2978 +a(g405 +g1642 +tp2979 +a(g830 +g958 +tp2980 +a(g428 +Vpre +p2981 +tp2982 +a(g405 +g1221 +tp2983 +a(g428 +Vobj +p2984 +tp2985 +a(g405 +g987 +tp2986 +a(g13 +Vthis +p2987 +tp2988 +a(g405 +g991 +tp2989 +a(g697 +g1072 +tp2990 +a(g830 +g958 +tp2991 +a(g428 +Vi' +p2992 +tp2993 +a(g830 +g958 +tp2994 +a(g405 +g1642 +tp2995 +a(g830 +g958 +tp2996 +a(g428 +Vpost +p2997 +tp2998 +a(g405 +g1221 +tp2999 +a(g428 +Vobj +p3000 +tp3001 +a(g405 +g987 +tp3002 +a(g13 +Vthis +p3003 +tp3004 +a(g405 +g991 +tp3005 +a(g830 +g958 +tp3006 +a(g405 +g1025 +tp3007 +a(g830 +V\u000a +p3008 +tp3009 +a(g830 +V +p3010 +tp3011 +a(g428 +Vref +p3012 +tp3013 +a(g830 +g958 +tp3014 +a(g419 +Vin +p3015 +tp3016 +a(g830 +g958 +tp3017 +a(g428 +g2623 +tp3018 +a(g405 +g1221 +tp3019 +a(g428 +Vleft +p3020 +tp3021 +a(g830 +V\u000a +p3022 +tp3023 +a(g830 +V +p3024 +tp3025 +a(g428 +Vi' +p3026 +tp3027 +a(g405 +g1221 +tp3028 +a(g428 +Vleft +p3029 +tp3030 +a(g830 +g958 +tp3031 +a(g405 +g1642 +tp3032 +a(g830 +g958 +tp3033 +a(g428 +g2623 +tp3034 +a(g405 +g1221 +tp3035 +a(g428 +Vleft +p3036 +tp3037 +a(g830 +g958 +tp3038 +a(g405 +g1757 +tp3039 +a(g830 +g958 +tp3040 +a(g428 +Vref +p3041 +tp3042 +a(g830 +V\u000a +p3043 +tp3044 +a(g830 +V +p3045 +tp3046 +a(g428 +Vi' +p3047 +tp3048 +a(g405 +g1221 +tp3049 +a(g428 +Vdone +p3050 +tp3051 +a(g830 +g958 +tp3052 +a(g405 +g1642 +tp3053 +a(g830 +g958 +tp3054 +a(g428 +g2623 +tp3055 +a(g405 +g1221 +tp3056 +a(g428 +Vdone +p3057 +tp3058 +a(g830 +g958 +tp3059 +a(g405 +g1216 +tp3060 +a(g830 +g958 +tp3061 +a(g428 +Vref +p3062 +tp3063 +a(g830 +V\u000a +p3064 +tp3065 +a(g830 +V +p3066 +tp3067 +a(g428 +Vi' +p3068 +tp3069 +a(g405 +g1221 +tp3070 +a(g428 +VlastRef +p3071 +tp3072 +a(g830 +g958 +tp3073 +a(g405 +g1642 +tp3074 +a(g830 +g958 +tp3075 +a(g428 +Vref +p3076 +tp3077 +a(g830 +V\u000a +p3078 +tp3079 +a(g830 +V +p3080 +tp3081 +a(g405 +g1027 +tp3082 +a(g830 +V\u000a +p3083 +tp3084 +a(g830 +V +p3085 +tp3086 +a(g428 +Vmodifies +p3087 +tp3088 +a(g830 +g958 +tp3089 +a(g405 +g987 +tp3090 +a(g428 +Vpre +p3091 +tp3092 +a(g697 +g1072 +tp3093 +a(g830 +g958 +tp3094 +a(g428 +Vpost +p3095 +tp3096 +a(g697 +g1072 +tp3097 +a(g830 +g958 +tp3098 +a(g13 +Vthis +p3099 +tp3100 +a(g405 +g991 +tp3101 +a(g830 +V\u000a +p3102 +tp3103 +a(g830 +V +p3104 +tp3105 +a(g428 +Vallocates +p3106 +tp3107 +a(g830 +g958 +tp3108 +a(g405 +g987 +tp3109 +a(g428 +Vpre +p3110 +tp3111 +a(g697 +g1072 +tp3112 +a(g830 +g958 +tp3113 +a(g428 +Vpost +p3114 +tp3115 +a(g697 +g1072 +tp3116 +a(g830 +g958 +tp3117 +a(g900 +Vnone +p3118 +tp3119 +a(g405 +g991 +tp3120 +a(g830 +V\u000a +p3121 +tp3122 +a(g830 +V +p3123 +tp3124 +a(g428 +Vpre +p3125 +tp3126 +a(g405 +g1221 +tp3127 +a(g428 +Vviews +p3128 +tp3129 +a(g830 +g958 +tp3130 +a(g405 +g1642 +tp3131 +a(g830 +g958 +tp3132 +a(g428 +Vpost +p3133 +tp3134 +a(g405 +g1221 +tp3135 +a(g428 +Vviews +p3136 +tp3137 +a(g830 +V\u000a +p3138 +tp3139 +a(g830 +V +p3140 +tp3141 +a(g405 +g1027 +tp3142 +a(g830 +V\u000a +p3143 +tp3144 +a(g830 +V\u000a +p3145 +tp3146 +a(g13 +Vpred +p3147 +tp3148 +a(g830 +g958 +tp3149 +a(g428 +VIteratorRef +p3150 +tp3151 +a(g405 +g1221 +tp3152 +a(g428 +VhasNext +p3153 +tp3154 +a(g830 +g958 +tp3155 +a(g405 +g987 +tp3156 +a(g428 +g1202 +tp3157 +a(g697 +g1064 +tp3158 +a(g830 +g958 +tp3159 +a(g428 +VState +p3160 +tp3161 +a(g405 +g991 +tp3162 +a(g830 +g958 +tp3163 +a(g405 +g1025 +tp3164 +a(g830 +V\u000a +p3165 +tp3166 +a(g830 +V +p3167 +tp3168 +a(g13 +Vsome +p3169 +tp3170 +a(g830 +g958 +tp3171 +a(g428 +g1202 +tp3172 +a(g405 +g1221 +tp3173 +a(g428 +Vobj +p3174 +tp3175 +a(g405 +g987 +tp3176 +a(g13 +Vthis +p3177 +tp3178 +a(g405 +g991 +tp3179 +a(g405 +g1221 +tp3180 +a(g428 +Vleft +p3181 +tp3182 +a(g830 +V\u000a +p3183 +tp3184 +a(g830 +V +p3185 +tp3186 +a(g405 +g1027 +tp3187 +a(g830 +V\u000a +p3188 +tp3189 +a(g830 +V\u000a +p3190 +tp3191 +a(g13 +Vassert +p3192 +tp3193 +a(g830 +g958 +tp3194 +a(g428 +VzippishOK +p3195 +tp3196 +a(g830 +g958 +tp3197 +a(g405 +g1025 +tp3198 +a(g830 +V\u000a +p3199 +tp3200 +a(g830 +V +p3201 +tp3202 +a(g13 +Vall +p3203 +tp3204 +a(g830 +V\u000a +p3205 +tp3206 +a(g830 +V +p3207 +tp3208 +a(g428 +Vks +p3209 +tp3210 +a(g697 +g1072 +tp3211 +a(g830 +g958 +tp3212 +a(g428 +Vvs +p3213 +tp3214 +a(g697 +g1064 +tp3215 +a(g830 +g958 +tp3216 +a(g428 +VSetRef +p3217 +tp3218 +a(g697 +g1072 +tp3219 +a(g830 +V\u000a +p3220 +tp3221 +a(g830 +V +p3222 +tp3223 +a(g428 +Vm +p3224 +tp3225 +a(g697 +g1064 +tp3226 +a(g830 +g958 +tp3227 +a(g428 +VMapRef +p3228 +tp3229 +a(g697 +g1072 +tp3230 +a(g830 +V\u000a +p3231 +tp3232 +a(g830 +V +p3233 +tp3234 +a(g428 +Vki +p3235 +tp3236 +a(g697 +g1072 +tp3237 +a(g830 +g958 +tp3238 +a(g428 +Vvi +p3239 +tp3240 +a(g697 +g1064 +tp3241 +a(g830 +g958 +tp3242 +a(g428 +VIteratorRef +p3243 +tp3244 +a(g697 +g1072 +tp3245 +a(g830 +V\u000a +p3246 +tp3247 +a(g830 +V +p3248 +tp3249 +a(g428 +g2470 +tp3250 +a(g697 +g1072 +tp3251 +a(g830 +g958 +tp3252 +a(g428 +g1799 +tp3253 +a(g697 +g1064 +tp3254 +a(g830 +g958 +tp3255 +a(g428 +VRef +p3256 +tp3257 +a(g830 +g958 +tp3258 +a(g405 +g1209 +tp3259 +a(g830 +V\u000a +p3260 +tp3261 +a(g830 +V +p3262 +tp3263 +a(g13 +Vlet +p3264 +tp3265 +a(g830 +g958 +tp3266 +a(g428 +Vs0 +p3267 +tp3268 +a(g405 +g1642 +tp3269 +a(g428 +Vso +p3270 +tp3271 +a(g405 +g962 +tp3272 +a(g428 +Vfirst +p3273 +tp3274 +a(g697 +g1072 +tp3275 +a(g830 +V\u000a +p3276 +tp3277 +a(g830 +V +p3278 +tp3279 +a(g428 +Vs1 +p3280 +tp3281 +a(g405 +g1642 +tp3282 +a(g428 +Vso +p3283 +tp3284 +a(g405 +g962 +tp3285 +a(g428 +Vnext +p3286 +tp3287 +a(g405 +g987 +tp3288 +a(g428 +Vs0 +p3289 +tp3290 +a(g405 +g991 +tp3291 +a(g697 +g1072 +tp3292 +a(g830 +V\u000a +p3293 +tp3294 +a(g830 +V +p3295 +tp3296 +a(g428 +Vs2 +p3297 +tp3298 +a(g405 +g1642 +tp3299 +a(g428 +Vso +p3300 +tp3301 +a(g405 +g962 +tp3302 +a(g428 +Vnext +p3303 +tp3304 +a(g405 +g987 +tp3305 +a(g428 +Vs1 +p3306 +tp3307 +a(g405 +g991 +tp3308 +a(g697 +g1072 +tp3309 +a(g830 +V\u000a +p3310 +tp3311 +a(g830 +V +p3312 +tp3313 +a(g428 +Vs3 +p3314 +tp3315 +a(g405 +g1642 +tp3316 +a(g428 +Vso +p3317 +tp3318 +a(g405 +g962 +tp3319 +a(g428 +Vnext +p3320 +tp3321 +a(g405 +g987 +tp3322 +a(g428 +Vs2 +p3323 +tp3324 +a(g405 +g991 +tp3325 +a(g697 +g1072 +tp3326 +a(g830 +V\u000a +p3327 +tp3328 +a(g830 +V +p3329 +tp3330 +a(g428 +Vs4 +p3331 +tp3332 +a(g405 +g1642 +tp3333 +a(g428 +Vso +p3334 +tp3335 +a(g405 +g962 +tp3336 +a(g428 +Vnext +p3337 +tp3338 +a(g405 +g987 +tp3339 +a(g428 +Vs3 +p3340 +tp3341 +a(g405 +g991 +tp3342 +a(g697 +g1072 +tp3343 +a(g830 +V\u000a +p3344 +tp3345 +a(g830 +V +p3346 +tp3347 +a(g428 +Vs5 +p3348 +tp3349 +a(g405 +g1642 +tp3350 +a(g428 +Vso +p3351 +tp3352 +a(g405 +g962 +tp3353 +a(g428 +Vnext +p3354 +tp3355 +a(g405 +g987 +tp3356 +a(g428 +Vs4 +p3357 +tp3358 +a(g405 +g991 +tp3359 +a(g697 +g1072 +tp3360 +a(g830 +V\u000a +p3361 +tp3362 +a(g830 +V +p3363 +tp3364 +a(g428 +Vs6 +p3365 +tp3366 +a(g405 +g1642 +tp3367 +a(g428 +Vso +p3368 +tp3369 +a(g405 +g962 +tp3370 +a(g428 +Vnext +p3371 +tp3372 +a(g405 +g987 +tp3373 +a(g428 +Vs5 +p3374 +tp3375 +a(g405 +g991 +tp3376 +a(g697 +g1072 +tp3377 +a(g830 +V\u000a +p3378 +tp3379 +a(g830 +V +p3380 +tp3381 +a(g428 +Vs7 +p3382 +tp3383 +a(g405 +g1642 +tp3384 +a(g428 +Vso +p3385 +tp3386 +a(g405 +g962 +tp3387 +a(g428 +Vnext +p3388 +tp3389 +a(g405 +g987 +tp3390 +a(g428 +Vs6 +p3391 +tp3392 +a(g405 +g991 +tp3393 +a(g830 +g958 +tp3394 +a(g405 +g1209 +tp3395 +a(g830 +V\u000a +p3396 +tp3397 +a(g830 +V +p3398 +tp3399 +a(g405 +g1647 +tp3400 +a(g405 +g1025 +tp3401 +a(g830 +V\u000a +p3402 +tp3403 +a(g830 +V +p3404 +tp3405 +a(g428 +Vprecondition +p3406 +tp3407 +a(g830 +g958 +tp3408 +a(g405 +g987 +tp3409 +a(g428 +Vs0 +p3410 +tp3411 +a(g697 +g1072 +tp3412 +a(g830 +g958 +tp3413 +a(g428 +Vks +p3414 +tp3415 +a(g697 +g1072 +tp3416 +a(g830 +g958 +tp3417 +a(g428 +Vvs +p3418 +tp3419 +a(g697 +g1072 +tp3420 +a(g830 +g958 +tp3421 +a(g428 +g3224 +tp3422 +a(g405 +g991 +tp3423 +a(g830 +V\u000a +p3424 +tp3425 +a(g830 +V +p3426 +tp3427 +a(g13 +Vno +p3428 +tp3429 +a(g830 +g958 +tp3430 +a(g428 +Vs0 +p3431 +tp3432 +a(g405 +g1221 +tp3433 +a(g428 +Vdirty +p3434 +tp3435 +a(g830 +V\u000a +p3436 +tp3437 +a(g830 +V +p3438 +tp3439 +a(g428 +Vks +p3440 +tp3441 +a(g405 +g1221 +tp3442 +a(g428 +Viterator +p3443 +tp3444 +a(g830 +g958 +tp3445 +a(g405 +g987 +tp3446 +a(g428 +Vs0 +p3447 +tp3448 +a(g697 +g1072 +tp3449 +a(g830 +g958 +tp3450 +a(g428 +Vs1 +p3451 +tp3452 +a(g697 +g1072 +tp3453 +a(g830 +g958 +tp3454 +a(g428 +Vki +p3455 +tp3456 +a(g405 +g991 +tp3457 +a(g830 +V\u000a +p3458 +tp3459 +a(g830 +V +p3460 +tp3461 +a(g428 +Vvs +p3462 +tp3463 +a(g405 +g1221 +tp3464 +a(g428 +Viterator +p3465 +tp3466 +a(g830 +g958 +tp3467 +a(g405 +g987 +tp3468 +a(g428 +Vs1 +p3469 +tp3470 +a(g697 +g1072 +tp3471 +a(g830 +g958 +tp3472 +a(g428 +Vs2 +p3473 +tp3474 +a(g697 +g1072 +tp3475 +a(g830 +g958 +tp3476 +a(g428 +Vvi +p3477 +tp3478 +a(g405 +g991 +tp3479 +a(g830 +V\u000a +p3480 +tp3481 +a(g830 +V +p3482 +tp3483 +a(g428 +Vki +p3484 +tp3485 +a(g405 +g1221 +tp3486 +a(g428 +VhasNext +p3487 +tp3488 +a(g830 +g958 +tp3489 +a(g405 +g987 +tp3490 +a(g428 +Vs2 +p3491 +tp3492 +a(g405 +g991 +tp3493 +a(g830 +V\u000a +p3494 +tp3495 +a(g830 +V +p3496 +tp3497 +a(g428 +Vvi +p3498 +tp3499 +a(g405 +g1221 +tp3500 +a(g428 +VhasNext +p3501 +tp3502 +a(g830 +g958 +tp3503 +a(g405 +g987 +tp3504 +a(g428 +Vs2 +p3505 +tp3506 +a(g405 +g991 +tp3507 +a(g830 +V\u000a +p3508 +tp3509 +a(g830 +V +p3510 +tp3511 +a(g428 +Vki +p3512 +tp3513 +a(g405 +g1221 +tp3514 +a(g13 +Vthis +p3515 +tp3516 +a(g405 +g962 +tp3517 +a(g428 +Vnext +p3518 +tp3519 +a(g830 +g958 +tp3520 +a(g405 +g987 +tp3521 +a(g428 +Vs2 +p3522 +tp3523 +a(g697 +g1072 +tp3524 +a(g830 +g958 +tp3525 +a(g428 +Vs3 +p3526 +tp3527 +a(g697 +g1072 +tp3528 +a(g830 +g958 +tp3529 +a(g428 +g2470 +tp3530 +a(g405 +g991 +tp3531 +a(g830 +V\u000a +p3532 +tp3533 +a(g830 +V +p3534 +tp3535 +a(g428 +Vvi +p3536 +tp3537 +a(g405 +g1221 +tp3538 +a(g13 +Vthis +p3539 +tp3540 +a(g405 +g962 +tp3541 +a(g428 +Vnext +p3542 +tp3543 +a(g830 +g958 +tp3544 +a(g405 +g987 +tp3545 +a(g428 +Vs3 +p3546 +tp3547 +a(g697 +g1072 +tp3548 +a(g830 +g958 +tp3549 +a(g428 +Vs4 +p3550 +tp3551 +a(g697 +g1072 +tp3552 +a(g830 +g958 +tp3553 +a(g428 +g1799 +tp3554 +a(g405 +g991 +tp3555 +a(g830 +V\u000a +p3556 +tp3557 +a(g830 +V +p3558 +tp3559 +a(g428 +g3224 +tp3560 +a(g405 +g1221 +tp3561 +a(g428 +Vput +p3562 +tp3563 +a(g830 +g958 +tp3564 +a(g405 +g987 +tp3565 +a(g428 +Vs4 +p3566 +tp3567 +a(g697 +g1072 +tp3568 +a(g830 +g958 +tp3569 +a(g428 +Vs5 +p3570 +tp3571 +a(g697 +g1072 +tp3572 +a(g830 +g958 +tp3573 +a(g428 +g2470 +tp3574 +a(g697 +g1072 +tp3575 +a(g830 +g958 +tp3576 +a(g428 +g1799 +tp3577 +a(g405 +g991 +tp3578 +a(g830 +V\u000a +p3579 +tp3580 +a(g830 +V +p3581 +tp3582 +a(g428 +Vki +p3583 +tp3584 +a(g405 +g1221 +tp3585 +a(g428 +Vremove +p3586 +tp3587 +a(g830 +g958 +tp3588 +a(g405 +g987 +tp3589 +a(g428 +Vs5 +p3590 +tp3591 +a(g697 +g1072 +tp3592 +a(g830 +g958 +tp3593 +a(g428 +Vs6 +p3594 +tp3595 +a(g405 +g991 +tp3596 +a(g830 +V\u000a +p3597 +tp3598 +a(g830 +V +p3599 +tp3600 +a(g428 +Vvi +p3601 +tp3602 +a(g405 +g1221 +tp3603 +a(g428 +Vremove +p3604 +tp3605 +a(g830 +g958 +tp3606 +a(g405 +g987 +tp3607 +a(g428 +Vs6 +p3608 +tp3609 +a(g697 +g1072 +tp3610 +a(g830 +g958 +tp3611 +a(g428 +Vs7 +p3612 +tp3613 +a(g405 +g991 +tp3614 +a(g830 +V\u000a +p3615 +tp3616 +a(g830 +V +p3617 +tp3618 +a(g405 +g1027 +tp3619 +a(g830 +g958 +tp3620 +a(g405 +g1642 +tp3621 +a(g405 +g1840 +tp3622 +a(g830 +g958 +tp3623 +a(g13 +Vno +p3624 +tp3625 +a(g830 +g958 +tp3626 +a(g428 +VState +p3627 +tp3628 +a(g405 +g1221 +tp3629 +a(g428 +Vdirty +p3630 +tp3631 +a(g405 +g1657 +tp3632 +a(g830 +V\u000a +p3633 +tp3634 +a(g830 +V +p3635 +tp3636 +a(g405 +g1027 +tp3637 +a(g830 +V\u000a +p3638 +tp3639 +a(g830 +V\u000a +p3640 +tp3641 +a(g13 +Vpred +p3642 +tp3643 +a(g830 +g958 +tp3644 +a(g428 +Vprecondition +p3645 +tp3646 +a(g830 +g958 +tp3647 +a(g405 +g987 +tp3648 +a(g428 +Vpre +p3649 +tp3650 +a(g697 +g1064 +tp3651 +a(g830 +g958 +tp3652 +a(g428 +VState +p3653 +tp3654 +a(g697 +g1072 +tp3655 +a(g830 +g958 +tp3656 +a(g428 +Vks +p3657 +tp3658 +a(g697 +g1072 +tp3659 +a(g830 +g958 +tp3660 +a(g428 +Vvs +p3661 +tp3662 +a(g697 +g1072 +tp3663 +a(g830 +g958 +tp3664 +a(g428 +g3224 +tp3665 +a(g697 +g1064 +tp3666 +a(g830 +g958 +tp3667 +a(g428 +VRef +p3668 +tp3669 +a(g405 +g991 +tp3670 +a(g830 +g958 +tp3671 +a(g405 +g1025 +tp3672 +a(g830 +V\u000a +p3673 +tp3674 +a(g830 +V +p3675 +tp3676 +a(g749 +V// all these conditions and other errors discovered in scope of 6 but 8,3 +p3677 +tp3678 +a(g830 +V\u000a +p3679 +tp3680 +a(g830 +V +p3681 +tp3682 +a(g749 +V// in initial state, must have view invariants hold +p3683 +tp3684 +a(g830 +V\u000a +p3685 +tp3686 +a(g830 +V +p3687 +tp3688 +a(g405 +g1647 +tp3689 +a(g13 +Vall +p3690 +tp3691 +a(g830 +g958 +tp3692 +a(g428 +g1810 +tp3693 +a(g697 +g1064 +tp3694 +a(g830 +g958 +tp3695 +a(g428 +VViewType +p3696 +tp3697 +a(g697 +g1072 +tp3698 +a(g830 +g958 +tp3699 +a(g428 +g1791 +tp3700 +a(g697 +g1072 +tp3701 +a(g830 +g958 +tp3702 +a(g428 +g1799 +tp3703 +a(g697 +g1064 +tp3704 +a(g830 +g958 +tp3705 +a(g428 +Vpre +p3706 +tp3707 +a(g405 +g1221 +tp3708 +a(g428 +Vrefs +p3709 +tp3710 +a(g830 +g958 +tp3711 +a(g405 +g1209 +tp3712 +a(g830 +V\u000a +p3713 +tp3714 +a(g830 +V +p3715 +tp3716 +a(g428 +g1791 +tp3717 +a(g405 +V-> +p3718 +tp3719 +a(g428 +g1799 +tp3720 +a(g830 +g958 +tp3721 +a(g419 +Vin +p3722 +tp3723 +a(g830 +g958 +tp3724 +a(g428 +Vpre +p3725 +tp3726 +a(g405 +g1221 +tp3727 +a(g428 +Vviews +p3728 +tp3729 +a(g405 +g987 +tp3730 +a(g428 +g1810 +tp3731 +a(g405 +g991 +tp3732 +a(g830 +g958 +tp3733 +a(g405 +g1642 +tp3734 +a(g405 +g1840 +tp3735 +a(g830 +g958 +tp3736 +a(g428 +VviewFrame +p3737 +tp3738 +a(g830 +g958 +tp3739 +a(g405 +g987 +tp3740 +a(g428 +g1810 +tp3741 +a(g697 +g1072 +tp3742 +a(g830 +g958 +tp3743 +a(g428 +Vpre +p3744 +tp3745 +a(g405 +g1221 +tp3746 +a(g428 +Vobj +p3747 +tp3748 +a(g405 +g987 +tp3749 +a(g428 +g1799 +tp3750 +a(g405 +g991 +tp3751 +a(g697 +g1072 +tp3752 +a(g830 +g958 +tp3753 +a(g428 +Vpre +p3754 +tp3755 +a(g405 +g1221 +tp3756 +a(g428 +Vobj +p3757 +tp3758 +a(g405 +g987 +tp3759 +a(g428 +g1799 +tp3760 +a(g405 +g991 +tp3761 +a(g697 +g1072 +tp3762 +a(g830 +g958 +tp3763 +a(g428 +Vpre +p3764 +tp3765 +a(g405 +g1221 +tp3766 +a(g428 +Vobj +p3767 +tp3768 +a(g405 +g987 +tp3769 +a(g428 +g1791 +tp3770 +a(g405 +g991 +tp3771 +a(g405 +g991 +tp3772 +a(g405 +g1657 +tp3773 +a(g830 +V\u000a +p3774 +tp3775 +a(g830 +V +p3776 +tp3777 +a(g749 +V// sets are not aliases +p3778 +tp3779 +a(g830 +V\u000a +p3780 +tp3781 +a(g749 +V-- ks != vs +p3782 +tp3783 +a(g830 +V\u000a +p3784 +tp3785 +a(g830 +V +p3786 +tp3787 +a(g749 +V// sets are not views of map +p3788 +tp3789 +a(g830 +V\u000a +p3790 +tp3791 +a(g749 +V-- no (ks+vs)->m & ViewType.pre.views +p3792 +tp3793 +a(g830 +V\u000a +p3794 +tp3795 +a(g830 +V +p3796 +tp3797 +a(g749 +V// no iterator currently on either set +p3798 +tp3799 +a(g830 +V\u000a +p3800 +tp3801 +a(g749 +V-- no Ref->(ks+vs) & ViewType.pre.views +p3802 +tp3803 +a(g830 +V\u000a +p3804 +tp3805 +a(g830 +V +p3806 +tp3807 +a(g405 +g1027 +tp3808 +a(g830 +V\u000a +p3809 +tp3810 +a(g830 +V\u000a +p3811 +tp3812 +a(g13 +Vcheck +p3813 +tp3814 +a(g830 +g958 +tp3815 +a(g428 +VzippishOK +p3816 +tp3817 +a(g830 +g958 +tp3818 +a(g13 +Vfor +p3819 +tp3820 +a(g830 +g958 +tp3821 +a(g27 +V6 +p3822 +tp3823 +a(g830 +g958 +tp3824 +a(g13 +Vbut +p3825 +tp3826 +a(g830 +g958 +tp3827 +a(g27 +V8 +p3828 +tp3829 +a(g830 +g958 +tp3830 +a(g428 +VState +p3831 +tp3832 +a(g697 +g1072 +tp3833 +a(g830 +g958 +tp3834 +a(g27 +V3 +p3835 +tp3836 +a(g830 +g958 +tp3837 +a(g428 +VViewType +p3838 +tp3839 +a(g830 +g958 +tp3840 +a(g13 +Vexpect +p3841 +tp3842 +a(g830 +g958 +tp3843 +a(g27 +V1 +p3844 +tp3845 +a(g830 +V\u000a +p3846 +tp3847 +a(g830 +V\u000a +p3848 +tp3849 +a(g780 +V/** \u000a * experiment with controlling heap size\u000a */ +p3850 +tp3851 +a(g830 +V\u000a +p3852 +tp3853 +a(g13 +Vfact +p3854 +tp3855 +a(g830 +g958 +tp3856 +a(g405 +g1025 +tp3857 +a(g13 +Vall +p3858 +tp3859 +a(g830 +g958 +tp3860 +a(g428 +g1202 +tp3861 +a(g697 +g1064 +tp3862 +a(g830 +g958 +tp3863 +a(g428 +VState +p3864 +tp3865 +a(g830 +g958 +tp3866 +a(g405 +g1209 +tp3867 +a(g830 +g958 +tp3868 +a(g405 +V# +p3869 +tp3870 +a(g428 +g1202 +tp3871 +a(g405 +g1221 +tp3872 +a(g428 +Vobj +p3873 +tp3874 +a(g830 +g958 +tp3875 +a(g405 +g2215 +tp3876 +a(g830 +g958 +tp3877 +a(g27 +V5 +p3878 +tp3879 +a(g405 +g1027 +tp3880 +a(g830 +V\u000a +p3881 +tp3882 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.bat b/tests/examplefiles/output/example.bat new file mode 100644 index 0000000..38e2d39 --- /dev/null +++ b/tests/examplefiles/output/example.bat @@ -0,0 +1,8736 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV@ +p956 +tp957 +a(g892 +V +p958 +tp959 +a(g7 +V@@ +p960 +tp961 +a(g892 +g958 +tp962 +a(g810 +Vecho +p963 +tp964 +a(g892 +g958 +tp965 +a(g892 +Voff +p966 +tp967 +a(g892 +V +p968 +tp969 +a(g892 +V\u000a +p970 +tp971 +a(g892 +g968 +tp972 +a(g7 +V: +p973 +tp974 +a(g729 +V:This is an example of the Windows batch language. +p975 +tp976 +a(g892 +g968 +tp977 +a(g892 +V\u000a\u000a +p978 +tp979 +a(g810 +Vsetlocal +p980 +tp981 +a(g892 +g958 +tp982 +a(g892 +VEnableDelayedExpansion +p983 +tp984 +a(g892 +g968 +tp985 +a(g892 +V\u000a +p986 +tp987 +a(g7 +V( +p988 +tp989 +a(g810 +Vcls +p990 +tp991 +a(g892 +g968 +tp992 +a(g7 +V) +p993 +tp994 +a(g892 +V\u000a +p995 +tp996 +a(g810 +Vset +p997 +tp998 +a(g810 +V/a +p999 +tp1000 +a(g200 +V^\u000a_ +p1001 +tp1002 +a(g428 +Vte +p1003 +tp1004 +a(g200 +V^\u000as +p1005 +tp1006 +a(g428 +Vts +p1007 +tp1008 +a(g200 +V^\u000a= +p1009 +tp1010 +a(g428 +V0 +p1011 +tp1012 +a(g7 +V, +p1013 +tp1014 +a(g200 +V^\u000a_ +p1015 +tp1016 +a(g144 +V" +p1017 +tp1018 +a(g144 +Vpa +p1019 +tp1020 +a(g144 +g1017 +tp1021 +a(g200 +V^\u000as +p1022 +tp1023 +a(g428 +Vsed +p1024 +tp1025 +a(g400 +V= +p1026 +tp1027 +a(g22 +g1011 +tp1028 +a(g200 +V^\u000a0 +p1029 +tp1030 +a(g892 +g968 +tp1031 +a(g892 +V\u000a +p1032 +tp1033 +a(g810 +Vset +p1034 +tp1035 +a(g428 +V,/a title +p1036 +tp1037 +a(g7 +g1026 +tp1038 +a(g892 +g958 +tp1039 +a(g892 +VBatch +p1040 +tp1041 +a(g892 +g958 +tp1042 +a(g892 +Vtest +p1043 +tp1044 +a(g892 +g968 +tp1045 +a(g892 +V\u000a +p1046 +tp1047 +a(g810 +Vtitle +p1048 +tp1049 +a(g892 +g1026 +tp1050 +a(g428 +V%,/a title% +p1051 +tp1052 +a(g892 +g968 +tp1053 +a(g892 +V\u000a +p1054 +tp1055 +a(g810 +Vecho +p1056 +tp1057 +a(g200 +V^ +p1058 +tp1059 +a(g428 +V%~nx0 +p1060 +tp1061 +a(g892 +g1013 +tp1062 +a(g200 +V^ +p1063 +tp1064 +a(g892 +Vthe +p1065 +tp1066 +a(g7 +V> +p1067 +tp1068 +a(g892 +g1013 +tp1069 +a(g892 +Vcon +p1070 +tp1071 +a(g892 +g958 +tp1072 +a(g892 +Vcomprehensive +p1073 +tp1074 +a(g892 +g958 +tp1075 +a(g892 +Vtesting +p1076 +tp1077 +a(g892 +g958 +tp1078 +a(g892 +Vsuite +p1079 +tp1080 +a(g892 +g968 +tp1081 +a(g892 +V\u000a +p1082 +tp1083 +a(g810 +Vver +p1084 +tp1085 +a(g892 +g968 +tp1086 +a(g892 +V\u000a +p1087 +tp1088 +a(g810 +Vecho +p1089 +tp1090 +a(g892 +g988 +tp1091 +a(g892 +g968 +tp1092 +a(g892 +V\u000a\u000a +p1093 +tp1094 +a(g810 +Vif +p1095 +tp1096 +a(g892 +g958 +tp1097 +a(g810 +Vcmdextversion +p1098 +tp1099 +a(g892 +g958 +tp1100 +a(g22 +V2 +p1101 +tp1102 +a(g892 +g958 +tp1103 +a(g810 +Vgoto +p1104 +tp1105 +a(g892 +g958 +tp1106 +a(g892 +g1026 +tp1107 +a(g584 +g993 +tp1108 +a(g892 +V\u000a +p1109 +tp1110 +a(g810 +Vgoto +p1111 +tp1112 +a(g892 +g958 +tp1113 +a(g7 +g973 +tp1114 +a(g584 +Vfail +p1115 +tp1116 +a(g892 +V\u000a\u000a +p1117 +tp1118 +a(g892 +g968 +tp1119 +a(g892 +V +p1120 +tp1121 +a(g7 +g973 +tp1122 +a(g584 +g993 +tp1123 +a(g892 +g968 +tp1124 +a(g892 +V\u000a +p1125 +tp1126 +a(g810 +Vecho +p1127 +tp1128 +a(g892 +g958 +tp1129 +a(g892 +VStarting +p1130 +tp1131 +a(g892 +g958 +tp1132 +a(g892 +Vtests +p1133 +tp1134 +a(g892 +g958 +tp1135 +a(g892 +Vat: +p1136 +tp1137 +a(g892 +g968 +tp1138 +a(g892 +V\u000a +p1139 +tp1140 +a(g810 +Vdate +p1141 +tp1142 +a(g892 +V/t +p1143 +tp1144 +a(g892 +g958 +tp1145 +a(g7 +V& +p1146 +tp1147 +a(g892 +g958 +tp1148 +a(g810 +Vtime +p1149 +tp1150 +a(g892 +V/t +p1151 +tp1152 +a(g892 +g968 +tp1153 +a(g892 +V\u000a +p1154 +tp1155 +a(g810 +Vecho +p1156 +tp1157 +a(g892 +g988 +tp1158 +a(g892 +g968 +tp1159 +a(g892 +V\u000a\u000a +p1160 +tp1161 +a(g810 +Vif +p1162 +tp1163 +a(g892 +g958 +tp1164 +a(g892 +V' +p1165 +tp1166 +a(g428 +V%* +p1167 +tp1168 +a(g892 +g1165 +tp1169 +a(g400 +V== +p1170 +tp1171 +a(g892 +V'--help' +p1172 +tp1173 +a(g892 +g958 +tp1174 +a(g7 +g988 +tp1175 +a(g892 +V\u000a +p1176 +tp1177 +a(g892 +g958 +tp1178 +a(g892 +g958 +tp1179 +a(g810 +Vecho +p1180 +tp1181 +a(g892 +g958 +tp1182 +a(g892 +VUsage: +p1183 +tp1184 +a(g892 +g958 +tp1185 +a(g428 +V%~nx0 +p1186 +tp1187 +a(g892 +g958 +tp1188 +a(g892 +V[--help] +p1189 +tp1190 +a(g892 +g968 +tp1191 +a(g892 +V\u000a +p1192 +tp1193 +a(g892 +g958 +tp1194 +a(g892 +g958 +tp1195 +a(g810 +Vecho +p1196 +tp1197 +a(g892 +g958 +tp1198 +a(g892 +g958 +tp1199 +a(g892 +g958 +tp1200 +a(g892 +V--help: +p1201 +tp1202 +a(g892 +g958 +tp1203 +a(g892 +VDisplay +p1204 +tp1205 +a(g892 +g958 +tp1206 +a(g892 +Vthis +p1207 +tp1208 +a(g892 +g958 +tp1209 +a(g892 +Vhelp +p1210 +tp1211 +a(g892 +g958 +tp1212 +a(g892 +Vmessage +p1213 +tp1214 +a(g892 +g958 +tp1215 +a(g892 +Vand +p1216 +tp1217 +a(g892 +g958 +tp1218 +a(g892 +Vquit. +p1219 +tp1220 +a(g892 +g968 +tp1221 +a(g892 +V\u000a +p1222 +tp1223 +a(g892 +g958 +tp1224 +a(g892 +g958 +tp1225 +a(g810 +Vshift +p1226 +tp1227 +a(g892 +g968 +tp1228 +a(g892 +V\u000a +p1229 +tp1230 +a(g892 +g958 +tp1231 +a(g892 +g958 +tp1232 +a(g810 +Vgoto +p1233 +tp1234 +a(g892 +g958 +tp1235 +a(g7 +g973 +tp1236 +a(g584 +Vexit +p1237 +tp1238 +a(g729 +V comment +p1239 +tp1240 +a(g7 +g993 +tp1241 +a(g892 +g958 +tp1242 +a(g810 +Velse +p1243 +tp1244 +a(g892 +g958 +tp1245 +a(g729 +Vrem +p1246 +tp1247 +a(g892 +g968 +tp1248 +a(g892 +V\u000a\u000a +p1249 +tp1250 +a(g7 +g988 +tp1251 +a(g810 +Vcall +p1252 +tp1253 +a(g892 +g958 +tp1254 +a(g7 +g973 +tp1255 +a(g584 +Vcomments +p1256 +tp1257 +a(g7 +g993 +tp1258 +a(g892 +V\u000a +p1259 +tp1260 +a(g810 +Vcall +p1261 +tp1262 +a(g892 +g958 +tp1263 +a(g7 +g973 +tp1264 +a(g7 +g973 +tp1265 +a(g584 +Vio +p1266 +tp1267 +a(g892 +V+x +p1268 +tp1269 +a(g892 +g968 +tp1270 +a(g892 +V\u000a +p1271 +tp1272 +a(g810 +Vcall +p1273 +tp1274 +a(g7 +g973 +tp1275 +a(g584 +Vcontrol +p1276 +tp1277 +a(g892 +V:x +p1278 +tp1279 +a(g892 +g968 +tp1280 +a(g892 +V\u000a +p1281 +tp1282 +a(g810 +Vcall +p1283 +tp1284 +a(g7 +g973 +tp1285 +a(g7 +g973 +tp1286 +a(g584 +Vinternal +p1287 +tp1288 +a(g892 +g958 +tp1289 +a(g892 +Vx +p1290 +tp1291 +a(g892 +g968 +tp1292 +a(g892 +V\u000a\u000a +p1293 +tp1294 +a(g892 +g968 +tp1295 +a(g7 +g973 +tp1296 +a(g584 +Vexit +p1297 +tp1298 +a(g892 +g968 +tp1299 +a(g892 +V\u000a +p1300 +tp1301 +a(g810 +Vif +p1302 +tp1303 +a(g892 +g958 +tp1304 +a(g810 +V/i +p1305 +tp1306 +a(g892 +g958 +tp1307 +a(g428 +V!_tests! +p1308 +tp1309 +a(g400 +V== +p1310 +tp1311 +a(g428 +V!_passed! +p1312 +tp1313 +a(g892 +g958 +tp1314 +a(g7 +g988 +tp1315 +a(g892 +V\u000a +p1316 +tp1317 +a(g892 +g958 +tp1318 +a(g892 +g958 +tp1319 +a(g810 +Vcolor +p1320 +tp1321 +a(g892 +g958 +tp1322 +a(g892 +g1011 +tp1323 +a(g892 +g1101 +tp1324 +a(g892 +g968 +tp1325 +a(g892 +V\u000a +p1326 +tp1327 +a(g7 +g993 +tp1328 +a(g892 +g958 +tp1329 +a(g810 +Velse +p1330 +tp1331 +a(g892 +g958 +tp1332 +a(g810 +Vif +p1333 +tp1334 +a(g892 +g958 +tp1335 +a(g892 +V!* +p1336 +tp1337 +a(g400 +V== +p1338 +tp1339 +a(g892 +V* +p1340 +tp1341 +a(g892 +g958 +tp1342 +a(g7 +g988 +tp1343 +a(g892 +V\u000a +p1344 +tp1345 +a(g892 +g958 +tp1346 +a(g892 +g958 +tp1347 +a(g810 +Vcolor +p1348 +tp1349 +a(g892 +g958 +tp1350 +a(g892 +Vc +p1351 +tp1352 +a(g892 +g968 +tp1353 +a(g892 +V\u000a +p1354 +tp1355 +a(g892 +g958 +tp1356 +a(g892 +g958 +tp1357 +a(g810 +Vif +p1358 +tp1359 +a(g892 +g958 +tp1360 +a(g810 +Vnot +p1361 +tp1362 +a(g892 +g958 +tp1363 +a(g810 +Vdefined +p1364 +tp1365 +a(g892 +g958 +tp1366 +a(g428 +V_exit +p1367 +tp1368 +a(g200 +V^\u000aC +p1369 +tp1370 +a(g428 +Vode +p1371 +tp1372 +a(g892 +g958 +tp1373 +a(g810 +Vset +p1374 +tp1375 +a(g892 +g958 +tp1376 +a(g428 +V_exit +p1377 +tp1378 +a(g200 +V^\u000aC +p1379 +tp1380 +a(g428 +Vode +p1381 +tp1382 +a(g7 +g1026 +tp1383 +a(g892 +V1 +p1384 +tp1385 +a(g892 +g968 +tp1386 +a(g892 +V\u000a +p1387 +tp1388 +a(g7 +g993 +tp1389 +a(g892 +V\u000a +p1390 +tp1391 +a(g810 +Vset +p1392 +tp1393 +a(g892 +g958 +tp1394 +a(g428 +V_percentage +p1395 +tp1396 +a(g7 +g1026 +tp1397 +a(g892 +VNaN +p1398 +tp1399 +a(g892 +g968 +tp1400 +a(g892 +V\u000a +p1401 +tp1402 +a(g810 +Vif +p1403 +tp1404 +a(g892 +g958 +tp1405 +a(g810 +Vdefined +p1406 +tp1407 +a(g892 +g958 +tp1408 +a(g428 +V_tests +p1409 +tp1410 +a(g892 +g958 +tp1411 +a(g7 +g988 +tp1412 +a(g892 +V\u000a +p1413 +tp1414 +a(g892 +g958 +tp1415 +a(g892 +g958 +tp1416 +a(g810 +Vif +p1417 +tp1418 +a(g892 +g958 +tp1419 +a(g428 +V!_tests! +p1420 +tp1421 +a(g892 +g958 +tp1422 +a(g414 +Vneq +p1423 +tp1424 +a(g892 +g958 +tp1425 +a(g892 +g1011 +tp1426 +a(g892 +g958 +tp1427 +a(g7 +g988 +tp1428 +a(g810 +Vset +p1429 +tp1430 +a(g810 +V/a +p1431 +tp1432 +a(g428 +V_percentage +p1433 +tp1434 +a(g400 +g1026 +tp1435 +a(g22 +V100 +p1436 +tp1437 +a(g400 +g1340 +tp1438 +a(g428 +V_passed +p1439 +tp1440 +a(g400 +V/ +p1441 +tp1442 +a(g428 +V_tests +p1443 +tp1444 +a(g892 +g968 +tp1445 +a(g7 +g993 +tp1446 +a(g892 +V\u000a +p1447 +tp1448 +a(g7 +g993 +tp1449 +a(g892 +V\u000a +p1450 +tp1451 +a(g810 +Vecho +p1452 +tp1453 +a(g892 +g988 +tp1454 +a(g892 +g968 +tp1455 +a(g892 +V\u000a +p1456 +tp1457 +a(g810 +Vif +p1458 +tp1459 +a(g892 +g958 +tp1460 +a(g428 +V!_percentage! +p1461 +tp1462 +a(g400 +V== +p1463 +tp1464 +a(g892 +VNaN +p1465 +tp1466 +a(g892 +g958 +tp1467 +a(g7 +g988 +tp1468 +a(g892 +g958 +tp1469 +a(g810 +Vecho +p1470 +tp1471 +a(g892 +V(There +p1472 +tp1473 +a(g892 +g958 +tp1474 +a(g892 +Vwere +p1475 +tp1476 +a(g892 +g958 +tp1477 +a(g892 +Vno +p1478 +tp1479 +a(g892 +g958 +tp1480 +a(g892 +Vtests +p1481 +tp1482 +a(g200 +V^^! +p1483 +tp1484 +a(g892 +g958 +tp1485 +a(g7 +g1146 +tp1486 +a(g892 +g958 +tp1487 +a(g810 +Vcolor +p1488 +tp1489 +a(g892 +g958 +tp1490 +a(g892 +Ve +p1491 +tp1492 +a(g892 +g968 +tp1493 +a(g892 +V\u000a +p1494 +tp1495 +a(g7 +g993 +tp1496 +a(g892 +g958 +tp1497 +a(g810 +Velse +p1498 +tp1499 +a(g892 +g958 +tp1500 +a(g7 +g988 +tp1501 +a(g892 +g958 +tp1502 +a(g810 +Vecho +p1503 +tp1504 +a(g892 +g958 +tp1505 +a(g892 +VTests +p1506 +tp1507 +a(g892 +g958 +tp1508 +a(g892 +Vpassed: +p1509 +tp1510 +a(g892 +g958 +tp1511 +a(g428 +V%_passed% +p1512 +tp1513 +a(g892 +g1441 +tp1514 +a(g428 +V%_tests% +p1515 +tp1516 +a(g892 +g958 +tp1517 +a(g892 +g988 +tp1518 +a(g428 +V%_percentage% +p1519 +tp1520 +a(g200 +V%% +p1521 +tp1522 +a(g200 +V^) +p1523 +tp1524 +a(g892 +g958 +tp1525 +a(g892 +g968 +tp1526 +a(g7 +g993 +tp1527 +a(g892 +V\u000a +p1528 +tp1529 +a(g810 +Vpause +p1530 +tp1531 +a(g892 +g968 +tp1532 +a(g892 +V\u000a +p1533 +tp1534 +a(g810 +Vcolor +p1535 +tp1536 +a(g892 +g968 +tp1537 +a(g892 +V\u000a +p1538 +tp1539 +a(g810 +Vtitle +p1540 +tp1541 +a(g892 +g968 +tp1542 +a(g892 +V\u000a +p1543 +tp1544 +a(g810 +Vendlocal +p1545 +tp1546 +a(g892 +g968 +tp1547 +a(g892 +V\u000a +p1548 +tp1549 +a(g810 +Vexit +p1550 +tp1551 +a(g892 +g958 +tp1552 +a(g892 +V/b +p1553 +tp1554 +a(g892 +g958 +tp1555 +a(g428 +V%_exitCode% +p1556 +tp1557 +a(g892 +g968 +tp1558 +a(g892 +V\u000a\u000a +p1559 +tp1560 +a(g892 +g968 +tp1561 +a(g892 +g1290 +tp1562 +a(g7 +g973 +tp1563 +a(g584 +Vfail +p1564 +tp1565 +a(g892 +g968 +tp1566 +a(g892 +V\u000a +p1567 +tp1568 +a(g729 +Vrem This should never happen. +p1569 +tp1570 +a(g892 +g968 +tp1571 +a(g892 +V\u000a +p1572 +tp1573 +a(g810 +Vecho +p1574 +tp1575 +a(g892 +g958 +tp1576 +a(g892 +VInternal +p1577 +tp1578 +a(g892 +g958 +tp1579 +a(g892 +Verror +p1580 +tp1581 +a(g892 +g958 +tp1582 +a(g22 +g1384 +tp1583 +a(g7 +V>& +p1584 +tp1585 +a(g892 +g958 +tp1586 +a(g22 +g1101 +tp1587 +a(g892 +V6 +p1588 +tp1589 +a(g892 +V9 +p1590 +tp1591 +a(g892 +g1384 +tp1592 +a(g892 +g1011 +tp1593 +a(g892 +V5 +p1594 +tp1595 +a(g7 +V>>& +p1596 +tp1597 +a(g22 +g1101 +tp1598 +a(g892 +g968 +tp1599 +a(g892 +V\u000a +p1600 +tp1601 +a(g810 +Vset +p1602 +tp1603 +a(g892 +g958 +tp1604 +a(g810 +V/a +p1605 +tp1606 +a(g892 +g958 +tp1607 +a(g428 +V_exitCode +p1608 +tp1609 +a(g400 +g1026 +tp1610 +a(g285 +V0x69 +p1611 +tp1612 +a(g400 +V+ +p1613 +tp1614 +a(g7 +g988 +tp1615 +a(g269 +V0105 +p1616 +tp1617 +a(g400 +g1340 +tp1618 +a(g22 +V1000 +p1619 +tp1620 +a(g7 +g993 +tp1621 +a(g892 +g968 +tp1622 +a(g892 +V\u000a +p1623 +tp1624 +a(g810 +Vbreak +p1625 +tp1626 +a(g892 +g968 +tp1627 +a(g892 +V\u000a +p1628 +tp1629 +a(g810 +Vgoto +p1630 +tp1631 +a(g892 +g958 +tp1632 +a(g7 +g973 +tp1633 +a(g584 +Vexit +p1634 +tp1635 +a(g892 +V\u000a\u000a +p1636 +tp1637 +a(g892 +g968 +tp1638 +a(g7 +g973 +tp1639 +a(g584 +Vcomments +p1640 +tp1641 +a(g892 +g968 +tp1642 +a(g892 +V\u000a +p1643 +tp1644 +a(g7 +g988 +tp1645 +a(g729 +Vrem )/? +p1646 +tp1647 +a(g892 +g968 +tp1648 +a(g892 +V\u000a +p1649 +tp1650 +a(g7 +g993 +tp1651 +a(g892 +V\u000a +p1652 +tp1653 +a(g729 +Vrem "comment^ +p1654 +tp1655 +a(g892 +g968 +tp1656 +a(g892 +V\u000a +p1657 +tp1658 +a(g7 +g988 +tp1659 +a(g729 +Vrem. +p1660 +tp1661 +a(g892 +g968 +tp1662 +a(g7 +g993 +tp1663 +a(g892 +g958 +tp1664 +a(g7 +g1146 +tp1665 +a(g892 +g958 +tp1666 +a(g810 +Vset +p1667 +tp1668 +a(g892 +g958 +tp1669 +a(g810 +V/a +p1670 +tp1671 +a(g892 +g958 +tp1672 +a(g428 +V_tests +p1673 +tp1674 +a(g400 +V+= +p1675 +tp1676 +a(g22 +g1384 +tp1677 +a(g892 +g968 +tp1678 +a(g892 +V\u000a +p1679 +tp1680 +a(g7 +g988 +tp1681 +a(g729 +Vrem) & goto :fail +p1682 +tp1683 +a(g892 +g968 +tp1684 +a(g892 +V\u000a +p1685 +tp1686 +a(g7 +g988 +tp1687 +a(g729 +Vrem. +p1688 +tp1689 +a(g892 +g968 +tp1690 +a(g7 +g993 +tp1691 +a(g892 +g958 +tp1692 +a(g7 +g1146 +tp1693 +a(g892 +g958 +tp1694 +a(g7 +g988 +tp1695 +a(g729 +Vrem. comment +p1696 +tp1697 +a(g892 +g968 +tp1698 +a(g7 +g993 +tp1699 +a(g892 +g958 +tp1700 +a(g7 +g1146 +tp1701 +a(g892 +g958 +tp1702 +a(g810 +Vecho +p1703 +tp1704 +a(g892 +g958 +tp1705 +a(g892 +VTest +p1706 +tp1707 +a(g892 +g958 +tp1708 +a(g428 +V%_tests% +p1709 +tp1710 +a(g892 +g973 +tp1711 +a(g892 +g958 +tp1712 +a(g892 +VComments +p1713 +tp1714 +a(g892 +g968 +tp1715 +a(g892 +V\u000a +p1716 +tp1717 +a(g729 +Vrem ) +p1718 +tp1719 +a(g892 +g968 +tp1720 +a(g892 +V\u000a +p1721 +tp1722 +a(g7 +g993 +tp1723 +a(g892 +V\u000a +p1724 +tp1725 +a(g729 +V)|comment +p1726 +tp1727 +a(g892 +V\u000a +p1728 +tp1729 +a(g729 +V)( +p1730 +tp1731 +a(g892 +V\u000a +p1732 +tp1733 +a(g892 +g968 +tp1734 +a(g7 +g973 +tp1735 +a(g729 +V: comment +p1736 +tp1737 +a(g892 +g968 +tp1738 +a(g892 +V\u000a +p1739 +tp1740 +a(g810 +Vgoto +p1741 +tp1742 +a(g892 +g958 +tp1743 +a(g7 +g973 +tp1744 +a(g584 +Vcomments^^1 +p1745 +tp1746 +a(g729 +V:comment +p1747 +tp1748 +a(g892 +V\u000a +p1749 +tp1750 +a(g892 +g968 +tp1751 +a(g7 +g973 +tp1752 +a(g584 +Vcomments^^1 +p1753 +tp1754 +a(g729 +V comment +p1755 +tp1756 +a(g892 +g968 +tp1757 +a(g892 +V\u000a +p1758 +tp1759 +a(g810 +Vif +p1760 +tp1761 +a(g892 +g988 +tp1762 +a(g892 +g1384 +tp1763 +a(g400 +V== +p1764 +tp1765 +a(g892 +g1384 +tp1766 +a(g892 +g993 +tp1767 +a(g892 +g958 +tp1768 +a(g810 +Vgoto +p1769 +tp1770 +a(g892 +g958 +tp1771 +a(g7 +g973 +tp1772 +a(g584 +Vcomments^\u000a^1 +p1773 +tp1774 +a(g892 +V\u000a +p1775 +tp1776 +a(g729 +Vrem^ /? +p1777 +tp1778 +a(g892 +g968 +tp1779 +a(g892 +V\u000a +p1780 +tp1781 +a(g729 +Vrem ^\u000a^ +p1782 +tp1783 +a(g892 +g968 +tp1784 +a(g892 +V\u000a +p1785 +tp1786 +a(g810 +Vgoto +p1787 +tp1788 +a(g892 +g958 +tp1789 +a(g7 +g973 +tp1790 +a(g584 +Vcomments^\u000a2 +p1791 +tp1792 +a(g729 +V+comment +p1793 +tp1794 +a(g892 +V\u000a +p1795 +tp1796 +a(g810 +Vgoto +p1797 +tp1798 +a(g892 +g958 +tp1799 +a(g7 +g973 +tp1800 +a(g584 +Vfail +p1801 +tp1802 +a(g892 +V\u000a +p1803 +tp1804 +a(g892 +g968 +tp1805 +a(g7 +g973 +tp1806 +a(g584 +Vcomments2 +p1807 +tp1808 +a(g892 +g968 +tp1809 +a(g892 +V\u000a +p1810 +tp1811 +a(g729 +Vrem >^ +p1812 +tp1813 +a(g892 +g968 +tp1814 +a(g892 +V\u000a +p1815 +tp1816 +a(g810 +Vif +p1817 +tp1818 +a(g892 +g958 +tp1819 +a(g892 +g1384 +tp1820 +a(g400 +V== +p1821 +tp1822 +a(g892 +g1384 +tp1823 +a(g892 +g958 +tp1824 +a(g7 +g988 +tp1825 +a(g810 +Vgoto +p1826 +tp1827 +a(g892 +g958 +tp1828 +a(g7 +g973 +tp1829 +a(g584 +Vcomments3 +p1830 +tp1831 +a(g7 +g993 +tp1832 +a(g892 +V\u000a +p1833 +tp1834 +a(g892 +g968 +tp1835 +a(g7 +g973 +tp1836 +a(g584 +Vcomments3) +p1837 +tp1838 +a(g892 +g968 +tp1839 +a(g892 +V\u000a +p1840 +tp1841 +a(g810 +Vgoto +p1842 +tp1843 +a(g892 +g958 +tp1844 +a(g7 +g973 +tp1845 +a(g584 +Vfail +p1846 +tp1847 +a(g892 +V\u000a +p1848 +tp1849 +a(g892 +g968 +tp1850 +a(g7 +g973 +tp1851 +a(g584 +Vcomments3 +p1852 +tp1853 +a(g892 +g968 +tp1854 +a(g892 +V\u000a +p1855 +tp1856 +a(g729 +Vrem comment^\u000agoto:fail +p1857 +tp1858 +a(g892 +g968 +tp1859 +a(g892 +V\u000a +p1860 +tp1861 +a(g729 +Vrem.comment comment^\u000agoto fail +p1862 +tp1863 +a(g892 +g968 +tp1864 +a(g892 +V\u000a +p1865 +tp1866 +a(g729 +Vrem "comment comment"^\u000agoto fail +p1867 +tp1868 +a(g892 +g968 +tp1869 +a(g892 +V\u000a +p1870 +tp1871 +a(g729 +Vrem comment comment^ +p1872 +tp1873 +a(g892 +g968 +tp1874 +a(g892 +V\u000a +p1875 +tp1876 +a(g810 +Vif +p1877 +tp1878 +a(g892 +g958 +tp1879 +a(g144 +g1017 +tp1880 +a(g144 +V1==1 +p1881 +tp1882 +a(g144 +g1017 +tp1883 +a(g892 +g958 +tp1884 +a(g414 +Vequ +p1885 +tp1886 +a(g892 +g958 +tp1887 +a(g144 +g1017 +tp1888 +a(g144 +V1==1 +p1889 +tp1890 +a(g144 +g1017 +tp1891 +a(g892 +g958 +tp1892 +a(g810 +Vgoto +p1893 +tp1894 +a(g892 +g958 +tp1895 +a(g584 +Vcomments4 +p1896 +tp1897 +a(g892 +V\u000a +p1898 +tp1899 +a(g810 +Vgoto +p1900 +tp1901 +a(g892 +g958 +tp1902 +a(g584 +Vfail +p1903 +tp1904 +a(g892 +V\u000a +p1905 +tp1906 +a(g892 +g968 +tp1907 +a(g7 +g973 +tp1908 +a(g584 +Vcomments4 +p1909 +tp1910 +a(g892 +g968 +tp1911 +a(g892 +V\u000a +p1912 +tp1913 +a(g729 +Vrem comment"comment^ +p1914 +tp1915 +a(g892 +g968 +tp1916 +a(g892 +V\u000a +p1917 +tp1918 +a(g810 +Vset +p1919 +tp1920 +a(g892 +g958 +tp1921 +a(g810 +V/a +p1922 +tp1923 +a(g892 +g958 +tp1924 +a(g428 +V_passed +p1925 +tp1926 +a(g400 +V+= +p1927 +tp1928 +a(g22 +g1384 +tp1929 +a(g892 +g968 +tp1930 +a(g892 +V\u000a +p1931 +tp1932 +a(g810 +VGOTO +p1933 +tp1934 +a(g892 +g958 +tp1935 +a(g7 +g973 +tp1936 +a(g584 +VEOF +p1937 +tp1938 +a(g892 +V\u000a +p1939 +tp1940 +a(g810 +Vgoto +p1941 +tp1942 +a(g892 +g958 +tp1943 +a(g7 +g973 +tp1944 +a(g584 +Vfail +p1945 +tp1946 +a(g892 +V\u000a\u000a +p1947 +tp1948 +a(g892 +g968 +tp1949 +a(g7 +g973 +tp1950 +a(g584 +VIO +p1951 +tp1952 +a(g892 +g968 +tp1953 +a(g892 +V\u000a +p1954 +tp1955 +a(g810 +VSET +p1956 +tp1957 +a(g892 +g958 +tp1958 +a(g810 +V/A +p1959 +tp1960 +a(g892 +g958 +tp1961 +a(g428 +V_tests +p1962 +tp1963 +a(g400 +V+= +p1964 +tp1965 +a(g22 +g1384 +tp1966 +a(g892 +g958 +tp1967 +a(g892 +g968 +tp1968 +a(g7 +g1146 +tp1969 +a(g892 +g958 +tp1970 +a(g810 +VEcho +p1971 +tp1972 +a(g892 +g958 +tp1973 +a(g892 +VTest +p1974 +tp1975 +a(g892 +g958 +tp1976 +a(g428 +V!_tests:*!==^! +p1977 +tp1978 +a(g892 +g973 +tp1979 +a(g892 +g958 +tp1980 +a(g892 +VI/O +p1981 +tp1982 +a(g892 +g968 +tp1983 +a(g892 +V\u000a +p1984 +tp1985 +a(g810 +Vverify +p1986 +tp1987 +a(g892 +g958 +tp1988 +a(g892 +Von +p1989 +tp1990 +a(g892 +g968 +tp1991 +a(g892 +V\u000a +p1992 +tp1993 +a(g810 +Vpushd +p1994 +tp1995 +a(g892 +g958 +tp1996 +a(g892 +V. +p1997 +tp1998 +a(g892 +g968 +tp1999 +a(g892 +V\u000a +p2000 +tp2001 +a(g810 +Vif +p2002 +tp2003 +a(g892 +g958 +tp2004 +a(g810 +Vexist +p2005 +tp2006 +a(g892 +g958 +tp2007 +a(g892 +Vtemp +p2008 +tp2009 +a(g892 +g958 +tp2010 +a(g810 +Vecho +p2011 +tp2012 +a(g892 +g958 +tp2013 +a(g892 +g958 +tp2014 +a(g892 +Vtemp +p2015 +tp2016 +a(g892 +g958 +tp2017 +a(g892 +Valready +p2018 +tp2019 +a(g892 +g958 +tp2020 +a(g892 +Vexists. +p2021 +tp2022 +a(g892 +g958 +tp2023 +a(g7 +g1146 +tp2024 +a(g892 +g958 +tp2025 +a(g810 +Vgoto +p2026 +tp2027 +a(g892 +g958 +tp2028 +a(g7 +g973 +tp2029 +a(g584 +Veof +p2030 +tp2031 +a(g892 +V\u000a +p2032 +tp2033 +a(g810 +Vmd +p2034 +tp2035 +a(g892 +g958 +tp2036 +a(g892 +Vtemp +p2037 +tp2038 +a(g892 +g968 +tp2039 +a(g892 +V\u000a +p2040 +tp2041 +a(g810 +Vcd +p2042 +tp2043 +a(g892 +g958 +tp2044 +a(g892 +Vtemp +p2045 +tp2046 +a(g892 +g968 +tp2047 +a(g892 +V\u000a +p2048 +tp2049 +a(g810 +Vmkdir +p2050 +tp2051 +a(g892 +g958 +tp2052 +a(g22 +g1101 +tp2053 +a(g7 +g1067 +tp2054 +a(g892 +Vnul +p2055 +tp2056 +a(g892 +g958 +tp2057 +a(g892 +Vtemp +p2058 +tp2059 +a(g892 +g968 +tp2060 +a(g892 +V\u000a +p2061 +tp2062 +a(g810 +Vchdir +p2063 +tp2064 +a(g892 +g958 +tp2065 +a(g892 +Vtemp +p2066 +tp2067 +a(g892 +g968 +tp2068 +a(g892 +V\u000a +p2069 +tp2070 +a(g7 +g1067 +tp2071 +a(g892 +Vcd +p2072 +tp2073 +a(g892 +g958 +tp2074 +a(g892 +g958 +tp2075 +a(g810 +Vecho +p2076 +tp2077 +a(g892 +g958 +tp2078 +a(g892 +VChecking +p2079 +tp2080 +a(g892 +g958 +tp2081 +a(g892 +Vdrive... +p2082 +tp2083 +a(g892 +g968 +tp2084 +a(g892 +V\u000a +p2085 +tp2086 +a(g7 +V>> +p2087 +tp2088 +a(g892 +Vcd +p2089 +tp2090 +a(g892 +g958 +tp2091 +a(g810 +Vecho +p2092 +tp2093 +a(g892 +g958 +tp2094 +a(g892 +Vmust +p2095 +tp2096 +a(g892 +g958 +tp2097 +a(g892 +Vbe +p2098 +tp2099 +a(g892 +g958 +tp2100 +a(g892 +VC +p2101 +tp2102 +a(g892 +g958 +tp2103 +a(g892 +Vor +p2104 +tp2105 +a(g892 +g958 +tp2106 +a(g892 +Velse +p2107 +tp2108 +a(g892 +g958 +tp2109 +a(g892 +Vthis +p2110 +tp2111 +a(g892 +g958 +tp2112 +a(g892 +Vwon't +p2113 +tp2114 +a(g892 +g958 +tp2115 +a(g892 +Vwork +p2116 +tp2117 +a(g892 +g968 +tp2118 +a(g892 +V\u000a +p2119 +tp2120 +a(g810 +Vfor +p2121 +tp2122 +a(g892 +g958 +tp2123 +a(g810 +V/f +p2124 +tp2125 +a(g892 +g958 +tp2126 +a(g144 +g1017 +tp2127 +a(g144 +Vtokens=* usebackq +p2128 +tp2129 +a(g144 +g1017 +tp2130 +a(g892 +g958 +tp2131 +a(g200 +V%% +p2132 +tp2133 +a(g892 +VG +p2134 +tp2135 +a(g892 +g958 +tp2136 +a(g810 +Vin +p2137 +tp2138 +a(g892 +g958 +tp2139 +a(g7 +g988 +tp2140 +a(g144 +g1017 +tp2141 +a(g144 +Vcd +p2142 +tp2143 +a(g892 +V\u000a +p2144 +tp2145 +a(g7 +g993 +tp2146 +a(g892 +g958 +tp2147 +a(g810 +Vdo +p2148 +tp2149 +a(g892 +g958 +tp2150 +a(g7 +g988 +tp2151 +a(g7 +V< +p2152 +tp2153 +a(g892 +Vnul +p2154 +tp2155 +a(g892 +g958 +tp2156 +a(g810 +Vset +p2157 +tp2158 +a(g892 +g958 +tp2159 +a(g810 +V/p +p2160 +tp2161 +a(g7 +g1026 +tp2162 +a(g144 +g1017 +tp2163 +a(g200 +V%% +p2164 +tp2165 +a(g144 +VG +p2166 +tp2167 +a(g144 +g1017 +tp2168 +a(g892 +g968 +tp2169 +a(g7 +g993 +tp2170 +a(g892 +V\u000a +p2171 +tp2172 +a(g810 +Vecho +p2173 +tp2174 +a(g892 +g988 +tp2175 +a(g892 +g968 +tp2176 +a(g892 +V\u000a +p2177 +tp2178 +a(g810 +VDEL +p2179 +tp2180 +a(g892 +g958 +tp2181 +a(g892 +Vcd +p2182 +tp2183 +a(g892 +g968 +tp2184 +a(g892 +V\u000a +p2185 +tp2186 +a(g810 +Vif +p2187 +tp2188 +a(g892 +g958 +tp2189 +a(g810 +Vnot +p2190 +tp2191 +a(g892 +g958 +tp2192 +a(g144 +g1017 +tp2193 +a(g428 +V%cd:~0,3% +p2194 +tp2195 +a(g144 +g1017 +tp2196 +a(g400 +V== +p2197 +tp2198 +a(g144 +g1017 +tp2199 +a(g144 +VC:\u005c +p2200 +tp2201 +a(g144 +g1017 +tp2202 +a(g892 +g958 +tp2203 +a(g7 +g988 +tp2204 +a(g892 +V\u000a +p2205 +tp2206 +a(g892 +g958 +tp2207 +a(g892 +g958 +tp2208 +a(g810 +Vcall +p2209 +tp2210 +a(g892 +g958 +tp2211 +a(g810 +Vcall +p2212 +tp2213 +a(g892 +g958 +tp2214 +a(g810 +Vecho +p2215 +tp2216 +a(g892 +g958 +tp2217 +a(g892 +g958 +tp2218 +a(g892 +VWrong +p2219 +tp2220 +a(g892 +g958 +tp2221 +a(g892 +Vdrive +p2222 +tp2223 +a(g892 +g958 +tp2224 +a(g892 +V(should +p2225 +tp2226 +a(g892 +g958 +tp2227 +a(g892 +Vbe +p2228 +tp2229 +a(g892 +g958 +tp2230 +a(g892 +g2101 +tp2231 +a(g200 +V^) +p2232 +tp2233 +a(g892 +g973 +tp2234 +a(g892 +g968 +tp2235 +a(g892 +V\u000a +p2236 +tp2237 +a(g892 +g958 +tp2238 +a(g892 +g958 +tp2239 +a(g810 +Vvol +p2240 +tp2241 +a(g892 +g968 +tp2242 +a(g892 +V\u000a +p2243 +tp2244 +a(g892 +g958 +tp2245 +a(g892 +g958 +tp2246 +a(g810 +Vgoto +p2247 +tp2248 +a(g892 +g958 +tp2249 +a(g7 +g973 +tp2250 +a(g584 +Vtest +p2251 +tp2252 +a(g7 +g993 +tp2253 +a(g892 +V\u000a +p2254 +tp2255 +a(g7 +g1067 +tp2256 +a(g892 +Vtest +p2257 +tp2258 +a(g892 +g1011 +tp2259 +a(g200 +V^\u000a. +p2260 +tp2261 +a(g892 +Vbat +p2262 +tp2263 +a(g892 +g958 +tp2264 +a(g810 +Vecho +p2265 +tp2266 +a(g892 +g958 +tp2267 +a(g892 +Vrem +p2268 +tp2269 +a(g892 +g958 +tp2270 +a(g892 +VMachine-generated +p2271 +tp2272 +a(g892 +V; +p2273 +tp2274 +a(g892 +g958 +tp2275 +a(g892 +Vdo +p2276 +tp2277 +a(g892 +g958 +tp2278 +a(g892 +Vnot +p2279 +tp2280 +a(g892 +g958 +tp2281 +a(g892 +Vedit +p2282 +tp2283 +a(g892 +g968 +tp2284 +a(g892 +V\u000a +p2285 +tp2286 +a(g810 +Vcall +p2287 +tp2288 +a(g892 +g958 +tp2289 +a(g810 +Vecho +p2290 +tp2291 +a(g892 +g958 +tp2292 +a(g892 +Vset +p2293 +tp2294 +a(g892 +g958 +tp2295 +a(g892 +V/a +p2296 +tp2297 +a(g892 +g958 +tp2298 +a(g892 +V_passed+ +p2299 +tp2300 +a(g892 +g1026 +tp2301 +a(g892 +g1384 +tp2302 +a(g892 +g958 +tp2303 +a(g7 +V>> +p2304 +tp2305 +a(g892 +Vtest +p2306 +tp2307 +a(g892 +g1011 +tp2308 +a(g892 +V.bat +p2309 +tp2310 +a(g892 +g968 +tp2311 +a(g892 +V\u000a +p2312 +tp2313 +a(g810 +Vtype +p2314 +tp2315 +a(g892 +g958 +tp2316 +a(g892 +Vtest +p2317 +tp2318 +a(g892 +g1011 +tp2319 +a(g892 +V.bat +p2320 +tp2321 +a(g892 +g958 +tp2322 +a(g7 +g1067 +tp2323 +a(g144 +g1017 +tp2324 +a(g144 +Vtest 1.bat +p2325 +tp2326 +a(g892 +g968 +tp2327 +a(g892 +V\u000a +p2328 +tp2329 +a(g810 +Vren +p2330 +tp2331 +a(g892 +g958 +tp2332 +a(g144 +g1017 +tp2333 +a(g144 +Vtest 1.bat +p2334 +tp2335 +a(g144 +g1017 +tp2336 +a(g892 +g958 +tp2337 +a(g892 +Vtest +p2338 +tp2339 +a(g892 +g1101 +tp2340 +a(g892 +V.bat +p2341 +tp2342 +a(g892 +g968 +tp2343 +a(g892 +V\u000a +p2344 +tp2345 +a(g810 +Vrename +p2346 +tp2347 +a(g892 +g958 +tp2348 +a(g892 +Vtest +p2349 +tp2350 +a(g892 +g1101 +tp2351 +a(g892 +V.bat +p2352 +tp2353 +a(g892 +g958 +tp2354 +a(g892 +Vtest.bat +p2355 +tp2356 +a(g892 +g968 +tp2357 +a(g892 +V\u000a +p2358 +tp2359 +a(g810 +VcaLL +p2360 +tp2361 +a(g892 +g958 +tp2362 +a(g200 +V^\u000aC +p2363 +tp2364 +a(g7 +g973 +tp2365 +a(g584 +Vtest +p2366 +tp2367 +a(g892 +g968 +tp2368 +a(g892 +V\u000a +p2369 +tp2370 +a(g810 +Vdel +p2371 +tp2372 +a(g892 +g958 +tp2373 +a(g892 +Vtest.bat +p2374 +tp2375 +a(g892 +g958 +tp2376 +a(g22 +g1101 +tp2377 +a(g7 +g1067 +tp2378 +a(g892 +Vnul +p2379 +tp2380 +a(g892 +g968 +tp2381 +a(g892 +V\u000a +p2382 +tp2383 +a(g22 +g1101 +tp2384 +a(g7 +g1067 +tp2385 +a(g892 +VNUL +p2386 +tp2387 +a(g892 +g958 +tp2388 +a(g810 +Verase +p2389 +tp2390 +a(g892 +g958 +tp2391 +a(g892 +Vtest +p2392 +tp2393 +a(g892 +g1011 +tp2394 +a(g892 +V.bat +p2395 +tp2396 +a(g892 +g968 +tp2397 +a(g892 +V\u000a +p2398 +tp2399 +a(g810 +Vpopd +p2400 +tp2401 +a(g892 +g968 +tp2402 +a(g892 +V\u000a +p2403 +tp2404 +a(g810 +Vrd +p2405 +tp2406 +a(g892 +g958 +tp2407 +a(g892 +Vtemp\u005ctemp +p2408 +tp2409 +a(g892 +g968 +tp2410 +a(g892 +V\u000a +p2411 +tp2412 +a(g810 +Vrmdir +p2413 +tp2414 +a(g892 +g958 +tp2415 +a(g892 +Vtemp +p2416 +tp2417 +a(g892 +g968 +tp2418 +a(g892 +V\u000a +p2419 +tp2420 +a(g810 +VVERIFY +p2421 +tp2422 +a(g892 +g958 +tp2423 +a(g892 +VOFF +p2424 +tp2425 +a(g892 +g968 +tp2426 +a(g892 +V\u000a +p2427 +tp2428 +a(g810 +Vgoto +p2429 +tp2430 +a(g7 +g973 +tp2431 +a(g584 +Veof +p2432 +tp2433 +a(g892 +V\u000a\u000a +p2434 +tp2435 +a(g892 +g968 +tp2436 +a(g7 +g973 +tp2437 +a(g584 +Vcontrol +p2438 +tp2439 +a(g892 +g968 +tp2440 +a(g892 +V\u000a +p2441 +tp2442 +a(g810 +Vset +p2443 +tp2444 +a(g892 +g958 +tp2445 +a(g810 +V/a +p2446 +tp2447 +a(g892 +g958 +tp2448 +a(g428 +V_tests +p2449 +tp2450 +a(g400 +V+= +p2451 +tp2452 +a(g22 +g1384 +tp2453 +a(g892 +g968 +tp2454 +a(g892 +V\u000a +p2455 +tp2456 +a(g810 +Vecho +p2457 +tp2458 +a(g892 +g958 +tp2459 +a(g892 +VTest +p2460 +tp2461 +a(g892 +g958 +tp2462 +a(g428 +V%_tests% +p2463 +tp2464 +a(g892 +g973 +tp2465 +a(g892 +g958 +tp2466 +a(g892 +VControl +p2467 +tp2468 +a(g892 +g958 +tp2469 +a(g892 +Vstatements +p2470 +tp2471 +a(g892 +g968 +tp2472 +a(g892 +V\u000a +p2473 +tp2474 +a(g810 +Vset +p2475 +tp2476 +a(g892 +g958 +tp2477 +a(g144 +g1017 +tp2478 +a(g144 +V_iterations=0 +p2479 +tp2480 +a(g144 +g1017 +tp2481 +a(g7 +g1067 +tp2482 +a(g892 +Vnul +p2483 +tp2484 +a(g892 +g968 +tp2485 +a(g892 +V\u000a +p2486 +tp2487 +a(g810 +Vfor +p2488 +tp2489 +a(g892 +g958 +tp2490 +a(g200 +V%% +p2491 +tp2492 +a(g892 +g2134 +tp2493 +a(g892 +g958 +tp2494 +a(g810 +Vin +p2495 +tp2496 +a(g892 +g958 +tp2497 +a(g7 +g988 +tp2498 +a(g892 +g1013 +tp2499 +a(g892 +g1613 +tp2500 +a(g892 +g1013 +tp2501 +a(g892 +g1013 +tp2502 +a(g892 +V- +p2503 +tp2504 +a(g892 +g1013 +tp2505 +a(g892 +V\u000a +p2506 +tp2507 +a(g7 +g993 +tp2508 +a(g892 +g958 +tp2509 +a(g810 +Vdo +p2510 +tp2511 +a(g892 +g958 +tp2512 +a(g7 +g956 +tp2513 +a(g7 +g988 +tp2514 +a(g892 +V\u000a +p2515 +tp2516 +a(g892 +g958 +tp2517 +a(g892 +g958 +tp2518 +a(g810 +Vfor +p2519 +tp2520 +a(g892 +g958 +tp2521 +a(g810 +V/l +p2522 +tp2523 +a(g892 +g958 +tp2524 +a(g200 +V%% +p2525 +tp2526 +a(g892 +VH +p2527 +tp2528 +a(g892 +g958 +tp2529 +a(g810 +Vin +p2530 +tp2531 +a(g892 +g958 +tp2532 +a(g7 +g988 +tp2533 +a(g892 +g1013 +tp2534 +a(g22 +V-1 +p2535 +tp2536 +a(g892 +g2273 +tp2537 +a(g892 +g2273 +tp2538 +a(g22 +V-1 +p2539 +tp2540 +a(g892 +V +p2541 +tp2542 +a(g22 +V-3 +p2543 +tp2544 +a(g892 +g1013 +tp2545 +a(g7 +g993 +tp2546 +a(g892 +g958 +tp2547 +a(g810 +Vdo +p2548 +tp2549 +a(g892 +g958 +tp2550 +a(g7 +g988 +tp2551 +a(g892 +V\u000a +p2552 +tp2553 +a(g892 +g958 +tp2554 +a(g892 +g958 +tp2555 +a(g892 +g958 +tp2556 +a(g892 +g958 +tp2557 +a(g810 +Vfor +p2558 +tp2559 +a(g892 +g958 +tp2560 +a(g810 +V/f +p2561 +tp2562 +a(g892 +g958 +tp2563 +a(g892 +Vtokens +p2564 +tp2565 +a(g200 +V^= +p2566 +tp2567 +a(g892 +g1384 +tp2568 +a(g892 +g2503 +tp2569 +a(g892 +g1101 +tp2570 +a(g200 +V^, +p2571 +tp2572 +a(g892 +g1594 +tp2573 +a(g892 +g958 +tp2574 +a(g200 +V%% +p2575 +tp2576 +a(g892 +VI +p2577 +tp2578 +a(g892 +g958 +tp2579 +a(g810 +Vin +p2580 +tp2581 +a(g892 +g958 +tp2582 +a(g7 +g988 +tp2583 +a(g144 +g1017 +tp2584 +a(g144 +V2 +p2585 +tp2586 +a(g200 +V%% +p2587 +tp2588 +a(g144 +VH _ _ 10 +p2589 +tp2590 +a(g144 +g1017 +tp2591 +a(g7 +g993 +tp2592 +a(g892 +g958 +tp2593 +a(g810 +Vdo +p2594 +tp2595 +a(g892 +g958 +tp2596 +a(g7 +g988 +tp2597 +a(g892 +V\u000a +p2598 +tp2599 +a(g892 +g958 +tp2600 +a(g892 +g958 +tp2601 +a(g892 +g958 +tp2602 +a(g892 +g958 +tp2603 +a(g892 +g958 +tp2604 +a(g892 +g958 +tp2605 +a(g810 +Vfor +p2606 +tp2607 +a(g892 +g958 +tp2608 +a(g810 +V/f +p2609 +tp2610 +a(g892 +g958 +tp2611 +a(g144 +g1017 +tp2612 +a(g144 +Vtokens=1 usebackq +p2613 +tp2614 +a(g144 +g1017 +tp2615 +a(g892 +g958 +tp2616 +a(g200 +V%% +p2617 +tp2618 +a(g892 +VL +p2619 +tp2620 +a(g892 +g958 +tp2621 +a(g810 +Vin +p2622 +tp2623 +a(g892 +g958 +tp2624 +a(g7 +g988 +tp2625 +a(g892 +g958 +tp2626 +a(g88 +V`echo +p2627 +tp2628 +a(g200 +V%% +p2629 +tp2630 +a(g88 +g2134 +tp2631 +a(g200 +V%% +p2632 +tp2633 +a(g88 +VJ ``` `\u000a` ` +p2634 +tp2635 +a(g892 +V +p2636 +tp2637 +a(g7 +g993 +tp2638 +a(g892 +g958 +tp2639 +a(g810 +Vdo +p2640 +tp2641 +a(g892 +g958 +tp2642 +a(g7 +g988 +tp2643 +a(g892 +g958 +tp2644 +a(g810 +Vfor +p2645 +tp2646 +a(g892 +g958 +tp2647 +a(g810 +V/f +p2648 +tp2649 +a(g892 +g958 +tp2650 +a(g144 +g1017 +tp2651 +a(g144 +Vtokens=2 +p2652 +tp2653 +a(g144 +g1017 +tp2654 +a(g892 +g958 +tp2655 +a(g200 +V%% +p2656 +tp2657 +a(g892 +VM +p2658 +tp2659 +a(g892 +g958 +tp2660 +a(g810 +Vin +p2661 +tp2662 +a(g892 +g958 +tp2663 +a(g7 +g988 +tp2664 +a(g217 +V'echo ' +p2665 +tp2666 +a(g200 +V%% +p2667 +tp2668 +a(g217 +VL0 '\u000a' ' +p2669 +tp2670 +a(g892 +V +p2671 +tp2672 +a(g7 +g993 +tp2673 +a(g892 +g958 +tp2674 +a(g810 +Vdo +p2675 +tp2676 +a(g892 +g958 +tp2677 +a(g7 +g988 +tp2678 +a(g892 +g958 +tp2679 +a(g810 +Vset +p2680 +tp2681 +a(g892 +g958 +tp2682 +a(g810 +V/a +p2683 +tp2684 +a(g892 +g958 +tp2685 +a(g428 +V_iterations +p2686 +tp2687 +a(g400 +V+= +p2688 +tp2689 +a(g7 +g988 +tp2690 +a(g400 +V%% +p2691 +tp2692 +a(g428 +g2658 +tp2693 +a(g400 +V%% +p2694 +tp2695 +a(g428 +g2658 +tp2696 +a(g200 +V^) +p2697 +tp2698 +a(g892 +g968 +tp2699 +a(g892 +V\u000a +p2700 +tp2701 +a(g892 +g958 +tp2702 +a(g892 +g958 +tp2703 +a(g892 +g958 +tp2704 +a(g892 +g958 +tp2705 +a(g892 +g958 +tp2706 +a(g892 +g958 +tp2707 +a(g892 +g958 +tp2708 +a(g892 +g958 +tp2709 +a(g7 +g993 +tp2710 +a(g892 +V\u000a +p2711 +tp2712 +a(g892 +g958 +tp2713 +a(g892 +g958 +tp2714 +a(g892 +g958 +tp2715 +a(g892 +g958 +tp2716 +a(g892 +g958 +tp2717 +a(g892 +g958 +tp2718 +a(g7 +g993 +tp2719 +a(g892 +V\u000a +p2720 +tp2721 +a(g892 +g958 +tp2722 +a(g892 +g958 +tp2723 +a(g892 +g958 +tp2724 +a(g892 +g958 +tp2725 +a(g7 +g993 +tp2726 +a(g892 +V\u000a +p2727 +tp2728 +a(g892 +g958 +tp2729 +a(g892 +g958 +tp2730 +a(g7 +g993 +tp2731 +a(g892 +V\u000a +p2732 +tp2733 +a(g7 +g993 +tp2734 +a(g892 +V\u000a +p2735 +tp2736 +a(g810 +Vif +p2737 +tp2738 +a(g892 +g958 +tp2739 +a(g810 +Vexist +p2740 +tp2741 +a(g892 +g958 +tp2742 +a(g428 +V%~nx0 +p2743 +tp2744 +a(g892 +g958 +tp2745 +a(g810 +Vif +p2746 +tp2747 +a(g892 +g958 +tp2748 +a(g810 +Vnot +p2749 +tp2750 +a(g892 +g958 +tp2751 +a(g810 +Vexist +p2752 +tp2753 +a(g892 +g958 +tp2754 +a(g428 +V%~nx0 +p2755 +tp2756 +a(g892 +g958 +tp2757 +a(g810 +Vgoto +p2758 +tp2759 +a(g892 +g958 +tp2760 +a(g7 +g973 +tp2761 +a(g584 +Vfail +p2762 +tp2763 +a(g892 +V\u000a +p2764 +tp2765 +a(g810 +Vif +p2766 +tp2767 +a(g892 +g958 +tp2768 +a(g810 +Vexist +p2769 +tp2770 +a(g892 +g958 +tp2771 +a(g428 +V%~nx0 +p2772 +tp2773 +a(g892 +g958 +tp2774 +a(g7 +g988 +tp2775 +a(g892 +V\u000a +p2776 +tp2777 +a(g892 +g958 +tp2778 +a(g892 +g958 +tp2779 +a(g810 +Vif +p2780 +tp2781 +a(g892 +g958 +tp2782 +a(g810 +Vnot +p2783 +tp2784 +a(g892 +g958 +tp2785 +a(g810 +Vexist +p2786 +tp2787 +a(g892 +g958 +tp2788 +a(g428 +V%~nx0 +p2789 +tp2790 +a(g892 +g958 +tp2791 +a(g810 +Vgoto +p2792 +tp2793 +a(g892 +g958 +tp2794 +a(g7 +g973 +tp2795 +a(g584 +Vfail +p2796 +tp2797 +a(g892 +V\u000a +p2798 +tp2799 +a(g7 +g993 +tp2800 +a(g892 +g958 +tp2801 +a(g810 +Velse +p2802 +tp2803 +a(g892 +g958 +tp2804 +a(g7 +g988 +tp2805 +a(g892 +V\u000a +p2806 +tp2807 +a(g892 +g958 +tp2808 +a(g892 +g958 +tp2809 +a(g810 +Vif +p2810 +tp2811 +a(g892 +g958 +tp2812 +a(g810 +Vexist +p2813 +tp2814 +a(g892 +g958 +tp2815 +a(g428 +V%~nx0 +p2816 +tp2817 +a(g892 +g958 +tp2818 +a(g810 +Vgoto +p2819 +tp2820 +a(g892 +g958 +tp2821 +a(g7 +g973 +tp2822 +a(g584 +Vfail +p2823 +tp2824 +a(g892 +V\u000a +p2825 +tp2826 +a(g7 +g993 +tp2827 +a(g892 +V\u000a +p2828 +tp2829 +a(g810 +Vif +p2830 +tp2831 +a(g892 +g958 +tp2832 +a(g810 +V/i +p2833 +tp2834 +a(g892 +g958 +tp2835 +a(g428 +V%_iterations% +p2836 +tp2837 +a(g892 +g958 +tp2838 +a(g414 +Vgtr +p2839 +tp2840 +a(g892 +g958 +tp2841 +a(g892 +g2503 +tp2842 +a(g892 +g1101 +tp2843 +a(g892 +g958 +tp2844 +a(g7 +g988 +tp2845 +a(g892 +V\u000a +p2846 +tp2847 +a(g892 +g958 +tp2848 +a(g892 +g958 +tp2849 +a(g810 +Vif +p2850 +tp2851 +a(g892 +g958 +tp2852 +a(g810 +V/i +p2853 +tp2854 +a(g892 +g958 +tp2855 +a(g428 +V%_iterations% +p2856 +tp2857 +a(g892 +g958 +tp2858 +a(g414 +Vgeq +p2859 +tp2860 +a(g892 +g958 +tp2861 +a(g892 +g2503 +tp2862 +a(g892 +g1384 +tp2863 +a(g892 +g958 +tp2864 +a(g7 +g988 +tp2865 +a(g892 +V\u000a +p2866 +tp2867 +a(g892 +g958 +tp2868 +a(g892 +g958 +tp2869 +a(g892 +g958 +tp2870 +a(g892 +g958 +tp2871 +a(g810 +Vif +p2872 +tp2873 +a(g892 +g958 +tp2874 +a(g810 +V/i +p2875 +tp2876 +a(g892 +g958 +tp2877 +a(g428 +V%_iterations% +p2878 +tp2879 +a(g892 +g958 +tp2880 +a(g414 +Vlss +p2881 +tp2882 +a(g892 +g958 +tp2883 +a(g892 +g1384 +tp2884 +a(g892 +g958 +tp2885 +a(g7 +g988 +tp2886 +a(g892 +V\u000a +p2887 +tp2888 +a(g892 +g958 +tp2889 +a(g892 +g958 +tp2890 +a(g892 +g958 +tp2891 +a(g892 +g958 +tp2892 +a(g892 +g958 +tp2893 +a(g892 +g958 +tp2894 +a(g810 +Vif +p2895 +tp2896 +a(g892 +g958 +tp2897 +a(g810 +V/i +p2898 +tp2899 +a(g892 +g958 +tp2900 +a(g428 +V%_iterations% +p2901 +tp2902 +a(g892 +g958 +tp2903 +a(g414 +Vleq +p2904 +tp2905 +a(g892 +g958 +tp2906 +a(g892 +g1011 +tp2907 +a(g892 +g958 +tp2908 +a(g7 +g988 +tp2909 +a(g892 +V\u000a +p2910 +tp2911 +a(g892 +g958 +tp2912 +a(g892 +g958 +tp2913 +a(g892 +g958 +tp2914 +a(g892 +g958 +tp2915 +a(g892 +g958 +tp2916 +a(g892 +g958 +tp2917 +a(g892 +g958 +tp2918 +a(g892 +g958 +tp2919 +a(g810 +Vif +p2920 +tp2921 +a(g892 +g958 +tp2922 +a(g810 +V/i +p2923 +tp2924 +a(g892 +g958 +tp2925 +a(g428 +V%_iterations% +p2926 +tp2927 +a(g892 +g958 +tp2928 +a(g414 +Vequ +p2929 +tp2930 +a(g892 +g958 +tp2931 +a(g892 +g1011 +tp2932 +a(g892 +g958 +tp2933 +a(g7 +g988 +tp2934 +a(g892 +V\u000a +p2935 +tp2936 +a(g892 +g958 +tp2937 +a(g892 +g958 +tp2938 +a(g892 +g958 +tp2939 +a(g892 +g958 +tp2940 +a(g892 +g958 +tp2941 +a(g892 +g958 +tp2942 +a(g892 +g958 +tp2943 +a(g892 +g958 +tp2944 +a(g892 +g958 +tp2945 +a(g892 +g958 +tp2946 +a(g810 +Vif +p2947 +tp2948 +a(g892 +g958 +tp2949 +a(g22 +g1384 +tp2950 +a(g892 +g958 +tp2951 +a(g414 +Vequ +p2952 +tp2953 +a(g892 +g958 +tp2954 +a(g269 +V01 +p2955 +tp2956 +a(g892 +g958 +tp2957 +a(g7 +g988 +tp2958 +a(g892 +V\u000a +p2959 +tp2960 +a(g892 +g958 +tp2961 +a(g892 +g958 +tp2962 +a(g892 +g958 +tp2963 +a(g892 +g958 +tp2964 +a(g892 +g958 +tp2965 +a(g892 +g958 +tp2966 +a(g892 +g958 +tp2967 +a(g892 +g958 +tp2968 +a(g892 +g958 +tp2969 +a(g892 +g958 +tp2970 +a(g892 +g958 +tp2971 +a(g892 +g958 +tp2972 +a(g810 +Vif +p2973 +tp2974 +a(g892 +g958 +tp2975 +a(g892 +g1384 +tp2976 +a(g892 +g958 +tp2977 +a(g414 +Vneq +p2978 +tp2979 +a(g892 +g958 +tp2980 +a(g144 +g1017 +tp2981 +a(g144 +V01 +p2982 +tp2983 +a(g144 +g1017 +tp2984 +a(g892 +g958 +tp2985 +a(g7 +g988 +tp2986 +a(g892 +V\u000a +p2987 +tp2988 +a(g892 +g958 +tp2989 +a(g892 +g958 +tp2990 +a(g892 +g958 +tp2991 +a(g892 +g958 +tp2992 +a(g892 +g958 +tp2993 +a(g892 +g958 +tp2994 +a(g892 +g958 +tp2995 +a(g892 +g958 +tp2996 +a(g892 +g958 +tp2997 +a(g892 +g958 +tp2998 +a(g892 +g958 +tp2999 +a(g892 +g958 +tp3000 +a(g892 +g958 +tp3001 +a(g892 +g958 +tp3002 +a(g810 +Vif +p3003 +tp3004 +a(g892 +g958 +tp3005 +a(g144 +g1017 +tp3006 +a(g144 +g1384 +tp3007 +a(g144 +g1017 +tp3008 +a(g892 +g958 +tp3009 +a(g414 +Vneq +p3010 +tp3011 +a(g892 +g958 +tp3012 +a(g892 +g1011 +tp3013 +a(g892 +g1384 +tp3014 +a(g892 +g958 +tp3015 +a(g7 +g988 +tp3016 +a(g892 +V\u000a +p3017 +tp3018 +a(g892 +g958 +tp3019 +a(g892 +g958 +tp3020 +a(g892 +g958 +tp3021 +a(g892 +g958 +tp3022 +a(g892 +g958 +tp3023 +a(g892 +g958 +tp3024 +a(g892 +g958 +tp3025 +a(g892 +g958 +tp3026 +a(g892 +g958 +tp3027 +a(g892 +g958 +tp3028 +a(g892 +g958 +tp3029 +a(g892 +g958 +tp3030 +a(g892 +g958 +tp3031 +a(g892 +g958 +tp3032 +a(g892 +g958 +tp3033 +a(g892 +g958 +tp3034 +a(g810 +Vset +p3035 +tp3036 +a(g892 +g958 +tp3037 +a(g810 +V/a +p3038 +tp3039 +a(g892 +g958 +tp3040 +a(g428 +V_passed +p3041 +tp3042 +a(g400 +V+= +p3043 +tp3044 +a(g22 +g1384 +tp3045 +a(g892 +g968 +tp3046 +a(g7 +g993 +tp3047 +a(g7 +g993 +tp3048 +a(g7 +g993 +tp3049 +a(g7 +g993 +tp3050 +a(g7 +g993 +tp3051 +a(g7 +g993 +tp3052 +a(g7 +g993 +tp3053 +a(g7 +g993 +tp3054 +a(g892 +V\u000a +p3055 +tp3056 +a(g729 +V) comment +p3057 +tp3058 +a(g892 +V\u000a +p3059 +tp3060 +a(g810 +Vgoto +p3061 +tp3062 +a(g892 +g958 +tp3063 +a(g7 +g973 +tp3064 +a(g584 +Veof +p3065 +tp3066 +a(g892 +V\u000a\u000a +p3067 +tp3068 +a(g892 +g968 +tp3069 +a(g7 +g973 +tp3070 +a(g584 +Vinternal +p3071 +tp3072 +a(g892 +g968 +tp3073 +a(g892 +V\u000a +p3074 +tp3075 +a(g810 +Vset +p3076 +tp3077 +a(g892 +g958 +tp3078 +a(g810 +V/a +p3079 +tp3080 +a(g892 +g958 +tp3081 +a(g428 +V_tests +p3082 +tp3083 +a(g400 +V+= +p3084 +tp3085 +a(g22 +g1384 +tp3086 +a(g892 +g968 +tp3087 +a(g892 +V\u000a +p3088 +tp3089 +a(g810 +Vecho +p3090 +tp3091 +a(g892 +g958 +tp3092 +a(g892 +VTest +p3093 +tp3094 +a(g892 +g958 +tp3095 +a(g428 +V%_tests% +p3096 +tp3097 +a(g892 +g973 +tp3098 +a(g892 +g958 +tp3099 +a(g892 +VInternal +p3100 +tp3101 +a(g892 +g958 +tp3102 +a(g892 +Vcommands +p3103 +tp3104 +a(g892 +g968 +tp3105 +a(g892 +V\u000a +p3106 +tp3107 +a(g810 +Vkeys +p3108 +tp3109 +a(g892 +g958 +tp3110 +a(g892 +Von +p3111 +tp3112 +a(g892 +g968 +tp3113 +a(g892 +V\u000a +p3114 +tp3115 +a(g810 +Vmklink +p3116 +tp3117 +a(g892 +g958 +tp3118 +a(g22 +g1101 +tp3119 +a(g7 +g1067 +tp3120 +a(g892 +Vnul +p3121 +tp3122 +a(g892 +g968 +tp3123 +a(g892 +V\u000a +p3124 +tp3125 +a(g7 +g1067 +tp3126 +a(g892 +Vnul +p3127 +tp3128 +a(g892 +g958 +tp3129 +a(g810 +Vpath +p3130 +tp3131 +a(g892 +g958 +tp3132 +a(g428 +V%path% +p3133 +tp3134 +a(g892 +g968 +tp3135 +a(g892 +V\u000a +p3136 +tp3137 +a(g7 +g1067 +tp3138 +a(g892 +Vnul +p3139 +tp3140 +a(g892 +g958 +tp3141 +a(g810 +Vdpath +p3142 +tp3143 +a(g892 +g958 +tp3144 +a(g428 +V%dpath% +p3145 +tp3146 +a(g892 +g968 +tp3147 +a(g892 +V\u000a +p3148 +tp3149 +a(g810 +Vif +p3150 +tp3151 +a(g892 +g958 +tp3152 +a(g810 +Vnot +p3153 +tp3154 +a(g892 +g958 +tp3155 +a(g810 +Vdefined +p3156 +tp3157 +a(g892 +g958 +tp3158 +a(g428 +Vprompt +p3159 +tp3160 +a(g892 +g958 +tp3161 +a(g810 +Vprompt +p3162 +tp3163 +a(g892 +g958 +tp3164 +a(g892 +V$P$G +p3165 +tp3166 +a(g892 +g968 +tp3167 +a(g892 +V\u000a +p3168 +tp3169 +a(g810 +Vprompt +p3170 +tp3171 +a(g892 +g958 +tp3172 +a(g428 +V!prompt:~! +p3173 +tp3174 +a(g892 +Vrem/ +p3175 +tp3176 +a(g892 +g958 +tp3177 +a(g892 +V$H? +p3178 +tp3179 +a(g892 +g968 +tp3180 +a(g892 +V\u000a +p3181 +tp3182 +a(g810 +Vecho +p3183 +tp3184 +a(g892 +g958 +tp3185 +a(g892 +Von +p3186 +tp3187 +a(g892 +g968 +tp3188 +a(g892 +V\u000a +p3189 +tp3190 +a(g810 +Vrem +p3191 +tp3192 +a(g892 +V/? +p3193 +tp3194 +a(g892 +g968 +tp3195 +a(g892 +V\u000a +p3196 +tp3197 +a(g7 +g956 +tp3198 +a(g810 +Vecho +p3199 +tp3200 +a(g892 +g958 +tp3201 +a(g892 +Voff +p3202 +tp3203 +a(g892 +g968 +tp3204 +a(g892 +V\u000a +p3205 +tp3206 +a(g810 +Vrem +p3207 +tp3208 +a(g892 +V(/? +p3209 +tp3210 +a(g7 +g1067 +tp3211 +a(g892 +Vnul +p3212 +tp3213 +a(g892 +g968 +tp3214 +a(g892 +V\u000a +p3215 +tp3216 +a(g810 +Vrem +p3217 +tp3218 +a(g892 +g958 +tp3219 +a(g892 +g993 +tp3220 +a(g892 +V/? +p3221 +tp3222 +a(g892 +g958 +tp3223 +a(g7 +g1067 +tp3224 +a(g892 +Vnul +p3225 +tp3226 +a(g892 +g968 +tp3227 +a(g892 +V\u000a +p3228 +tp3229 +a(g7 +g988 +tp3230 +a(g810 +Vrem +p3231 +tp3232 +a(g892 +g958 +tp3233 +a(g892 +V(/? +p3234 +tp3235 +a(g892 +g968 +tp3236 +a(g7 +g993 +tp3237 +a(g892 +g958 +tp3238 +a(g7 +g1067 +tp3239 +a(g892 +Vnul +p3240 +tp3241 +a(g892 +V\u000a +p3242 +tp3243 +a(g810 +Vrem +p3244 +tp3245 +a(g892 +g958 +tp3246 +a(g892 +V/? +p3247 +tp3248 +a(g7 +g1067 +tp3249 +a(g892 +Vnul +p3250 +tp3251 +a(g892 +g968 +tp3252 +a(g892 +V\u000a +p3253 +tp3254 +a(g810 +Vrem +p3255 +tp3256 +a(g200 +V^/ +p3257 +tp3258 +a(g892 +V? +p3259 +tp3260 +a(g7 +g1067 +tp3261 +a(g892 +Vnul +p3262 +tp3263 +a(g892 +g968 +tp3264 +a(g892 +V\u000a +p3265 +tp3266 +a(g810 +Vif +p3267 +tp3268 +a(g892 +V/? +p3269 +tp3270 +a(g7 +g1067 +tp3271 +a(g892 +Vnul +p3272 +tp3273 +a(g892 +g958 +tp3274 +a(g7 +V|| +p3275 +tp3276 +a(g892 +g958 +tp3277 +a(g810 +Vif +p3278 +tp3279 +a(g200 +V^/ +p3280 +tp3281 +a(g200 +V^? +p3282 +tp3283 +a(g7 +g1067 +tp3284 +a(g892 +Vnul +p3285 +tp3286 +a(g892 +g958 +tp3287 +a(g7 +V|| +p3288 +tp3289 +a(g892 +g958 +tp3290 +a(g810 +Vif +p3291 +tp3292 +a(g892 +g958 +tp3293 +a(g892 +V/? +p3294 +tp3295 +a(g7 +g1067 +tp3296 +a(g892 +Vnul +p3297 +tp3298 +a(g892 +g958 +tp3299 +a(g7 +V|| +p3300 +tp3301 +a(g892 +g958 +tp3302 +a(g810 +Vif +p3303 +tp3304 +a(g892 +g958 +tp3305 +a(g892 +Vx/? +p3306 +tp3307 +a(g892 +g958 +tp3308 +a(g7 +g1067 +tp3309 +a(g892 +Vnul +p3310 +tp3311 +a(g892 +g968 +tp3312 +a(g892 +V\u000a +p3313 +tp3314 +a(g810 +Vfor +p3315 +tp3316 +a(g892 +V/? +p3317 +tp3318 +a(g7 +g1067 +tp3319 +a(g892 +Vnul +p3320 +tp3321 +a(g892 +g958 +tp3322 +a(g7 +V&& +p3323 +tp3324 +a(g892 +g958 +tp3325 +a(g810 +Vfor +p3326 +tp3327 +a(g200 +V^/ +p3328 +tp3329 +a(g200 +V^? +p3330 +tp3331 +a(g7 +g1067 +tp3332 +a(g892 +Vnul +p3333 +tp3334 +a(g892 +g958 +tp3335 +a(g7 +V&& +p3336 +tp3337 +a(g892 +g958 +tp3338 +a(g810 +Vfor +p3339 +tp3340 +a(g892 +g958 +tp3341 +a(g892 +V/? +p3342 +tp3343 +a(g7 +g1067 +tp3344 +a(g892 +Vnul +p3345 +tp3346 +a(g892 +g958 +tp3347 +a(g7 +V&& +p3348 +tp3349 +a(g892 +g958 +tp3350 +a(g810 +Vfor +p3351 +tp3352 +a(g892 +g958 +tp3353 +a(g892 +Vx/? +p3354 +tp3355 +a(g892 +g958 +tp3356 +a(g7 +g1067 +tp3357 +a(g892 +Vnul +p3358 +tp3359 +a(g892 +g958 +tp3360 +a(g7 +V&& +p3361 +tp3362 +a(g892 +g958 +tp3363 +a(g810 +Vfor +p3364 +tp3365 +a(g892 +g958 +tp3366 +a(g892 +V/? +p3367 +tp3368 +a(g892 +g1290 +tp3369 +a(g892 +g958 +tp3370 +a(g7 +g1067 +tp3371 +a(g892 +Vnul +p3372 +tp3373 +a(g892 +g968 +tp3374 +a(g892 +V\u000a +p3375 +tp3376 +a(g810 +Vgoto +p3377 +tp3378 +a(g892 +V/? +p3379 +tp3380 +a(g7 +g1067 +tp3381 +a(g892 +Vnul +p3382 +tp3383 +a(g892 +g958 +tp3384 +a(g7 +V&& +p3385 +tp3386 +a(g892 +g958 +tp3387 +a(g810 +Vgoto +p3388 +tp3389 +a(g200 +V^/ +p3390 +tp3391 +a(g892 +g3259 +tp3392 +a(g892 +g958 +tp3393 +a(g7 +V&& +p3394 +tp3395 +a(g892 +g958 +tp3396 +a(g810 +Vgoto +p3397 +tp3398 +a(g200 +V^ +p3399 +tp3400 +a(g892 +V/? +p3401 +tp3402 +a(g892 +g958 +tp3403 +a(g7 +V&& +p3404 +tp3405 +a(g892 +g958 +tp3406 +a(g810 +Vgoto +p3407 +tp3408 +a(g892 +g958 +tp3409 +a(g892 +g1441 +tp3410 +a(g200 +V^\u000a? +p3411 +tp3412 +a(g892 +g958 +tp3413 +a(g7 +V&& +p3414 +tp3415 +a(g892 +g958 +tp3416 +a(g810 +Vgoto +p3417 +tp3418 +a(g892 +g958 +tp3419 +a(g892 +V/? +p3420 +tp3421 +a(g7 +g1067 +tp3422 +a(g892 +Vnul +p3423 +tp3424 +a(g892 +g958 +tp3425 +a(g7 +V&& +p3426 +tp3427 +a(g892 +g958 +tp3428 +a(g810 +Vgoto +p3429 +tp3430 +a(g892 +V:/? +p3431 +tp3432 +a(g892 +g958 +tp3433 +a(g7 +g1067 +tp3434 +a(g892 +Vnul +p3435 +tp3436 +a(g892 +g958 +tp3437 +a(g7 +V&& +p3438 +tp3439 +a(g892 +g958 +tp3440 +a(g810 +Vgoto +p3441 +tp3442 +a(g892 +g958 +tp3443 +a(g892 +g993 +tp3444 +a(g892 +g958 +tp3445 +a(g892 +V/? +p3446 +tp3447 +a(g892 +g958 +tp3448 +a(g892 +g993 +tp3449 +a(g892 +g958 +tp3450 +a(g7 +g1067 +tp3451 +a(g892 +Vnul +p3452 +tp3453 +a(g892 +g958 +tp3454 +a(g7 +V&& +p3455 +tp3456 +a(g892 +g958 +tp3457 +a(g7 +g988 +tp3458 +a(g810 +Vgoto +p3459 +tp3460 +a(g892 +g958 +tp3461 +a(g892 +V/? +p3462 +tp3463 +a(g892 +g958 +tp3464 +a(g892 +g968 +tp3465 +a(g7 +g993 +tp3466 +a(g7 +g1067 +tp3467 +a(g892 +Vnul +p3468 +tp3469 +a(g892 +V\u000a +p3470 +tp3471 +a(g892 +g1026 +tp3472 +a(g810 +Vset +p3473 +tp3474 +a(g428 +V+;/p extension'), +p3475 +tp3476 +a(g7 +g1026 +tp3477 +a(g892 +V.bat +p3478 +tp3479 +a(g892 +g968 +tp3480 +a(g892 +V\u000a +p3481 +tp3482 +a(g810 +Vfor +p3483 +tp3484 +a(g892 +g958 +tp3485 +a(g810 +V/f +p3486 +tp3487 +a(g892 +g958 +tp3488 +a(g144 +g1017 +tp3489 +a(g144 +Vtokens=2 delims== +p3490 +tp3491 +a(g144 +g1017 +tp3492 +a(g892 +g958 +tp3493 +a(g200 +V%% +p3494 +tp3495 +a(g892 +g2134 +tp3496 +a(g892 +g958 +tp3497 +a(g810 +Vin +p3498 +tp3499 +a(g892 +g958 +tp3500 +a(g7 +g988 +tp3501 +a(g892 +g958 +tp3502 +a(g217 +V'assoc +p3503 +tp3504 +a(g428 +V%+;/p extension'),% +p3505 +tp3506 +a(g217 +g1165 +tp3507 +a(g892 +V\u000a +p3508 +tp3509 +a(g7 +g993 +tp3510 +a(g892 +g958 +tp3511 +a(g810 +Vdo +p3512 +tp3513 +a(g892 +g958 +tp3514 +a(g7 +g988 +tp3515 +a(g892 +V\u000a +p3516 +tp3517 +a(g892 +g958 +tp3518 +a(g892 +g958 +tp3519 +a(g810 +Vassoc +p3520 +tp3521 +a(g892 +g958 +tp3522 +a(g22 +g1101 +tp3523 +a(g7 +g1067 +tp3524 +a(g892 +Vnul +p3525 +tp3526 +a(g892 +g958 +tp3527 +a(g428 +V%+;/p extension'),:*.=.% +p3528 +tp3529 +a(g892 +g1026 +tp3530 +a(g200 +V%% +p3531 +tp3532 +a(g892 +g2134 +tp3533 +a(g892 +g968 +tp3534 +a(g892 +V\u000a +p3535 +tp3536 +a(g892 +g958 +tp3537 +a(g892 +g958 +tp3538 +a(g810 +Vftype +p3539 +tp3540 +a(g892 +g958 +tp3541 +a(g22 +g1384 +tp3542 +a(g7 +g1067 +tp3543 +a(g892 +Vnul +p3544 +tp3545 +a(g892 +g958 +tp3546 +a(g200 +V%% +p3547 +tp3548 +a(g892 +g2134 +tp3549 +a(g892 +g968 +tp3550 +a(g892 +V\u000a +p3551 +tp3552 +a(g7 +g993 +tp3553 +a(g892 +g958 +tp3554 +a(g7 +g1146 +tp3555 +a(g7 +g1067 +tp3556 +a(g892 +Vnul +p3557 +tp3558 +a(g892 +g958 +tp3559 +a(g810 +Vver +p3560 +tp3561 +a(g892 +g968 +tp3562 +a(g892 +V\u000a +p3563 +tp3564 +a(g810 +Vif +p3565 +tp3566 +a(g892 +g958 +tp3567 +a(g810 +Verrorlevel +p3568 +tp3569 +a(g892 +g958 +tp3570 +a(g22 +g1011 +tp3571 +a(g892 +g958 +tp3572 +a(g810 +Vif +p3573 +tp3574 +a(g892 +g958 +tp3575 +a(g810 +Vnot +p3576 +tp3577 +a(g892 +g958 +tp3578 +a(g810 +Verrorlevel +p3579 +tp3580 +a(g892 +g958 +tp3581 +a(g22 +g1384 +tp3582 +a(g892 +g958 +tp3583 +a(g810 +Vset +p3584 +tp3585 +a(g892 +g958 +tp3586 +a(g810 +V/a +p3587 +tp3588 +a(g892 +g958 +tp3589 +a(g428 +V_passed +p3590 +tp3591 +a(g400 +V+= +p3592 +tp3593 +a(g22 +g1384 +tp3594 +a(g892 +g968 +tp3595 +a(g892 +V\u000a +p3596 +tp3597 +a(g810 +Vgoto +p3598 +tp3599 +a(g892 +g958 +tp3600 +a(g7 +g973 +tp3601 +a(g584 +Veof +p3602 +tp3603 +a(g892 +V\u000a +p3604 +tp3605 +a(g810 +VFOR +p3606 +tp3607 +a(g892 +g958 +tp3608 +a(g810 +V/F +p3609 +tp3610 +a(g892 +g958 +tp3611 +a(g200 +V%% +p3612 +tp3613 +a(g892 +Va +p3614 +tp3615 +a(g892 +g958 +tp3616 +a(g810 +VIN +p3617 +tp3618 +a(g892 +g958 +tp3619 +a(g7 +g988 +tp3620 +a(g217 +g1165 +tp3621 +a(g200 +V%% +p3622 +tp3623 +a(g217 +g1351 +tp3624 +a(g200 +V%% +p3625 +tp3626 +a(g217 +g1165 +tp3627 +a(g7 +g993 +tp3628 +a(g892 +g958 +tp3629 +a(g810 +VDO +p3630 +tp3631 +a(g892 +g958 +tp3632 +a(g200 +V%% +p3633 +tp3634 +a(g892 +g3614 +tp3635 +a(g892 +g968 +tp3636 +a(g892 +V\u000a +p3637 +tp3638 +a(g729 +Vrem %x% %x% %x% %x% %x% %x% %x% %x% %x% %x% %x% %x% %x% %x% %x% %x% +p3639 +tp3640 +a(g892 +g968 +tp3641 +a(g892 +V\u000a +p3642 +tp3643 +a(g892 +g968 +tp3644 +a(g7 +g973 +tp3645 +a(g584 +V/? +p3646 +tp3647 +a(g892 +g968 +tp3648 +a(g892 +V\u000a +p3649 +tp3650 +a(g810 +Vgoto +p3651 +tp3652 +a(g892 +g958 +tp3653 +a(g7 +g973 +tp3654 +a(g584 +Vfail +p3655 +tp3656 +a(g892 +V\u000a +p3657 +tp3658 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.bbc b/tests/examplefiles/output/example.bbc new file mode 100644 index 0000000..fb48ae2 --- /dev/null +++ b/tests/examplefiles/output/example.bbc @@ -0,0 +1,8691 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV10 +p956 +tp957 +a(g913 +V +p958 +tp959 +a(g883 +VREM +p960 +tp961 +a(g737 +V >EIRC +p962 +tp963 +a(g913 +V\u000a +p964 +tp965 +a(g8 +V20 +p966 +tp967 +a(g913 +g958 +tp968 +a(g883 +VREM +p969 +tp970 +a(g737 +V The simplest IRC client you can write. Maybe. +p971 +tp972 +a(g913 +V\u000a +p973 +tp974 +a(g8 +V30 +p975 +tp976 +a(g913 +g958 +tp977 +a(g883 +VREM +p978 +tp979 +a(g737 +V (C) Justin Fletcher, 1998 +p980 +tp981 +a(g913 +V\u000a +p982 +tp983 +a(g8 +V40 +p984 +tp985 +a(g913 +g958 +tp986 +a(g730 +V: +p987 +tp988 +a(g913 +V\u000a +p989 +tp990 +a(g8 +V50 +p991 +tp992 +a(g913 +g958 +tp993 +a(g818 +VEND +p994 +tp995 +a(g662 +V= +p996 +tp997 +a(g859 +VPAGE +p998 +tp999 +a(g290 +V+1024 +p1000 +tp1001 +a(g662 +V* +p1002 +tp1003 +a(g290 +V16 +p1004 +tp1005 +a(g913 +V\u000a +p1006 +tp1007 +a(g8 +V60 +p1008 +tp1009 +a(g913 +g958 +tp1010 +a(g883 +VREM +p1011 +tp1012 +a(g737 +V Change these if you wish +p1013 +tp1014 +a(g913 +V\u000a +p1015 +tp1016 +a(g8 +V70 +p1017 +tp1018 +a(g913 +g958 +tp1019 +a(g18 +Vhost$ +p1020 +tp1021 +a(g662 +g996 +tp1022 +a(g408 +V" +p1023 +tp1024 +a(g408 +Virc.stealth.net +p1025 +tp1026 +a(g408 +g1023 +tp1027 +a(g913 +V\u000a +p1028 +tp1029 +a(g8 +V80 +p1030 +tp1031 +a(g913 +g958 +tp1032 +a(g18 +Vport +p1033 +tp1034 +a(g662 +g996 +tp1035 +a(g290 +V6667 +p1036 +tp1037 +a(g913 +V\u000a +p1038 +tp1039 +a(g8 +V90 +p1040 +tp1041 +a(g913 +g958 +tp1042 +a(g18 +Vnick$ +p1043 +tp1044 +a(g662 +g996 +tp1045 +a(g408 +g1023 +tp1046 +a(g408 +Veirc +p1047 +tp1048 +a(g408 +g1023 +tp1049 +a(g913 +V\u000a +p1050 +tp1051 +a(g8 +V100 +p1052 +tp1053 +a(g913 +g958 +tp1054 +a(g18 +Vourchan$ +p1055 +tp1056 +a(g662 +g996 +tp1057 +a(g408 +g1023 +tp1058 +a(g408 +V#acorn +p1059 +tp1060 +a(g408 +g1023 +tp1061 +a(g913 +V\u000a +p1062 +tp1063 +a(g8 +V110 +p1064 +tp1065 +a(g913 +g958 +tp1066 +a(g730 +g987 +tp1067 +a(g913 +V\u000a +p1068 +tp1069 +a(g8 +V120 +p1070 +tp1071 +a(g913 +g958 +tp1072 +a(g883 +VREM +p1073 +tp1074 +a(g737 +V Start connecting to a host +p1075 +tp1076 +a(g913 +V\u000a +p1077 +tp1078 +a(g8 +V130 +p1079 +tp1080 +a(g913 +g958 +tp1081 +a(g818 +VSYS +p1082 +tp1083 +a(g913 +V +p1084 +tp1085 +a(g408 +g1023 +tp1086 +a(g408 +VESocket_ConnectToHost +p1087 +tp1088 +a(g408 +g1023 +tp1089 +a(g662 +V, +p1090 +tp1091 +a(g18 +Vhost$ +p1092 +tp1093 +a(g662 +g1090 +tp1094 +a(g18 +Vport +p1095 +tp1096 +a(g913 +g1084 +tp1097 +a(g818 +VTO +p1098 +tp1099 +a(g913 +g1084 +tp1100 +a(g18 +Vhandle +p1101 +tp1102 +a(g913 +V\u000a +p1103 +tp1104 +a(g8 +V140 +p1105 +tp1106 +a(g913 +g958 +tp1107 +a(g818 +VREPEAT +p1108 +tp1109 +a(g913 +V\u000a +p1110 +tp1111 +a(g8 +V150 +p1112 +tp1113 +a(g913 +g958 +tp1114 +a(g913 +g1084 +tp1115 +a(g818 +VSYS +p1116 +tp1117 +a(g913 +g1084 +tp1118 +a(g408 +g1023 +tp1119 +a(g408 +VESocket_CheckState +p1120 +tp1121 +a(g408 +g1023 +tp1122 +a(g662 +g1090 +tp1123 +a(g18 +Vhandle +p1124 +tp1125 +a(g913 +g1084 +tp1126 +a(g818 +VTO +p1127 +tp1128 +a(g913 +g1084 +tp1129 +a(g18 +Vstate +p1130 +tp1131 +a(g913 +V\u000a +p1132 +tp1133 +a(g8 +V160 +p1134 +tp1135 +a(g913 +g958 +tp1136 +a(g913 +g1084 +tp1137 +a(g818 +VIF +p1138 +tp1139 +a(g913 +g1084 +tp1140 +a(g18 +Vstate +p1141 +tp1142 +a(g662 +V< +p1143 +tp1144 +a(g290 +V-1 +p1145 +tp1146 +a(g913 +g1084 +tp1147 +a(g818 +VTHEN +p1148 +tp1149 +a(g818 +VSYS +p1150 +tp1151 +a(g913 +g1084 +tp1152 +a(g408 +g1023 +tp1153 +a(g408 +VESocket_Forget +p1154 +tp1155 +a(g408 +g1023 +tp1156 +a(g662 +g1090 +tp1157 +a(g18 +Vhandle +p1158 +tp1159 +a(g730 +g987 +tp1160 +a(g818 +VSYS +p1161 +tp1162 +a(g913 +g1084 +tp1163 +a(g408 +g1023 +tp1164 +a(g408 +VESocket_DecodeState +p1165 +tp1166 +a(g408 +g1023 +tp1167 +a(g662 +g1090 +tp1168 +a(g18 +Vstate +p1169 +tp1170 +a(g913 +g1084 +tp1171 +a(g818 +VTO +p1172 +tp1173 +a(g913 +g1084 +tp1174 +a(g18 +Va$ +p1175 +tp1176 +a(g730 +g987 +tp1177 +a(g859 +VERR +p1178 +tp1179 +a(g818 +VOR +p1180 +tp1181 +a(g913 +g1084 +tp1182 +a(g290 +V1 +p1183 +tp1184 +a(g662 +g1090 +tp1185 +a(g408 +g1023 +tp1186 +a(g408 +VFailed ( +p1187 +tp1188 +a(g408 +g1023 +tp1189 +a(g662 +V+ +p1190 +tp1191 +a(g18 +Va$ +p1192 +tp1193 +a(g662 +g1190 +tp1194 +a(g408 +g1023 +tp1195 +a(g408 +V) +p1196 +tp1197 +a(g408 +g1023 +tp1198 +a(g913 +V\u000a +p1199 +tp1200 +a(g8 +V170 +p1201 +tp1202 +a(g913 +g958 +tp1203 +a(g818 +VUNTIL +p1204 +tp1205 +a(g913 +g1084 +tp1206 +a(g18 +Vstate +p1207 +tp1208 +a(g662 +g996 +tp1209 +a(g290 +V4 +p1210 +tp1211 +a(g913 +V\u000a +p1212 +tp1213 +a(g8 +V180 +p1214 +tp1215 +a(g913 +g958 +tp1216 +a(g730 +g987 +tp1217 +a(g913 +V\u000a +p1218 +tp1219 +a(g8 +V190 +p1220 +tp1221 +a(g913 +g958 +tp1222 +a(g883 +VREM +p1223 +tp1224 +a(g737 +V We are now connected +p1225 +tp1226 +a(g913 +V\u000a +p1227 +tp1228 +a(g8 +V200 +p1229 +tp1230 +a(g913 +g958 +tp1231 +a(g818 +VPRINT +p1232 +tp1233 +a(g408 +g1023 +tp1234 +a(g408 +VConnected +p1235 +tp1236 +a(g408 +g1023 +tp1237 +a(g913 +V\u000a +p1238 +tp1239 +a(g8 +V210 +p1240 +tp1241 +a(g913 +g958 +tp1242 +a(g730 +g987 +tp1243 +a(g913 +V\u000a +p1244 +tp1245 +a(g8 +V220 +p1246 +tp1247 +a(g913 +g958 +tp1248 +a(g883 +VREM +p1249 +tp1250 +a(g737 +V Log on to the server +p1251 +tp1252 +a(g913 +V\u000a +p1253 +tp1254 +a(g8 +V230 +p1255 +tp1256 +a(g913 +g958 +tp1257 +a(g818 +VSYS +p1258 +tp1259 +a(g913 +g1084 +tp1260 +a(g408 +g1023 +tp1261 +a(g408 +VESocket_SendLine +p1262 +tp1263 +a(g408 +g1023 +tp1264 +a(g662 +g1090 +tp1265 +a(g18 +Vhandle +p1266 +tp1267 +a(g662 +g1090 +tp1268 +a(g408 +g1023 +tp1269 +a(g408 +VUSER +p1270 +tp1271 +a(g408 +g1023 +tp1272 +a(g662 +g1190 +tp1273 +a(g18 +Vnick$ +p1274 +tp1275 +a(g662 +g1190 +tp1276 +a(g408 +g1023 +tp1277 +a(g408 +V x x : +p1278 +tp1279 +a(g408 +g1023 +tp1280 +a(g662 +g1190 +tp1281 +a(g18 +Vnick$ +p1282 +tp1283 +a(g913 +V\u000a +p1284 +tp1285 +a(g8 +V240 +p1286 +tp1287 +a(g913 +g958 +tp1288 +a(g818 +VSYS +p1289 +tp1290 +a(g913 +g1084 +tp1291 +a(g408 +g1023 +tp1292 +a(g408 +VESocket_SendLine +p1293 +tp1294 +a(g408 +g1023 +tp1295 +a(g662 +g1090 +tp1296 +a(g18 +Vhandle +p1297 +tp1298 +a(g662 +g1090 +tp1299 +a(g408 +g1023 +tp1300 +a(g408 +VNICK +p1301 +tp1302 +a(g408 +g1023 +tp1303 +a(g662 +g1190 +tp1304 +a(g18 +Vnick$ +p1305 +tp1306 +a(g913 +V\u000a +p1307 +tp1308 +a(g8 +V250 +p1309 +tp1310 +a(g913 +g958 +tp1311 +a(g818 +VSYS +p1312 +tp1313 +a(g913 +g1084 +tp1314 +a(g408 +g1023 +tp1315 +a(g408 +VESocket_SendLine +p1316 +tp1317 +a(g408 +g1023 +tp1318 +a(g662 +g1090 +tp1319 +a(g18 +Vhandle +p1320 +tp1321 +a(g662 +g1090 +tp1322 +a(g408 +g1023 +tp1323 +a(g408 +VJOIN +p1324 +tp1325 +a(g408 +g1023 +tp1326 +a(g662 +g1190 +tp1327 +a(g18 +Vourchan$ +p1328 +tp1329 +a(g913 +V\u000a +p1330 +tp1331 +a(g8 +V260 +p1332 +tp1333 +a(g913 +g958 +tp1334 +a(g883 +VREM +p1335 +tp1336 +a(g737 +V Install a monitor so that we don't waste time +p1337 +tp1338 +a(g913 +V\u000a +p1339 +tp1340 +a(g8 +V270 +p1341 +tp1342 +a(g913 +g958 +tp1343 +a(g818 +VSYS +p1344 +tp1345 +a(g913 +g1084 +tp1346 +a(g408 +g1023 +tp1347 +a(g408 +VESocket_Monitor +p1348 +tp1349 +a(g408 +g1023 +tp1350 +a(g662 +g1090 +tp1351 +a(g290 +V0 +p1352 +tp1353 +a(g662 +g1090 +tp1354 +a(g18 +Vhandle +p1355 +tp1356 +a(g913 +g1084 +tp1357 +a(g818 +VTO +p1358 +tp1359 +a(g913 +g1084 +tp1360 +a(g18 +Vmonitor +p1361 +tp1362 +a(g913 +V\u000a +p1363 +tp1364 +a(g8 +V280 +p1365 +tp1366 +a(g913 +g958 +tp1367 +a(g818 +VSYS +p1368 +tp1369 +a(g913 +g1084 +tp1370 +a(g408 +g1023 +tp1371 +a(g408 +VESocket_ResetMonitor +p1372 +tp1373 +a(g408 +g1023 +tp1374 +a(g662 +g1090 +tp1375 +a(g18 +Vmonitor +p1376 +tp1377 +a(g662 +g1090 +tp1378 +a(g290 +g1352 +tp1379 +a(g913 +g1084 +tp1380 +a(g818 +VTO +p1381 +tp1382 +a(g913 +g1084 +tp1383 +a(g18 +Vpolladdr% +p1384 +tp1385 +a(g913 +V\u000a +p1386 +tp1387 +a(g8 +V290 +p1388 +tp1389 +a(g913 +g958 +tp1390 +a(g730 +g987 +tp1391 +a(g913 +V\u000a +p1392 +tp1393 +a(g8 +V300 +p1394 +tp1395 +a(g913 +g958 +tp1396 +a(g883 +VREM +p1397 +tp1398 +a(g737 +V If we crash, we should tidy up after ourselves +p1399 +tp1400 +a(g913 +V\u000a +p1401 +tp1402 +a(g8 +V310 +p1403 +tp1404 +a(g913 +g958 +tp1405 +a(g818 +VON +p1406 +tp1407 +a(g913 +g1084 +tp1408 +a(g859 +VERR +p1409 +tp1410 +a(g818 +VOR +p1411 +tp1412 +a(g913 +g1084 +tp1413 +a(g818 +VSYS +p1414 +tp1415 +a(g913 +g1084 +tp1416 +a(g408 +g1023 +tp1417 +a(g408 +VXESocket_Forget +p1418 +tp1419 +a(g408 +g1023 +tp1420 +a(g662 +g1090 +tp1421 +a(g18 +Vhandle +p1422 +tp1423 +a(g730 +g987 +tp1424 +a(g818 +VSYS +p1425 +tp1426 +a(g913 +g1084 +tp1427 +a(g408 +g1023 +tp1428 +a(g408 +VXESocket_Forget +p1429 +tp1430 +a(g408 +g1023 +tp1431 +a(g662 +g1090 +tp1432 +a(g18 +Vmonitor +p1433 +tp1434 +a(g730 +g987 +tp1435 +a(g859 +VERR +p1436 +tp1437 +a(g818 +VOR +p1438 +tp1439 +a(g913 +g1084 +tp1440 +a(g818 +VEXT +p1441 +tp1442 +a(g913 +g1084 +tp1443 +a(g859 +VERR +p1444 +tp1445 +a(g662 +g1090 +tp1446 +a(g859 +VREPORT$ +p1447 +tp1448 +a(g662 +g1190 +tp1449 +a(g408 +g1023 +tp1450 +a(g408 +V at line +p1451 +tp1452 +a(g408 +g1023 +tp1453 +a(g662 +g1190 +tp1454 +a(g818 +VSTR$ +p1455 +tp1456 +a(g859 +VERL +p1457 +tp1458 +a(g913 +V\u000a +p1459 +tp1460 +a(g8 +V320 +p1461 +tp1462 +a(g913 +g958 +tp1463 +a(g730 +g987 +tp1464 +a(g913 +V\u000a +p1465 +tp1466 +a(g8 +V330 +p1467 +tp1468 +a(g913 +g958 +tp1469 +a(g883 +VREM +p1470 +tp1471 +a(g737 +V Memory buffer for our data +p1472 +tp1473 +a(g913 +V\u000a +p1474 +tp1475 +a(g8 +V340 +p1476 +tp1477 +a(g913 +g958 +tp1478 +a(g18 +Vbufsize% +p1479 +tp1480 +a(g662 +g996 +tp1481 +a(g290 +V1024 +p1482 +tp1483 +a(g913 +V\u000a +p1484 +tp1485 +a(g8 +V350 +p1486 +tp1487 +a(g913 +g958 +tp1488 +a(g818 +VDIM +p1489 +tp1490 +a(g913 +g1084 +tp1491 +a(g18 +Vbuf% +p1492 +tp1493 +a(g913 +g1084 +tp1494 +a(g18 +Vbufsize% +p1495 +tp1496 +a(g913 +V\u000a +p1497 +tp1498 +a(g8 +V360 +p1499 +tp1500 +a(g913 +g958 +tp1501 +a(g730 +g987 +tp1502 +a(g913 +V\u000a +p1503 +tp1504 +a(g8 +V370 +p1505 +tp1506 +a(g913 +g958 +tp1507 +a(g18 +Vinput$ +p1508 +tp1509 +a(g662 +g996 +tp1510 +a(g408 +g1023 +tp1511 +a(g408 +g1023 +tp1512 +a(g730 +g987 +tp1513 +a(g883 +VREM +p1514 +tp1515 +a(g737 +V The input line +p1516 +tp1517 +a(g913 +V\u000a +p1518 +tp1519 +a(g8 +V380 +p1520 +tp1521 +a(g913 +g958 +tp1522 +a(g818 +VREPEAT +p1523 +tp1524 +a(g913 +V\u000a +p1525 +tp1526 +a(g8 +V390 +p1527 +tp1528 +a(g913 +g958 +tp1529 +a(g913 +g1084 +tp1530 +a(g883 +VREM +p1531 +tp1532 +a(g737 +V In a taskwindow we should yield until there is data +p1533 +tp1534 +a(g913 +V\u000a +p1535 +tp1536 +a(g8 +V400 +p1537 +tp1538 +a(g913 +g958 +tp1539 +a(g913 +g1084 +tp1540 +a(g818 +VSYS +p1541 +tp1542 +a(g913 +g1084 +tp1543 +a(g408 +g1023 +tp1544 +a(g408 +VOS_UpCall +p1545 +tp1546 +a(g408 +g1023 +tp1547 +a(g662 +g1090 +tp1548 +a(g290 +V6 +p1549 +tp1550 +a(g662 +g1090 +tp1551 +a(g18 +Vpolladdr% +p1552 +tp1553 +a(g913 +V\u000a +p1554 +tp1555 +a(g8 +V410 +p1556 +tp1557 +a(g913 +g958 +tp1558 +a(g913 +g1084 +tp1559 +a(g818 +VIF +p1560 +tp1561 +a(g913 +g1084 +tp1562 +a(g662 +V! +p1563 +tp1564 +a(g18 +Vpolladdr% +p1565 +tp1566 +a(g662 +g1143 +tp1567 +a(g662 +V> +p1568 +tp1569 +a(g290 +g1352 +tp1570 +a(g913 +g1084 +tp1571 +a(g818 +VTHEN +p1572 +tp1573 +a(g913 +V\u000a +p1574 +tp1575 +a(g8 +V420 +p1576 +tp1577 +a(g913 +V +p1578 +tp1579 +a(g883 +VREM +p1580 +tp1581 +a(g737 +V Reset the monitor for the time being +p1582 +tp1583 +a(g913 +V\u000a +p1584 +tp1585 +a(g8 +V430 +p1586 +tp1587 +a(g913 +g958 +tp1588 +a(g913 +V +p1589 +tp1590 +a(g818 +VSYS +p1591 +tp1592 +a(g913 +g1084 +tp1593 +a(g408 +g1023 +tp1594 +a(g408 +VESocket_ResetMonitor +p1595 +tp1596 +a(g408 +g1023 +tp1597 +a(g662 +g1090 +tp1598 +a(g18 +Vmonitor +p1599 +tp1600 +a(g662 +g1090 +tp1601 +a(g290 +g1352 +tp1602 +a(g913 +g1084 +tp1603 +a(g818 +VTO +p1604 +tp1605 +a(g913 +g1084 +tp1606 +a(g18 +Vpolladdr% +p1607 +tp1608 +a(g913 +V\u000a +p1609 +tp1610 +a(g8 +V440 +p1611 +tp1612 +a(g913 +g958 +tp1613 +a(g913 +V +p1614 +tp1615 +a(g818 +VREPEAT +p1616 +tp1617 +a(g913 +V\u000a +p1618 +tp1619 +a(g8 +V450 +p1620 +tp1621 +a(g913 +g958 +tp1622 +a(g913 +V +p1623 +tp1624 +a(g883 +VREM +p1625 +tp1626 +a(g737 +V Read lines from the connection until this buffer is empty +p1627 +tp1628 +a(g913 +V\u000a +p1629 +tp1630 +a(g8 +V460 +p1631 +tp1632 +a(g913 +g958 +tp1633 +a(g913 +V +p1634 +tp1635 +a(g818 +VSYS +p1636 +tp1637 +a(g913 +g1084 +tp1638 +a(g408 +g1023 +tp1639 +a(g408 +VESocket_ReadLine +p1640 +tp1641 +a(g408 +g1023 +tp1642 +a(g662 +g1090 +tp1643 +a(g18 +Vhandle +p1644 +tp1645 +a(g662 +g1090 +tp1646 +a(g18 +Vbuf% +p1647 +tp1648 +a(g662 +g1090 +tp1649 +a(g18 +Vbufsize% +p1650 +tp1651 +a(g662 +g1090 +tp1652 +a(g516 +V%100 +p1653 +tp1654 +a(g913 +g1084 +tp1655 +a(g818 +VTO +p1656 +tp1657 +a(g913 +g1084 +tp1658 +a(g662 +g1090 +tp1659 +a(g18 +Vstr +p1660 +tp1661 +a(g662 +g1090 +tp1662 +a(g18 +Vlen +p1663 +tp1664 +a(g913 +V\u000a +p1665 +tp1666 +a(g8 +V470 +p1667 +tp1668 +a(g913 +g958 +tp1669 +a(g913 +V +p1670 +tp1671 +a(g818 +VIF +p1672 +tp1673 +a(g913 +g1084 +tp1674 +a(g18 +Vstr +p1675 +tp1676 +a(g662 +g1143 +tp1677 +a(g662 +g1568 +tp1678 +a(g290 +g1352 +tp1679 +a(g913 +g1084 +tp1680 +a(g818 +VAND +p1681 +tp1682 +a(g913 +g1084 +tp1683 +a(g662 +V$ +p1684 +tp1685 +a(g18 +Vstr +p1686 +tp1687 +a(g662 +g1143 +tp1688 +a(g662 +g1568 +tp1689 +a(g408 +g1023 +tp1690 +a(g408 +g1023 +tp1691 +a(g913 +g1084 +tp1692 +a(g818 +VTHEN +p1693 +tp1694 +a(g913 +V\u000a +p1695 +tp1696 +a(g8 +V480 +p1697 +tp1698 +a(g913 +V +p1699 +tp1700 +a(g18 +Vline$ +p1701 +tp1702 +a(g662 +g996 +tp1703 +a(g662 +g1684 +tp1704 +a(g18 +Vstr +p1705 +tp1706 +a(g913 +V\u000a +p1707 +tp1708 +a(g8 +V490 +p1709 +tp1710 +a(g913 +g958 +tp1711 +a(g913 +V +p1712 +tp1713 +a(g818 +VIF +p1714 +tp1715 +a(g913 +g1084 +tp1716 +a(g818 +VLEFT$ +p1717 +tp1718 +a(g662 +V( +p1719 +tp1720 +a(g18 +Vline$ +p1721 +tp1722 +a(g662 +g1090 +tp1723 +a(g290 +g1210 +tp1724 +a(g662 +g1196 +tp1725 +a(g662 +g996 +tp1726 +a(g408 +g1023 +tp1727 +a(g408 +VPING +p1728 +tp1729 +a(g408 +g1023 +tp1730 +a(g913 +g1084 +tp1731 +a(g818 +VTHEN +p1732 +tp1733 +a(g913 +V\u000a +p1734 +tp1735 +a(g8 +V500 +p1736 +tp1737 +a(g913 +V +p1738 +tp1739 +a(g883 +VREM +p1740 +tp1741 +a(g737 +V Ping's must be replied to immediately +p1742 +tp1743 +a(g913 +V\u000a +p1744 +tp1745 +a(g8 +V510 +p1746 +tp1747 +a(g913 +g958 +tp1748 +a(g913 +V +p1749 +tp1750 +a(g818 +VSYS +p1751 +tp1752 +a(g913 +g1084 +tp1753 +a(g408 +g1023 +tp1754 +a(g408 +VESocket_SendLine +p1755 +tp1756 +a(g408 +g1023 +tp1757 +a(g662 +g1090 +tp1758 +a(g18 +Vhandle +p1759 +tp1760 +a(g662 +g1090 +tp1761 +a(g408 +g1023 +tp1762 +a(g408 +VPONG +p1763 +tp1764 +a(g408 +g1023 +tp1765 +a(g662 +g1190 +tp1766 +a(g818 +VMID$ +p1767 +tp1768 +a(g662 +g1719 +tp1769 +a(g18 +Vline$ +p1770 +tp1771 +a(g662 +g1090 +tp1772 +a(g290 +g1549 +tp1773 +a(g662 +g1196 +tp1774 +a(g913 +V\u000a +p1775 +tp1776 +a(g8 +V520 +p1777 +tp1778 +a(g913 +g958 +tp1779 +a(g913 +V +p1780 +tp1781 +a(g818 +VELSE +p1782 +tp1783 +a(g913 +V\u000a +p1784 +tp1785 +a(g8 +V530 +p1786 +tp1787 +a(g913 +g958 +tp1788 +a(g913 +V +p1789 +tp1790 +a(g883 +VREM +p1791 +tp1792 +a(g737 +V Extract source info +p1793 +tp1794 +a(g913 +V\u000a +p1795 +tp1796 +a(g8 +V540 +p1797 +tp1798 +a(g913 +g958 +tp1799 +a(g913 +V +p1800 +tp1801 +a(g18 +Vfrom$ +p1802 +tp1803 +a(g662 +g996 +tp1804 +a(g818 +VMID$ +p1805 +tp1806 +a(g662 +g1719 +tp1807 +a(g818 +VLEFT$ +p1808 +tp1809 +a(g662 +g1719 +tp1810 +a(g18 +Vline$ +p1811 +tp1812 +a(g662 +g1090 +tp1813 +a(g818 +VINSTR +p1814 +tp1815 +a(g662 +g1719 +tp1816 +a(g18 +Vline$ +p1817 +tp1818 +a(g662 +g1190 +tp1819 +a(g408 +g1023 +tp1820 +a(g408 +g1084 +tp1821 +a(g408 +g1023 +tp1822 +a(g662 +g1090 +tp1823 +a(g408 +g1023 +tp1824 +a(g408 +g1084 +tp1825 +a(g408 +g1023 +tp1826 +a(g662 +g1196 +tp1827 +a(g290 +V-1 +p1828 +tp1829 +a(g662 +g1196 +tp1830 +a(g662 +g1090 +tp1831 +a(g290 +V2 +p1832 +tp1833 +a(g662 +g1196 +tp1834 +a(g913 +V\u000a +p1835 +tp1836 +a(g8 +V550 +p1837 +tp1838 +a(g913 +g958 +tp1839 +a(g913 +V +p1840 +tp1841 +a(g18 +Vline$ +p1842 +tp1843 +a(g662 +g996 +tp1844 +a(g818 +VMID$ +p1845 +tp1846 +a(g662 +g1719 +tp1847 +a(g18 +Vline$ +p1848 +tp1849 +a(g662 +g1090 +tp1850 +a(g818 +VINSTR +p1851 +tp1852 +a(g662 +g1719 +tp1853 +a(g18 +Vline$ +p1854 +tp1855 +a(g662 +g1190 +tp1856 +a(g408 +g1023 +tp1857 +a(g408 +g1084 +tp1858 +a(g408 +g1023 +tp1859 +a(g662 +g1090 +tp1860 +a(g408 +g1023 +tp1861 +a(g408 +g1084 +tp1862 +a(g408 +g1023 +tp1863 +a(g662 +g1196 +tp1864 +a(g290 +V+1 +p1865 +tp1866 +a(g662 +g1196 +tp1867 +a(g913 +V\u000a +p1868 +tp1869 +a(g8 +V560 +p1870 +tp1871 +a(g913 +g958 +tp1872 +a(g913 +V +p1873 +tp1874 +a(g18 +Vuid$ +p1875 +tp1876 +a(g662 +g996 +tp1877 +a(g818 +VLEFT$ +p1878 +tp1879 +a(g662 +g1719 +tp1880 +a(g18 +Vfrom$ +p1881 +tp1882 +a(g662 +g1090 +tp1883 +a(g818 +VINSTR +p1884 +tp1885 +a(g662 +g1719 +tp1886 +a(g18 +Vfrom$ +p1887 +tp1888 +a(g662 +g1190 +tp1889 +a(g408 +g1023 +tp1890 +a(g408 +g1563 +tp1891 +a(g408 +g1023 +tp1892 +a(g662 +g1090 +tp1893 +a(g408 +g1023 +tp1894 +a(g408 +g1563 +tp1895 +a(g408 +g1023 +tp1896 +a(g662 +g1196 +tp1897 +a(g290 +V-1 +p1898 +tp1899 +a(g662 +g1196 +tp1900 +a(g913 +V\u000a +p1901 +tp1902 +a(g8 +V570 +p1903 +tp1904 +a(g913 +g958 +tp1905 +a(g913 +V +p1906 +tp1907 +a(g18 +Vcom$ +p1908 +tp1909 +a(g662 +g996 +tp1910 +a(g818 +VLEFT$ +p1911 +tp1912 +a(g662 +g1719 +tp1913 +a(g18 +Vline$ +p1914 +tp1915 +a(g662 +g1090 +tp1916 +a(g818 +VINSTR +p1917 +tp1918 +a(g662 +g1719 +tp1919 +a(g18 +Vline$ +p1920 +tp1921 +a(g662 +g1190 +tp1922 +a(g408 +g1023 +tp1923 +a(g408 +g1084 +tp1924 +a(g408 +g1023 +tp1925 +a(g662 +g1090 +tp1926 +a(g408 +g1023 +tp1927 +a(g408 +g1084 +tp1928 +a(g408 +g1023 +tp1929 +a(g662 +g1196 +tp1930 +a(g290 +V-1 +p1931 +tp1932 +a(g662 +g1196 +tp1933 +a(g913 +V\u000a +p1934 +tp1935 +a(g8 +V580 +p1936 +tp1937 +a(g913 +g958 +tp1938 +a(g913 +V +p1939 +tp1940 +a(g18 +Vline$ +p1941 +tp1942 +a(g662 +g996 +tp1943 +a(g818 +VMID$ +p1944 +tp1945 +a(g662 +g1719 +tp1946 +a(g18 +Vline$ +p1947 +tp1948 +a(g662 +g1090 +tp1949 +a(g818 +VINSTR +p1950 +tp1951 +a(g662 +g1719 +tp1952 +a(g18 +Vline$ +p1953 +tp1954 +a(g662 +g1190 +tp1955 +a(g408 +g1023 +tp1956 +a(g408 +g1084 +tp1957 +a(g408 +g1023 +tp1958 +a(g662 +g1090 +tp1959 +a(g408 +g1023 +tp1960 +a(g408 +g1084 +tp1961 +a(g408 +g1023 +tp1962 +a(g662 +g1196 +tp1963 +a(g290 +V+1 +p1964 +tp1965 +a(g662 +g1196 +tp1966 +a(g913 +V\u000a +p1967 +tp1968 +a(g8 +V590 +p1969 +tp1970 +a(g913 +g958 +tp1971 +a(g913 +V +p1972 +tp1973 +a(g883 +VREM +p1974 +tp1975 +a(g737 +V remove the input line +p1976 +tp1977 +a(g913 +V\u000a +p1978 +tp1979 +a(g8 +V600 +p1980 +tp1981 +a(g913 +g958 +tp1982 +a(g913 +V +p1983 +tp1984 +a(g818 +VIF +p1985 +tp1986 +a(g913 +g1084 +tp1987 +a(g18 +Vinput$ +p1988 +tp1989 +a(g662 +g1143 +tp1990 +a(g662 +g1568 +tp1991 +a(g408 +g1023 +tp1992 +a(g408 +g1023 +tp1993 +a(g913 +g1084 +tp1994 +a(g818 +VTHEN +p1995 +tp1996 +a(g818 +VFOR +p1997 +tp1998 +a(g18 +VI +p1999 +tp2000 +a(g662 +g996 +tp2001 +a(g290 +g1183 +tp2002 +a(g818 +VTO +p2003 +tp2004 +a(g818 +VLEN +p2005 +tp2006 +a(g662 +g1719 +tp2007 +a(g18 +Vinput$ +p2008 +tp2009 +a(g662 +g1196 +tp2010 +a(g730 +g987 +tp2011 +a(g818 +VVDU +p2012 +tp2013 +a(g290 +V127 +p2014 +tp2015 +a(g730 +g987 +tp2016 +a(g818 +VNEXT +p2017 +tp2018 +a(g913 +V\u000a +p2019 +tp2020 +a(g8 +V610 +p2021 +tp2022 +a(g913 +g958 +tp2023 +a(g913 +V +p2024 +tp2025 +a(g818 +VCASE +p2026 +tp2027 +a(g913 +g1084 +tp2028 +a(g818 +VFN +p2029 +tp2030 +a(g156 +Vupper +p2031 +tp2032 +a(g662 +g1719 +tp2033 +a(g18 +Vcom$ +p2034 +tp2035 +a(g662 +g1196 +tp2036 +a(g913 +g1084 +tp2037 +a(g818 +VOF +p2038 +tp2039 +a(g913 +V\u000a +p2040 +tp2041 +a(g8 +V620 +p2042 +tp2043 +a(g913 +g958 +tp2044 +a(g913 +V +p2045 +tp2046 +a(g818 +VWHEN +p2047 +tp2048 +a(g913 +g1084 +tp2049 +a(g408 +g1023 +tp2050 +a(g408 +VPRIVMSG +p2051 +tp2052 +a(g408 +g1023 +tp2053 +a(g913 +V\u000a +p2054 +tp2055 +a(g8 +V630 +p2056 +tp2057 +a(g913 +g958 +tp2058 +a(g913 +V +p2059 +tp2060 +a(g883 +VREM +p2061 +tp2062 +a(g737 +V Extract the destination +p2063 +tp2064 +a(g913 +V\u000a +p2065 +tp2066 +a(g8 +V640 +p2067 +tp2068 +a(g913 +g958 +tp2069 +a(g913 +V +p2070 +tp2071 +a(g18 +Vchan$ +p2072 +tp2073 +a(g662 +g996 +tp2074 +a(g818 +VLEFT$ +p2075 +tp2076 +a(g662 +g1719 +tp2077 +a(g18 +Vline$ +p2078 +tp2079 +a(g662 +g1090 +tp2080 +a(g818 +VINSTR +p2081 +tp2082 +a(g662 +g1719 +tp2083 +a(g18 +Vline$ +p2084 +tp2085 +a(g662 +g1190 +tp2086 +a(g408 +g1023 +tp2087 +a(g408 +g1084 +tp2088 +a(g408 +g1023 +tp2089 +a(g662 +g1090 +tp2090 +a(g408 +g1023 +tp2091 +a(g408 +g1084 +tp2092 +a(g408 +g1023 +tp2093 +a(g662 +g1196 +tp2094 +a(g290 +V-1 +p2095 +tp2096 +a(g662 +g1196 +tp2097 +a(g913 +V\u000a +p2098 +tp2099 +a(g8 +V650 +p2100 +tp2101 +a(g913 +g958 +tp2102 +a(g913 +V +p2103 +tp2104 +a(g18 +Vline$ +p2105 +tp2106 +a(g662 +g996 +tp2107 +a(g818 +VMID$ +p2108 +tp2109 +a(g662 +g1719 +tp2110 +a(g18 +Vline$ +p2111 +tp2112 +a(g662 +g1090 +tp2113 +a(g818 +VINSTR +p2114 +tp2115 +a(g662 +g1719 +tp2116 +a(g18 +Vline$ +p2117 +tp2118 +a(g662 +g1190 +tp2119 +a(g408 +g1023 +tp2120 +a(g408 +g1084 +tp2121 +a(g408 +g1023 +tp2122 +a(g662 +g1090 +tp2123 +a(g408 +g1023 +tp2124 +a(g408 +g1084 +tp2125 +a(g408 +g1023 +tp2126 +a(g662 +g1196 +tp2127 +a(g290 +V+2 +p2128 +tp2129 +a(g662 +g1196 +tp2130 +a(g730 +g987 +tp2131 +a(g883 +VREM +p2132 +tp2133 +a(g737 +V Skip : +p2134 +tp2135 +a(g913 +V\u000a +p2136 +tp2137 +a(g8 +V660 +p2138 +tp2139 +a(g913 +g958 +tp2140 +a(g913 +V +p2141 +tp2142 +a(g818 +VIF +p2143 +tp2144 +a(g913 +g1084 +tp2145 +a(g818 +VLEFT$ +p2146 +tp2147 +a(g662 +g1719 +tp2148 +a(g18 +Vline$ +p2149 +tp2150 +a(g662 +g1090 +tp2151 +a(g290 +g1183 +tp2152 +a(g662 +g1196 +tp2153 +a(g662 +g996 +tp2154 +a(g818 +VCHR$ +p2155 +tp2156 +a(g290 +g1183 +tp2157 +a(g913 +g1084 +tp2158 +a(g818 +VTHEN +p2159 +tp2160 +a(g913 +V\u000a +p2161 +tp2162 +a(g8 +V670 +p2163 +tp2164 +a(g913 +V +p2165 +tp2166 +a(g883 +VREM +p2167 +tp2168 +a(g737 +V CTCP, so respond to it +p2169 +tp2170 +a(g913 +V\u000a +p2171 +tp2172 +a(g8 +V680 +p2173 +tp2174 +a(g913 +g958 +tp2175 +a(g913 +V +p2176 +tp2177 +a(g18 +Vline$ +p2178 +tp2179 +a(g662 +g996 +tp2180 +a(g818 +VMID$ +p2181 +tp2182 +a(g662 +g1719 +tp2183 +a(g18 +Vline$ +p2184 +tp2185 +a(g662 +g1090 +tp2186 +a(g290 +g1832 +tp2187 +a(g662 +g1090 +tp2188 +a(g818 +VLEN +p2189 +tp2190 +a(g662 +g1719 +tp2191 +a(g18 +Vline$ +p2192 +tp2193 +a(g662 +g1196 +tp2194 +a(g290 +V-2 +p2195 +tp2196 +a(g662 +g1196 +tp2197 +a(g913 +V\u000a +p2198 +tp2199 +a(g8 +V690 +p2200 +tp2201 +a(g913 +g958 +tp2202 +a(g913 +V +p2203 +tp2204 +a(g18 +Vcom$ +p2205 +tp2206 +a(g662 +g996 +tp2207 +a(g818 +VLEFT$ +p2208 +tp2209 +a(g662 +g1719 +tp2210 +a(g18 +Vline$ +p2211 +tp2212 +a(g662 +g1090 +tp2213 +a(g818 +VINSTR +p2214 +tp2215 +a(g662 +g1719 +tp2216 +a(g18 +Vline$ +p2217 +tp2218 +a(g662 +g1190 +tp2219 +a(g408 +g1023 +tp2220 +a(g408 +g1084 +tp2221 +a(g408 +g1023 +tp2222 +a(g662 +g1090 +tp2223 +a(g408 +g1023 +tp2224 +a(g408 +g1084 +tp2225 +a(g408 +g1023 +tp2226 +a(g662 +g1196 +tp2227 +a(g290 +V-1 +p2228 +tp2229 +a(g662 +g1196 +tp2230 +a(g913 +V\u000a +p2231 +tp2232 +a(g8 +V700 +p2233 +tp2234 +a(g913 +g958 +tp2235 +a(g913 +V +p2236 +tp2237 +a(g18 +Vline$ +p2238 +tp2239 +a(g662 +g996 +tp2240 +a(g818 +VMID$ +p2241 +tp2242 +a(g662 +g1719 +tp2243 +a(g18 +Vline$ +p2244 +tp2245 +a(g662 +g1090 +tp2246 +a(g818 +VINSTR +p2247 +tp2248 +a(g662 +g1719 +tp2249 +a(g18 +Vline$ +p2250 +tp2251 +a(g662 +g1190 +tp2252 +a(g408 +g1023 +tp2253 +a(g408 +g1084 +tp2254 +a(g408 +g1023 +tp2255 +a(g662 +g1090 +tp2256 +a(g408 +g1023 +tp2257 +a(g408 +g1084 +tp2258 +a(g408 +g1023 +tp2259 +a(g662 +g1196 +tp2260 +a(g290 +V+1 +p2261 +tp2262 +a(g662 +g1196 +tp2263 +a(g913 +V\u000a +p2264 +tp2265 +a(g8 +V710 +p2266 +tp2267 +a(g913 +g958 +tp2268 +a(g913 +V +p2269 +tp2270 +a(g818 +VCASE +p2271 +tp2272 +a(g913 +g1084 +tp2273 +a(g818 +VFN +p2274 +tp2275 +a(g156 +Vupper +p2276 +tp2277 +a(g662 +g1719 +tp2278 +a(g18 +Vcom$ +p2279 +tp2280 +a(g662 +g1196 +tp2281 +a(g913 +g1084 +tp2282 +a(g818 +VOF +p2283 +tp2284 +a(g913 +V\u000a +p2285 +tp2286 +a(g8 +V720 +p2287 +tp2288 +a(g913 +g958 +tp2289 +a(g913 +V +p2290 +tp2291 +a(g818 +VWHEN +p2292 +tp2293 +a(g913 +g1084 +tp2294 +a(g408 +g1023 +tp2295 +a(g408 +VPING +p2296 +tp2297 +a(g408 +g1023 +tp2298 +a(g913 +V\u000a +p2299 +tp2300 +a(g8 +V730 +p2301 +tp2302 +a(g913 +g958 +tp2303 +a(g913 +V +p2304 +tp2305 +a(g883 +VREM +p2306 +tp2307 +a(g737 +V Ping lag timing +p2308 +tp2309 +a(g913 +V\u000a +p2310 +tp2311 +a(g8 +V740 +p2312 +tp2313 +a(g913 +g958 +tp2314 +a(g913 +V +p2315 +tp2316 +a(g18 +Vline$ +p2317 +tp2318 +a(g662 +g996 +tp2319 +a(g408 +g1023 +tp2320 +a(g408 +VPONG +p2321 +tp2322 +a(g408 +g1023 +tp2323 +a(g662 +g1190 +tp2324 +a(g18 +Vline$ +p2325 +tp2326 +a(g913 +V\u000a +p2327 +tp2328 +a(g8 +V750 +p2329 +tp2330 +a(g913 +g958 +tp2331 +a(g913 +V +p2332 +tp2333 +a(g818 +VPRINT +p2334 +tp2335 +a(g18 +Vuid$ +p2336 +tp2337 +a(g662 +V; +p2338 +tp2339 +a(g408 +g1023 +tp2340 +a(g408 +V pinged us +p2341 +tp2342 +a(g408 +g1023 +tp2343 +a(g913 +V\u000a +p2344 +tp2345 +a(g8 +V760 +p2346 +tp2347 +a(g913 +g958 +tp2348 +a(g913 +V +p2349 +tp2350 +a(g818 +VWHEN +p2351 +tp2352 +a(g913 +g1084 +tp2353 +a(g408 +g1023 +tp2354 +a(g408 +VVERSION +p2355 +tp2356 +a(g408 +g1023 +tp2357 +a(g913 +V\u000a +p2358 +tp2359 +a(g8 +V770 +p2360 +tp2361 +a(g913 +g958 +tp2362 +a(g913 +V +p2363 +tp2364 +a(g883 +VREM +p2365 +tp2366 +a(g737 +V Version checking +p2367 +tp2368 +a(g913 +V\u000a +p2369 +tp2370 +a(g8 +V780 +p2371 +tp2372 +a(g913 +g958 +tp2373 +a(g913 +V +p2374 +tp2375 +a(g18 +Vline$ +p2376 +tp2377 +a(g662 +g996 +tp2378 +a(g408 +g1023 +tp2379 +a(g408 +VVERSION EIRC 1.00 (c) Justin Fletcher +p2380 +tp2381 +a(g408 +g1023 +tp2382 +a(g913 +V\u000a +p2383 +tp2384 +a(g8 +V790 +p2385 +tp2386 +a(g913 +g958 +tp2387 +a(g913 +V +p2388 +tp2389 +a(g818 +VPRINT +p2390 +tp2391 +a(g18 +Vuid$ +p2392 +tp2393 +a(g662 +g2338 +tp2394 +a(g408 +g1023 +tp2395 +a(g408 +V wanted our version +p2396 +tp2397 +a(g408 +g1023 +tp2398 +a(g913 +V\u000a +p2399 +tp2400 +a(g8 +V800 +p2401 +tp2402 +a(g913 +g958 +tp2403 +a(g913 +V +p2404 +tp2405 +a(g818 +VWHEN +p2406 +tp2407 +a(g913 +g1084 +tp2408 +a(g408 +g1023 +tp2409 +a(g408 +VACTION +p2410 +tp2411 +a(g408 +g1023 +tp2412 +a(g913 +V\u000a +p2413 +tp2414 +a(g8 +V810 +p2415 +tp2416 +a(g913 +g958 +tp2417 +a(g913 +V +p2418 +tp2419 +a(g818 +VPRINT +p2420 +tp2421 +a(g408 +g1023 +tp2422 +a(g408 +V* +p2423 +tp2424 +a(g408 +g1023 +tp2425 +a(g662 +g2338 +tp2426 +a(g18 +Vuid$ +p2427 +tp2428 +a(g662 +g2338 +tp2429 +a(g408 +g1023 +tp2430 +a(g408 +g1084 +tp2431 +a(g408 +g1023 +tp2432 +a(g662 +g2338 +tp2433 +a(g18 +Vline$ +p2434 +tp2435 +a(g913 +V\u000a +p2436 +tp2437 +a(g8 +V820 +p2438 +tp2439 +a(g913 +g958 +tp2440 +a(g913 +V +p2441 +tp2442 +a(g18 +Vline$ +p2443 +tp2444 +a(g662 +g996 +tp2445 +a(g408 +g1023 +tp2446 +a(g408 +g1023 +tp2447 +a(g913 +V\u000a +p2448 +tp2449 +a(g8 +V830 +p2450 +tp2451 +a(g913 +g958 +tp2452 +a(g913 +V +p2453 +tp2454 +a(g818 +VOTHERWISE +p2455 +tp2456 +a(g913 +V\u000a +p2457 +tp2458 +a(g8 +V840 +p2459 +tp2460 +a(g913 +g958 +tp2461 +a(g913 +V +p2462 +tp2463 +a(g883 +VREM +p2464 +tp2465 +a(g737 +V everything else is an error +p2466 +tp2467 +a(g913 +V\u000a +p2468 +tp2469 +a(g8 +V850 +p2470 +tp2471 +a(g913 +g958 +tp2472 +a(g913 +V +p2473 +tp2474 +a(g18 +Vline$ +p2475 +tp2476 +a(g662 +g996 +tp2477 +a(g408 +g1023 +tp2478 +a(g408 +VERRMSG +p2479 +tp2480 +a(g408 +g1023 +tp2481 +a(g662 +g1190 +tp2482 +a(g18 +Vcom$ +p2483 +tp2484 +a(g662 +g1190 +tp2485 +a(g408 +g1023 +tp2486 +a(g408 +V not understood +p2487 +tp2488 +a(g408 +g1023 +tp2489 +a(g913 +V\u000a +p2490 +tp2491 +a(g8 +V860 +p2492 +tp2493 +a(g913 +g958 +tp2494 +a(g913 +V +p2495 +tp2496 +a(g818 +VPRINT +p2497 +tp2498 +a(g408 +g1023 +tp2499 +a(g408 +VCTCP ' +p2500 +tp2501 +a(g408 +g1023 +tp2502 +a(g662 +g2338 +tp2503 +a(g18 +Vcom$ +p2504 +tp2505 +a(g662 +g2338 +tp2506 +a(g408 +g1023 +tp2507 +a(g408 +V' from +p2508 +tp2509 +a(g408 +g1023 +tp2510 +a(g662 +g2338 +tp2511 +a(g18 +Vuid$ +p2512 +tp2513 +a(g662 +g2338 +tp2514 +a(g408 +g1023 +tp2515 +a(g408 +V ( +p2516 +tp2517 +a(g408 +g1023 +tp2518 +a(g662 +g2338 +tp2519 +a(g18 +Vline$ +p2520 +tp2521 +a(g662 +g2338 +tp2522 +a(g408 +g1023 +tp2523 +a(g408 +g1196 +tp2524 +a(g408 +g1023 +tp2525 +a(g913 +V\u000a +p2526 +tp2527 +a(g8 +V870 +p2528 +tp2529 +a(g913 +g958 +tp2530 +a(g913 +V +p2531 +tp2532 +a(g818 +VEND +p2533 +tp2534 +a(g818 +VCASE +p2535 +tp2536 +a(g913 +V\u000a +p2537 +tp2538 +a(g8 +V880 +p2539 +tp2540 +a(g913 +g958 +tp2541 +a(g913 +V +p2542 +tp2543 +a(g818 +VIF +p2544 +tp2545 +a(g913 +g1084 +tp2546 +a(g18 +Vline$ +p2547 +tp2548 +a(g662 +g1143 +tp2549 +a(g662 +g1568 +tp2550 +a(g408 +g1023 +tp2551 +a(g408 +g1023 +tp2552 +a(g913 +g1084 +tp2553 +a(g818 +VTHEN +p2554 +tp2555 +a(g913 +V\u000a +p2556 +tp2557 +a(g8 +V890 +p2558 +tp2559 +a(g913 +V +p2560 +tp2561 +a(g818 +VSYS +p2562 +tp2563 +a(g913 +g1084 +tp2564 +a(g408 +g1023 +tp2565 +a(g408 +VESocket_SendLine +p2566 +tp2567 +a(g408 +g1023 +tp2568 +a(g662 +g1090 +tp2569 +a(g18 +Vhandle +p2570 +tp2571 +a(g662 +g1090 +tp2572 +a(g408 +g1023 +tp2573 +a(g408 +VNOTICE +p2574 +tp2575 +a(g408 +g1023 +tp2576 +a(g662 +g1190 +tp2577 +a(g18 +Vuid$ +p2578 +tp2579 +a(g662 +g1190 +tp2580 +a(g408 +g1023 +tp2581 +a(g408 +V : +p2582 +tp2583 +a(g408 +g1023 +tp2584 +a(g662 +g1190 +tp2585 +a(g818 +VCHR$ +p2586 +tp2587 +a(g290 +g1183 +tp2588 +a(g662 +g1190 +tp2589 +a(g18 +Vline$ +p2590 +tp2591 +a(g662 +g1190 +tp2592 +a(g818 +VCHR$ +p2593 +tp2594 +a(g290 +g1183 +tp2595 +a(g913 +V\u000a +p2596 +tp2597 +a(g8 +V900 +p2598 +tp2599 +a(g913 +g958 +tp2600 +a(g913 +V +p2601 +tp2602 +a(g818 +VEND +p2603 +tp2604 +a(g818 +VIF +p2605 +tp2606 +a(g913 +V\u000a +p2607 +tp2608 +a(g8 +V910 +p2609 +tp2610 +a(g913 +g958 +tp2611 +a(g913 +V +p2612 +tp2613 +a(g818 +VELSE +p2614 +tp2615 +a(g913 +V\u000a +p2616 +tp2617 +a(g8 +V920 +p2618 +tp2619 +a(g913 +g958 +tp2620 +a(g913 +V +p2621 +tp2622 +a(g883 +VREM +p2623 +tp2624 +a(g737 +V Somebody said something... +p2625 +tp2626 +a(g913 +V\u000a +p2627 +tp2628 +a(g8 +V930 +p2629 +tp2630 +a(g913 +g958 +tp2631 +a(g913 +V +p2632 +tp2633 +a(g818 +VPRINT +p2634 +tp2635 +a(g408 +g1023 +tp2636 +a(g408 +g1143 +tp2637 +a(g408 +g1023 +tp2638 +a(g662 +g2338 +tp2639 +a(g18 +Vuid$ +p2640 +tp2641 +a(g662 +g2338 +tp2642 +a(g408 +g1023 +tp2643 +a(g408 +V> +p2644 +tp2645 +a(g408 +g1023 +tp2646 +a(g662 +g2338 +tp2647 +a(g818 +VFN +p2648 +tp2649 +a(g156 +Vsafe +p2650 +tp2651 +a(g662 +g1719 +tp2652 +a(g18 +Vline$ +p2653 +tp2654 +a(g662 +g1196 +tp2655 +a(g913 +V\u000a +p2656 +tp2657 +a(g8 +V940 +p2658 +tp2659 +a(g913 +g958 +tp2660 +a(g913 +V +p2661 +tp2662 +a(g818 +VEND +p2663 +tp2664 +a(g818 +VIF +p2665 +tp2666 +a(g913 +V\u000a +p2667 +tp2668 +a(g8 +V950 +p2669 +tp2670 +a(g913 +g958 +tp2671 +a(g913 +V +p2672 +tp2673 +a(g818 +VWHEN +p2674 +tp2675 +a(g913 +g1084 +tp2676 +a(g408 +g1023 +tp2677 +a(g408 +VJOIN +p2678 +tp2679 +a(g408 +g1023 +tp2680 +a(g913 +V\u000a +p2681 +tp2682 +a(g8 +V960 +p2683 +tp2684 +a(g913 +g958 +tp2685 +a(g913 +V +p2686 +tp2687 +a(g883 +VREM +p2688 +tp2689 +a(g737 +V We (or someone else) has joined the channel +p2690 +tp2691 +a(g913 +V\u000a +p2692 +tp2693 +a(g8 +V970 +p2694 +tp2695 +a(g913 +g958 +tp2696 +a(g913 +V +p2697 +tp2698 +a(g18 +Vchan$ +p2699 +tp2700 +a(g662 +g996 +tp2701 +a(g818 +VLEFT$ +p2702 +tp2703 +a(g662 +g1719 +tp2704 +a(g18 +Vline$ +p2705 +tp2706 +a(g662 +g1090 +tp2707 +a(g818 +VINSTR +p2708 +tp2709 +a(g662 +g1719 +tp2710 +a(g18 +Vline$ +p2711 +tp2712 +a(g662 +g1190 +tp2713 +a(g408 +g1023 +tp2714 +a(g408 +g1084 +tp2715 +a(g408 +g1023 +tp2716 +a(g662 +g1090 +tp2717 +a(g408 +g1023 +tp2718 +a(g408 +g1084 +tp2719 +a(g408 +g1023 +tp2720 +a(g662 +g1196 +tp2721 +a(g662 +g1196 +tp2722 +a(g730 +g987 +tp2723 +a(g883 +VREM +p2724 +tp2725 +a(g737 +V Skip : +p2726 +tp2727 +a(g913 +V\u000a +p2728 +tp2729 +a(g8 +V980 +p2730 +tp2731 +a(g913 +g958 +tp2732 +a(g913 +V +p2733 +tp2734 +a(g818 +VIF +p2735 +tp2736 +a(g913 +g1084 +tp2737 +a(g818 +VLEFT$ +p2738 +tp2739 +a(g662 +g1719 +tp2740 +a(g18 +Vchan$ +p2741 +tp2742 +a(g662 +g1090 +tp2743 +a(g290 +g1183 +tp2744 +a(g662 +g1196 +tp2745 +a(g662 +g996 +tp2746 +a(g408 +g1023 +tp2747 +a(g408 +g987 +tp2748 +a(g408 +g1023 +tp2749 +a(g913 +g1084 +tp2750 +a(g818 +VTHEN +p2751 +tp2752 +a(g18 +Vchan$ +p2753 +tp2754 +a(g662 +g996 +tp2755 +a(g818 +VMID$ +p2756 +tp2757 +a(g662 +g1719 +tp2758 +a(g18 +Vchan$ +p2759 +tp2760 +a(g662 +g1090 +tp2761 +a(g290 +g1832 +tp2762 +a(g662 +g1196 +tp2763 +a(g913 +V\u000a +p2764 +tp2765 +a(g8 +V990 +p2766 +tp2767 +a(g913 +g958 +tp2768 +a(g913 +V +p2769 +tp2770 +a(g818 +VPRINT +p2771 +tp2772 +a(g18 +Vuid$ +p2773 +tp2774 +a(g662 +g2338 +tp2775 +a(g408 +g1023 +tp2776 +a(g408 +V has joined +p2777 +tp2778 +a(g408 +g1023 +tp2779 +a(g662 +g2338 +tp2780 +a(g18 +Vchan$ +p2781 +tp2782 +a(g913 +V\u000a +p2783 +tp2784 +a(g8 +V1000 +p2785 +tp2786 +a(g913 +g958 +tp2787 +a(g913 +V +p2788 +tp2789 +a(g818 +VWHEN +p2790 +tp2791 +a(g913 +g1084 +tp2792 +a(g408 +g1023 +tp2793 +a(g408 +VPART +p2794 +tp2795 +a(g408 +g1023 +tp2796 +a(g913 +V\u000a +p2797 +tp2798 +a(g8 +V1010 +p2799 +tp2800 +a(g913 +g958 +tp2801 +a(g913 +V +p2802 +tp2803 +a(g883 +VREM +p2804 +tp2805 +a(g737 +V Someone else has left the channel +p2806 +tp2807 +a(g913 +V\u000a +p2808 +tp2809 +a(g8 +V1020 +p2810 +tp2811 +a(g913 +g958 +tp2812 +a(g913 +V +p2813 +tp2814 +a(g18 +Vchan$ +p2815 +tp2816 +a(g662 +g996 +tp2817 +a(g818 +VLEFT$ +p2818 +tp2819 +a(g662 +g1719 +tp2820 +a(g18 +Vline$ +p2821 +tp2822 +a(g662 +g1090 +tp2823 +a(g818 +VINSTR +p2824 +tp2825 +a(g662 +g1719 +tp2826 +a(g18 +Vline$ +p2827 +tp2828 +a(g662 +g1190 +tp2829 +a(g408 +g1023 +tp2830 +a(g408 +g1084 +tp2831 +a(g408 +g1023 +tp2832 +a(g662 +g1090 +tp2833 +a(g408 +g1023 +tp2834 +a(g408 +g1084 +tp2835 +a(g408 +g1023 +tp2836 +a(g662 +g1196 +tp2837 +a(g290 +V-1 +p2838 +tp2839 +a(g662 +g1196 +tp2840 +a(g913 +V\u000a +p2841 +tp2842 +a(g8 +V1030 +p2843 +tp2844 +a(g913 +g958 +tp2845 +a(g913 +V +p2846 +tp2847 +a(g818 +VIF +p2848 +tp2849 +a(g913 +g1084 +tp2850 +a(g818 +VLEFT$ +p2851 +tp2852 +a(g662 +g1719 +tp2853 +a(g18 +Vchan$ +p2854 +tp2855 +a(g662 +g1090 +tp2856 +a(g290 +g1183 +tp2857 +a(g662 +g1196 +tp2858 +a(g662 +g996 +tp2859 +a(g408 +g1023 +tp2860 +a(g408 +g987 +tp2861 +a(g408 +g1023 +tp2862 +a(g913 +g1084 +tp2863 +a(g818 +VTHEN +p2864 +tp2865 +a(g18 +Vchan$ +p2866 +tp2867 +a(g662 +g996 +tp2868 +a(g818 +VMID$ +p2869 +tp2870 +a(g662 +g1719 +tp2871 +a(g18 +Vchan$ +p2872 +tp2873 +a(g662 +g1090 +tp2874 +a(g290 +g1832 +tp2875 +a(g662 +g1196 +tp2876 +a(g913 +V\u000a +p2877 +tp2878 +a(g8 +V1040 +p2879 +tp2880 +a(g913 +g958 +tp2881 +a(g913 +V +p2882 +tp2883 +a(g818 +VPRINT +p2884 +tp2885 +a(g18 +Vuid$ +p2886 +tp2887 +a(g662 +g2338 +tp2888 +a(g408 +g1023 +tp2889 +a(g408 +V has left +p2890 +tp2891 +a(g408 +g1023 +tp2892 +a(g662 +g2338 +tp2893 +a(g18 +Vchan$ +p2894 +tp2895 +a(g913 +V\u000a +p2896 +tp2897 +a(g8 +V1050 +p2898 +tp2899 +a(g913 +g958 +tp2900 +a(g913 +V +p2901 +tp2902 +a(g818 +VWHEN +p2903 +tp2904 +a(g913 +g1084 +tp2905 +a(g408 +g1023 +tp2906 +a(g408 +VQUIT +p2907 +tp2908 +a(g408 +g1023 +tp2909 +a(g913 +V\u000a +p2910 +tp2911 +a(g8 +V1060 +p2912 +tp2913 +a(g913 +g958 +tp2914 +a(g913 +V +p2915 +tp2916 +a(g883 +VREM +p2917 +tp2918 +a(g737 +V Someone else has quit IRC +p2919 +tp2920 +a(g913 +V\u000a +p2921 +tp2922 +a(g8 +V1070 +p2923 +tp2924 +a(g913 +g958 +tp2925 +a(g913 +V +p2926 +tp2927 +a(g818 +VPRINT +p2928 +tp2929 +a(g18 +Vuid$ +p2930 +tp2931 +a(g662 +g2338 +tp2932 +a(g408 +g1023 +tp2933 +a(g408 +V quit IRC +p2934 +tp2935 +a(g408 +g1023 +tp2936 +a(g913 +V\u000a +p2937 +tp2938 +a(g8 +V1080 +p2939 +tp2940 +a(g913 +g958 +tp2941 +a(g913 +V +p2942 +tp2943 +a(g818 +VOTHERWISE +p2944 +tp2945 +a(g913 +V\u000a +p2946 +tp2947 +a(g8 +V1090 +p2948 +tp2949 +a(g913 +g958 +tp2950 +a(g913 +V +p2951 +tp2952 +a(g883 +VREM +p2953 +tp2954 +a(g737 +V Some unknown command +p2955 +tp2956 +a(g913 +V\u000a +p2957 +tp2958 +a(g8 +V1100 +p2959 +tp2960 +a(g913 +g958 +tp2961 +a(g913 +V +p2962 +tp2963 +a(g818 +VPRINT +p2964 +tp2965 +a(g18 +Vuid$ +p2966 +tp2967 +a(g662 +g2338 +tp2968 +a(g408 +g1023 +tp2969 +a(g408 +g987 +tp2970 +a(g408 +g1023 +tp2971 +a(g662 +g2338 +tp2972 +a(g18 +Vcom$ +p2973 +tp2974 +a(g662 +g2338 +tp2975 +a(g408 +g1023 +tp2976 +a(g408 +g987 +tp2977 +a(g408 +g1023 +tp2978 +a(g662 +g2338 +tp2979 +a(g818 +VFN +p2980 +tp2981 +a(g156 +Vsafe +p2982 +tp2983 +a(g662 +g1719 +tp2984 +a(g18 +Vline$ +p2985 +tp2986 +a(g662 +g1196 +tp2987 +a(g913 +V\u000a +p2988 +tp2989 +a(g8 +V1110 +p2990 +tp2991 +a(g913 +g958 +tp2992 +a(g913 +V +p2993 +tp2994 +a(g818 +VEND +p2995 +tp2996 +a(g818 +VCASE +p2997 +tp2998 +a(g913 +V\u000a +p2999 +tp3000 +a(g8 +V1120 +p3001 +tp3002 +a(g913 +g958 +tp3003 +a(g913 +V +p3004 +tp3005 +a(g883 +VREM +p3006 +tp3007 +a(g737 +V Re-display our input line +p3008 +tp3009 +a(g913 +V\u000a +p3010 +tp3011 +a(g8 +V1130 +p3012 +tp3013 +a(g913 +g958 +tp3014 +a(g913 +V +p3015 +tp3016 +a(g818 +VPRINT +p3017 +tp3018 +a(g18 +Vinput$ +p3019 +tp3020 +a(g662 +g2338 +tp3021 +a(g913 +V\u000a +p3022 +tp3023 +a(g8 +V1140 +p3024 +tp3025 +a(g913 +g958 +tp3026 +a(g913 +V +p3027 +tp3028 +a(g818 +VEND +p3029 +tp3030 +a(g818 +VIF +p3031 +tp3032 +a(g913 +V\u000a +p3033 +tp3034 +a(g8 +V1150 +p3035 +tp3036 +a(g913 +g958 +tp3037 +a(g913 +V +p3038 +tp3039 +a(g818 +VEND +p3040 +tp3041 +a(g818 +VIF +p3042 +tp3043 +a(g913 +V\u000a +p3044 +tp3045 +a(g8 +V1160 +p3046 +tp3047 +a(g913 +g958 +tp3048 +a(g913 +V +p3049 +tp3050 +a(g818 +VUNTIL +p3051 +tp3052 +a(g913 +g1084 +tp3053 +a(g18 +Vstr +p3054 +tp3055 +a(g662 +g996 +tp3056 +a(g290 +g1352 +tp3057 +a(g913 +V\u000a +p3058 +tp3059 +a(g8 +V1170 +p3060 +tp3061 +a(g913 +g958 +tp3062 +a(g913 +g1084 +tp3063 +a(g818 +VEND +p3064 +tp3065 +a(g818 +VIF +p3066 +tp3067 +a(g913 +V\u000a +p3068 +tp3069 +a(g8 +V1180 +p3070 +tp3071 +a(g913 +g958 +tp3072 +a(g913 +g1084 +tp3073 +a(g18 +Vb$ +p3074 +tp3075 +a(g662 +g996 +tp3076 +a(g818 +VINKEY$ +p3077 +tp3078 +a(g662 +g1719 +tp3079 +a(g290 +g1352 +tp3080 +a(g662 +g1196 +tp3081 +a(g913 +V\u000a +p3082 +tp3083 +a(g8 +V1190 +p3084 +tp3085 +a(g913 +g958 +tp3086 +a(g913 +g1084 +tp3087 +a(g818 +VIF +p3088 +tp3089 +a(g913 +g1084 +tp3090 +a(g18 +Vb$ +p3091 +tp3092 +a(g662 +g1143 +tp3093 +a(g662 +g1568 +tp3094 +a(g408 +g1023 +tp3095 +a(g408 +g1023 +tp3096 +a(g913 +g1084 +tp3097 +a(g818 +VTHEN +p3098 +tp3099 +a(g913 +V\u000a +p3100 +tp3101 +a(g8 +V1200 +p3102 +tp3103 +a(g913 +V +p3104 +tp3105 +a(g818 +VCASE +p3106 +tp3107 +a(g913 +g1084 +tp3108 +a(g18 +Vb$ +p3109 +tp3110 +a(g913 +g1084 +tp3111 +a(g818 +VOF +p3112 +tp3113 +a(g913 +V\u000a +p3114 +tp3115 +a(g8 +V1210 +p3116 +tp3117 +a(g913 +g958 +tp3118 +a(g913 +V +p3119 +tp3120 +a(g818 +VWHEN +p3121 +tp3122 +a(g913 +g1084 +tp3123 +a(g818 +VCHR$ +p3124 +tp3125 +a(g290 +V13 +p3126 +tp3127 +a(g913 +V\u000a +p3128 +tp3129 +a(g8 +V1220 +p3130 +tp3131 +a(g913 +g958 +tp3132 +a(g913 +V +p3133 +tp3134 +a(g818 +VSYS +p3135 +tp3136 +a(g913 +g1084 +tp3137 +a(g408 +g1023 +tp3138 +a(g408 +VESocket_SendLine +p3139 +tp3140 +a(g408 +g1023 +tp3141 +a(g662 +g1090 +tp3142 +a(g18 +Vhandle +p3143 +tp3144 +a(g662 +g1090 +tp3145 +a(g408 +g1023 +tp3146 +a(g408 +VPRIVMSG +p3147 +tp3148 +a(g408 +g1023 +tp3149 +a(g662 +g1190 +tp3150 +a(g18 +Vourchan$ +p3151 +tp3152 +a(g662 +g1190 +tp3153 +a(g408 +g1023 +tp3154 +a(g408 +V : +p3155 +tp3156 +a(g408 +g1023 +tp3157 +a(g662 +g1190 +tp3158 +a(g18 +Vinput$ +p3159 +tp3160 +a(g913 +V\u000a +p3161 +tp3162 +a(g8 +V1230 +p3163 +tp3164 +a(g913 +g958 +tp3165 +a(g913 +V +p3166 +tp3167 +a(g883 +VREM +p3168 +tp3169 +a(g737 +V Remove the line +p3170 +tp3171 +a(g913 +V\u000a +p3172 +tp3173 +a(g8 +V1240 +p3174 +tp3175 +a(g913 +g958 +tp3176 +a(g913 +V +p3177 +tp3178 +a(g818 +VIF +p3179 +tp3180 +a(g913 +g1084 +tp3181 +a(g18 +Vinput$ +p3182 +tp3183 +a(g662 +g1143 +tp3184 +a(g662 +g1568 +tp3185 +a(g408 +g1023 +tp3186 +a(g408 +g1023 +tp3187 +a(g913 +g1084 +tp3188 +a(g818 +VTHEN +p3189 +tp3190 +a(g818 +VFOR +p3191 +tp3192 +a(g18 +g1999 +tp3193 +a(g662 +g996 +tp3194 +a(g290 +g1183 +tp3195 +a(g818 +VTO +p3196 +tp3197 +a(g818 +VLEN +p3198 +tp3199 +a(g662 +g1719 +tp3200 +a(g18 +Vinput$ +p3201 +tp3202 +a(g662 +g1196 +tp3203 +a(g730 +g987 +tp3204 +a(g818 +VVDU +p3205 +tp3206 +a(g290 +V127 +p3207 +tp3208 +a(g730 +g987 +tp3209 +a(g818 +VNEXT +p3210 +tp3211 +a(g913 +V\u000a +p3212 +tp3213 +a(g8 +V1250 +p3214 +tp3215 +a(g913 +g958 +tp3216 +a(g913 +V +p3217 +tp3218 +a(g883 +VREM +p3219 +tp3220 +a(g737 +V We said it... +p3221 +tp3222 +a(g913 +V\u000a +p3223 +tp3224 +a(g8 +V1260 +p3225 +tp3226 +a(g913 +g958 +tp3227 +a(g913 +V +p3228 +tp3229 +a(g818 +VPRINT +p3230 +tp3231 +a(g408 +g1023 +tp3232 +a(g408 +g1143 +tp3233 +a(g408 +g1023 +tp3234 +a(g662 +g1190 +tp3235 +a(g18 +Vnick$ +p3236 +tp3237 +a(g662 +g1190 +tp3238 +a(g408 +g1023 +tp3239 +a(g408 +V> +p3240 +tp3241 +a(g408 +g1023 +tp3242 +a(g662 +g2338 +tp3243 +a(g18 +Vinput$ +p3244 +tp3245 +a(g913 +V\u000a +p3246 +tp3247 +a(g8 +V1270 +p3248 +tp3249 +a(g913 +g958 +tp3250 +a(g913 +V +p3251 +tp3252 +a(g18 +Vinput$ +p3253 +tp3254 +a(g662 +g996 +tp3255 +a(g408 +g1023 +tp3256 +a(g408 +g1023 +tp3257 +a(g913 +V\u000a +p3258 +tp3259 +a(g8 +V1280 +p3260 +tp3261 +a(g913 +g958 +tp3262 +a(g913 +V +p3263 +tp3264 +a(g818 +VWHEN +p3265 +tp3266 +a(g913 +g1084 +tp3267 +a(g818 +VCHR$ +p3268 +tp3269 +a(g290 +V127 +p3270 +tp3271 +a(g662 +g1090 +tp3272 +a(g818 +VCHR$ +p3273 +tp3274 +a(g290 +V8 +p3275 +tp3276 +a(g913 +V\u000a +p3277 +tp3278 +a(g8 +V1290 +p3279 +tp3280 +a(g913 +g958 +tp3281 +a(g913 +V +p3282 +tp3283 +a(g883 +VREM +p3284 +tp3285 +a(g737 +V Backspace +p3286 +tp3287 +a(g913 +V\u000a +p3288 +tp3289 +a(g8 +V1300 +p3290 +tp3291 +a(g913 +g958 +tp3292 +a(g913 +V +p3293 +tp3294 +a(g818 +VIF +p3295 +tp3296 +a(g913 +g1084 +tp3297 +a(g18 +Vinput$ +p3298 +tp3299 +a(g662 +g1143 +tp3300 +a(g662 +g1568 +tp3301 +a(g408 +g1023 +tp3302 +a(g408 +g1023 +tp3303 +a(g913 +g1084 +tp3304 +a(g818 +VTHEN +p3305 +tp3306 +a(g818 +VVDU +p3307 +tp3308 +a(g290 +V127 +p3309 +tp3310 +a(g913 +V\u000a +p3311 +tp3312 +a(g8 +V1310 +p3313 +tp3314 +a(g913 +g958 +tp3315 +a(g913 +V +p3316 +tp3317 +a(g18 +Vinput$ +p3318 +tp3319 +a(g662 +g996 +tp3320 +a(g818 +VLEFT$ +p3321 +tp3322 +a(g662 +g1719 +tp3323 +a(g18 +Vinput$ +p3324 +tp3325 +a(g662 +g1196 +tp3326 +a(g913 +V\u000a +p3327 +tp3328 +a(g8 +V1320 +p3329 +tp3330 +a(g913 +g958 +tp3331 +a(g913 +V +p3332 +tp3333 +a(g818 +VOTHERWISE +p3334 +tp3335 +a(g913 +V\u000a +p3336 +tp3337 +a(g8 +V1330 +p3338 +tp3339 +a(g913 +g958 +tp3340 +a(g913 +V +p3341 +tp3342 +a(g883 +VREM +p3343 +tp3344 +a(g737 +V Ad to current input +p3345 +tp3346 +a(g913 +V\u000a +p3347 +tp3348 +a(g8 +V1340 +p3349 +tp3350 +a(g913 +g958 +tp3351 +a(g913 +V +p3352 +tp3353 +a(g18 +Vinput$ +p3354 +tp3355 +a(g662 +V+= +p3356 +tp3357 +a(g18 +Vb$ +p3358 +tp3359 +a(g913 +V\u000a +p3360 +tp3361 +a(g8 +V1350 +p3362 +tp3363 +a(g913 +g958 +tp3364 +a(g913 +V +p3365 +tp3366 +a(g818 +VPRINT +p3367 +tp3368 +a(g18 +Vb$ +p3369 +tp3370 +a(g662 +g2338 +tp3371 +a(g913 +V\u000a +p3372 +tp3373 +a(g8 +V1360 +p3374 +tp3375 +a(g913 +g958 +tp3376 +a(g913 +V +p3377 +tp3378 +a(g818 +VEND +p3379 +tp3380 +a(g818 +VCASE +p3381 +tp3382 +a(g913 +V\u000a +p3383 +tp3384 +a(g8 +V1370 +p3385 +tp3386 +a(g913 +g958 +tp3387 +a(g913 +g1084 +tp3388 +a(g818 +VEND +p3389 +tp3390 +a(g818 +VIF +p3391 +tp3392 +a(g913 +V\u000a +p3393 +tp3394 +a(g8 +V1380 +p3395 +tp3396 +a(g913 +g958 +tp3397 +a(g913 +g1084 +tp3398 +a(g883 +VREM +p3399 +tp3400 +a(g737 +V Has the socket closed +p3401 +tp3402 +a(g913 +V\u000a +p3403 +tp3404 +a(g8 +V1390 +p3405 +tp3406 +a(g913 +g958 +tp3407 +a(g913 +g1084 +tp3408 +a(g818 +VSYS +p3409 +tp3410 +a(g913 +g1084 +tp3411 +a(g408 +g1023 +tp3412 +a(g408 +VESocket_Closed +p3413 +tp3414 +a(g408 +g1023 +tp3415 +a(g662 +g1090 +tp3416 +a(g18 +Vhandle +p3417 +tp3418 +a(g662 +g1090 +tp3419 +a(g516 +V%0 +p3420 +tp3421 +a(g913 +g1084 +tp3422 +a(g818 +VTO +p3423 +tp3424 +a(g913 +g1084 +tp3425 +a(g18 +Vclosed +p3426 +tp3427 +a(g913 +V\u000a +p3428 +tp3429 +a(g8 +V1400 +p3430 +tp3431 +a(g913 +g958 +tp3432 +a(g818 +VUNTIL +p3433 +tp3434 +a(g913 +g1084 +tp3435 +a(g18 +Vclosed +p3436 +tp3437 +a(g913 +V\u000a +p3438 +tp3439 +a(g8 +V1410 +p3440 +tp3441 +a(g913 +g958 +tp3442 +a(g818 +VSYS +p3443 +tp3444 +a(g913 +g1084 +tp3445 +a(g408 +g1023 +tp3446 +a(g408 +VESocket_Forget +p3447 +tp3448 +a(g408 +g1023 +tp3449 +a(g662 +g1090 +tp3450 +a(g18 +Vhandle +p3451 +tp3452 +a(g913 +V\u000a +p3453 +tp3454 +a(g8 +V1420 +p3455 +tp3456 +a(g913 +g958 +tp3457 +a(g818 +VSYS +p3458 +tp3459 +a(g913 +g1084 +tp3460 +a(g408 +g1023 +tp3461 +a(g408 +VESocket_Forget +p3462 +tp3463 +a(g408 +g1023 +tp3464 +a(g662 +g1090 +tp3465 +a(g18 +Vmonitor +p3466 +tp3467 +a(g913 +V\u000a +p3468 +tp3469 +a(g8 +V1430 +p3470 +tp3471 +a(g913 +g958 +tp3472 +a(g818 +VEND +p3473 +tp3474 +a(g913 +V\u000a +p3475 +tp3476 +a(g8 +V1440 +p3477 +tp3478 +a(g913 +g958 +tp3479 +a(g730 +g987 +tp3480 +a(g913 +V\u000a +p3481 +tp3482 +a(g8 +V1450 +p3483 +tp3484 +a(g913 +g958 +tp3485 +a(g883 +VDEF +p3486 +tp3487 +a(g883 +VFN +p3488 +tp3489 +a(g156 +Vupper +p3490 +tp3491 +a(g662 +g1719 +tp3492 +a(g18 +Va$ +p3493 +tp3494 +a(g662 +g1196 +tp3495 +a(g730 +g987 +tp3496 +a(g818 +VLOCAL +p3497 +tp3498 +a(g913 +g1084 +tp3499 +a(g18 +Vc$ +p3500 +tp3501 +a(g662 +g1090 +tp3502 +a(g18 +Vb$ +p3503 +tp3504 +a(g662 +g1090 +tp3505 +a(g18 +g1999 +tp3506 +a(g913 +V\u000a +p3507 +tp3508 +a(g8 +V1460 +p3509 +tp3510 +a(g913 +g958 +tp3511 +a(g818 +VFOR +p3512 +tp3513 +a(g18 +g1999 +tp3514 +a(g662 +g996 +tp3515 +a(g290 +g1183 +tp3516 +a(g818 +VTO +p3517 +tp3518 +a(g818 +VLEN +p3519 +tp3520 +a(g662 +g1719 +tp3521 +a(g18 +Va$ +p3522 +tp3523 +a(g662 +g1196 +tp3524 +a(g913 +V\u000a +p3525 +tp3526 +a(g8 +V1470 +p3527 +tp3528 +a(g913 +g958 +tp3529 +a(g18 +Vc$ +p3530 +tp3531 +a(g662 +g996 +tp3532 +a(g818 +VMID$ +p3533 +tp3534 +a(g662 +g1719 +tp3535 +a(g18 +Va$ +p3536 +tp3537 +a(g662 +g1090 +tp3538 +a(g18 +g1999 +tp3539 +a(g662 +g1090 +tp3540 +a(g290 +g1183 +tp3541 +a(g662 +g1196 +tp3542 +a(g730 +g987 +tp3543 +a(g818 +VIF +p3544 +tp3545 +a(g913 +g1084 +tp3546 +a(g18 +Vc$ +p3547 +tp3548 +a(g662 +g1568 +tp3549 +a(g662 +g996 +tp3550 +a(g408 +g1023 +tp3551 +a(g408 +Va +p3552 +tp3553 +a(g408 +g1023 +tp3554 +a(g818 +VAND +p3555 +tp3556 +a(g18 +Vc$ +p3557 +tp3558 +a(g662 +g1143 +tp3559 +a(g662 +g996 +tp3560 +a(g408 +g1023 +tp3561 +a(g408 +Vz +p3562 +tp3563 +a(g408 +g1023 +tp3564 +a(g818 +VTHEN +p3565 +tp3566 +a(g18 +Vc$ +p3567 +tp3568 +a(g662 +g996 +tp3569 +a(g818 +VCHR$ +p3570 +tp3571 +a(g662 +g1719 +tp3572 +a(g818 +VASC +p3573 +tp3574 +a(g662 +g1719 +tp3575 +a(g18 +Vc$ +p3576 +tp3577 +a(g662 +g1196 +tp3578 +a(g290 +V-32 +p3579 +tp3580 +a(g662 +g1196 +tp3581 +a(g913 +V\u000a +p3582 +tp3583 +a(g8 +V1480 +p3584 +tp3585 +a(g913 +g958 +tp3586 +a(g18 +Vb$ +p3587 +tp3588 +a(g662 +V+= +p3589 +tp3590 +a(g18 +Vc$ +p3591 +tp3592 +a(g730 +g987 +tp3593 +a(g818 +VNEXT +p3594 +tp3595 +a(g730 +g987 +tp3596 +a(g662 +g996 +tp3597 +a(g18 +Vb$ +p3598 +tp3599 +a(g913 +V\u000a +p3600 +tp3601 +a(g8 +V1490 +p3602 +tp3603 +a(g913 +g958 +tp3604 +a(g913 +V\u000a +p3605 +tp3606 +a(g8 +V1500 +p3607 +tp3608 +a(g913 +g958 +tp3609 +a(g883 +VREM +p3610 +tp3611 +a(g737 +V Remove control codes +p3612 +tp3613 +a(g913 +V\u000a +p3614 +tp3615 +a(g8 +V1510 +p3616 +tp3617 +a(g913 +g958 +tp3618 +a(g883 +VDEF +p3619 +tp3620 +a(g883 +VFN +p3621 +tp3622 +a(g156 +Vsafe +p3623 +tp3624 +a(g662 +g1719 +tp3625 +a(g18 +Vline$ +p3626 +tp3627 +a(g662 +g1196 +tp3628 +a(g913 +V\u000a +p3629 +tp3630 +a(g8 +V1520 +p3631 +tp3632 +a(g913 +g958 +tp3633 +a(g818 +VLOCAL +p3634 +tp3635 +a(g913 +g1084 +tp3636 +a(g18 +g1999 +tp3637 +a(g913 +V\u000a +p3638 +tp3639 +a(g8 +V1530 +p3640 +tp3641 +a(g913 +g958 +tp3642 +a(g818 +VFOR +p3643 +tp3644 +a(g18 +g1999 +tp3645 +a(g662 +g996 +tp3646 +a(g290 +g1183 +tp3647 +a(g818 +VTO +p3648 +tp3649 +a(g818 +VLEN +p3650 +tp3651 +a(g662 +g1719 +tp3652 +a(g18 +Vline$ +p3653 +tp3654 +a(g662 +g1196 +tp3655 +a(g913 +V\u000a +p3656 +tp3657 +a(g8 +V1540 +p3658 +tp3659 +a(g913 +g958 +tp3660 +a(g913 +g1084 +tp3661 +a(g818 +VIF +p3662 +tp3663 +a(g913 +g1084 +tp3664 +a(g818 +VMID$ +p3665 +tp3666 +a(g662 +g1719 +tp3667 +a(g18 +Vline$ +p3668 +tp3669 +a(g662 +g1090 +tp3670 +a(g18 +g1999 +tp3671 +a(g662 +g1090 +tp3672 +a(g290 +g1183 +tp3673 +a(g662 +g1196 +tp3674 +a(g662 +g1143 +tp3675 +a(g408 +g1023 +tp3676 +a(g408 +g1084 +tp3677 +a(g408 +g1023 +tp3678 +a(g913 +g1084 +tp3679 +a(g818 +VTHEN +p3680 +tp3681 +a(g818 +VMID$ +p3682 +tp3683 +a(g662 +g1719 +tp3684 +a(g18 +Vline$ +p3685 +tp3686 +a(g662 +g1090 +tp3687 +a(g18 +g1999 +tp3688 +a(g662 +g1090 +tp3689 +a(g290 +g1183 +tp3690 +a(g662 +g1196 +tp3691 +a(g662 +g996 +tp3692 +a(g408 +g1023 +tp3693 +a(g408 +g1002 +tp3694 +a(g408 +g1023 +tp3695 +a(g913 +V\u000a +p3696 +tp3697 +a(g8 +V1550 +p3698 +tp3699 +a(g913 +g958 +tp3700 +a(g818 +VNEXT +p3701 +tp3702 +a(g913 +V\u000a +p3703 +tp3704 +a(g8 +V1560 +p3705 +tp3706 +a(g913 +g958 +tp3707 +a(g662 +g996 +tp3708 +a(g18 +Vline$ +p3709 +tp3710 +a(g913 +V\u000a +p3711 +tp3712 +a(g913 +g958 +tp3713 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.bc b/tests/examplefiles/output/example.bc new file mode 100644 index 0000000..7e8a71a --- /dev/null +++ b/tests/examplefiles/output/example.bc @@ -0,0 +1,3903 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* +p956 +tp957 +a(g8 +V\u000a +p958 +tp959 +a(g8 +V* +p960 +tp961 +a(g8 +V Calculate the Greatest Common Divisor of a and b.\u000a +p962 +tp963 +a(g8 +V*/ +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g745 +Vdefine +p968 +tp969 +a(g827 +V +p970 +tp971 +a(g827 +Vg +p972 +tp973 +a(g827 +Vc +p974 +tp975 +a(g827 +Vd +p976 +tp977 +a(g706 +V( +p978 +tp979 +a(g827 +Va +p980 +tp981 +a(g706 +V, +p982 +tp983 +a(g827 +g970 +tp984 +a(g827 +Vb +p985 +tp986 +a(g706 +V) +p987 +tp988 +a(g827 +g970 +tp989 +a(g706 +V{ +p990 +tp991 +a(g827 +V\u000a +p992 +tp993 +a(g827 +g970 +tp994 +a(g827 +g970 +tp995 +a(g827 +g970 +tp996 +a(g827 +g970 +tp997 +a(g745 +Vauto +p998 +tp999 +a(g827 +g970 +tp1000 +a(g827 +Vt +p1001 +tp1002 +a(g827 +Vm +p1003 +tp1004 +a(g827 +Vp +p1005 +tp1006 +a(g706 +V; +p1007 +tp1008 +a(g827 +V\u000a +p1009 +tp1010 +a(g827 +V\u000a +p1011 +tp1012 +a(g827 +g970 +tp1013 +a(g827 +g970 +tp1014 +a(g827 +g970 +tp1015 +a(g827 +g970 +tp1016 +a(g8 +V/* +p1017 +tp1018 +a(g8 +V\u000a +p1019 +tp1020 +a(g8 +g960 +tp1021 +a(g8 +V Euclidean algorithm\u000a +p1022 +tp1023 +a(g8 +V*/ +p1024 +tp1025 +a(g827 +V\u000a +p1026 +tp1027 +a(g827 +g970 +tp1028 +a(g827 +g970 +tp1029 +a(g827 +g970 +tp1030 +a(g827 +g970 +tp1031 +a(g745 +Vwhile +p1032 +tp1033 +a(g827 +g970 +tp1034 +a(g706 +g978 +tp1035 +a(g827 +g985 +tp1036 +a(g827 +g970 +tp1037 +a(g413 +V!= +p1038 +tp1039 +a(g827 +g970 +tp1040 +a(g32 +V0 +p1041 +tp1042 +a(g706 +g987 +tp1043 +a(g827 +g970 +tp1044 +a(g706 +g990 +tp1045 +a(g827 +V\u000a +p1046 +tp1047 +a(g827 +g970 +tp1048 +a(g827 +g970 +tp1049 +a(g827 +g970 +tp1050 +a(g827 +g970 +tp1051 +a(g827 +g970 +tp1052 +a(g827 +g970 +tp1053 +a(g827 +g970 +tp1054 +a(g827 +g970 +tp1055 +a(g827 +g1001 +tp1056 +a(g827 +g1003 +tp1057 +a(g827 +g1005 +tp1058 +a(g827 +g970 +tp1059 +a(g413 +V= +p1060 +tp1061 +a(g827 +g970 +tp1062 +a(g827 +g980 +tp1063 +a(g827 +g970 +tp1064 +a(g413 +V% +p1065 +tp1066 +a(g827 +g970 +tp1067 +a(g827 +g985 +tp1068 +a(g706 +g1007 +tp1069 +a(g827 +V\u000a +p1070 +tp1071 +a(g827 +g970 +tp1072 +a(g827 +g970 +tp1073 +a(g827 +g970 +tp1074 +a(g827 +g970 +tp1075 +a(g827 +g970 +tp1076 +a(g827 +g970 +tp1077 +a(g827 +g970 +tp1078 +a(g827 +g970 +tp1079 +a(g827 +g980 +tp1080 +a(g827 +g970 +tp1081 +a(g413 +g1060 +tp1082 +a(g827 +g970 +tp1083 +a(g827 +g985 +tp1084 +a(g706 +g1007 +tp1085 +a(g827 +V\u000a +p1086 +tp1087 +a(g827 +g970 +tp1088 +a(g827 +g970 +tp1089 +a(g827 +g970 +tp1090 +a(g827 +g970 +tp1091 +a(g827 +g970 +tp1092 +a(g827 +g970 +tp1093 +a(g827 +g970 +tp1094 +a(g827 +g970 +tp1095 +a(g827 +g985 +tp1096 +a(g827 +g970 +tp1097 +a(g413 +g1060 +tp1098 +a(g827 +g970 +tp1099 +a(g827 +g1001 +tp1100 +a(g827 +g1003 +tp1101 +a(g827 +g1005 +tp1102 +a(g706 +g1007 +tp1103 +a(g827 +V\u000a +p1104 +tp1105 +a(g827 +g970 +tp1106 +a(g827 +g970 +tp1107 +a(g827 +g970 +tp1108 +a(g827 +g970 +tp1109 +a(g706 +V} +p1110 +tp1111 +a(g827 +V\u000a +p1112 +tp1113 +a(g827 +g970 +tp1114 +a(g827 +g970 +tp1115 +a(g827 +g970 +tp1116 +a(g827 +g970 +tp1117 +a(g745 +Vreturn +p1118 +tp1119 +a(g827 +g970 +tp1120 +a(g827 +g980 +tp1121 +a(g706 +g1007 +tp1122 +a(g827 +V\u000a +p1123 +tp1124 +a(g706 +g1110 +tp1125 +a(g827 +V\u000a +p1126 +tp1127 +a(g89 +V"gcd(225, 150) = " +p1128 +tp1129 +a(g827 +g970 +tp1130 +a(g706 +g1007 +tp1131 +a(g827 +g970 +tp1132 +a(g827 +g972 +tp1133 +a(g827 +g974 +tp1134 +a(g827 +g976 +tp1135 +a(g706 +g978 +tp1136 +a(g32 +V225 +p1137 +tp1138 +a(g706 +g982 +tp1139 +a(g827 +g970 +tp1140 +a(g32 +V150 +p1141 +tp1142 +a(g706 +g987 +tp1143 +a(g827 +V\u000a +p1144 +tp1145 +a(g827 +V\u000a +p1146 +tp1147 +a(g8 +V/* +p1148 +tp1149 +a(g8 +V assign operators +p1150 +tp1151 +a(g8 +V*/ +p1152 +tp1153 +a(g827 +V\u000a +p1154 +tp1155 +a(g827 +g980 +tp1156 +a(g827 +g970 +tp1157 +a(g413 +g1060 +tp1158 +a(g827 +g970 +tp1159 +a(g32 +V10 +p1160 +tp1161 +a(g827 +V\u000a +p1162 +tp1163 +a(g827 +g980 +tp1164 +a(g827 +g970 +tp1165 +a(g413 +V+= +p1166 +tp1167 +a(g827 +g970 +tp1168 +a(g32 +V1 +p1169 +tp1170 +a(g827 +V\u000a +p1171 +tp1172 +a(g827 +g980 +tp1173 +a(g413 +V++ +p1174 +tp1175 +a(g827 +V\u000a +p1176 +tp1177 +a(g413 +V++ +p1178 +tp1179 +a(g827 +g980 +tp1180 +a(g827 +V\u000a +p1181 +tp1182 +a(g827 +g980 +tp1183 +a(g413 +V-- +p1184 +tp1185 +a(g827 +V\u000a +p1186 +tp1187 +a(g413 +V-- +p1188 +tp1189 +a(g827 +g980 +tp1190 +a(g827 +V\u000a +p1191 +tp1192 +a(g827 +g980 +tp1193 +a(g827 +g970 +tp1194 +a(g413 +V+= +p1195 +tp1196 +a(g827 +g970 +tp1197 +a(g32 +V5 +p1198 +tp1199 +a(g827 +V\u000a +p1200 +tp1201 +a(g827 +g980 +tp1202 +a(g827 +g970 +tp1203 +a(g413 +V-= +p1204 +tp1205 +a(g827 +g970 +tp1206 +a(g32 +g1198 +tp1207 +a(g827 +V\u000a +p1208 +tp1209 +a(g827 +g980 +tp1210 +a(g827 +g970 +tp1211 +a(g413 +V*= +p1212 +tp1213 +a(g827 +g970 +tp1214 +a(g32 +V2 +p1215 +tp1216 +a(g827 +V\u000a +p1217 +tp1218 +a(g827 +g980 +tp1219 +a(g827 +g970 +tp1220 +a(g413 +V/= +p1221 +tp1222 +a(g827 +g970 +tp1223 +a(g32 +V3 +p1224 +tp1225 +a(g827 +V\u000a +p1226 +tp1227 +a(g827 +g980 +tp1228 +a(g827 +g970 +tp1229 +a(g413 +V^= +p1230 +tp1231 +a(g827 +g970 +tp1232 +a(g32 +g1215 +tp1233 +a(g827 +V\u000a +p1234 +tp1235 +a(g827 +g980 +tp1236 +a(g827 +g970 +tp1237 +a(g413 +V%= +p1238 +tp1239 +a(g827 +g970 +tp1240 +a(g32 +g1215 +tp1241 +a(g827 +V\u000a +p1242 +tp1243 +a(g827 +V\u000a +p1244 +tp1245 +a(g8 +V/* +p1246 +tp1247 +a(g8 +V comparison +p1248 +tp1249 +a(g8 +V*/ +p1250 +tp1251 +a(g827 +V\u000a +p1252 +tp1253 +a(g745 +Vif +p1254 +tp1255 +a(g827 +g970 +tp1256 +a(g706 +g978 +tp1257 +a(g827 +g980 +tp1258 +a(g827 +g970 +tp1259 +a(g413 +V> +p1260 +tp1261 +a(g827 +g970 +tp1262 +a(g32 +g1215 +tp1263 +a(g706 +g987 +tp1264 +a(g827 +g970 +tp1265 +a(g706 +g990 +tp1266 +a(g827 +V\u000a +p1267 +tp1268 +a(g706 +g1110 +tp1269 +a(g827 +V\u000a +p1270 +tp1271 +a(g745 +Vif +p1272 +tp1273 +a(g827 +g970 +tp1274 +a(g706 +g978 +tp1275 +a(g827 +g980 +tp1276 +a(g827 +g970 +tp1277 +a(g413 +V>= +p1278 +tp1279 +a(g827 +g970 +tp1280 +a(g32 +g1215 +tp1281 +a(g706 +g987 +tp1282 +a(g827 +g970 +tp1283 +a(g706 +g990 +tp1284 +a(g827 +V\u000a +p1285 +tp1286 +a(g706 +g1110 +tp1287 +a(g827 +V\u000a +p1288 +tp1289 +a(g745 +Vif +p1290 +tp1291 +a(g827 +g970 +tp1292 +a(g706 +g978 +tp1293 +a(g827 +g980 +tp1294 +a(g827 +g970 +tp1295 +a(g413 +V== +p1296 +tp1297 +a(g827 +g970 +tp1298 +a(g32 +g1215 +tp1299 +a(g706 +g987 +tp1300 +a(g827 +g970 +tp1301 +a(g706 +g990 +tp1302 +a(g827 +V\u000a +p1303 +tp1304 +a(g706 +g1110 +tp1305 +a(g827 +V\u000a +p1306 +tp1307 +a(g745 +Vif +p1308 +tp1309 +a(g827 +g970 +tp1310 +a(g706 +g978 +tp1311 +a(g827 +g980 +tp1312 +a(g827 +g970 +tp1313 +a(g413 +V!= +p1314 +tp1315 +a(g827 +g970 +tp1316 +a(g32 +g1215 +tp1317 +a(g706 +g987 +tp1318 +a(g827 +g970 +tp1319 +a(g706 +g990 +tp1320 +a(g827 +V\u000a +p1321 +tp1322 +a(g706 +g1110 +tp1323 +a(g827 +V\u000a +p1324 +tp1325 +a(g745 +Vif +p1326 +tp1327 +a(g827 +g970 +tp1328 +a(g706 +g978 +tp1329 +a(g827 +g980 +tp1330 +a(g827 +g970 +tp1331 +a(g413 +V<= +p1332 +tp1333 +a(g827 +g970 +tp1334 +a(g32 +g1215 +tp1335 +a(g706 +g987 +tp1336 +a(g827 +g970 +tp1337 +a(g706 +g990 +tp1338 +a(g827 +V\u000a +p1339 +tp1340 +a(g706 +g1110 +tp1341 +a(g827 +V\u000a +p1342 +tp1343 +a(g745 +Vif +p1344 +tp1345 +a(g827 +g970 +tp1346 +a(g706 +g978 +tp1347 +a(g827 +g980 +tp1348 +a(g827 +g970 +tp1349 +a(g413 +V< +p1350 +tp1351 +a(g827 +g970 +tp1352 +a(g32 +g1215 +tp1353 +a(g706 +g987 +tp1354 +a(g827 +g970 +tp1355 +a(g706 +g990 +tp1356 +a(g827 +V\u000a +p1357 +tp1358 +a(g706 +g1110 +tp1359 +a(g827 +V\u000a +p1360 +tp1361 +a(g827 +V\u000a +p1362 +tp1363 +a(g827 +g980 +tp1364 +a(g827 +g970 +tp1365 +a(g8 +V/* +p1366 +tp1367 +a(g8 +g970 +tp1368 +a(g8 +V/ +p1369 +tp1370 +a(g8 +V*/ +p1371 +tp1372 +a(g827 +g970 +tp1373 +a(g413 +g960 +tp1374 +a(g827 +g970 +tp1375 +a(g32 +g1215 +tp1376 +a(g827 +g970 +tp1377 +a(g827 +g970 +tp1378 +a(g827 +g970 +tp1379 +a(g827 +g970 +tp1380 +a(g827 +g970 +tp1381 +a(g827 +g970 +tp1382 +a(g827 +g970 +tp1383 +a(g827 +g970 +tp1384 +a(g8 +V/* +p1385 +tp1386 +a(g8 +V == a +p1387 +tp1388 +a(g8 +g960 +tp1389 +a(g8 +V 2 +p1390 +tp1391 +a(g8 +V*/ +p1392 +tp1393 +a(g827 +V\u000a +p1394 +tp1395 +a(g827 +g980 +tp1396 +a(g827 +g970 +tp1397 +a(g413 +g1369 +tp1398 +a(g8 +V/* +p1399 +tp1400 +a(g8 +g970 +tp1401 +a(g8 +g1369 +tp1402 +a(g8 +V*/ +p1403 +tp1404 +a(g827 +g970 +tp1405 +a(g32 +V1.5 +p1406 +tp1407 +a(g827 +g970 +tp1408 +a(g827 +g970 +tp1409 +a(g827 +g970 +tp1410 +a(g827 +g970 +tp1411 +a(g827 +g970 +tp1412 +a(g827 +g970 +tp1413 +a(g827 +g970 +tp1414 +a(g8 +V/* +p1415 +tp1416 +a(g8 +V == a +p1417 +tp1418 +a(g8 +g1369 +tp1419 +a(g8 +V 1.5 +p1420 +tp1421 +a(g8 +V*/ +p1422 +tp1423 +a(g827 +V\u000a +p1424 +tp1425 +a(g827 +g980 +tp1426 +a(g827 +g970 +tp1427 +a(g8 +V/* +p1428 +tp1429 +a(g8 +g1369 +tp1430 +a(g8 +V*/ +p1431 +tp1432 +a(g827 +g970 +tp1433 +a(g413 +g960 +tp1434 +a(g827 +g970 +tp1435 +a(g32 +g1224 +tp1436 +a(g827 +g970 +tp1437 +a(g827 +g970 +tp1438 +a(g827 +g970 +tp1439 +a(g827 +g970 +tp1440 +a(g827 +g970 +tp1441 +a(g827 +g970 +tp1442 +a(g827 +g970 +tp1443 +a(g827 +g970 +tp1444 +a(g827 +g970 +tp1445 +a(g8 +V/* +p1446 +tp1447 +a(g8 +V == a +p1448 +tp1449 +a(g8 +g960 +tp1450 +a(g8 +V 3 +p1451 +tp1452 +a(g8 +V*/ +p1453 +tp1454 +a(g827 +V\u000a +p1455 +tp1456 +a(g827 +g980 +tp1457 +a(g827 +g970 +tp1458 +a(g413 +g960 +tp1459 +a(g827 +g970 +tp1460 +a(g32 +g1224 +tp1461 +a(g827 +g970 +tp1462 +a(g8 +V/* +p1463 +tp1464 +a(g8 +V*/ +p1465 +tp1466 +a(g827 +g970 +tp1467 +a(g413 +g960 +tp1468 +a(g827 +g970 +tp1469 +a(g32 +V4 +p1470 +tp1471 +a(g827 +g970 +tp1472 +a(g827 +g970 +tp1473 +a(g827 +g970 +tp1474 +a(g827 +g970 +tp1475 +a(g827 +g970 +tp1476 +a(g827 +g970 +tp1477 +a(g8 +V/* +p1478 +tp1479 +a(g8 +V == a +p1480 +tp1481 +a(g8 +g960 +tp1482 +a(g8 +V 3 +p1483 +tp1484 +a(g8 +g960 +tp1485 +a(g8 +V 4 +p1486 +tp1487 +a(g8 +V*/ +p1488 +tp1489 +a(g827 +V\u000a +p1490 +tp1491 +a(g827 +g980 +tp1492 +a(g827 +g970 +tp1493 +a(g413 +g1369 +tp1494 +a(g827 +g970 +tp1495 +a(g32 +g1224 +tp1496 +a(g827 +g970 +tp1497 +a(g413 +g1369 +tp1498 +a(g8 +V/* +p1499 +tp1500 +a(g8 +g1369 +tp1501 +a(g8 +g1369 +tp1502 +a(g8 +V*/ +p1503 +tp1504 +a(g827 +g970 +tp1505 +a(g32 +V.4 +p1506 +tp1507 +a(g827 +g970 +tp1508 +a(g827 +g970 +tp1509 +a(g827 +g970 +tp1510 +a(g827 +g970 +tp1511 +a(g8 +V/* +p1512 +tp1513 +a(g8 +V == a +p1514 +tp1515 +a(g8 +g1369 +tp1516 +a(g8 +V 3 +p1517 +tp1518 +a(g8 +g1369 +tp1519 +a(g8 +V 0.4 +p1520 +tp1521 +a(g8 +V*/ +p1522 +tp1523 +a(g827 +V\u000a +p1524 +tp1525 +a(g827 +g980 +tp1526 +a(g827 +g970 +tp1527 +a(g413 +g1369 +tp1528 +a(g827 +g970 +tp1529 +a(g32 +g1224 +tp1530 +a(g827 +g970 +tp1531 +a(g413 +g1369 +tp1532 +a(g8 +V/* +p1533 +tp1534 +a(g8 +g1369 +tp1535 +a(g8 +g1369 +tp1536 +a(g8 +V*/ +p1537 +tp1538 +a(g827 +g970 +tp1539 +a(g32 +V1.3 +p1540 +tp1541 +a(g827 +g970 +tp1542 +a(g827 +g970 +tp1543 +a(g827 +g970 +tp1544 +a(g8 +V/* +p1545 +tp1546 +a(g8 +V == a +p1547 +tp1548 +a(g8 +g1369 +tp1549 +a(g8 +V 3 +p1550 +tp1551 +a(g8 +g1369 +tp1552 +a(g8 +V 1.4 +p1553 +tp1554 +a(g8 +V*/ +p1555 +tp1556 +a(g827 +V\u000a +p1557 +tp1558 +a(g827 +g980 +tp1559 +a(g827 +g970 +tp1560 +a(g413 +g1369 +tp1561 +a(g827 +g970 +tp1562 +a(g32 +g1224 +tp1563 +a(g827 +g970 +tp1564 +a(g8 +V/* +p1565 +tp1566 +a(g8 +g1369 +tp1567 +a(g8 +g1369 +tp1568 +a(g8 +V*/ +p1569 +tp1570 +a(g413 +g1369 +tp1571 +a(g827 +g970 +tp1572 +a(g32 +V1.3 +p1573 +tp1574 +a(g827 +g970 +tp1575 +a(g827 +g970 +tp1576 +a(g827 +g970 +tp1577 +a(g8 +V/* +p1578 +tp1579 +a(g8 +V == a +p1580 +tp1581 +a(g8 +g1369 +tp1582 +a(g8 +V 3 +p1583 +tp1584 +a(g8 +g1369 +tp1585 +a(g8 +V 1.4 +p1586 +tp1587 +a(g8 +V*/ +p1588 +tp1589 +a(g827 +V\u000a +p1590 +tp1591 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.boa b/tests/examplefiles/output/example.boa new file mode 100644 index 0000000..ba5469c --- /dev/null +++ b/tests/examplefiles/output/example.boa @@ -0,0 +1,2962 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# Computes Number of Public Methods (NPM) for each project, per-type +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# Output is: NPM[ProjectID][TypeName] = NPM value +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g440 +Vp +p964 +tp965 +a(g412 +V: +p966 +tp967 +a(g826 +V +p968 +tp969 +a(g549 +VProject +p970 +tp971 +a(g826 +g968 +tp972 +a(g412 +V= +p973 +tp974 +a(g826 +g968 +tp975 +a(g793 +Vinput +p976 +tp977 +a(g705 +V; +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g440 +VNPM +p982 +tp983 +a(g412 +g966 +tp984 +a(g826 +g968 +tp985 +a(g793 +Voutput +p986 +tp987 +a(g826 +g968 +tp988 +a(g748 +Vsum +p989 +tp990 +a(g705 +V[ +p991 +tp992 +a(g748 +Vstring +p993 +tp994 +a(g705 +V] +p995 +tp996 +a(g705 +g991 +tp997 +a(g748 +Vstring +p998 +tp999 +a(g705 +g995 +tp1000 +a(g826 +g968 +tp1001 +a(g793 +Vof +p1002 +tp1003 +a(g826 +g968 +tp1004 +a(g748 +Vint +p1005 +tp1006 +a(g705 +g978 +tp1007 +a(g826 +V\u000a +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g793 +Vvisit +p1012 +tp1013 +a(g705 +V( +p1014 +tp1015 +a(g440 +g964 +tp1016 +a(g705 +V, +p1017 +tp1018 +a(g826 +g968 +tp1019 +a(g793 +Vvisitor +p1020 +tp1021 +a(g826 +g968 +tp1022 +a(g705 +V{ +p1023 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g826 +V +p1027 +tp1028 +a(g8 +V# only look at the latest snapshot +p1029 +tp1030 +a(g826 +V\u000a +p1031 +tp1032 +a(g826 +g1027 +tp1033 +a(g793 +Vbefore +p1034 +tp1035 +a(g826 +g968 +tp1036 +a(g440 +Vn +p1037 +tp1038 +a(g412 +g966 +tp1039 +a(g826 +g968 +tp1040 +a(g549 +VCodeRepository +p1041 +tp1042 +a(g826 +g968 +tp1043 +a(g412 +V-> +p1044 +tp1045 +a(g826 +g968 +tp1046 +a(g705 +g1023 +tp1047 +a(g826 +V\u000a +p1048 +tp1049 +a(g826 +g1027 +tp1050 +a(g826 +g1027 +tp1051 +a(g440 +Vsnapshot +p1052 +tp1053 +a(g826 +g968 +tp1054 +a(g412 +V:= +p1055 +tp1056 +a(g826 +g968 +tp1057 +a(g573 +Vgetsnapshot( +p1058 +tp1059 +a(g440 +g1037 +tp1060 +a(g705 +V) +p1061 +tp1062 +a(g705 +g978 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g826 +g1027 +tp1066 +a(g826 +g1027 +tp1067 +a(g793 +Vforeach +p1068 +tp1069 +a(g826 +g968 +tp1070 +a(g705 +g1014 +tp1071 +a(g440 +Vi +p1072 +tp1073 +a(g412 +g966 +tp1074 +a(g826 +g968 +tp1075 +a(g748 +Vint +p1076 +tp1077 +a(g705 +g978 +tp1078 +a(g826 +g968 +tp1079 +a(g573 +Vdef( +p1080 +tp1081 +a(g440 +Vsnapshot +p1082 +tp1083 +a(g705 +g991 +tp1084 +a(g440 +g1072 +tp1085 +a(g705 +g995 +tp1086 +a(g705 +g1061 +tp1087 +a(g705 +g1061 +tp1088 +a(g826 +V\u000a +p1089 +tp1090 +a(g826 +g1027 +tp1091 +a(g826 +g1027 +tp1092 +a(g826 +g1027 +tp1093 +a(g793 +Vvisit +p1094 +tp1095 +a(g705 +g1014 +tp1096 +a(g440 +Vsnapshot +p1097 +tp1098 +a(g705 +g991 +tp1099 +a(g440 +g1072 +tp1100 +a(g705 +g995 +tp1101 +a(g705 +g1061 +tp1102 +a(g705 +g978 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g826 +g1027 +tp1106 +a(g826 +g1027 +tp1107 +a(g793 +Vstop +p1108 +tp1109 +a(g705 +g978 +tp1110 +a(g826 +V\u000a +p1111 +tp1112 +a(g826 +g1027 +tp1113 +a(g705 +V} +p1114 +tp1115 +a(g826 +V\u000a +p1116 +tp1117 +a(g826 +g1027 +tp1118 +a(g793 +Vbefore +p1119 +tp1120 +a(g826 +g968 +tp1121 +a(g440 +Vnode +p1122 +tp1123 +a(g412 +g966 +tp1124 +a(g826 +g968 +tp1125 +a(g549 +VDeclaration +p1126 +tp1127 +a(g826 +g968 +tp1128 +a(g412 +V-> +p1129 +tp1130 +a(g826 +V\u000a +p1131 +tp1132 +a(g826 +g1027 +tp1133 +a(g826 +g1027 +tp1134 +a(g793 +Vif +p1135 +tp1136 +a(g826 +g968 +tp1137 +a(g705 +g1014 +tp1138 +a(g440 +Vnode +p1139 +tp1140 +a(g705 +V. +p1141 +tp1142 +a(g440 +Vkind +p1143 +tp1144 +a(g826 +g968 +tp1145 +a(g412 +g973 +tp1146 +a(g412 +g973 +tp1147 +a(g826 +g968 +tp1148 +a(g549 +VTypeKind +p1149 +tp1150 +a(g705 +g1141 +tp1151 +a(g440 +VCLASS +p1152 +tp1153 +a(g705 +g1061 +tp1154 +a(g826 +V\u000a +p1155 +tp1156 +a(g826 +g1027 +tp1157 +a(g826 +g1027 +tp1158 +a(g826 +g1027 +tp1159 +a(g793 +Vforeach +p1160 +tp1161 +a(g826 +g968 +tp1162 +a(g705 +g1014 +tp1163 +a(g440 +g1072 +tp1164 +a(g412 +g966 +tp1165 +a(g826 +g968 +tp1166 +a(g748 +Vint +p1167 +tp1168 +a(g705 +g978 +tp1169 +a(g826 +g968 +tp1170 +a(g440 +Vhas_modifier_public +p1171 +tp1172 +a(g705 +g1014 +tp1173 +a(g440 +Vnode +p1174 +tp1175 +a(g705 +g1141 +tp1176 +a(g440 +Vmethods +p1177 +tp1178 +a(g705 +g991 +tp1179 +a(g440 +g1072 +tp1180 +a(g705 +g995 +tp1181 +a(g705 +g1061 +tp1182 +a(g705 +g1061 +tp1183 +a(g826 +V\u000a +p1184 +tp1185 +a(g826 +g1027 +tp1186 +a(g826 +g1027 +tp1187 +a(g826 +g1027 +tp1188 +a(g826 +g1027 +tp1189 +a(g440 +VNPM +p1190 +tp1191 +a(g705 +g991 +tp1192 +a(g440 +g964 +tp1193 +a(g705 +g1141 +tp1194 +a(g440 +Vid +p1195 +tp1196 +a(g705 +g995 +tp1197 +a(g705 +g991 +tp1198 +a(g440 +Vnode +p1199 +tp1200 +a(g705 +g1141 +tp1201 +a(g440 +Vname +p1202 +tp1203 +a(g705 +g995 +tp1204 +a(g826 +g968 +tp1205 +a(g412 +V<< +p1206 +tp1207 +a(g826 +g968 +tp1208 +a(g37 +V1 +p1209 +tp1210 +a(g705 +g978 +tp1211 +a(g826 +V\u000a +p1212 +tp1213 +a(g705 +g1114 +tp1214 +a(g705 +g1061 +tp1215 +a(g705 +g978 +tp1216 +a(g826 +V\u000a +p1217 +tp1218 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.bug b/tests/examplefiles/output/example.bug new file mode 100644 index 0000000..70e2aa2 --- /dev/null +++ b/tests/examplefiles/output/example.bug @@ -0,0 +1,4291 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# Alligators: multinomial - logistic regression +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# http://www.openbugs.info/Examples/Aligators.html +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g770 +Vmodel +p964 +tp965 +a(g826 +V +p966 +tp967 +a(g705 +V{ +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V# PRIORS +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g435 +Valpha +p976 +tp977 +a(g705 +V[ +p978 +tp979 +a(g32 +V1 +p980 +tp981 +a(g705 +V] +p982 +tp983 +a(g826 +g966 +tp984 +a(g412 +V<- +p985 +tp986 +a(g826 +g966 +tp987 +a(g32 +V0 +p988 +tp989 +a(g705 +V; +p990 +tp991 +a(g826 +g966 +tp992 +a(g8 +V# zero contrast for baseline food +p993 +tp994 +a(g826 +V\u000a +p995 +tp996 +a(g793 +Vfor +p997 +tp998 +a(g826 +g966 +tp999 +a(g705 +V( +p1000 +tp1001 +a(g435 +Vk +p1002 +tp1003 +a(g826 +g966 +tp1004 +a(g793 +Vin +p1005 +tp1006 +a(g826 +g966 +tp1007 +a(g32 +V2 +p1008 +tp1009 +a(g826 +g966 +tp1010 +a(g705 +V: +p1011 +tp1012 +a(g826 +g966 +tp1013 +a(g435 +VK +p1014 +tp1015 +a(g705 +V) +p1016 +tp1017 +a(g826 +g966 +tp1018 +a(g705 +g968 +tp1019 +a(g826 +V \u000a +p1020 +tp1021 +a(g435 +Valpha +p1022 +tp1023 +a(g705 +g978 +tp1024 +a(g435 +g1002 +tp1025 +a(g705 +g982 +tp1026 +a(g826 +g966 +tp1027 +a(g412 +V~ +p1028 +tp1029 +a(g826 +g966 +tp1030 +a(g681 +Vdnorm +p1031 +tp1032 +a(g705 +g1000 +tp1033 +a(g32 +g988 +tp1034 +a(g705 +V, +p1035 +tp1036 +a(g826 +g966 +tp1037 +a(g32 +V0.00001 +p1038 +tp1039 +a(g705 +g1016 +tp1040 +a(g826 +g966 +tp1041 +a(g8 +V# vague priors +p1042 +tp1043 +a(g826 +V\u000a +p1044 +tp1045 +a(g705 +V} +p1046 +tp1047 +a(g826 +V \u000a +p1048 +tp1049 +a(g8 +V# Loop around lakes: +p1050 +tp1051 +a(g826 +V\u000a +p1052 +tp1053 +a(g793 +Vfor +p1054 +tp1055 +a(g826 +g966 +tp1056 +a(g705 +g1000 +tp1057 +a(g435 +g1002 +tp1058 +a(g826 +g966 +tp1059 +a(g793 +Vin +p1060 +tp1061 +a(g826 +g966 +tp1062 +a(g32 +g980 +tp1063 +a(g826 +g966 +tp1064 +a(g705 +g1011 +tp1065 +a(g826 +g966 +tp1066 +a(g435 +g1014 +tp1067 +a(g705 +g1016 +tp1068 +a(g705 +g968 +tp1069 +a(g826 +V \u000a +p1070 +tp1071 +a(g435 +Vbeta +p1072 +tp1073 +a(g705 +g978 +tp1074 +a(g32 +g980 +tp1075 +a(g705 +g1035 +tp1076 +a(g826 +g966 +tp1077 +a(g435 +g1002 +tp1078 +a(g705 +g982 +tp1079 +a(g826 +g966 +tp1080 +a(g412 +V<- +p1081 +tp1082 +a(g826 +g966 +tp1083 +a(g32 +g988 +tp1084 +a(g826 +V \u000a +p1085 +tp1086 +a(g705 +g1046 +tp1087 +a(g826 +g966 +tp1088 +a(g8 +V# corner-point contrast with first lake +p1089 +tp1090 +a(g826 +V\u000a +p1091 +tp1092 +a(g793 +Vfor +p1093 +tp1094 +a(g826 +g966 +tp1095 +a(g705 +g1000 +tp1096 +a(g435 +Vi +p1097 +tp1098 +a(g826 +g966 +tp1099 +a(g793 +Vin +p1100 +tp1101 +a(g826 +g966 +tp1102 +a(g32 +g1008 +tp1103 +a(g826 +g966 +tp1104 +a(g705 +g1011 +tp1105 +a(g826 +g966 +tp1106 +a(g435 +VI +p1107 +tp1108 +a(g705 +g1016 +tp1109 +a(g826 +g966 +tp1110 +a(g705 +g968 +tp1111 +a(g826 +V \u000a +p1112 +tp1113 +a(g435 +Vbeta +p1114 +tp1115 +a(g705 +g978 +tp1116 +a(g435 +g1097 +tp1117 +a(g705 +g1035 +tp1118 +a(g826 +g966 +tp1119 +a(g32 +g980 +tp1120 +a(g705 +g982 +tp1121 +a(g826 +g966 +tp1122 +a(g412 +V<- +p1123 +tp1124 +a(g826 +g966 +tp1125 +a(g32 +g988 +tp1126 +a(g826 +g966 +tp1127 +a(g705 +g990 +tp1128 +a(g826 +g966 +tp1129 +a(g8 +V# zero contrast for baseline food +p1130 +tp1131 +a(g826 +V\u000a +p1132 +tp1133 +a(g793 +Vfor +p1134 +tp1135 +a(g826 +g966 +tp1136 +a(g705 +g1000 +tp1137 +a(g435 +g1002 +tp1138 +a(g826 +g966 +tp1139 +a(g793 +Vin +p1140 +tp1141 +a(g826 +g966 +tp1142 +a(g32 +g1008 +tp1143 +a(g826 +g966 +tp1144 +a(g705 +g1011 +tp1145 +a(g826 +g966 +tp1146 +a(g435 +g1014 +tp1147 +a(g705 +g1016 +tp1148 +a(g705 +g968 +tp1149 +a(g826 +V \u000a +p1150 +tp1151 +a(g435 +Vbeta +p1152 +tp1153 +a(g705 +g978 +tp1154 +a(g435 +g1097 +tp1155 +a(g705 +g1035 +tp1156 +a(g826 +g966 +tp1157 +a(g435 +g1002 +tp1158 +a(g705 +g982 +tp1159 +a(g826 +g966 +tp1160 +a(g412 +g1028 +tp1161 +a(g826 +g966 +tp1162 +a(g681 +Vdnorm +p1163 +tp1164 +a(g705 +g1000 +tp1165 +a(g32 +g988 +tp1166 +a(g705 +g1035 +tp1167 +a(g826 +g966 +tp1168 +a(g32 +V0.00001 +p1169 +tp1170 +a(g705 +g1016 +tp1171 +a(g826 +g966 +tp1172 +a(g8 +V# vague priors +p1173 +tp1174 +a(g826 +V\u000a +p1175 +tp1176 +a(g705 +g1046 +tp1177 +a(g826 +V \u000a +p1178 +tp1179 +a(g705 +g1046 +tp1180 +a(g826 +V\u000a +p1181 +tp1182 +a(g8 +V# Loop around sizes: +p1183 +tp1184 +a(g826 +V\u000a +p1185 +tp1186 +a(g793 +Vfor +p1187 +tp1188 +a(g826 +g966 +tp1189 +a(g705 +g1000 +tp1190 +a(g435 +g1002 +tp1191 +a(g826 +g966 +tp1192 +a(g793 +Vin +p1193 +tp1194 +a(g826 +g966 +tp1195 +a(g32 +g980 +tp1196 +a(g826 +g966 +tp1197 +a(g705 +g1011 +tp1198 +a(g826 +g966 +tp1199 +a(g435 +g1014 +tp1200 +a(g705 +g1016 +tp1201 +a(g705 +g968 +tp1202 +a(g826 +V \u000a +p1203 +tp1204 +a(g435 +Vgamma +p1205 +tp1206 +a(g705 +g978 +tp1207 +a(g32 +g980 +tp1208 +a(g705 +g1035 +tp1209 +a(g826 +g966 +tp1210 +a(g435 +g1002 +tp1211 +a(g705 +g982 +tp1212 +a(g826 +g966 +tp1213 +a(g412 +V<- +p1214 +tp1215 +a(g826 +g966 +tp1216 +a(g32 +g988 +tp1217 +a(g826 +g966 +tp1218 +a(g8 +V# corner-point contrast with first size +p1219 +tp1220 +a(g826 +V\u000a +p1221 +tp1222 +a(g705 +g1046 +tp1223 +a(g826 +V \u000a +p1224 +tp1225 +a(g793 +Vfor +p1226 +tp1227 +a(g826 +g966 +tp1228 +a(g705 +g1000 +tp1229 +a(g435 +Vj +p1230 +tp1231 +a(g826 +g966 +tp1232 +a(g793 +Vin +p1233 +tp1234 +a(g826 +g966 +tp1235 +a(g32 +g1008 +tp1236 +a(g826 +g966 +tp1237 +a(g705 +g1011 +tp1238 +a(g826 +g966 +tp1239 +a(g435 +VJ +p1240 +tp1241 +a(g705 +g1016 +tp1242 +a(g826 +g966 +tp1243 +a(g705 +g968 +tp1244 +a(g826 +V \u000a +p1245 +tp1246 +a(g435 +Vgamma +p1247 +tp1248 +a(g705 +g978 +tp1249 +a(g435 +g1230 +tp1250 +a(g705 +g1035 +tp1251 +a(g826 +g966 +tp1252 +a(g32 +g980 +tp1253 +a(g705 +g982 +tp1254 +a(g826 +g966 +tp1255 +a(g412 +V<- +p1256 +tp1257 +a(g826 +g966 +tp1258 +a(g32 +g988 +tp1259 +a(g826 +g966 +tp1260 +a(g705 +g990 +tp1261 +a(g826 +g966 +tp1262 +a(g8 +V# zero contrast for baseline food +p1263 +tp1264 +a(g826 +V\u000a +p1265 +tp1266 +a(g793 +Vfor +p1267 +tp1268 +a(g826 +g966 +tp1269 +a(g705 +g1000 +tp1270 +a(g826 +g966 +tp1271 +a(g435 +g1002 +tp1272 +a(g826 +g966 +tp1273 +a(g793 +Vin +p1274 +tp1275 +a(g826 +g966 +tp1276 +a(g32 +g1008 +tp1277 +a(g826 +g966 +tp1278 +a(g705 +g1011 +tp1279 +a(g826 +g966 +tp1280 +a(g435 +g1014 +tp1281 +a(g705 +g1016 +tp1282 +a(g705 +g968 +tp1283 +a(g826 +V \u000a +p1284 +tp1285 +a(g435 +Vgamma +p1286 +tp1287 +a(g705 +g978 +tp1288 +a(g435 +g1230 +tp1289 +a(g705 +g1035 +tp1290 +a(g826 +g966 +tp1291 +a(g435 +g1002 +tp1292 +a(g705 +g982 +tp1293 +a(g826 +g966 +tp1294 +a(g412 +g1028 +tp1295 +a(g826 +g966 +tp1296 +a(g681 +Vdnorm +p1297 +tp1298 +a(g705 +g1000 +tp1299 +a(g32 +g988 +tp1300 +a(g705 +g1035 +tp1301 +a(g826 +g966 +tp1302 +a(g32 +V0.00001 +p1303 +tp1304 +a(g705 +g1016 +tp1305 +a(g826 +g966 +tp1306 +a(g8 +V# vague priors +p1307 +tp1308 +a(g826 +V\u000a +p1309 +tp1310 +a(g705 +g1046 +tp1311 +a(g826 +V \u000a +p1312 +tp1313 +a(g705 +g1046 +tp1314 +a(g826 +V\u000a\u000a +p1315 +tp1316 +a(g8 +V# LIKELIHOOD +p1317 +tp1318 +a(g826 +V\u000a +p1319 +tp1320 +a(g793 +Vfor +p1321 +tp1322 +a(g826 +g966 +tp1323 +a(g705 +g1000 +tp1324 +a(g435 +g1097 +tp1325 +a(g826 +g966 +tp1326 +a(g793 +Vin +p1327 +tp1328 +a(g826 +g966 +tp1329 +a(g32 +g980 +tp1330 +a(g826 +g966 +tp1331 +a(g705 +g1011 +tp1332 +a(g826 +g966 +tp1333 +a(g435 +g1107 +tp1334 +a(g705 +g1016 +tp1335 +a(g826 +g966 +tp1336 +a(g705 +g968 +tp1337 +a(g826 +g966 +tp1338 +a(g8 +V# loop around lakes +p1339 +tp1340 +a(g826 +V\u000a +p1341 +tp1342 +a(g793 +Vfor +p1343 +tp1344 +a(g826 +g966 +tp1345 +a(g705 +g1000 +tp1346 +a(g435 +g1230 +tp1347 +a(g826 +g966 +tp1348 +a(g793 +Vin +p1349 +tp1350 +a(g826 +g966 +tp1351 +a(g32 +g980 +tp1352 +a(g826 +g966 +tp1353 +a(g705 +g1011 +tp1354 +a(g826 +g966 +tp1355 +a(g435 +g1240 +tp1356 +a(g705 +g1016 +tp1357 +a(g826 +g966 +tp1358 +a(g705 +g968 +tp1359 +a(g826 +g966 +tp1360 +a(g8 +V# loop around sizes +p1361 +tp1362 +a(g826 +V\u000a\u000a +p1363 +tp1364 +a(g8 +V# Fit standard Poisson regressions relative to baseline +p1365 +tp1366 +a(g826 +V\u000a +p1367 +tp1368 +a(g435 +Vlambda +p1369 +tp1370 +a(g705 +g978 +tp1371 +a(g435 +g1097 +tp1372 +a(g705 +g1035 +tp1373 +a(g826 +g966 +tp1374 +a(g435 +g1230 +tp1375 +a(g705 +g982 +tp1376 +a(g826 +g966 +tp1377 +a(g412 +g1028 +tp1378 +a(g826 +g966 +tp1379 +a(g681 +Vdflat +p1380 +tp1381 +a(g705 +g1000 +tp1382 +a(g705 +g1016 +tp1383 +a(g826 +V +p1384 +tp1385 +a(g8 +V# vague priors +p1386 +tp1387 +a(g826 +V\u000a +p1388 +tp1389 +a(g793 +Vfor +p1390 +tp1391 +a(g826 +g966 +tp1392 +a(g705 +g1000 +tp1393 +a(g435 +g1002 +tp1394 +a(g826 +g966 +tp1395 +a(g793 +Vin +p1396 +tp1397 +a(g826 +g966 +tp1398 +a(g32 +g980 +tp1399 +a(g826 +g966 +tp1400 +a(g705 +g1011 +tp1401 +a(g826 +g966 +tp1402 +a(g435 +g1014 +tp1403 +a(g705 +g1016 +tp1404 +a(g826 +g966 +tp1405 +a(g705 +g968 +tp1406 +a(g826 +g966 +tp1407 +a(g8 +V# loop around foods +p1408 +tp1409 +a(g826 +V\u000a +p1410 +tp1411 +a(g435 +VX +p1412 +tp1413 +a(g705 +g978 +tp1414 +a(g435 +g1097 +tp1415 +a(g705 +g1035 +tp1416 +a(g826 +g966 +tp1417 +a(g435 +g1230 +tp1418 +a(g705 +g1035 +tp1419 +a(g826 +g966 +tp1420 +a(g435 +g1002 +tp1421 +a(g705 +g982 +tp1422 +a(g826 +g966 +tp1423 +a(g412 +g1028 +tp1424 +a(g826 +g966 +tp1425 +a(g681 +Vdpois +p1426 +tp1427 +a(g705 +g1000 +tp1428 +a(g435 +Vmu +p1429 +tp1430 +a(g705 +g978 +tp1431 +a(g435 +g1097 +tp1432 +a(g705 +g1035 +tp1433 +a(g826 +g966 +tp1434 +a(g435 +g1230 +tp1435 +a(g705 +g1035 +tp1436 +a(g826 +g966 +tp1437 +a(g435 +g1002 +tp1438 +a(g705 +g982 +tp1439 +a(g705 +g1016 +tp1440 +a(g826 +V\u000a +p1441 +tp1442 +a(g681 +Vlog +p1443 +tp1444 +a(g705 +g1000 +tp1445 +a(g435 +Vmu +p1446 +tp1447 +a(g705 +g978 +tp1448 +a(g435 +g1097 +tp1449 +a(g705 +g1035 +tp1450 +a(g826 +g966 +tp1451 +a(g435 +g1230 +tp1452 +a(g705 +g1035 +tp1453 +a(g826 +g966 +tp1454 +a(g435 +g1002 +tp1455 +a(g705 +g982 +tp1456 +a(g705 +g1016 +tp1457 +a(g826 +g966 +tp1458 +a(g412 +V<- +p1459 +tp1460 +a(g826 +g966 +tp1461 +a(g435 +Vlambda +p1462 +tp1463 +a(g705 +g978 +tp1464 +a(g435 +g1097 +tp1465 +a(g705 +g1035 +tp1466 +a(g826 +g966 +tp1467 +a(g435 +g1230 +tp1468 +a(g705 +g982 +tp1469 +a(g826 +g966 +tp1470 +a(g412 +V+ +p1471 +tp1472 +a(g826 +g966 +tp1473 +a(g435 +Valpha +p1474 +tp1475 +a(g705 +g978 +tp1476 +a(g435 +g1002 +tp1477 +a(g705 +g982 +tp1478 +a(g826 +g966 +tp1479 +a(g412 +g1471 +tp1480 +a(g826 +g966 +tp1481 +a(g435 +Vbeta +p1482 +tp1483 +a(g705 +g978 +tp1484 +a(g435 +g1097 +tp1485 +a(g705 +g1035 +tp1486 +a(g826 +g966 +tp1487 +a(g435 +g1002 +tp1488 +a(g705 +g982 +tp1489 +a(g826 +g966 +tp1490 +a(g412 +g1471 +tp1491 +a(g826 +g966 +tp1492 +a(g435 +Vgamma +p1493 +tp1494 +a(g705 +g978 +tp1495 +a(g435 +g1230 +tp1496 +a(g705 +g1035 +tp1497 +a(g826 +g966 +tp1498 +a(g435 +g1002 +tp1499 +a(g705 +g982 +tp1500 +a(g826 +V\u000a +p1501 +tp1502 +a(g435 +Vculmative.X +p1503 +tp1504 +a(g705 +g978 +tp1505 +a(g435 +g1097 +tp1506 +a(g705 +g1035 +tp1507 +a(g826 +g966 +tp1508 +a(g435 +g1230 +tp1509 +a(g705 +g1035 +tp1510 +a(g826 +g966 +tp1511 +a(g435 +g1002 +tp1512 +a(g705 +g982 +tp1513 +a(g826 +g966 +tp1514 +a(g412 +V<- +p1515 +tp1516 +a(g826 +g966 +tp1517 +a(g435 +Vculmative +p1518 +tp1519 +a(g705 +g1000 +tp1520 +a(g435 +g1412 +tp1521 +a(g705 +g978 +tp1522 +a(g435 +g1097 +tp1523 +a(g705 +g1035 +tp1524 +a(g826 +g966 +tp1525 +a(g435 +g1230 +tp1526 +a(g705 +g1035 +tp1527 +a(g826 +g966 +tp1528 +a(g435 +g1002 +tp1529 +a(g705 +g982 +tp1530 +a(g705 +g1035 +tp1531 +a(g826 +g966 +tp1532 +a(g435 +g1412 +tp1533 +a(g705 +g978 +tp1534 +a(g435 +g1097 +tp1535 +a(g705 +g1035 +tp1536 +a(g826 +g966 +tp1537 +a(g435 +g1230 +tp1538 +a(g705 +g1035 +tp1539 +a(g826 +g966 +tp1540 +a(g435 +g1002 +tp1541 +a(g705 +g982 +tp1542 +a(g705 +g1016 +tp1543 +a(g826 +V\u000a +p1544 +tp1545 +a(g705 +g1046 +tp1546 +a(g826 +V\u000a +p1547 +tp1548 +a(g705 +g1046 +tp1549 +a(g826 +V\u000a +p1550 +tp1551 +a(g705 +g1046 +tp1552 +a(g826 +V\u000a\u000a +p1553 +tp1554 +a(g8 +V# TRANSFORM OUTPUT TO ENABLE COMPARISON +p1555 +tp1556 +a(g826 +V\u000a +p1557 +tp1558 +a(g8 +V# WITH AGRESTI'S RESULTS +p1559 +tp1560 +a(g826 +V\u000a +p1561 +tp1562 +a(g793 +Vfor +p1563 +tp1564 +a(g826 +g966 +tp1565 +a(g705 +g1000 +tp1566 +a(g435 +g1002 +tp1567 +a(g826 +g966 +tp1568 +a(g793 +Vin +p1569 +tp1570 +a(g826 +g966 +tp1571 +a(g32 +g980 +tp1572 +a(g826 +g966 +tp1573 +a(g705 +g1011 +tp1574 +a(g826 +g966 +tp1575 +a(g435 +g1014 +tp1576 +a(g705 +g1016 +tp1577 +a(g826 +g966 +tp1578 +a(g705 +g968 +tp1579 +a(g826 +g966 +tp1580 +a(g8 +V# loop around foods +p1581 +tp1582 +a(g826 +V\u000a +p1583 +tp1584 +a(g793 +Vfor +p1585 +tp1586 +a(g826 +g966 +tp1587 +a(g705 +g1000 +tp1588 +a(g435 +g1097 +tp1589 +a(g826 +g966 +tp1590 +a(g793 +Vin +p1591 +tp1592 +a(g826 +g966 +tp1593 +a(g32 +g980 +tp1594 +a(g826 +g966 +tp1595 +a(g705 +g1011 +tp1596 +a(g826 +g966 +tp1597 +a(g435 +g1107 +tp1598 +a(g705 +g1016 +tp1599 +a(g826 +g966 +tp1600 +a(g705 +g968 +tp1601 +a(g826 +g966 +tp1602 +a(g8 +V# loop around lakes +p1603 +tp1604 +a(g826 +V\u000a +p1605 +tp1606 +a(g435 +Vb +p1607 +tp1608 +a(g705 +g978 +tp1609 +a(g435 +g1097 +tp1610 +a(g705 +g1035 +tp1611 +a(g826 +g966 +tp1612 +a(g435 +g1002 +tp1613 +a(g705 +g982 +tp1614 +a(g826 +g966 +tp1615 +a(g412 +V<- +p1616 +tp1617 +a(g826 +g966 +tp1618 +a(g435 +Vbeta +p1619 +tp1620 +a(g705 +g978 +tp1621 +a(g435 +g1097 +tp1622 +a(g705 +g1035 +tp1623 +a(g826 +g966 +tp1624 +a(g435 +g1002 +tp1625 +a(g705 +g982 +tp1626 +a(g826 +g966 +tp1627 +a(g412 +V- +p1628 +tp1629 +a(g826 +g966 +tp1630 +a(g681 +Vmean +p1631 +tp1632 +a(g705 +g1000 +tp1633 +a(g435 +Vbeta +p1634 +tp1635 +a(g705 +g978 +tp1636 +a(g705 +g1035 +tp1637 +a(g826 +g966 +tp1638 +a(g435 +g1002 +tp1639 +a(g705 +g982 +tp1640 +a(g705 +g1016 +tp1641 +a(g705 +g990 +tp1642 +a(g826 +g966 +tp1643 +a(g8 +V# sum to zero constraint +p1644 +tp1645 +a(g826 +V\u000a +p1646 +tp1647 +a(g705 +g1046 +tp1648 +a(g826 +V\u000a +p1649 +tp1650 +a(g793 +Vfor +p1651 +tp1652 +a(g826 +g966 +tp1653 +a(g705 +g1000 +tp1654 +a(g435 +g1230 +tp1655 +a(g826 +g966 +tp1656 +a(g793 +Vin +p1657 +tp1658 +a(g826 +g966 +tp1659 +a(g32 +g980 +tp1660 +a(g826 +g966 +tp1661 +a(g705 +g1011 +tp1662 +a(g826 +g966 +tp1663 +a(g435 +g1240 +tp1664 +a(g705 +g1016 +tp1665 +a(g826 +g966 +tp1666 +a(g705 +g968 +tp1667 +a(g826 +g966 +tp1668 +a(g8 +V# loop around sizes +p1669 +tp1670 +a(g826 +V\u000a +p1671 +tp1672 +a(g435 +Vg +p1673 +tp1674 +a(g705 +g978 +tp1675 +a(g435 +g1230 +tp1676 +a(g705 +g1035 +tp1677 +a(g826 +g966 +tp1678 +a(g435 +g1002 +tp1679 +a(g705 +g982 +tp1680 +a(g826 +g966 +tp1681 +a(g412 +V<- +p1682 +tp1683 +a(g826 +g966 +tp1684 +a(g435 +Vgamma +p1685 +tp1686 +a(g705 +g978 +tp1687 +a(g435 +g1230 +tp1688 +a(g705 +g1035 +tp1689 +a(g826 +g966 +tp1690 +a(g435 +g1002 +tp1691 +a(g705 +g982 +tp1692 +a(g826 +g966 +tp1693 +a(g412 +g1628 +tp1694 +a(g826 +g966 +tp1695 +a(g681 +Vmean +p1696 +tp1697 +a(g705 +g1000 +tp1698 +a(g435 +Vgamma +p1699 +tp1700 +a(g705 +g978 +tp1701 +a(g705 +g1035 +tp1702 +a(g826 +g966 +tp1703 +a(g435 +g1002 +tp1704 +a(g705 +g982 +tp1705 +a(g705 +g1016 +tp1706 +a(g705 +g990 +tp1707 +a(g826 +g966 +tp1708 +a(g8 +V# sum to zero constraint +p1709 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g705 +g1046 +tp1713 +a(g826 +V\u000a +p1714 +tp1715 +a(g705 +g1046 +tp1716 +a(g826 +V\u000a +p1717 +tp1718 +a(g705 +g1046 +tp1719 +a(g826 +V \u000a +p1720 +tp1721 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.c b/tests/examplefiles/output/example.c new file mode 100644 index 0000000..ff9a9ec --- /dev/null +++ b/tests/examplefiles/output/example.c @@ -0,0 +1,46594 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# +p956 +tp957 +a(g8 +Vinclude +p958 +tp959 +a(g827 +V +p960 +tp961 +a(g938 +V +p962 +tp963 +a(g8 +V\u000a +p964 +tp965 +a(g8 +g956 +tp966 +a(g8 +Vinclude +p967 +tp968 +a(g827 +g960 +tp969 +a(g938 +V +p970 +tp971 +a(g8 +V\u000a +p972 +tp973 +a(g8 +g956 +tp974 +a(g8 +Vinclude +p975 +tp976 +a(g827 +g960 +tp977 +a(g938 +V +p978 +tp979 +a(g8 +V\u000a +p980 +tp981 +a(g8 +g956 +tp982 +a(g8 +Vinclude +p983 +tp984 +a(g827 +g960 +tp985 +a(g938 +V"codegen.h" +p986 +tp987 +a(g8 +V\u000a +p988 +tp989 +a(g8 +g956 +tp990 +a(g8 +Vinclude +p991 +tp992 +a(g827 +g960 +tp993 +a(g938 +V"symboltable.h" +p994 +tp995 +a(g8 +V\u000a +p996 +tp997 +a(g8 +g956 +tp998 +a(g8 +Vinclude +p999 +tp1000 +a(g827 +g960 +tp1001 +a(g938 +V"stringbuffer.h" +p1002 +tp1003 +a(g8 +V\u000a +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g745 +Vextern +p1008 +tp1009 +a(g827 +g960 +tp1010 +a(g749 +Vvoid +p1011 +tp1012 +a(g827 +g960 +tp1013 +a(g574 +Vyyerror +p1014 +tp1015 +a(g706 +V( +p1016 +tp1017 +a(g749 +Vchar +p1018 +tp1019 +a(g413 +V* +p1020 +tp1021 +a(g827 +g960 +tp1022 +a(g436 +Vmsg +p1023 +tp1024 +a(g706 +V) +p1025 +tp1026 +a(g706 +V; +p1027 +tp1028 +a(g827 +V\u000a +p1029 +tp1030 +a(g827 +V\u000a +p1031 +tp1032 +a(g745 +Vstatic +p1033 +tp1034 +a(g827 +g960 +tp1035 +a(g436 +VstringBuffer +p1036 +tp1037 +a(g413 +g1020 +tp1038 +a(g827 +g960 +tp1039 +a(g436 +VstaticVariableBuffer +p1040 +tp1041 +a(g706 +g1027 +tp1042 +a(g827 +V\u000a +p1043 +tp1044 +a(g745 +Vstatic +p1045 +tp1046 +a(g827 +g960 +tp1047 +a(g436 +VstringBuffer +p1048 +tp1049 +a(g413 +g1020 +tp1050 +a(g827 +g960 +tp1051 +a(g436 +VclassInitBuffer +p1052 +tp1053 +a(g706 +g1027 +tp1054 +a(g827 +V\u000a +p1055 +tp1056 +a(g745 +Vstatic +p1057 +tp1058 +a(g827 +g960 +tp1059 +a(g436 +VstringBuffer +p1060 +tp1061 +a(g413 +g1020 +tp1062 +a(g827 +g960 +tp1063 +a(g436 +VcurrentMethodBuffer +p1064 +tp1065 +a(g706 +g1027 +tp1066 +a(g827 +V\u000a +p1067 +tp1068 +a(g745 +Vstatic +p1069 +tp1070 +a(g827 +g960 +tp1071 +a(g436 +VstringBuffer +p1072 +tp1073 +a(g413 +g1020 +tp1074 +a(g827 +g960 +tp1075 +a(g436 +VfinishedMethodsBuffer +p1076 +tp1077 +a(g706 +g1027 +tp1078 +a(g827 +V\u000a +p1079 +tp1080 +a(g745 +Vstatic +p1081 +tp1082 +a(g827 +g960 +tp1083 +a(g436 +VstringBuffer +p1084 +tp1085 +a(g413 +g1020 +tp1086 +a(g827 +g960 +tp1087 +a(g436 +VmainBuffer +p1088 +tp1089 +a(g706 +g1027 +tp1090 +a(g827 +V\u000a +p1091 +tp1092 +a(g827 +V\u000a +p1093 +tp1094 +a(g745 +Vstatic +p1095 +tp1096 +a(g827 +g960 +tp1097 +a(g749 +Vint +p1098 +tp1099 +a(g827 +g960 +tp1100 +a(g436 +VcurrentMethodBufferIndex +p1101 +tp1102 +a(g706 +g1027 +tp1103 +a(g827 +V\u000a +p1104 +tp1105 +a(g745 +Vstatic +p1106 +tp1107 +a(g827 +g960 +tp1108 +a(g749 +Vint +p1109 +tp1110 +a(g827 +g960 +tp1111 +a(g436 +VcurrentMethodStackSize +p1112 +tp1113 +a(g706 +g1027 +tp1114 +a(g827 +V\u000a +p1115 +tp1116 +a(g745 +Vstatic +p1117 +tp1118 +a(g827 +g960 +tp1119 +a(g749 +Vint +p1120 +tp1121 +a(g827 +g960 +tp1122 +a(g436 +VcurrentMethodStackSizeMax +p1123 +tp1124 +a(g706 +g1027 +tp1125 +a(g827 +V\u000a +p1126 +tp1127 +a(g745 +Vstatic +p1128 +tp1129 +a(g827 +g960 +tp1130 +a(g749 +Vint +p1131 +tp1132 +a(g827 +g960 +tp1133 +a(g436 +VcurrentMethodNumberOfLocals +p1134 +tp1135 +a(g706 +g1027 +tp1136 +a(g827 +V\u000a +p1137 +tp1138 +a(g827 +V\u000a +p1139 +tp1140 +a(g745 +Vstatic +p1141 +tp1142 +a(g827 +g960 +tp1143 +a(g749 +Vint +p1144 +tp1145 +a(g827 +g960 +tp1146 +a(g436 +VclassInitBufferIndex +p1147 +tp1148 +a(g706 +g1027 +tp1149 +a(g827 +V\u000a +p1150 +tp1151 +a(g745 +Vstatic +p1152 +tp1153 +a(g827 +g960 +tp1154 +a(g749 +Vint +p1155 +tp1156 +a(g827 +g960 +tp1157 +a(g436 +VclassInitStackSize +p1158 +tp1159 +a(g706 +g1027 +tp1160 +a(g827 +V\u000a +p1161 +tp1162 +a(g745 +Vstatic +p1163 +tp1164 +a(g827 +g960 +tp1165 +a(g749 +Vint +p1166 +tp1167 +a(g827 +g960 +tp1168 +a(g436 +VclassInitStackSizeMax +p1169 +tp1170 +a(g706 +g1027 +tp1171 +a(g827 +V\u000a +p1172 +tp1173 +a(g827 +V\u000a +p1174 +tp1175 +a(g745 +Vstatic +p1176 +tp1177 +a(g827 +g960 +tp1178 +a(g749 +Vint +p1179 +tp1180 +a(g827 +g960 +tp1181 +a(g436 +VlabelCounter +p1182 +tp1183 +a(g827 +g960 +tp1184 +a(g413 +V= +p1185 +tp1186 +a(g827 +g960 +tp1187 +a(g37 +V0 +p1188 +tp1189 +a(g706 +g1027 +tp1190 +a(g827 +V\u000a +p1191 +tp1192 +a(g745 +Vstatic +p1193 +tp1194 +a(g827 +g960 +tp1195 +a(g749 +Vint +p1196 +tp1197 +a(g827 +g960 +tp1198 +a(g436 +Vglobal +p1199 +tp1200 +a(g827 +V +p1201 +tp1202 +a(g413 +g1185 +tp1203 +a(g827 +g960 +tp1204 +a(g37 +V1 +p1205 +tp1206 +a(g706 +g1027 +tp1207 +a(g827 +V\u000a +p1208 +tp1209 +a(g827 +V\u000a +p1210 +tp1211 +a(g749 +Vchar +p1212 +tp1213 +a(g827 +g960 +tp1214 +a(g436 +VtempString +p1215 +tp1216 +a(g706 +V[ +p1217 +tp1218 +a(g436 +VMAX_LENGTH_OF_COMMAND +p1219 +tp1220 +a(g706 +V] +p1221 +tp1222 +a(g706 +g1027 +tp1223 +a(g827 +V\u000a +p1224 +tp1225 +a(g827 +V\u000a +p1226 +tp1227 +a(g745 +Vextern +p1228 +tp1229 +a(g827 +g960 +tp1230 +a(g749 +Vchar +p1231 +tp1232 +a(g413 +g1020 +tp1233 +a(g827 +g960 +tp1234 +a(g436 +VclassName +p1235 +tp1236 +a(g706 +g1027 +tp1237 +a(g827 +V +p1238 +tp1239 +a(g929 +V/* from minako-syntax.y */ +p1240 +tp1241 +a(g827 +V\u000a +p1242 +tp1243 +a(g827 +V\u000a +p1244 +tp1245 +a(g929 +V/* forward declarations */ +p1246 +tp1247 +a(g827 +V\u000a +p1248 +tp1249 +a(g745 +Vstatic +p1250 +tp1251 +a(g827 +g960 +tp1252 +a(g749 +Vvoid +p1253 +tp1254 +a(g827 +g960 +tp1255 +a(g574 +VincreaseStackby +p1256 +tp1257 +a(g706 +g1016 +tp1258 +a(g749 +Vint +p1259 +tp1260 +a(g827 +g960 +tp1261 +a(g436 +Vstackdiff +p1262 +tp1263 +a(g706 +g1025 +tp1264 +a(g706 +g1027 +tp1265 +a(g827 +V\u000a +p1266 +tp1267 +a(g749 +Vchar +p1268 +tp1269 +a(g827 +g960 +tp1270 +a(g574 +VconvertType +p1271 +tp1272 +a(g706 +g1016 +tp1273 +a(g749 +Vint +p1274 +tp1275 +a(g827 +g960 +tp1276 +a(g436 +Vtype +p1277 +tp1278 +a(g706 +g1025 +tp1279 +a(g706 +g1027 +tp1280 +a(g827 +V\u000a +p1281 +tp1282 +a(g827 +V\u000a +p1283 +tp1284 +a(g749 +Vvoid +p1285 +tp1286 +a(g827 +g960 +tp1287 +a(g574 +VcodegenInit +p1288 +tp1289 +a(g706 +g1016 +tp1290 +a(g706 +g1025 +tp1291 +a(g827 +g960 +tp1292 +a(g706 +V{ +p1293 +tp1294 +a(g827 +V\u000a +p1295 +tp1296 +a(g827 +V +p1297 +tp1298 +a(g436 +VstaticVariableBuffer +p1299 +tp1300 +a(g827 +V +p1301 +tp1302 +a(g413 +g1185 +tp1303 +a(g827 +g960 +tp1304 +a(g436 +VnewStringBuffer +p1305 +tp1306 +a(g706 +g1016 +tp1307 +a(g706 +g1025 +tp1308 +a(g706 +g1027 +tp1309 +a(g827 +V\u000a +p1310 +tp1311 +a(g827 +g1297 +tp1312 +a(g436 +VclassInitBuffer +p1313 +tp1314 +a(g827 +V +p1315 +tp1316 +a(g413 +g1185 +tp1317 +a(g827 +g960 +tp1318 +a(g436 +VnewStringBuffer +p1319 +tp1320 +a(g706 +g1016 +tp1321 +a(g706 +g1025 +tp1322 +a(g706 +g1027 +tp1323 +a(g827 +V\u000a +p1324 +tp1325 +a(g827 +g1297 +tp1326 +a(g436 +VcurrentMethodBuffer +p1327 +tp1328 +a(g827 +V +p1329 +tp1330 +a(g413 +g1185 +tp1331 +a(g827 +g960 +tp1332 +a(g37 +g1188 +tp1333 +a(g706 +g1027 +tp1334 +a(g827 +V\u000a +p1335 +tp1336 +a(g827 +g1297 +tp1337 +a(g436 +VfinishedMethodsBuffer +p1338 +tp1339 +a(g827 +g960 +tp1340 +a(g413 +g1185 +tp1341 +a(g827 +g960 +tp1342 +a(g436 +VnewStringBuffer +p1343 +tp1344 +a(g706 +g1016 +tp1345 +a(g706 +g1025 +tp1346 +a(g706 +g1027 +tp1347 +a(g827 +V\u000a +p1348 +tp1349 +a(g827 +g1297 +tp1350 +a(g436 +VmainBuffer +p1351 +tp1352 +a(g827 +V +p1353 +tp1354 +a(g413 +g1185 +tp1355 +a(g827 +g960 +tp1356 +a(g436 +VnewStringBuffer +p1357 +tp1358 +a(g706 +g1016 +tp1359 +a(g706 +g1025 +tp1360 +a(g706 +g1027 +tp1361 +a(g827 +V\u000a +p1362 +tp1363 +a(g827 +V\u000a +p1364 +tp1365 +a(g827 +g1297 +tp1366 +a(g436 +VstringBufferAppend +p1367 +tp1368 +a(g706 +g1016 +tp1369 +a(g436 +VmainBuffer +p1370 +tp1371 +a(g706 +V, +p1372 +tp1373 +a(g827 +g960 +tp1374 +a(g89 +V" +p1375 +tp1376 +a(g89 +V; ------- Header -------------------------------------------- +p1377 +tp1378 +a(g89 +g1375 +tp1379 +a(g706 +g1025 +tp1380 +a(g706 +g1027 +tp1381 +a(g827 +V \u000a +p1382 +tp1383 +a(g436 +Vsprintf +p1384 +tp1385 +a(g706 +g1016 +tp1386 +a(g436 +VtempString +p1387 +tp1388 +a(g706 +g1372 +tp1389 +a(g827 +g960 +tp1390 +a(g89 +g1375 +tp1391 +a(g89 +V.class public synchronized %s +p1392 +tp1393 +a(g89 +g1375 +tp1394 +a(g706 +g1372 +tp1395 +a(g827 +g960 +tp1396 +a(g436 +VclassName +p1397 +tp1398 +a(g706 +g1025 +tp1399 +a(g706 +g1027 +tp1400 +a(g827 +V\u000a +p1401 +tp1402 +a(g827 +g1297 +tp1403 +a(g436 +VstringBufferAppend +p1404 +tp1405 +a(g706 +g1016 +tp1406 +a(g436 +VmainBuffer +p1407 +tp1408 +a(g706 +g1372 +tp1409 +a(g827 +g960 +tp1410 +a(g436 +VtempString +p1411 +tp1412 +a(g706 +g1025 +tp1413 +a(g706 +g1027 +tp1414 +a(g827 +V\u000a +p1415 +tp1416 +a(g827 +g1297 +tp1417 +a(g436 +VstringBufferAppend +p1418 +tp1419 +a(g706 +g1016 +tp1420 +a(g436 +VmainBuffer +p1421 +tp1422 +a(g706 +g1372 +tp1423 +a(g827 +g960 +tp1424 +a(g89 +g1375 +tp1425 +a(g89 +V.super java/lang/Object +p1426 +tp1427 +a(g89 +g1375 +tp1428 +a(g706 +g1025 +tp1429 +a(g706 +g1027 +tp1430 +a(g827 +V\u000a +p1431 +tp1432 +a(g827 +g1297 +tp1433 +a(g436 +VstringBufferAppend +p1434 +tp1435 +a(g706 +g1016 +tp1436 +a(g436 +VmainBuffer +p1437 +tp1438 +a(g706 +g1372 +tp1439 +a(g827 +g960 +tp1440 +a(g89 +g1375 +tp1441 +a(g89 +V; ----------------------------------------------------------- +p1442 +tp1443 +a(g89 +g1375 +tp1444 +a(g706 +g1025 +tp1445 +a(g706 +g1027 +tp1446 +a(g827 +V\u000a +p1447 +tp1448 +a(g827 +g1297 +tp1449 +a(g436 +VstringBufferAppend +p1450 +tp1451 +a(g706 +g1016 +tp1452 +a(g436 +VmainBuffer +p1453 +tp1454 +a(g706 +g1372 +tp1455 +a(g827 +g960 +tp1456 +a(g89 +g1375 +tp1457 +a(g89 +g1375 +tp1458 +a(g706 +g1025 +tp1459 +a(g706 +g1027 +tp1460 +a(g827 +V\u000a +p1461 +tp1462 +a(g827 +V \u000a +p1463 +tp1464 +a(g436 +VstringBufferAppend +p1465 +tp1466 +a(g706 +g1016 +tp1467 +a(g436 +VfinishedMethodsBuffer +p1468 +tp1469 +a(g706 +g1372 +tp1470 +a(g827 +g960 +tp1471 +a(g89 +g1375 +tp1472 +a(g89 +V; ------- Constructor --------------------------------------- +p1473 +tp1474 +a(g89 +g1375 +tp1475 +a(g706 +g1025 +tp1476 +a(g706 +g1027 +tp1477 +a(g827 +V\u000a +p1478 +tp1479 +a(g827 +g1297 +tp1480 +a(g436 +VstringBufferAppend +p1481 +tp1482 +a(g706 +g1016 +tp1483 +a(g436 +VfinishedMethodsBuffer +p1484 +tp1485 +a(g706 +g1372 +tp1486 +a(g827 +g960 +tp1487 +a(g89 +g1375 +tp1488 +a(g89 +V.method public ()V +p1489 +tp1490 +a(g89 +g1375 +tp1491 +a(g706 +g1025 +tp1492 +a(g706 +g1027 +tp1493 +a(g827 +V\u000a +p1494 +tp1495 +a(g827 +g1297 +tp1496 +a(g436 +VstringBufferAppend +p1497 +tp1498 +a(g706 +g1016 +tp1499 +a(g436 +VfinishedMethodsBuffer +p1500 +tp1501 +a(g706 +g1372 +tp1502 +a(g827 +g960 +tp1503 +a(g89 +g1375 +tp1504 +a(g213 +V\u005ct +p1505 +tp1506 +a(g89 +V.limit stack 1 +p1507 +tp1508 +a(g89 +g1375 +tp1509 +a(g706 +g1025 +tp1510 +a(g706 +g1027 +tp1511 +a(g827 +V\u000a +p1512 +tp1513 +a(g827 +g1297 +tp1514 +a(g436 +VstringBufferAppend +p1515 +tp1516 +a(g706 +g1016 +tp1517 +a(g436 +VfinishedMethodsBuffer +p1518 +tp1519 +a(g706 +g1372 +tp1520 +a(g827 +g960 +tp1521 +a(g89 +g1375 +tp1522 +a(g213 +V\u005ct +p1523 +tp1524 +a(g89 +V.limit locals 1 +p1525 +tp1526 +a(g89 +g1375 +tp1527 +a(g706 +g1025 +tp1528 +a(g706 +g1027 +tp1529 +a(g827 +V\u000a +p1530 +tp1531 +a(g827 +g1297 +tp1532 +a(g436 +VstringBufferAppend +p1533 +tp1534 +a(g706 +g1016 +tp1535 +a(g436 +VfinishedMethodsBuffer +p1536 +tp1537 +a(g706 +g1372 +tp1538 +a(g827 +g960 +tp1539 +a(g89 +g1375 +tp1540 +a(g213 +V\u005ct +p1541 +tp1542 +a(g89 +Vaload_0 +p1543 +tp1544 +a(g89 +g1375 +tp1545 +a(g706 +g1025 +tp1546 +a(g706 +g1027 +tp1547 +a(g827 +V\u000a +p1548 +tp1549 +a(g827 +g1297 +tp1550 +a(g436 +VstringBufferAppend +p1551 +tp1552 +a(g706 +g1016 +tp1553 +a(g436 +VfinishedMethodsBuffer +p1554 +tp1555 +a(g706 +g1372 +tp1556 +a(g827 +g960 +tp1557 +a(g89 +g1375 +tp1558 +a(g213 +V\u005ct +p1559 +tp1560 +a(g89 +Vinvokenonvirtual java/lang/Object/()V +p1561 +tp1562 +a(g89 +g1375 +tp1563 +a(g706 +g1025 +tp1564 +a(g706 +g1027 +tp1565 +a(g827 +V\u000a +p1566 +tp1567 +a(g827 +g1297 +tp1568 +a(g436 +VstringBufferAppend +p1569 +tp1570 +a(g706 +g1016 +tp1571 +a(g436 +VfinishedMethodsBuffer +p1572 +tp1573 +a(g706 +g1372 +tp1574 +a(g827 +g960 +tp1575 +a(g89 +g1375 +tp1576 +a(g213 +V\u005ct +p1577 +tp1578 +a(g89 +Vreturn +p1579 +tp1580 +a(g89 +g1375 +tp1581 +a(g706 +g1025 +tp1582 +a(g706 +g1027 +tp1583 +a(g827 +V\u000a +p1584 +tp1585 +a(g827 +g1297 +tp1586 +a(g436 +VstringBufferAppend +p1587 +tp1588 +a(g706 +g1016 +tp1589 +a(g436 +VfinishedMethodsBuffer +p1590 +tp1591 +a(g706 +g1372 +tp1592 +a(g827 +g960 +tp1593 +a(g89 +g1375 +tp1594 +a(g89 +V.end method +p1595 +tp1596 +a(g89 +g1375 +tp1597 +a(g706 +g1025 +tp1598 +a(g706 +g1027 +tp1599 +a(g827 +V\u000a +p1600 +tp1601 +a(g827 +g1297 +tp1602 +a(g436 +VstringBufferAppend +p1603 +tp1604 +a(g706 +g1016 +tp1605 +a(g436 +VfinishedMethodsBuffer +p1606 +tp1607 +a(g706 +g1372 +tp1608 +a(g827 +g960 +tp1609 +a(g89 +g1375 +tp1610 +a(g89 +V; ----------------------------------------------------------- +p1611 +tp1612 +a(g89 +g1375 +tp1613 +a(g706 +g1025 +tp1614 +a(g706 +g1027 +tp1615 +a(g827 +V\u000a +p1616 +tp1617 +a(g827 +g1297 +tp1618 +a(g436 +VstringBufferAppend +p1619 +tp1620 +a(g706 +g1016 +tp1621 +a(g436 +VfinishedMethodsBuffer +p1622 +tp1623 +a(g706 +g1372 +tp1624 +a(g827 +g960 +tp1625 +a(g89 +g1375 +tp1626 +a(g89 +g1375 +tp1627 +a(g706 +g1025 +tp1628 +a(g706 +g1027 +tp1629 +a(g827 +V\u000a +p1630 +tp1631 +a(g827 +V\u000a +p1632 +tp1633 +a(g827 +g1297 +tp1634 +a(g436 +VstringBufferAppend +p1635 +tp1636 +a(g706 +g1016 +tp1637 +a(g436 +VstaticVariableBuffer +p1638 +tp1639 +a(g706 +g1372 +tp1640 +a(g827 +g960 +tp1641 +a(g89 +g1375 +tp1642 +a(g89 +V; ------- Class Variables ----------------------------------- +p1643 +tp1644 +a(g89 +g1375 +tp1645 +a(g706 +g1025 +tp1646 +a(g706 +g1027 +tp1647 +a(g827 +V\u000a +p1648 +tp1649 +a(g827 +V\u000a +p1650 +tp1651 +a(g827 +g1297 +tp1652 +a(g436 +VstringBufferAppend +p1653 +tp1654 +a(g706 +g1016 +tp1655 +a(g436 +VclassInitBuffer +p1656 +tp1657 +a(g706 +g1372 +tp1658 +a(g827 +g960 +tp1659 +a(g89 +g1375 +tp1660 +a(g89 +V; ------- Class Initializer --------------------------------- +p1661 +tp1662 +a(g89 +g1375 +tp1663 +a(g706 +g1025 +tp1664 +a(g706 +g1027 +tp1665 +a(g827 +V\u000a +p1666 +tp1667 +a(g827 +g1297 +tp1668 +a(g436 +VstringBufferAppend +p1669 +tp1670 +a(g706 +g1016 +tp1671 +a(g436 +VclassInitBuffer +p1672 +tp1673 +a(g706 +g1372 +tp1674 +a(g827 +g960 +tp1675 +a(g89 +g1375 +tp1676 +a(g89 +V.method static ()V +p1677 +tp1678 +a(g89 +g1375 +tp1679 +a(g706 +g1025 +tp1680 +a(g706 +g1027 +tp1681 +a(g827 +V\u000a +p1682 +tp1683 +a(g827 +g1297 +tp1684 +a(g436 +VclassInitBufferIndex +p1685 +tp1686 +a(g827 +g960 +tp1687 +a(g413 +g1185 +tp1688 +a(g827 +g960 +tp1689 +a(g436 +VclassInitBuffer +p1690 +tp1691 +a(g413 +V- +p1692 +tp1693 +a(g413 +V> +p1694 +tp1695 +a(g436 +VnumberOfNextElement +p1696 +tp1697 +a(g706 +g1027 +tp1698 +a(g827 +V\u000a +p1699 +tp1700 +a(g827 +g1297 +tp1701 +a(g436 +VstringBufferAppend +p1702 +tp1703 +a(g706 +g1016 +tp1704 +a(g436 +VclassInitBuffer +p1705 +tp1706 +a(g706 +g1372 +tp1707 +a(g827 +g960 +tp1708 +a(g89 +g1375 +tp1709 +a(g213 +V\u005ct +p1710 +tp1711 +a(g89 +V.limit locals 0 +p1712 +tp1713 +a(g89 +g1375 +tp1714 +a(g706 +g1025 +tp1715 +a(g706 +g1027 +tp1716 +a(g827 +V\u000a +p1717 +tp1718 +a(g827 +V\u000a +p1719 +tp1720 +a(g706 +V} +p1721 +tp1722 +a(g827 +V\u000a +p1723 +tp1724 +a(g827 +V\u000a +p1725 +tp1726 +a(g749 +Vvoid +p1727 +tp1728 +a(g827 +g960 +tp1729 +a(g574 +VcodegenAppendCommand +p1730 +tp1731 +a(g706 +g1016 +tp1732 +a(g749 +Vchar +p1733 +tp1734 +a(g413 +g1020 +tp1735 +a(g827 +g960 +tp1736 +a(g436 +Vcmd +p1737 +tp1738 +a(g706 +g1372 +tp1739 +a(g827 +g960 +tp1740 +a(g749 +Vint +p1741 +tp1742 +a(g827 +g960 +tp1743 +a(g436 +Vstackdiff +p1744 +tp1745 +a(g706 +g1025 +tp1746 +a(g827 +g960 +tp1747 +a(g706 +g1293 +tp1748 +a(g827 +V\u000a +p1749 +tp1750 +a(g827 +g1297 +tp1751 +a(g749 +Vchar +p1752 +tp1753 +a(g827 +g960 +tp1754 +a(g436 +VtempString +p1755 +tp1756 +a(g706 +g1217 +tp1757 +a(g436 +VMAX_LENGTH_OF_COMMAND +p1758 +tp1759 +a(g706 +g1221 +tp1760 +a(g706 +g1027 +tp1761 +a(g827 +V\u000a +p1762 +tp1763 +a(g827 +g1297 +tp1764 +a(g436 +Vsprintf +p1765 +tp1766 +a(g706 +g1016 +tp1767 +a(g436 +VtempString +p1768 +tp1769 +a(g706 +g1372 +tp1770 +a(g827 +g960 +tp1771 +a(g89 +g1375 +tp1772 +a(g213 +V\u005ct +p1773 +tp1774 +a(g89 +V%s +p1775 +tp1776 +a(g89 +g1375 +tp1777 +a(g706 +g1372 +tp1778 +a(g827 +g960 +tp1779 +a(g436 +Vcmd +p1780 +tp1781 +a(g706 +g1025 +tp1782 +a(g706 +g1027 +tp1783 +a(g827 +V\u000a +p1784 +tp1785 +a(g827 +g1297 +tp1786 +a(g745 +Vif +p1787 +tp1788 +a(g827 +g960 +tp1789 +a(g706 +g1016 +tp1790 +a(g436 +Vglobal +p1791 +tp1792 +a(g706 +g1025 +tp1793 +a(g827 +g960 +tp1794 +a(g436 +VstringBufferAppend +p1795 +tp1796 +a(g706 +g1016 +tp1797 +a(g436 +VclassInitBuffer +p1798 +tp1799 +a(g706 +g1372 +tp1800 +a(g827 +g960 +tp1801 +a(g436 +VtempString +p1802 +tp1803 +a(g706 +g1025 +tp1804 +a(g706 +g1027 +tp1805 +a(g827 +V\u000a +p1806 +tp1807 +a(g827 +g1297 +tp1808 +a(g745 +Velse +p1809 +tp1810 +a(g827 +g960 +tp1811 +a(g436 +VstringBufferAppend +p1812 +tp1813 +a(g706 +g1016 +tp1814 +a(g436 +VcurrentMethodBuffer +p1815 +tp1816 +a(g706 +g1372 +tp1817 +a(g827 +g960 +tp1818 +a(g436 +VtempString +p1819 +tp1820 +a(g706 +g1025 +tp1821 +a(g706 +g1027 +tp1822 +a(g827 +V\u000a +p1823 +tp1824 +a(g827 +g1297 +tp1825 +a(g436 +VincreaseStackby +p1826 +tp1827 +a(g706 +g1016 +tp1828 +a(g436 +Vstackdiff +p1829 +tp1830 +a(g706 +g1025 +tp1831 +a(g706 +g1027 +tp1832 +a(g827 +V\u000a +p1833 +tp1834 +a(g706 +g1721 +tp1835 +a(g827 +V\u000a +p1836 +tp1837 +a(g827 +V\u000a +p1838 +tp1839 +a(g749 +Vvoid +p1840 +tp1841 +a(g827 +g960 +tp1842 +a(g574 +VcodegenInsertCommand +p1843 +tp1844 +a(g706 +g1016 +tp1845 +a(g749 +Vint +p1846 +tp1847 +a(g827 +g960 +tp1848 +a(g436 +Vaddress +p1849 +tp1850 +a(g706 +g1372 +tp1851 +a(g827 +g960 +tp1852 +a(g749 +Vchar +p1853 +tp1854 +a(g413 +g1020 +tp1855 +a(g827 +g960 +tp1856 +a(g436 +Vcmd +p1857 +tp1858 +a(g706 +g1372 +tp1859 +a(g827 +g960 +tp1860 +a(g749 +Vint +p1861 +tp1862 +a(g827 +g960 +tp1863 +a(g436 +Vstackdiff +p1864 +tp1865 +a(g706 +g1025 +tp1866 +a(g827 +g960 +tp1867 +a(g706 +g1293 +tp1868 +a(g827 +V\u000a +p1869 +tp1870 +a(g827 +g1297 +tp1871 +a(g749 +Vchar +p1872 +tp1873 +a(g827 +g960 +tp1874 +a(g436 +VtempString +p1875 +tp1876 +a(g706 +g1217 +tp1877 +a(g436 +VMAX_LENGTH_OF_COMMAND +p1878 +tp1879 +a(g706 +g1221 +tp1880 +a(g706 +g1027 +tp1881 +a(g827 +V\u000a +p1882 +tp1883 +a(g827 +g1297 +tp1884 +a(g436 +Vsprintf +p1885 +tp1886 +a(g706 +g1016 +tp1887 +a(g436 +VtempString +p1888 +tp1889 +a(g706 +g1372 +tp1890 +a(g827 +g960 +tp1891 +a(g89 +g1375 +tp1892 +a(g213 +V\u005ct +p1893 +tp1894 +a(g89 +V%s +p1895 +tp1896 +a(g89 +g1375 +tp1897 +a(g706 +g1372 +tp1898 +a(g827 +g960 +tp1899 +a(g436 +Vcmd +p1900 +tp1901 +a(g706 +g1025 +tp1902 +a(g706 +g1027 +tp1903 +a(g827 +V\u000a +p1904 +tp1905 +a(g827 +g1297 +tp1906 +a(g745 +Vif +p1907 +tp1908 +a(g827 +g960 +tp1909 +a(g706 +g1016 +tp1910 +a(g436 +Vglobal +p1911 +tp1912 +a(g706 +g1025 +tp1913 +a(g827 +g960 +tp1914 +a(g436 +VstringBufferInsert +p1915 +tp1916 +a(g706 +g1016 +tp1917 +a(g436 +VclassInitBuffer +p1918 +tp1919 +a(g706 +g1372 +tp1920 +a(g827 +g960 +tp1921 +a(g436 +Vaddress +p1922 +tp1923 +a(g706 +g1372 +tp1924 +a(g827 +g960 +tp1925 +a(g436 +VtempString +p1926 +tp1927 +a(g706 +g1025 +tp1928 +a(g706 +g1027 +tp1929 +a(g827 +V\u000a +p1930 +tp1931 +a(g827 +g1297 +tp1932 +a(g745 +Velse +p1933 +tp1934 +a(g827 +g960 +tp1935 +a(g436 +VstringBufferInsert +p1936 +tp1937 +a(g706 +g1016 +tp1938 +a(g436 +VcurrentMethodBuffer +p1939 +tp1940 +a(g706 +g1372 +tp1941 +a(g827 +g960 +tp1942 +a(g436 +Vaddress +p1943 +tp1944 +a(g706 +g1372 +tp1945 +a(g827 +g960 +tp1946 +a(g436 +VtempString +p1947 +tp1948 +a(g706 +g1025 +tp1949 +a(g706 +g1027 +tp1950 +a(g827 +V\u000a +p1951 +tp1952 +a(g827 +g1297 +tp1953 +a(g436 +VincreaseStackby +p1954 +tp1955 +a(g706 +g1016 +tp1956 +a(g436 +Vstackdiff +p1957 +tp1958 +a(g706 +g1025 +tp1959 +a(g706 +g1027 +tp1960 +a(g827 +V\u000a +p1961 +tp1962 +a(g706 +g1721 +tp1963 +a(g827 +V\u000a +p1964 +tp1965 +a(g827 +V\u000a +p1966 +tp1967 +a(g749 +Vvoid +p1968 +tp1969 +a(g827 +g960 +tp1970 +a(g574 +VcodegenAppendLabel +p1971 +tp1972 +a(g706 +g1016 +tp1973 +a(g749 +Vint +p1974 +tp1975 +a(g827 +g960 +tp1976 +a(g436 +Vlabel +p1977 +tp1978 +a(g706 +g1025 +tp1979 +a(g827 +g960 +tp1980 +a(g706 +g1293 +tp1981 +a(g827 +V\u000a +p1982 +tp1983 +a(g827 +g1297 +tp1984 +a(g749 +Vchar +p1985 +tp1986 +a(g827 +g960 +tp1987 +a(g436 +VtempString +p1988 +tp1989 +a(g706 +g1217 +tp1990 +a(g436 +VMAX_LENGTH_OF_COMMAND +p1991 +tp1992 +a(g706 +g1221 +tp1993 +a(g706 +g1027 +tp1994 +a(g827 +V\u000a +p1995 +tp1996 +a(g827 +g1297 +tp1997 +a(g436 +Vsprintf +p1998 +tp1999 +a(g706 +g1016 +tp2000 +a(g436 +VtempString +p2001 +tp2002 +a(g706 +g1372 +tp2003 +a(g827 +g960 +tp2004 +a(g89 +g1375 +tp2005 +a(g89 +VLabel%d: +p2006 +tp2007 +a(g89 +g1375 +tp2008 +a(g706 +g1372 +tp2009 +a(g827 +g960 +tp2010 +a(g436 +Vlabel +p2011 +tp2012 +a(g706 +g1025 +tp2013 +a(g706 +g1027 +tp2014 +a(g827 +V\u000a +p2015 +tp2016 +a(g827 +g1297 +tp2017 +a(g745 +Vif +p2018 +tp2019 +a(g827 +g960 +tp2020 +a(g706 +g1016 +tp2021 +a(g436 +Vglobal +p2022 +tp2023 +a(g706 +g1025 +tp2024 +a(g827 +g960 +tp2025 +a(g436 +VstringBufferAppend +p2026 +tp2027 +a(g706 +g1016 +tp2028 +a(g436 +VclassInitBuffer +p2029 +tp2030 +a(g706 +g1372 +tp2031 +a(g827 +g960 +tp2032 +a(g436 +VtempString +p2033 +tp2034 +a(g706 +g1025 +tp2035 +a(g706 +g1027 +tp2036 +a(g827 +V\u000a +p2037 +tp2038 +a(g827 +g1297 +tp2039 +a(g745 +Velse +p2040 +tp2041 +a(g827 +g960 +tp2042 +a(g436 +VstringBufferAppend +p2043 +tp2044 +a(g706 +g1016 +tp2045 +a(g436 +VcurrentMethodBuffer +p2046 +tp2047 +a(g706 +g1372 +tp2048 +a(g827 +g960 +tp2049 +a(g436 +VtempString +p2050 +tp2051 +a(g706 +g1025 +tp2052 +a(g706 +g1027 +tp2053 +a(g827 +V\u000a +p2054 +tp2055 +a(g706 +g1721 +tp2056 +a(g827 +V\u000a +p2057 +tp2058 +a(g827 +V\u000a +p2059 +tp2060 +a(g749 +Vvoid +p2061 +tp2062 +a(g827 +g960 +tp2063 +a(g574 +VcodegenAddVariable +p2064 +tp2065 +a(g706 +g1016 +tp2066 +a(g749 +Vchar +p2067 +tp2068 +a(g413 +g1020 +tp2069 +a(g827 +g960 +tp2070 +a(g436 +Vname +p2071 +tp2072 +a(g706 +g1372 +tp2073 +a(g827 +g960 +tp2074 +a(g749 +Vint +p2075 +tp2076 +a(g827 +g960 +tp2077 +a(g436 +Vtype +p2078 +tp2079 +a(g706 +g1025 +tp2080 +a(g827 +g960 +tp2081 +a(g706 +g1293 +tp2082 +a(g827 +V\u000a +p2083 +tp2084 +a(g827 +g1297 +tp2085 +a(g929 +V/*fprintf(stderr, "add variable %s(%d) global=%d ", name, convertType(type), global);*/ +p2086 +tp2087 +a(g827 +V\u000a +p2088 +tp2089 +a(g827 +g1297 +tp2090 +a(g745 +Vif +p2091 +tp2092 +a(g827 +g960 +tp2093 +a(g706 +g1016 +tp2094 +a(g436 +Vglobal +p2095 +tp2096 +a(g706 +g1025 +tp2097 +a(g827 +g960 +tp2098 +a(g706 +g1293 +tp2099 +a(g827 +V\u000a +p2100 +tp2101 +a(g827 +V +p2102 +tp2103 +a(g745 +Vif +p2104 +tp2105 +a(g827 +g960 +tp2106 +a(g706 +g1016 +tp2107 +a(g436 +Vtype +p2108 +tp2109 +a(g827 +g960 +tp2110 +a(g413 +g1185 +tp2111 +a(g413 +g1185 +tp2112 +a(g827 +g960 +tp2113 +a(g436 +VTYPE_INT +p2114 +tp2115 +a(g706 +g1025 +tp2116 +a(g827 +g960 +tp2117 +a(g436 +Vsprintf +p2118 +tp2119 +a(g706 +g1016 +tp2120 +a(g436 +VtempString +p2121 +tp2122 +a(g706 +g1372 +tp2123 +a(g827 +g960 +tp2124 +a(g89 +g1375 +tp2125 +a(g89 +V.field static %s %c +p2126 +tp2127 +a(g89 +g1375 +tp2128 +a(g706 +g1372 +tp2129 +a(g827 +g960 +tp2130 +a(g436 +Vname +p2131 +tp2132 +a(g706 +g1372 +tp2133 +a(g827 +g960 +tp2134 +a(g93 +V' +p2135 +tp2136 +a(g93 +VI +p2137 +tp2138 +a(g93 +g2135 +tp2139 +a(g706 +g1025 +tp2140 +a(g706 +g1027 +tp2141 +a(g827 +V\u000a +p2142 +tp2143 +a(g827 +V +p2144 +tp2145 +a(g745 +Velse +p2146 +tp2147 +a(g827 +g960 +tp2148 +a(g745 +Vif +p2149 +tp2150 +a(g827 +g960 +tp2151 +a(g706 +g1016 +tp2152 +a(g436 +Vtype +p2153 +tp2154 +a(g827 +g960 +tp2155 +a(g413 +g1185 +tp2156 +a(g413 +g1185 +tp2157 +a(g827 +g960 +tp2158 +a(g436 +VTYPE_FLOAT +p2159 +tp2160 +a(g706 +g1025 +tp2161 +a(g827 +g960 +tp2162 +a(g436 +Vsprintf +p2163 +tp2164 +a(g706 +g1016 +tp2165 +a(g436 +VtempString +p2166 +tp2167 +a(g706 +g1372 +tp2168 +a(g827 +g960 +tp2169 +a(g89 +g1375 +tp2170 +a(g89 +V.field static %s %c +p2171 +tp2172 +a(g89 +g1375 +tp2173 +a(g706 +g1372 +tp2174 +a(g827 +g960 +tp2175 +a(g436 +Vname +p2176 +tp2177 +a(g706 +g1372 +tp2178 +a(g827 +g960 +tp2179 +a(g93 +g2135 +tp2180 +a(g93 +VF +p2181 +tp2182 +a(g93 +g2135 +tp2183 +a(g706 +g1025 +tp2184 +a(g706 +g1027 +tp2185 +a(g827 +V\u000a +p2186 +tp2187 +a(g827 +V +p2188 +tp2189 +a(g745 +Velse +p2190 +tp2191 +a(g827 +g960 +tp2192 +a(g745 +Vif +p2193 +tp2194 +a(g827 +g960 +tp2195 +a(g706 +g1016 +tp2196 +a(g436 +Vtype +p2197 +tp2198 +a(g827 +g960 +tp2199 +a(g413 +g1185 +tp2200 +a(g413 +g1185 +tp2201 +a(g827 +g960 +tp2202 +a(g436 +VTYPE_BOOLEAN +p2203 +tp2204 +a(g706 +g1025 +tp2205 +a(g827 +g960 +tp2206 +a(g436 +Vsprintf +p2207 +tp2208 +a(g706 +g1016 +tp2209 +a(g436 +VtempString +p2210 +tp2211 +a(g706 +g1372 +tp2212 +a(g827 +g960 +tp2213 +a(g89 +g1375 +tp2214 +a(g89 +V.field static %s %c +p2215 +tp2216 +a(g89 +g1375 +tp2217 +a(g706 +g1372 +tp2218 +a(g827 +g960 +tp2219 +a(g436 +Vname +p2220 +tp2221 +a(g706 +g1372 +tp2222 +a(g827 +g960 +tp2223 +a(g93 +g2135 +tp2224 +a(g93 +VZ +p2225 +tp2226 +a(g93 +g2135 +tp2227 +a(g706 +g1025 +tp2228 +a(g706 +g1027 +tp2229 +a(g827 +V\u000a +p2230 +tp2231 +a(g827 +V +p2232 +tp2233 +a(g745 +Velse +p2234 +tp2235 +a(g827 +g960 +tp2236 +a(g436 +Vyyerror +p2237 +tp2238 +a(g706 +g1016 +tp2239 +a(g89 +g1375 +tp2240 +a(g89 +Vcompiler-intern error in codegenAddGlobalVariable(). +p2241 +tp2242 +a(g213 +V\u005cn +p2243 +tp2244 +a(g89 +g1375 +tp2245 +a(g706 +g1025 +tp2246 +a(g706 +g1027 +tp2247 +a(g827 +V\u000a +p2248 +tp2249 +a(g827 +V +p2250 +tp2251 +a(g436 +VstringBufferAppend +p2252 +tp2253 +a(g706 +g1016 +tp2254 +a(g436 +VstaticVariableBuffer +p2255 +tp2256 +a(g706 +g1372 +tp2257 +a(g827 +g960 +tp2258 +a(g436 +VtempString +p2259 +tp2260 +a(g706 +g1025 +tp2261 +a(g706 +g1027 +tp2262 +a(g827 +V\u000a +p2263 +tp2264 +a(g827 +g1297 +tp2265 +a(g706 +g1721 +tp2266 +a(g827 +V\u000a +p2267 +tp2268 +a(g827 +g1297 +tp2269 +a(g745 +Velse +p2270 +tp2271 +a(g827 +g960 +tp2272 +a(g706 +g1293 +tp2273 +a(g827 +V\u000a +p2274 +tp2275 +a(g827 +V +p2276 +tp2277 +a(g436 +VcurrentMethodNumberOfLocals +p2278 +tp2279 +a(g413 +V+ +p2280 +tp2281 +a(g413 +g2280 +tp2282 +a(g706 +g1027 +tp2283 +a(g827 +V\u000a +p2284 +tp2285 +a(g827 +g1297 +tp2286 +a(g706 +g1721 +tp2287 +a(g827 +V\u000a +p2288 +tp2289 +a(g706 +g1721 +tp2290 +a(g827 +V\u000a +p2291 +tp2292 +a(g827 +V\u000a +p2293 +tp2294 +a(g749 +Vint +p2295 +tp2296 +a(g827 +g960 +tp2297 +a(g574 +VcodegenGetNextLabel +p2298 +tp2299 +a(g706 +g1016 +tp2300 +a(g706 +g1025 +tp2301 +a(g827 +g960 +tp2302 +a(g706 +g1293 +tp2303 +a(g827 +V\u000a +p2304 +tp2305 +a(g827 +g1297 +tp2306 +a(g745 +Vreturn +p2307 +tp2308 +a(g827 +g960 +tp2309 +a(g436 +VlabelCounter +p2310 +tp2311 +a(g413 +g2280 +tp2312 +a(g413 +g2280 +tp2313 +a(g706 +g1027 +tp2314 +a(g827 +V\u000a +p2315 +tp2316 +a(g706 +g1721 +tp2317 +a(g827 +V\u000a +p2318 +tp2319 +a(g827 +V\u000a +p2320 +tp2321 +a(g749 +Vint +p2322 +tp2323 +a(g827 +g960 +tp2324 +a(g574 +VcodegenGetCurrentAddress +p2325 +tp2326 +a(g706 +g1016 +tp2327 +a(g706 +g1025 +tp2328 +a(g827 +g960 +tp2329 +a(g706 +g1293 +tp2330 +a(g827 +V\u000a +p2331 +tp2332 +a(g827 +g1297 +tp2333 +a(g745 +Vif +p2334 +tp2335 +a(g827 +g960 +tp2336 +a(g706 +g1016 +tp2337 +a(g436 +Vglobal +p2338 +tp2339 +a(g706 +g1025 +tp2340 +a(g827 +g960 +tp2341 +a(g745 +Vreturn +p2342 +tp2343 +a(g827 +g960 +tp2344 +a(g436 +VclassInitBuffer +p2345 +tp2346 +a(g413 +g1692 +tp2347 +a(g413 +g1694 +tp2348 +a(g436 +VnumberOfNextElement +p2349 +tp2350 +a(g706 +g1027 +tp2351 +a(g827 +V\u000a +p2352 +tp2353 +a(g827 +g1297 +tp2354 +a(g745 +Velse +p2355 +tp2356 +a(g827 +g960 +tp2357 +a(g745 +Vreturn +p2358 +tp2359 +a(g827 +g960 +tp2360 +a(g436 +VcurrentMethodBuffer +p2361 +tp2362 +a(g413 +g1692 +tp2363 +a(g413 +g1694 +tp2364 +a(g436 +VnumberOfNextElement +p2365 +tp2366 +a(g706 +g1027 +tp2367 +a(g827 +V\u000a +p2368 +tp2369 +a(g706 +g1721 +tp2370 +a(g827 +V\u000a +p2371 +tp2372 +a(g827 +V\u000a +p2373 +tp2374 +a(g749 +Vvoid +p2375 +tp2376 +a(g827 +g960 +tp2377 +a(g574 +VcodegenEnterFunction +p2378 +tp2379 +a(g706 +g1016 +tp2380 +a(g436 +VsymtabEntry +p2381 +tp2382 +a(g413 +g1020 +tp2383 +a(g827 +g960 +tp2384 +a(g436 +Ventry +p2385 +tp2386 +a(g706 +g1025 +tp2387 +a(g827 +g960 +tp2388 +a(g706 +g1293 +tp2389 +a(g827 +V\u000a +p2390 +tp2391 +a(g827 +g1297 +tp2392 +a(g436 +VcurrentMethodBuffer +p2393 +tp2394 +a(g827 +g960 +tp2395 +a(g413 +g1185 +tp2396 +a(g827 +g960 +tp2397 +a(g436 +VnewStringBuffer +p2398 +tp2399 +a(g706 +g1016 +tp2400 +a(g706 +g1025 +tp2401 +a(g706 +g1027 +tp2402 +a(g827 +V\u000a +p2403 +tp2404 +a(g827 +g1297 +tp2405 +a(g436 +VcurrentMethodStackSize +p2406 +tp2407 +a(g827 +g960 +tp2408 +a(g413 +g1185 +tp2409 +a(g827 +g960 +tp2410 +a(g37 +g1188 +tp2411 +a(g706 +g1027 +tp2412 +a(g827 +V\u000a +p2413 +tp2414 +a(g827 +g1297 +tp2415 +a(g436 +VcurrentMethodStackSizeMax +p2416 +tp2417 +a(g827 +g960 +tp2418 +a(g413 +g1185 +tp2419 +a(g827 +g960 +tp2420 +a(g37 +g1188 +tp2421 +a(g706 +g1027 +tp2422 +a(g827 +V\u000a +p2423 +tp2424 +a(g827 +g1297 +tp2425 +a(g436 +VlabelCounter +p2426 +tp2427 +a(g827 +g960 +tp2428 +a(g413 +g1185 +tp2429 +a(g827 +g960 +tp2430 +a(g37 +g1205 +tp2431 +a(g706 +g1027 +tp2432 +a(g827 +V\u000a +p2433 +tp2434 +a(g827 +g1297 +tp2435 +a(g436 +Vglobal +p2436 +tp2437 +a(g827 +g960 +tp2438 +a(g413 +g1185 +tp2439 +a(g827 +g960 +tp2440 +a(g37 +g1188 +tp2441 +a(g706 +g1027 +tp2442 +a(g827 +V\u000a +p2443 +tp2444 +a(g827 +V \u000a +p2445 +tp2446 +a(g745 +Vif +p2447 +tp2448 +a(g827 +g960 +tp2449 +a(g706 +g1016 +tp2450 +a(g436 +Vstrcmp +p2451 +tp2452 +a(g706 +g1016 +tp2453 +a(g436 +Ventry +p2454 +tp2455 +a(g413 +g1692 +tp2456 +a(g413 +g1694 +tp2457 +a(g436 +Vname +p2458 +tp2459 +a(g706 +g1372 +tp2460 +a(g827 +g960 +tp2461 +a(g89 +g1375 +tp2462 +a(g89 +Vmain +p2463 +tp2464 +a(g89 +g1375 +tp2465 +a(g706 +g1025 +tp2466 +a(g827 +g960 +tp2467 +a(g413 +g1185 +tp2468 +a(g413 +g1185 +tp2469 +a(g827 +g960 +tp2470 +a(g37 +g1188 +tp2471 +a(g706 +g1025 +tp2472 +a(g827 +g960 +tp2473 +a(g706 +g1293 +tp2474 +a(g827 +V\u000a +p2475 +tp2476 +a(g827 +V +p2477 +tp2478 +a(g745 +Vif +p2479 +tp2480 +a(g827 +g960 +tp2481 +a(g706 +g1016 +tp2482 +a(g436 +Ventry +p2483 +tp2484 +a(g413 +g1692 +tp2485 +a(g413 +g1694 +tp2486 +a(g436 +Vidtype +p2487 +tp2488 +a(g827 +g960 +tp2489 +a(g413 +V! +p2490 +tp2491 +a(g413 +g1185 +tp2492 +a(g827 +g960 +tp2493 +a(g436 +VTYPE_VOID +p2494 +tp2495 +a(g706 +g1025 +tp2496 +a(g827 +g960 +tp2497 +a(g436 +Vyyerror +p2498 +tp2499 +a(g706 +g1016 +tp2500 +a(g89 +g1375 +tp2501 +a(g89 +Vmain has to be void. +p2502 +tp2503 +a(g213 +V\u005cn +p2504 +tp2505 +a(g89 +g1375 +tp2506 +a(g706 +g1025 +tp2507 +a(g706 +g1027 +tp2508 +a(g827 +V\u000a +p2509 +tp2510 +a(g827 +V +p2511 +tp2512 +a(g436 +VcurrentMethodNumberOfLocals +p2513 +tp2514 +a(g827 +g960 +tp2515 +a(g413 +g1185 +tp2516 +a(g827 +g960 +tp2517 +a(g37 +g1205 +tp2518 +a(g706 +g1027 +tp2519 +a(g827 +V\u000a +p2520 +tp2521 +a(g827 +V +p2522 +tp2523 +a(g436 +VsymtabInsert +p2524 +tp2525 +a(g706 +g1016 +tp2526 +a(g436 +Vstrdup +p2527 +tp2528 +a(g706 +g1016 +tp2529 +a(g89 +g1375 +tp2530 +a(g89 +V#main-param# +p2531 +tp2532 +a(g89 +g1375 +tp2533 +a(g706 +g1025 +tp2534 +a(g706 +g1372 +tp2535 +a(g827 +g960 +tp2536 +a(g436 +VTYPE_VOID +p2537 +tp2538 +a(g706 +g1372 +tp2539 +a(g827 +g960 +tp2540 +a(g436 +VCLASS_FUNC +p2541 +tp2542 +a(g706 +g1025 +tp2543 +a(g706 +g1027 +tp2544 +a(g827 +V\u000a +p2545 +tp2546 +a(g827 +V +p2547 +tp2548 +a(g436 +VstringBufferAppend +p2549 +tp2550 +a(g706 +g1016 +tp2551 +a(g436 +VcurrentMethodBuffer +p2552 +tp2553 +a(g706 +g1372 +tp2554 +a(g827 +g960 +tp2555 +a(g89 +g1375 +tp2556 +a(g89 +V; ------- Methode ---- void main() -------------------------- +p2557 +tp2558 +a(g89 +g1375 +tp2559 +a(g706 +g1025 +tp2560 +a(g706 +g1027 +tp2561 +a(g827 +V\u000a +p2562 +tp2563 +a(g827 +V +p2564 +tp2565 +a(g436 +VstringBufferAppend +p2566 +tp2567 +a(g706 +g1016 +tp2568 +a(g436 +VcurrentMethodBuffer +p2569 +tp2570 +a(g706 +g1372 +tp2571 +a(g827 +g960 +tp2572 +a(g89 +g1375 +tp2573 +a(g89 +V.method public static main([Ljava/lang/String;)V +p2574 +tp2575 +a(g89 +g1375 +tp2576 +a(g706 +g1025 +tp2577 +a(g706 +g1027 +tp2578 +a(g827 +V\u000a +p2579 +tp2580 +a(g827 +g1297 +tp2581 +a(g706 +g1721 +tp2582 +a(g827 +V\u000a +p2583 +tp2584 +a(g827 +g1297 +tp2585 +a(g745 +Velse +p2586 +tp2587 +a(g827 +g960 +tp2588 +a(g706 +g1293 +tp2589 +a(g827 +V\u000a +p2590 +tp2591 +a(g827 +V +p2592 +tp2593 +a(g749 +Vint +p2594 +tp2595 +a(g827 +g960 +tp2596 +a(g436 +Vi +p2597 +tp2598 +a(g706 +g1027 +tp2599 +a(g827 +V\u000a +p2600 +tp2601 +a(g827 +V +p2602 +tp2603 +a(g436 +VcurrentMethodNumberOfLocals +p2604 +tp2605 +a(g827 +g960 +tp2606 +a(g413 +g1185 +tp2607 +a(g827 +g960 +tp2608 +a(g436 +Ventry +p2609 +tp2610 +a(g413 +g1692 +tp2611 +a(g413 +g1694 +tp2612 +a(g436 +VparamIndex +p2613 +tp2614 +a(g706 +g1027 +tp2615 +a(g827 +V\u000a +p2616 +tp2617 +a(g827 +V +p2618 +tp2619 +a(g436 +VstringBufferAppend +p2620 +tp2621 +a(g706 +g1016 +tp2622 +a(g436 +VcurrentMethodBuffer +p2623 +tp2624 +a(g706 +g1372 +tp2625 +a(g827 +g960 +tp2626 +a(g89 +g1375 +tp2627 +a(g89 +V; ------- Methode ------------------------------------------- +p2628 +tp2629 +a(g89 +g1375 +tp2630 +a(g706 +g1025 +tp2631 +a(g706 +g1027 +tp2632 +a(g827 +V\u000a +p2633 +tp2634 +a(g827 +V +p2635 +tp2636 +a(g436 +Vsprintf +p2637 +tp2638 +a(g706 +g1016 +tp2639 +a(g436 +VtempString +p2640 +tp2641 +a(g706 +g1372 +tp2642 +a(g827 +g960 +tp2643 +a(g89 +g1375 +tp2644 +a(g89 +V.method public static %s( +p2645 +tp2646 +a(g89 +g1375 +tp2647 +a(g706 +g1372 +tp2648 +a(g827 +g960 +tp2649 +a(g436 +Ventry +p2650 +tp2651 +a(g413 +g1692 +tp2652 +a(g413 +g1694 +tp2653 +a(g436 +Vname +p2654 +tp2655 +a(g706 +g1025 +tp2656 +a(g706 +g1027 +tp2657 +a(g827 +V\u000a +p2658 +tp2659 +a(g827 +V +p2660 +tp2661 +a(g745 +Vfor +p2662 +tp2663 +a(g827 +g960 +tp2664 +a(g706 +g1016 +tp2665 +a(g436 +g2597 +tp2666 +a(g413 +g1185 +tp2667 +a(g436 +Ventry +p2668 +tp2669 +a(g413 +g1692 +tp2670 +a(g413 +g1694 +tp2671 +a(g436 +VparamIndex +p2672 +tp2673 +a(g413 +g1692 +tp2674 +a(g37 +g1205 +tp2675 +a(g706 +g1027 +tp2676 +a(g827 +g960 +tp2677 +a(g436 +g2597 +tp2678 +a(g413 +g1694 +tp2679 +a(g413 +g1185 +tp2680 +a(g37 +g1188 +tp2681 +a(g706 +g1027 +tp2682 +a(g827 +g960 +tp2683 +a(g436 +g2597 +tp2684 +a(g413 +g1692 +tp2685 +a(g413 +g1692 +tp2686 +a(g706 +g1025 +tp2687 +a(g827 +g960 +tp2688 +a(g706 +g1293 +tp2689 +a(g827 +V\u000a +p2690 +tp2691 +a(g827 +V +p2692 +tp2693 +a(g749 +Vint +p2694 +tp2695 +a(g827 +g960 +tp2696 +a(g436 +Vtype +p2697 +tp2698 +a(g827 +g960 +tp2699 +a(g413 +g1185 +tp2700 +a(g827 +g960 +tp2701 +a(g436 +Ventry +p2702 +tp2703 +a(g413 +g1692 +tp2704 +a(g413 +g1694 +tp2705 +a(g436 +Vparams +p2706 +tp2707 +a(g706 +g1217 +tp2708 +a(g436 +g2597 +tp2709 +a(g706 +g1221 +tp2710 +a(g413 +g1692 +tp2711 +a(g413 +g1694 +tp2712 +a(g436 +Vidtype +p2713 +tp2714 +a(g706 +g1027 +tp2715 +a(g827 +V\u000a +p2716 +tp2717 +a(g827 +V +p2718 +tp2719 +a(g436 +VtempString +p2720 +tp2721 +a(g706 +g1217 +tp2722 +a(g436 +Vstrlen +p2723 +tp2724 +a(g706 +g1016 +tp2725 +a(g436 +VtempString +p2726 +tp2727 +a(g706 +g1025 +tp2728 +a(g413 +g2280 +tp2729 +a(g37 +g1205 +tp2730 +a(g706 +g1221 +tp2731 +a(g827 +g960 +tp2732 +a(g413 +g1185 +tp2733 +a(g827 +g960 +tp2734 +a(g37 +g1188 +tp2735 +a(g706 +g1027 +tp2736 +a(g827 +V\u000a +p2737 +tp2738 +a(g827 +V +p2739 +tp2740 +a(g436 +VtempString +p2741 +tp2742 +a(g706 +g1217 +tp2743 +a(g436 +Vstrlen +p2744 +tp2745 +a(g706 +g1016 +tp2746 +a(g436 +VtempString +p2747 +tp2748 +a(g706 +g1025 +tp2749 +a(g706 +g1221 +tp2750 +a(g827 +g960 +tp2751 +a(g413 +g1185 +tp2752 +a(g827 +g960 +tp2753 +a(g436 +VconvertType +p2754 +tp2755 +a(g706 +g1016 +tp2756 +a(g436 +Vtype +p2757 +tp2758 +a(g706 +g1025 +tp2759 +a(g706 +g1027 +tp2760 +a(g827 +V\u000a +p2761 +tp2762 +a(g827 +V +p2763 +tp2764 +a(g706 +g1721 +tp2765 +a(g827 +V\u000a +p2766 +tp2767 +a(g827 +V +p2768 +tp2769 +a(g436 +VtempString +p2770 +tp2771 +a(g706 +g1217 +tp2772 +a(g436 +Vstrlen +p2773 +tp2774 +a(g706 +g1016 +tp2775 +a(g436 +VtempString +p2776 +tp2777 +a(g706 +g1025 +tp2778 +a(g413 +g2280 +tp2779 +a(g37 +V2 +p2780 +tp2781 +a(g706 +g1221 +tp2782 +a(g827 +g960 +tp2783 +a(g413 +g1185 +tp2784 +a(g827 +g960 +tp2785 +a(g37 +g1188 +tp2786 +a(g706 +g1027 +tp2787 +a(g827 +V\u000a +p2788 +tp2789 +a(g827 +V +p2790 +tp2791 +a(g436 +VtempString +p2792 +tp2793 +a(g706 +g1217 +tp2794 +a(g436 +Vstrlen +p2795 +tp2796 +a(g706 +g1016 +tp2797 +a(g436 +VtempString +p2798 +tp2799 +a(g706 +g1025 +tp2800 +a(g413 +g2280 +tp2801 +a(g37 +g1205 +tp2802 +a(g706 +g1221 +tp2803 +a(g827 +g960 +tp2804 +a(g413 +g1185 +tp2805 +a(g827 +g960 +tp2806 +a(g436 +VconvertType +p2807 +tp2808 +a(g706 +g1016 +tp2809 +a(g436 +Ventry +p2810 +tp2811 +a(g413 +g1692 +tp2812 +a(g413 +g1694 +tp2813 +a(g436 +Vidtype +p2814 +tp2815 +a(g706 +g1025 +tp2816 +a(g706 +g1027 +tp2817 +a(g827 +V\u000a +p2818 +tp2819 +a(g827 +V +p2820 +tp2821 +a(g436 +VtempString +p2822 +tp2823 +a(g706 +g1217 +tp2824 +a(g436 +Vstrlen +p2825 +tp2826 +a(g706 +g1016 +tp2827 +a(g436 +VtempString +p2828 +tp2829 +a(g706 +g1025 +tp2830 +a(g706 +g1221 +tp2831 +a(g827 +V +p2832 +tp2833 +a(g413 +g1185 +tp2834 +a(g827 +g960 +tp2835 +a(g93 +g2135 +tp2836 +a(g93 +g1025 +tp2837 +a(g93 +g2135 +tp2838 +a(g706 +g1027 +tp2839 +a(g827 +V\u000a +p2840 +tp2841 +a(g827 +V +p2842 +tp2843 +a(g436 +VstringBufferAppend +p2844 +tp2845 +a(g706 +g1016 +tp2846 +a(g436 +VcurrentMethodBuffer +p2847 +tp2848 +a(g706 +g1372 +tp2849 +a(g827 +g960 +tp2850 +a(g436 +VtempString +p2851 +tp2852 +a(g706 +g1025 +tp2853 +a(g706 +g1027 +tp2854 +a(g827 +V\u000a +p2855 +tp2856 +a(g827 +g1297 +tp2857 +a(g706 +g1721 +tp2858 +a(g827 +V\u000a +p2859 +tp2860 +a(g827 +g1297 +tp2861 +a(g436 +VcurrentMethodBufferIndex +p2862 +tp2863 +a(g827 +g960 +tp2864 +a(g413 +g1185 +tp2865 +a(g827 +g960 +tp2866 +a(g436 +VcurrentMethodBuffer +p2867 +tp2868 +a(g413 +g1692 +tp2869 +a(g413 +g1694 +tp2870 +a(g436 +VnumberOfNextElement +p2871 +tp2872 +a(g706 +g1027 +tp2873 +a(g827 +V\u000a +p2874 +tp2875 +a(g706 +g1721 +tp2876 +a(g827 +V\u000a +p2877 +tp2878 +a(g827 +V\u000a +p2879 +tp2880 +a(g749 +Vvoid +p2881 +tp2882 +a(g827 +g960 +tp2883 +a(g574 +VcodegenLeaveFunction +p2884 +tp2885 +a(g706 +g1016 +tp2886 +a(g706 +g1025 +tp2887 +a(g827 +g960 +tp2888 +a(g706 +g1293 +tp2889 +a(g827 +V\u000a +p2890 +tp2891 +a(g827 +g1297 +tp2892 +a(g436 +Vglobal +p2893 +tp2894 +a(g827 +g960 +tp2895 +a(g413 +g1185 +tp2896 +a(g827 +g960 +tp2897 +a(g37 +g1205 +tp2898 +a(g706 +g1027 +tp2899 +a(g827 +V\u000a +p2900 +tp2901 +a(g827 +g1297 +tp2902 +a(g436 +Vsprintf +p2903 +tp2904 +a(g706 +g1016 +tp2905 +a(g436 +VtempString +p2906 +tp2907 +a(g706 +g1372 +tp2908 +a(g827 +g960 +tp2909 +a(g89 +g1375 +tp2910 +a(g213 +V\u005ct +p2911 +tp2912 +a(g89 +V.limit locals %d +p2913 +tp2914 +a(g89 +g1375 +tp2915 +a(g706 +g1372 +tp2916 +a(g827 +g960 +tp2917 +a(g436 +VcurrentMethodNumberOfLocals +p2918 +tp2919 +a(g706 +g1025 +tp2920 +a(g706 +g1027 +tp2921 +a(g827 +V\u000a +p2922 +tp2923 +a(g827 +g1297 +tp2924 +a(g436 +VstringBufferInsert +p2925 +tp2926 +a(g706 +g1016 +tp2927 +a(g436 +VcurrentMethodBuffer +p2928 +tp2929 +a(g706 +g1372 +tp2930 +a(g827 +g960 +tp2931 +a(g436 +VcurrentMethodBufferIndex +p2932 +tp2933 +a(g706 +g1372 +tp2934 +a(g827 +g960 +tp2935 +a(g436 +VtempString +p2936 +tp2937 +a(g706 +g1025 +tp2938 +a(g706 +g1027 +tp2939 +a(g827 +V\u000a +p2940 +tp2941 +a(g827 +g1297 +tp2942 +a(g436 +Vsprintf +p2943 +tp2944 +a(g706 +g1016 +tp2945 +a(g436 +VtempString +p2946 +tp2947 +a(g706 +g1372 +tp2948 +a(g827 +g960 +tp2949 +a(g89 +g1375 +tp2950 +a(g213 +V\u005ct +p2951 +tp2952 +a(g89 +V.limit stack %d +p2953 +tp2954 +a(g89 +g1375 +tp2955 +a(g706 +g1372 +tp2956 +a(g827 +g960 +tp2957 +a(g436 +VcurrentMethodStackSizeMax +p2958 +tp2959 +a(g706 +g1025 +tp2960 +a(g706 +g1027 +tp2961 +a(g827 +V\u000a +p2962 +tp2963 +a(g827 +g1297 +tp2964 +a(g436 +VstringBufferInsert +p2965 +tp2966 +a(g706 +g1016 +tp2967 +a(g436 +VcurrentMethodBuffer +p2968 +tp2969 +a(g706 +g1372 +tp2970 +a(g827 +g960 +tp2971 +a(g436 +VcurrentMethodBufferIndex +p2972 +tp2973 +a(g706 +g1372 +tp2974 +a(g827 +g960 +tp2975 +a(g436 +VtempString +p2976 +tp2977 +a(g706 +g1025 +tp2978 +a(g706 +g1027 +tp2979 +a(g827 +V\u000a +p2980 +tp2981 +a(g827 +g1297 +tp2982 +a(g436 +VstringBufferAppend +p2983 +tp2984 +a(g706 +g1016 +tp2985 +a(g436 +VcurrentMethodBuffer +p2986 +tp2987 +a(g706 +g1372 +tp2988 +a(g827 +g960 +tp2989 +a(g89 +g1375 +tp2990 +a(g213 +V\u005ct +p2991 +tp2992 +a(g89 +Vreturn +p2993 +tp2994 +a(g89 +g1375 +tp2995 +a(g706 +g1025 +tp2996 +a(g706 +g1027 +tp2997 +a(g827 +V\u000a +p2998 +tp2999 +a(g827 +g1297 +tp3000 +a(g436 +VstringBufferAppend +p3001 +tp3002 +a(g706 +g1016 +tp3003 +a(g436 +VcurrentMethodBuffer +p3004 +tp3005 +a(g706 +g1372 +tp3006 +a(g827 +g960 +tp3007 +a(g89 +g1375 +tp3008 +a(g89 +V.end method +p3009 +tp3010 +a(g89 +g1375 +tp3011 +a(g706 +g1025 +tp3012 +a(g706 +g1027 +tp3013 +a(g827 +V\u000a +p3014 +tp3015 +a(g827 +g1297 +tp3016 +a(g436 +VstringBufferAppend +p3017 +tp3018 +a(g706 +g1016 +tp3019 +a(g436 +VcurrentMethodBuffer +p3020 +tp3021 +a(g706 +g1372 +tp3022 +a(g827 +g960 +tp3023 +a(g89 +g1375 +tp3024 +a(g89 +V; ----------------------------------------------------------- +p3025 +tp3026 +a(g89 +g1375 +tp3027 +a(g706 +g1025 +tp3028 +a(g706 +g1027 +tp3029 +a(g827 +V\u000a +p3030 +tp3031 +a(g827 +g1297 +tp3032 +a(g436 +VstringBufferAppend +p3033 +tp3034 +a(g706 +g1016 +tp3035 +a(g436 +VcurrentMethodBuffer +p3036 +tp3037 +a(g706 +g1372 +tp3038 +a(g827 +g960 +tp3039 +a(g89 +g1375 +tp3040 +a(g89 +g1375 +tp3041 +a(g706 +g1025 +tp3042 +a(g706 +g1027 +tp3043 +a(g827 +V\u000a +p3044 +tp3045 +a(g827 +V\u000a +p3046 +tp3047 +a(g827 +g1297 +tp3048 +a(g436 +VstringBufferConcatenate +p3049 +tp3050 +a(g706 +g1016 +tp3051 +a(g436 +VfinishedMethodsBuffer +p3052 +tp3053 +a(g706 +g1372 +tp3054 +a(g827 +g960 +tp3055 +a(g436 +VcurrentMethodBuffer +p3056 +tp3057 +a(g706 +g1025 +tp3058 +a(g706 +g1027 +tp3059 +a(g827 +V\u000a +p3060 +tp3061 +a(g706 +g1721 +tp3062 +a(g827 +V\u000a +p3063 +tp3064 +a(g827 +V\u000a +p3065 +tp3066 +a(g827 +V\u000a +p3067 +tp3068 +a(g827 +V\u000a +p3069 +tp3070 +a(g749 +Vvoid +p3071 +tp3072 +a(g827 +g960 +tp3073 +a(g574 +VcodegenFinishCode +p3074 +tp3075 +a(g706 +g1016 +tp3076 +a(g706 +g1025 +tp3077 +a(g827 +g960 +tp3078 +a(g706 +g1293 +tp3079 +a(g827 +V\u000a +p3080 +tp3081 +a(g827 +g1297 +tp3082 +a(g436 +VstringBufferAppend +p3083 +tp3084 +a(g706 +g1016 +tp3085 +a(g436 +VstaticVariableBuffer +p3086 +tp3087 +a(g706 +g1372 +tp3088 +a(g827 +g960 +tp3089 +a(g89 +g1375 +tp3090 +a(g89 +V; ----------------------------------------------------------- +p3091 +tp3092 +a(g89 +g1375 +tp3093 +a(g706 +g1025 +tp3094 +a(g706 +g1027 +tp3095 +a(g827 +V\u000a +p3096 +tp3097 +a(g827 +g1297 +tp3098 +a(g436 +VstringBufferAppend +p3099 +tp3100 +a(g706 +g1016 +tp3101 +a(g436 +VstaticVariableBuffer +p3102 +tp3103 +a(g706 +g1372 +tp3104 +a(g827 +g960 +tp3105 +a(g89 +g1375 +tp3106 +a(g89 +g1375 +tp3107 +a(g706 +g1025 +tp3108 +a(g706 +g1027 +tp3109 +a(g827 +V\u000a +p3110 +tp3111 +a(g827 +V\u000a +p3112 +tp3113 +a(g827 +g1297 +tp3114 +a(g436 +Vsprintf +p3115 +tp3116 +a(g706 +g1016 +tp3117 +a(g436 +VtempString +p3118 +tp3119 +a(g706 +g1372 +tp3120 +a(g827 +g960 +tp3121 +a(g89 +g1375 +tp3122 +a(g213 +V\u005ct +p3123 +tp3124 +a(g89 +V.limit stack %d +p3125 +tp3126 +a(g89 +g1375 +tp3127 +a(g706 +g1372 +tp3128 +a(g827 +g960 +tp3129 +a(g436 +VclassInitStackSizeMax +p3130 +tp3131 +a(g706 +g1025 +tp3132 +a(g706 +g1027 +tp3133 +a(g827 +V\u000a +p3134 +tp3135 +a(g827 +g1297 +tp3136 +a(g436 +VstringBufferInsert +p3137 +tp3138 +a(g706 +g1016 +tp3139 +a(g436 +VclassInitBuffer +p3140 +tp3141 +a(g706 +g1372 +tp3142 +a(g827 +g960 +tp3143 +a(g436 +VclassInitBufferIndex +p3144 +tp3145 +a(g706 +g1372 +tp3146 +a(g827 +g960 +tp3147 +a(g436 +VtempString +p3148 +tp3149 +a(g706 +g1025 +tp3150 +a(g706 +g1027 +tp3151 +a(g827 +V\u000a +p3152 +tp3153 +a(g827 +g1297 +tp3154 +a(g436 +VstringBufferAppend +p3155 +tp3156 +a(g706 +g1016 +tp3157 +a(g436 +VclassInitBuffer +p3158 +tp3159 +a(g706 +g1372 +tp3160 +a(g827 +g960 +tp3161 +a(g89 +g1375 +tp3162 +a(g213 +V\u005ct +p3163 +tp3164 +a(g89 +Vreturn +p3165 +tp3166 +a(g89 +g1375 +tp3167 +a(g706 +g1025 +tp3168 +a(g706 +g1027 +tp3169 +a(g827 +V\u000a +p3170 +tp3171 +a(g827 +g1297 +tp3172 +a(g436 +VstringBufferAppend +p3173 +tp3174 +a(g706 +g1016 +tp3175 +a(g436 +VclassInitBuffer +p3176 +tp3177 +a(g706 +g1372 +tp3178 +a(g827 +g960 +tp3179 +a(g89 +g1375 +tp3180 +a(g89 +V.end method +p3181 +tp3182 +a(g89 +g1375 +tp3183 +a(g706 +g1025 +tp3184 +a(g706 +g1027 +tp3185 +a(g827 +V\u000a +p3186 +tp3187 +a(g827 +g1297 +tp3188 +a(g436 +VstringBufferAppend +p3189 +tp3190 +a(g706 +g1016 +tp3191 +a(g436 +VclassInitBuffer +p3192 +tp3193 +a(g706 +g1372 +tp3194 +a(g827 +g960 +tp3195 +a(g89 +g1375 +tp3196 +a(g89 +V; ----------------------------------------------------------- +p3197 +tp3198 +a(g89 +g1375 +tp3199 +a(g706 +g1025 +tp3200 +a(g706 +g1027 +tp3201 +a(g827 +V\u000a +p3202 +tp3203 +a(g827 +V \u000a +p3204 +tp3205 +a(g436 +VstringBufferConcatenate +p3206 +tp3207 +a(g706 +g1016 +tp3208 +a(g436 +VmainBuffer +p3209 +tp3210 +a(g706 +g1372 +tp3211 +a(g827 +g960 +tp3212 +a(g436 +VstaticVariableBuffer +p3213 +tp3214 +a(g706 +g1025 +tp3215 +a(g706 +g1027 +tp3216 +a(g827 +V\u000a +p3217 +tp3218 +a(g827 +g1297 +tp3219 +a(g436 +VstringBufferConcatenate +p3220 +tp3221 +a(g706 +g1016 +tp3222 +a(g436 +VmainBuffer +p3223 +tp3224 +a(g706 +g1372 +tp3225 +a(g827 +g960 +tp3226 +a(g436 +VfinishedMethodsBuffer +p3227 +tp3228 +a(g706 +g1025 +tp3229 +a(g706 +g1027 +tp3230 +a(g827 +V\u000a +p3231 +tp3232 +a(g827 +g1297 +tp3233 +a(g436 +VstringBufferConcatenate +p3234 +tp3235 +a(g706 +g1016 +tp3236 +a(g436 +VmainBuffer +p3237 +tp3238 +a(g706 +g1372 +tp3239 +a(g827 +g960 +tp3240 +a(g436 +VclassInitBuffer +p3241 +tp3242 +a(g706 +g1025 +tp3243 +a(g706 +g1027 +tp3244 +a(g827 +V\u000a +p3245 +tp3246 +a(g827 +V\u000a +p3247 +tp3248 +a(g827 +g1297 +tp3249 +a(g436 +VstringBufferPrint +p3250 +tp3251 +a(g706 +g1016 +tp3252 +a(g436 +VmainBuffer +p3253 +tp3254 +a(g706 +g1025 +tp3255 +a(g706 +g1027 +tp3256 +a(g827 +V\u000a +p3257 +tp3258 +a(g706 +g1721 +tp3259 +a(g827 +V\u000a +p3260 +tp3261 +a(g827 +V\u000a +p3262 +tp3263 +a(g745 +Vstatic +p3264 +tp3265 +a(g827 +g960 +tp3266 +a(g749 +Vvoid +p3267 +tp3268 +a(g827 +g960 +tp3269 +a(g574 +VincreaseStackby +p3270 +tp3271 +a(g706 +g1016 +tp3272 +a(g749 +Vint +p3273 +tp3274 +a(g827 +g960 +tp3275 +a(g436 +Vstackdiff +p3276 +tp3277 +a(g706 +g1025 +tp3278 +a(g827 +g960 +tp3279 +a(g706 +g1293 +tp3280 +a(g827 +V\u000a +p3281 +tp3282 +a(g827 +g1297 +tp3283 +a(g745 +Vif +p3284 +tp3285 +a(g827 +g960 +tp3286 +a(g706 +g1016 +tp3287 +a(g436 +Vglobal +p3288 +tp3289 +a(g706 +g1025 +tp3290 +a(g827 +g960 +tp3291 +a(g706 +g1293 +tp3292 +a(g827 +V\u000a +p3293 +tp3294 +a(g827 +V +p3295 +tp3296 +a(g436 +VclassInitStackSize +p3297 +tp3298 +a(g827 +g960 +tp3299 +a(g413 +g2280 +tp3300 +a(g413 +g1185 +tp3301 +a(g827 +g960 +tp3302 +a(g436 +Vstackdiff +p3303 +tp3304 +a(g706 +g1027 +tp3305 +a(g827 +V\u000a +p3306 +tp3307 +a(g827 +V +p3308 +tp3309 +a(g745 +Vif +p3310 +tp3311 +a(g827 +g960 +tp3312 +a(g706 +g1016 +tp3313 +a(g436 +VclassInitStackSize +p3314 +tp3315 +a(g827 +g960 +tp3316 +a(g413 +g1694 +tp3317 +a(g827 +g960 +tp3318 +a(g436 +VclassInitStackSizeMax +p3319 +tp3320 +a(g706 +g1025 +tp3321 +a(g827 +g960 +tp3322 +a(g436 +VclassInitStackSizeMax +p3323 +tp3324 +a(g827 +g960 +tp3325 +a(g413 +g1185 +tp3326 +a(g827 +g960 +tp3327 +a(g436 +VclassInitStackSize +p3328 +tp3329 +a(g706 +g1027 +tp3330 +a(g827 +V\u000a +p3331 +tp3332 +a(g827 +g1297 +tp3333 +a(g706 +g1721 +tp3334 +a(g827 +V\u000a +p3335 +tp3336 +a(g827 +g1297 +tp3337 +a(g745 +Velse +p3338 +tp3339 +a(g827 +g960 +tp3340 +a(g706 +g1293 +tp3341 +a(g827 +V\u000a +p3342 +tp3343 +a(g827 +V +p3344 +tp3345 +a(g436 +VcurrentMethodStackSize +p3346 +tp3347 +a(g827 +g960 +tp3348 +a(g413 +g2280 +tp3349 +a(g413 +g1185 +tp3350 +a(g827 +g960 +tp3351 +a(g436 +Vstackdiff +p3352 +tp3353 +a(g706 +g1027 +tp3354 +a(g827 +V\u000a +p3355 +tp3356 +a(g827 +V +p3357 +tp3358 +a(g745 +Vif +p3359 +tp3360 +a(g827 +g960 +tp3361 +a(g706 +g1016 +tp3362 +a(g436 +VcurrentMethodStackSize +p3363 +tp3364 +a(g827 +g960 +tp3365 +a(g413 +g1694 +tp3366 +a(g827 +g960 +tp3367 +a(g436 +VcurrentMethodStackSizeMax +p3368 +tp3369 +a(g706 +g1025 +tp3370 +a(g827 +g960 +tp3371 +a(g436 +VcurrentMethodStackSizeMax +p3372 +tp3373 +a(g827 +g960 +tp3374 +a(g413 +g1185 +tp3375 +a(g827 +g960 +tp3376 +a(g436 +VcurrentMethodStackSize +p3377 +tp3378 +a(g706 +g1027 +tp3379 +a(g827 +V\u000a +p3380 +tp3381 +a(g827 +g1297 +tp3382 +a(g706 +g1721 +tp3383 +a(g827 +V\u000a +p3384 +tp3385 +a(g706 +g1721 +tp3386 +a(g827 +V\u000a +p3387 +tp3388 +a(g827 +V\u000a +p3389 +tp3390 +a(g749 +Vchar +p3391 +tp3392 +a(g827 +g960 +tp3393 +a(g574 +VconvertType +p3394 +tp3395 +a(g706 +g1016 +tp3396 +a(g749 +Vint +p3397 +tp3398 +a(g827 +g960 +tp3399 +a(g436 +Vtype +p3400 +tp3401 +a(g706 +g1025 +tp3402 +a(g827 +g960 +tp3403 +a(g706 +g1293 +tp3404 +a(g827 +V\u000a +p3405 +tp3406 +a(g827 +g1297 +tp3407 +a(g745 +Vswitch +p3408 +tp3409 +a(g706 +g1016 +tp3410 +a(g436 +Vtype +p3411 +tp3412 +a(g706 +g1025 +tp3413 +a(g827 +g960 +tp3414 +a(g706 +g1293 +tp3415 +a(g827 +V\u000a +p3416 +tp3417 +a(g827 +V +p3418 +tp3419 +a(g745 +Vcase +p3420 +tp3421 +a(g827 +g960 +tp3422 +a(g597 +VTYPE_VOID +p3423 +tp3424 +a(g706 +V: +p3425 +tp3426 +a(g827 +V +p3427 +tp3428 +a(g745 +Vreturn +p3429 +tp3430 +a(g827 +g960 +tp3431 +a(g93 +g2135 +tp3432 +a(g93 +VV +p3433 +tp3434 +a(g93 +g2135 +tp3435 +a(g706 +g1027 +tp3436 +a(g827 +V\u000a +p3437 +tp3438 +a(g827 +V +p3439 +tp3440 +a(g745 +Vcase +p3441 +tp3442 +a(g827 +g960 +tp3443 +a(g597 +VTYPE_INT +p3444 +tp3445 +a(g706 +g3425 +tp3446 +a(g827 +V +p3447 +tp3448 +a(g745 +Vreturn +p3449 +tp3450 +a(g827 +g960 +tp3451 +a(g93 +g2135 +tp3452 +a(g93 +g2137 +tp3453 +a(g93 +g2135 +tp3454 +a(g706 +g1027 +tp3455 +a(g827 +V\u000a +p3456 +tp3457 +a(g827 +V +p3458 +tp3459 +a(g745 +Vcase +p3460 +tp3461 +a(g827 +g960 +tp3462 +a(g597 +VTYPE_FLOAT +p3463 +tp3464 +a(g706 +g3425 +tp3465 +a(g827 +V +p3466 +tp3467 +a(g745 +Vreturn +p3468 +tp3469 +a(g827 +g960 +tp3470 +a(g93 +g2135 +tp3471 +a(g93 +g2181 +tp3472 +a(g93 +g2135 +tp3473 +a(g706 +g1027 +tp3474 +a(g827 +V\u000a +p3475 +tp3476 +a(g827 +V +p3477 +tp3478 +a(g745 +Vcase +p3479 +tp3480 +a(g827 +g960 +tp3481 +a(g597 +VTYPE_BOOLEAN +p3482 +tp3483 +a(g706 +g3425 +tp3484 +a(g827 +g960 +tp3485 +a(g745 +Vreturn +p3486 +tp3487 +a(g827 +g960 +tp3488 +a(g93 +g2135 +tp3489 +a(g93 +g2225 +tp3490 +a(g93 +g2135 +tp3491 +a(g706 +g1027 +tp3492 +a(g827 +V\u000a +p3493 +tp3494 +a(g827 +V +p3495 +tp3496 +a(g745 +Vdefault +p3497 +tp3498 +a(g827 +g960 +tp3499 +a(g413 +g3425 +tp3500 +a(g827 +g960 +tp3501 +a(g436 +Vyyerror +p3502 +tp3503 +a(g706 +g1016 +tp3504 +a(g89 +g1375 +tp3505 +a(g89 +Vcompiler-intern error in convertType(). +p3506 +tp3507 +a(g213 +V\u005cn +p3508 +tp3509 +a(g89 +g1375 +tp3510 +a(g706 +g1025 +tp3511 +a(g706 +g1027 +tp3512 +a(g827 +V\u000a +p3513 +tp3514 +a(g827 +g1297 +tp3515 +a(g706 +g1721 +tp3516 +a(g827 +V\u000a +p3517 +tp3518 +a(g827 +g1297 +tp3519 +a(g745 +Vreturn +p3520 +tp3521 +a(g827 +g960 +tp3522 +a(g37 +g1188 +tp3523 +a(g706 +g1027 +tp3524 +a(g827 +g960 +tp3525 +a(g929 +V/* to avoid compiler-warning */ +p3526 +tp3527 +a(g827 +V\u000a +p3528 +tp3529 +a(g706 +g1721 +tp3530 +a(g827 +V\u000a +p3531 +tp3532 +a(g827 +V\u000a +p3533 +tp3534 +a(g827 +V\u000a +p3535 +tp3536 +a(g898 +V//#include \u000a +p3537 +tp3538 +a(g898 +V//#include \u000a +p3539 +tp3540 +a(g827 +V\u000a +p3541 +tp3542 +a(g749 +Vint +p3543 +tp3544 +a(g827 +g960 +tp3545 +a(g574 +Vmain +p3546 +tp3547 +a(g706 +g1016 +tp3548 +a(g706 +g1025 +tp3549 +a(g827 +g960 +tp3550 +a(g706 +g1293 +tp3551 +a(g827 +V\u000a +p3552 +tp3553 +a(g827 +g1297 +tp3554 +a(g749 +Vint +p3555 +tp3556 +a(g827 +g960 +tp3557 +a(g436 +Va +p3558 +tp3559 +a(g827 +g960 +tp3560 +a(g413 +g1185 +tp3561 +a(g827 +g960 +tp3562 +a(g37 +V12 +p3563 +tp3564 +a(g706 +g1372 +tp3565 +a(g827 +g960 +tp3566 +a(g436 +Vb +p3567 +tp3568 +a(g827 +g960 +tp3569 +a(g413 +g1185 +tp3570 +a(g827 +g960 +tp3571 +a(g37 +V44 +p3572 +tp3573 +a(g706 +g1027 +tp3574 +a(g827 +V\u000a +p3575 +tp3576 +a(g827 +g1297 +tp3577 +a(g745 +Vwhile +p3578 +tp3579 +a(g827 +g960 +tp3580 +a(g706 +g1016 +tp3581 +a(g436 +g3558 +tp3582 +a(g827 +g960 +tp3583 +a(g413 +g2490 +tp3584 +a(g413 +g1185 +tp3585 +a(g827 +g960 +tp3586 +a(g436 +g3567 +tp3587 +a(g706 +g1025 +tp3588 +a(g827 +g960 +tp3589 +a(g706 +g1293 +tp3590 +a(g827 +V\u000a +p3591 +tp3592 +a(g827 +V +p3593 +tp3594 +a(g745 +Vif +p3595 +tp3596 +a(g827 +g960 +tp3597 +a(g706 +g1016 +tp3598 +a(g436 +g3558 +tp3599 +a(g827 +g960 +tp3600 +a(g413 +g1694 +tp3601 +a(g827 +g960 +tp3602 +a(g436 +g3567 +tp3603 +a(g706 +g1025 +tp3604 +a(g827 +V\u000a +p3605 +tp3606 +a(g827 +V +p3607 +tp3608 +a(g436 +g3558 +tp3609 +a(g827 +g960 +tp3610 +a(g413 +g1692 +tp3611 +a(g413 +g1185 +tp3612 +a(g827 +g960 +tp3613 +a(g436 +g3567 +tp3614 +a(g706 +g1027 +tp3615 +a(g827 +V\u000a +p3616 +tp3617 +a(g827 +V +p3618 +tp3619 +a(g745 +Velse +p3620 +tp3621 +a(g827 +V\u000a +p3622 +tp3623 +a(g827 +V +p3624 +tp3625 +a(g436 +g3567 +tp3626 +a(g827 +g960 +tp3627 +a(g413 +g1692 +tp3628 +a(g413 +g1185 +tp3629 +a(g827 +g960 +tp3630 +a(g436 +g3558 +tp3631 +a(g706 +g1027 +tp3632 +a(g827 +V\u000a +p3633 +tp3634 +a(g827 +g1297 +tp3635 +a(g706 +g1721 +tp3636 +a(g827 +V\u000a +p3637 +tp3638 +a(g827 +g1297 +tp3639 +a(g436 +Vprintf +p3640 +tp3641 +a(g706 +g1016 +tp3642 +a(g89 +g1375 +tp3643 +a(g89 +V%d +p3644 +tp3645 +a(g213 +V\u005cn +p3646 +tp3647 +a(g89 +V%d +p3648 +tp3649 +a(g89 +g1375 +tp3650 +a(g706 +g1372 +tp3651 +a(g827 +g960 +tp3652 +a(g436 +g3558 +tp3653 +a(g706 +g1372 +tp3654 +a(g827 +g960 +tp3655 +a(g37 +g1188 +tp3656 +a(g436 +VX0 +p3657 +tp3658 +a(g706 +g1025 +tp3659 +a(g706 +g1027 +tp3660 +a(g827 +V\u005c\u000a +p3661 +tp3662 +a(g706 +g1721 +tp3663 +a(g827 +V\u000a +p3664 +tp3665 +a(g827 +V\u000a +p3666 +tp3667 +a(g827 +V\u000a +p3668 +tp3669 +a(g929 +V/**********************************************************************\u000a\u000a array.c -\u000a\u000a $Author: murphy $\u000a $Date: 2005-11-05 04:33:55 +0100 (Sa, 05 Nov 2005) $\u000a created at: Fri Aug 6 09:46:12 JST 1993\u000a\u000a Copyright (C) 1993-2003 Yukihiro Matsumoto\u000a Copyright (C) 2000 Network Applied Communication Laboratory, Inc.\u000a Copyright (C) 2000 Information-technology Promotion Agency, Japan\u000a\u000a**********************************************************************/ +p3670 +tp3671 +a(g827 +V\u000a +p3672 +tp3673 +a(g827 +V\u000a +p3674 +tp3675 +a(g8 +g956 +tp3676 +a(g8 +Vinclude +p3677 +tp3678 +a(g827 +g960 +tp3679 +a(g938 +V"ruby.h" +p3680 +tp3681 +a(g8 +V\u000a +p3682 +tp3683 +a(g8 +g956 +tp3684 +a(g8 +Vinclude +p3685 +tp3686 +a(g827 +g960 +tp3687 +a(g938 +V"util.h" +p3688 +tp3689 +a(g8 +V\u000a +p3690 +tp3691 +a(g8 +g956 +tp3692 +a(g8 +Vinclude +p3693 +tp3694 +a(g827 +g960 +tp3695 +a(g938 +V"st.h" +p3696 +tp3697 +a(g8 +V\u000a +p3698 +tp3699 +a(g8 +g956 +tp3700 +a(g8 +Vinclude +p3701 +tp3702 +a(g827 +g960 +tp3703 +a(g938 +V"node.h" +p3704 +tp3705 +a(g8 +V\u000a +p3706 +tp3707 +a(g827 +V\u000a +p3708 +tp3709 +a(g436 +VVALUE +p3710 +tp3711 +a(g827 +g960 +tp3712 +a(g436 +Vrb_cArray +p3713 +tp3714 +a(g706 +g1372 +tp3715 +a(g827 +g960 +tp3716 +a(g436 +Vrb_cValues +p3717 +tp3718 +a(g706 +g1027 +tp3719 +a(g827 +V\u000a +p3720 +tp3721 +a(g827 +V\u000a +p3722 +tp3723 +a(g745 +Vstatic +p3724 +tp3725 +a(g827 +g960 +tp3726 +a(g436 +VID +p3727 +tp3728 +a(g827 +g960 +tp3729 +a(g436 +Vid_cmp +p3730 +tp3731 +a(g706 +g1027 +tp3732 +a(g827 +V\u000a +p3733 +tp3734 +a(g827 +V\u000a +p3735 +tp3736 +a(g8 +g956 +tp3737 +a(g8 +Vdefine ARY_DEFAULT_SIZE 16 +p3738 +tp3739 +a(g8 +V\u000a +p3740 +tp3741 +a(g827 +V\u000a +p3742 +tp3743 +a(g827 +V\u000a +p3744 +tp3745 +a(g749 +Vvoid +p3746 +tp3747 +a(g827 +V\u000a +p3748 +tp3749 +a(g574 +Vrb_mem_clear +p3750 +tp3751 +a(g706 +g1016 +tp3752 +a(g436 +Vmem +p3753 +tp3754 +a(g706 +g1372 +tp3755 +a(g827 +g960 +tp3756 +a(g436 +Vsize +p3757 +tp3758 +a(g706 +g1025 +tp3759 +a(g827 +V\u000a +p3760 +tp3761 +a(g827 +V +p3762 +tp3763 +a(g745 +Vregister +p3764 +tp3765 +a(g827 +g960 +tp3766 +a(g436 +VVALUE +p3767 +tp3768 +a(g827 +g960 +tp3769 +a(g413 +g1020 +tp3770 +a(g436 +Vmem +p3771 +tp3772 +a(g706 +g1027 +tp3773 +a(g827 +V\u000a +p3774 +tp3775 +a(g827 +V +p3776 +tp3777 +a(g745 +Vregister +p3778 +tp3779 +a(g827 +g960 +tp3780 +a(g749 +Vlong +p3781 +tp3782 +a(g827 +g960 +tp3783 +a(g436 +Vsize +p3784 +tp3785 +a(g706 +g1027 +tp3786 +a(g827 +V\u000a +p3787 +tp3788 +a(g706 +g1293 +tp3789 +a(g827 +V\u000a +p3790 +tp3791 +a(g827 +V +p3792 +tp3793 +a(g745 +Vwhile +p3794 +tp3795 +a(g827 +g960 +tp3796 +a(g706 +g1016 +tp3797 +a(g436 +Vsize +p3798 +tp3799 +a(g413 +g1692 +tp3800 +a(g413 +g1692 +tp3801 +a(g706 +g1025 +tp3802 +a(g827 +g960 +tp3803 +a(g706 +g1293 +tp3804 +a(g827 +V\u000a +p3805 +tp3806 +a(g827 +g1297 +tp3807 +a(g413 +g1020 +tp3808 +a(g436 +Vmem +p3809 +tp3810 +a(g413 +g2280 +tp3811 +a(g413 +g2280 +tp3812 +a(g827 +g960 +tp3813 +a(g413 +g1185 +tp3814 +a(g827 +g960 +tp3815 +a(g436 +VQnil +p3816 +tp3817 +a(g706 +g1027 +tp3818 +a(g827 +V\u000a +p3819 +tp3820 +a(g827 +V +p3821 +tp3822 +a(g706 +g1721 +tp3823 +a(g827 +V\u000a +p3824 +tp3825 +a(g706 +g1721 +tp3826 +a(g827 +V\u000a +p3827 +tp3828 +a(g827 +V\u000a +p3829 +tp3830 +a(g745 +Vstatic +p3831 +tp3832 +a(g827 +g960 +tp3833 +a(g794 +Vinline +p3834 +tp3835 +a(g827 +g960 +tp3836 +a(g749 +Vvoid +p3837 +tp3838 +a(g827 +V\u000a +p3839 +tp3840 +a(g436 +Vmemfill +p3841 +tp3842 +a(g706 +g1016 +tp3843 +a(g436 +Vmem +p3844 +tp3845 +a(g706 +g1372 +tp3846 +a(g827 +g960 +tp3847 +a(g436 +Vsize +p3848 +tp3849 +a(g706 +g1372 +tp3850 +a(g827 +g960 +tp3851 +a(g436 +Vval +p3852 +tp3853 +a(g706 +g1025 +tp3854 +a(g827 +V\u000a +p3855 +tp3856 +a(g827 +V +p3857 +tp3858 +a(g745 +Vregister +p3859 +tp3860 +a(g827 +g960 +tp3861 +a(g436 +VVALUE +p3862 +tp3863 +a(g827 +g960 +tp3864 +a(g413 +g1020 +tp3865 +a(g436 +Vmem +p3866 +tp3867 +a(g706 +g1027 +tp3868 +a(g827 +V\u000a +p3869 +tp3870 +a(g827 +V +p3871 +tp3872 +a(g745 +Vregister +p3873 +tp3874 +a(g827 +g960 +tp3875 +a(g749 +Vlong +p3876 +tp3877 +a(g827 +g960 +tp3878 +a(g436 +Vsize +p3879 +tp3880 +a(g706 +g1027 +tp3881 +a(g827 +V\u000a +p3882 +tp3883 +a(g827 +V +p3884 +tp3885 +a(g745 +Vregister +p3886 +tp3887 +a(g827 +g960 +tp3888 +a(g436 +VVALUE +p3889 +tp3890 +a(g827 +g960 +tp3891 +a(g436 +Vval +p3892 +tp3893 +a(g706 +g1027 +tp3894 +a(g827 +V\u000a +p3895 +tp3896 +a(g706 +g1293 +tp3897 +a(g827 +V\u000a +p3898 +tp3899 +a(g827 +V +p3900 +tp3901 +a(g745 +Vwhile +p3902 +tp3903 +a(g827 +g960 +tp3904 +a(g706 +g1016 +tp3905 +a(g436 +Vsize +p3906 +tp3907 +a(g413 +g1692 +tp3908 +a(g413 +g1692 +tp3909 +a(g706 +g1025 +tp3910 +a(g827 +g960 +tp3911 +a(g706 +g1293 +tp3912 +a(g827 +V\u000a +p3913 +tp3914 +a(g827 +g1297 +tp3915 +a(g413 +g1020 +tp3916 +a(g436 +Vmem +p3917 +tp3918 +a(g413 +g2280 +tp3919 +a(g413 +g2280 +tp3920 +a(g827 +g960 +tp3921 +a(g413 +g1185 +tp3922 +a(g827 +g960 +tp3923 +a(g436 +Vval +p3924 +tp3925 +a(g706 +g1027 +tp3926 +a(g827 +V\u000a +p3927 +tp3928 +a(g827 +V +p3929 +tp3930 +a(g706 +g1721 +tp3931 +a(g827 +V\u000a +p3932 +tp3933 +a(g706 +g1721 +tp3934 +a(g827 +V\u000a +p3935 +tp3936 +a(g827 +V\u000a +p3937 +tp3938 +a(g8 +g956 +tp3939 +a(g8 +Vdefine ARY_TMPLOCK FL_USER1 +p3940 +tp3941 +a(g8 +V\u000a +p3942 +tp3943 +a(g827 +V\u000a +p3944 +tp3945 +a(g745 +Vstatic +p3946 +tp3947 +a(g827 +g960 +tp3948 +a(g794 +Vinline +p3949 +tp3950 +a(g827 +g960 +tp3951 +a(g749 +Vvoid +p3952 +tp3953 +a(g827 +V\u000a +p3954 +tp3955 +a(g436 +Vrb_ary_modify_check +p3956 +tp3957 +a(g706 +g1016 +tp3958 +a(g436 +Vary +p3959 +tp3960 +a(g706 +g1025 +tp3961 +a(g827 +V\u000a +p3962 +tp3963 +a(g827 +V +p3964 +tp3965 +a(g436 +VVALUE +p3966 +tp3967 +a(g827 +g960 +tp3968 +a(g436 +Vary +p3969 +tp3970 +a(g706 +g1027 +tp3971 +a(g827 +V\u000a +p3972 +tp3973 +a(g706 +g1293 +tp3974 +a(g827 +V\u000a +p3975 +tp3976 +a(g827 +V +p3977 +tp3978 +a(g745 +Vif +p3979 +tp3980 +a(g827 +g960 +tp3981 +a(g706 +g1016 +tp3982 +a(g436 +VOBJ_FROZEN +p3983 +tp3984 +a(g706 +g1016 +tp3985 +a(g436 +Vary +p3986 +tp3987 +a(g706 +g1025 +tp3988 +a(g706 +g1025 +tp3989 +a(g827 +g960 +tp3990 +a(g436 +Vrb_error_frozen +p3991 +tp3992 +a(g706 +g1016 +tp3993 +a(g89 +g1375 +tp3994 +a(g89 +Varray +p3995 +tp3996 +a(g89 +g1375 +tp3997 +a(g706 +g1025 +tp3998 +a(g706 +g1027 +tp3999 +a(g827 +V\u000a +p4000 +tp4001 +a(g827 +V +p4002 +tp4003 +a(g745 +Vif +p4004 +tp4005 +a(g827 +g960 +tp4006 +a(g706 +g1016 +tp4007 +a(g436 +VFL_TEST +p4008 +tp4009 +a(g706 +g1016 +tp4010 +a(g436 +Vary +p4011 +tp4012 +a(g706 +g1372 +tp4013 +a(g827 +g960 +tp4014 +a(g436 +VARY_TMPLOCK +p4015 +tp4016 +a(g706 +g1025 +tp4017 +a(g706 +g1025 +tp4018 +a(g827 +V\u000a +p4019 +tp4020 +a(g827 +g1297 +tp4021 +a(g436 +Vrb_raise +p4022 +tp4023 +a(g706 +g1016 +tp4024 +a(g436 +Vrb_eRuntimeError +p4025 +tp4026 +a(g706 +g1372 +tp4027 +a(g827 +g960 +tp4028 +a(g89 +g1375 +tp4029 +a(g89 +Vcan't modify array during iteration +p4030 +tp4031 +a(g89 +g1375 +tp4032 +a(g706 +g1025 +tp4033 +a(g706 +g1027 +tp4034 +a(g827 +V\u000a +p4035 +tp4036 +a(g827 +V +p4037 +tp4038 +a(g745 +Vif +p4039 +tp4040 +a(g827 +g960 +tp4041 +a(g706 +g1016 +tp4042 +a(g413 +g2490 +tp4043 +a(g436 +VOBJ_TAINTED +p4044 +tp4045 +a(g706 +g1016 +tp4046 +a(g436 +Vary +p4047 +tp4048 +a(g706 +g1025 +tp4049 +a(g827 +g960 +tp4050 +a(g413 +V& +p4051 +tp4052 +a(g413 +g4051 +tp4053 +a(g827 +g960 +tp4054 +a(g436 +Vrb_safe_level +p4055 +tp4056 +a(g706 +g1016 +tp4057 +a(g706 +g1025 +tp4058 +a(g827 +g960 +tp4059 +a(g413 +g1694 +tp4060 +a(g413 +g1185 +tp4061 +a(g827 +g960 +tp4062 +a(g37 +V4 +p4063 +tp4064 +a(g706 +g1025 +tp4065 +a(g827 +V\u000a +p4066 +tp4067 +a(g827 +g1297 +tp4068 +a(g436 +Vrb_raise +p4069 +tp4070 +a(g706 +g1016 +tp4071 +a(g436 +Vrb_eSecurityError +p4072 +tp4073 +a(g706 +g1372 +tp4074 +a(g827 +g960 +tp4075 +a(g89 +g1375 +tp4076 +a(g89 +VInsecure: can't modify array +p4077 +tp4078 +a(g89 +g1375 +tp4079 +a(g706 +g1025 +tp4080 +a(g706 +g1027 +tp4081 +a(g827 +V\u000a +p4082 +tp4083 +a(g706 +g1721 +tp4084 +a(g827 +V\u000a +p4085 +tp4086 +a(g827 +V\u000a +p4087 +tp4088 +a(g745 +Vstatic +p4089 +tp4090 +a(g827 +g960 +tp4091 +a(g749 +Vvoid +p4092 +tp4093 +a(g827 +V\u000a +p4094 +tp4095 +a(g436 +Vrb_ary_modify +p4096 +tp4097 +a(g706 +g1016 +tp4098 +a(g436 +Vary +p4099 +tp4100 +a(g706 +g1025 +tp4101 +a(g827 +V\u000a +p4102 +tp4103 +a(g827 +V +p4104 +tp4105 +a(g436 +VVALUE +p4106 +tp4107 +a(g827 +g960 +tp4108 +a(g436 +Vary +p4109 +tp4110 +a(g706 +g1027 +tp4111 +a(g827 +V\u000a +p4112 +tp4113 +a(g706 +g1293 +tp4114 +a(g827 +V\u000a +p4115 +tp4116 +a(g827 +V +p4117 +tp4118 +a(g436 +VVALUE +p4119 +tp4120 +a(g827 +g960 +tp4121 +a(g413 +g1020 +tp4122 +a(g436 +Vptr +p4123 +tp4124 +a(g706 +g1027 +tp4125 +a(g827 +V\u000a +p4126 +tp4127 +a(g827 +V\u000a +p4128 +tp4129 +a(g827 +V +p4130 +tp4131 +a(g436 +Vrb_ary_modify_check +p4132 +tp4133 +a(g706 +g1016 +tp4134 +a(g436 +Vary +p4135 +tp4136 +a(g706 +g1025 +tp4137 +a(g706 +g1027 +tp4138 +a(g827 +V\u000a +p4139 +tp4140 +a(g827 +V +p4141 +tp4142 +a(g745 +Vif +p4143 +tp4144 +a(g827 +g960 +tp4145 +a(g706 +g1016 +tp4146 +a(g436 +VFL_TEST +p4147 +tp4148 +a(g706 +g1016 +tp4149 +a(g436 +Vary +p4150 +tp4151 +a(g706 +g1372 +tp4152 +a(g827 +g960 +tp4153 +a(g436 +VELTS_SHARED +p4154 +tp4155 +a(g706 +g1025 +tp4156 +a(g706 +g1025 +tp4157 +a(g827 +g960 +tp4158 +a(g706 +g1293 +tp4159 +a(g827 +V\u000a +p4160 +tp4161 +a(g827 +g1297 +tp4162 +a(g436 +Vptr +p4163 +tp4164 +a(g827 +g960 +tp4165 +a(g413 +g1185 +tp4166 +a(g827 +g960 +tp4167 +a(g436 +VALLOC_N +p4168 +tp4169 +a(g706 +g1016 +tp4170 +a(g436 +VVALUE +p4171 +tp4172 +a(g706 +g1372 +tp4173 +a(g827 +g960 +tp4174 +a(g436 +VRARRAY +p4175 +tp4176 +a(g706 +g1016 +tp4177 +a(g436 +Vary +p4178 +tp4179 +a(g706 +g1025 +tp4180 +a(g413 +g1692 +tp4181 +a(g413 +g1694 +tp4182 +a(g436 +Vlen +p4183 +tp4184 +a(g706 +g1025 +tp4185 +a(g706 +g1027 +tp4186 +a(g827 +V\u000a +p4187 +tp4188 +a(g827 +g1297 +tp4189 +a(g436 +VFL_UNSET +p4190 +tp4191 +a(g706 +g1016 +tp4192 +a(g436 +Vary +p4193 +tp4194 +a(g706 +g1372 +tp4195 +a(g827 +g960 +tp4196 +a(g436 +VELTS_SHARED +p4197 +tp4198 +a(g706 +g1025 +tp4199 +a(g706 +g1027 +tp4200 +a(g827 +V\u000a +p4201 +tp4202 +a(g827 +g1297 +tp4203 +a(g436 +VRARRAY +p4204 +tp4205 +a(g706 +g1016 +tp4206 +a(g436 +Vary +p4207 +tp4208 +a(g706 +g1025 +tp4209 +a(g413 +g1692 +tp4210 +a(g413 +g1694 +tp4211 +a(g436 +Vaux +p4212 +tp4213 +a(g706 +V. +p4214 +tp4215 +a(g436 +Vcapa +p4216 +tp4217 +a(g827 +g960 +tp4218 +a(g413 +g1185 +tp4219 +a(g827 +g960 +tp4220 +a(g436 +VRARRAY +p4221 +tp4222 +a(g706 +g1016 +tp4223 +a(g436 +Vary +p4224 +tp4225 +a(g706 +g1025 +tp4226 +a(g413 +g1692 +tp4227 +a(g413 +g1694 +tp4228 +a(g436 +Vlen +p4229 +tp4230 +a(g706 +g1027 +tp4231 +a(g827 +V\u000a +p4232 +tp4233 +a(g827 +g1297 +tp4234 +a(g436 +VMEMCPY +p4235 +tp4236 +a(g706 +g1016 +tp4237 +a(g436 +Vptr +p4238 +tp4239 +a(g706 +g1372 +tp4240 +a(g827 +g960 +tp4241 +a(g436 +VRARRAY +p4242 +tp4243 +a(g706 +g1016 +tp4244 +a(g436 +Vary +p4245 +tp4246 +a(g706 +g1025 +tp4247 +a(g413 +g1692 +tp4248 +a(g413 +g1694 +tp4249 +a(g436 +Vptr +p4250 +tp4251 +a(g706 +g1372 +tp4252 +a(g827 +g960 +tp4253 +a(g436 +VVALUE +p4254 +tp4255 +a(g706 +g1372 +tp4256 +a(g827 +g960 +tp4257 +a(g436 +VRARRAY +p4258 +tp4259 +a(g706 +g1016 +tp4260 +a(g436 +Vary +p4261 +tp4262 +a(g706 +g1025 +tp4263 +a(g413 +g1692 +tp4264 +a(g413 +g1694 +tp4265 +a(g436 +Vlen +p4266 +tp4267 +a(g706 +g1025 +tp4268 +a(g706 +g1027 +tp4269 +a(g827 +V\u000a +p4270 +tp4271 +a(g827 +g1297 +tp4272 +a(g436 +VRARRAY +p4273 +tp4274 +a(g706 +g1016 +tp4275 +a(g436 +Vary +p4276 +tp4277 +a(g706 +g1025 +tp4278 +a(g413 +g1692 +tp4279 +a(g413 +g1694 +tp4280 +a(g436 +Vptr +p4281 +tp4282 +a(g827 +g960 +tp4283 +a(g413 +g1185 +tp4284 +a(g827 +g960 +tp4285 +a(g436 +Vptr +p4286 +tp4287 +a(g706 +g1027 +tp4288 +a(g827 +V\u000a +p4289 +tp4290 +a(g827 +V +p4291 +tp4292 +a(g706 +g1721 +tp4293 +a(g827 +V\u000a +p4294 +tp4295 +a(g706 +g1721 +tp4296 +a(g827 +V\u000a +p4297 +tp4298 +a(g827 +V\u000a +p4299 +tp4300 +a(g436 +VVALUE +p4301 +tp4302 +a(g827 +V\u000a +p4303 +tp4304 +a(g436 +Vrb_ary_freeze +p4305 +tp4306 +a(g706 +g1016 +tp4307 +a(g436 +Vary +p4308 +tp4309 +a(g706 +g1025 +tp4310 +a(g827 +V\u000a +p4311 +tp4312 +a(g827 +V +p4313 +tp4314 +a(g436 +VVALUE +p4315 +tp4316 +a(g827 +g960 +tp4317 +a(g436 +Vary +p4318 +tp4319 +a(g706 +g1027 +tp4320 +a(g827 +V\u000a +p4321 +tp4322 +a(g706 +g1293 +tp4323 +a(g827 +V\u000a +p4324 +tp4325 +a(g827 +V +p4326 +tp4327 +a(g745 +Vreturn +p4328 +tp4329 +a(g827 +g960 +tp4330 +a(g436 +Vrb_obj_freeze +p4331 +tp4332 +a(g706 +g1016 +tp4333 +a(g436 +Vary +p4334 +tp4335 +a(g706 +g1025 +tp4336 +a(g706 +g1027 +tp4337 +a(g827 +V\u000a +p4338 +tp4339 +a(g706 +g1721 +tp4340 +a(g827 +V\u000a +p4341 +tp4342 +a(g827 +V\u000a +p4343 +tp4344 +a(g929 +V/*\u000a * call-seq:\u000a * array.frozen? -> true or false\u000a *\u000a * Return true if this array is frozen (or temporarily frozen\u000a * while being sorted).\u000a */ +p4345 +tp4346 +a(g827 +V\u000a +p4347 +tp4348 +a(g827 +V\u000a +p4349 +tp4350 +a(g745 +Vstatic +p4351 +tp4352 +a(g827 +g960 +tp4353 +a(g436 +VVALUE +p4354 +tp4355 +a(g827 +V\u000a +p4356 +tp4357 +a(g436 +Vrb_ary_frozen_p +p4358 +tp4359 +a(g706 +g1016 +tp4360 +a(g436 +Vary +p4361 +tp4362 +a(g706 +g1025 +tp4363 +a(g827 +V\u000a +p4364 +tp4365 +a(g827 +V +p4366 +tp4367 +a(g436 +VVALUE +p4368 +tp4369 +a(g827 +g960 +tp4370 +a(g436 +Vary +p4371 +tp4372 +a(g706 +g1027 +tp4373 +a(g827 +V\u000a +p4374 +tp4375 +a(g706 +g1293 +tp4376 +a(g827 +V\u000a +p4377 +tp4378 +a(g827 +V +p4379 +tp4380 +a(g745 +Vif +p4381 +tp4382 +a(g827 +g960 +tp4383 +a(g706 +g1016 +tp4384 +a(g436 +VOBJ_FROZEN +p4385 +tp4386 +a(g706 +g1016 +tp4387 +a(g436 +Vary +p4388 +tp4389 +a(g706 +g1025 +tp4390 +a(g706 +g1025 +tp4391 +a(g827 +g960 +tp4392 +a(g745 +Vreturn +p4393 +tp4394 +a(g827 +g960 +tp4395 +a(g436 +VQtrue +p4396 +tp4397 +a(g706 +g1027 +tp4398 +a(g827 +V\u000a +p4399 +tp4400 +a(g827 +V +p4401 +tp4402 +a(g745 +Vif +p4403 +tp4404 +a(g827 +g960 +tp4405 +a(g706 +g1016 +tp4406 +a(g436 +VFL_TEST +p4407 +tp4408 +a(g706 +g1016 +tp4409 +a(g436 +Vary +p4410 +tp4411 +a(g706 +g1372 +tp4412 +a(g827 +g960 +tp4413 +a(g436 +VARY_TMPLOCK +p4414 +tp4415 +a(g706 +g1025 +tp4416 +a(g706 +g1025 +tp4417 +a(g827 +g960 +tp4418 +a(g745 +Vreturn +p4419 +tp4420 +a(g827 +g960 +tp4421 +a(g436 +VQtrue +p4422 +tp4423 +a(g706 +g1027 +tp4424 +a(g827 +V\u000a +p4425 +tp4426 +a(g827 +V +p4427 +tp4428 +a(g745 +Vreturn +p4429 +tp4430 +a(g827 +g960 +tp4431 +a(g436 +VQfalse +p4432 +tp4433 +a(g706 +g1027 +tp4434 +a(g827 +V\u000a +p4435 +tp4436 +a(g706 +g1721 +tp4437 +a(g827 +V\u000a +p4438 +tp4439 +a(g827 +V\u000a +p4440 +tp4441 +a(g745 +Vstatic +p4442 +tp4443 +a(g827 +g960 +tp4444 +a(g436 +VVALUE +p4445 +tp4446 +a(g827 +g960 +tp4447 +a(g436 +Vary_alloc +p4448 +tp4449 +a(g706 +g1016 +tp4450 +a(g436 +VVALUE +p4451 +tp4452 +a(g706 +g1025 +tp4453 +a(g706 +g1027 +tp4454 +a(g827 +V\u000a +p4455 +tp4456 +a(g745 +Vstatic +p4457 +tp4458 +a(g827 +g960 +tp4459 +a(g436 +VVALUE +p4460 +tp4461 +a(g827 +V\u000a +p4462 +tp4463 +a(g574 +Vary_alloc +p4464 +tp4465 +a(g706 +g1016 +tp4466 +a(g436 +Vklass +p4467 +tp4468 +a(g706 +g1025 +tp4469 +a(g827 +V\u000a +p4470 +tp4471 +a(g827 +V +p4472 +tp4473 +a(g436 +VVALUE +p4474 +tp4475 +a(g827 +g960 +tp4476 +a(g436 +Vklass +p4477 +tp4478 +a(g706 +g1027 +tp4479 +a(g827 +V\u000a +p4480 +tp4481 +a(g706 +g1293 +tp4482 +a(g827 +V\u000a +p4483 +tp4484 +a(g827 +V +p4485 +tp4486 +a(g436 +VNEWOBJ +p4487 +tp4488 +a(g706 +g1016 +tp4489 +a(g436 +Vary +p4490 +tp4491 +a(g706 +g1372 +tp4492 +a(g827 +g960 +tp4493 +a(g745 +Vstruct +p4494 +tp4495 +a(g827 +g960 +tp4496 +a(g436 +VRArray +p4497 +tp4498 +a(g706 +g1025 +tp4499 +a(g706 +g1027 +tp4500 +a(g827 +V\u000a +p4501 +tp4502 +a(g827 +V +p4503 +tp4504 +a(g436 +VOBJSETUP +p4505 +tp4506 +a(g706 +g1016 +tp4507 +a(g436 +Vary +p4508 +tp4509 +a(g706 +g1372 +tp4510 +a(g827 +g960 +tp4511 +a(g436 +Vklass +p4512 +tp4513 +a(g706 +g1372 +tp4514 +a(g827 +g960 +tp4515 +a(g436 +VT_ARRAY +p4516 +tp4517 +a(g706 +g1025 +tp4518 +a(g706 +g1027 +tp4519 +a(g827 +V\u000a +p4520 +tp4521 +a(g827 +V\u000a +p4522 +tp4523 +a(g827 +V +p4524 +tp4525 +a(g436 +Vary +p4526 +tp4527 +a(g413 +g1692 +tp4528 +a(g413 +g1694 +tp4529 +a(g436 +Vlen +p4530 +tp4531 +a(g827 +g960 +tp4532 +a(g413 +g1185 +tp4533 +a(g827 +g960 +tp4534 +a(g37 +g1188 +tp4535 +a(g706 +g1027 +tp4536 +a(g827 +V\u000a +p4537 +tp4538 +a(g827 +V +p4539 +tp4540 +a(g436 +Vary +p4541 +tp4542 +a(g413 +g1692 +tp4543 +a(g413 +g1694 +tp4544 +a(g436 +Vptr +p4545 +tp4546 +a(g827 +g960 +tp4547 +a(g413 +g1185 +tp4548 +a(g827 +g960 +tp4549 +a(g37 +g1188 +tp4550 +a(g706 +g1027 +tp4551 +a(g827 +V\u000a +p4552 +tp4553 +a(g827 +V +p4554 +tp4555 +a(g436 +Vary +p4556 +tp4557 +a(g413 +g1692 +tp4558 +a(g413 +g1694 +tp4559 +a(g436 +Vaux +p4560 +tp4561 +a(g706 +g4214 +tp4562 +a(g436 +Vcapa +p4563 +tp4564 +a(g827 +g960 +tp4565 +a(g413 +g1185 +tp4566 +a(g827 +g960 +tp4567 +a(g37 +g1188 +tp4568 +a(g706 +g1027 +tp4569 +a(g827 +V\u000a +p4570 +tp4571 +a(g827 +V\u000a +p4572 +tp4573 +a(g827 +V +p4574 +tp4575 +a(g745 +Vreturn +p4576 +tp4577 +a(g827 +g960 +tp4578 +a(g706 +g1016 +tp4579 +a(g436 +VVALUE +p4580 +tp4581 +a(g706 +g1025 +tp4582 +a(g436 +Vary +p4583 +tp4584 +a(g706 +g1027 +tp4585 +a(g827 +V\u000a +p4586 +tp4587 +a(g706 +g1721 +tp4588 +a(g827 +V\u000a +p4589 +tp4590 +a(g827 +V\u000a +p4591 +tp4592 +a(g745 +Vstatic +p4593 +tp4594 +a(g827 +g960 +tp4595 +a(g436 +VVALUE +p4596 +tp4597 +a(g827 +V\u000a +p4598 +tp4599 +a(g436 +Vary_new +p4600 +tp4601 +a(g706 +g1016 +tp4602 +a(g436 +Vklass +p4603 +tp4604 +a(g706 +g1372 +tp4605 +a(g827 +g960 +tp4606 +a(g436 +Vlen +p4607 +tp4608 +a(g706 +g1025 +tp4609 +a(g827 +V\u000a +p4610 +tp4611 +a(g827 +V +p4612 +tp4613 +a(g436 +VVALUE +p4614 +tp4615 +a(g827 +g960 +tp4616 +a(g436 +Vklass +p4617 +tp4618 +a(g706 +g1027 +tp4619 +a(g827 +V\u000a +p4620 +tp4621 +a(g827 +V +p4622 +tp4623 +a(g749 +Vlong +p4624 +tp4625 +a(g827 +g960 +tp4626 +a(g436 +Vlen +p4627 +tp4628 +a(g706 +g1027 +tp4629 +a(g827 +V\u000a +p4630 +tp4631 +a(g706 +g1293 +tp4632 +a(g827 +V\u000a +p4633 +tp4634 +a(g827 +V +p4635 +tp4636 +a(g436 +VVALUE +p4637 +tp4638 +a(g827 +g960 +tp4639 +a(g436 +Vary +p4640 +tp4641 +a(g706 +g1027 +tp4642 +a(g827 +V\u000a +p4643 +tp4644 +a(g827 +V\u000a +p4645 +tp4646 +a(g827 +V +p4647 +tp4648 +a(g745 +Vif +p4649 +tp4650 +a(g827 +g960 +tp4651 +a(g706 +g1016 +tp4652 +a(g436 +Vlen +p4653 +tp4654 +a(g827 +g960 +tp4655 +a(g413 +V< +p4656 +tp4657 +a(g827 +g960 +tp4658 +a(g37 +g1188 +tp4659 +a(g706 +g1025 +tp4660 +a(g827 +g960 +tp4661 +a(g706 +g1293 +tp4662 +a(g827 +V\u000a +p4663 +tp4664 +a(g827 +g1297 +tp4665 +a(g436 +Vrb_raise +p4666 +tp4667 +a(g706 +g1016 +tp4668 +a(g436 +Vrb_eArgError +p4669 +tp4670 +a(g706 +g1372 +tp4671 +a(g827 +g960 +tp4672 +a(g89 +g1375 +tp4673 +a(g89 +Vnegative array size (or size too big) +p4674 +tp4675 +a(g89 +g1375 +tp4676 +a(g706 +g1025 +tp4677 +a(g706 +g1027 +tp4678 +a(g827 +V\u000a +p4679 +tp4680 +a(g827 +V +p4681 +tp4682 +a(g706 +g1721 +tp4683 +a(g827 +V\u000a +p4684 +tp4685 +a(g827 +V +p4686 +tp4687 +a(g745 +Vif +p4688 +tp4689 +a(g827 +g960 +tp4690 +a(g706 +g1016 +tp4691 +a(g436 +Vlen +p4692 +tp4693 +a(g827 +g960 +tp4694 +a(g413 +g1694 +tp4695 +a(g827 +g960 +tp4696 +a(g37 +g1188 +tp4697 +a(g827 +g960 +tp4698 +a(g413 +g4051 +tp4699 +a(g413 +g4051 +tp4700 +a(g827 +g960 +tp4701 +a(g436 +Vlen +p4702 +tp4703 +a(g827 +g960 +tp4704 +a(g413 +g1020 +tp4705 +a(g827 +g960 +tp4706 +a(g745 +Vsizeof +p4707 +tp4708 +a(g706 +g1016 +tp4709 +a(g436 +VVALUE +p4710 +tp4711 +a(g706 +g1025 +tp4712 +a(g827 +g960 +tp4713 +a(g413 +g4656 +tp4714 +a(g413 +g1185 +tp4715 +a(g827 +g960 +tp4716 +a(g436 +Vlen +p4717 +tp4718 +a(g706 +g1025 +tp4719 +a(g827 +g960 +tp4720 +a(g706 +g1293 +tp4721 +a(g827 +V\u000a +p4722 +tp4723 +a(g827 +g1297 +tp4724 +a(g436 +Vrb_raise +p4725 +tp4726 +a(g706 +g1016 +tp4727 +a(g436 +Vrb_eArgError +p4728 +tp4729 +a(g706 +g1372 +tp4730 +a(g827 +g960 +tp4731 +a(g89 +g1375 +tp4732 +a(g89 +Varray size too big +p4733 +tp4734 +a(g89 +g1375 +tp4735 +a(g706 +g1025 +tp4736 +a(g706 +g1027 +tp4737 +a(g827 +V\u000a +p4738 +tp4739 +a(g827 +V +p4740 +tp4741 +a(g706 +g1721 +tp4742 +a(g827 +V\u000a +p4743 +tp4744 +a(g827 +V +p4745 +tp4746 +a(g745 +Vif +p4747 +tp4748 +a(g827 +g960 +tp4749 +a(g706 +g1016 +tp4750 +a(g436 +Vlen +p4751 +tp4752 +a(g827 +g960 +tp4753 +a(g413 +g1185 +tp4754 +a(g413 +g1185 +tp4755 +a(g827 +g960 +tp4756 +a(g37 +g1188 +tp4757 +a(g706 +g1025 +tp4758 +a(g827 +g960 +tp4759 +a(g436 +Vlen +p4760 +tp4761 +a(g413 +g2280 +tp4762 +a(g413 +g2280 +tp4763 +a(g706 +g1027 +tp4764 +a(g827 +V\u000a +p4765 +tp4766 +a(g827 +V \u000a +p4767 +tp4768 +a(g436 +Vary +p4769 +tp4770 +a(g827 +g960 +tp4771 +a(g413 +g1185 +tp4772 +a(g827 +g960 +tp4773 +a(g436 +Vary_alloc +p4774 +tp4775 +a(g706 +g1016 +tp4776 +a(g436 +Vklass +p4777 +tp4778 +a(g706 +g1025 +tp4779 +a(g706 +g1027 +tp4780 +a(g827 +V\u000a +p4781 +tp4782 +a(g827 +V +p4783 +tp4784 +a(g436 +VRARRAY +p4785 +tp4786 +a(g706 +g1016 +tp4787 +a(g436 +Vary +p4788 +tp4789 +a(g706 +g1025 +tp4790 +a(g413 +g1692 +tp4791 +a(g413 +g1694 +tp4792 +a(g436 +Vptr +p4793 +tp4794 +a(g827 +g960 +tp4795 +a(g413 +g1185 +tp4796 +a(g827 +g960 +tp4797 +a(g436 +VALLOC_N +p4798 +tp4799 +a(g706 +g1016 +tp4800 +a(g436 +VVALUE +p4801 +tp4802 +a(g706 +g1372 +tp4803 +a(g827 +g960 +tp4804 +a(g436 +Vlen +p4805 +tp4806 +a(g706 +g1025 +tp4807 +a(g706 +g1027 +tp4808 +a(g827 +V\u000a +p4809 +tp4810 +a(g827 +V +p4811 +tp4812 +a(g436 +VRARRAY +p4813 +tp4814 +a(g706 +g1016 +tp4815 +a(g436 +Vary +p4816 +tp4817 +a(g706 +g1025 +tp4818 +a(g413 +g1692 +tp4819 +a(g413 +g1694 +tp4820 +a(g436 +Vaux +p4821 +tp4822 +a(g706 +g4214 +tp4823 +a(g436 +Vcapa +p4824 +tp4825 +a(g827 +g960 +tp4826 +a(g413 +g1185 +tp4827 +a(g827 +g960 +tp4828 +a(g436 +Vlen +p4829 +tp4830 +a(g706 +g1027 +tp4831 +a(g827 +V\u000a +p4832 +tp4833 +a(g827 +V\u000a +p4834 +tp4835 +a(g827 +V +p4836 +tp4837 +a(g745 +Vreturn +p4838 +tp4839 +a(g827 +g960 +tp4840 +a(g436 +Vary +p4841 +tp4842 +a(g706 +g1027 +tp4843 +a(g827 +V\u000a +p4844 +tp4845 +a(g706 +g1721 +tp4846 +a(g827 +V\u000a +p4847 +tp4848 +a(g827 +V\u000a +p4849 +tp4850 +a(g436 +VVALUE +p4851 +tp4852 +a(g827 +V\u000a +p4853 +tp4854 +a(g436 +Vrb_ary_new2 +p4855 +tp4856 +a(g706 +g1016 +tp4857 +a(g436 +Vlen +p4858 +tp4859 +a(g706 +g1025 +tp4860 +a(g827 +V\u000a +p4861 +tp4862 +a(g827 +V +p4863 +tp4864 +a(g749 +Vlong +p4865 +tp4866 +a(g827 +g960 +tp4867 +a(g436 +Vlen +p4868 +tp4869 +a(g706 +g1027 +tp4870 +a(g827 +V\u000a +p4871 +tp4872 +a(g706 +g1293 +tp4873 +a(g827 +V\u000a +p4874 +tp4875 +a(g827 +V +p4876 +tp4877 +a(g745 +Vreturn +p4878 +tp4879 +a(g827 +g960 +tp4880 +a(g436 +Vary_new +p4881 +tp4882 +a(g706 +g1016 +tp4883 +a(g436 +Vrb_cArray +p4884 +tp4885 +a(g706 +g1372 +tp4886 +a(g827 +g960 +tp4887 +a(g436 +Vlen +p4888 +tp4889 +a(g706 +g1025 +tp4890 +a(g706 +g1027 +tp4891 +a(g827 +V\u000a +p4892 +tp4893 +a(g706 +g1721 +tp4894 +a(g827 +V\u000a +p4895 +tp4896 +a(g827 +V\u000a +p4897 +tp4898 +a(g827 +V\u000a +p4899 +tp4900 +a(g436 +VVALUE +p4901 +tp4902 +a(g827 +V\u000a +p4903 +tp4904 +a(g436 +Vrb_ary_new +p4905 +tp4906 +a(g706 +g1016 +tp4907 +a(g706 +g1025 +tp4908 +a(g827 +V\u000a +p4909 +tp4910 +a(g706 +g1293 +tp4911 +a(g827 +V\u000a +p4912 +tp4913 +a(g827 +V +p4914 +tp4915 +a(g745 +Vreturn +p4916 +tp4917 +a(g827 +g960 +tp4918 +a(g436 +Vrb_ary_new2 +p4919 +tp4920 +a(g706 +g1016 +tp4921 +a(g436 +VARY_DEFAULT_SIZE +p4922 +tp4923 +a(g706 +g1025 +tp4924 +a(g706 +g1027 +tp4925 +a(g827 +V\u000a +p4926 +tp4927 +a(g706 +g1721 +tp4928 +a(g827 +V\u000a +p4929 +tp4930 +a(g827 +V\u000a +p4931 +tp4932 +a(g8 +g956 +tp4933 +a(g8 +Vifdef HAVE_STDARG_PROTOTYPES +p4934 +tp4935 +a(g8 +V\u000a +p4936 +tp4937 +a(g8 +g956 +tp4938 +a(g8 +Vinclude +p4939 +tp4940 +a(g827 +g960 +tp4941 +a(g938 +V +p4942 +tp4943 +a(g8 +V\u000a +p4944 +tp4945 +a(g8 +g956 +tp4946 +a(g8 +Vdefine va_init_list(a,b) va_start(a,b) +p4947 +tp4948 +a(g8 +V\u000a +p4949 +tp4950 +a(g8 +g956 +tp4951 +a(g8 +Velse +p4952 +tp4953 +a(g8 +V\u000a +p4954 +tp4955 +a(g8 +g956 +tp4956 +a(g8 +Vinclude +p4957 +tp4958 +a(g827 +g960 +tp4959 +a(g938 +V +p4960 +tp4961 +a(g8 +V\u000a +p4962 +tp4963 +a(g8 +g956 +tp4964 +a(g8 +Vdefine va_init_list(a,b) va_start(a) +p4965 +tp4966 +a(g8 +V\u000a +p4967 +tp4968 +a(g8 +g956 +tp4969 +a(g8 +Vendif +p4970 +tp4971 +a(g8 +V\u000a +p4972 +tp4973 +a(g827 +V\u000a +p4974 +tp4975 +a(g436 +VVALUE +p4976 +tp4977 +a(g827 +V\u000a +p4978 +tp4979 +a(g8 +g956 +tp4980 +a(g8 +Vifdef HAVE_STDARG_PROTOTYPES +p4981 +tp4982 +a(g8 +V\u000a +p4983 +tp4984 +a(g436 +Vrb_ary_new3 +p4985 +tp4986 +a(g706 +g1016 +tp4987 +a(g749 +Vlong +p4988 +tp4989 +a(g827 +g960 +tp4990 +a(g436 +Vn +p4991 +tp4992 +a(g706 +g1372 +tp4993 +a(g827 +g960 +tp4994 +a(g706 +g4214 +tp4995 +a(g706 +g4214 +tp4996 +a(g706 +g4214 +tp4997 +a(g706 +g1025 +tp4998 +a(g827 +V\u000a +p4999 +tp5000 +a(g8 +g956 +tp5001 +a(g8 +Velse +p5002 +tp5003 +a(g8 +V\u000a +p5004 +tp5005 +a(g436 +Vrb_ary_new3 +p5006 +tp5007 +a(g706 +g1016 +tp5008 +a(g436 +g4991 +tp5009 +a(g706 +g1372 +tp5010 +a(g827 +g960 +tp5011 +a(g436 +Vva_alist +p5012 +tp5013 +a(g706 +g1025 +tp5014 +a(g827 +V\u000a +p5015 +tp5016 +a(g827 +V +p5017 +tp5018 +a(g749 +Vlong +p5019 +tp5020 +a(g827 +g960 +tp5021 +a(g436 +g4991 +tp5022 +a(g706 +g1027 +tp5023 +a(g827 +V\u000a +p5024 +tp5025 +a(g827 +V +p5026 +tp5027 +a(g436 +Vva_dcl +p5028 +tp5029 +a(g827 +V\u000a +p5030 +tp5031 +a(g8 +g956 +tp5032 +a(g8 +Vendif +p5033 +tp5034 +a(g8 +V\u000a +p5035 +tp5036 +a(g706 +g1293 +tp5037 +a(g827 +V\u000a +p5038 +tp5039 +a(g827 +V +p5040 +tp5041 +a(g749 +Vva_list +p5042 +tp5043 +a(g827 +g960 +tp5044 +a(g436 +Var +p5045 +tp5046 +a(g706 +g1027 +tp5047 +a(g827 +V\u000a +p5048 +tp5049 +a(g827 +V +p5050 +tp5051 +a(g436 +VVALUE +p5052 +tp5053 +a(g827 +g960 +tp5054 +a(g436 +Vary +p5055 +tp5056 +a(g706 +g1027 +tp5057 +a(g827 +V\u000a +p5058 +tp5059 +a(g827 +V +p5060 +tp5061 +a(g749 +Vlong +p5062 +tp5063 +a(g827 +g960 +tp5064 +a(g436 +g2597 +tp5065 +a(g706 +g1027 +tp5066 +a(g827 +V\u000a +p5067 +tp5068 +a(g827 +V\u000a +p5069 +tp5070 +a(g827 +V +p5071 +tp5072 +a(g436 +Vary +p5073 +tp5074 +a(g827 +g960 +tp5075 +a(g413 +g1185 +tp5076 +a(g827 +g960 +tp5077 +a(g436 +Vrb_ary_new2 +p5078 +tp5079 +a(g706 +g1016 +tp5080 +a(g436 +g4991 +tp5081 +a(g706 +g1025 +tp5082 +a(g706 +g1027 +tp5083 +a(g827 +V\u000a +p5084 +tp5085 +a(g827 +V\u000a +p5086 +tp5087 +a(g827 +V +p5088 +tp5089 +a(g436 +Vva_init_list +p5090 +tp5091 +a(g706 +g1016 +tp5092 +a(g436 +Var +p5093 +tp5094 +a(g706 +g1372 +tp5095 +a(g827 +g960 +tp5096 +a(g436 +g4991 +tp5097 +a(g706 +g1025 +tp5098 +a(g706 +g1027 +tp5099 +a(g827 +V\u000a +p5100 +tp5101 +a(g827 +V +p5102 +tp5103 +a(g745 +Vfor +p5104 +tp5105 +a(g827 +g960 +tp5106 +a(g706 +g1016 +tp5107 +a(g436 +g2597 +tp5108 +a(g413 +g1185 +tp5109 +a(g37 +g1188 +tp5110 +a(g706 +g1027 +tp5111 +a(g827 +g960 +tp5112 +a(g436 +g2597 +tp5113 +a(g413 +g4656 +tp5114 +a(g436 +g4991 +tp5115 +a(g706 +g1027 +tp5116 +a(g827 +g960 +tp5117 +a(g436 +g2597 +tp5118 +a(g413 +g2280 +tp5119 +a(g413 +g2280 +tp5120 +a(g706 +g1025 +tp5121 +a(g827 +g960 +tp5122 +a(g706 +g1293 +tp5123 +a(g827 +V\u000a +p5124 +tp5125 +a(g827 +g1297 +tp5126 +a(g436 +VRARRAY +p5127 +tp5128 +a(g706 +g1016 +tp5129 +a(g436 +Vary +p5130 +tp5131 +a(g706 +g1025 +tp5132 +a(g413 +g1692 +tp5133 +a(g413 +g1694 +tp5134 +a(g436 +Vptr +p5135 +tp5136 +a(g706 +g1217 +tp5137 +a(g436 +g2597 +tp5138 +a(g706 +g1221 +tp5139 +a(g827 +g960 +tp5140 +a(g413 +g1185 +tp5141 +a(g827 +g960 +tp5142 +a(g436 +Vva_arg +p5143 +tp5144 +a(g706 +g1016 +tp5145 +a(g436 +Var +p5146 +tp5147 +a(g706 +g1372 +tp5148 +a(g827 +g960 +tp5149 +a(g436 +VVALUE +p5150 +tp5151 +a(g706 +g1025 +tp5152 +a(g706 +g1027 +tp5153 +a(g827 +V\u000a +p5154 +tp5155 +a(g827 +V +p5156 +tp5157 +a(g706 +g1721 +tp5158 +a(g827 +V\u000a +p5159 +tp5160 +a(g827 +V +p5161 +tp5162 +a(g436 +Vva_end +p5163 +tp5164 +a(g706 +g1016 +tp5165 +a(g436 +Var +p5166 +tp5167 +a(g706 +g1025 +tp5168 +a(g706 +g1027 +tp5169 +a(g827 +V\u000a +p5170 +tp5171 +a(g827 +V\u000a +p5172 +tp5173 +a(g827 +V +p5174 +tp5175 +a(g436 +VRARRAY +p5176 +tp5177 +a(g706 +g1016 +tp5178 +a(g436 +Vary +p5179 +tp5180 +a(g706 +g1025 +tp5181 +a(g413 +g1692 +tp5182 +a(g413 +g1694 +tp5183 +a(g436 +Vlen +p5184 +tp5185 +a(g827 +g960 +tp5186 +a(g413 +g1185 +tp5187 +a(g827 +g960 +tp5188 +a(g436 +g4991 +tp5189 +a(g706 +g1027 +tp5190 +a(g827 +V\u000a +p5191 +tp5192 +a(g827 +V +p5193 +tp5194 +a(g745 +Vreturn +p5195 +tp5196 +a(g827 +g960 +tp5197 +a(g436 +Vary +p5198 +tp5199 +a(g706 +g1027 +tp5200 +a(g827 +V\u000a +p5201 +tp5202 +a(g706 +g1721 +tp5203 +a(g827 +V\u000a +p5204 +tp5205 +a(g827 +V\u000a +p5206 +tp5207 +a(g436 +VVALUE +p5208 +tp5209 +a(g827 +V\u000a +p5210 +tp5211 +a(g436 +Vrb_ary_new4 +p5212 +tp5213 +a(g706 +g1016 +tp5214 +a(g436 +g4991 +tp5215 +a(g706 +g1372 +tp5216 +a(g827 +g960 +tp5217 +a(g436 +Velts +p5218 +tp5219 +a(g706 +g1025 +tp5220 +a(g827 +V\u000a +p5221 +tp5222 +a(g827 +V +p5223 +tp5224 +a(g749 +Vlong +p5225 +tp5226 +a(g827 +g960 +tp5227 +a(g436 +g4991 +tp5228 +a(g706 +g1027 +tp5229 +a(g827 +V\u000a +p5230 +tp5231 +a(g827 +V +p5232 +tp5233 +a(g745 +Vconst +p5234 +tp5235 +a(g827 +g960 +tp5236 +a(g436 +VVALUE +p5237 +tp5238 +a(g827 +g960 +tp5239 +a(g413 +g1020 +tp5240 +a(g436 +Velts +p5241 +tp5242 +a(g706 +g1027 +tp5243 +a(g827 +V\u000a +p5244 +tp5245 +a(g706 +g1293 +tp5246 +a(g827 +V\u000a +p5247 +tp5248 +a(g827 +V +p5249 +tp5250 +a(g436 +VVALUE +p5251 +tp5252 +a(g827 +g960 +tp5253 +a(g436 +Vary +p5254 +tp5255 +a(g706 +g1027 +tp5256 +a(g827 +V\u000a +p5257 +tp5258 +a(g827 +V\u000a +p5259 +tp5260 +a(g827 +V +p5261 +tp5262 +a(g436 +Vary +p5263 +tp5264 +a(g827 +g960 +tp5265 +a(g413 +g1185 +tp5266 +a(g827 +g960 +tp5267 +a(g436 +Vrb_ary_new2 +p5268 +tp5269 +a(g706 +g1016 +tp5270 +a(g436 +g4991 +tp5271 +a(g706 +g1025 +tp5272 +a(g706 +g1027 +tp5273 +a(g827 +V\u000a +p5274 +tp5275 +a(g827 +V +p5276 +tp5277 +a(g745 +Vif +p5278 +tp5279 +a(g827 +g960 +tp5280 +a(g706 +g1016 +tp5281 +a(g436 +g4991 +tp5282 +a(g827 +g960 +tp5283 +a(g413 +g1694 +tp5284 +a(g827 +g960 +tp5285 +a(g37 +g1188 +tp5286 +a(g827 +g960 +tp5287 +a(g413 +g4051 +tp5288 +a(g413 +g4051 +tp5289 +a(g827 +g960 +tp5290 +a(g436 +Velts +p5291 +tp5292 +a(g706 +g1025 +tp5293 +a(g827 +g960 +tp5294 +a(g706 +g1293 +tp5295 +a(g827 +V\u000a +p5296 +tp5297 +a(g827 +g1297 +tp5298 +a(g436 +VMEMCPY +p5299 +tp5300 +a(g706 +g1016 +tp5301 +a(g436 +VRARRAY +p5302 +tp5303 +a(g706 +g1016 +tp5304 +a(g436 +Vary +p5305 +tp5306 +a(g706 +g1025 +tp5307 +a(g413 +g1692 +tp5308 +a(g413 +g1694 +tp5309 +a(g436 +Vptr +p5310 +tp5311 +a(g706 +g1372 +tp5312 +a(g827 +g960 +tp5313 +a(g436 +Velts +p5314 +tp5315 +a(g706 +g1372 +tp5316 +a(g827 +g960 +tp5317 +a(g436 +VVALUE +p5318 +tp5319 +a(g706 +g1372 +tp5320 +a(g827 +g960 +tp5321 +a(g436 +g4991 +tp5322 +a(g706 +g1025 +tp5323 +a(g706 +g1027 +tp5324 +a(g827 +V\u000a +p5325 +tp5326 +a(g827 +V +p5327 +tp5328 +a(g706 +g1721 +tp5329 +a(g827 +V\u000a +p5330 +tp5331 +a(g827 +V +p5332 +tp5333 +a(g436 +VRARRAY +p5334 +tp5335 +a(g706 +g1016 +tp5336 +a(g436 +Vary +p5337 +tp5338 +a(g706 +g1025 +tp5339 +a(g413 +g1692 +tp5340 +a(g413 +g1694 +tp5341 +a(g436 +Vlen +p5342 +tp5343 +a(g827 +g960 +tp5344 +a(g413 +g1185 +tp5345 +a(g827 +g960 +tp5346 +a(g436 +g4991 +tp5347 +a(g706 +g1027 +tp5348 +a(g827 +V\u000a +p5349 +tp5350 +a(g827 +V\u000a +p5351 +tp5352 +a(g827 +V +p5353 +tp5354 +a(g745 +Vreturn +p5355 +tp5356 +a(g827 +g960 +tp5357 +a(g436 +Vary +p5358 +tp5359 +a(g706 +g1027 +tp5360 +a(g827 +V\u000a +p5361 +tp5362 +a(g706 +g1721 +tp5363 +a(g827 +V\u000a +p5364 +tp5365 +a(g827 +V\u000a +p5366 +tp5367 +a(g436 +VVALUE +p5368 +tp5369 +a(g827 +V\u000a +p5370 +tp5371 +a(g8 +g956 +tp5372 +a(g8 +Vifdef HAVE_STDARG_PROTOTYPES +p5373 +tp5374 +a(g8 +V\u000a +p5375 +tp5376 +a(g436 +Vrb_values_new +p5377 +tp5378 +a(g706 +g1016 +tp5379 +a(g749 +Vlong +p5380 +tp5381 +a(g827 +g960 +tp5382 +a(g436 +g4991 +tp5383 +a(g706 +g1372 +tp5384 +a(g827 +g960 +tp5385 +a(g706 +g4214 +tp5386 +a(g706 +g4214 +tp5387 +a(g706 +g4214 +tp5388 +a(g706 +g1025 +tp5389 +a(g827 +V\u000a +p5390 +tp5391 +a(g8 +g956 +tp5392 +a(g8 +Velse +p5393 +tp5394 +a(g8 +V\u000a +p5395 +tp5396 +a(g436 +Vrb_values_new +p5397 +tp5398 +a(g706 +g1016 +tp5399 +a(g436 +g4991 +tp5400 +a(g706 +g1372 +tp5401 +a(g827 +g960 +tp5402 +a(g436 +Vva_alist +p5403 +tp5404 +a(g706 +g1025 +tp5405 +a(g827 +V\u000a +p5406 +tp5407 +a(g827 +V +p5408 +tp5409 +a(g749 +Vlong +p5410 +tp5411 +a(g827 +g960 +tp5412 +a(g436 +g4991 +tp5413 +a(g706 +g1027 +tp5414 +a(g827 +V\u000a +p5415 +tp5416 +a(g827 +V +p5417 +tp5418 +a(g436 +Vva_dcl +p5419 +tp5420 +a(g827 +V\u000a +p5421 +tp5422 +a(g8 +g956 +tp5423 +a(g8 +Vendif +p5424 +tp5425 +a(g8 +V\u000a +p5426 +tp5427 +a(g706 +g1293 +tp5428 +a(g827 +V\u000a +p5429 +tp5430 +a(g827 +V +p5431 +tp5432 +a(g749 +Vva_list +p5433 +tp5434 +a(g827 +g960 +tp5435 +a(g436 +Var +p5436 +tp5437 +a(g706 +g1027 +tp5438 +a(g827 +V\u000a +p5439 +tp5440 +a(g827 +V +p5441 +tp5442 +a(g436 +VVALUE +p5443 +tp5444 +a(g827 +g960 +tp5445 +a(g436 +Vval +p5446 +tp5447 +a(g706 +g1027 +tp5448 +a(g827 +V\u000a +p5449 +tp5450 +a(g827 +V +p5451 +tp5452 +a(g749 +Vlong +p5453 +tp5454 +a(g827 +g960 +tp5455 +a(g436 +g2597 +tp5456 +a(g706 +g1027 +tp5457 +a(g827 +V\u000a +p5458 +tp5459 +a(g827 +V\u000a +p5460 +tp5461 +a(g827 +V +p5462 +tp5463 +a(g436 +Vval +p5464 +tp5465 +a(g827 +g960 +tp5466 +a(g413 +g1185 +tp5467 +a(g827 +g960 +tp5468 +a(g436 +Vary_new +p5469 +tp5470 +a(g706 +g1016 +tp5471 +a(g436 +Vrb_cValues +p5472 +tp5473 +a(g706 +g1372 +tp5474 +a(g827 +g960 +tp5475 +a(g436 +g4991 +tp5476 +a(g706 +g1025 +tp5477 +a(g706 +g1027 +tp5478 +a(g827 +V\u000a +p5479 +tp5480 +a(g827 +V +p5481 +tp5482 +a(g436 +Vva_init_list +p5483 +tp5484 +a(g706 +g1016 +tp5485 +a(g436 +Var +p5486 +tp5487 +a(g706 +g1372 +tp5488 +a(g827 +g960 +tp5489 +a(g436 +g4991 +tp5490 +a(g706 +g1025 +tp5491 +a(g706 +g1027 +tp5492 +a(g827 +V\u000a +p5493 +tp5494 +a(g827 +V +p5495 +tp5496 +a(g745 +Vfor +p5497 +tp5498 +a(g827 +g960 +tp5499 +a(g706 +g1016 +tp5500 +a(g436 +g2597 +tp5501 +a(g413 +g1185 +tp5502 +a(g37 +g1188 +tp5503 +a(g706 +g1027 +tp5504 +a(g827 +g960 +tp5505 +a(g436 +g2597 +tp5506 +a(g413 +g4656 +tp5507 +a(g436 +g4991 +tp5508 +a(g706 +g1027 +tp5509 +a(g827 +g960 +tp5510 +a(g436 +g2597 +tp5511 +a(g413 +g2280 +tp5512 +a(g413 +g2280 +tp5513 +a(g706 +g1025 +tp5514 +a(g827 +g960 +tp5515 +a(g706 +g1293 +tp5516 +a(g827 +V\u000a +p5517 +tp5518 +a(g827 +g1297 +tp5519 +a(g436 +VRARRAY +p5520 +tp5521 +a(g706 +g1016 +tp5522 +a(g436 +Vval +p5523 +tp5524 +a(g706 +g1025 +tp5525 +a(g413 +g1692 +tp5526 +a(g413 +g1694 +tp5527 +a(g436 +Vptr +p5528 +tp5529 +a(g706 +g1217 +tp5530 +a(g436 +g2597 +tp5531 +a(g706 +g1221 +tp5532 +a(g827 +g960 +tp5533 +a(g413 +g1185 +tp5534 +a(g827 +g960 +tp5535 +a(g436 +Vva_arg +p5536 +tp5537 +a(g706 +g1016 +tp5538 +a(g436 +Var +p5539 +tp5540 +a(g706 +g1372 +tp5541 +a(g827 +g960 +tp5542 +a(g436 +VVALUE +p5543 +tp5544 +a(g706 +g1025 +tp5545 +a(g706 +g1027 +tp5546 +a(g827 +V\u000a +p5547 +tp5548 +a(g827 +V +p5549 +tp5550 +a(g706 +g1721 +tp5551 +a(g827 +V\u000a +p5552 +tp5553 +a(g827 +V +p5554 +tp5555 +a(g436 +Vva_end +p5556 +tp5557 +a(g706 +g1016 +tp5558 +a(g436 +Var +p5559 +tp5560 +a(g706 +g1025 +tp5561 +a(g706 +g1027 +tp5562 +a(g827 +V\u000a +p5563 +tp5564 +a(g827 +V +p5565 +tp5566 +a(g436 +VRARRAY +p5567 +tp5568 +a(g706 +g1016 +tp5569 +a(g436 +Vval +p5570 +tp5571 +a(g706 +g1025 +tp5572 +a(g413 +g1692 +tp5573 +a(g413 +g1694 +tp5574 +a(g436 +Vlen +p5575 +tp5576 +a(g827 +g960 +tp5577 +a(g413 +g1185 +tp5578 +a(g827 +g960 +tp5579 +a(g436 +g4991 +tp5580 +a(g706 +g1027 +tp5581 +a(g827 +V\u000a +p5582 +tp5583 +a(g827 +V\u000a +p5584 +tp5585 +a(g827 +V +p5586 +tp5587 +a(g745 +Vreturn +p5588 +tp5589 +a(g827 +g960 +tp5590 +a(g436 +Vval +p5591 +tp5592 +a(g706 +g1027 +tp5593 +a(g827 +V\u000a +p5594 +tp5595 +a(g706 +g1721 +tp5596 +a(g827 +V\u000a +p5597 +tp5598 +a(g827 +V\u000a +p5599 +tp5600 +a(g436 +VVALUE +p5601 +tp5602 +a(g827 +V\u000a +p5603 +tp5604 +a(g436 +Vrb_values_new2 +p5605 +tp5606 +a(g706 +g1016 +tp5607 +a(g436 +g4991 +tp5608 +a(g706 +g1372 +tp5609 +a(g827 +g960 +tp5610 +a(g436 +Velts +p5611 +tp5612 +a(g706 +g1025 +tp5613 +a(g827 +V\u000a +p5614 +tp5615 +a(g827 +V +p5616 +tp5617 +a(g749 +Vlong +p5618 +tp5619 +a(g827 +g960 +tp5620 +a(g436 +g4991 +tp5621 +a(g706 +g1027 +tp5622 +a(g827 +V\u000a +p5623 +tp5624 +a(g827 +V +p5625 +tp5626 +a(g745 +Vconst +p5627 +tp5628 +a(g827 +g960 +tp5629 +a(g436 +VVALUE +p5630 +tp5631 +a(g827 +g960 +tp5632 +a(g413 +g1020 +tp5633 +a(g436 +Velts +p5634 +tp5635 +a(g706 +g1027 +tp5636 +a(g827 +V\u000a +p5637 +tp5638 +a(g706 +g1293 +tp5639 +a(g827 +V\u000a +p5640 +tp5641 +a(g827 +V +p5642 +tp5643 +a(g436 +VVALUE +p5644 +tp5645 +a(g827 +g960 +tp5646 +a(g436 +Vval +p5647 +tp5648 +a(g706 +g1027 +tp5649 +a(g827 +V\u000a +p5650 +tp5651 +a(g827 +V\u000a +p5652 +tp5653 +a(g827 +V +p5654 +tp5655 +a(g436 +Vval +p5656 +tp5657 +a(g827 +g960 +tp5658 +a(g413 +g1185 +tp5659 +a(g827 +g960 +tp5660 +a(g436 +Vary_new +p5661 +tp5662 +a(g706 +g1016 +tp5663 +a(g436 +Vrb_cValues +p5664 +tp5665 +a(g706 +g1372 +tp5666 +a(g827 +g960 +tp5667 +a(g436 +g4991 +tp5668 +a(g706 +g1025 +tp5669 +a(g706 +g1027 +tp5670 +a(g827 +V\u000a +p5671 +tp5672 +a(g827 +V +p5673 +tp5674 +a(g745 +Vif +p5675 +tp5676 +a(g827 +g960 +tp5677 +a(g706 +g1016 +tp5678 +a(g436 +g4991 +tp5679 +a(g827 +g960 +tp5680 +a(g413 +g1694 +tp5681 +a(g827 +g960 +tp5682 +a(g37 +g1188 +tp5683 +a(g827 +g960 +tp5684 +a(g413 +g4051 +tp5685 +a(g413 +g4051 +tp5686 +a(g827 +g960 +tp5687 +a(g436 +Velts +p5688 +tp5689 +a(g706 +g1025 +tp5690 +a(g827 +g960 +tp5691 +a(g706 +g1293 +tp5692 +a(g827 +V\u000a +p5693 +tp5694 +a(g827 +g1297 +tp5695 +a(g436 +VRARRAY +p5696 +tp5697 +a(g706 +g1016 +tp5698 +a(g436 +Vval +p5699 +tp5700 +a(g706 +g1025 +tp5701 +a(g413 +g1692 +tp5702 +a(g413 +g1694 +tp5703 +a(g436 +Vlen +p5704 +tp5705 +a(g827 +g960 +tp5706 +a(g413 +g1185 +tp5707 +a(g827 +g960 +tp5708 +a(g436 +g4991 +tp5709 +a(g706 +g1027 +tp5710 +a(g827 +V\u000a +p5711 +tp5712 +a(g827 +g1297 +tp5713 +a(g436 +VMEMCPY +p5714 +tp5715 +a(g706 +g1016 +tp5716 +a(g436 +VRARRAY +p5717 +tp5718 +a(g706 +g1016 +tp5719 +a(g436 +Vval +p5720 +tp5721 +a(g706 +g1025 +tp5722 +a(g413 +g1692 +tp5723 +a(g413 +g1694 +tp5724 +a(g436 +Vptr +p5725 +tp5726 +a(g706 +g1372 +tp5727 +a(g827 +g960 +tp5728 +a(g436 +Velts +p5729 +tp5730 +a(g706 +g1372 +tp5731 +a(g827 +g960 +tp5732 +a(g436 +VVALUE +p5733 +tp5734 +a(g706 +g1372 +tp5735 +a(g827 +g960 +tp5736 +a(g436 +g4991 +tp5737 +a(g706 +g1025 +tp5738 +a(g706 +g1027 +tp5739 +a(g827 +V\u000a +p5740 +tp5741 +a(g827 +V +p5742 +tp5743 +a(g706 +g1721 +tp5744 +a(g827 +V\u000a +p5745 +tp5746 +a(g827 +V\u000a +p5747 +tp5748 +a(g827 +V +p5749 +tp5750 +a(g745 +Vreturn +p5751 +tp5752 +a(g827 +g960 +tp5753 +a(g436 +Vval +p5754 +tp5755 +a(g706 +g1027 +tp5756 +a(g827 +V\u000a +p5757 +tp5758 +a(g706 +g1721 +tp5759 +a(g827 +V\u000a +p5760 +tp5761 +a(g827 +V\u000a +p5762 +tp5763 +a(g745 +Vstatic +p5764 +tp5765 +a(g827 +g960 +tp5766 +a(g436 +VVALUE +p5767 +tp5768 +a(g827 +V\u000a +p5769 +tp5770 +a(g436 +Vary_make_shared +p5771 +tp5772 +a(g706 +g1016 +tp5773 +a(g436 +Vary +p5774 +tp5775 +a(g706 +g1025 +tp5776 +a(g827 +V\u000a +p5777 +tp5778 +a(g827 +V +p5779 +tp5780 +a(g436 +VVALUE +p5781 +tp5782 +a(g827 +g960 +tp5783 +a(g436 +Vary +p5784 +tp5785 +a(g706 +g1027 +tp5786 +a(g827 +V\u000a +p5787 +tp5788 +a(g706 +g1293 +tp5789 +a(g827 +V\u000a +p5790 +tp5791 +a(g827 +V +p5792 +tp5793 +a(g745 +Vif +p5794 +tp5795 +a(g827 +g960 +tp5796 +a(g706 +g1016 +tp5797 +a(g413 +g2490 +tp5798 +a(g436 +VFL_TEST +p5799 +tp5800 +a(g706 +g1016 +tp5801 +a(g436 +Vary +p5802 +tp5803 +a(g706 +g1372 +tp5804 +a(g827 +g960 +tp5805 +a(g436 +VELTS_SHARED +p5806 +tp5807 +a(g706 +g1025 +tp5808 +a(g706 +g1025 +tp5809 +a(g827 +g960 +tp5810 +a(g706 +g1293 +tp5811 +a(g827 +V\u000a +p5812 +tp5813 +a(g827 +g1297 +tp5814 +a(g436 +VNEWOBJ +p5815 +tp5816 +a(g706 +g1016 +tp5817 +a(g436 +Vshared +p5818 +tp5819 +a(g706 +g1372 +tp5820 +a(g827 +g960 +tp5821 +a(g745 +Vstruct +p5822 +tp5823 +a(g827 +g960 +tp5824 +a(g436 +VRArray +p5825 +tp5826 +a(g706 +g1025 +tp5827 +a(g706 +g1027 +tp5828 +a(g827 +V\u000a +p5829 +tp5830 +a(g827 +g1297 +tp5831 +a(g436 +VOBJSETUP +p5832 +tp5833 +a(g706 +g1016 +tp5834 +a(g436 +Vshared +p5835 +tp5836 +a(g706 +g1372 +tp5837 +a(g827 +g960 +tp5838 +a(g436 +Vrb_cArray +p5839 +tp5840 +a(g706 +g1372 +tp5841 +a(g827 +g960 +tp5842 +a(g436 +VT_ARRAY +p5843 +tp5844 +a(g706 +g1025 +tp5845 +a(g706 +g1027 +tp5846 +a(g827 +V\u000a +p5847 +tp5848 +a(g827 +V\u000a +p5849 +tp5850 +a(g827 +g1297 +tp5851 +a(g436 +Vshared +p5852 +tp5853 +a(g413 +g1692 +tp5854 +a(g413 +g1694 +tp5855 +a(g436 +Vlen +p5856 +tp5857 +a(g827 +g960 +tp5858 +a(g413 +g1185 +tp5859 +a(g827 +g960 +tp5860 +a(g436 +VRARRAY +p5861 +tp5862 +a(g706 +g1016 +tp5863 +a(g436 +Vary +p5864 +tp5865 +a(g706 +g1025 +tp5866 +a(g413 +g1692 +tp5867 +a(g413 +g1694 +tp5868 +a(g436 +Vlen +p5869 +tp5870 +a(g706 +g1027 +tp5871 +a(g827 +V\u000a +p5872 +tp5873 +a(g827 +g1297 +tp5874 +a(g436 +Vshared +p5875 +tp5876 +a(g413 +g1692 +tp5877 +a(g413 +g1694 +tp5878 +a(g436 +Vptr +p5879 +tp5880 +a(g827 +g960 +tp5881 +a(g413 +g1185 +tp5882 +a(g827 +g960 +tp5883 +a(g436 +VRARRAY +p5884 +tp5885 +a(g706 +g1016 +tp5886 +a(g436 +Vary +p5887 +tp5888 +a(g706 +g1025 +tp5889 +a(g413 +g1692 +tp5890 +a(g413 +g1694 +tp5891 +a(g436 +Vptr +p5892 +tp5893 +a(g706 +g1027 +tp5894 +a(g827 +V\u000a +p5895 +tp5896 +a(g827 +g1297 +tp5897 +a(g436 +Vshared +p5898 +tp5899 +a(g413 +g1692 +tp5900 +a(g413 +g1694 +tp5901 +a(g436 +Vaux +p5902 +tp5903 +a(g706 +g4214 +tp5904 +a(g436 +Vcapa +p5905 +tp5906 +a(g827 +g960 +tp5907 +a(g413 +g1185 +tp5908 +a(g827 +g960 +tp5909 +a(g436 +VRARRAY +p5910 +tp5911 +a(g706 +g1016 +tp5912 +a(g436 +Vary +p5913 +tp5914 +a(g706 +g1025 +tp5915 +a(g413 +g1692 +tp5916 +a(g413 +g1694 +tp5917 +a(g436 +Vaux +p5918 +tp5919 +a(g706 +g4214 +tp5920 +a(g436 +Vcapa +p5921 +tp5922 +a(g706 +g1027 +tp5923 +a(g827 +V\u000a +p5924 +tp5925 +a(g827 +g1297 +tp5926 +a(g436 +VRARRAY +p5927 +tp5928 +a(g706 +g1016 +tp5929 +a(g436 +Vary +p5930 +tp5931 +a(g706 +g1025 +tp5932 +a(g413 +g1692 +tp5933 +a(g413 +g1694 +tp5934 +a(g436 +Vaux +p5935 +tp5936 +a(g706 +g4214 +tp5937 +a(g436 +Vshared +p5938 +tp5939 +a(g827 +g960 +tp5940 +a(g413 +g1185 +tp5941 +a(g827 +g960 +tp5942 +a(g706 +g1016 +tp5943 +a(g436 +VVALUE +p5944 +tp5945 +a(g706 +g1025 +tp5946 +a(g436 +Vshared +p5947 +tp5948 +a(g706 +g1027 +tp5949 +a(g827 +V\u000a +p5950 +tp5951 +a(g827 +g1297 +tp5952 +a(g436 +VFL_SET +p5953 +tp5954 +a(g706 +g1016 +tp5955 +a(g436 +Vary +p5956 +tp5957 +a(g706 +g1372 +tp5958 +a(g827 +g960 +tp5959 +a(g436 +VELTS_SHARED +p5960 +tp5961 +a(g706 +g1025 +tp5962 +a(g706 +g1027 +tp5963 +a(g827 +V\u000a +p5964 +tp5965 +a(g827 +g1297 +tp5966 +a(g436 +VOBJ_FREEZE +p5967 +tp5968 +a(g706 +g1016 +tp5969 +a(g436 +Vshared +p5970 +tp5971 +a(g706 +g1025 +tp5972 +a(g706 +g1027 +tp5973 +a(g827 +V\u000a +p5974 +tp5975 +a(g827 +g1297 +tp5976 +a(g745 +Vreturn +p5977 +tp5978 +a(g827 +g960 +tp5979 +a(g706 +g1016 +tp5980 +a(g436 +VVALUE +p5981 +tp5982 +a(g706 +g1025 +tp5983 +a(g436 +Vshared +p5984 +tp5985 +a(g706 +g1027 +tp5986 +a(g827 +V\u000a +p5987 +tp5988 +a(g827 +V +p5989 +tp5990 +a(g706 +g1721 +tp5991 +a(g827 +V\u000a +p5992 +tp5993 +a(g827 +V +p5994 +tp5995 +a(g745 +Velse +p5996 +tp5997 +a(g827 +g960 +tp5998 +a(g706 +g1293 +tp5999 +a(g827 +V\u000a +p6000 +tp6001 +a(g827 +g1297 +tp6002 +a(g745 +Vreturn +p6003 +tp6004 +a(g827 +g960 +tp6005 +a(g436 +VRARRAY +p6006 +tp6007 +a(g706 +g1016 +tp6008 +a(g436 +Vary +p6009 +tp6010 +a(g706 +g1025 +tp6011 +a(g413 +g1692 +tp6012 +a(g413 +g1694 +tp6013 +a(g436 +Vaux +p6014 +tp6015 +a(g706 +g4214 +tp6016 +a(g436 +Vshared +p6017 +tp6018 +a(g706 +g1027 +tp6019 +a(g827 +V\u000a +p6020 +tp6021 +a(g827 +V +p6022 +tp6023 +a(g706 +g1721 +tp6024 +a(g827 +V\u000a +p6025 +tp6026 +a(g706 +g1721 +tp6027 +a(g827 +V\u000a +p6028 +tp6029 +a(g827 +V\u000a +p6030 +tp6031 +a(g745 +Vstatic +p6032 +tp6033 +a(g827 +g960 +tp6034 +a(g436 +VVALUE +p6035 +tp6036 +a(g827 +V\u000a +p6037 +tp6038 +a(g436 +Vary_shared_array +p6039 +tp6040 +a(g706 +g1016 +tp6041 +a(g436 +Vklass +p6042 +tp6043 +a(g706 +g1372 +tp6044 +a(g827 +g960 +tp6045 +a(g436 +Vary +p6046 +tp6047 +a(g706 +g1025 +tp6048 +a(g827 +V\u000a +p6049 +tp6050 +a(g827 +V +p6051 +tp6052 +a(g436 +VVALUE +p6053 +tp6054 +a(g827 +g960 +tp6055 +a(g436 +Vklass +p6056 +tp6057 +a(g706 +g1372 +tp6058 +a(g827 +g960 +tp6059 +a(g436 +Vary +p6060 +tp6061 +a(g706 +g1027 +tp6062 +a(g827 +V\u000a +p6063 +tp6064 +a(g706 +g1293 +tp6065 +a(g827 +V\u000a +p6066 +tp6067 +a(g827 +V +p6068 +tp6069 +a(g436 +VVALUE +p6070 +tp6071 +a(g827 +g960 +tp6072 +a(g436 +Vval +p6073 +tp6074 +a(g827 +g960 +tp6075 +a(g413 +g1185 +tp6076 +a(g827 +g960 +tp6077 +a(g436 +Vary_alloc +p6078 +tp6079 +a(g706 +g1016 +tp6080 +a(g436 +Vklass +p6081 +tp6082 +a(g706 +g1025 +tp6083 +a(g706 +g1027 +tp6084 +a(g827 +V\u000a +p6085 +tp6086 +a(g827 +V\u000a +p6087 +tp6088 +a(g827 +V +p6089 +tp6090 +a(g436 +Vary_make_shared +p6091 +tp6092 +a(g706 +g1016 +tp6093 +a(g436 +Vary +p6094 +tp6095 +a(g706 +g1025 +tp6096 +a(g706 +g1027 +tp6097 +a(g827 +V\u000a +p6098 +tp6099 +a(g827 +V +p6100 +tp6101 +a(g436 +VRARRAY +p6102 +tp6103 +a(g706 +g1016 +tp6104 +a(g436 +Vval +p6105 +tp6106 +a(g706 +g1025 +tp6107 +a(g413 +g1692 +tp6108 +a(g413 +g1694 +tp6109 +a(g436 +Vptr +p6110 +tp6111 +a(g827 +g960 +tp6112 +a(g413 +g1185 +tp6113 +a(g827 +g960 +tp6114 +a(g436 +VRARRAY +p6115 +tp6116 +a(g706 +g1016 +tp6117 +a(g436 +Vary +p6118 +tp6119 +a(g706 +g1025 +tp6120 +a(g413 +g1692 +tp6121 +a(g413 +g1694 +tp6122 +a(g436 +Vptr +p6123 +tp6124 +a(g706 +g1027 +tp6125 +a(g827 +V\u000a +p6126 +tp6127 +a(g827 +V +p6128 +tp6129 +a(g436 +VRARRAY +p6130 +tp6131 +a(g706 +g1016 +tp6132 +a(g436 +Vval +p6133 +tp6134 +a(g706 +g1025 +tp6135 +a(g413 +g1692 +tp6136 +a(g413 +g1694 +tp6137 +a(g436 +Vlen +p6138 +tp6139 +a(g827 +g960 +tp6140 +a(g413 +g1185 +tp6141 +a(g827 +g960 +tp6142 +a(g436 +VRARRAY +p6143 +tp6144 +a(g706 +g1016 +tp6145 +a(g436 +Vary +p6146 +tp6147 +a(g706 +g1025 +tp6148 +a(g413 +g1692 +tp6149 +a(g413 +g1694 +tp6150 +a(g436 +Vlen +p6151 +tp6152 +a(g706 +g1027 +tp6153 +a(g827 +V\u000a +p6154 +tp6155 +a(g827 +V +p6156 +tp6157 +a(g436 +VRARRAY +p6158 +tp6159 +a(g706 +g1016 +tp6160 +a(g436 +Vval +p6161 +tp6162 +a(g706 +g1025 +tp6163 +a(g413 +g1692 +tp6164 +a(g413 +g1694 +tp6165 +a(g436 +Vaux +p6166 +tp6167 +a(g706 +g4214 +tp6168 +a(g436 +Vshared +p6169 +tp6170 +a(g827 +g960 +tp6171 +a(g413 +g1185 +tp6172 +a(g827 +g960 +tp6173 +a(g436 +VRARRAY +p6174 +tp6175 +a(g706 +g1016 +tp6176 +a(g436 +Vary +p6177 +tp6178 +a(g706 +g1025 +tp6179 +a(g413 +g1692 +tp6180 +a(g413 +g1694 +tp6181 +a(g436 +Vaux +p6182 +tp6183 +a(g706 +g4214 +tp6184 +a(g436 +Vshared +p6185 +tp6186 +a(g706 +g1027 +tp6187 +a(g827 +V\u000a +p6188 +tp6189 +a(g827 +V +p6190 +tp6191 +a(g436 +VFL_SET +p6192 +tp6193 +a(g706 +g1016 +tp6194 +a(g436 +Vval +p6195 +tp6196 +a(g706 +g1372 +tp6197 +a(g827 +g960 +tp6198 +a(g436 +VELTS_SHARED +p6199 +tp6200 +a(g706 +g1025 +tp6201 +a(g706 +g1027 +tp6202 +a(g827 +V\u000a +p6203 +tp6204 +a(g827 +V +p6205 +tp6206 +a(g745 +Vreturn +p6207 +tp6208 +a(g827 +g960 +tp6209 +a(g436 +Vval +p6210 +tp6211 +a(g706 +g1027 +tp6212 +a(g827 +V\u000a +p6213 +tp6214 +a(g706 +g1721 +tp6215 +a(g827 +V\u000a +p6216 +tp6217 +a(g827 +V\u000a +p6218 +tp6219 +a(g436 +VVALUE +p6220 +tp6221 +a(g827 +V\u000a +p6222 +tp6223 +a(g436 +Vrb_values_from_ary +p6224 +tp6225 +a(g706 +g1016 +tp6226 +a(g436 +Vary +p6227 +tp6228 +a(g706 +g1025 +tp6229 +a(g827 +V\u000a +p6230 +tp6231 +a(g827 +V +p6232 +tp6233 +a(g436 +VVALUE +p6234 +tp6235 +a(g827 +g960 +tp6236 +a(g436 +Vary +p6237 +tp6238 +a(g706 +g1027 +tp6239 +a(g827 +V\u000a +p6240 +tp6241 +a(g706 +g1293 +tp6242 +a(g827 +V\u000a +p6243 +tp6244 +a(g827 +V +p6245 +tp6246 +a(g745 +Vreturn +p6247 +tp6248 +a(g827 +g960 +tp6249 +a(g436 +Vary_shared_array +p6250 +tp6251 +a(g706 +g1016 +tp6252 +a(g436 +Vrb_cValues +p6253 +tp6254 +a(g706 +g1372 +tp6255 +a(g827 +g960 +tp6256 +a(g436 +Vary +p6257 +tp6258 +a(g706 +g1025 +tp6259 +a(g706 +g1027 +tp6260 +a(g827 +V\u000a +p6261 +tp6262 +a(g706 +g1721 +tp6263 +a(g827 +V\u000a +p6264 +tp6265 +a(g827 +V\u000a +p6266 +tp6267 +a(g436 +VVALUE +p6268 +tp6269 +a(g827 +V\u000a +p6270 +tp6271 +a(g436 +Vrb_ary_from_values +p6272 +tp6273 +a(g706 +g1016 +tp6274 +a(g436 +Vval +p6275 +tp6276 +a(g706 +g1025 +tp6277 +a(g827 +V\u000a +p6278 +tp6279 +a(g827 +V +p6280 +tp6281 +a(g436 +VVALUE +p6282 +tp6283 +a(g827 +g960 +tp6284 +a(g436 +Vval +p6285 +tp6286 +a(g706 +g1027 +tp6287 +a(g827 +V\u000a +p6288 +tp6289 +a(g706 +g1293 +tp6290 +a(g827 +V\u000a +p6291 +tp6292 +a(g827 +V +p6293 +tp6294 +a(g745 +Vreturn +p6295 +tp6296 +a(g827 +g960 +tp6297 +a(g436 +Vary_shared_array +p6298 +tp6299 +a(g706 +g1016 +tp6300 +a(g436 +Vrb_cArray +p6301 +tp6302 +a(g706 +g1372 +tp6303 +a(g827 +g960 +tp6304 +a(g436 +Vval +p6305 +tp6306 +a(g706 +g1025 +tp6307 +a(g706 +g1027 +tp6308 +a(g827 +V\u000a +p6309 +tp6310 +a(g706 +g1721 +tp6311 +a(g827 +V\u000a +p6312 +tp6313 +a(g827 +V\u000a +p6314 +tp6315 +a(g436 +VVALUE +p6316 +tp6317 +a(g827 +V\u000a +p6318 +tp6319 +a(g436 +Vrb_assoc_new +p6320 +tp6321 +a(g706 +g1016 +tp6322 +a(g436 +Vcar +p6323 +tp6324 +a(g706 +g1372 +tp6325 +a(g827 +g960 +tp6326 +a(g436 +Vcdr +p6327 +tp6328 +a(g706 +g1025 +tp6329 +a(g827 +V\u000a +p6330 +tp6331 +a(g827 +V +p6332 +tp6333 +a(g436 +VVALUE +p6334 +tp6335 +a(g827 +g960 +tp6336 +a(g436 +Vcar +p6337 +tp6338 +a(g706 +g1372 +tp6339 +a(g827 +g960 +tp6340 +a(g436 +Vcdr +p6341 +tp6342 +a(g706 +g1027 +tp6343 +a(g827 +V\u000a +p6344 +tp6345 +a(g706 +g1293 +tp6346 +a(g827 +V\u000a +p6347 +tp6348 +a(g827 +V +p6349 +tp6350 +a(g745 +Vreturn +p6351 +tp6352 +a(g827 +g960 +tp6353 +a(g436 +Vrb_values_new +p6354 +tp6355 +a(g706 +g1016 +tp6356 +a(g37 +g2780 +tp6357 +a(g706 +g1372 +tp6358 +a(g827 +g960 +tp6359 +a(g436 +Vcar +p6360 +tp6361 +a(g706 +g1372 +tp6362 +a(g827 +g960 +tp6363 +a(g436 +Vcdr +p6364 +tp6365 +a(g706 +g1025 +tp6366 +a(g706 +g1027 +tp6367 +a(g827 +V\u000a +p6368 +tp6369 +a(g706 +g1721 +tp6370 +a(g827 +V\u000a +p6371 +tp6372 +a(g827 +V\u000a +p6373 +tp6374 +a(g745 +Vstatic +p6375 +tp6376 +a(g827 +g960 +tp6377 +a(g436 +VVALUE +p6378 +tp6379 +a(g827 +V\u000a +p6380 +tp6381 +a(g436 +Vto_ary +p6382 +tp6383 +a(g706 +g1016 +tp6384 +a(g436 +Vary +p6385 +tp6386 +a(g706 +g1025 +tp6387 +a(g827 +V\u000a +p6388 +tp6389 +a(g827 +V +p6390 +tp6391 +a(g436 +VVALUE +p6392 +tp6393 +a(g827 +g960 +tp6394 +a(g436 +Vary +p6395 +tp6396 +a(g706 +g1027 +tp6397 +a(g827 +V\u000a +p6398 +tp6399 +a(g706 +g1293 +tp6400 +a(g827 +V\u000a +p6401 +tp6402 +a(g827 +V +p6403 +tp6404 +a(g745 +Vreturn +p6405 +tp6406 +a(g827 +g960 +tp6407 +a(g436 +Vrb_convert_type +p6408 +tp6409 +a(g706 +g1016 +tp6410 +a(g436 +Vary +p6411 +tp6412 +a(g706 +g1372 +tp6413 +a(g827 +g960 +tp6414 +a(g436 +VT_ARRAY +p6415 +tp6416 +a(g706 +g1372 +tp6417 +a(g827 +g960 +tp6418 +a(g89 +g1375 +tp6419 +a(g89 +VArray +p6420 +tp6421 +a(g89 +g1375 +tp6422 +a(g706 +g1372 +tp6423 +a(g827 +g960 +tp6424 +a(g89 +g1375 +tp6425 +a(g89 +Vto_ary +p6426 +tp6427 +a(g89 +g1375 +tp6428 +a(g706 +g1025 +tp6429 +a(g706 +g1027 +tp6430 +a(g827 +V\u000a +p6431 +tp6432 +a(g706 +g1721 +tp6433 +a(g827 +V\u000a +p6434 +tp6435 +a(g827 +V\u000a +p6436 +tp6437 +a(g745 +Vstatic +p6438 +tp6439 +a(g827 +g960 +tp6440 +a(g436 +VVALUE +p6441 +tp6442 +a(g827 +V\u000a +p6443 +tp6444 +a(g436 +Vto_a +p6445 +tp6446 +a(g706 +g1016 +tp6447 +a(g436 +Vary +p6448 +tp6449 +a(g706 +g1025 +tp6450 +a(g827 +V\u000a +p6451 +tp6452 +a(g827 +V +p6453 +tp6454 +a(g436 +VVALUE +p6455 +tp6456 +a(g827 +g960 +tp6457 +a(g436 +Vary +p6458 +tp6459 +a(g706 +g1027 +tp6460 +a(g827 +V\u000a +p6461 +tp6462 +a(g706 +g1293 +tp6463 +a(g827 +V\u000a +p6464 +tp6465 +a(g827 +V +p6466 +tp6467 +a(g745 +Vreturn +p6468 +tp6469 +a(g827 +g960 +tp6470 +a(g436 +Vrb_convert_type +p6471 +tp6472 +a(g706 +g1016 +tp6473 +a(g436 +Vary +p6474 +tp6475 +a(g706 +g1372 +tp6476 +a(g827 +g960 +tp6477 +a(g436 +VT_ARRAY +p6478 +tp6479 +a(g706 +g1372 +tp6480 +a(g827 +g960 +tp6481 +a(g89 +g1375 +tp6482 +a(g89 +VArray +p6483 +tp6484 +a(g89 +g1375 +tp6485 +a(g706 +g1372 +tp6486 +a(g827 +g960 +tp6487 +a(g89 +g1375 +tp6488 +a(g89 +Vto_a +p6489 +tp6490 +a(g89 +g1375 +tp6491 +a(g706 +g1025 +tp6492 +a(g706 +g1027 +tp6493 +a(g827 +V\u000a +p6494 +tp6495 +a(g706 +g1721 +tp6496 +a(g827 +V\u000a +p6497 +tp6498 +a(g827 +V\u000a +p6499 +tp6500 +a(g436 +VVALUE +p6501 +tp6502 +a(g827 +V\u000a +p6503 +tp6504 +a(g436 +Vrb_check_array_type +p6505 +tp6506 +a(g706 +g1016 +tp6507 +a(g436 +Vary +p6508 +tp6509 +a(g706 +g1025 +tp6510 +a(g827 +V\u000a +p6511 +tp6512 +a(g827 +V +p6513 +tp6514 +a(g436 +VVALUE +p6515 +tp6516 +a(g827 +g960 +tp6517 +a(g436 +Vary +p6518 +tp6519 +a(g706 +g1027 +tp6520 +a(g827 +V\u000a +p6521 +tp6522 +a(g706 +g1293 +tp6523 +a(g827 +V\u000a +p6524 +tp6525 +a(g827 +V +p6526 +tp6527 +a(g745 +Vreturn +p6528 +tp6529 +a(g827 +g960 +tp6530 +a(g436 +Vrb_check_convert_type +p6531 +tp6532 +a(g706 +g1016 +tp6533 +a(g436 +Vary +p6534 +tp6535 +a(g706 +g1372 +tp6536 +a(g827 +g960 +tp6537 +a(g436 +VT_ARRAY +p6538 +tp6539 +a(g706 +g1372 +tp6540 +a(g827 +g960 +tp6541 +a(g89 +g1375 +tp6542 +a(g89 +VArray +p6543 +tp6544 +a(g89 +g1375 +tp6545 +a(g706 +g1372 +tp6546 +a(g827 +g960 +tp6547 +a(g89 +g1375 +tp6548 +a(g89 +Vto_ary +p6549 +tp6550 +a(g89 +g1375 +tp6551 +a(g706 +g1025 +tp6552 +a(g706 +g1027 +tp6553 +a(g827 +V\u000a +p6554 +tp6555 +a(g706 +g1721 +tp6556 +a(g827 +V\u000a +p6557 +tp6558 +a(g827 +V\u000a +p6559 +tp6560 +a(g745 +Vstatic +p6561 +tp6562 +a(g827 +g960 +tp6563 +a(g436 +VVALUE +p6564 +tp6565 +a(g827 +g960 +tp6566 +a(g436 +Vrb_ary_replace +p6567 +tp6568 +a(g827 +g960 +tp6569 +a(g436 +V_ +p6570 +tp6571 +a(g706 +g1016 +tp6572 +a(g706 +g1016 +tp6573 +a(g436 +VVALUE +p6574 +tp6575 +a(g706 +g1372 +tp6576 +a(g827 +g960 +tp6577 +a(g436 +VVALUE +p6578 +tp6579 +a(g706 +g1025 +tp6580 +a(g706 +g1025 +tp6581 +a(g706 +g1027 +tp6582 +a(g827 +V\u000a +p6583 +tp6584 +a(g827 +V\u000a +p6585 +tp6586 +a(g929 +V/*\u000a * call-seq:\u000a * Array.new(size=0, obj=nil)\u000a * Array.new(array)\u000a * Array.new(size) {|index| block }\u000a *\u000a * Returns a new array. In the first form, the new array is\u000a * empty. In the second it is created with _size_ copies of _obj_\u000a * (that is, _size_ references to the same\u000a * _obj_). The third form creates a copy of the array\u000a * passed as a parameter (the array is generated by calling\u000a * to_ary on the parameter). In the last form, an array\u000a * of the given size is created. Each element in this array is\u000a * calculated by passing the element's index to the given block and\u000a * storing the return value.\u000a *\u000a * Array.new\u000a * Array.new(2)\u000a * Array.new(5, "A")\u000a * \u000a * # only one copy of the object is created\u000a * a = Array.new(2, Hash.new)\u000a * a[0]['cat'] = 'feline'\u000a * a\u000a * a[1]['cat'] = 'Felix'\u000a * a\u000a * \u000a * # here multiple copies are created\u000a * a = Array.new(2) { Hash.new }\u000a * a[0]['cat'] = 'feline'\u000a * a\u000a * \u000a * squares = Array.new(5) {|i| i*i}\u000a * squares\u000a * \u000a * copy = Array.new(squares)\u000a */ +p6587 +tp6588 +a(g827 +V\u000a +p6589 +tp6590 +a(g827 +V\u000a +p6591 +tp6592 +a(g745 +Vstatic +p6593 +tp6594 +a(g827 +g960 +tp6595 +a(g436 +VVALUE +p6596 +tp6597 +a(g827 +V\u000a +p6598 +tp6599 +a(g574 +Vrb_ary_initialize +p6600 +tp6601 +a(g706 +g1016 +tp6602 +a(g436 +Vargc +p6603 +tp6604 +a(g706 +g1372 +tp6605 +a(g827 +g960 +tp6606 +a(g436 +Vargv +p6607 +tp6608 +a(g706 +g1372 +tp6609 +a(g827 +g960 +tp6610 +a(g436 +Vary +p6611 +tp6612 +a(g706 +g1025 +tp6613 +a(g827 +V\u000a +p6614 +tp6615 +a(g827 +V +p6616 +tp6617 +a(g749 +Vint +p6618 +tp6619 +a(g827 +g960 +tp6620 +a(g436 +Vargc +p6621 +tp6622 +a(g706 +g1027 +tp6623 +a(g827 +V\u000a +p6624 +tp6625 +a(g827 +V +p6626 +tp6627 +a(g436 +VVALUE +p6628 +tp6629 +a(g827 +g960 +tp6630 +a(g413 +g1020 +tp6631 +a(g436 +Vargv +p6632 +tp6633 +a(g706 +g1027 +tp6634 +a(g827 +V\u000a +p6635 +tp6636 +a(g827 +V +p6637 +tp6638 +a(g436 +VVALUE +p6639 +tp6640 +a(g827 +g960 +tp6641 +a(g436 +Vary +p6642 +tp6643 +a(g706 +g1027 +tp6644 +a(g827 +V\u000a +p6645 +tp6646 +a(g706 +g1293 +tp6647 +a(g827 +V\u000a +p6648 +tp6649 +a(g827 +V +p6650 +tp6651 +a(g749 +Vlong +p6652 +tp6653 +a(g827 +g960 +tp6654 +a(g436 +Vlen +p6655 +tp6656 +a(g706 +g1027 +tp6657 +a(g827 +V\u000a +p6658 +tp6659 +a(g827 +V +p6660 +tp6661 +a(g436 +VVALUE +p6662 +tp6663 +a(g827 +g960 +tp6664 +a(g436 +Vsize +p6665 +tp6666 +a(g706 +g1372 +tp6667 +a(g827 +g960 +tp6668 +a(g436 +Vval +p6669 +tp6670 +a(g706 +g1027 +tp6671 +a(g827 +V\u000a +p6672 +tp6673 +a(g827 +V\u000a +p6674 +tp6675 +a(g827 +V +p6676 +tp6677 +a(g745 +Vif +p6678 +tp6679 +a(g827 +g960 +tp6680 +a(g706 +g1016 +tp6681 +a(g436 +Vrb_scan_args +p6682 +tp6683 +a(g706 +g1016 +tp6684 +a(g436 +Vargc +p6685 +tp6686 +a(g706 +g1372 +tp6687 +a(g827 +g960 +tp6688 +a(g436 +Vargv +p6689 +tp6690 +a(g706 +g1372 +tp6691 +a(g827 +g960 +tp6692 +a(g89 +g1375 +tp6693 +a(g89 +V02 +p6694 +tp6695 +a(g89 +g1375 +tp6696 +a(g706 +g1372 +tp6697 +a(g827 +g960 +tp6698 +a(g413 +g4051 +tp6699 +a(g436 +Vsize +p6700 +tp6701 +a(g706 +g1372 +tp6702 +a(g827 +g960 +tp6703 +a(g413 +g4051 +tp6704 +a(g436 +Vval +p6705 +tp6706 +a(g706 +g1025 +tp6707 +a(g827 +g960 +tp6708 +a(g413 +g1185 +tp6709 +a(g413 +g1185 +tp6710 +a(g827 +g960 +tp6711 +a(g37 +g1188 +tp6712 +a(g706 +g1025 +tp6713 +a(g827 +g960 +tp6714 +a(g706 +g1293 +tp6715 +a(g827 +V\u000a +p6716 +tp6717 +a(g827 +g1297 +tp6718 +a(g436 +VRARRAY +p6719 +tp6720 +a(g706 +g1016 +tp6721 +a(g436 +Vary +p6722 +tp6723 +a(g706 +g1025 +tp6724 +a(g413 +g1692 +tp6725 +a(g413 +g1694 +tp6726 +a(g436 +Vlen +p6727 +tp6728 +a(g827 +g960 +tp6729 +a(g413 +g1185 +tp6730 +a(g827 +g960 +tp6731 +a(g37 +g1188 +tp6732 +a(g706 +g1027 +tp6733 +a(g827 +V\u000a +p6734 +tp6735 +a(g827 +g1297 +tp6736 +a(g745 +Vif +p6737 +tp6738 +a(g827 +g960 +tp6739 +a(g706 +g1016 +tp6740 +a(g436 +Vrb_block_given_p +p6741 +tp6742 +a(g706 +g1016 +tp6743 +a(g706 +g1025 +tp6744 +a(g706 +g1025 +tp6745 +a(g827 +g960 +tp6746 +a(g706 +g1293 +tp6747 +a(g827 +V\u000a +p6748 +tp6749 +a(g827 +V +p6750 +tp6751 +a(g436 +Vrb_warning +p6752 +tp6753 +a(g706 +g1016 +tp6754 +a(g89 +g1375 +tp6755 +a(g89 +Vgiven block not used +p6756 +tp6757 +a(g89 +g1375 +tp6758 +a(g706 +g1025 +tp6759 +a(g706 +g1027 +tp6760 +a(g827 +V\u000a +p6761 +tp6762 +a(g827 +g1297 +tp6763 +a(g706 +g1721 +tp6764 +a(g827 +V\u000a +p6765 +tp6766 +a(g827 +g1297 +tp6767 +a(g745 +Vreturn +p6768 +tp6769 +a(g827 +g960 +tp6770 +a(g436 +Vary +p6771 +tp6772 +a(g706 +g1027 +tp6773 +a(g827 +V\u000a +p6774 +tp6775 +a(g827 +V +p6776 +tp6777 +a(g706 +g1721 +tp6778 +a(g827 +V\u000a +p6779 +tp6780 +a(g827 +V\u000a +p6781 +tp6782 +a(g827 +V +p6783 +tp6784 +a(g745 +Vif +p6785 +tp6786 +a(g827 +g960 +tp6787 +a(g706 +g1016 +tp6788 +a(g436 +Vargc +p6789 +tp6790 +a(g827 +g960 +tp6791 +a(g413 +g1185 +tp6792 +a(g413 +g1185 +tp6793 +a(g827 +g960 +tp6794 +a(g37 +g1205 +tp6795 +a(g827 +g960 +tp6796 +a(g413 +g4051 +tp6797 +a(g413 +g4051 +tp6798 +a(g827 +g960 +tp6799 +a(g413 +g2490 +tp6800 +a(g436 +VFIXNUM_P +p6801 +tp6802 +a(g706 +g1016 +tp6803 +a(g436 +Vsize +p6804 +tp6805 +a(g706 +g1025 +tp6806 +a(g706 +g1025 +tp6807 +a(g827 +g960 +tp6808 +a(g706 +g1293 +tp6809 +a(g827 +V\u000a +p6810 +tp6811 +a(g827 +g1297 +tp6812 +a(g436 +Vval +p6813 +tp6814 +a(g827 +g960 +tp6815 +a(g413 +g1185 +tp6816 +a(g827 +g960 +tp6817 +a(g436 +Vrb_check_array_type +p6818 +tp6819 +a(g706 +g1016 +tp6820 +a(g436 +Vsize +p6821 +tp6822 +a(g706 +g1025 +tp6823 +a(g706 +g1027 +tp6824 +a(g827 +V\u000a +p6825 +tp6826 +a(g827 +g1297 +tp6827 +a(g745 +Vif +p6828 +tp6829 +a(g827 +g960 +tp6830 +a(g706 +g1016 +tp6831 +a(g413 +g2490 +tp6832 +a(g436 +VNIL_P +p6833 +tp6834 +a(g706 +g1016 +tp6835 +a(g436 +Vval +p6836 +tp6837 +a(g706 +g1025 +tp6838 +a(g706 +g1025 +tp6839 +a(g827 +g960 +tp6840 +a(g706 +g1293 +tp6841 +a(g827 +V\u000a +p6842 +tp6843 +a(g827 +V +p6844 +tp6845 +a(g436 +Vrb_ary_replace +p6846 +tp6847 +a(g706 +g1016 +tp6848 +a(g436 +Vary +p6849 +tp6850 +a(g706 +g1372 +tp6851 +a(g827 +g960 +tp6852 +a(g436 +Vval +p6853 +tp6854 +a(g706 +g1025 +tp6855 +a(g706 +g1027 +tp6856 +a(g827 +V\u000a +p6857 +tp6858 +a(g827 +V +p6859 +tp6860 +a(g745 +Vreturn +p6861 +tp6862 +a(g827 +g960 +tp6863 +a(g436 +Vary +p6864 +tp6865 +a(g706 +g1027 +tp6866 +a(g827 +V\u000a +p6867 +tp6868 +a(g827 +g1297 +tp6869 +a(g706 +g1721 +tp6870 +a(g827 +V\u000a +p6871 +tp6872 +a(g827 +V +p6873 +tp6874 +a(g706 +g1721 +tp6875 +a(g827 +V\u000a +p6876 +tp6877 +a(g827 +V\u000a +p6878 +tp6879 +a(g827 +V +p6880 +tp6881 +a(g436 +Vlen +p6882 +tp6883 +a(g827 +g960 +tp6884 +a(g413 +g1185 +tp6885 +a(g827 +g960 +tp6886 +a(g436 +VNUM2LONG +p6887 +tp6888 +a(g706 +g1016 +tp6889 +a(g436 +Vsize +p6890 +tp6891 +a(g706 +g1025 +tp6892 +a(g706 +g1027 +tp6893 +a(g827 +V\u000a +p6894 +tp6895 +a(g827 +V +p6896 +tp6897 +a(g745 +Vif +p6898 +tp6899 +a(g827 +g960 +tp6900 +a(g706 +g1016 +tp6901 +a(g436 +Vlen +p6902 +tp6903 +a(g827 +g960 +tp6904 +a(g413 +g4656 +tp6905 +a(g827 +g960 +tp6906 +a(g37 +g1188 +tp6907 +a(g706 +g1025 +tp6908 +a(g827 +g960 +tp6909 +a(g706 +g1293 +tp6910 +a(g827 +V\u000a +p6911 +tp6912 +a(g827 +g1297 +tp6913 +a(g436 +Vrb_raise +p6914 +tp6915 +a(g706 +g1016 +tp6916 +a(g436 +Vrb_eArgError +p6917 +tp6918 +a(g706 +g1372 +tp6919 +a(g827 +g960 +tp6920 +a(g89 +g1375 +tp6921 +a(g89 +Vnegative array size +p6922 +tp6923 +a(g89 +g1375 +tp6924 +a(g706 +g1025 +tp6925 +a(g706 +g1027 +tp6926 +a(g827 +V\u000a +p6927 +tp6928 +a(g827 +V +p6929 +tp6930 +a(g706 +g1721 +tp6931 +a(g827 +V\u000a +p6932 +tp6933 +a(g827 +V +p6934 +tp6935 +a(g745 +Vif +p6936 +tp6937 +a(g827 +g960 +tp6938 +a(g706 +g1016 +tp6939 +a(g436 +Vlen +p6940 +tp6941 +a(g827 +g960 +tp6942 +a(g413 +g1694 +tp6943 +a(g827 +g960 +tp6944 +a(g37 +g1188 +tp6945 +a(g827 +g960 +tp6946 +a(g413 +g4051 +tp6947 +a(g413 +g4051 +tp6948 +a(g827 +g960 +tp6949 +a(g436 +Vlen +p6950 +tp6951 +a(g827 +g960 +tp6952 +a(g413 +g1020 +tp6953 +a(g827 +g960 +tp6954 +a(g706 +g1016 +tp6955 +a(g749 +Vlong +p6956 +tp6957 +a(g706 +g1025 +tp6958 +a(g745 +Vsizeof +p6959 +tp6960 +a(g706 +g1016 +tp6961 +a(g436 +VVALUE +p6962 +tp6963 +a(g706 +g1025 +tp6964 +a(g827 +g960 +tp6965 +a(g413 +g4656 +tp6966 +a(g413 +g1185 +tp6967 +a(g827 +g960 +tp6968 +a(g436 +Vlen +p6969 +tp6970 +a(g706 +g1025 +tp6971 +a(g827 +g960 +tp6972 +a(g706 +g1293 +tp6973 +a(g827 +V\u000a +p6974 +tp6975 +a(g827 +g1297 +tp6976 +a(g436 +Vrb_raise +p6977 +tp6978 +a(g706 +g1016 +tp6979 +a(g436 +Vrb_eArgError +p6980 +tp6981 +a(g706 +g1372 +tp6982 +a(g827 +g960 +tp6983 +a(g89 +g1375 +tp6984 +a(g89 +Varray size too big +p6985 +tp6986 +a(g89 +g1375 +tp6987 +a(g706 +g1025 +tp6988 +a(g706 +g1027 +tp6989 +a(g827 +V\u000a +p6990 +tp6991 +a(g827 +V +p6992 +tp6993 +a(g706 +g1721 +tp6994 +a(g827 +V\u000a +p6995 +tp6996 +a(g827 +V +p6997 +tp6998 +a(g436 +Vrb_ary_modify +p6999 +tp7000 +a(g706 +g1016 +tp7001 +a(g436 +Vary +p7002 +tp7003 +a(g706 +g1025 +tp7004 +a(g706 +g1027 +tp7005 +a(g827 +V\u000a +p7006 +tp7007 +a(g827 +V +p7008 +tp7009 +a(g745 +Vif +p7010 +tp7011 +a(g827 +g960 +tp7012 +a(g706 +g1016 +tp7013 +a(g436 +Vlen +p7014 +tp7015 +a(g827 +g960 +tp7016 +a(g413 +g1694 +tp7017 +a(g827 +g960 +tp7018 +a(g436 +VRARRAY +p7019 +tp7020 +a(g706 +g1016 +tp7021 +a(g436 +Vary +p7022 +tp7023 +a(g706 +g1025 +tp7024 +a(g413 +g1692 +tp7025 +a(g413 +g1694 +tp7026 +a(g436 +Vaux +p7027 +tp7028 +a(g706 +g4214 +tp7029 +a(g436 +Vcapa +p7030 +tp7031 +a(g706 +g1025 +tp7032 +a(g827 +g960 +tp7033 +a(g706 +g1293 +tp7034 +a(g827 +V\u000a +p7035 +tp7036 +a(g827 +g1297 +tp7037 +a(g436 +VREALLOC_N +p7038 +tp7039 +a(g706 +g1016 +tp7040 +a(g436 +VRARRAY +p7041 +tp7042 +a(g706 +g1016 +tp7043 +a(g436 +Vary +p7044 +tp7045 +a(g706 +g1025 +tp7046 +a(g413 +g1692 +tp7047 +a(g413 +g1694 +tp7048 +a(g436 +Vptr +p7049 +tp7050 +a(g706 +g1372 +tp7051 +a(g827 +g960 +tp7052 +a(g436 +VVALUE +p7053 +tp7054 +a(g706 +g1372 +tp7055 +a(g827 +g960 +tp7056 +a(g436 +Vlen +p7057 +tp7058 +a(g706 +g1025 +tp7059 +a(g706 +g1027 +tp7060 +a(g827 +V\u000a +p7061 +tp7062 +a(g827 +g1297 +tp7063 +a(g436 +VRARRAY +p7064 +tp7065 +a(g706 +g1016 +tp7066 +a(g436 +Vary +p7067 +tp7068 +a(g706 +g1025 +tp7069 +a(g413 +g1692 +tp7070 +a(g413 +g1694 +tp7071 +a(g436 +Vaux +p7072 +tp7073 +a(g706 +g4214 +tp7074 +a(g436 +Vcapa +p7075 +tp7076 +a(g827 +g960 +tp7077 +a(g413 +g1185 +tp7078 +a(g827 +g960 +tp7079 +a(g436 +Vlen +p7080 +tp7081 +a(g706 +g1027 +tp7082 +a(g827 +V\u000a +p7083 +tp7084 +a(g827 +V +p7085 +tp7086 +a(g706 +g1721 +tp7087 +a(g827 +V\u000a +p7088 +tp7089 +a(g827 +V +p7090 +tp7091 +a(g745 +Vif +p7092 +tp7093 +a(g827 +g960 +tp7094 +a(g706 +g1016 +tp7095 +a(g436 +Vrb_block_given_p +p7096 +tp7097 +a(g706 +g1016 +tp7098 +a(g706 +g1025 +tp7099 +a(g706 +g1025 +tp7100 +a(g827 +g960 +tp7101 +a(g706 +g1293 +tp7102 +a(g827 +V\u000a +p7103 +tp7104 +a(g827 +g1297 +tp7105 +a(g749 +Vlong +p7106 +tp7107 +a(g827 +g960 +tp7108 +a(g436 +g2597 +tp7109 +a(g706 +g1027 +tp7110 +a(g827 +V\u000a +p7111 +tp7112 +a(g827 +V\u000a +p7113 +tp7114 +a(g827 +g1297 +tp7115 +a(g745 +Vif +p7116 +tp7117 +a(g827 +g960 +tp7118 +a(g706 +g1016 +tp7119 +a(g436 +Vargc +p7120 +tp7121 +a(g827 +g960 +tp7122 +a(g413 +g1185 +tp7123 +a(g413 +g1185 +tp7124 +a(g827 +g960 +tp7125 +a(g37 +g2780 +tp7126 +a(g706 +g1025 +tp7127 +a(g827 +g960 +tp7128 +a(g706 +g1293 +tp7129 +a(g827 +V\u000a +p7130 +tp7131 +a(g827 +V +p7132 +tp7133 +a(g436 +Vrb_warn +p7134 +tp7135 +a(g706 +g1016 +tp7136 +a(g89 +g1375 +tp7137 +a(g89 +Vblock supersedes default value argument +p7138 +tp7139 +a(g89 +g1375 +tp7140 +a(g706 +g1025 +tp7141 +a(g706 +g1027 +tp7142 +a(g827 +V\u000a +p7143 +tp7144 +a(g827 +g1297 +tp7145 +a(g706 +g1721 +tp7146 +a(g827 +V\u000a +p7147 +tp7148 +a(g827 +g1297 +tp7149 +a(g745 +Vfor +p7150 +tp7151 +a(g827 +g960 +tp7152 +a(g706 +g1016 +tp7153 +a(g436 +g2597 +tp7154 +a(g413 +g1185 +tp7155 +a(g37 +g1188 +tp7156 +a(g706 +g1027 +tp7157 +a(g827 +g960 +tp7158 +a(g436 +g2597 +tp7159 +a(g413 +g4656 +tp7160 +a(g436 +Vlen +p7161 +tp7162 +a(g706 +g1027 +tp7163 +a(g827 +g960 +tp7164 +a(g436 +g2597 +tp7165 +a(g413 +g2280 +tp7166 +a(g413 +g2280 +tp7167 +a(g706 +g1025 +tp7168 +a(g827 +g960 +tp7169 +a(g706 +g1293 +tp7170 +a(g827 +V\u000a +p7171 +tp7172 +a(g827 +V +p7173 +tp7174 +a(g436 +Vrb_ary_store +p7175 +tp7176 +a(g706 +g1016 +tp7177 +a(g436 +Vary +p7178 +tp7179 +a(g706 +g1372 +tp7180 +a(g827 +g960 +tp7181 +a(g436 +g2597 +tp7182 +a(g706 +g1372 +tp7183 +a(g827 +g960 +tp7184 +a(g436 +Vrb_yield +p7185 +tp7186 +a(g706 +g1016 +tp7187 +a(g436 +VLONG2NUM +p7188 +tp7189 +a(g706 +g1016 +tp7190 +a(g436 +g2597 +tp7191 +a(g706 +g1025 +tp7192 +a(g706 +g1025 +tp7193 +a(g706 +g1025 +tp7194 +a(g706 +g1027 +tp7195 +a(g827 +V\u000a +p7196 +tp7197 +a(g827 +V +p7198 +tp7199 +a(g436 +VRARRAY +p7200 +tp7201 +a(g706 +g1016 +tp7202 +a(g436 +Vary +p7203 +tp7204 +a(g706 +g1025 +tp7205 +a(g413 +g1692 +tp7206 +a(g413 +g1694 +tp7207 +a(g436 +Vlen +p7208 +tp7209 +a(g827 +g960 +tp7210 +a(g413 +g1185 +tp7211 +a(g827 +g960 +tp7212 +a(g436 +g2597 +tp7213 +a(g827 +g960 +tp7214 +a(g413 +g2280 +tp7215 +a(g827 +g960 +tp7216 +a(g37 +g1205 +tp7217 +a(g706 +g1027 +tp7218 +a(g827 +V\u000a +p7219 +tp7220 +a(g827 +g1297 +tp7221 +a(g706 +g1721 +tp7222 +a(g827 +V\u000a +p7223 +tp7224 +a(g827 +V +p7225 +tp7226 +a(g706 +g1721 +tp7227 +a(g827 +V\u000a +p7228 +tp7229 +a(g827 +V +p7230 +tp7231 +a(g745 +Velse +p7232 +tp7233 +a(g827 +g960 +tp7234 +a(g706 +g1293 +tp7235 +a(g827 +V\u000a +p7236 +tp7237 +a(g827 +g1297 +tp7238 +a(g436 +Vmemfill +p7239 +tp7240 +a(g706 +g1016 +tp7241 +a(g436 +VRARRAY +p7242 +tp7243 +a(g706 +g1016 +tp7244 +a(g436 +Vary +p7245 +tp7246 +a(g706 +g1025 +tp7247 +a(g413 +g1692 +tp7248 +a(g413 +g1694 +tp7249 +a(g436 +Vptr +p7250 +tp7251 +a(g706 +g1372 +tp7252 +a(g827 +g960 +tp7253 +a(g436 +Vlen +p7254 +tp7255 +a(g706 +g1372 +tp7256 +a(g827 +g960 +tp7257 +a(g436 +Vval +p7258 +tp7259 +a(g706 +g1025 +tp7260 +a(g706 +g1027 +tp7261 +a(g827 +V\u000a +p7262 +tp7263 +a(g827 +g1297 +tp7264 +a(g436 +VRARRAY +p7265 +tp7266 +a(g706 +g1016 +tp7267 +a(g436 +Vary +p7268 +tp7269 +a(g706 +g1025 +tp7270 +a(g413 +g1692 +tp7271 +a(g413 +g1694 +tp7272 +a(g436 +Vlen +p7273 +tp7274 +a(g827 +g960 +tp7275 +a(g413 +g1185 +tp7276 +a(g827 +g960 +tp7277 +a(g436 +Vlen +p7278 +tp7279 +a(g706 +g1027 +tp7280 +a(g827 +V\u000a +p7281 +tp7282 +a(g827 +V +p7283 +tp7284 +a(g706 +g1721 +tp7285 +a(g827 +V\u000a +p7286 +tp7287 +a(g827 +V\u000a +p7288 +tp7289 +a(g827 +V +p7290 +tp7291 +a(g745 +Vreturn +p7292 +tp7293 +a(g827 +g960 +tp7294 +a(g436 +Vary +p7295 +tp7296 +a(g706 +g1027 +tp7297 +a(g827 +V\u000a +p7298 +tp7299 +a(g706 +g1721 +tp7300 +a(g827 +V\u000a +p7301 +tp7302 +a(g827 +V\u000a +p7303 +tp7304 +a(g827 +V\u000a +p7305 +tp7306 +a(g929 +V/* \u000a* Returns a new array populated with the given objects. \u000a*\u000a* Array.[]( 1, 'a', /^A/ )\u000a* Array[ 1, 'a', /^A/ ]\u000a* [ 1, 'a', /^A/ ]\u000a*/ +p7307 +tp7308 +a(g827 +V\u000a +p7309 +tp7310 +a(g827 +V\u000a +p7311 +tp7312 +a(g745 +Vstatic +p7313 +tp7314 +a(g827 +g960 +tp7315 +a(g436 +VVALUE +p7316 +tp7317 +a(g827 +V\u000a +p7318 +tp7319 +a(g436 +Vrb_ary_s_create +p7320 +tp7321 +a(g706 +g1016 +tp7322 +a(g436 +Vargc +p7323 +tp7324 +a(g706 +g1372 +tp7325 +a(g827 +g960 +tp7326 +a(g436 +Vargv +p7327 +tp7328 +a(g706 +g1372 +tp7329 +a(g827 +g960 +tp7330 +a(g436 +Vklass +p7331 +tp7332 +a(g706 +g1025 +tp7333 +a(g827 +V\u000a +p7334 +tp7335 +a(g827 +V +p7336 +tp7337 +a(g749 +Vint +p7338 +tp7339 +a(g827 +g960 +tp7340 +a(g436 +Vargc +p7341 +tp7342 +a(g706 +g1027 +tp7343 +a(g827 +V\u000a +p7344 +tp7345 +a(g827 +V +p7346 +tp7347 +a(g436 +VVALUE +p7348 +tp7349 +a(g827 +g960 +tp7350 +a(g413 +g1020 +tp7351 +a(g436 +Vargv +p7352 +tp7353 +a(g706 +g1027 +tp7354 +a(g827 +V\u000a +p7355 +tp7356 +a(g827 +V +p7357 +tp7358 +a(g436 +VVALUE +p7359 +tp7360 +a(g827 +g960 +tp7361 +a(g436 +Vklass +p7362 +tp7363 +a(g706 +g1027 +tp7364 +a(g827 +V\u000a +p7365 +tp7366 +a(g706 +g1293 +tp7367 +a(g827 +V\u000a +p7368 +tp7369 +a(g827 +V +p7370 +tp7371 +a(g436 +VVALUE +p7372 +tp7373 +a(g827 +g960 +tp7374 +a(g436 +Vary +p7375 +tp7376 +a(g827 +g960 +tp7377 +a(g413 +g1185 +tp7378 +a(g827 +g960 +tp7379 +a(g436 +Vary_alloc +p7380 +tp7381 +a(g706 +g1016 +tp7382 +a(g436 +Vklass +p7383 +tp7384 +a(g706 +g1025 +tp7385 +a(g706 +g1027 +tp7386 +a(g827 +V\u000a +p7387 +tp7388 +a(g827 +V\u000a +p7389 +tp7390 +a(g827 +V +p7391 +tp7392 +a(g745 +Vif +p7393 +tp7394 +a(g827 +g960 +tp7395 +a(g706 +g1016 +tp7396 +a(g436 +Vargc +p7397 +tp7398 +a(g827 +g960 +tp7399 +a(g413 +g1694 +tp7400 +a(g827 +g960 +tp7401 +a(g37 +g1188 +tp7402 +a(g706 +g1025 +tp7403 +a(g827 +g960 +tp7404 +a(g706 +g1293 +tp7405 +a(g827 +V\u000a +p7406 +tp7407 +a(g827 +g1297 +tp7408 +a(g436 +VRARRAY +p7409 +tp7410 +a(g706 +g1016 +tp7411 +a(g436 +Vary +p7412 +tp7413 +a(g706 +g1025 +tp7414 +a(g413 +g1692 +tp7415 +a(g413 +g1694 +tp7416 +a(g436 +Vptr +p7417 +tp7418 +a(g827 +g960 +tp7419 +a(g413 +g1185 +tp7420 +a(g827 +g960 +tp7421 +a(g436 +VALLOC_N +p7422 +tp7423 +a(g706 +g1016 +tp7424 +a(g436 +VVALUE +p7425 +tp7426 +a(g706 +g1372 +tp7427 +a(g827 +g960 +tp7428 +a(g436 +Vargc +p7429 +tp7430 +a(g706 +g1025 +tp7431 +a(g706 +g1027 +tp7432 +a(g827 +V\u000a +p7433 +tp7434 +a(g827 +g1297 +tp7435 +a(g436 +VMEMCPY +p7436 +tp7437 +a(g706 +g1016 +tp7438 +a(g436 +VRARRAY +p7439 +tp7440 +a(g706 +g1016 +tp7441 +a(g436 +Vary +p7442 +tp7443 +a(g706 +g1025 +tp7444 +a(g413 +g1692 +tp7445 +a(g413 +g1694 +tp7446 +a(g436 +Vptr +p7447 +tp7448 +a(g706 +g1372 +tp7449 +a(g827 +g960 +tp7450 +a(g436 +Vargv +p7451 +tp7452 +a(g706 +g1372 +tp7453 +a(g827 +g960 +tp7454 +a(g436 +VVALUE +p7455 +tp7456 +a(g706 +g1372 +tp7457 +a(g827 +g960 +tp7458 +a(g436 +Vargc +p7459 +tp7460 +a(g706 +g1025 +tp7461 +a(g706 +g1027 +tp7462 +a(g827 +V\u000a +p7463 +tp7464 +a(g827 +V +p7465 +tp7466 +a(g706 +g1721 +tp7467 +a(g827 +V\u000a +p7468 +tp7469 +a(g827 +V +p7470 +tp7471 +a(g436 +VRARRAY +p7472 +tp7473 +a(g706 +g1016 +tp7474 +a(g436 +Vary +p7475 +tp7476 +a(g706 +g1025 +tp7477 +a(g413 +g1692 +tp7478 +a(g413 +g1694 +tp7479 +a(g436 +Vlen +p7480 +tp7481 +a(g827 +g960 +tp7482 +a(g413 +g1185 +tp7483 +a(g827 +g960 +tp7484 +a(g436 +VRARRAY +p7485 +tp7486 +a(g706 +g1016 +tp7487 +a(g436 +Vary +p7488 +tp7489 +a(g706 +g1025 +tp7490 +a(g413 +g1692 +tp7491 +a(g413 +g1694 +tp7492 +a(g436 +Vaux +p7493 +tp7494 +a(g706 +g4214 +tp7495 +a(g436 +Vcapa +p7496 +tp7497 +a(g827 +g960 +tp7498 +a(g413 +g1185 +tp7499 +a(g827 +g960 +tp7500 +a(g436 +Vargc +p7501 +tp7502 +a(g706 +g1027 +tp7503 +a(g827 +V\u000a +p7504 +tp7505 +a(g827 +V\u000a +p7506 +tp7507 +a(g827 +V +p7508 +tp7509 +a(g745 +Vreturn +p7510 +tp7511 +a(g827 +g960 +tp7512 +a(g436 +Vary +p7513 +tp7514 +a(g706 +g1027 +tp7515 +a(g827 +V\u000a +p7516 +tp7517 +a(g706 +g1721 +tp7518 +a(g827 +V\u000a +p7519 +tp7520 +a(g827 +V\u000a +p7521 +tp7522 +a(g749 +Vvoid +p7523 +tp7524 +a(g827 +V\u000a +p7525 +tp7526 +a(g436 +Vrb_ary_store +p7527 +tp7528 +a(g706 +g1016 +tp7529 +a(g436 +Vary +p7530 +tp7531 +a(g706 +g1372 +tp7532 +a(g827 +g960 +tp7533 +a(g436 +Vidx +p7534 +tp7535 +a(g706 +g1372 +tp7536 +a(g827 +g960 +tp7537 +a(g436 +Vval +p7538 +tp7539 +a(g706 +g1025 +tp7540 +a(g827 +V\u000a +p7541 +tp7542 +a(g827 +V +p7543 +tp7544 +a(g436 +VVALUE +p7545 +tp7546 +a(g827 +g960 +tp7547 +a(g436 +Vary +p7548 +tp7549 +a(g706 +g1027 +tp7550 +a(g827 +V\u000a +p7551 +tp7552 +a(g827 +V +p7553 +tp7554 +a(g749 +Vlong +p7555 +tp7556 +a(g827 +g960 +tp7557 +a(g436 +Vidx +p7558 +tp7559 +a(g706 +g1027 +tp7560 +a(g827 +V\u000a +p7561 +tp7562 +a(g827 +V +p7563 +tp7564 +a(g436 +VVALUE +p7565 +tp7566 +a(g827 +g960 +tp7567 +a(g436 +Vval +p7568 +tp7569 +a(g706 +g1027 +tp7570 +a(g827 +V\u000a +p7571 +tp7572 +a(g706 +g1293 +tp7573 +a(g827 +V\u000a +p7574 +tp7575 +a(g827 +V +p7576 +tp7577 +a(g745 +Vif +p7578 +tp7579 +a(g827 +g960 +tp7580 +a(g706 +g1016 +tp7581 +a(g436 +Vidx +p7582 +tp7583 +a(g827 +g960 +tp7584 +a(g413 +g4656 +tp7585 +a(g827 +g960 +tp7586 +a(g37 +g1188 +tp7587 +a(g706 +g1025 +tp7588 +a(g827 +g960 +tp7589 +a(g706 +g1293 +tp7590 +a(g827 +V\u000a +p7591 +tp7592 +a(g827 +g1297 +tp7593 +a(g436 +Vidx +p7594 +tp7595 +a(g827 +g960 +tp7596 +a(g413 +g2280 +tp7597 +a(g413 +g1185 +tp7598 +a(g827 +g960 +tp7599 +a(g436 +VRARRAY +p7600 +tp7601 +a(g706 +g1016 +tp7602 +a(g436 +Vary +p7603 +tp7604 +a(g706 +g1025 +tp7605 +a(g413 +g1692 +tp7606 +a(g413 +g1694 +tp7607 +a(g436 +Vlen +p7608 +tp7609 +a(g706 +g1027 +tp7610 +a(g827 +V\u000a +p7611 +tp7612 +a(g827 +g1297 +tp7613 +a(g745 +Vif +p7614 +tp7615 +a(g827 +g960 +tp7616 +a(g706 +g1016 +tp7617 +a(g436 +Vidx +p7618 +tp7619 +a(g827 +g960 +tp7620 +a(g413 +g4656 +tp7621 +a(g827 +g960 +tp7622 +a(g37 +g1188 +tp7623 +a(g706 +g1025 +tp7624 +a(g827 +g960 +tp7625 +a(g706 +g1293 +tp7626 +a(g827 +V\u000a +p7627 +tp7628 +a(g827 +V +p7629 +tp7630 +a(g436 +Vrb_raise +p7631 +tp7632 +a(g706 +g1016 +tp7633 +a(g436 +Vrb_eIndexError +p7634 +tp7635 +a(g706 +g1372 +tp7636 +a(g827 +g960 +tp7637 +a(g89 +g1375 +tp7638 +a(g89 +Vindex %ld out of array +p7639 +tp7640 +a(g89 +g1375 +tp7641 +a(g706 +g1372 +tp7642 +a(g827 +V\u000a +p7643 +tp7644 +a(g827 +V +p7645 +tp7646 +a(g436 +Vidx +p7647 +tp7648 +a(g827 +g960 +tp7649 +a(g413 +g1692 +tp7650 +a(g827 +g960 +tp7651 +a(g436 +VRARRAY +p7652 +tp7653 +a(g706 +g1016 +tp7654 +a(g436 +Vary +p7655 +tp7656 +a(g706 +g1025 +tp7657 +a(g413 +g1692 +tp7658 +a(g413 +g1694 +tp7659 +a(g436 +Vlen +p7660 +tp7661 +a(g706 +g1025 +tp7662 +a(g706 +g1027 +tp7663 +a(g827 +V\u000a +p7664 +tp7665 +a(g827 +g1297 +tp7666 +a(g706 +g1721 +tp7667 +a(g827 +V\u000a +p7668 +tp7669 +a(g827 +V +p7670 +tp7671 +a(g706 +g1721 +tp7672 +a(g827 +V\u000a +p7673 +tp7674 +a(g827 +V\u000a +p7675 +tp7676 +a(g827 +V +p7677 +tp7678 +a(g436 +Vrb_ary_modify +p7679 +tp7680 +a(g706 +g1016 +tp7681 +a(g436 +Vary +p7682 +tp7683 +a(g706 +g1025 +tp7684 +a(g706 +g1027 +tp7685 +a(g827 +V\u000a +p7686 +tp7687 +a(g827 +V +p7688 +tp7689 +a(g745 +Vif +p7690 +tp7691 +a(g827 +g960 +tp7692 +a(g706 +g1016 +tp7693 +a(g436 +Vidx +p7694 +tp7695 +a(g827 +g960 +tp7696 +a(g413 +g1694 +tp7697 +a(g413 +g1185 +tp7698 +a(g827 +g960 +tp7699 +a(g436 +VRARRAY +p7700 +tp7701 +a(g706 +g1016 +tp7702 +a(g436 +Vary +p7703 +tp7704 +a(g706 +g1025 +tp7705 +a(g413 +g1692 +tp7706 +a(g413 +g1694 +tp7707 +a(g436 +Vaux +p7708 +tp7709 +a(g706 +g4214 +tp7710 +a(g436 +Vcapa +p7711 +tp7712 +a(g706 +g1025 +tp7713 +a(g827 +g960 +tp7714 +a(g706 +g1293 +tp7715 +a(g827 +V\u000a +p7716 +tp7717 +a(g827 +g1297 +tp7718 +a(g749 +Vlong +p7719 +tp7720 +a(g827 +g960 +tp7721 +a(g436 +Vnew_capa +p7722 +tp7723 +a(g827 +g960 +tp7724 +a(g413 +g1185 +tp7725 +a(g827 +g960 +tp7726 +a(g436 +VRARRAY +p7727 +tp7728 +a(g706 +g1016 +tp7729 +a(g436 +Vary +p7730 +tp7731 +a(g706 +g1025 +tp7732 +a(g413 +g1692 +tp7733 +a(g413 +g1694 +tp7734 +a(g436 +Vaux +p7735 +tp7736 +a(g706 +g4214 +tp7737 +a(g436 +Vcapa +p7738 +tp7739 +a(g827 +g960 +tp7740 +a(g413 +V/ +p7741 +tp7742 +a(g827 +g960 +tp7743 +a(g37 +g2780 +tp7744 +a(g706 +g1027 +tp7745 +a(g827 +V\u000a +p7746 +tp7747 +a(g827 +V\u000a +p7748 +tp7749 +a(g827 +g1297 +tp7750 +a(g745 +Vif +p7751 +tp7752 +a(g827 +g960 +tp7753 +a(g706 +g1016 +tp7754 +a(g436 +Vnew_capa +p7755 +tp7756 +a(g827 +g960 +tp7757 +a(g413 +g4656 +tp7758 +a(g827 +g960 +tp7759 +a(g436 +VARY_DEFAULT_SIZE +p7760 +tp7761 +a(g706 +g1025 +tp7762 +a(g827 +g960 +tp7763 +a(g706 +g1293 +tp7764 +a(g827 +V\u000a +p7765 +tp7766 +a(g827 +V +p7767 +tp7768 +a(g436 +Vnew_capa +p7769 +tp7770 +a(g827 +g960 +tp7771 +a(g413 +g1185 +tp7772 +a(g827 +g960 +tp7773 +a(g436 +VARY_DEFAULT_SIZE +p7774 +tp7775 +a(g706 +g1027 +tp7776 +a(g827 +V\u000a +p7777 +tp7778 +a(g827 +g1297 +tp7779 +a(g706 +g1721 +tp7780 +a(g827 +V\u000a +p7781 +tp7782 +a(g827 +g1297 +tp7783 +a(g436 +Vnew_capa +p7784 +tp7785 +a(g827 +g960 +tp7786 +a(g413 +g2280 +tp7787 +a(g413 +g1185 +tp7788 +a(g827 +g960 +tp7789 +a(g436 +Vidx +p7790 +tp7791 +a(g706 +g1027 +tp7792 +a(g827 +V\u000a +p7793 +tp7794 +a(g827 +g1297 +tp7795 +a(g745 +Vif +p7796 +tp7797 +a(g827 +g960 +tp7798 +a(g706 +g1016 +tp7799 +a(g436 +Vnew_capa +p7800 +tp7801 +a(g827 +g960 +tp7802 +a(g413 +g1020 +tp7803 +a(g827 +g960 +tp7804 +a(g706 +g1016 +tp7805 +a(g749 +Vlong +p7806 +tp7807 +a(g706 +g1025 +tp7808 +a(g745 +Vsizeof +p7809 +tp7810 +a(g706 +g1016 +tp7811 +a(g436 +VVALUE +p7812 +tp7813 +a(g706 +g1025 +tp7814 +a(g827 +g960 +tp7815 +a(g413 +g4656 +tp7816 +a(g413 +g1185 +tp7817 +a(g827 +g960 +tp7818 +a(g436 +Vnew_capa +p7819 +tp7820 +a(g706 +g1025 +tp7821 +a(g827 +g960 +tp7822 +a(g706 +g1293 +tp7823 +a(g827 +V\u000a +p7824 +tp7825 +a(g827 +V +p7826 +tp7827 +a(g436 +Vrb_raise +p7828 +tp7829 +a(g706 +g1016 +tp7830 +a(g436 +Vrb_eArgError +p7831 +tp7832 +a(g706 +g1372 +tp7833 +a(g827 +g960 +tp7834 +a(g89 +g1375 +tp7835 +a(g89 +Vindex too big +p7836 +tp7837 +a(g89 +g1375 +tp7838 +a(g706 +g1025 +tp7839 +a(g706 +g1027 +tp7840 +a(g827 +V\u000a +p7841 +tp7842 +a(g827 +g1297 +tp7843 +a(g706 +g1721 +tp7844 +a(g827 +V\u000a +p7845 +tp7846 +a(g827 +g1297 +tp7847 +a(g436 +VREALLOC_N +p7848 +tp7849 +a(g706 +g1016 +tp7850 +a(g436 +VRARRAY +p7851 +tp7852 +a(g706 +g1016 +tp7853 +a(g436 +Vary +p7854 +tp7855 +a(g706 +g1025 +tp7856 +a(g413 +g1692 +tp7857 +a(g413 +g1694 +tp7858 +a(g436 +Vptr +p7859 +tp7860 +a(g706 +g1372 +tp7861 +a(g827 +g960 +tp7862 +a(g436 +VVALUE +p7863 +tp7864 +a(g706 +g1372 +tp7865 +a(g827 +g960 +tp7866 +a(g436 +Vnew_capa +p7867 +tp7868 +a(g706 +g1025 +tp7869 +a(g706 +g1027 +tp7870 +a(g827 +V\u000a +p7871 +tp7872 +a(g827 +g1297 +tp7873 +a(g436 +VRARRAY +p7874 +tp7875 +a(g706 +g1016 +tp7876 +a(g436 +Vary +p7877 +tp7878 +a(g706 +g1025 +tp7879 +a(g413 +g1692 +tp7880 +a(g413 +g1694 +tp7881 +a(g436 +Vaux +p7882 +tp7883 +a(g706 +g4214 +tp7884 +a(g436 +Vcapa +p7885 +tp7886 +a(g827 +g960 +tp7887 +a(g413 +g1185 +tp7888 +a(g827 +g960 +tp7889 +a(g436 +Vnew_capa +p7890 +tp7891 +a(g706 +g1027 +tp7892 +a(g827 +V\u000a +p7893 +tp7894 +a(g827 +V +p7895 +tp7896 +a(g706 +g1721 +tp7897 +a(g827 +V\u000a +p7898 +tp7899 +a(g827 +V +p7900 +tp7901 +a(g745 +Vif +p7902 +tp7903 +a(g827 +g960 +tp7904 +a(g706 +g1016 +tp7905 +a(g436 +Vidx +p7906 +tp7907 +a(g827 +g960 +tp7908 +a(g413 +g1694 +tp7909 +a(g827 +g960 +tp7910 +a(g436 +VRARRAY +p7911 +tp7912 +a(g706 +g1016 +tp7913 +a(g436 +Vary +p7914 +tp7915 +a(g706 +g1025 +tp7916 +a(g413 +g1692 +tp7917 +a(g413 +g1694 +tp7918 +a(g436 +Vlen +p7919 +tp7920 +a(g706 +g1025 +tp7921 +a(g827 +g960 +tp7922 +a(g706 +g1293 +tp7923 +a(g827 +V\u000a +p7924 +tp7925 +a(g827 +g1297 +tp7926 +a(g436 +Vrb_mem_clear +p7927 +tp7928 +a(g706 +g1016 +tp7929 +a(g436 +VRARRAY +p7930 +tp7931 +a(g706 +g1016 +tp7932 +a(g436 +Vary +p7933 +tp7934 +a(g706 +g1025 +tp7935 +a(g413 +g1692 +tp7936 +a(g413 +g1694 +tp7937 +a(g436 +Vptr +p7938 +tp7939 +a(g827 +g960 +tp7940 +a(g413 +g2280 +tp7941 +a(g827 +g960 +tp7942 +a(g436 +VRARRAY +p7943 +tp7944 +a(g706 +g1016 +tp7945 +a(g436 +Vary +p7946 +tp7947 +a(g706 +g1025 +tp7948 +a(g413 +g1692 +tp7949 +a(g413 +g1694 +tp7950 +a(g436 +Vlen +p7951 +tp7952 +a(g706 +g1372 +tp7953 +a(g827 +V\u000a +p7954 +tp7955 +a(g827 +V +p7956 +tp7957 +a(g436 +Vidx +p7958 +tp7959 +a(g413 +g1692 +tp7960 +a(g436 +VRARRAY +p7961 +tp7962 +a(g706 +g1016 +tp7963 +a(g436 +Vary +p7964 +tp7965 +a(g706 +g1025 +tp7966 +a(g413 +g1692 +tp7967 +a(g413 +g1694 +tp7968 +a(g436 +Vlen +p7969 +tp7970 +a(g827 +g960 +tp7971 +a(g413 +g2280 +tp7972 +a(g827 +g960 +tp7973 +a(g37 +g1205 +tp7974 +a(g706 +g1025 +tp7975 +a(g706 +g1027 +tp7976 +a(g827 +V\u000a +p7977 +tp7978 +a(g827 +V +p7979 +tp7980 +a(g706 +g1721 +tp7981 +a(g827 +V\u000a +p7982 +tp7983 +a(g827 +V\u000a +p7984 +tp7985 +a(g827 +V +p7986 +tp7987 +a(g745 +Vif +p7988 +tp7989 +a(g827 +g960 +tp7990 +a(g706 +g1016 +tp7991 +a(g436 +Vidx +p7992 +tp7993 +a(g827 +g960 +tp7994 +a(g413 +g1694 +tp7995 +a(g413 +g1185 +tp7996 +a(g827 +g960 +tp7997 +a(g436 +VRARRAY +p7998 +tp7999 +a(g706 +g1016 +tp8000 +a(g436 +Vary +p8001 +tp8002 +a(g706 +g1025 +tp8003 +a(g413 +g1692 +tp8004 +a(g413 +g1694 +tp8005 +a(g436 +Vlen +p8006 +tp8007 +a(g706 +g1025 +tp8008 +a(g827 +g960 +tp8009 +a(g706 +g1293 +tp8010 +a(g827 +V\u000a +p8011 +tp8012 +a(g827 +g1297 +tp8013 +a(g436 +VRARRAY +p8014 +tp8015 +a(g706 +g1016 +tp8016 +a(g436 +Vary +p8017 +tp8018 +a(g706 +g1025 +tp8019 +a(g413 +g1692 +tp8020 +a(g413 +g1694 +tp8021 +a(g436 +Vlen +p8022 +tp8023 +a(g827 +g960 +tp8024 +a(g413 +g1185 +tp8025 +a(g827 +g960 +tp8026 +a(g436 +Vidx +p8027 +tp8028 +a(g827 +g960 +tp8029 +a(g413 +g2280 +tp8030 +a(g827 +g960 +tp8031 +a(g37 +g1205 +tp8032 +a(g706 +g1027 +tp8033 +a(g827 +V\u000a +p8034 +tp8035 +a(g827 +V +p8036 +tp8037 +a(g706 +g1721 +tp8038 +a(g827 +V\u000a +p8039 +tp8040 +a(g827 +V +p8041 +tp8042 +a(g436 +VRARRAY +p8043 +tp8044 +a(g706 +g1016 +tp8045 +a(g436 +Vary +p8046 +tp8047 +a(g706 +g1025 +tp8048 +a(g413 +g1692 +tp8049 +a(g413 +g1694 +tp8050 +a(g436 +Vptr +p8051 +tp8052 +a(g706 +g1217 +tp8053 +a(g436 +Vidx +p8054 +tp8055 +a(g706 +g1221 +tp8056 +a(g827 +g960 +tp8057 +a(g413 +g1185 +tp8058 +a(g827 +g960 +tp8059 +a(g436 +Vval +p8060 +tp8061 +a(g706 +g1027 +tp8062 +a(g827 +V\u000a +p8063 +tp8064 +a(g706 +g1721 +tp8065 +a(g827 +V\u000a +p8066 +tp8067 +a(g827 +V\u000a +p8068 +tp8069 +a(g745 +Vstatic +p8070 +tp8071 +a(g827 +g960 +tp8072 +a(g436 +VVALUE +p8073 +tp8074 +a(g827 +V\u000a +p8075 +tp8076 +a(g436 +Vary_shared_first +p8077 +tp8078 +a(g706 +g1016 +tp8079 +a(g436 +Vargc +p8080 +tp8081 +a(g706 +g1372 +tp8082 +a(g827 +g960 +tp8083 +a(g436 +Vargv +p8084 +tp8085 +a(g706 +g1372 +tp8086 +a(g827 +g960 +tp8087 +a(g436 +Vary +p8088 +tp8089 +a(g706 +g1025 +tp8090 +a(g827 +V\u000a +p8091 +tp8092 +a(g827 +V +p8093 +tp8094 +a(g749 +Vint +p8095 +tp8096 +a(g827 +g960 +tp8097 +a(g436 +Vargc +p8098 +tp8099 +a(g706 +g1027 +tp8100 +a(g827 +V\u000a +p8101 +tp8102 +a(g827 +V +p8103 +tp8104 +a(g436 +VVALUE +p8105 +tp8106 +a(g827 +g960 +tp8107 +a(g413 +g1020 +tp8108 +a(g436 +Vargv +p8109 +tp8110 +a(g706 +g1027 +tp8111 +a(g827 +V\u000a +p8112 +tp8113 +a(g827 +V +p8114 +tp8115 +a(g436 +VVALUE +p8116 +tp8117 +a(g827 +g960 +tp8118 +a(g436 +Vary +p8119 +tp8120 +a(g706 +g1027 +tp8121 +a(g827 +V\u000a +p8122 +tp8123 +a(g706 +g1293 +tp8124 +a(g827 +V\u000a +p8125 +tp8126 +a(g827 +V +p8127 +tp8128 +a(g436 +VVALUE +p8129 +tp8130 +a(g827 +g960 +tp8131 +a(g436 +Vnv +p8132 +tp8133 +a(g706 +g1372 +tp8134 +a(g827 +g960 +tp8135 +a(g436 +Vresult +p8136 +tp8137 +a(g706 +g1027 +tp8138 +a(g827 +V\u000a +p8139 +tp8140 +a(g827 +V +p8141 +tp8142 +a(g749 +Vlong +p8143 +tp8144 +a(g827 +g960 +tp8145 +a(g436 +g4991 +tp8146 +a(g706 +g1027 +tp8147 +a(g827 +V\u000a +p8148 +tp8149 +a(g827 +V\u000a +p8150 +tp8151 +a(g827 +V +p8152 +tp8153 +a(g436 +Vrb_scan_args +p8154 +tp8155 +a(g706 +g1016 +tp8156 +a(g436 +Vargc +p8157 +tp8158 +a(g706 +g1372 +tp8159 +a(g827 +g960 +tp8160 +a(g436 +Vargv +p8161 +tp8162 +a(g706 +g1372 +tp8163 +a(g827 +g960 +tp8164 +a(g89 +g1375 +tp8165 +a(g89 +g1205 +tp8166 +a(g89 +g1375 +tp8167 +a(g706 +g1372 +tp8168 +a(g827 +g960 +tp8169 +a(g413 +g4051 +tp8170 +a(g436 +Vnv +p8171 +tp8172 +a(g706 +g1025 +tp8173 +a(g706 +g1027 +tp8174 +a(g827 +V\u000a +p8175 +tp8176 +a(g827 +V +p8177 +tp8178 +a(g436 +g4991 +tp8179 +a(g827 +g960 +tp8180 +a(g413 +g1185 +tp8181 +a(g827 +g960 +tp8182 +a(g436 +VNUM2LONG +p8183 +tp8184 +a(g706 +g1016 +tp8185 +a(g436 +Vnv +p8186 +tp8187 +a(g706 +g1025 +tp8188 +a(g706 +g1027 +tp8189 +a(g827 +V\u000a +p8190 +tp8191 +a(g827 +V +p8192 +tp8193 +a(g745 +Vif +p8194 +tp8195 +a(g827 +g960 +tp8196 +a(g706 +g1016 +tp8197 +a(g436 +g4991 +tp8198 +a(g827 +g960 +tp8199 +a(g413 +g1694 +tp8200 +a(g827 +g960 +tp8201 +a(g436 +VRARRAY +p8202 +tp8203 +a(g706 +g1016 +tp8204 +a(g436 +Vary +p8205 +tp8206 +a(g706 +g1025 +tp8207 +a(g413 +g1692 +tp8208 +a(g413 +g1694 +tp8209 +a(g436 +Vlen +p8210 +tp8211 +a(g706 +g1025 +tp8212 +a(g827 +g960 +tp8213 +a(g706 +g1293 +tp8214 +a(g827 +V\u000a +p8215 +tp8216 +a(g827 +g1297 +tp8217 +a(g436 +g4991 +tp8218 +a(g827 +g960 +tp8219 +a(g413 +g1185 +tp8220 +a(g827 +g960 +tp8221 +a(g436 +VRARRAY +p8222 +tp8223 +a(g706 +g1016 +tp8224 +a(g436 +Vary +p8225 +tp8226 +a(g706 +g1025 +tp8227 +a(g413 +g1692 +tp8228 +a(g413 +g1694 +tp8229 +a(g436 +Vlen +p8230 +tp8231 +a(g706 +g1027 +tp8232 +a(g827 +V\u000a +p8233 +tp8234 +a(g827 +V +p8235 +tp8236 +a(g706 +g1721 +tp8237 +a(g827 +V\u000a +p8238 +tp8239 +a(g827 +V +p8240 +tp8241 +a(g745 +Velse +p8242 +tp8243 +a(g827 +g960 +tp8244 +a(g745 +Vif +p8245 +tp8246 +a(g827 +g960 +tp8247 +a(g706 +g1016 +tp8248 +a(g436 +g4991 +tp8249 +a(g827 +g960 +tp8250 +a(g413 +g4656 +tp8251 +a(g827 +g960 +tp8252 +a(g37 +g1188 +tp8253 +a(g706 +g1025 +tp8254 +a(g827 +g960 +tp8255 +a(g706 +g1293 +tp8256 +a(g827 +V\u000a +p8257 +tp8258 +a(g827 +g1297 +tp8259 +a(g436 +Vrb_raise +p8260 +tp8261 +a(g706 +g1016 +tp8262 +a(g436 +Vrb_eArgError +p8263 +tp8264 +a(g706 +g1372 +tp8265 +a(g827 +g960 +tp8266 +a(g89 +g1375 +tp8267 +a(g89 +Vnegative array size +p8268 +tp8269 +a(g89 +g1375 +tp8270 +a(g706 +g1025 +tp8271 +a(g706 +g1027 +tp8272 +a(g827 +V\u000a +p8273 +tp8274 +a(g827 +V +p8275 +tp8276 +a(g706 +g1721 +tp8277 +a(g827 +V\u000a +p8278 +tp8279 +a(g827 +V +p8280 +tp8281 +a(g436 +Vresult +p8282 +tp8283 +a(g827 +g960 +tp8284 +a(g413 +g1185 +tp8285 +a(g827 +g960 +tp8286 +a(g436 +Vary_shared_array +p8287 +tp8288 +a(g706 +g1016 +tp8289 +a(g436 +Vrb_cArray +p8290 +tp8291 +a(g706 +g1372 +tp8292 +a(g827 +g960 +tp8293 +a(g436 +Vary +p8294 +tp8295 +a(g706 +g1025 +tp8296 +a(g706 +g1027 +tp8297 +a(g827 +V\u000a +p8298 +tp8299 +a(g827 +V +p8300 +tp8301 +a(g436 +VRARRAY +p8302 +tp8303 +a(g706 +g1016 +tp8304 +a(g436 +Vresult +p8305 +tp8306 +a(g706 +g1025 +tp8307 +a(g413 +g1692 +tp8308 +a(g413 +g1694 +tp8309 +a(g436 +Vlen +p8310 +tp8311 +a(g827 +g960 +tp8312 +a(g413 +g1185 +tp8313 +a(g827 +g960 +tp8314 +a(g436 +g4991 +tp8315 +a(g706 +g1027 +tp8316 +a(g827 +V\u000a +p8317 +tp8318 +a(g827 +V +p8319 +tp8320 +a(g745 +Vreturn +p8321 +tp8322 +a(g827 +g960 +tp8323 +a(g436 +Vresult +p8324 +tp8325 +a(g706 +g1027 +tp8326 +a(g827 +V\u000a +p8327 +tp8328 +a(g706 +g1721 +tp8329 +a(g827 +V\u000a +p8330 +tp8331 +a(g827 +V\u000a +p8332 +tp8333 +a(g745 +Vstatic +p8334 +tp8335 +a(g827 +g960 +tp8336 +a(g436 +VVALUE +p8337 +tp8338 +a(g827 +V\u000a +p8339 +tp8340 +a(g436 +Vary_shared_last +p8341 +tp8342 +a(g706 +g1016 +tp8343 +a(g436 +Vargc +p8344 +tp8345 +a(g706 +g1372 +tp8346 +a(g827 +g960 +tp8347 +a(g436 +Vargv +p8348 +tp8349 +a(g706 +g1372 +tp8350 +a(g827 +g960 +tp8351 +a(g436 +Vary +p8352 +tp8353 +a(g706 +g1025 +tp8354 +a(g827 +V\u000a +p8355 +tp8356 +a(g827 +V +p8357 +tp8358 +a(g749 +Vint +p8359 +tp8360 +a(g827 +g960 +tp8361 +a(g436 +Vargc +p8362 +tp8363 +a(g706 +g1027 +tp8364 +a(g827 +V\u000a +p8365 +tp8366 +a(g827 +V +p8367 +tp8368 +a(g436 +VVALUE +p8369 +tp8370 +a(g827 +g960 +tp8371 +a(g413 +g1020 +tp8372 +a(g436 +Vargv +p8373 +tp8374 +a(g706 +g1027 +tp8375 +a(g827 +V\u000a +p8376 +tp8377 +a(g827 +V +p8378 +tp8379 +a(g436 +VVALUE +p8380 +tp8381 +a(g827 +g960 +tp8382 +a(g436 +Vary +p8383 +tp8384 +a(g706 +g1027 +tp8385 +a(g827 +V\u000a +p8386 +tp8387 +a(g706 +g1293 +tp8388 +a(g827 +V\u000a +p8389 +tp8390 +a(g827 +V +p8391 +tp8392 +a(g436 +VVALUE +p8393 +tp8394 +a(g827 +g960 +tp8395 +a(g436 +Vresult +p8396 +tp8397 +a(g827 +g960 +tp8398 +a(g413 +g1185 +tp8399 +a(g827 +g960 +tp8400 +a(g436 +Vary_shared_first +p8401 +tp8402 +a(g706 +g1016 +tp8403 +a(g436 +Vargc +p8404 +tp8405 +a(g706 +g1372 +tp8406 +a(g827 +g960 +tp8407 +a(g436 +Vargv +p8408 +tp8409 +a(g706 +g1372 +tp8410 +a(g827 +g960 +tp8411 +a(g436 +Vary +p8412 +tp8413 +a(g706 +g1025 +tp8414 +a(g706 +g1027 +tp8415 +a(g827 +V\u000a +p8416 +tp8417 +a(g827 +V\u000a +p8418 +tp8419 +a(g827 +V +p8420 +tp8421 +a(g436 +VRARRAY +p8422 +tp8423 +a(g706 +g1016 +tp8424 +a(g436 +Vresult +p8425 +tp8426 +a(g706 +g1025 +tp8427 +a(g413 +g1692 +tp8428 +a(g413 +g1694 +tp8429 +a(g436 +Vptr +p8430 +tp8431 +a(g827 +g960 +tp8432 +a(g413 +g2280 +tp8433 +a(g413 +g1185 +tp8434 +a(g827 +g960 +tp8435 +a(g436 +VRARRAY +p8436 +tp8437 +a(g706 +g1016 +tp8438 +a(g436 +Vary +p8439 +tp8440 +a(g706 +g1025 +tp8441 +a(g413 +g1692 +tp8442 +a(g413 +g1694 +tp8443 +a(g436 +Vlen +p8444 +tp8445 +a(g827 +g960 +tp8446 +a(g413 +g1692 +tp8447 +a(g827 +g960 +tp8448 +a(g436 +VRARRAY +p8449 +tp8450 +a(g706 +g1016 +tp8451 +a(g436 +Vresult +p8452 +tp8453 +a(g706 +g1025 +tp8454 +a(g413 +g1692 +tp8455 +a(g413 +g1694 +tp8456 +a(g436 +Vlen +p8457 +tp8458 +a(g706 +g1027 +tp8459 +a(g827 +V\u000a +p8460 +tp8461 +a(g827 +V +p8462 +tp8463 +a(g745 +Vreturn +p8464 +tp8465 +a(g827 +g960 +tp8466 +a(g436 +Vresult +p8467 +tp8468 +a(g706 +g1027 +tp8469 +a(g827 +V\u000a +p8470 +tp8471 +a(g706 +g1721 +tp8472 +a(g827 +V\u000a +p8473 +tp8474 +a(g827 +V\u000a +p8475 +tp8476 +a(g929 +V/*\u000a * call-seq:\u000a * array << obj -> array\u000a * \u000a * Append---Pushes the given object on to the end of this array. This\u000a * expression returns the array itself, so several appends\u000a * may be chained together.\u000a *\u000a * [ 1, 2 ] << "c" << "d" << [ 3, 4 ]\u000a * #=> [ 1, 2, "c", "d", [ 3, 4 ] ]\u000a *\u000a */ +p8477 +tp8478 +a(g827 +V\u000a +p8479 +tp8480 +a(g827 +V\u000a +p8481 +tp8482 +a(g436 +VVALUE +p8483 +tp8484 +a(g827 +V\u000a +p8485 +tp8486 +a(g436 +Vrb_ary_push +p8487 +tp8488 +a(g706 +g1016 +tp8489 +a(g436 +Vary +p8490 +tp8491 +a(g706 +g1372 +tp8492 +a(g827 +g960 +tp8493 +a(g436 +Vitem +p8494 +tp8495 +a(g706 +g1025 +tp8496 +a(g827 +V\u000a +p8497 +tp8498 +a(g827 +V +p8499 +tp8500 +a(g436 +VVALUE +p8501 +tp8502 +a(g827 +g960 +tp8503 +a(g436 +Vary +p8504 +tp8505 +a(g706 +g1027 +tp8506 +a(g827 +V\u000a +p8507 +tp8508 +a(g827 +V +p8509 +tp8510 +a(g436 +VVALUE +p8511 +tp8512 +a(g827 +g960 +tp8513 +a(g436 +Vitem +p8514 +tp8515 +a(g706 +g1027 +tp8516 +a(g827 +V\u000a +p8517 +tp8518 +a(g706 +g1293 +tp8519 +a(g827 +V\u000a +p8520 +tp8521 +a(g827 +V +p8522 +tp8523 +a(g436 +Vrb_ary_store +p8524 +tp8525 +a(g706 +g1016 +tp8526 +a(g436 +Vary +p8527 +tp8528 +a(g706 +g1372 +tp8529 +a(g827 +g960 +tp8530 +a(g436 +VRARRAY +p8531 +tp8532 +a(g706 +g1016 +tp8533 +a(g436 +Vary +p8534 +tp8535 +a(g706 +g1025 +tp8536 +a(g413 +g1692 +tp8537 +a(g413 +g1694 +tp8538 +a(g436 +Vlen +p8539 +tp8540 +a(g706 +g1372 +tp8541 +a(g827 +g960 +tp8542 +a(g436 +Vitem +p8543 +tp8544 +a(g706 +g1025 +tp8545 +a(g706 +g1027 +tp8546 +a(g827 +V\u000a +p8547 +tp8548 +a(g827 +V +p8549 +tp8550 +a(g745 +Vreturn +p8551 +tp8552 +a(g827 +g960 +tp8553 +a(g436 +Vary +p8554 +tp8555 +a(g706 +g1027 +tp8556 +a(g827 +V\u000a +p8557 +tp8558 +a(g706 +g1721 +tp8559 +a(g827 +V\u000a +p8560 +tp8561 +a(g827 +V\u000a +p8562 +tp8563 +a(g929 +V/* \u000a * call-seq:\u000a * array.push(obj, ... ) -> array\u000a * \u000a * Append---Pushes the given object(s) on to the end of this array. This\u000a * expression returns the array itself, so several appends\u000a * may be chained together.\u000a *\u000a * a = [ "a", "b", "c" ]\u000a * a.push("d", "e", "f") \u000a * #=> ["a", "b", "c", "d", "e", "f"]\u000a */ +p8564 +tp8565 +a(g827 +V\u000a +p8566 +tp8567 +a(g827 +V\u000a +p8568 +tp8569 +a(g745 +Vstatic +p8570 +tp8571 +a(g827 +g960 +tp8572 +a(g436 +VVALUE +p8573 +tp8574 +a(g827 +V\u000a +p8575 +tp8576 +a(g436 +Vrb_ary_push_m +p8577 +tp8578 +a(g706 +g1016 +tp8579 +a(g436 +Vargc +p8580 +tp8581 +a(g706 +g1372 +tp8582 +a(g827 +g960 +tp8583 +a(g436 +Vargv +p8584 +tp8585 +a(g706 +g1372 +tp8586 +a(g827 +g960 +tp8587 +a(g436 +Vary +p8588 +tp8589 +a(g706 +g1025 +tp8590 +a(g827 +V\u000a +p8591 +tp8592 +a(g827 +V +p8593 +tp8594 +a(g749 +Vint +p8595 +tp8596 +a(g827 +g960 +tp8597 +a(g436 +Vargc +p8598 +tp8599 +a(g706 +g1027 +tp8600 +a(g827 +V\u000a +p8601 +tp8602 +a(g827 +V +p8603 +tp8604 +a(g436 +VVALUE +p8605 +tp8606 +a(g827 +g960 +tp8607 +a(g413 +g1020 +tp8608 +a(g436 +Vargv +p8609 +tp8610 +a(g706 +g1027 +tp8611 +a(g827 +V\u000a +p8612 +tp8613 +a(g827 +V +p8614 +tp8615 +a(g436 +VVALUE +p8616 +tp8617 +a(g827 +g960 +tp8618 +a(g436 +Vary +p8619 +tp8620 +a(g706 +g1027 +tp8621 +a(g827 +V\u000a +p8622 +tp8623 +a(g706 +g1293 +tp8624 +a(g827 +V\u000a +p8625 +tp8626 +a(g827 +V +p8627 +tp8628 +a(g745 +Vwhile +p8629 +tp8630 +a(g827 +g960 +tp8631 +a(g706 +g1016 +tp8632 +a(g436 +Vargc +p8633 +tp8634 +a(g413 +g1692 +tp8635 +a(g413 +g1692 +tp8636 +a(g706 +g1025 +tp8637 +a(g827 +g960 +tp8638 +a(g706 +g1293 +tp8639 +a(g827 +V\u000a +p8640 +tp8641 +a(g827 +g1297 +tp8642 +a(g436 +Vrb_ary_push +p8643 +tp8644 +a(g706 +g1016 +tp8645 +a(g436 +Vary +p8646 +tp8647 +a(g706 +g1372 +tp8648 +a(g827 +g960 +tp8649 +a(g413 +g1020 +tp8650 +a(g436 +Vargv +p8651 +tp8652 +a(g413 +g2280 +tp8653 +a(g413 +g2280 +tp8654 +a(g706 +g1025 +tp8655 +a(g706 +g1027 +tp8656 +a(g827 +V\u000a +p8657 +tp8658 +a(g827 +V +p8659 +tp8660 +a(g706 +g1721 +tp8661 +a(g827 +V\u000a +p8662 +tp8663 +a(g827 +V +p8664 +tp8665 +a(g745 +Vreturn +p8666 +tp8667 +a(g827 +g960 +tp8668 +a(g436 +Vary +p8669 +tp8670 +a(g706 +g1027 +tp8671 +a(g827 +V\u000a +p8672 +tp8673 +a(g706 +g1721 +tp8674 +a(g827 +V\u000a +p8675 +tp8676 +a(g827 +V\u000a +p8677 +tp8678 +a(g436 +VVALUE +p8679 +tp8680 +a(g827 +V\u000a +p8681 +tp8682 +a(g436 +Vrb_ary_pop +p8683 +tp8684 +a(g706 +g1016 +tp8685 +a(g436 +Vary +p8686 +tp8687 +a(g706 +g1025 +tp8688 +a(g827 +V\u000a +p8689 +tp8690 +a(g827 +V +p8691 +tp8692 +a(g436 +VVALUE +p8693 +tp8694 +a(g827 +g960 +tp8695 +a(g436 +Vary +p8696 +tp8697 +a(g706 +g1027 +tp8698 +a(g827 +V\u000a +p8699 +tp8700 +a(g706 +g1293 +tp8701 +a(g827 +V\u000a +p8702 +tp8703 +a(g827 +V +p8704 +tp8705 +a(g436 +Vrb_ary_modify_check +p8706 +tp8707 +a(g706 +g1016 +tp8708 +a(g436 +Vary +p8709 +tp8710 +a(g706 +g1025 +tp8711 +a(g706 +g1027 +tp8712 +a(g827 +V\u000a +p8713 +tp8714 +a(g827 +V +p8715 +tp8716 +a(g745 +Vif +p8717 +tp8718 +a(g827 +g960 +tp8719 +a(g706 +g1016 +tp8720 +a(g436 +VRARRAY +p8721 +tp8722 +a(g706 +g1016 +tp8723 +a(g436 +Vary +p8724 +tp8725 +a(g706 +g1025 +tp8726 +a(g413 +g1692 +tp8727 +a(g413 +g1694 +tp8728 +a(g436 +Vlen +p8729 +tp8730 +a(g827 +g960 +tp8731 +a(g413 +g1185 +tp8732 +a(g413 +g1185 +tp8733 +a(g827 +g960 +tp8734 +a(g37 +g1188 +tp8735 +a(g706 +g1025 +tp8736 +a(g827 +g960 +tp8737 +a(g745 +Vreturn +p8738 +tp8739 +a(g827 +g960 +tp8740 +a(g436 +VQnil +p8741 +tp8742 +a(g706 +g1027 +tp8743 +a(g827 +V\u000a +p8744 +tp8745 +a(g827 +V +p8746 +tp8747 +a(g745 +Vif +p8748 +tp8749 +a(g827 +g960 +tp8750 +a(g706 +g1016 +tp8751 +a(g413 +g2490 +tp8752 +a(g436 +VFL_TEST +p8753 +tp8754 +a(g706 +g1016 +tp8755 +a(g436 +Vary +p8756 +tp8757 +a(g706 +g1372 +tp8758 +a(g827 +g960 +tp8759 +a(g436 +VELTS_SHARED +p8760 +tp8761 +a(g706 +g1025 +tp8762 +a(g827 +g960 +tp8763 +a(g413 +g4051 +tp8764 +a(g413 +g4051 +tp8765 +a(g827 +V\u000a +p8766 +tp8767 +a(g827 +V +p8768 +tp8769 +a(g436 +VRARRAY +p8770 +tp8771 +a(g706 +g1016 +tp8772 +a(g436 +Vary +p8773 +tp8774 +a(g706 +g1025 +tp8775 +a(g413 +g1692 +tp8776 +a(g413 +g1694 +tp8777 +a(g436 +Vlen +p8778 +tp8779 +a(g827 +g960 +tp8780 +a(g413 +g1020 +tp8781 +a(g827 +g960 +tp8782 +a(g37 +g2780 +tp8783 +a(g827 +g960 +tp8784 +a(g413 +g4656 +tp8785 +a(g827 +g960 +tp8786 +a(g436 +VRARRAY +p8787 +tp8788 +a(g706 +g1016 +tp8789 +a(g436 +Vary +p8790 +tp8791 +a(g706 +g1025 +tp8792 +a(g413 +g1692 +tp8793 +a(g413 +g1694 +tp8794 +a(g436 +Vaux +p8795 +tp8796 +a(g706 +g4214 +tp8797 +a(g436 +Vcapa +p8798 +tp8799 +a(g827 +g960 +tp8800 +a(g413 +g4051 +tp8801 +a(g413 +g4051 +tp8802 +a(g827 +V\u000a +p8803 +tp8804 +a(g827 +V +p8805 +tp8806 +a(g436 +VRARRAY +p8807 +tp8808 +a(g706 +g1016 +tp8809 +a(g436 +Vary +p8810 +tp8811 +a(g706 +g1025 +tp8812 +a(g413 +g1692 +tp8813 +a(g413 +g1694 +tp8814 +a(g436 +Vaux +p8815 +tp8816 +a(g706 +g4214 +tp8817 +a(g436 +Vcapa +p8818 +tp8819 +a(g827 +g960 +tp8820 +a(g413 +g1694 +tp8821 +a(g827 +g960 +tp8822 +a(g436 +VARY_DEFAULT_SIZE +p8823 +tp8824 +a(g706 +g1025 +tp8825 +a(g827 +g960 +tp8826 +a(g706 +g1293 +tp8827 +a(g827 +V\u000a +p8828 +tp8829 +a(g827 +g1297 +tp8830 +a(g436 +VRARRAY +p8831 +tp8832 +a(g706 +g1016 +tp8833 +a(g436 +Vary +p8834 +tp8835 +a(g706 +g1025 +tp8836 +a(g413 +g1692 +tp8837 +a(g413 +g1694 +tp8838 +a(g436 +Vaux +p8839 +tp8840 +a(g706 +g4214 +tp8841 +a(g436 +Vcapa +p8842 +tp8843 +a(g827 +g960 +tp8844 +a(g413 +g1185 +tp8845 +a(g827 +g960 +tp8846 +a(g436 +VRARRAY +p8847 +tp8848 +a(g706 +g1016 +tp8849 +a(g436 +Vary +p8850 +tp8851 +a(g706 +g1025 +tp8852 +a(g413 +g1692 +tp8853 +a(g413 +g1694 +tp8854 +a(g436 +Vlen +p8855 +tp8856 +a(g827 +g960 +tp8857 +a(g413 +g1020 +tp8858 +a(g827 +g960 +tp8859 +a(g37 +g2780 +tp8860 +a(g706 +g1027 +tp8861 +a(g827 +V\u000a +p8862 +tp8863 +a(g827 +g1297 +tp8864 +a(g436 +VREALLOC_N +p8865 +tp8866 +a(g706 +g1016 +tp8867 +a(g436 +VRARRAY +p8868 +tp8869 +a(g706 +g1016 +tp8870 +a(g436 +Vary +p8871 +tp8872 +a(g706 +g1025 +tp8873 +a(g413 +g1692 +tp8874 +a(g413 +g1694 +tp8875 +a(g436 +Vptr +p8876 +tp8877 +a(g706 +g1372 +tp8878 +a(g827 +g960 +tp8879 +a(g436 +VVALUE +p8880 +tp8881 +a(g706 +g1372 +tp8882 +a(g827 +g960 +tp8883 +a(g436 +VRARRAY +p8884 +tp8885 +a(g706 +g1016 +tp8886 +a(g436 +Vary +p8887 +tp8888 +a(g706 +g1025 +tp8889 +a(g413 +g1692 +tp8890 +a(g413 +g1694 +tp8891 +a(g436 +Vaux +p8892 +tp8893 +a(g706 +g4214 +tp8894 +a(g436 +Vcapa +p8895 +tp8896 +a(g706 +g1025 +tp8897 +a(g706 +g1027 +tp8898 +a(g827 +V\u000a +p8899 +tp8900 +a(g827 +V +p8901 +tp8902 +a(g706 +g1721 +tp8903 +a(g827 +V\u000a +p8904 +tp8905 +a(g827 +V +p8906 +tp8907 +a(g745 +Vreturn +p8908 +tp8909 +a(g827 +g960 +tp8910 +a(g436 +VRARRAY +p8911 +tp8912 +a(g706 +g1016 +tp8913 +a(g436 +Vary +p8914 +tp8915 +a(g706 +g1025 +tp8916 +a(g413 +g1692 +tp8917 +a(g413 +g1694 +tp8918 +a(g436 +Vptr +p8919 +tp8920 +a(g706 +g1217 +tp8921 +a(g413 +g1692 +tp8922 +a(g413 +g1692 +tp8923 +a(g436 +VRARRAY +p8924 +tp8925 +a(g706 +g1016 +tp8926 +a(g436 +Vary +p8927 +tp8928 +a(g706 +g1025 +tp8929 +a(g413 +g1692 +tp8930 +a(g413 +g1694 +tp8931 +a(g436 +Vlen +p8932 +tp8933 +a(g706 +g1221 +tp8934 +a(g706 +g1027 +tp8935 +a(g827 +V\u000a +p8936 +tp8937 +a(g706 +g1721 +tp8938 +a(g827 +V\u000a +p8939 +tp8940 +a(g827 +V\u000a +p8941 +tp8942 +a(g929 +V/*\u000a * call-seq:\u000a * array.pop -> obj or nil\u000a * \u000a * Removes the last element from self and returns it, or\u000a * nil if the array is empty.\u000a * \u000a * a = [ "a", "b", "c", "d" ]\u000a * a.pop #=> "d"\u000a * a.pop(2) #=> ["b", "c"]\u000a * a #=> ["a"]\u000a */ +p8943 +tp8944 +a(g827 +V\u000a +p8945 +tp8946 +a(g827 +V\u000a +p8947 +tp8948 +a(g745 +Vstatic +p8949 +tp8950 +a(g827 +g960 +tp8951 +a(g436 +VVALUE +p8952 +tp8953 +a(g827 +V\u000a +p8954 +tp8955 +a(g436 +Vrb_ary_pop_m +p8956 +tp8957 +a(g706 +g1016 +tp8958 +a(g436 +Vargc +p8959 +tp8960 +a(g706 +g1372 +tp8961 +a(g827 +g960 +tp8962 +a(g436 +Vargv +p8963 +tp8964 +a(g706 +g1372 +tp8965 +a(g827 +g960 +tp8966 +a(g436 +Vary +p8967 +tp8968 +a(g706 +g1025 +tp8969 +a(g827 +V\u000a +p8970 +tp8971 +a(g827 +V +p8972 +tp8973 +a(g749 +Vint +p8974 +tp8975 +a(g827 +g960 +tp8976 +a(g436 +Vargc +p8977 +tp8978 +a(g706 +g1027 +tp8979 +a(g827 +V\u000a +p8980 +tp8981 +a(g827 +V +p8982 +tp8983 +a(g436 +VVALUE +p8984 +tp8985 +a(g827 +g960 +tp8986 +a(g413 +g1020 +tp8987 +a(g436 +Vargv +p8988 +tp8989 +a(g706 +g1027 +tp8990 +a(g827 +V\u000a +p8991 +tp8992 +a(g827 +V +p8993 +tp8994 +a(g436 +VVALUE +p8995 +tp8996 +a(g827 +g960 +tp8997 +a(g436 +Vary +p8998 +tp8999 +a(g706 +g1027 +tp9000 +a(g827 +V\u000a +p9001 +tp9002 +a(g706 +g1293 +tp9003 +a(g827 +V\u000a +p9004 +tp9005 +a(g827 +V +p9006 +tp9007 +a(g436 +VVALUE +p9008 +tp9009 +a(g827 +g960 +tp9010 +a(g436 +Vresult +p9011 +tp9012 +a(g706 +g1027 +tp9013 +a(g827 +V\u000a +p9014 +tp9015 +a(g827 +V\u000a +p9016 +tp9017 +a(g827 +V +p9018 +tp9019 +a(g745 +Vif +p9020 +tp9021 +a(g827 +g960 +tp9022 +a(g706 +g1016 +tp9023 +a(g436 +Vargc +p9024 +tp9025 +a(g827 +g960 +tp9026 +a(g413 +g1185 +tp9027 +a(g413 +g1185 +tp9028 +a(g827 +g960 +tp9029 +a(g37 +g1188 +tp9030 +a(g706 +g1025 +tp9031 +a(g827 +g960 +tp9032 +a(g706 +g1293 +tp9033 +a(g827 +V\u000a +p9034 +tp9035 +a(g827 +g1297 +tp9036 +a(g745 +Vreturn +p9037 +tp9038 +a(g827 +g960 +tp9039 +a(g436 +Vrb_ary_pop +p9040 +tp9041 +a(g706 +g1016 +tp9042 +a(g436 +Vary +p9043 +tp9044 +a(g706 +g1025 +tp9045 +a(g706 +g1027 +tp9046 +a(g827 +V\u000a +p9047 +tp9048 +a(g827 +V +p9049 +tp9050 +a(g706 +g1721 +tp9051 +a(g827 +V\u000a +p9052 +tp9053 +a(g827 +V\u000a +p9054 +tp9055 +a(g827 +V +p9056 +tp9057 +a(g436 +Vrb_ary_modify_check +p9058 +tp9059 +a(g706 +g1016 +tp9060 +a(g436 +Vary +p9061 +tp9062 +a(g706 +g1025 +tp9063 +a(g706 +g1027 +tp9064 +a(g827 +V\u000a +p9065 +tp9066 +a(g827 +V\u000a +p9067 +tp9068 +a(g827 +V +p9069 +tp9070 +a(g436 +Vresult +p9071 +tp9072 +a(g827 +g960 +tp9073 +a(g413 +g1185 +tp9074 +a(g827 +g960 +tp9075 +a(g436 +Vary_shared_last +p9076 +tp9077 +a(g706 +g1016 +tp9078 +a(g436 +Vargc +p9079 +tp9080 +a(g706 +g1372 +tp9081 +a(g827 +g960 +tp9082 +a(g436 +Vargv +p9083 +tp9084 +a(g706 +g1372 +tp9085 +a(g827 +g960 +tp9086 +a(g436 +Vary +p9087 +tp9088 +a(g706 +g1025 +tp9089 +a(g706 +g1027 +tp9090 +a(g827 +V\u000a +p9091 +tp9092 +a(g827 +V +p9093 +tp9094 +a(g436 +VRARRAY +p9095 +tp9096 +a(g706 +g1016 +tp9097 +a(g436 +Vary +p9098 +tp9099 +a(g706 +g1025 +tp9100 +a(g413 +g1692 +tp9101 +a(g413 +g1694 +tp9102 +a(g436 +Vlen +p9103 +tp9104 +a(g827 +g960 +tp9105 +a(g413 +g1692 +tp9106 +a(g413 +g1185 +tp9107 +a(g827 +g960 +tp9108 +a(g436 +VRARRAY +p9109 +tp9110 +a(g706 +g1016 +tp9111 +a(g436 +Vresult +p9112 +tp9113 +a(g706 +g1025 +tp9114 +a(g413 +g1692 +tp9115 +a(g413 +g1694 +tp9116 +a(g436 +Vlen +p9117 +tp9118 +a(g706 +g1027 +tp9119 +a(g827 +V\u000a +p9120 +tp9121 +a(g827 +V +p9122 +tp9123 +a(g745 +Vreturn +p9124 +tp9125 +a(g827 +g960 +tp9126 +a(g436 +Vresult +p9127 +tp9128 +a(g706 +g1027 +tp9129 +a(g827 +V\u000a +p9130 +tp9131 +a(g706 +g1721 +tp9132 +a(g827 +V\u000a +p9133 +tp9134 +a(g827 +V\u000a +p9135 +tp9136 +a(g436 +VVALUE +p9137 +tp9138 +a(g827 +V\u000a +p9139 +tp9140 +a(g436 +Vrb_ary_shift +p9141 +tp9142 +a(g706 +g1016 +tp9143 +a(g436 +Vary +p9144 +tp9145 +a(g706 +g1025 +tp9146 +a(g827 +V\u000a +p9147 +tp9148 +a(g827 +V +p9149 +tp9150 +a(g436 +VVALUE +p9151 +tp9152 +a(g827 +g960 +tp9153 +a(g436 +Vary +p9154 +tp9155 +a(g706 +g1027 +tp9156 +a(g827 +V\u000a +p9157 +tp9158 +a(g706 +g1293 +tp9159 +a(g827 +V\u000a +p9160 +tp9161 +a(g827 +V +p9162 +tp9163 +a(g436 +VVALUE +p9164 +tp9165 +a(g827 +g960 +tp9166 +a(g436 +Vtop +p9167 +tp9168 +a(g706 +g1027 +tp9169 +a(g827 +V\u000a +p9170 +tp9171 +a(g827 +V\u000a +p9172 +tp9173 +a(g827 +V +p9174 +tp9175 +a(g436 +Vrb_ary_modify_check +p9176 +tp9177 +a(g706 +g1016 +tp9178 +a(g436 +Vary +p9179 +tp9180 +a(g706 +g1025 +tp9181 +a(g706 +g1027 +tp9182 +a(g827 +V\u000a +p9183 +tp9184 +a(g827 +V +p9185 +tp9186 +a(g745 +Vif +p9187 +tp9188 +a(g827 +g960 +tp9189 +a(g706 +g1016 +tp9190 +a(g436 +VRARRAY +p9191 +tp9192 +a(g706 +g1016 +tp9193 +a(g436 +Vary +p9194 +tp9195 +a(g706 +g1025 +tp9196 +a(g413 +g1692 +tp9197 +a(g413 +g1694 +tp9198 +a(g436 +Vlen +p9199 +tp9200 +a(g827 +g960 +tp9201 +a(g413 +g1185 +tp9202 +a(g413 +g1185 +tp9203 +a(g827 +g960 +tp9204 +a(g37 +g1188 +tp9205 +a(g706 +g1025 +tp9206 +a(g827 +g960 +tp9207 +a(g745 +Vreturn +p9208 +tp9209 +a(g827 +g960 +tp9210 +a(g436 +VQnil +p9211 +tp9212 +a(g706 +g1027 +tp9213 +a(g827 +V\u000a +p9214 +tp9215 +a(g827 +V +p9216 +tp9217 +a(g436 +Vtop +p9218 +tp9219 +a(g827 +g960 +tp9220 +a(g413 +g1185 +tp9221 +a(g827 +g960 +tp9222 +a(g436 +VRARRAY +p9223 +tp9224 +a(g706 +g1016 +tp9225 +a(g436 +Vary +p9226 +tp9227 +a(g706 +g1025 +tp9228 +a(g413 +g1692 +tp9229 +a(g413 +g1694 +tp9230 +a(g436 +Vptr +p9231 +tp9232 +a(g706 +g1217 +tp9233 +a(g37 +g1188 +tp9234 +a(g706 +g1221 +tp9235 +a(g706 +g1027 +tp9236 +a(g827 +V\u000a +p9237 +tp9238 +a(g827 +V +p9239 +tp9240 +a(g436 +Vary_make_shared +p9241 +tp9242 +a(g706 +g1016 +tp9243 +a(g436 +Vary +p9244 +tp9245 +a(g706 +g1025 +tp9246 +a(g706 +g1027 +tp9247 +a(g827 +V\u000a +p9248 +tp9249 +a(g827 +V +p9250 +tp9251 +a(g436 +VRARRAY +p9252 +tp9253 +a(g706 +g1016 +tp9254 +a(g436 +Vary +p9255 +tp9256 +a(g706 +g1025 +tp9257 +a(g413 +g1692 +tp9258 +a(g413 +g1694 +tp9259 +a(g436 +Vptr +p9260 +tp9261 +a(g413 +g2280 +tp9262 +a(g413 +g2280 +tp9263 +a(g706 +g1027 +tp9264 +a(g827 +V +p9265 +tp9266 +a(g929 +V/* shift ptr */ +p9267 +tp9268 +a(g827 +V\u000a +p9269 +tp9270 +a(g827 +V +p9271 +tp9272 +a(g436 +VRARRAY +p9273 +tp9274 +a(g706 +g1016 +tp9275 +a(g436 +Vary +p9276 +tp9277 +a(g706 +g1025 +tp9278 +a(g413 +g1692 +tp9279 +a(g413 +g1694 +tp9280 +a(g436 +Vlen +p9281 +tp9282 +a(g413 +g1692 +tp9283 +a(g413 +g1692 +tp9284 +a(g706 +g1027 +tp9285 +a(g827 +V\u000a +p9286 +tp9287 +a(g827 +V\u000a +p9288 +tp9289 +a(g827 +V +p9290 +tp9291 +a(g745 +Vreturn +p9292 +tp9293 +a(g827 +g960 +tp9294 +a(g436 +Vtop +p9295 +tp9296 +a(g706 +g1027 +tp9297 +a(g827 +V\u000a +p9298 +tp9299 +a(g706 +g1721 +tp9300 +a(g827 +V\u000a +p9301 +tp9302 +a(g827 +V\u000a +p9303 +tp9304 +a(g929 +V/*\u000a * call-seq:\u000a * array.shift -> obj or nil\u000a * \u000a * Returns the first element of self and removes it (shifting all\u000a * other elements down by one). Returns nil if the array\u000a * is empty.\u000a * \u000a * args = [ "-m", "-q", "filename" ]\u000a * args.shift #=> "-m"\u000a * args #=> ["-q", "filename"]\u000a *\u000a * args = [ "-m", "-q", "filename" ]\u000a * args.shift(2) #=> ["-m", "-q"]\u000a * args #=> ["filename"]\u000a */ +p9305 +tp9306 +a(g827 +V\u000a +p9307 +tp9308 +a(g827 +V\u000a +p9309 +tp9310 +a(g745 +Vstatic +p9311 +tp9312 +a(g827 +g960 +tp9313 +a(g436 +VVALUE +p9314 +tp9315 +a(g827 +V\u000a +p9316 +tp9317 +a(g436 +Vrb_ary_shift_m +p9318 +tp9319 +a(g706 +g1016 +tp9320 +a(g436 +Vargc +p9321 +tp9322 +a(g706 +g1372 +tp9323 +a(g827 +g960 +tp9324 +a(g436 +Vargv +p9325 +tp9326 +a(g706 +g1372 +tp9327 +a(g827 +g960 +tp9328 +a(g436 +Vary +p9329 +tp9330 +a(g706 +g1025 +tp9331 +a(g827 +V\u000a +p9332 +tp9333 +a(g827 +V +p9334 +tp9335 +a(g749 +Vint +p9336 +tp9337 +a(g827 +g960 +tp9338 +a(g436 +Vargc +p9339 +tp9340 +a(g706 +g1027 +tp9341 +a(g827 +V\u000a +p9342 +tp9343 +a(g827 +V +p9344 +tp9345 +a(g436 +VVALUE +p9346 +tp9347 +a(g827 +g960 +tp9348 +a(g413 +g1020 +tp9349 +a(g436 +Vargv +p9350 +tp9351 +a(g706 +g1027 +tp9352 +a(g827 +V\u000a +p9353 +tp9354 +a(g827 +V +p9355 +tp9356 +a(g436 +VVALUE +p9357 +tp9358 +a(g827 +g960 +tp9359 +a(g436 +Vary +p9360 +tp9361 +a(g706 +g1027 +tp9362 +a(g827 +V\u000a +p9363 +tp9364 +a(g706 +g1293 +tp9365 +a(g827 +V\u000a +p9366 +tp9367 +a(g827 +V +p9368 +tp9369 +a(g436 +VVALUE +p9370 +tp9371 +a(g827 +g960 +tp9372 +a(g436 +Vresult +p9373 +tp9374 +a(g706 +g1027 +tp9375 +a(g827 +V\u000a +p9376 +tp9377 +a(g827 +V +p9378 +tp9379 +a(g749 +Vlong +p9380 +tp9381 +a(g827 +g960 +tp9382 +a(g436 +g4991 +tp9383 +a(g706 +g1027 +tp9384 +a(g827 +V\u000a +p9385 +tp9386 +a(g827 +V\u000a +p9387 +tp9388 +a(g827 +V +p9389 +tp9390 +a(g745 +Vif +p9391 +tp9392 +a(g827 +g960 +tp9393 +a(g706 +g1016 +tp9394 +a(g436 +Vargc +p9395 +tp9396 +a(g827 +g960 +tp9397 +a(g413 +g1185 +tp9398 +a(g413 +g1185 +tp9399 +a(g827 +g960 +tp9400 +a(g37 +g1188 +tp9401 +a(g706 +g1025 +tp9402 +a(g827 +g960 +tp9403 +a(g706 +g1293 +tp9404 +a(g827 +V\u000a +p9405 +tp9406 +a(g827 +g1297 +tp9407 +a(g745 +Vreturn +p9408 +tp9409 +a(g827 +g960 +tp9410 +a(g436 +Vrb_ary_shift +p9411 +tp9412 +a(g706 +g1016 +tp9413 +a(g436 +Vary +p9414 +tp9415 +a(g706 +g1025 +tp9416 +a(g706 +g1027 +tp9417 +a(g827 +V\u000a +p9418 +tp9419 +a(g827 +V +p9420 +tp9421 +a(g706 +g1721 +tp9422 +a(g827 +V\u000a +p9423 +tp9424 +a(g827 +V\u000a +p9425 +tp9426 +a(g827 +V +p9427 +tp9428 +a(g436 +Vrb_ary_modify_check +p9429 +tp9430 +a(g706 +g1016 +tp9431 +a(g436 +Vary +p9432 +tp9433 +a(g706 +g1025 +tp9434 +a(g706 +g1027 +tp9435 +a(g827 +V\u000a +p9436 +tp9437 +a(g827 +V\u000a +p9438 +tp9439 +a(g827 +V +p9440 +tp9441 +a(g436 +Vresult +p9442 +tp9443 +a(g827 +g960 +tp9444 +a(g413 +g1185 +tp9445 +a(g827 +g960 +tp9446 +a(g436 +Vary_shared_first +p9447 +tp9448 +a(g706 +g1016 +tp9449 +a(g436 +Vargc +p9450 +tp9451 +a(g706 +g1372 +tp9452 +a(g827 +g960 +tp9453 +a(g436 +Vargv +p9454 +tp9455 +a(g706 +g1372 +tp9456 +a(g827 +g960 +tp9457 +a(g436 +Vary +p9458 +tp9459 +a(g706 +g1025 +tp9460 +a(g706 +g1027 +tp9461 +a(g827 +V\u000a +p9462 +tp9463 +a(g827 +V +p9464 +tp9465 +a(g436 +g4991 +tp9466 +a(g827 +g960 +tp9467 +a(g413 +g1185 +tp9468 +a(g827 +g960 +tp9469 +a(g436 +VRARRAY +p9470 +tp9471 +a(g706 +g1016 +tp9472 +a(g436 +Vresult +p9473 +tp9474 +a(g706 +g1025 +tp9475 +a(g413 +g1692 +tp9476 +a(g413 +g1694 +tp9477 +a(g436 +Vlen +p9478 +tp9479 +a(g706 +g1027 +tp9480 +a(g827 +V\u000a +p9481 +tp9482 +a(g827 +V +p9483 +tp9484 +a(g436 +VRARRAY +p9485 +tp9486 +a(g706 +g1016 +tp9487 +a(g436 +Vary +p9488 +tp9489 +a(g706 +g1025 +tp9490 +a(g413 +g1692 +tp9491 +a(g413 +g1694 +tp9492 +a(g436 +Vptr +p9493 +tp9494 +a(g827 +g960 +tp9495 +a(g413 +g2280 +tp9496 +a(g413 +g1185 +tp9497 +a(g827 +g960 +tp9498 +a(g436 +g4991 +tp9499 +a(g706 +g1027 +tp9500 +a(g827 +V\u000a +p9501 +tp9502 +a(g827 +V +p9503 +tp9504 +a(g436 +VRARRAY +p9505 +tp9506 +a(g706 +g1016 +tp9507 +a(g436 +Vary +p9508 +tp9509 +a(g706 +g1025 +tp9510 +a(g413 +g1692 +tp9511 +a(g413 +g1694 +tp9512 +a(g436 +Vlen +p9513 +tp9514 +a(g827 +g960 +tp9515 +a(g413 +g1692 +tp9516 +a(g413 +g1185 +tp9517 +a(g827 +g960 +tp9518 +a(g436 +g4991 +tp9519 +a(g706 +g1027 +tp9520 +a(g827 +V\u000a +p9521 +tp9522 +a(g827 +V\u000a +p9523 +tp9524 +a(g827 +V +p9525 +tp9526 +a(g745 +Vreturn +p9527 +tp9528 +a(g827 +g960 +tp9529 +a(g436 +Vresult +p9530 +tp9531 +a(g706 +g1027 +tp9532 +a(g827 +V\u000a +p9533 +tp9534 +a(g706 +g1721 +tp9535 +a(g827 +V\u000a +p9536 +tp9537 +a(g827 +V\u000a +p9538 +tp9539 +a(g436 +VVALUE +p9540 +tp9541 +a(g827 +V\u000a +p9542 +tp9543 +a(g436 +Vrb_ary_unshift +p9544 +tp9545 +a(g706 +g1016 +tp9546 +a(g436 +Vary +p9547 +tp9548 +a(g706 +g1372 +tp9549 +a(g827 +g960 +tp9550 +a(g436 +Vitem +p9551 +tp9552 +a(g706 +g1025 +tp9553 +a(g827 +V\u000a +p9554 +tp9555 +a(g827 +V +p9556 +tp9557 +a(g436 +VVALUE +p9558 +tp9559 +a(g827 +g960 +tp9560 +a(g436 +Vary +p9561 +tp9562 +a(g706 +g1372 +tp9563 +a(g827 +g960 +tp9564 +a(g436 +Vitem +p9565 +tp9566 +a(g706 +g1027 +tp9567 +a(g827 +V\u000a +p9568 +tp9569 +a(g706 +g1293 +tp9570 +a(g827 +V\u000a +p9571 +tp9572 +a(g827 +V +p9573 +tp9574 +a(g436 +Vrb_ary_modify +p9575 +tp9576 +a(g706 +g1016 +tp9577 +a(g436 +Vary +p9578 +tp9579 +a(g706 +g1025 +tp9580 +a(g706 +g1027 +tp9581 +a(g827 +V\u000a +p9582 +tp9583 +a(g827 +V +p9584 +tp9585 +a(g745 +Vif +p9586 +tp9587 +a(g827 +g960 +tp9588 +a(g706 +g1016 +tp9589 +a(g436 +VRARRAY +p9590 +tp9591 +a(g706 +g1016 +tp9592 +a(g436 +Vary +p9593 +tp9594 +a(g706 +g1025 +tp9595 +a(g413 +g1692 +tp9596 +a(g413 +g1694 +tp9597 +a(g436 +Vlen +p9598 +tp9599 +a(g827 +g960 +tp9600 +a(g413 +g1185 +tp9601 +a(g413 +g1185 +tp9602 +a(g827 +g960 +tp9603 +a(g436 +VRARRAY +p9604 +tp9605 +a(g706 +g1016 +tp9606 +a(g436 +Vary +p9607 +tp9608 +a(g706 +g1025 +tp9609 +a(g413 +g1692 +tp9610 +a(g413 +g1694 +tp9611 +a(g436 +Vaux +p9612 +tp9613 +a(g706 +g4214 +tp9614 +a(g436 +Vcapa +p9615 +tp9616 +a(g706 +g1025 +tp9617 +a(g827 +g960 +tp9618 +a(g706 +g1293 +tp9619 +a(g827 +V\u000a +p9620 +tp9621 +a(g827 +g1297 +tp9622 +a(g749 +Vlong +p9623 +tp9624 +a(g827 +g960 +tp9625 +a(g436 +Vcapa_inc +p9626 +tp9627 +a(g827 +g960 +tp9628 +a(g413 +g1185 +tp9629 +a(g827 +g960 +tp9630 +a(g436 +VRARRAY +p9631 +tp9632 +a(g706 +g1016 +tp9633 +a(g436 +Vary +p9634 +tp9635 +a(g706 +g1025 +tp9636 +a(g413 +g1692 +tp9637 +a(g413 +g1694 +tp9638 +a(g436 +Vaux +p9639 +tp9640 +a(g706 +g4214 +tp9641 +a(g436 +Vcapa +p9642 +tp9643 +a(g827 +g960 +tp9644 +a(g413 +g7741 +tp9645 +a(g827 +g960 +tp9646 +a(g37 +g2780 +tp9647 +a(g706 +g1027 +tp9648 +a(g827 +V\u000a +p9649 +tp9650 +a(g827 +g1297 +tp9651 +a(g745 +Vif +p9652 +tp9653 +a(g827 +g960 +tp9654 +a(g706 +g1016 +tp9655 +a(g436 +Vcapa_inc +p9656 +tp9657 +a(g827 +g960 +tp9658 +a(g413 +g4656 +tp9659 +a(g827 +g960 +tp9660 +a(g436 +VARY_DEFAULT_SIZE +p9661 +tp9662 +a(g706 +g1025 +tp9663 +a(g827 +g960 +tp9664 +a(g706 +g1293 +tp9665 +a(g827 +V\u000a +p9666 +tp9667 +a(g827 +V +p9668 +tp9669 +a(g436 +Vcapa_inc +p9670 +tp9671 +a(g827 +g960 +tp9672 +a(g413 +g1185 +tp9673 +a(g827 +g960 +tp9674 +a(g436 +VARY_DEFAULT_SIZE +p9675 +tp9676 +a(g706 +g1027 +tp9677 +a(g827 +V\u000a +p9678 +tp9679 +a(g827 +g1297 +tp9680 +a(g706 +g1721 +tp9681 +a(g827 +V\u000a +p9682 +tp9683 +a(g827 +g1297 +tp9684 +a(g436 +VRARRAY +p9685 +tp9686 +a(g706 +g1016 +tp9687 +a(g436 +Vary +p9688 +tp9689 +a(g706 +g1025 +tp9690 +a(g413 +g1692 +tp9691 +a(g413 +g1694 +tp9692 +a(g436 +Vaux +p9693 +tp9694 +a(g706 +g4214 +tp9695 +a(g436 +Vcapa +p9696 +tp9697 +a(g827 +g960 +tp9698 +a(g413 +g2280 +tp9699 +a(g413 +g1185 +tp9700 +a(g827 +g960 +tp9701 +a(g436 +Vcapa_inc +p9702 +tp9703 +a(g706 +g1027 +tp9704 +a(g827 +V\u000a +p9705 +tp9706 +a(g827 +g1297 +tp9707 +a(g436 +VREALLOC_N +p9708 +tp9709 +a(g706 +g1016 +tp9710 +a(g436 +VRARRAY +p9711 +tp9712 +a(g706 +g1016 +tp9713 +a(g436 +Vary +p9714 +tp9715 +a(g706 +g1025 +tp9716 +a(g413 +g1692 +tp9717 +a(g413 +g1694 +tp9718 +a(g436 +Vptr +p9719 +tp9720 +a(g706 +g1372 +tp9721 +a(g827 +g960 +tp9722 +a(g436 +VVALUE +p9723 +tp9724 +a(g706 +g1372 +tp9725 +a(g827 +g960 +tp9726 +a(g436 +VRARRAY +p9727 +tp9728 +a(g706 +g1016 +tp9729 +a(g436 +Vary +p9730 +tp9731 +a(g706 +g1025 +tp9732 +a(g413 +g1692 +tp9733 +a(g413 +g1694 +tp9734 +a(g436 +Vaux +p9735 +tp9736 +a(g706 +g4214 +tp9737 +a(g436 +Vcapa +p9738 +tp9739 +a(g706 +g1025 +tp9740 +a(g706 +g1027 +tp9741 +a(g827 +V\u000a +p9742 +tp9743 +a(g827 +V +p9744 +tp9745 +a(g706 +g1721 +tp9746 +a(g827 +V\u000a +p9747 +tp9748 +a(g827 +V\u000a +p9749 +tp9750 +a(g827 +V +p9751 +tp9752 +a(g929 +V/* sliding items */ +p9753 +tp9754 +a(g827 +V\u000a +p9755 +tp9756 +a(g827 +V +p9757 +tp9758 +a(g436 +VMEMMOVE +p9759 +tp9760 +a(g706 +g1016 +tp9761 +a(g436 +VRARRAY +p9762 +tp9763 +a(g706 +g1016 +tp9764 +a(g436 +Vary +p9765 +tp9766 +a(g706 +g1025 +tp9767 +a(g413 +g1692 +tp9768 +a(g413 +g1694 +tp9769 +a(g436 +Vptr +p9770 +tp9771 +a(g827 +g960 +tp9772 +a(g413 +g2280 +tp9773 +a(g827 +g960 +tp9774 +a(g37 +g1205 +tp9775 +a(g706 +g1372 +tp9776 +a(g827 +g960 +tp9777 +a(g436 +VRARRAY +p9778 +tp9779 +a(g706 +g1016 +tp9780 +a(g436 +Vary +p9781 +tp9782 +a(g706 +g1025 +tp9783 +a(g413 +g1692 +tp9784 +a(g413 +g1694 +tp9785 +a(g436 +Vptr +p9786 +tp9787 +a(g706 +g1372 +tp9788 +a(g827 +g960 +tp9789 +a(g436 +VVALUE +p9790 +tp9791 +a(g706 +g1372 +tp9792 +a(g827 +g960 +tp9793 +a(g436 +VRARRAY +p9794 +tp9795 +a(g706 +g1016 +tp9796 +a(g436 +Vary +p9797 +tp9798 +a(g706 +g1025 +tp9799 +a(g413 +g1692 +tp9800 +a(g413 +g1694 +tp9801 +a(g436 +Vlen +p9802 +tp9803 +a(g706 +g1025 +tp9804 +a(g706 +g1027 +tp9805 +a(g827 +V\u000a +p9806 +tp9807 +a(g827 +V\u000a +p9808 +tp9809 +a(g827 +V +p9810 +tp9811 +a(g436 +VRARRAY +p9812 +tp9813 +a(g706 +g1016 +tp9814 +a(g436 +Vary +p9815 +tp9816 +a(g706 +g1025 +tp9817 +a(g413 +g1692 +tp9818 +a(g413 +g1694 +tp9819 +a(g436 +Vlen +p9820 +tp9821 +a(g413 +g2280 +tp9822 +a(g413 +g2280 +tp9823 +a(g706 +g1027 +tp9824 +a(g827 +V\u000a +p9825 +tp9826 +a(g827 +V +p9827 +tp9828 +a(g436 +VRARRAY +p9829 +tp9830 +a(g706 +g1016 +tp9831 +a(g436 +Vary +p9832 +tp9833 +a(g706 +g1025 +tp9834 +a(g413 +g1692 +tp9835 +a(g413 +g1694 +tp9836 +a(g436 +Vptr +p9837 +tp9838 +a(g706 +g1217 +tp9839 +a(g37 +g1188 +tp9840 +a(g706 +g1221 +tp9841 +a(g827 +g960 +tp9842 +a(g413 +g1185 +tp9843 +a(g827 +g960 +tp9844 +a(g436 +Vitem +p9845 +tp9846 +a(g706 +g1027 +tp9847 +a(g827 +V\u000a +p9848 +tp9849 +a(g827 +V\u000a +p9850 +tp9851 +a(g827 +V +p9852 +tp9853 +a(g745 +Vreturn +p9854 +tp9855 +a(g827 +g960 +tp9856 +a(g436 +Vary +p9857 +tp9858 +a(g706 +g1027 +tp9859 +a(g827 +V\u000a +p9860 +tp9861 +a(g706 +g1721 +tp9862 +a(g827 +V\u000a +p9863 +tp9864 +a(g827 +V\u000a +p9865 +tp9866 +a(g929 +V/*\u000a * call-seq:\u000a * array.unshift(obj, ...) -> array\u000a * \u000a * Prepends objects to the front of array.\u000a * other elements up one.\u000a * \u000a * a = [ "b", "c", "d" ]\u000a * a.unshift("a") #=> ["a", "b", "c", "d"]\u000a * a.unshift(1, 2) #=> [ 1, 2, "a", "b", "c", "d"]\u000a */ +p9867 +tp9868 +a(g827 +V\u000a +p9869 +tp9870 +a(g827 +V\u000a +p9871 +tp9872 +a(g745 +Vstatic +p9873 +tp9874 +a(g827 +g960 +tp9875 +a(g436 +VVALUE +p9876 +tp9877 +a(g827 +V\u000a +p9878 +tp9879 +a(g436 +Vrb_ary_unshift_m +p9880 +tp9881 +a(g706 +g1016 +tp9882 +a(g436 +Vargc +p9883 +tp9884 +a(g706 +g1372 +tp9885 +a(g827 +g960 +tp9886 +a(g436 +Vargv +p9887 +tp9888 +a(g706 +g1372 +tp9889 +a(g827 +g960 +tp9890 +a(g436 +Vary +p9891 +tp9892 +a(g706 +g1025 +tp9893 +a(g827 +V\u000a +p9894 +tp9895 +a(g827 +V +p9896 +tp9897 +a(g749 +Vint +p9898 +tp9899 +a(g827 +g960 +tp9900 +a(g436 +Vargc +p9901 +tp9902 +a(g706 +g1027 +tp9903 +a(g827 +V\u000a +p9904 +tp9905 +a(g827 +V +p9906 +tp9907 +a(g436 +VVALUE +p9908 +tp9909 +a(g827 +g960 +tp9910 +a(g413 +g1020 +tp9911 +a(g436 +Vargv +p9912 +tp9913 +a(g706 +g1027 +tp9914 +a(g827 +V\u000a +p9915 +tp9916 +a(g827 +V +p9917 +tp9918 +a(g436 +VVALUE +p9919 +tp9920 +a(g827 +g960 +tp9921 +a(g436 +Vary +p9922 +tp9923 +a(g706 +g1027 +tp9924 +a(g827 +V\u000a +p9925 +tp9926 +a(g706 +g1293 +tp9927 +a(g827 +V\u000a +p9928 +tp9929 +a(g827 +V +p9930 +tp9931 +a(g749 +Vlong +p9932 +tp9933 +a(g827 +g960 +tp9934 +a(g436 +Vlen +p9935 +tp9936 +a(g827 +g960 +tp9937 +a(g413 +g1185 +tp9938 +a(g827 +g960 +tp9939 +a(g436 +VRARRAY +p9940 +tp9941 +a(g706 +g1016 +tp9942 +a(g436 +Vary +p9943 +tp9944 +a(g706 +g1025 +tp9945 +a(g413 +g1692 +tp9946 +a(g413 +g1694 +tp9947 +a(g436 +Vlen +p9948 +tp9949 +a(g706 +g1027 +tp9950 +a(g827 +V\u000a +p9951 +tp9952 +a(g827 +V\u000a +p9953 +tp9954 +a(g827 +V +p9955 +tp9956 +a(g745 +Vif +p9957 +tp9958 +a(g827 +g960 +tp9959 +a(g706 +g1016 +tp9960 +a(g436 +Vargc +p9961 +tp9962 +a(g827 +g960 +tp9963 +a(g413 +g1185 +tp9964 +a(g413 +g1185 +tp9965 +a(g827 +g960 +tp9966 +a(g37 +g1188 +tp9967 +a(g706 +g1025 +tp9968 +a(g827 +g960 +tp9969 +a(g745 +Vreturn +p9970 +tp9971 +a(g827 +g960 +tp9972 +a(g436 +Vary +p9973 +tp9974 +a(g706 +g1027 +tp9975 +a(g827 +V\u000a +p9976 +tp9977 +a(g827 +V\u000a +p9978 +tp9979 +a(g827 +V +p9980 +tp9981 +a(g929 +V/* make rooms by setting the last item */ +p9982 +tp9983 +a(g827 +V\u000a +p9984 +tp9985 +a(g827 +V +p9986 +tp9987 +a(g436 +Vrb_ary_store +p9988 +tp9989 +a(g706 +g1016 +tp9990 +a(g436 +Vary +p9991 +tp9992 +a(g706 +g1372 +tp9993 +a(g827 +g960 +tp9994 +a(g436 +Vlen +p9995 +tp9996 +a(g827 +g960 +tp9997 +a(g413 +g2280 +tp9998 +a(g827 +g960 +tp9999 +a(g436 +Vargc +p10000 +tp10001 +a(g827 +g960 +tp10002 +a(g413 +g1692 +tp10003 +a(g827 +g960 +tp10004 +a(g37 +g1205 +tp10005 +a(g706 +g1372 +tp10006 +a(g827 +g960 +tp10007 +a(g436 +VQnil +p10008 +tp10009 +a(g706 +g1025 +tp10010 +a(g706 +g1027 +tp10011 +a(g827 +V\u000a +p10012 +tp10013 +a(g827 +V\u000a +p10014 +tp10015 +a(g827 +V +p10016 +tp10017 +a(g929 +V/* sliding items */ +p10018 +tp10019 +a(g827 +V\u000a +p10020 +tp10021 +a(g827 +V +p10022 +tp10023 +a(g436 +VMEMMOVE +p10024 +tp10025 +a(g706 +g1016 +tp10026 +a(g436 +VRARRAY +p10027 +tp10028 +a(g706 +g1016 +tp10029 +a(g436 +Vary +p10030 +tp10031 +a(g706 +g1025 +tp10032 +a(g413 +g1692 +tp10033 +a(g413 +g1694 +tp10034 +a(g436 +Vptr +p10035 +tp10036 +a(g827 +g960 +tp10037 +a(g413 +g2280 +tp10038 +a(g827 +g960 +tp10039 +a(g436 +Vargc +p10040 +tp10041 +a(g706 +g1372 +tp10042 +a(g827 +g960 +tp10043 +a(g436 +VRARRAY +p10044 +tp10045 +a(g706 +g1016 +tp10046 +a(g436 +Vary +p10047 +tp10048 +a(g706 +g1025 +tp10049 +a(g413 +g1692 +tp10050 +a(g413 +g1694 +tp10051 +a(g436 +Vptr +p10052 +tp10053 +a(g706 +g1372 +tp10054 +a(g827 +g960 +tp10055 +a(g436 +VVALUE +p10056 +tp10057 +a(g706 +g1372 +tp10058 +a(g827 +g960 +tp10059 +a(g436 +Vlen +p10060 +tp10061 +a(g706 +g1025 +tp10062 +a(g706 +g1027 +tp10063 +a(g827 +V\u000a +p10064 +tp10065 +a(g827 +V +p10066 +tp10067 +a(g436 +VMEMCPY +p10068 +tp10069 +a(g706 +g1016 +tp10070 +a(g436 +VRARRAY +p10071 +tp10072 +a(g706 +g1016 +tp10073 +a(g436 +Vary +p10074 +tp10075 +a(g706 +g1025 +tp10076 +a(g413 +g1692 +tp10077 +a(g413 +g1694 +tp10078 +a(g436 +Vptr +p10079 +tp10080 +a(g706 +g1372 +tp10081 +a(g827 +g960 +tp10082 +a(g436 +Vargv +p10083 +tp10084 +a(g706 +g1372 +tp10085 +a(g827 +g960 +tp10086 +a(g436 +VVALUE +p10087 +tp10088 +a(g706 +g1372 +tp10089 +a(g827 +g960 +tp10090 +a(g436 +Vargc +p10091 +tp10092 +a(g706 +g1025 +tp10093 +a(g706 +g1027 +tp10094 +a(g827 +V\u000a +p10095 +tp10096 +a(g827 +V \u000a +p10097 +tp10098 +a(g745 +Vreturn +p10099 +tp10100 +a(g827 +g960 +tp10101 +a(g436 +Vary +p10102 +tp10103 +a(g706 +g1027 +tp10104 +a(g827 +V\u000a +p10105 +tp10106 +a(g706 +g1721 +tp10107 +a(g827 +V\u000a +p10108 +tp10109 +a(g827 +V\u000a +p10110 +tp10111 +a(g929 +V/* faster version - use this if you don't need to treat negative offset */ +p10112 +tp10113 +a(g827 +V\u000a +p10114 +tp10115 +a(g745 +Vstatic +p10116 +tp10117 +a(g827 +g960 +tp10118 +a(g794 +Vinline +p10119 +tp10120 +a(g827 +g960 +tp10121 +a(g436 +VVALUE +p10122 +tp10123 +a(g827 +V\u000a +p10124 +tp10125 +a(g436 +Vrb_ary_elt +p10126 +tp10127 +a(g706 +g1016 +tp10128 +a(g436 +Vary +p10129 +tp10130 +a(g706 +g1372 +tp10131 +a(g827 +g960 +tp10132 +a(g436 +Voffset +p10133 +tp10134 +a(g706 +g1025 +tp10135 +a(g827 +V\u000a +p10136 +tp10137 +a(g827 +V +p10138 +tp10139 +a(g436 +VVALUE +p10140 +tp10141 +a(g827 +g960 +tp10142 +a(g436 +Vary +p10143 +tp10144 +a(g706 +g1027 +tp10145 +a(g827 +V\u000a +p10146 +tp10147 +a(g827 +V +p10148 +tp10149 +a(g749 +Vlong +p10150 +tp10151 +a(g827 +g960 +tp10152 +a(g436 +Voffset +p10153 +tp10154 +a(g706 +g1027 +tp10155 +a(g827 +V\u000a +p10156 +tp10157 +a(g706 +g1293 +tp10158 +a(g827 +V\u000a +p10159 +tp10160 +a(g827 +V +p10161 +tp10162 +a(g745 +Vif +p10163 +tp10164 +a(g827 +g960 +tp10165 +a(g706 +g1016 +tp10166 +a(g436 +VRARRAY +p10167 +tp10168 +a(g706 +g1016 +tp10169 +a(g436 +Vary +p10170 +tp10171 +a(g706 +g1025 +tp10172 +a(g413 +g1692 +tp10173 +a(g413 +g1694 +tp10174 +a(g436 +Vlen +p10175 +tp10176 +a(g827 +g960 +tp10177 +a(g413 +g1185 +tp10178 +a(g413 +g1185 +tp10179 +a(g827 +g960 +tp10180 +a(g37 +g1188 +tp10181 +a(g706 +g1025 +tp10182 +a(g827 +g960 +tp10183 +a(g745 +Vreturn +p10184 +tp10185 +a(g827 +g960 +tp10186 +a(g436 +VQnil +p10187 +tp10188 +a(g706 +g1027 +tp10189 +a(g827 +V\u000a +p10190 +tp10191 +a(g827 +V +p10192 +tp10193 +a(g745 +Vif +p10194 +tp10195 +a(g827 +g960 +tp10196 +a(g706 +g1016 +tp10197 +a(g436 +Voffset +p10198 +tp10199 +a(g827 +g960 +tp10200 +a(g413 +g4656 +tp10201 +a(g827 +g960 +tp10202 +a(g37 +g1188 +tp10203 +a(g827 +g960 +tp10204 +a(g413 +V| +p10205 +tp10206 +a(g413 +g10205 +tp10207 +a(g827 +g960 +tp10208 +a(g436 +VRARRAY +p10209 +tp10210 +a(g706 +g1016 +tp10211 +a(g436 +Vary +p10212 +tp10213 +a(g706 +g1025 +tp10214 +a(g413 +g1692 +tp10215 +a(g413 +g1694 +tp10216 +a(g436 +Vlen +p10217 +tp10218 +a(g827 +g960 +tp10219 +a(g413 +g4656 +tp10220 +a(g413 +g1185 +tp10221 +a(g827 +g960 +tp10222 +a(g436 +Voffset +p10223 +tp10224 +a(g706 +g1025 +tp10225 +a(g827 +g960 +tp10226 +a(g706 +g1293 +tp10227 +a(g827 +V\u000a +p10228 +tp10229 +a(g827 +g1297 +tp10230 +a(g745 +Vreturn +p10231 +tp10232 +a(g827 +g960 +tp10233 +a(g436 +VQnil +p10234 +tp10235 +a(g706 +g1027 +tp10236 +a(g827 +V\u000a +p10237 +tp10238 +a(g827 +V +p10239 +tp10240 +a(g706 +g1721 +tp10241 +a(g827 +V\u000a +p10242 +tp10243 +a(g827 +V +p10244 +tp10245 +a(g745 +Vreturn +p10246 +tp10247 +a(g827 +g960 +tp10248 +a(g436 +VRARRAY +p10249 +tp10250 +a(g706 +g1016 +tp10251 +a(g436 +Vary +p10252 +tp10253 +a(g706 +g1025 +tp10254 +a(g413 +g1692 +tp10255 +a(g413 +g1694 +tp10256 +a(g436 +Vptr +p10257 +tp10258 +a(g706 +g1217 +tp10259 +a(g436 +Voffset +p10260 +tp10261 +a(g706 +g1221 +tp10262 +a(g706 +g1027 +tp10263 +a(g827 +V\u000a +p10264 +tp10265 +a(g706 +g1721 +tp10266 +a(g827 +V\u000a +p10267 +tp10268 +a(g827 +V\u000a +p10269 +tp10270 +a(g436 +VVALUE +p10271 +tp10272 +a(g827 +V\u000a +p10273 +tp10274 +a(g436 +Vrb_ary_entry +p10275 +tp10276 +a(g706 +g1016 +tp10277 +a(g436 +Vary +p10278 +tp10279 +a(g706 +g1372 +tp10280 +a(g827 +g960 +tp10281 +a(g436 +Voffset +p10282 +tp10283 +a(g706 +g1025 +tp10284 +a(g827 +V\u000a +p10285 +tp10286 +a(g827 +V +p10287 +tp10288 +a(g436 +VVALUE +p10289 +tp10290 +a(g827 +g960 +tp10291 +a(g436 +Vary +p10292 +tp10293 +a(g706 +g1027 +tp10294 +a(g827 +V\u000a +p10295 +tp10296 +a(g827 +V +p10297 +tp10298 +a(g749 +Vlong +p10299 +tp10300 +a(g827 +g960 +tp10301 +a(g436 +Voffset +p10302 +tp10303 +a(g706 +g1027 +tp10304 +a(g827 +V\u000a +p10305 +tp10306 +a(g706 +g1293 +tp10307 +a(g827 +V\u000a +p10308 +tp10309 +a(g827 +V +p10310 +tp10311 +a(g745 +Vif +p10312 +tp10313 +a(g827 +g960 +tp10314 +a(g706 +g1016 +tp10315 +a(g436 +Voffset +p10316 +tp10317 +a(g827 +g960 +tp10318 +a(g413 +g4656 +tp10319 +a(g827 +g960 +tp10320 +a(g37 +g1188 +tp10321 +a(g706 +g1025 +tp10322 +a(g827 +g960 +tp10323 +a(g706 +g1293 +tp10324 +a(g827 +V\u000a +p10325 +tp10326 +a(g827 +g1297 +tp10327 +a(g436 +Voffset +p10328 +tp10329 +a(g827 +g960 +tp10330 +a(g413 +g2280 +tp10331 +a(g413 +g1185 +tp10332 +a(g827 +g960 +tp10333 +a(g436 +VRARRAY +p10334 +tp10335 +a(g706 +g1016 +tp10336 +a(g436 +Vary +p10337 +tp10338 +a(g706 +g1025 +tp10339 +a(g413 +g1692 +tp10340 +a(g413 +g1694 +tp10341 +a(g436 +Vlen +p10342 +tp10343 +a(g706 +g1027 +tp10344 +a(g827 +V\u000a +p10345 +tp10346 +a(g827 +V +p10347 +tp10348 +a(g706 +g1721 +tp10349 +a(g827 +V\u000a +p10350 +tp10351 +a(g827 +V +p10352 +tp10353 +a(g745 +Vreturn +p10354 +tp10355 +a(g827 +g960 +tp10356 +a(g436 +Vrb_ary_elt +p10357 +tp10358 +a(g706 +g1016 +tp10359 +a(g436 +Vary +p10360 +tp10361 +a(g706 +g1372 +tp10362 +a(g827 +g960 +tp10363 +a(g436 +Voffset +p10364 +tp10365 +a(g706 +g1025 +tp10366 +a(g706 +g1027 +tp10367 +a(g827 +V\u000a +p10368 +tp10369 +a(g706 +g1721 +tp10370 +a(g827 +V\u000a +p10371 +tp10372 +a(g827 +V\u000a +p10373 +tp10374 +a(g745 +Vstatic +p10375 +tp10376 +a(g827 +g960 +tp10377 +a(g436 +VVALUE +p10378 +tp10379 +a(g827 +V\u000a +p10380 +tp10381 +a(g436 +Vrb_ary_subseq +p10382 +tp10383 +a(g706 +g1016 +tp10384 +a(g436 +Vary +p10385 +tp10386 +a(g706 +g1372 +tp10387 +a(g827 +g960 +tp10388 +a(g436 +Vbeg +p10389 +tp10390 +a(g706 +g1372 +tp10391 +a(g827 +g960 +tp10392 +a(g436 +Vlen +p10393 +tp10394 +a(g706 +g1025 +tp10395 +a(g827 +V\u000a +p10396 +tp10397 +a(g827 +V +p10398 +tp10399 +a(g436 +VVALUE +p10400 +tp10401 +a(g827 +g960 +tp10402 +a(g436 +Vary +p10403 +tp10404 +a(g706 +g1027 +tp10405 +a(g827 +V\u000a +p10406 +tp10407 +a(g827 +V +p10408 +tp10409 +a(g749 +Vlong +p10410 +tp10411 +a(g827 +g960 +tp10412 +a(g436 +Vbeg +p10413 +tp10414 +a(g706 +g1372 +tp10415 +a(g827 +g960 +tp10416 +a(g436 +Vlen +p10417 +tp10418 +a(g706 +g1027 +tp10419 +a(g827 +V\u000a +p10420 +tp10421 +a(g706 +g1293 +tp10422 +a(g827 +V\u000a +p10423 +tp10424 +a(g827 +V +p10425 +tp10426 +a(g436 +VVALUE +p10427 +tp10428 +a(g827 +g960 +tp10429 +a(g436 +Vklass +p10430 +tp10431 +a(g706 +g1372 +tp10432 +a(g827 +g960 +tp10433 +a(g436 +Vary2 +p10434 +tp10435 +a(g706 +g1372 +tp10436 +a(g827 +g960 +tp10437 +a(g436 +Vshared +p10438 +tp10439 +a(g706 +g1027 +tp10440 +a(g827 +V\u000a +p10441 +tp10442 +a(g827 +V +p10443 +tp10444 +a(g436 +VVALUE +p10445 +tp10446 +a(g827 +g960 +tp10447 +a(g413 +g1020 +tp10448 +a(g436 +Vptr +p10449 +tp10450 +a(g706 +g1027 +tp10451 +a(g827 +V\u000a +p10452 +tp10453 +a(g827 +V\u000a +p10454 +tp10455 +a(g827 +V +p10456 +tp10457 +a(g745 +Vif +p10458 +tp10459 +a(g827 +g960 +tp10460 +a(g706 +g1016 +tp10461 +a(g436 +Vbeg +p10462 +tp10463 +a(g827 +g960 +tp10464 +a(g413 +g1694 +tp10465 +a(g827 +g960 +tp10466 +a(g436 +VRARRAY +p10467 +tp10468 +a(g706 +g1016 +tp10469 +a(g436 +Vary +p10470 +tp10471 +a(g706 +g1025 +tp10472 +a(g413 +g1692 +tp10473 +a(g413 +g1694 +tp10474 +a(g436 +Vlen +p10475 +tp10476 +a(g706 +g1025 +tp10477 +a(g827 +g960 +tp10478 +a(g745 +Vreturn +p10479 +tp10480 +a(g827 +g960 +tp10481 +a(g436 +VQnil +p10482 +tp10483 +a(g706 +g1027 +tp10484 +a(g827 +V\u000a +p10485 +tp10486 +a(g827 +V +p10487 +tp10488 +a(g745 +Vif +p10489 +tp10490 +a(g827 +g960 +tp10491 +a(g706 +g1016 +tp10492 +a(g436 +Vbeg +p10493 +tp10494 +a(g827 +g960 +tp10495 +a(g413 +g4656 +tp10496 +a(g827 +g960 +tp10497 +a(g37 +g1188 +tp10498 +a(g827 +g960 +tp10499 +a(g413 +g10205 +tp10500 +a(g413 +g10205 +tp10501 +a(g827 +g960 +tp10502 +a(g436 +Vlen +p10503 +tp10504 +a(g827 +g960 +tp10505 +a(g413 +g4656 +tp10506 +a(g827 +g960 +tp10507 +a(g37 +g1188 +tp10508 +a(g706 +g1025 +tp10509 +a(g827 +g960 +tp10510 +a(g745 +Vreturn +p10511 +tp10512 +a(g827 +g960 +tp10513 +a(g436 +VQnil +p10514 +tp10515 +a(g706 +g1027 +tp10516 +a(g827 +V\u000a +p10517 +tp10518 +a(g827 +V\u000a +p10519 +tp10520 +a(g827 +V +p10521 +tp10522 +a(g745 +Vif +p10523 +tp10524 +a(g827 +g960 +tp10525 +a(g706 +g1016 +tp10526 +a(g436 +Vbeg +p10527 +tp10528 +a(g827 +g960 +tp10529 +a(g413 +g2280 +tp10530 +a(g827 +g960 +tp10531 +a(g436 +Vlen +p10532 +tp10533 +a(g827 +g960 +tp10534 +a(g413 +g1694 +tp10535 +a(g827 +g960 +tp10536 +a(g436 +VRARRAY +p10537 +tp10538 +a(g706 +g1016 +tp10539 +a(g436 +Vary +p10540 +tp10541 +a(g706 +g1025 +tp10542 +a(g413 +g1692 +tp10543 +a(g413 +g1694 +tp10544 +a(g436 +Vlen +p10545 +tp10546 +a(g706 +g1025 +tp10547 +a(g827 +g960 +tp10548 +a(g706 +g1293 +tp10549 +a(g827 +V\u000a +p10550 +tp10551 +a(g827 +g1297 +tp10552 +a(g436 +Vlen +p10553 +tp10554 +a(g827 +g960 +tp10555 +a(g413 +g1185 +tp10556 +a(g827 +g960 +tp10557 +a(g436 +VRARRAY +p10558 +tp10559 +a(g706 +g1016 +tp10560 +a(g436 +Vary +p10561 +tp10562 +a(g706 +g1025 +tp10563 +a(g413 +g1692 +tp10564 +a(g413 +g1694 +tp10565 +a(g436 +Vlen +p10566 +tp10567 +a(g827 +g960 +tp10568 +a(g413 +g1692 +tp10569 +a(g827 +g960 +tp10570 +a(g436 +Vbeg +p10571 +tp10572 +a(g706 +g1027 +tp10573 +a(g827 +V\u000a +p10574 +tp10575 +a(g827 +g1297 +tp10576 +a(g745 +Vif +p10577 +tp10578 +a(g827 +g960 +tp10579 +a(g706 +g1016 +tp10580 +a(g436 +Vlen +p10581 +tp10582 +a(g827 +g960 +tp10583 +a(g413 +g4656 +tp10584 +a(g827 +g960 +tp10585 +a(g37 +g1188 +tp10586 +a(g706 +g1025 +tp10587 +a(g827 +V\u000a +p10588 +tp10589 +a(g827 +V +p10590 +tp10591 +a(g436 +Vlen +p10592 +tp10593 +a(g827 +g960 +tp10594 +a(g413 +g1185 +tp10595 +a(g827 +g960 +tp10596 +a(g37 +g1188 +tp10597 +a(g706 +g1027 +tp10598 +a(g827 +V\u000a +p10599 +tp10600 +a(g827 +V +p10601 +tp10602 +a(g706 +g1721 +tp10603 +a(g827 +V\u000a +p10604 +tp10605 +a(g827 +V +p10606 +tp10607 +a(g436 +Vklass +p10608 +tp10609 +a(g827 +g960 +tp10610 +a(g413 +g1185 +tp10611 +a(g827 +g960 +tp10612 +a(g436 +Vrb_obj_class +p10613 +tp10614 +a(g706 +g1016 +tp10615 +a(g436 +Vary +p10616 +tp10617 +a(g706 +g1025 +tp10618 +a(g706 +g1027 +tp10619 +a(g827 +V\u000a +p10620 +tp10621 +a(g827 +V +p10622 +tp10623 +a(g745 +Vif +p10624 +tp10625 +a(g827 +g960 +tp10626 +a(g706 +g1016 +tp10627 +a(g436 +Vlen +p10628 +tp10629 +a(g827 +g960 +tp10630 +a(g413 +g1185 +tp10631 +a(g413 +g1185 +tp10632 +a(g827 +g960 +tp10633 +a(g37 +g1188 +tp10634 +a(g706 +g1025 +tp10635 +a(g827 +g960 +tp10636 +a(g745 +Vreturn +p10637 +tp10638 +a(g827 +g960 +tp10639 +a(g436 +Vary_new +p10640 +tp10641 +a(g706 +g1016 +tp10642 +a(g436 +Vklass +p10643 +tp10644 +a(g706 +g1372 +tp10645 +a(g827 +g960 +tp10646 +a(g37 +g1188 +tp10647 +a(g706 +g1025 +tp10648 +a(g706 +g1027 +tp10649 +a(g827 +V\u000a +p10650 +tp10651 +a(g827 +V\u000a +p10652 +tp10653 +a(g827 +V +p10654 +tp10655 +a(g436 +Vshared +p10656 +tp10657 +a(g827 +g960 +tp10658 +a(g413 +g1185 +tp10659 +a(g827 +g960 +tp10660 +a(g436 +Vary_make_shared +p10661 +tp10662 +a(g706 +g1016 +tp10663 +a(g436 +Vary +p10664 +tp10665 +a(g706 +g1025 +tp10666 +a(g706 +g1027 +tp10667 +a(g827 +V\u000a +p10668 +tp10669 +a(g827 +V +p10670 +tp10671 +a(g436 +Vptr +p10672 +tp10673 +a(g827 +g960 +tp10674 +a(g413 +g1185 +tp10675 +a(g827 +g960 +tp10676 +a(g436 +VRARRAY +p10677 +tp10678 +a(g706 +g1016 +tp10679 +a(g436 +Vary +p10680 +tp10681 +a(g706 +g1025 +tp10682 +a(g413 +g1692 +tp10683 +a(g413 +g1694 +tp10684 +a(g436 +Vptr +p10685 +tp10686 +a(g706 +g1027 +tp10687 +a(g827 +V\u000a +p10688 +tp10689 +a(g827 +V +p10690 +tp10691 +a(g436 +Vary2 +p10692 +tp10693 +a(g827 +g960 +tp10694 +a(g413 +g1185 +tp10695 +a(g827 +g960 +tp10696 +a(g436 +Vary_alloc +p10697 +tp10698 +a(g706 +g1016 +tp10699 +a(g436 +Vklass +p10700 +tp10701 +a(g706 +g1025 +tp10702 +a(g706 +g1027 +tp10703 +a(g827 +V\u000a +p10704 +tp10705 +a(g827 +V +p10706 +tp10707 +a(g436 +VRARRAY +p10708 +tp10709 +a(g706 +g1016 +tp10710 +a(g436 +Vary2 +p10711 +tp10712 +a(g706 +g1025 +tp10713 +a(g413 +g1692 +tp10714 +a(g413 +g1694 +tp10715 +a(g436 +Vptr +p10716 +tp10717 +a(g827 +g960 +tp10718 +a(g413 +g1185 +tp10719 +a(g827 +g960 +tp10720 +a(g436 +Vptr +p10721 +tp10722 +a(g827 +g960 +tp10723 +a(g413 +g2280 +tp10724 +a(g827 +g960 +tp10725 +a(g436 +Vbeg +p10726 +tp10727 +a(g706 +g1027 +tp10728 +a(g827 +V\u000a +p10729 +tp10730 +a(g827 +V +p10731 +tp10732 +a(g436 +VRARRAY +p10733 +tp10734 +a(g706 +g1016 +tp10735 +a(g436 +Vary2 +p10736 +tp10737 +a(g706 +g1025 +tp10738 +a(g413 +g1692 +tp10739 +a(g413 +g1694 +tp10740 +a(g436 +Vlen +p10741 +tp10742 +a(g827 +g960 +tp10743 +a(g413 +g1185 +tp10744 +a(g827 +g960 +tp10745 +a(g436 +Vlen +p10746 +tp10747 +a(g706 +g1027 +tp10748 +a(g827 +V\u000a +p10749 +tp10750 +a(g827 +V +p10751 +tp10752 +a(g436 +VRARRAY +p10753 +tp10754 +a(g706 +g1016 +tp10755 +a(g436 +Vary2 +p10756 +tp10757 +a(g706 +g1025 +tp10758 +a(g413 +g1692 +tp10759 +a(g413 +g1694 +tp10760 +a(g436 +Vaux +p10761 +tp10762 +a(g706 +g4214 +tp10763 +a(g436 +Vshared +p10764 +tp10765 +a(g827 +g960 +tp10766 +a(g413 +g1185 +tp10767 +a(g827 +g960 +tp10768 +a(g436 +Vshared +p10769 +tp10770 +a(g706 +g1027 +tp10771 +a(g827 +V\u000a +p10772 +tp10773 +a(g827 +V +p10774 +tp10775 +a(g436 +VFL_SET +p10776 +tp10777 +a(g706 +g1016 +tp10778 +a(g436 +Vary2 +p10779 +tp10780 +a(g706 +g1372 +tp10781 +a(g827 +g960 +tp10782 +a(g436 +VELTS_SHARED +p10783 +tp10784 +a(g706 +g1025 +tp10785 +a(g706 +g1027 +tp10786 +a(g827 +V\u000a +p10787 +tp10788 +a(g827 +V\u000a +p10789 +tp10790 +a(g827 +V +p10791 +tp10792 +a(g745 +Vreturn +p10793 +tp10794 +a(g827 +g960 +tp10795 +a(g436 +Vary2 +p10796 +tp10797 +a(g706 +g1027 +tp10798 +a(g827 +V\u000a +p10799 +tp10800 +a(g706 +g1721 +tp10801 +a(g827 +V\u000a +p10802 +tp10803 +a(g827 +V\u000a +p10804 +tp10805 +a(g929 +V/* \u000a * call-seq:\u000a * array[index] -> obj or nil\u000a * array[start, length] -> an_array or nil\u000a * array[range] -> an_array or nil\u000a * array.slice(index) -> obj or nil\u000a * array.slice(start, length) -> an_array or nil\u000a * array.slice(range) -> an_array or nil\u000a *\u000a * Element Reference---Returns the element at _index_,\u000a * or returns a subarray starting at _start_ and\u000a * continuing for _length_ elements, or returns a subarray\u000a * specified by _range_.\u000a * Negative indices count backward from the end of the\u000a * array (-1 is the last element). Returns nil if the index\u000a * (or starting index) are out of range.\u000a *\u000a * a = [ "a", "b", "c", "d", "e" ]\u000a * a[2] + a[0] + a[1] #=> "cab"\u000a * a[6] #=> nil\u000a * a[1, 2] #=> [ "b", "c" ]\u000a * a[1..3] #=> [ "b", "c", "d" ]\u000a * a[4..7] #=> [ "e" ]\u000a * a[6..10] #=> nil\u000a * a[-3, 3] #=> [ "c", "d", "e" ]\u000a * # special cases\u000a * a[5] #=> nil\u000a * a[5, 1] #=> []\u000a * a[5..10] #=> []\u000a *\u000a */ +p10806 +tp10807 +a(g827 +V\u000a +p10808 +tp10809 +a(g827 +V\u000a +p10810 +tp10811 +a(g436 +VVALUE +p10812 +tp10813 +a(g827 +V\u000a +p10814 +tp10815 +a(g436 +Vrb_ary_aref +p10816 +tp10817 +a(g706 +g1016 +tp10818 +a(g436 +Vargc +p10819 +tp10820 +a(g706 +g1372 +tp10821 +a(g827 +g960 +tp10822 +a(g436 +Vargv +p10823 +tp10824 +a(g706 +g1372 +tp10825 +a(g827 +g960 +tp10826 +a(g436 +Vary +p10827 +tp10828 +a(g706 +g1025 +tp10829 +a(g827 +V\u000a +p10830 +tp10831 +a(g827 +V +p10832 +tp10833 +a(g749 +Vint +p10834 +tp10835 +a(g827 +g960 +tp10836 +a(g436 +Vargc +p10837 +tp10838 +a(g706 +g1027 +tp10839 +a(g827 +V\u000a +p10840 +tp10841 +a(g827 +V +p10842 +tp10843 +a(g436 +VVALUE +p10844 +tp10845 +a(g827 +g960 +tp10846 +a(g413 +g1020 +tp10847 +a(g436 +Vargv +p10848 +tp10849 +a(g706 +g1027 +tp10850 +a(g827 +V\u000a +p10851 +tp10852 +a(g827 +V +p10853 +tp10854 +a(g436 +VVALUE +p10855 +tp10856 +a(g827 +g960 +tp10857 +a(g436 +Vary +p10858 +tp10859 +a(g706 +g1027 +tp10860 +a(g827 +V\u000a +p10861 +tp10862 +a(g706 +g1293 +tp10863 +a(g827 +V\u000a +p10864 +tp10865 +a(g827 +V +p10866 +tp10867 +a(g436 +VVALUE +p10868 +tp10869 +a(g827 +g960 +tp10870 +a(g436 +Varg +p10871 +tp10872 +a(g706 +g1027 +tp10873 +a(g827 +V\u000a +p10874 +tp10875 +a(g827 +V +p10876 +tp10877 +a(g749 +Vlong +p10878 +tp10879 +a(g827 +g960 +tp10880 +a(g436 +Vbeg +p10881 +tp10882 +a(g706 +g1372 +tp10883 +a(g827 +g960 +tp10884 +a(g436 +Vlen +p10885 +tp10886 +a(g706 +g1027 +tp10887 +a(g827 +V\u000a +p10888 +tp10889 +a(g827 +V\u000a +p10890 +tp10891 +a(g827 +V +p10892 +tp10893 +a(g745 +Vif +p10894 +tp10895 +a(g827 +g960 +tp10896 +a(g706 +g1016 +tp10897 +a(g436 +Vargc +p10898 +tp10899 +a(g827 +g960 +tp10900 +a(g413 +g1185 +tp10901 +a(g413 +g1185 +tp10902 +a(g827 +g960 +tp10903 +a(g37 +g2780 +tp10904 +a(g706 +g1025 +tp10905 +a(g827 +g960 +tp10906 +a(g706 +g1293 +tp10907 +a(g827 +V\u000a +p10908 +tp10909 +a(g827 +g1297 +tp10910 +a(g436 +Vbeg +p10911 +tp10912 +a(g827 +g960 +tp10913 +a(g413 +g1185 +tp10914 +a(g827 +g960 +tp10915 +a(g436 +VNUM2LONG +p10916 +tp10917 +a(g706 +g1016 +tp10918 +a(g436 +Vargv +p10919 +tp10920 +a(g706 +g1217 +tp10921 +a(g37 +g1188 +tp10922 +a(g706 +g1221 +tp10923 +a(g706 +g1025 +tp10924 +a(g706 +g1027 +tp10925 +a(g827 +V\u000a +p10926 +tp10927 +a(g827 +g1297 +tp10928 +a(g436 +Vlen +p10929 +tp10930 +a(g827 +g960 +tp10931 +a(g413 +g1185 +tp10932 +a(g827 +g960 +tp10933 +a(g436 +VNUM2LONG +p10934 +tp10935 +a(g706 +g1016 +tp10936 +a(g436 +Vargv +p10937 +tp10938 +a(g706 +g1217 +tp10939 +a(g37 +g1205 +tp10940 +a(g706 +g1221 +tp10941 +a(g706 +g1025 +tp10942 +a(g706 +g1027 +tp10943 +a(g827 +V\u000a +p10944 +tp10945 +a(g827 +g1297 +tp10946 +a(g745 +Vif +p10947 +tp10948 +a(g827 +g960 +tp10949 +a(g706 +g1016 +tp10950 +a(g436 +Vbeg +p10951 +tp10952 +a(g827 +g960 +tp10953 +a(g413 +g4656 +tp10954 +a(g827 +g960 +tp10955 +a(g37 +g1188 +tp10956 +a(g706 +g1025 +tp10957 +a(g827 +g960 +tp10958 +a(g706 +g1293 +tp10959 +a(g827 +V\u000a +p10960 +tp10961 +a(g827 +V +p10962 +tp10963 +a(g436 +Vbeg +p10964 +tp10965 +a(g827 +g960 +tp10966 +a(g413 +g2280 +tp10967 +a(g413 +g1185 +tp10968 +a(g827 +g960 +tp10969 +a(g436 +VRARRAY +p10970 +tp10971 +a(g706 +g1016 +tp10972 +a(g436 +Vary +p10973 +tp10974 +a(g706 +g1025 +tp10975 +a(g413 +g1692 +tp10976 +a(g413 +g1694 +tp10977 +a(g436 +Vlen +p10978 +tp10979 +a(g706 +g1027 +tp10980 +a(g827 +V\u000a +p10981 +tp10982 +a(g827 +g1297 +tp10983 +a(g706 +g1721 +tp10984 +a(g827 +V\u000a +p10985 +tp10986 +a(g827 +g1297 +tp10987 +a(g745 +Vreturn +p10988 +tp10989 +a(g827 +g960 +tp10990 +a(g436 +Vrb_ary_subseq +p10991 +tp10992 +a(g706 +g1016 +tp10993 +a(g436 +Vary +p10994 +tp10995 +a(g706 +g1372 +tp10996 +a(g827 +g960 +tp10997 +a(g436 +Vbeg +p10998 +tp10999 +a(g706 +g1372 +tp11000 +a(g827 +g960 +tp11001 +a(g436 +Vlen +p11002 +tp11003 +a(g706 +g1025 +tp11004 +a(g706 +g1027 +tp11005 +a(g827 +V\u000a +p11006 +tp11007 +a(g827 +V +p11008 +tp11009 +a(g706 +g1721 +tp11010 +a(g827 +V\u000a +p11011 +tp11012 +a(g827 +V +p11013 +tp11014 +a(g745 +Vif +p11015 +tp11016 +a(g827 +g960 +tp11017 +a(g706 +g1016 +tp11018 +a(g436 +Vargc +p11019 +tp11020 +a(g827 +g960 +tp11021 +a(g413 +g2490 +tp11022 +a(g413 +g1185 +tp11023 +a(g827 +g960 +tp11024 +a(g37 +g1205 +tp11025 +a(g706 +g1025 +tp11026 +a(g827 +g960 +tp11027 +a(g706 +g1293 +tp11028 +a(g827 +V\u000a +p11029 +tp11030 +a(g827 +g1297 +tp11031 +a(g436 +Vrb_scan_args +p11032 +tp11033 +a(g706 +g1016 +tp11034 +a(g436 +Vargc +p11035 +tp11036 +a(g706 +g1372 +tp11037 +a(g827 +g960 +tp11038 +a(g436 +Vargv +p11039 +tp11040 +a(g706 +g1372 +tp11041 +a(g827 +g960 +tp11042 +a(g89 +g1375 +tp11043 +a(g89 +V11 +p11044 +tp11045 +a(g89 +g1375 +tp11046 +a(g706 +g1372 +tp11047 +a(g827 +g960 +tp11048 +a(g37 +g1188 +tp11049 +a(g706 +g1372 +tp11050 +a(g827 +g960 +tp11051 +a(g37 +g1188 +tp11052 +a(g706 +g1025 +tp11053 +a(g706 +g1027 +tp11054 +a(g827 +V\u000a +p11055 +tp11056 +a(g827 +V +p11057 +tp11058 +a(g706 +g1721 +tp11059 +a(g827 +V\u000a +p11060 +tp11061 +a(g827 +V +p11062 +tp11063 +a(g436 +Varg +p11064 +tp11065 +a(g827 +g960 +tp11066 +a(g413 +g1185 +tp11067 +a(g827 +g960 +tp11068 +a(g436 +Vargv +p11069 +tp11070 +a(g706 +g1217 +tp11071 +a(g37 +g1188 +tp11072 +a(g706 +g1221 +tp11073 +a(g706 +g1027 +tp11074 +a(g827 +V\u000a +p11075 +tp11076 +a(g827 +V +p11077 +tp11078 +a(g929 +V/* special case - speeding up */ +p11079 +tp11080 +a(g827 +V\u000a +p11081 +tp11082 +a(g827 +V +p11083 +tp11084 +a(g745 +Vif +p11085 +tp11086 +a(g827 +g960 +tp11087 +a(g706 +g1016 +tp11088 +a(g436 +VFIXNUM_P +p11089 +tp11090 +a(g706 +g1016 +tp11091 +a(g436 +Varg +p11092 +tp11093 +a(g706 +g1025 +tp11094 +a(g706 +g1025 +tp11095 +a(g827 +g960 +tp11096 +a(g706 +g1293 +tp11097 +a(g827 +V\u000a +p11098 +tp11099 +a(g827 +g1297 +tp11100 +a(g745 +Vreturn +p11101 +tp11102 +a(g827 +g960 +tp11103 +a(g436 +Vrb_ary_entry +p11104 +tp11105 +a(g706 +g1016 +tp11106 +a(g436 +Vary +p11107 +tp11108 +a(g706 +g1372 +tp11109 +a(g827 +g960 +tp11110 +a(g436 +VFIX2LONG +p11111 +tp11112 +a(g706 +g1016 +tp11113 +a(g436 +Varg +p11114 +tp11115 +a(g706 +g1025 +tp11116 +a(g706 +g1025 +tp11117 +a(g706 +g1027 +tp11118 +a(g827 +V\u000a +p11119 +tp11120 +a(g827 +V +p11121 +tp11122 +a(g706 +g1721 +tp11123 +a(g827 +V\u000a +p11124 +tp11125 +a(g827 +V +p11126 +tp11127 +a(g929 +V/* check if idx is Range */ +p11128 +tp11129 +a(g827 +V\u000a +p11130 +tp11131 +a(g827 +V +p11132 +tp11133 +a(g745 +Vswitch +p11134 +tp11135 +a(g827 +g960 +tp11136 +a(g706 +g1016 +tp11137 +a(g436 +Vrb_range_beg_len +p11138 +tp11139 +a(g706 +g1016 +tp11140 +a(g436 +Varg +p11141 +tp11142 +a(g706 +g1372 +tp11143 +a(g827 +g960 +tp11144 +a(g413 +g4051 +tp11145 +a(g436 +Vbeg +p11146 +tp11147 +a(g706 +g1372 +tp11148 +a(g827 +g960 +tp11149 +a(g413 +g4051 +tp11150 +a(g436 +Vlen +p11151 +tp11152 +a(g706 +g1372 +tp11153 +a(g827 +g960 +tp11154 +a(g436 +VRARRAY +p11155 +tp11156 +a(g706 +g1016 +tp11157 +a(g436 +Vary +p11158 +tp11159 +a(g706 +g1025 +tp11160 +a(g413 +g1692 +tp11161 +a(g413 +g1694 +tp11162 +a(g436 +Vlen +p11163 +tp11164 +a(g706 +g1372 +tp11165 +a(g827 +g960 +tp11166 +a(g37 +g1188 +tp11167 +a(g706 +g1025 +tp11168 +a(g706 +g1025 +tp11169 +a(g827 +g960 +tp11170 +a(g706 +g1293 +tp11171 +a(g827 +V\u000a +p11172 +tp11173 +a(g827 +V +p11174 +tp11175 +a(g745 +Vcase +p11176 +tp11177 +a(g827 +g960 +tp11178 +a(g597 +VQfalse +p11179 +tp11180 +a(g706 +g3425 +tp11181 +a(g827 +V\u000a +p11182 +tp11183 +a(g827 +g1297 +tp11184 +a(g745 +Vbreak +p11185 +tp11186 +a(g706 +g1027 +tp11187 +a(g827 +V\u000a +p11188 +tp11189 +a(g827 +V +p11190 +tp11191 +a(g745 +Vcase +p11192 +tp11193 +a(g827 +g960 +tp11194 +a(g597 +VQnil +p11195 +tp11196 +a(g706 +g3425 +tp11197 +a(g827 +V\u000a +p11198 +tp11199 +a(g827 +g1297 +tp11200 +a(g745 +Vreturn +p11201 +tp11202 +a(g827 +g960 +tp11203 +a(g436 +VQnil +p11204 +tp11205 +a(g706 +g1027 +tp11206 +a(g827 +V\u000a +p11207 +tp11208 +a(g827 +V +p11209 +tp11210 +a(g745 +Vdefault +p11211 +tp11212 +a(g413 +g3425 +tp11213 +a(g827 +V\u000a +p11214 +tp11215 +a(g827 +g1297 +tp11216 +a(g745 +Vreturn +p11217 +tp11218 +a(g827 +g960 +tp11219 +a(g436 +Vrb_ary_subseq +p11220 +tp11221 +a(g706 +g1016 +tp11222 +a(g436 +Vary +p11223 +tp11224 +a(g706 +g1372 +tp11225 +a(g827 +g960 +tp11226 +a(g436 +Vbeg +p11227 +tp11228 +a(g706 +g1372 +tp11229 +a(g827 +g960 +tp11230 +a(g436 +Vlen +p11231 +tp11232 +a(g706 +g1025 +tp11233 +a(g706 +g1027 +tp11234 +a(g827 +V\u000a +p11235 +tp11236 +a(g827 +V +p11237 +tp11238 +a(g706 +g1721 +tp11239 +a(g827 +V\u000a +p11240 +tp11241 +a(g827 +V +p11242 +tp11243 +a(g745 +Vreturn +p11244 +tp11245 +a(g827 +g960 +tp11246 +a(g436 +Vrb_ary_entry +p11247 +tp11248 +a(g706 +g1016 +tp11249 +a(g436 +Vary +p11250 +tp11251 +a(g706 +g1372 +tp11252 +a(g827 +g960 +tp11253 +a(g436 +VNUM2LONG +p11254 +tp11255 +a(g706 +g1016 +tp11256 +a(g436 +Varg +p11257 +tp11258 +a(g706 +g1025 +tp11259 +a(g706 +g1025 +tp11260 +a(g706 +g1027 +tp11261 +a(g827 +V\u000a +p11262 +tp11263 +a(g706 +g1721 +tp11264 +a(g827 +V\u000a +p11265 +tp11266 +a(g827 +V\u000a +p11267 +tp11268 +a(g929 +V/* \u000a * call-seq:\u000a * array.at(index) -> obj or nil\u000a *\u000a * Returns the element at _index_. A\u000a * negative index counts from the end of _self_. Returns +nil+\u000a * if the index is out of range. See also Array#[].\u000a * (Array#at is slightly faster than Array#[],\u000a * as it does not accept ranges and so on.)\u000a *\u000a * a = [ "a", "b", "c", "d", "e" ]\u000a * a.at(0) #=> "a"\u000a * a.at(-1) #=> "e"\u000a */ +p11269 +tp11270 +a(g827 +V\u000a +p11271 +tp11272 +a(g827 +V\u000a +p11273 +tp11274 +a(g745 +Vstatic +p11275 +tp11276 +a(g827 +g960 +tp11277 +a(g436 +VVALUE +p11278 +tp11279 +a(g827 +V\u000a +p11280 +tp11281 +a(g436 +Vrb_ary_at +p11282 +tp11283 +a(g706 +g1016 +tp11284 +a(g436 +Vary +p11285 +tp11286 +a(g706 +g1372 +tp11287 +a(g827 +g960 +tp11288 +a(g436 +Vpos +p11289 +tp11290 +a(g706 +g1025 +tp11291 +a(g827 +V\u000a +p11292 +tp11293 +a(g827 +V +p11294 +tp11295 +a(g436 +VVALUE +p11296 +tp11297 +a(g827 +g960 +tp11298 +a(g436 +Vary +p11299 +tp11300 +a(g706 +g1372 +tp11301 +a(g827 +g960 +tp11302 +a(g436 +Vpos +p11303 +tp11304 +a(g706 +g1027 +tp11305 +a(g827 +V\u000a +p11306 +tp11307 +a(g706 +g1293 +tp11308 +a(g827 +V\u000a +p11309 +tp11310 +a(g827 +V +p11311 +tp11312 +a(g745 +Vreturn +p11313 +tp11314 +a(g827 +g960 +tp11315 +a(g436 +Vrb_ary_entry +p11316 +tp11317 +a(g706 +g1016 +tp11318 +a(g436 +Vary +p11319 +tp11320 +a(g706 +g1372 +tp11321 +a(g827 +g960 +tp11322 +a(g436 +VNUM2LONG +p11323 +tp11324 +a(g706 +g1016 +tp11325 +a(g436 +Vpos +p11326 +tp11327 +a(g706 +g1025 +tp11328 +a(g706 +g1025 +tp11329 +a(g706 +g1027 +tp11330 +a(g827 +V\u000a +p11331 +tp11332 +a(g706 +g1721 +tp11333 +a(g827 +V\u000a +p11334 +tp11335 +a(g827 +V\u000a +p11336 +tp11337 +a(g929 +V/*\u000a * call-seq:\u000a * array.first -> obj or nil\u000a * array.first(n) -> an_array\u000a * \u000a * Returns the first element of the array. If the array is empty,\u000a * returns nil.\u000a * \u000a * a = [ "q", "r", "s", "t" ]\u000a * a.first #=> "q"\u000a * a.first(2) #=> ["q", "r"]\u000a */ +p11338 +tp11339 +a(g827 +V\u000a +p11340 +tp11341 +a(g827 +V\u000a +p11342 +tp11343 +a(g745 +Vstatic +p11344 +tp11345 +a(g827 +g960 +tp11346 +a(g436 +VVALUE +p11347 +tp11348 +a(g827 +V\u000a +p11349 +tp11350 +a(g436 +Vrb_ary_first +p11351 +tp11352 +a(g706 +g1016 +tp11353 +a(g436 +Vargc +p11354 +tp11355 +a(g706 +g1372 +tp11356 +a(g827 +g960 +tp11357 +a(g436 +Vargv +p11358 +tp11359 +a(g706 +g1372 +tp11360 +a(g827 +g960 +tp11361 +a(g436 +Vary +p11362 +tp11363 +a(g706 +g1025 +tp11364 +a(g827 +V\u000a +p11365 +tp11366 +a(g827 +V +p11367 +tp11368 +a(g749 +Vint +p11369 +tp11370 +a(g827 +g960 +tp11371 +a(g436 +Vargc +p11372 +tp11373 +a(g706 +g1027 +tp11374 +a(g827 +V\u000a +p11375 +tp11376 +a(g827 +V +p11377 +tp11378 +a(g436 +VVALUE +p11379 +tp11380 +a(g827 +g960 +tp11381 +a(g413 +g1020 +tp11382 +a(g436 +Vargv +p11383 +tp11384 +a(g706 +g1027 +tp11385 +a(g827 +V\u000a +p11386 +tp11387 +a(g827 +V +p11388 +tp11389 +a(g436 +VVALUE +p11390 +tp11391 +a(g827 +g960 +tp11392 +a(g436 +Vary +p11393 +tp11394 +a(g706 +g1027 +tp11395 +a(g827 +V\u000a +p11396 +tp11397 +a(g706 +g1293 +tp11398 +a(g827 +V\u000a +p11399 +tp11400 +a(g827 +V +p11401 +tp11402 +a(g745 +Vif +p11403 +tp11404 +a(g827 +g960 +tp11405 +a(g706 +g1016 +tp11406 +a(g436 +Vargc +p11407 +tp11408 +a(g827 +g960 +tp11409 +a(g413 +g1185 +tp11410 +a(g413 +g1185 +tp11411 +a(g827 +g960 +tp11412 +a(g37 +g1188 +tp11413 +a(g706 +g1025 +tp11414 +a(g827 +g960 +tp11415 +a(g706 +g1293 +tp11416 +a(g827 +V\u000a +p11417 +tp11418 +a(g827 +g1297 +tp11419 +a(g745 +Vif +p11420 +tp11421 +a(g827 +g960 +tp11422 +a(g706 +g1016 +tp11423 +a(g436 +VRARRAY +p11424 +tp11425 +a(g706 +g1016 +tp11426 +a(g436 +Vary +p11427 +tp11428 +a(g706 +g1025 +tp11429 +a(g413 +g1692 +tp11430 +a(g413 +g1694 +tp11431 +a(g436 +Vlen +p11432 +tp11433 +a(g827 +g960 +tp11434 +a(g413 +g1185 +tp11435 +a(g413 +g1185 +tp11436 +a(g827 +g960 +tp11437 +a(g37 +g1188 +tp11438 +a(g706 +g1025 +tp11439 +a(g827 +g960 +tp11440 +a(g745 +Vreturn +p11441 +tp11442 +a(g827 +g960 +tp11443 +a(g436 +VQnil +p11444 +tp11445 +a(g706 +g1027 +tp11446 +a(g827 +V\u000a +p11447 +tp11448 +a(g827 +g1297 +tp11449 +a(g745 +Vreturn +p11450 +tp11451 +a(g827 +g960 +tp11452 +a(g574 +VRARRAY +p11453 +tp11454 +a(g706 +g1016 +tp11455 +a(g436 +Vary +p11456 +tp11457 +a(g706 +g1025 +tp11458 +a(g413 +g1692 +tp11459 +a(g413 +g1694 +tp11460 +a(g436 +Vptr +p11461 +tp11462 +a(g706 +g1217 +tp11463 +a(g37 +g1188 +tp11464 +a(g706 +g1221 +tp11465 +a(g706 +g1027 +tp11466 +a(g827 +V\u000a +p11467 +tp11468 +a(g827 +V +p11469 +tp11470 +a(g706 +g1721 +tp11471 +a(g827 +V\u000a +p11472 +tp11473 +a(g827 +V +p11474 +tp11475 +a(g745 +Velse +p11476 +tp11477 +a(g827 +g960 +tp11478 +a(g706 +g1293 +tp11479 +a(g827 +V\u000a +p11480 +tp11481 +a(g827 +g1297 +tp11482 +a(g745 +Vreturn +p11483 +tp11484 +a(g827 +g960 +tp11485 +a(g436 +Vary_shared_first +p11486 +tp11487 +a(g706 +g1016 +tp11488 +a(g436 +Vargc +p11489 +tp11490 +a(g706 +g1372 +tp11491 +a(g827 +g960 +tp11492 +a(g436 +Vargv +p11493 +tp11494 +a(g706 +g1372 +tp11495 +a(g827 +g960 +tp11496 +a(g436 +Vary +p11497 +tp11498 +a(g706 +g1025 +tp11499 +a(g706 +g1027 +tp11500 +a(g827 +V\u000a +p11501 +tp11502 +a(g827 +V +p11503 +tp11504 +a(g706 +g1721 +tp11505 +a(g827 +V\u000a +p11506 +tp11507 +a(g706 +g1721 +tp11508 +a(g827 +V\u000a +p11509 +tp11510 +a(g827 +V\u000a +p11511 +tp11512 +a(g929 +V/*\u000a * call-seq:\u000a * array.last -> obj or nil\u000a * array.last(n) -> an_array\u000a * \u000a * Returns the last element(s) of self. If the array is empty,\u000a * the first form returns nil.\u000a * \u000a * a = [ "w", "x", "y", "z" ]\u000a * a.last #=> "z"\u000a * a.last(2) #=> ["y", "z"]\u000a */ +p11513 +tp11514 +a(g827 +V\u000a +p11515 +tp11516 +a(g827 +V\u000a +p11517 +tp11518 +a(g745 +Vstatic +p11519 +tp11520 +a(g827 +g960 +tp11521 +a(g436 +VVALUE +p11522 +tp11523 +a(g827 +V\u000a +p11524 +tp11525 +a(g436 +Vrb_ary_last +p11526 +tp11527 +a(g706 +g1016 +tp11528 +a(g436 +Vargc +p11529 +tp11530 +a(g706 +g1372 +tp11531 +a(g827 +g960 +tp11532 +a(g436 +Vargv +p11533 +tp11534 +a(g706 +g1372 +tp11535 +a(g827 +g960 +tp11536 +a(g436 +Vary +p11537 +tp11538 +a(g706 +g1025 +tp11539 +a(g827 +V\u000a +p11540 +tp11541 +a(g827 +V +p11542 +tp11543 +a(g749 +Vint +p11544 +tp11545 +a(g827 +g960 +tp11546 +a(g436 +Vargc +p11547 +tp11548 +a(g706 +g1027 +tp11549 +a(g827 +V\u000a +p11550 +tp11551 +a(g827 +V +p11552 +tp11553 +a(g436 +VVALUE +p11554 +tp11555 +a(g827 +g960 +tp11556 +a(g413 +g1020 +tp11557 +a(g436 +Vargv +p11558 +tp11559 +a(g706 +g1027 +tp11560 +a(g827 +V\u000a +p11561 +tp11562 +a(g827 +V +p11563 +tp11564 +a(g436 +VVALUE +p11565 +tp11566 +a(g827 +g960 +tp11567 +a(g436 +Vary +p11568 +tp11569 +a(g706 +g1027 +tp11570 +a(g827 +V\u000a +p11571 +tp11572 +a(g706 +g1293 +tp11573 +a(g827 +V\u000a +p11574 +tp11575 +a(g827 +V +p11576 +tp11577 +a(g745 +Vif +p11578 +tp11579 +a(g827 +g960 +tp11580 +a(g706 +g1016 +tp11581 +a(g436 +Vargc +p11582 +tp11583 +a(g827 +g960 +tp11584 +a(g413 +g1185 +tp11585 +a(g413 +g1185 +tp11586 +a(g827 +g960 +tp11587 +a(g37 +g1188 +tp11588 +a(g706 +g1025 +tp11589 +a(g827 +g960 +tp11590 +a(g706 +g1293 +tp11591 +a(g827 +V\u000a +p11592 +tp11593 +a(g827 +g1297 +tp11594 +a(g745 +Vif +p11595 +tp11596 +a(g827 +g960 +tp11597 +a(g706 +g1016 +tp11598 +a(g436 +VRARRAY +p11599 +tp11600 +a(g706 +g1016 +tp11601 +a(g436 +Vary +p11602 +tp11603 +a(g706 +g1025 +tp11604 +a(g413 +g1692 +tp11605 +a(g413 +g1694 +tp11606 +a(g436 +Vlen +p11607 +tp11608 +a(g827 +g960 +tp11609 +a(g413 +g1185 +tp11610 +a(g413 +g1185 +tp11611 +a(g827 +g960 +tp11612 +a(g37 +g1188 +tp11613 +a(g706 +g1025 +tp11614 +a(g827 +g960 +tp11615 +a(g745 +Vreturn +p11616 +tp11617 +a(g827 +g960 +tp11618 +a(g436 +VQnil +p11619 +tp11620 +a(g706 +g1027 +tp11621 +a(g827 +V\u000a +p11622 +tp11623 +a(g827 +g1297 +tp11624 +a(g745 +Vreturn +p11625 +tp11626 +a(g827 +g960 +tp11627 +a(g574 +VRARRAY +p11628 +tp11629 +a(g706 +g1016 +tp11630 +a(g436 +Vary +p11631 +tp11632 +a(g706 +g1025 +tp11633 +a(g413 +g1692 +tp11634 +a(g413 +g1694 +tp11635 +a(g436 +Vptr +p11636 +tp11637 +a(g706 +g1217 +tp11638 +a(g436 +VRARRAY +p11639 +tp11640 +a(g706 +g1016 +tp11641 +a(g436 +Vary +p11642 +tp11643 +a(g706 +g1025 +tp11644 +a(g413 +g1692 +tp11645 +a(g413 +g1694 +tp11646 +a(g436 +Vlen +p11647 +tp11648 +a(g413 +g1692 +tp11649 +a(g37 +g1205 +tp11650 +a(g706 +g1221 +tp11651 +a(g706 +g1027 +tp11652 +a(g827 +V\u000a +p11653 +tp11654 +a(g827 +V +p11655 +tp11656 +a(g706 +g1721 +tp11657 +a(g827 +V\u000a +p11658 +tp11659 +a(g827 +V +p11660 +tp11661 +a(g745 +Velse +p11662 +tp11663 +a(g827 +g960 +tp11664 +a(g706 +g1293 +tp11665 +a(g827 +V\u000a +p11666 +tp11667 +a(g827 +g1297 +tp11668 +a(g745 +Vreturn +p11669 +tp11670 +a(g827 +g960 +tp11671 +a(g436 +Vary_shared_last +p11672 +tp11673 +a(g706 +g1016 +tp11674 +a(g436 +Vargc +p11675 +tp11676 +a(g706 +g1372 +tp11677 +a(g827 +g960 +tp11678 +a(g436 +Vargv +p11679 +tp11680 +a(g706 +g1372 +tp11681 +a(g827 +g960 +tp11682 +a(g436 +Vary +p11683 +tp11684 +a(g706 +g1025 +tp11685 +a(g706 +g1027 +tp11686 +a(g827 +V\u000a +p11687 +tp11688 +a(g827 +V +p11689 +tp11690 +a(g706 +g1721 +tp11691 +a(g827 +V\u000a +p11692 +tp11693 +a(g706 +g1721 +tp11694 +a(g827 +V\u000a +p11695 +tp11696 +a(g827 +V\u000a +p11697 +tp11698 +a(g929 +V/*\u000a * call-seq:\u000a * array.fetch(index) -> obj\u000a * array.fetch(index, default ) -> obj\u000a * array.fetch(index) {|index| block } -> obj\u000a * \u000a * Tries to return the element at position index. If the index\u000a * lies outside the array, the first form throws an\u000a * IndexError exception, the second form returns\u000a * default, and the third form returns the value of invoking\u000a * the block, passing in the index. Negative values of index\u000a * count from the end of the array.\u000a * \u000a * a = [ 11, 22, 33, 44 ]\u000a * a.fetch(1) #=> 22\u000a * a.fetch(-1) #=> 44\u000a * a.fetch(4, 'cat') #=> "cat"\u000a * a.fetch(4) { |i| i*i } #=> 16\u000a */ +p11699 +tp11700 +a(g827 +V\u000a +p11701 +tp11702 +a(g827 +V\u000a +p11703 +tp11704 +a(g745 +Vstatic +p11705 +tp11706 +a(g827 +g960 +tp11707 +a(g436 +VVALUE +p11708 +tp11709 +a(g827 +V\u000a +p11710 +tp11711 +a(g436 +Vrb_ary_fetch +p11712 +tp11713 +a(g706 +g1016 +tp11714 +a(g436 +Vargc +p11715 +tp11716 +a(g706 +g1372 +tp11717 +a(g827 +g960 +tp11718 +a(g436 +Vargv +p11719 +tp11720 +a(g706 +g1372 +tp11721 +a(g827 +g960 +tp11722 +a(g436 +Vary +p11723 +tp11724 +a(g706 +g1025 +tp11725 +a(g827 +V\u000a +p11726 +tp11727 +a(g827 +V +p11728 +tp11729 +a(g749 +Vint +p11730 +tp11731 +a(g827 +g960 +tp11732 +a(g436 +Vargc +p11733 +tp11734 +a(g706 +g1027 +tp11735 +a(g827 +V\u000a +p11736 +tp11737 +a(g827 +V +p11738 +tp11739 +a(g436 +VVALUE +p11740 +tp11741 +a(g827 +g960 +tp11742 +a(g413 +g1020 +tp11743 +a(g436 +Vargv +p11744 +tp11745 +a(g706 +g1027 +tp11746 +a(g827 +V\u000a +p11747 +tp11748 +a(g827 +V +p11749 +tp11750 +a(g436 +VVALUE +p11751 +tp11752 +a(g827 +g960 +tp11753 +a(g436 +Vary +p11754 +tp11755 +a(g706 +g1027 +tp11756 +a(g827 +V\u000a +p11757 +tp11758 +a(g706 +g1293 +tp11759 +a(g827 +V\u000a +p11760 +tp11761 +a(g827 +V +p11762 +tp11763 +a(g436 +VVALUE +p11764 +tp11765 +a(g827 +g960 +tp11766 +a(g436 +Vpos +p11767 +tp11768 +a(g706 +g1372 +tp11769 +a(g827 +g960 +tp11770 +a(g436 +Vifnone +p11771 +tp11772 +a(g706 +g1027 +tp11773 +a(g827 +V\u000a +p11774 +tp11775 +a(g827 +V +p11776 +tp11777 +a(g749 +Vlong +p11778 +tp11779 +a(g827 +g960 +tp11780 +a(g436 +Vblock_given +p11781 +tp11782 +a(g706 +g1027 +tp11783 +a(g827 +V\u000a +p11784 +tp11785 +a(g827 +V +p11786 +tp11787 +a(g749 +Vlong +p11788 +tp11789 +a(g827 +g960 +tp11790 +a(g436 +Vidx +p11791 +tp11792 +a(g706 +g1027 +tp11793 +a(g827 +V\u000a +p11794 +tp11795 +a(g827 +V\u000a +p11796 +tp11797 +a(g827 +V +p11798 +tp11799 +a(g436 +Vrb_scan_args +p11800 +tp11801 +a(g706 +g1016 +tp11802 +a(g436 +Vargc +p11803 +tp11804 +a(g706 +g1372 +tp11805 +a(g827 +g960 +tp11806 +a(g436 +Vargv +p11807 +tp11808 +a(g706 +g1372 +tp11809 +a(g827 +g960 +tp11810 +a(g89 +g1375 +tp11811 +a(g89 +V11 +p11812 +tp11813 +a(g89 +g1375 +tp11814 +a(g706 +g1372 +tp11815 +a(g827 +g960 +tp11816 +a(g413 +g4051 +tp11817 +a(g436 +Vpos +p11818 +tp11819 +a(g706 +g1372 +tp11820 +a(g827 +g960 +tp11821 +a(g413 +g4051 +tp11822 +a(g436 +Vifnone +p11823 +tp11824 +a(g706 +g1025 +tp11825 +a(g706 +g1027 +tp11826 +a(g827 +V\u000a +p11827 +tp11828 +a(g827 +V +p11829 +tp11830 +a(g436 +Vblock_given +p11831 +tp11832 +a(g827 +g960 +tp11833 +a(g413 +g1185 +tp11834 +a(g827 +g960 +tp11835 +a(g436 +Vrb_block_given_p +p11836 +tp11837 +a(g706 +g1016 +tp11838 +a(g706 +g1025 +tp11839 +a(g706 +g1027 +tp11840 +a(g827 +V\u000a +p11841 +tp11842 +a(g827 +V +p11843 +tp11844 +a(g745 +Vif +p11845 +tp11846 +a(g827 +g960 +tp11847 +a(g706 +g1016 +tp11848 +a(g436 +Vblock_given +p11849 +tp11850 +a(g827 +g960 +tp11851 +a(g413 +g4051 +tp11852 +a(g413 +g4051 +tp11853 +a(g827 +g960 +tp11854 +a(g436 +Vargc +p11855 +tp11856 +a(g827 +g960 +tp11857 +a(g413 +g1185 +tp11858 +a(g413 +g1185 +tp11859 +a(g827 +g960 +tp11860 +a(g37 +g2780 +tp11861 +a(g706 +g1025 +tp11862 +a(g827 +g960 +tp11863 +a(g706 +g1293 +tp11864 +a(g827 +V\u000a +p11865 +tp11866 +a(g827 +g1297 +tp11867 +a(g436 +Vrb_warn +p11868 +tp11869 +a(g706 +g1016 +tp11870 +a(g89 +g1375 +tp11871 +a(g89 +Vblock supersedes default value argument +p11872 +tp11873 +a(g89 +g1375 +tp11874 +a(g706 +g1025 +tp11875 +a(g706 +g1027 +tp11876 +a(g827 +V\u000a +p11877 +tp11878 +a(g827 +V +p11879 +tp11880 +a(g706 +g1721 +tp11881 +a(g827 +V\u000a +p11882 +tp11883 +a(g827 +V +p11884 +tp11885 +a(g436 +Vidx +p11886 +tp11887 +a(g827 +g960 +tp11888 +a(g413 +g1185 +tp11889 +a(g827 +g960 +tp11890 +a(g436 +VNUM2LONG +p11891 +tp11892 +a(g706 +g1016 +tp11893 +a(g436 +Vpos +p11894 +tp11895 +a(g706 +g1025 +tp11896 +a(g706 +g1027 +tp11897 +a(g827 +V\u000a +p11898 +tp11899 +a(g827 +V\u000a +p11900 +tp11901 +a(g827 +V +p11902 +tp11903 +a(g745 +Vif +p11904 +tp11905 +a(g827 +g960 +tp11906 +a(g706 +g1016 +tp11907 +a(g436 +Vidx +p11908 +tp11909 +a(g827 +g960 +tp11910 +a(g413 +g4656 +tp11911 +a(g827 +g960 +tp11912 +a(g37 +g1188 +tp11913 +a(g706 +g1025 +tp11914 +a(g827 +g960 +tp11915 +a(g706 +g1293 +tp11916 +a(g827 +V\u000a +p11917 +tp11918 +a(g827 +g1297 +tp11919 +a(g436 +Vidx +p11920 +tp11921 +a(g827 +g960 +tp11922 +a(g413 +g2280 +tp11923 +a(g413 +g1185 +tp11924 +a(g827 +V +p11925 +tp11926 +a(g436 +VRARRAY +p11927 +tp11928 +a(g706 +g1016 +tp11929 +a(g436 +Vary +p11930 +tp11931 +a(g706 +g1025 +tp11932 +a(g413 +g1692 +tp11933 +a(g413 +g1694 +tp11934 +a(g436 +Vlen +p11935 +tp11936 +a(g706 +g1027 +tp11937 +a(g827 +V\u000a +p11938 +tp11939 +a(g827 +V +p11940 +tp11941 +a(g706 +g1721 +tp11942 +a(g827 +V\u000a +p11943 +tp11944 +a(g827 +V +p11945 +tp11946 +a(g745 +Vif +p11947 +tp11948 +a(g827 +g960 +tp11949 +a(g706 +g1016 +tp11950 +a(g436 +Vidx +p11951 +tp11952 +a(g827 +g960 +tp11953 +a(g413 +g4656 +tp11954 +a(g827 +g960 +tp11955 +a(g37 +g1188 +tp11956 +a(g827 +g960 +tp11957 +a(g413 +g10205 +tp11958 +a(g413 +g10205 +tp11959 +a(g827 +g960 +tp11960 +a(g436 +VRARRAY +p11961 +tp11962 +a(g706 +g1016 +tp11963 +a(g436 +Vary +p11964 +tp11965 +a(g706 +g1025 +tp11966 +a(g413 +g1692 +tp11967 +a(g413 +g1694 +tp11968 +a(g436 +Vlen +p11969 +tp11970 +a(g827 +g960 +tp11971 +a(g413 +g4656 +tp11972 +a(g413 +g1185 +tp11973 +a(g827 +g960 +tp11974 +a(g436 +Vidx +p11975 +tp11976 +a(g706 +g1025 +tp11977 +a(g827 +g960 +tp11978 +a(g706 +g1293 +tp11979 +a(g827 +V\u000a +p11980 +tp11981 +a(g827 +g1297 +tp11982 +a(g745 +Vif +p11983 +tp11984 +a(g827 +g960 +tp11985 +a(g706 +g1016 +tp11986 +a(g436 +Vblock_given +p11987 +tp11988 +a(g706 +g1025 +tp11989 +a(g827 +g960 +tp11990 +a(g745 +Vreturn +p11991 +tp11992 +a(g827 +g960 +tp11993 +a(g436 +Vrb_yield +p11994 +tp11995 +a(g706 +g1016 +tp11996 +a(g436 +Vpos +p11997 +tp11998 +a(g706 +g1025 +tp11999 +a(g706 +g1027 +tp12000 +a(g827 +V\u000a +p12001 +tp12002 +a(g827 +g1297 +tp12003 +a(g745 +Vif +p12004 +tp12005 +a(g827 +g960 +tp12006 +a(g706 +g1016 +tp12007 +a(g436 +Vargc +p12008 +tp12009 +a(g827 +g960 +tp12010 +a(g413 +g1185 +tp12011 +a(g413 +g1185 +tp12012 +a(g827 +g960 +tp12013 +a(g37 +g1205 +tp12014 +a(g706 +g1025 +tp12015 +a(g827 +g960 +tp12016 +a(g706 +g1293 +tp12017 +a(g827 +V\u000a +p12018 +tp12019 +a(g827 +V +p12020 +tp12021 +a(g436 +Vrb_raise +p12022 +tp12023 +a(g706 +g1016 +tp12024 +a(g436 +Vrb_eIndexError +p12025 +tp12026 +a(g706 +g1372 +tp12027 +a(g827 +g960 +tp12028 +a(g89 +g1375 +tp12029 +a(g89 +Vindex %ld out of array +p12030 +tp12031 +a(g89 +g1375 +tp12032 +a(g706 +g1372 +tp12033 +a(g827 +g960 +tp12034 +a(g436 +Vidx +p12035 +tp12036 +a(g706 +g1025 +tp12037 +a(g706 +g1027 +tp12038 +a(g827 +V\u000a +p12039 +tp12040 +a(g827 +g1297 +tp12041 +a(g706 +g1721 +tp12042 +a(g827 +V\u000a +p12043 +tp12044 +a(g827 +g1297 +tp12045 +a(g745 +Vreturn +p12046 +tp12047 +a(g827 +g960 +tp12048 +a(g436 +Vifnone +p12049 +tp12050 +a(g706 +g1027 +tp12051 +a(g827 +V\u000a +p12052 +tp12053 +a(g827 +V +p12054 +tp12055 +a(g706 +g1721 +tp12056 +a(g827 +V\u000a +p12057 +tp12058 +a(g827 +V +p12059 +tp12060 +a(g745 +Vreturn +p12061 +tp12062 +a(g827 +g960 +tp12063 +a(g436 +VRARRAY +p12064 +tp12065 +a(g706 +g1016 +tp12066 +a(g436 +Vary +p12067 +tp12068 +a(g706 +g1025 +tp12069 +a(g413 +g1692 +tp12070 +a(g413 +g1694 +tp12071 +a(g436 +Vptr +p12072 +tp12073 +a(g706 +g1217 +tp12074 +a(g436 +Vidx +p12075 +tp12076 +a(g706 +g1221 +tp12077 +a(g706 +g1027 +tp12078 +a(g827 +V\u000a +p12079 +tp12080 +a(g706 +g1721 +tp12081 +a(g827 +V\u000a +p12082 +tp12083 +a(g827 +V\u000a +p12084 +tp12085 +a(g929 +V/*\u000a * call-seq:\u000a * array.index(obj) -> int or nil\u000a * array.index {|item| block} -> int or nil\u000a * \u000a * Returns the index of the first object in self such that is\u000a * == to obj. If a block is given instead of an\u000a * argument, returns first object for which block is true.\u000a * Returns nil if no match is found.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.index("b") #=> 1\u000a * a.index("z") #=> nil\u000a * a.index{|x|x=="b"} #=> 1\u000a */ +p12086 +tp12087 +a(g827 +V\u000a +p12088 +tp12089 +a(g827 +V\u000a +p12090 +tp12091 +a(g745 +Vstatic +p12092 +tp12093 +a(g827 +g960 +tp12094 +a(g436 +VVALUE +p12095 +tp12096 +a(g827 +V\u000a +p12097 +tp12098 +a(g436 +Vrb_ary_index +p12099 +tp12100 +a(g706 +g1016 +tp12101 +a(g436 +Vargc +p12102 +tp12103 +a(g706 +g1372 +tp12104 +a(g827 +g960 +tp12105 +a(g436 +Vargv +p12106 +tp12107 +a(g706 +g1372 +tp12108 +a(g827 +g960 +tp12109 +a(g436 +Vary +p12110 +tp12111 +a(g706 +g1025 +tp12112 +a(g827 +V\u000a +p12113 +tp12114 +a(g827 +V +p12115 +tp12116 +a(g749 +Vint +p12117 +tp12118 +a(g827 +g960 +tp12119 +a(g436 +Vargc +p12120 +tp12121 +a(g706 +g1027 +tp12122 +a(g827 +V\u000a +p12123 +tp12124 +a(g827 +V +p12125 +tp12126 +a(g436 +VVALUE +p12127 +tp12128 +a(g827 +g960 +tp12129 +a(g413 +g1020 +tp12130 +a(g436 +Vargv +p12131 +tp12132 +a(g706 +g1027 +tp12133 +a(g827 +V\u000a +p12134 +tp12135 +a(g827 +V +p12136 +tp12137 +a(g436 +VVALUE +p12138 +tp12139 +a(g827 +g960 +tp12140 +a(g436 +Vary +p12141 +tp12142 +a(g706 +g1027 +tp12143 +a(g827 +V\u000a +p12144 +tp12145 +a(g706 +g1293 +tp12146 +a(g827 +V\u000a +p12147 +tp12148 +a(g827 +V +p12149 +tp12150 +a(g436 +VVALUE +p12151 +tp12152 +a(g827 +g960 +tp12153 +a(g436 +Vval +p12154 +tp12155 +a(g706 +g1027 +tp12156 +a(g827 +V\u000a +p12157 +tp12158 +a(g827 +V +p12159 +tp12160 +a(g749 +Vlong +p12161 +tp12162 +a(g827 +g960 +tp12163 +a(g436 +g2597 +tp12164 +a(g706 +g1027 +tp12165 +a(g827 +V\u000a +p12166 +tp12167 +a(g827 +V\u000a +p12168 +tp12169 +a(g827 +V +p12170 +tp12171 +a(g745 +Vif +p12172 +tp12173 +a(g827 +g960 +tp12174 +a(g706 +g1016 +tp12175 +a(g436 +Vrb_scan_args +p12176 +tp12177 +a(g706 +g1016 +tp12178 +a(g436 +Vargc +p12179 +tp12180 +a(g706 +g1372 +tp12181 +a(g827 +g960 +tp12182 +a(g436 +Vargv +p12183 +tp12184 +a(g706 +g1372 +tp12185 +a(g827 +g960 +tp12186 +a(g89 +g1375 +tp12187 +a(g89 +V01 +p12188 +tp12189 +a(g89 +g1375 +tp12190 +a(g706 +g1372 +tp12191 +a(g827 +g960 +tp12192 +a(g413 +g4051 +tp12193 +a(g436 +Vval +p12194 +tp12195 +a(g706 +g1025 +tp12196 +a(g827 +g960 +tp12197 +a(g413 +g1185 +tp12198 +a(g413 +g1185 +tp12199 +a(g827 +g960 +tp12200 +a(g37 +g1188 +tp12201 +a(g706 +g1025 +tp12202 +a(g827 +g960 +tp12203 +a(g706 +g1293 +tp12204 +a(g827 +V\u000a +p12205 +tp12206 +a(g827 +g1297 +tp12207 +a(g745 +Vfor +p12208 +tp12209 +a(g827 +g960 +tp12210 +a(g706 +g1016 +tp12211 +a(g436 +g2597 +tp12212 +a(g413 +g1185 +tp12213 +a(g37 +g1188 +tp12214 +a(g706 +g1027 +tp12215 +a(g827 +g960 +tp12216 +a(g436 +g2597 +tp12217 +a(g413 +g4656 +tp12218 +a(g436 +VRARRAY +p12219 +tp12220 +a(g706 +g1016 +tp12221 +a(g436 +Vary +p12222 +tp12223 +a(g706 +g1025 +tp12224 +a(g413 +g1692 +tp12225 +a(g413 +g1694 +tp12226 +a(g436 +Vlen +p12227 +tp12228 +a(g706 +g1027 +tp12229 +a(g827 +g960 +tp12230 +a(g436 +g2597 +tp12231 +a(g413 +g2280 +tp12232 +a(g413 +g2280 +tp12233 +a(g706 +g1025 +tp12234 +a(g827 +g960 +tp12235 +a(g706 +g1293 +tp12236 +a(g827 +V\u000a +p12237 +tp12238 +a(g827 +V +p12239 +tp12240 +a(g745 +Vif +p12241 +tp12242 +a(g827 +g960 +tp12243 +a(g706 +g1016 +tp12244 +a(g436 +VRTEST +p12245 +tp12246 +a(g706 +g1016 +tp12247 +a(g436 +Vrb_yield +p12248 +tp12249 +a(g706 +g1016 +tp12250 +a(g436 +VRARRAY +p12251 +tp12252 +a(g706 +g1016 +tp12253 +a(g436 +Vary +p12254 +tp12255 +a(g706 +g1025 +tp12256 +a(g413 +g1692 +tp12257 +a(g413 +g1694 +tp12258 +a(g436 +Vptr +p12259 +tp12260 +a(g706 +g1217 +tp12261 +a(g436 +g2597 +tp12262 +a(g706 +g1221 +tp12263 +a(g706 +g1025 +tp12264 +a(g706 +g1025 +tp12265 +a(g706 +g1025 +tp12266 +a(g827 +g960 +tp12267 +a(g706 +g1293 +tp12268 +a(g827 +V\u000a +p12269 +tp12270 +a(g827 +V +p12271 +tp12272 +a(g745 +Vreturn +p12273 +tp12274 +a(g827 +g960 +tp12275 +a(g436 +VLONG2NUM +p12276 +tp12277 +a(g706 +g1016 +tp12278 +a(g436 +g2597 +tp12279 +a(g706 +g1025 +tp12280 +a(g706 +g1027 +tp12281 +a(g827 +V\u000a +p12282 +tp12283 +a(g827 +V +p12284 +tp12285 +a(g706 +g1721 +tp12286 +a(g827 +V\u000a +p12287 +tp12288 +a(g827 +g1297 +tp12289 +a(g706 +g1721 +tp12290 +a(g827 +V\u000a +p12291 +tp12292 +a(g827 +V +p12293 +tp12294 +a(g706 +g1721 +tp12295 +a(g827 +V\u000a +p12296 +tp12297 +a(g827 +V +p12298 +tp12299 +a(g745 +Velse +p12300 +tp12301 +a(g827 +g960 +tp12302 +a(g706 +g1293 +tp12303 +a(g827 +V\u000a +p12304 +tp12305 +a(g827 +g1297 +tp12306 +a(g745 +Vfor +p12307 +tp12308 +a(g827 +g960 +tp12309 +a(g706 +g1016 +tp12310 +a(g436 +g2597 +tp12311 +a(g413 +g1185 +tp12312 +a(g37 +g1188 +tp12313 +a(g706 +g1027 +tp12314 +a(g827 +g960 +tp12315 +a(g436 +g2597 +tp12316 +a(g413 +g4656 +tp12317 +a(g436 +VRARRAY +p12318 +tp12319 +a(g706 +g1016 +tp12320 +a(g436 +Vary +p12321 +tp12322 +a(g706 +g1025 +tp12323 +a(g413 +g1692 +tp12324 +a(g413 +g1694 +tp12325 +a(g436 +Vlen +p12326 +tp12327 +a(g706 +g1027 +tp12328 +a(g827 +g960 +tp12329 +a(g436 +g2597 +tp12330 +a(g413 +g2280 +tp12331 +a(g413 +g2280 +tp12332 +a(g706 +g1025 +tp12333 +a(g827 +g960 +tp12334 +a(g706 +g1293 +tp12335 +a(g827 +V\u000a +p12336 +tp12337 +a(g827 +V +p12338 +tp12339 +a(g745 +Vif +p12340 +tp12341 +a(g827 +g960 +tp12342 +a(g706 +g1016 +tp12343 +a(g436 +Vrb_equal +p12344 +tp12345 +a(g706 +g1016 +tp12346 +a(g436 +VRARRAY +p12347 +tp12348 +a(g706 +g1016 +tp12349 +a(g436 +Vary +p12350 +tp12351 +a(g706 +g1025 +tp12352 +a(g413 +g1692 +tp12353 +a(g413 +g1694 +tp12354 +a(g436 +Vptr +p12355 +tp12356 +a(g706 +g1217 +tp12357 +a(g436 +g2597 +tp12358 +a(g706 +g1221 +tp12359 +a(g706 +g1372 +tp12360 +a(g827 +g960 +tp12361 +a(g436 +Vval +p12362 +tp12363 +a(g706 +g1025 +tp12364 +a(g706 +g1025 +tp12365 +a(g827 +V\u000a +p12366 +tp12367 +a(g827 +V +p12368 +tp12369 +a(g745 +Vreturn +p12370 +tp12371 +a(g827 +g960 +tp12372 +a(g436 +VLONG2NUM +p12373 +tp12374 +a(g706 +g1016 +tp12375 +a(g436 +g2597 +tp12376 +a(g706 +g1025 +tp12377 +a(g706 +g1027 +tp12378 +a(g827 +V\u000a +p12379 +tp12380 +a(g827 +g1297 +tp12381 +a(g706 +g1721 +tp12382 +a(g827 +V\u000a +p12383 +tp12384 +a(g827 +V +p12385 +tp12386 +a(g706 +g1721 +tp12387 +a(g827 +V\u000a +p12388 +tp12389 +a(g827 +V +p12390 +tp12391 +a(g745 +Vreturn +p12392 +tp12393 +a(g827 +g960 +tp12394 +a(g436 +VQnil +p12395 +tp12396 +a(g706 +g1027 +tp12397 +a(g827 +V\u000a +p12398 +tp12399 +a(g706 +g1721 +tp12400 +a(g827 +V\u000a +p12401 +tp12402 +a(g827 +V\u000a +p12403 +tp12404 +a(g929 +V/*\u000a * call-seq:\u000a * array.rindex(obj) -> int or nil\u000a * \u000a * Returns the index of the last object in array\u000a * == to obj. If a block is given instead of an\u000a * argument, returns first object for which block is\u000a * true. Returns nil if no match is found.\u000a * \u000a * a = [ "a", "b", "b", "b", "c" ]\u000a * a.rindex("b") #=> 3\u000a * a.rindex("z") #=> nil\u000a * a.rindex{|x|x=="b"} #=> 3\u000a */ +p12405 +tp12406 +a(g827 +V\u000a +p12407 +tp12408 +a(g827 +V\u000a +p12409 +tp12410 +a(g745 +Vstatic +p12411 +tp12412 +a(g827 +g960 +tp12413 +a(g436 +VVALUE +p12414 +tp12415 +a(g827 +V\u000a +p12416 +tp12417 +a(g436 +Vrb_ary_rindex +p12418 +tp12419 +a(g706 +g1016 +tp12420 +a(g436 +Vargc +p12421 +tp12422 +a(g706 +g1372 +tp12423 +a(g827 +g960 +tp12424 +a(g436 +Vargv +p12425 +tp12426 +a(g706 +g1372 +tp12427 +a(g827 +g960 +tp12428 +a(g436 +Vary +p12429 +tp12430 +a(g706 +g1025 +tp12431 +a(g827 +V\u000a +p12432 +tp12433 +a(g827 +V +p12434 +tp12435 +a(g749 +Vint +p12436 +tp12437 +a(g827 +g960 +tp12438 +a(g436 +Vargc +p12439 +tp12440 +a(g706 +g1027 +tp12441 +a(g827 +V\u000a +p12442 +tp12443 +a(g827 +V +p12444 +tp12445 +a(g436 +VVALUE +p12446 +tp12447 +a(g827 +g960 +tp12448 +a(g413 +g1020 +tp12449 +a(g436 +Vargv +p12450 +tp12451 +a(g706 +g1027 +tp12452 +a(g827 +V\u000a +p12453 +tp12454 +a(g827 +V +p12455 +tp12456 +a(g436 +VVALUE +p12457 +tp12458 +a(g827 +g960 +tp12459 +a(g436 +Vary +p12460 +tp12461 +a(g706 +g1027 +tp12462 +a(g827 +V\u000a +p12463 +tp12464 +a(g706 +g1293 +tp12465 +a(g827 +V\u000a +p12466 +tp12467 +a(g827 +V +p12468 +tp12469 +a(g436 +VVALUE +p12470 +tp12471 +a(g827 +g960 +tp12472 +a(g436 +Vval +p12473 +tp12474 +a(g706 +g1027 +tp12475 +a(g827 +V\u000a +p12476 +tp12477 +a(g827 +V +p12478 +tp12479 +a(g749 +Vlong +p12480 +tp12481 +a(g827 +g960 +tp12482 +a(g436 +g2597 +tp12483 +a(g827 +g960 +tp12484 +a(g413 +g1185 +tp12485 +a(g827 +g960 +tp12486 +a(g436 +VRARRAY +p12487 +tp12488 +a(g706 +g1016 +tp12489 +a(g436 +Vary +p12490 +tp12491 +a(g706 +g1025 +tp12492 +a(g413 +g1692 +tp12493 +a(g413 +g1694 +tp12494 +a(g436 +Vlen +p12495 +tp12496 +a(g706 +g1027 +tp12497 +a(g827 +V\u000a +p12498 +tp12499 +a(g827 +V\u000a +p12500 +tp12501 +a(g827 +V +p12502 +tp12503 +a(g745 +Vif +p12504 +tp12505 +a(g827 +g960 +tp12506 +a(g706 +g1016 +tp12507 +a(g436 +Vrb_scan_args +p12508 +tp12509 +a(g706 +g1016 +tp12510 +a(g436 +Vargc +p12511 +tp12512 +a(g706 +g1372 +tp12513 +a(g827 +g960 +tp12514 +a(g436 +Vargv +p12515 +tp12516 +a(g706 +g1372 +tp12517 +a(g827 +g960 +tp12518 +a(g89 +g1375 +tp12519 +a(g89 +V01 +p12520 +tp12521 +a(g89 +g1375 +tp12522 +a(g706 +g1372 +tp12523 +a(g827 +g960 +tp12524 +a(g413 +g4051 +tp12525 +a(g436 +Vval +p12526 +tp12527 +a(g706 +g1025 +tp12528 +a(g827 +g960 +tp12529 +a(g413 +g1185 +tp12530 +a(g413 +g1185 +tp12531 +a(g827 +g960 +tp12532 +a(g37 +g1188 +tp12533 +a(g706 +g1025 +tp12534 +a(g827 +g960 +tp12535 +a(g706 +g1293 +tp12536 +a(g827 +V\u000a +p12537 +tp12538 +a(g827 +g1297 +tp12539 +a(g745 +Vwhile +p12540 +tp12541 +a(g827 +g960 +tp12542 +a(g706 +g1016 +tp12543 +a(g436 +g2597 +tp12544 +a(g413 +g1692 +tp12545 +a(g413 +g1692 +tp12546 +a(g706 +g1025 +tp12547 +a(g827 +g960 +tp12548 +a(g706 +g1293 +tp12549 +a(g827 +V\u000a +p12550 +tp12551 +a(g827 +V +p12552 +tp12553 +a(g745 +Vif +p12554 +tp12555 +a(g827 +g960 +tp12556 +a(g706 +g1016 +tp12557 +a(g436 +VRTEST +p12558 +tp12559 +a(g706 +g1016 +tp12560 +a(g436 +Vrb_yield +p12561 +tp12562 +a(g706 +g1016 +tp12563 +a(g436 +VRARRAY +p12564 +tp12565 +a(g706 +g1016 +tp12566 +a(g436 +Vary +p12567 +tp12568 +a(g706 +g1025 +tp12569 +a(g413 +g1692 +tp12570 +a(g413 +g1694 +tp12571 +a(g436 +Vptr +p12572 +tp12573 +a(g706 +g1217 +tp12574 +a(g436 +g2597 +tp12575 +a(g706 +g1221 +tp12576 +a(g706 +g1025 +tp12577 +a(g706 +g1025 +tp12578 +a(g706 +g1025 +tp12579 +a(g827 +V\u000a +p12580 +tp12581 +a(g827 +V +p12582 +tp12583 +a(g745 +Vreturn +p12584 +tp12585 +a(g827 +g960 +tp12586 +a(g436 +VLONG2NUM +p12587 +tp12588 +a(g706 +g1016 +tp12589 +a(g436 +g2597 +tp12590 +a(g706 +g1025 +tp12591 +a(g706 +g1027 +tp12592 +a(g827 +V\u000a +p12593 +tp12594 +a(g827 +V +p12595 +tp12596 +a(g745 +Vif +p12597 +tp12598 +a(g827 +g960 +tp12599 +a(g706 +g1016 +tp12600 +a(g436 +g2597 +tp12601 +a(g827 +g960 +tp12602 +a(g413 +g1694 +tp12603 +a(g827 +g960 +tp12604 +a(g436 +VRARRAY +p12605 +tp12606 +a(g706 +g1016 +tp12607 +a(g436 +Vary +p12608 +tp12609 +a(g706 +g1025 +tp12610 +a(g413 +g1692 +tp12611 +a(g413 +g1694 +tp12612 +a(g436 +Vlen +p12613 +tp12614 +a(g706 +g1025 +tp12615 +a(g827 +g960 +tp12616 +a(g706 +g1293 +tp12617 +a(g827 +V\u000a +p12618 +tp12619 +a(g827 +V +p12620 +tp12621 +a(g436 +g2597 +tp12622 +a(g827 +g960 +tp12623 +a(g413 +g1185 +tp12624 +a(g827 +g960 +tp12625 +a(g436 +VRARRAY +p12626 +tp12627 +a(g706 +g1016 +tp12628 +a(g436 +Vary +p12629 +tp12630 +a(g706 +g1025 +tp12631 +a(g413 +g1692 +tp12632 +a(g413 +g1694 +tp12633 +a(g436 +Vlen +p12634 +tp12635 +a(g706 +g1027 +tp12636 +a(g827 +V\u000a +p12637 +tp12638 +a(g827 +V +p12639 +tp12640 +a(g706 +g1721 +tp12641 +a(g827 +V\u000a +p12642 +tp12643 +a(g827 +g1297 +tp12644 +a(g706 +g1721 +tp12645 +a(g827 +V\u000a +p12646 +tp12647 +a(g827 +V +p12648 +tp12649 +a(g706 +g1721 +tp12650 +a(g827 +V\u000a +p12651 +tp12652 +a(g827 +V +p12653 +tp12654 +a(g745 +Velse +p12655 +tp12656 +a(g827 +g960 +tp12657 +a(g706 +g1293 +tp12658 +a(g827 +V\u000a +p12659 +tp12660 +a(g827 +g1297 +tp12661 +a(g745 +Vwhile +p12662 +tp12663 +a(g827 +g960 +tp12664 +a(g706 +g1016 +tp12665 +a(g436 +g2597 +tp12666 +a(g413 +g1692 +tp12667 +a(g413 +g1692 +tp12668 +a(g706 +g1025 +tp12669 +a(g827 +g960 +tp12670 +a(g706 +g1293 +tp12671 +a(g827 +V\u000a +p12672 +tp12673 +a(g827 +V +p12674 +tp12675 +a(g745 +Vif +p12676 +tp12677 +a(g827 +g960 +tp12678 +a(g706 +g1016 +tp12679 +a(g436 +Vrb_equal +p12680 +tp12681 +a(g706 +g1016 +tp12682 +a(g436 +VRARRAY +p12683 +tp12684 +a(g706 +g1016 +tp12685 +a(g436 +Vary +p12686 +tp12687 +a(g706 +g1025 +tp12688 +a(g413 +g1692 +tp12689 +a(g413 +g1694 +tp12690 +a(g436 +Vptr +p12691 +tp12692 +a(g706 +g1217 +tp12693 +a(g436 +g2597 +tp12694 +a(g706 +g1221 +tp12695 +a(g706 +g1372 +tp12696 +a(g827 +g960 +tp12697 +a(g436 +Vval +p12698 +tp12699 +a(g706 +g1025 +tp12700 +a(g706 +g1025 +tp12701 +a(g827 +V\u000a +p12702 +tp12703 +a(g827 +V +p12704 +tp12705 +a(g745 +Vreturn +p12706 +tp12707 +a(g827 +g960 +tp12708 +a(g436 +VLONG2NUM +p12709 +tp12710 +a(g706 +g1016 +tp12711 +a(g436 +g2597 +tp12712 +a(g706 +g1025 +tp12713 +a(g706 +g1027 +tp12714 +a(g827 +V\u000a +p12715 +tp12716 +a(g827 +V +p12717 +tp12718 +a(g745 +Vif +p12719 +tp12720 +a(g827 +g960 +tp12721 +a(g706 +g1016 +tp12722 +a(g436 +g2597 +tp12723 +a(g827 +g960 +tp12724 +a(g413 +g1694 +tp12725 +a(g827 +g960 +tp12726 +a(g436 +VRARRAY +p12727 +tp12728 +a(g706 +g1016 +tp12729 +a(g436 +Vary +p12730 +tp12731 +a(g706 +g1025 +tp12732 +a(g413 +g1692 +tp12733 +a(g413 +g1694 +tp12734 +a(g436 +Vlen +p12735 +tp12736 +a(g706 +g1025 +tp12737 +a(g827 +g960 +tp12738 +a(g706 +g1293 +tp12739 +a(g827 +V\u000a +p12740 +tp12741 +a(g827 +V +p12742 +tp12743 +a(g436 +g2597 +tp12744 +a(g827 +g960 +tp12745 +a(g413 +g1185 +tp12746 +a(g827 +g960 +tp12747 +a(g436 +VRARRAY +p12748 +tp12749 +a(g706 +g1016 +tp12750 +a(g436 +Vary +p12751 +tp12752 +a(g706 +g1025 +tp12753 +a(g413 +g1692 +tp12754 +a(g413 +g1694 +tp12755 +a(g436 +Vlen +p12756 +tp12757 +a(g706 +g1027 +tp12758 +a(g827 +V\u000a +p12759 +tp12760 +a(g827 +V +p12761 +tp12762 +a(g706 +g1721 +tp12763 +a(g827 +V\u000a +p12764 +tp12765 +a(g827 +g1297 +tp12766 +a(g706 +g1721 +tp12767 +a(g827 +V\u000a +p12768 +tp12769 +a(g827 +V +p12770 +tp12771 +a(g706 +g1721 +tp12772 +a(g827 +V\u000a +p12773 +tp12774 +a(g827 +V +p12775 +tp12776 +a(g745 +Vreturn +p12777 +tp12778 +a(g827 +g960 +tp12779 +a(g436 +VQnil +p12780 +tp12781 +a(g706 +g1027 +tp12782 +a(g827 +V\u000a +p12783 +tp12784 +a(g706 +g1721 +tp12785 +a(g827 +V\u000a +p12786 +tp12787 +a(g827 +V\u000a +p12788 +tp12789 +a(g436 +VVALUE +p12790 +tp12791 +a(g827 +V\u000a +p12792 +tp12793 +a(g436 +Vrb_ary_to_ary +p12794 +tp12795 +a(g706 +g1016 +tp12796 +a(g436 +Vobj +p12797 +tp12798 +a(g706 +g1025 +tp12799 +a(g827 +V\u000a +p12800 +tp12801 +a(g827 +V +p12802 +tp12803 +a(g436 +VVALUE +p12804 +tp12805 +a(g827 +g960 +tp12806 +a(g436 +Vobj +p12807 +tp12808 +a(g706 +g1027 +tp12809 +a(g827 +V\u000a +p12810 +tp12811 +a(g706 +g1293 +tp12812 +a(g827 +V\u000a +p12813 +tp12814 +a(g827 +V +p12815 +tp12816 +a(g745 +Vif +p12817 +tp12818 +a(g827 +g960 +tp12819 +a(g706 +g1016 +tp12820 +a(g436 +VTYPE +p12821 +tp12822 +a(g706 +g1016 +tp12823 +a(g436 +Vobj +p12824 +tp12825 +a(g706 +g1025 +tp12826 +a(g827 +g960 +tp12827 +a(g413 +g1185 +tp12828 +a(g413 +g1185 +tp12829 +a(g827 +g960 +tp12830 +a(g436 +VT_ARRAY +p12831 +tp12832 +a(g706 +g1025 +tp12833 +a(g827 +g960 +tp12834 +a(g706 +g1293 +tp12835 +a(g827 +V\u000a +p12836 +tp12837 +a(g827 +g1297 +tp12838 +a(g745 +Vreturn +p12839 +tp12840 +a(g827 +g960 +tp12841 +a(g436 +Vobj +p12842 +tp12843 +a(g706 +g1027 +tp12844 +a(g827 +V\u000a +p12845 +tp12846 +a(g827 +V +p12847 +tp12848 +a(g706 +g1721 +tp12849 +a(g827 +V\u000a +p12850 +tp12851 +a(g827 +V +p12852 +tp12853 +a(g745 +Vif +p12854 +tp12855 +a(g827 +g960 +tp12856 +a(g706 +g1016 +tp12857 +a(g436 +Vrb_respond_to +p12858 +tp12859 +a(g706 +g1016 +tp12860 +a(g436 +Vobj +p12861 +tp12862 +a(g706 +g1372 +tp12863 +a(g827 +g960 +tp12864 +a(g436 +Vrb_intern +p12865 +tp12866 +a(g706 +g1016 +tp12867 +a(g89 +g1375 +tp12868 +a(g89 +Vto_ary +p12869 +tp12870 +a(g89 +g1375 +tp12871 +a(g706 +g1025 +tp12872 +a(g706 +g1025 +tp12873 +a(g706 +g1025 +tp12874 +a(g827 +g960 +tp12875 +a(g706 +g1293 +tp12876 +a(g827 +V\u000a +p12877 +tp12878 +a(g827 +g1297 +tp12879 +a(g745 +Vreturn +p12880 +tp12881 +a(g827 +g960 +tp12882 +a(g436 +Vto_ary +p12883 +tp12884 +a(g706 +g1016 +tp12885 +a(g436 +Vobj +p12886 +tp12887 +a(g706 +g1025 +tp12888 +a(g706 +g1027 +tp12889 +a(g827 +V\u000a +p12890 +tp12891 +a(g827 +V +p12892 +tp12893 +a(g706 +g1721 +tp12894 +a(g827 +V\u000a +p12895 +tp12896 +a(g827 +V +p12897 +tp12898 +a(g745 +Vreturn +p12899 +tp12900 +a(g827 +g960 +tp12901 +a(g436 +Vrb_ary_new3 +p12902 +tp12903 +a(g706 +g1016 +tp12904 +a(g37 +g1205 +tp12905 +a(g706 +g1372 +tp12906 +a(g827 +g960 +tp12907 +a(g436 +Vobj +p12908 +tp12909 +a(g706 +g1025 +tp12910 +a(g706 +g1027 +tp12911 +a(g827 +V\u000a +p12912 +tp12913 +a(g706 +g1721 +tp12914 +a(g827 +V\u000a +p12915 +tp12916 +a(g827 +V\u000a +p12917 +tp12918 +a(g745 +Vstatic +p12919 +tp12920 +a(g827 +g960 +tp12921 +a(g749 +Vvoid +p12922 +tp12923 +a(g827 +V\u000a +p12924 +tp12925 +a(g436 +Vrb_ary_splice +p12926 +tp12927 +a(g706 +g1016 +tp12928 +a(g436 +Vary +p12929 +tp12930 +a(g706 +g1372 +tp12931 +a(g827 +g960 +tp12932 +a(g436 +Vbeg +p12933 +tp12934 +a(g706 +g1372 +tp12935 +a(g827 +g960 +tp12936 +a(g436 +Vlen +p12937 +tp12938 +a(g706 +g1372 +tp12939 +a(g827 +g960 +tp12940 +a(g436 +Vrpl +p12941 +tp12942 +a(g706 +g1025 +tp12943 +a(g827 +V\u000a +p12944 +tp12945 +a(g827 +V +p12946 +tp12947 +a(g436 +VVALUE +p12948 +tp12949 +a(g827 +g960 +tp12950 +a(g436 +Vary +p12951 +tp12952 +a(g706 +g1027 +tp12953 +a(g827 +V\u000a +p12954 +tp12955 +a(g827 +V +p12956 +tp12957 +a(g749 +Vlong +p12958 +tp12959 +a(g827 +g960 +tp12960 +a(g436 +Vbeg +p12961 +tp12962 +a(g706 +g1372 +tp12963 +a(g827 +g960 +tp12964 +a(g436 +Vlen +p12965 +tp12966 +a(g706 +g1027 +tp12967 +a(g827 +V\u000a +p12968 +tp12969 +a(g827 +V +p12970 +tp12971 +a(g436 +VVALUE +p12972 +tp12973 +a(g827 +g960 +tp12974 +a(g436 +Vrpl +p12975 +tp12976 +a(g706 +g1027 +tp12977 +a(g827 +V\u000a +p12978 +tp12979 +a(g706 +g1293 +tp12980 +a(g827 +V\u000a +p12981 +tp12982 +a(g827 +V +p12983 +tp12984 +a(g749 +Vlong +p12985 +tp12986 +a(g827 +g960 +tp12987 +a(g436 +Vrlen +p12988 +tp12989 +a(g706 +g1027 +tp12990 +a(g827 +V\u000a +p12991 +tp12992 +a(g827 +V\u000a +p12993 +tp12994 +a(g827 +V +p12995 +tp12996 +a(g745 +Vif +p12997 +tp12998 +a(g827 +g960 +tp12999 +a(g706 +g1016 +tp13000 +a(g436 +Vlen +p13001 +tp13002 +a(g827 +g960 +tp13003 +a(g413 +g4656 +tp13004 +a(g827 +g960 +tp13005 +a(g37 +g1188 +tp13006 +a(g706 +g1025 +tp13007 +a(g827 +g960 +tp13008 +a(g436 +Vrb_raise +p13009 +tp13010 +a(g706 +g1016 +tp13011 +a(g436 +Vrb_eIndexError +p13012 +tp13013 +a(g706 +g1372 +tp13014 +a(g827 +g960 +tp13015 +a(g89 +g1375 +tp13016 +a(g89 +Vnegative length (%ld) +p13017 +tp13018 +a(g89 +g1375 +tp13019 +a(g706 +g1372 +tp13020 +a(g827 +g960 +tp13021 +a(g436 +Vlen +p13022 +tp13023 +a(g706 +g1025 +tp13024 +a(g706 +g1027 +tp13025 +a(g827 +V\u000a +p13026 +tp13027 +a(g827 +V +p13028 +tp13029 +a(g745 +Vif +p13030 +tp13031 +a(g827 +g960 +tp13032 +a(g706 +g1016 +tp13033 +a(g436 +Vbeg +p13034 +tp13035 +a(g827 +g960 +tp13036 +a(g413 +g4656 +tp13037 +a(g827 +g960 +tp13038 +a(g37 +g1188 +tp13039 +a(g706 +g1025 +tp13040 +a(g827 +g960 +tp13041 +a(g706 +g1293 +tp13042 +a(g827 +V\u000a +p13043 +tp13044 +a(g827 +g1297 +tp13045 +a(g436 +Vbeg +p13046 +tp13047 +a(g827 +g960 +tp13048 +a(g413 +g2280 +tp13049 +a(g413 +g1185 +tp13050 +a(g827 +g960 +tp13051 +a(g436 +VRARRAY +p13052 +tp13053 +a(g706 +g1016 +tp13054 +a(g436 +Vary +p13055 +tp13056 +a(g706 +g1025 +tp13057 +a(g413 +g1692 +tp13058 +a(g413 +g1694 +tp13059 +a(g436 +Vlen +p13060 +tp13061 +a(g706 +g1027 +tp13062 +a(g827 +V\u000a +p13063 +tp13064 +a(g827 +g1297 +tp13065 +a(g745 +Vif +p13066 +tp13067 +a(g827 +g960 +tp13068 +a(g706 +g1016 +tp13069 +a(g436 +Vbeg +p13070 +tp13071 +a(g827 +g960 +tp13072 +a(g413 +g4656 +tp13073 +a(g827 +g960 +tp13074 +a(g37 +g1188 +tp13075 +a(g706 +g1025 +tp13076 +a(g827 +g960 +tp13077 +a(g706 +g1293 +tp13078 +a(g827 +V\u000a +p13079 +tp13080 +a(g827 +V +p13081 +tp13082 +a(g436 +Vbeg +p13083 +tp13084 +a(g827 +g960 +tp13085 +a(g413 +g1692 +tp13086 +a(g413 +g1185 +tp13087 +a(g827 +g960 +tp13088 +a(g436 +VRARRAY +p13089 +tp13090 +a(g706 +g1016 +tp13091 +a(g436 +Vary +p13092 +tp13093 +a(g706 +g1025 +tp13094 +a(g413 +g1692 +tp13095 +a(g413 +g1694 +tp13096 +a(g436 +Vlen +p13097 +tp13098 +a(g706 +g1027 +tp13099 +a(g827 +V\u000a +p13100 +tp13101 +a(g827 +V +p13102 +tp13103 +a(g436 +Vrb_raise +p13104 +tp13105 +a(g706 +g1016 +tp13106 +a(g436 +Vrb_eIndexError +p13107 +tp13108 +a(g706 +g1372 +tp13109 +a(g827 +g960 +tp13110 +a(g89 +g1375 +tp13111 +a(g89 +Vindex %ld out of array +p13112 +tp13113 +a(g89 +g1375 +tp13114 +a(g706 +g1372 +tp13115 +a(g827 +g960 +tp13116 +a(g436 +Vbeg +p13117 +tp13118 +a(g706 +g1025 +tp13119 +a(g706 +g1027 +tp13120 +a(g827 +V\u000a +p13121 +tp13122 +a(g827 +g1297 +tp13123 +a(g706 +g1721 +tp13124 +a(g827 +V\u000a +p13125 +tp13126 +a(g827 +V +p13127 +tp13128 +a(g706 +g1721 +tp13129 +a(g827 +V\u000a +p13130 +tp13131 +a(g827 +V +p13132 +tp13133 +a(g745 +Vif +p13134 +tp13135 +a(g827 +g960 +tp13136 +a(g706 +g1016 +tp13137 +a(g436 +Vbeg +p13138 +tp13139 +a(g827 +g960 +tp13140 +a(g413 +g2280 +tp13141 +a(g827 +g960 +tp13142 +a(g436 +Vlen +p13143 +tp13144 +a(g827 +g960 +tp13145 +a(g413 +g1694 +tp13146 +a(g827 +g960 +tp13147 +a(g436 +VRARRAY +p13148 +tp13149 +a(g706 +g1016 +tp13150 +a(g436 +Vary +p13151 +tp13152 +a(g706 +g1025 +tp13153 +a(g413 +g1692 +tp13154 +a(g413 +g1694 +tp13155 +a(g436 +Vlen +p13156 +tp13157 +a(g706 +g1025 +tp13158 +a(g827 +g960 +tp13159 +a(g706 +g1293 +tp13160 +a(g827 +V\u000a +p13161 +tp13162 +a(g827 +g1297 +tp13163 +a(g436 +Vlen +p13164 +tp13165 +a(g827 +g960 +tp13166 +a(g413 +g1185 +tp13167 +a(g827 +g960 +tp13168 +a(g436 +VRARRAY +p13169 +tp13170 +a(g706 +g1016 +tp13171 +a(g436 +Vary +p13172 +tp13173 +a(g706 +g1025 +tp13174 +a(g413 +g1692 +tp13175 +a(g413 +g1694 +tp13176 +a(g436 +Vlen +p13177 +tp13178 +a(g827 +g960 +tp13179 +a(g413 +g1692 +tp13180 +a(g827 +g960 +tp13181 +a(g436 +Vbeg +p13182 +tp13183 +a(g706 +g1027 +tp13184 +a(g827 +V\u000a +p13185 +tp13186 +a(g827 +V +p13187 +tp13188 +a(g706 +g1721 +tp13189 +a(g827 +V\u000a +p13190 +tp13191 +a(g827 +V\u000a +p13192 +tp13193 +a(g827 +V +p13194 +tp13195 +a(g745 +Vif +p13196 +tp13197 +a(g827 +g960 +tp13198 +a(g706 +g1016 +tp13199 +a(g436 +Vrpl +p13200 +tp13201 +a(g827 +g960 +tp13202 +a(g413 +g1185 +tp13203 +a(g413 +g1185 +tp13204 +a(g827 +g960 +tp13205 +a(g436 +VQundef +p13206 +tp13207 +a(g706 +g1025 +tp13208 +a(g827 +g960 +tp13209 +a(g706 +g1293 +tp13210 +a(g827 +V\u000a +p13211 +tp13212 +a(g827 +g1297 +tp13213 +a(g436 +Vrlen +p13214 +tp13215 +a(g827 +g960 +tp13216 +a(g413 +g1185 +tp13217 +a(g827 +g960 +tp13218 +a(g37 +g1188 +tp13219 +a(g706 +g1027 +tp13220 +a(g827 +V\u000a +p13221 +tp13222 +a(g827 +V +p13223 +tp13224 +a(g706 +g1721 +tp13225 +a(g827 +V\u000a +p13226 +tp13227 +a(g827 +V +p13228 +tp13229 +a(g745 +Velse +p13230 +tp13231 +a(g827 +g960 +tp13232 +a(g706 +g1293 +tp13233 +a(g827 +V\u000a +p13234 +tp13235 +a(g827 +g1297 +tp13236 +a(g436 +Vrpl +p13237 +tp13238 +a(g827 +g960 +tp13239 +a(g413 +g1185 +tp13240 +a(g827 +g960 +tp13241 +a(g436 +Vrb_ary_to_ary +p13242 +tp13243 +a(g706 +g1016 +tp13244 +a(g436 +Vrpl +p13245 +tp13246 +a(g706 +g1025 +tp13247 +a(g706 +g1027 +tp13248 +a(g827 +V\u000a +p13249 +tp13250 +a(g827 +g1297 +tp13251 +a(g436 +Vrlen +p13252 +tp13253 +a(g827 +g960 +tp13254 +a(g413 +g1185 +tp13255 +a(g827 +g960 +tp13256 +a(g436 +VRARRAY +p13257 +tp13258 +a(g706 +g1016 +tp13259 +a(g436 +Vrpl +p13260 +tp13261 +a(g706 +g1025 +tp13262 +a(g413 +g1692 +tp13263 +a(g413 +g1694 +tp13264 +a(g436 +Vlen +p13265 +tp13266 +a(g706 +g1027 +tp13267 +a(g827 +V\u000a +p13268 +tp13269 +a(g827 +V +p13270 +tp13271 +a(g706 +g1721 +tp13272 +a(g827 +V\u000a +p13273 +tp13274 +a(g827 +V +p13275 +tp13276 +a(g436 +Vrb_ary_modify +p13277 +tp13278 +a(g706 +g1016 +tp13279 +a(g436 +Vary +p13280 +tp13281 +a(g706 +g1025 +tp13282 +a(g706 +g1027 +tp13283 +a(g827 +V\u000a +p13284 +tp13285 +a(g827 +V\u000a +p13286 +tp13287 +a(g827 +V +p13288 +tp13289 +a(g745 +Vif +p13290 +tp13291 +a(g827 +g960 +tp13292 +a(g706 +g1016 +tp13293 +a(g436 +Vbeg +p13294 +tp13295 +a(g827 +g960 +tp13296 +a(g413 +g1694 +tp13297 +a(g413 +g1185 +tp13298 +a(g827 +g960 +tp13299 +a(g436 +VRARRAY +p13300 +tp13301 +a(g706 +g1016 +tp13302 +a(g436 +Vary +p13303 +tp13304 +a(g706 +g1025 +tp13305 +a(g413 +g1692 +tp13306 +a(g413 +g1694 +tp13307 +a(g436 +Vlen +p13308 +tp13309 +a(g706 +g1025 +tp13310 +a(g827 +g960 +tp13311 +a(g706 +g1293 +tp13312 +a(g827 +V\u000a +p13313 +tp13314 +a(g827 +g1297 +tp13315 +a(g436 +Vlen +p13316 +tp13317 +a(g827 +g960 +tp13318 +a(g413 +g1185 +tp13319 +a(g827 +g960 +tp13320 +a(g436 +Vbeg +p13321 +tp13322 +a(g827 +g960 +tp13323 +a(g413 +g2280 +tp13324 +a(g827 +g960 +tp13325 +a(g436 +Vrlen +p13326 +tp13327 +a(g706 +g1027 +tp13328 +a(g827 +V\u000a +p13329 +tp13330 +a(g827 +g1297 +tp13331 +a(g745 +Vif +p13332 +tp13333 +a(g827 +g960 +tp13334 +a(g706 +g1016 +tp13335 +a(g436 +Vlen +p13336 +tp13337 +a(g827 +g960 +tp13338 +a(g413 +g1694 +tp13339 +a(g413 +g1185 +tp13340 +a(g827 +g960 +tp13341 +a(g436 +VRARRAY +p13342 +tp13343 +a(g706 +g1016 +tp13344 +a(g436 +Vary +p13345 +tp13346 +a(g706 +g1025 +tp13347 +a(g413 +g1692 +tp13348 +a(g413 +g1694 +tp13349 +a(g436 +Vaux +p13350 +tp13351 +a(g706 +g4214 +tp13352 +a(g436 +Vcapa +p13353 +tp13354 +a(g706 +g1025 +tp13355 +a(g827 +g960 +tp13356 +a(g706 +g1293 +tp13357 +a(g827 +V\u000a +p13358 +tp13359 +a(g827 +V +p13360 +tp13361 +a(g436 +VREALLOC_N +p13362 +tp13363 +a(g706 +g1016 +tp13364 +a(g436 +VRARRAY +p13365 +tp13366 +a(g706 +g1016 +tp13367 +a(g436 +Vary +p13368 +tp13369 +a(g706 +g1025 +tp13370 +a(g413 +g1692 +tp13371 +a(g413 +g1694 +tp13372 +a(g436 +Vptr +p13373 +tp13374 +a(g706 +g1372 +tp13375 +a(g827 +g960 +tp13376 +a(g436 +VVALUE +p13377 +tp13378 +a(g706 +g1372 +tp13379 +a(g827 +g960 +tp13380 +a(g436 +Vlen +p13381 +tp13382 +a(g706 +g1025 +tp13383 +a(g706 +g1027 +tp13384 +a(g827 +V\u000a +p13385 +tp13386 +a(g827 +V +p13387 +tp13388 +a(g436 +VRARRAY +p13389 +tp13390 +a(g706 +g1016 +tp13391 +a(g436 +Vary +p13392 +tp13393 +a(g706 +g1025 +tp13394 +a(g413 +g1692 +tp13395 +a(g413 +g1694 +tp13396 +a(g436 +Vaux +p13397 +tp13398 +a(g706 +g4214 +tp13399 +a(g436 +Vcapa +p13400 +tp13401 +a(g827 +g960 +tp13402 +a(g413 +g1185 +tp13403 +a(g827 +g960 +tp13404 +a(g436 +Vlen +p13405 +tp13406 +a(g706 +g1027 +tp13407 +a(g827 +V\u000a +p13408 +tp13409 +a(g827 +g1297 +tp13410 +a(g706 +g1721 +tp13411 +a(g827 +V\u000a +p13412 +tp13413 +a(g827 +g1297 +tp13414 +a(g436 +Vrb_mem_clear +p13415 +tp13416 +a(g706 +g1016 +tp13417 +a(g436 +VRARRAY +p13418 +tp13419 +a(g706 +g1016 +tp13420 +a(g436 +Vary +p13421 +tp13422 +a(g706 +g1025 +tp13423 +a(g413 +g1692 +tp13424 +a(g413 +g1694 +tp13425 +a(g436 +Vptr +p13426 +tp13427 +a(g827 +g960 +tp13428 +a(g413 +g2280 +tp13429 +a(g827 +g960 +tp13430 +a(g436 +VRARRAY +p13431 +tp13432 +a(g706 +g1016 +tp13433 +a(g436 +Vary +p13434 +tp13435 +a(g706 +g1025 +tp13436 +a(g413 +g1692 +tp13437 +a(g413 +g1694 +tp13438 +a(g436 +Vlen +p13439 +tp13440 +a(g706 +g1372 +tp13441 +a(g827 +g960 +tp13442 +a(g436 +Vbeg +p13443 +tp13444 +a(g827 +g960 +tp13445 +a(g413 +g1692 +tp13446 +a(g827 +g960 +tp13447 +a(g436 +VRARRAY +p13448 +tp13449 +a(g706 +g1016 +tp13450 +a(g436 +Vary +p13451 +tp13452 +a(g706 +g1025 +tp13453 +a(g413 +g1692 +tp13454 +a(g413 +g1694 +tp13455 +a(g436 +Vlen +p13456 +tp13457 +a(g706 +g1025 +tp13458 +a(g706 +g1027 +tp13459 +a(g827 +V\u000a +p13460 +tp13461 +a(g827 +g1297 +tp13462 +a(g745 +Vif +p13463 +tp13464 +a(g827 +g960 +tp13465 +a(g706 +g1016 +tp13466 +a(g436 +Vrlen +p13467 +tp13468 +a(g827 +g960 +tp13469 +a(g413 +g1694 +tp13470 +a(g827 +g960 +tp13471 +a(g37 +g1188 +tp13472 +a(g706 +g1025 +tp13473 +a(g827 +g960 +tp13474 +a(g706 +g1293 +tp13475 +a(g827 +V\u000a +p13476 +tp13477 +a(g827 +V +p13478 +tp13479 +a(g436 +VMEMCPY +p13480 +tp13481 +a(g706 +g1016 +tp13482 +a(g436 +VRARRAY +p13483 +tp13484 +a(g706 +g1016 +tp13485 +a(g436 +Vary +p13486 +tp13487 +a(g706 +g1025 +tp13488 +a(g413 +g1692 +tp13489 +a(g413 +g1694 +tp13490 +a(g436 +Vptr +p13491 +tp13492 +a(g827 +g960 +tp13493 +a(g413 +g2280 +tp13494 +a(g827 +g960 +tp13495 +a(g436 +Vbeg +p13496 +tp13497 +a(g706 +g1372 +tp13498 +a(g827 +g960 +tp13499 +a(g436 +VRARRAY +p13500 +tp13501 +a(g706 +g1016 +tp13502 +a(g436 +Vrpl +p13503 +tp13504 +a(g706 +g1025 +tp13505 +a(g413 +g1692 +tp13506 +a(g413 +g1694 +tp13507 +a(g436 +Vptr +p13508 +tp13509 +a(g706 +g1372 +tp13510 +a(g827 +g960 +tp13511 +a(g436 +VVALUE +p13512 +tp13513 +a(g706 +g1372 +tp13514 +a(g827 +g960 +tp13515 +a(g436 +Vrlen +p13516 +tp13517 +a(g706 +g1025 +tp13518 +a(g706 +g1027 +tp13519 +a(g827 +V\u000a +p13520 +tp13521 +a(g827 +g1297 +tp13522 +a(g706 +g1721 +tp13523 +a(g827 +V\u000a +p13524 +tp13525 +a(g827 +g1297 +tp13526 +a(g436 +VRARRAY +p13527 +tp13528 +a(g706 +g1016 +tp13529 +a(g436 +Vary +p13530 +tp13531 +a(g706 +g1025 +tp13532 +a(g413 +g1692 +tp13533 +a(g413 +g1694 +tp13534 +a(g436 +Vlen +p13535 +tp13536 +a(g827 +g960 +tp13537 +a(g413 +g1185 +tp13538 +a(g827 +g960 +tp13539 +a(g436 +Vlen +p13540 +tp13541 +a(g706 +g1027 +tp13542 +a(g827 +V\u000a +p13543 +tp13544 +a(g827 +V +p13545 +tp13546 +a(g706 +g1721 +tp13547 +a(g827 +V\u000a +p13548 +tp13549 +a(g827 +V +p13550 +tp13551 +a(g745 +Velse +p13552 +tp13553 +a(g827 +g960 +tp13554 +a(g706 +g1293 +tp13555 +a(g827 +V\u000a +p13556 +tp13557 +a(g827 +g1297 +tp13558 +a(g749 +Vlong +p13559 +tp13560 +a(g827 +g960 +tp13561 +a(g436 +Valen +p13562 +tp13563 +a(g706 +g1027 +tp13564 +a(g827 +V\u000a +p13565 +tp13566 +a(g827 +V\u000a +p13567 +tp13568 +a(g827 +g1297 +tp13569 +a(g745 +Vif +p13570 +tp13571 +a(g827 +g960 +tp13572 +a(g706 +g1016 +tp13573 +a(g436 +Vbeg +p13574 +tp13575 +a(g827 +g960 +tp13576 +a(g413 +g2280 +tp13577 +a(g827 +g960 +tp13578 +a(g436 +Vlen +p13579 +tp13580 +a(g827 +g960 +tp13581 +a(g413 +g1694 +tp13582 +a(g827 +g960 +tp13583 +a(g436 +VRARRAY +p13584 +tp13585 +a(g706 +g1016 +tp13586 +a(g436 +Vary +p13587 +tp13588 +a(g706 +g1025 +tp13589 +a(g413 +g1692 +tp13590 +a(g413 +g1694 +tp13591 +a(g436 +Vlen +p13592 +tp13593 +a(g706 +g1025 +tp13594 +a(g827 +g960 +tp13595 +a(g706 +g1293 +tp13596 +a(g827 +V\u000a +p13597 +tp13598 +a(g827 +V +p13599 +tp13600 +a(g436 +Vlen +p13601 +tp13602 +a(g827 +g960 +tp13603 +a(g413 +g1185 +tp13604 +a(g827 +g960 +tp13605 +a(g436 +VRARRAY +p13606 +tp13607 +a(g706 +g1016 +tp13608 +a(g436 +Vary +p13609 +tp13610 +a(g706 +g1025 +tp13611 +a(g413 +g1692 +tp13612 +a(g413 +g1694 +tp13613 +a(g436 +Vlen +p13614 +tp13615 +a(g827 +g960 +tp13616 +a(g413 +g1692 +tp13617 +a(g827 +g960 +tp13618 +a(g436 +Vbeg +p13619 +tp13620 +a(g706 +g1027 +tp13621 +a(g827 +V\u000a +p13622 +tp13623 +a(g827 +g1297 +tp13624 +a(g706 +g1721 +tp13625 +a(g827 +V\u000a +p13626 +tp13627 +a(g827 +V\u000a +p13628 +tp13629 +a(g827 +g1297 +tp13630 +a(g436 +Valen +p13631 +tp13632 +a(g827 +g960 +tp13633 +a(g413 +g1185 +tp13634 +a(g827 +g960 +tp13635 +a(g436 +VRARRAY +p13636 +tp13637 +a(g706 +g1016 +tp13638 +a(g436 +Vary +p13639 +tp13640 +a(g706 +g1025 +tp13641 +a(g413 +g1692 +tp13642 +a(g413 +g1694 +tp13643 +a(g436 +Vlen +p13644 +tp13645 +a(g827 +g960 +tp13646 +a(g413 +g2280 +tp13647 +a(g827 +g960 +tp13648 +a(g436 +Vrlen +p13649 +tp13650 +a(g827 +g960 +tp13651 +a(g413 +g1692 +tp13652 +a(g827 +g960 +tp13653 +a(g436 +Vlen +p13654 +tp13655 +a(g706 +g1027 +tp13656 +a(g827 +V\u000a +p13657 +tp13658 +a(g827 +g1297 +tp13659 +a(g745 +Vif +p13660 +tp13661 +a(g827 +g960 +tp13662 +a(g706 +g1016 +tp13663 +a(g436 +Valen +p13664 +tp13665 +a(g827 +g960 +tp13666 +a(g413 +g1694 +tp13667 +a(g413 +g1185 +tp13668 +a(g827 +g960 +tp13669 +a(g436 +VRARRAY +p13670 +tp13671 +a(g706 +g1016 +tp13672 +a(g436 +Vary +p13673 +tp13674 +a(g706 +g1025 +tp13675 +a(g413 +g1692 +tp13676 +a(g413 +g1694 +tp13677 +a(g436 +Vaux +p13678 +tp13679 +a(g706 +g4214 +tp13680 +a(g436 +Vcapa +p13681 +tp13682 +a(g706 +g1025 +tp13683 +a(g827 +g960 +tp13684 +a(g706 +g1293 +tp13685 +a(g827 +V\u000a +p13686 +tp13687 +a(g827 +V +p13688 +tp13689 +a(g436 +VREALLOC_N +p13690 +tp13691 +a(g706 +g1016 +tp13692 +a(g436 +VRARRAY +p13693 +tp13694 +a(g706 +g1016 +tp13695 +a(g436 +Vary +p13696 +tp13697 +a(g706 +g1025 +tp13698 +a(g413 +g1692 +tp13699 +a(g413 +g1694 +tp13700 +a(g436 +Vptr +p13701 +tp13702 +a(g706 +g1372 +tp13703 +a(g827 +g960 +tp13704 +a(g436 +VVALUE +p13705 +tp13706 +a(g706 +g1372 +tp13707 +a(g827 +g960 +tp13708 +a(g436 +Valen +p13709 +tp13710 +a(g706 +g1025 +tp13711 +a(g706 +g1027 +tp13712 +a(g827 +V\u000a +p13713 +tp13714 +a(g827 +V +p13715 +tp13716 +a(g436 +VRARRAY +p13717 +tp13718 +a(g706 +g1016 +tp13719 +a(g436 +Vary +p13720 +tp13721 +a(g706 +g1025 +tp13722 +a(g413 +g1692 +tp13723 +a(g413 +g1694 +tp13724 +a(g436 +Vaux +p13725 +tp13726 +a(g706 +g4214 +tp13727 +a(g436 +Vcapa +p13728 +tp13729 +a(g827 +g960 +tp13730 +a(g413 +g1185 +tp13731 +a(g827 +g960 +tp13732 +a(g436 +Valen +p13733 +tp13734 +a(g706 +g1027 +tp13735 +a(g827 +V\u000a +p13736 +tp13737 +a(g827 +g1297 +tp13738 +a(g706 +g1721 +tp13739 +a(g827 +V\u000a +p13740 +tp13741 +a(g827 +V\u000a +p13742 +tp13743 +a(g827 +g1297 +tp13744 +a(g745 +Vif +p13745 +tp13746 +a(g827 +g960 +tp13747 +a(g706 +g1016 +tp13748 +a(g436 +Vlen +p13749 +tp13750 +a(g827 +g960 +tp13751 +a(g413 +g2490 +tp13752 +a(g413 +g1185 +tp13753 +a(g827 +g960 +tp13754 +a(g436 +Vrlen +p13755 +tp13756 +a(g706 +g1025 +tp13757 +a(g827 +g960 +tp13758 +a(g706 +g1293 +tp13759 +a(g827 +V\u000a +p13760 +tp13761 +a(g827 +V +p13762 +tp13763 +a(g436 +VMEMMOVE +p13764 +tp13765 +a(g706 +g1016 +tp13766 +a(g436 +VRARRAY +p13767 +tp13768 +a(g706 +g1016 +tp13769 +a(g436 +Vary +p13770 +tp13771 +a(g706 +g1025 +tp13772 +a(g413 +g1692 +tp13773 +a(g413 +g1694 +tp13774 +a(g436 +Vptr +p13775 +tp13776 +a(g827 +g960 +tp13777 +a(g413 +g2280 +tp13778 +a(g827 +g960 +tp13779 +a(g436 +Vbeg +p13780 +tp13781 +a(g827 +g960 +tp13782 +a(g413 +g2280 +tp13783 +a(g827 +g960 +tp13784 +a(g436 +Vrlen +p13785 +tp13786 +a(g706 +g1372 +tp13787 +a(g827 +g960 +tp13788 +a(g436 +VRARRAY +p13789 +tp13790 +a(g706 +g1016 +tp13791 +a(g436 +Vary +p13792 +tp13793 +a(g706 +g1025 +tp13794 +a(g413 +g1692 +tp13795 +a(g413 +g1694 +tp13796 +a(g436 +Vptr +p13797 +tp13798 +a(g827 +g960 +tp13799 +a(g413 +g2280 +tp13800 +a(g827 +g960 +tp13801 +a(g436 +Vbeg +p13802 +tp13803 +a(g827 +g960 +tp13804 +a(g413 +g2280 +tp13805 +a(g827 +g960 +tp13806 +a(g436 +Vlen +p13807 +tp13808 +a(g706 +g1372 +tp13809 +a(g827 +V\u000a +p13810 +tp13811 +a(g827 +V +p13812 +tp13813 +a(g436 +VVALUE +p13814 +tp13815 +a(g706 +g1372 +tp13816 +a(g827 +g960 +tp13817 +a(g436 +VRARRAY +p13818 +tp13819 +a(g706 +g1016 +tp13820 +a(g436 +Vary +p13821 +tp13822 +a(g706 +g1025 +tp13823 +a(g413 +g1692 +tp13824 +a(g413 +g1694 +tp13825 +a(g436 +Vlen +p13826 +tp13827 +a(g827 +g960 +tp13828 +a(g413 +g1692 +tp13829 +a(g827 +g960 +tp13830 +a(g706 +g1016 +tp13831 +a(g436 +Vbeg +p13832 +tp13833 +a(g827 +g960 +tp13834 +a(g413 +g2280 +tp13835 +a(g827 +g960 +tp13836 +a(g436 +Vlen +p13837 +tp13838 +a(g706 +g1025 +tp13839 +a(g706 +g1025 +tp13840 +a(g706 +g1027 +tp13841 +a(g827 +V\u000a +p13842 +tp13843 +a(g827 +V +p13844 +tp13845 +a(g436 +VRARRAY +p13846 +tp13847 +a(g706 +g1016 +tp13848 +a(g436 +Vary +p13849 +tp13850 +a(g706 +g1025 +tp13851 +a(g413 +g1692 +tp13852 +a(g413 +g1694 +tp13853 +a(g436 +Vlen +p13854 +tp13855 +a(g827 +g960 +tp13856 +a(g413 +g1185 +tp13857 +a(g827 +g960 +tp13858 +a(g436 +Valen +p13859 +tp13860 +a(g706 +g1027 +tp13861 +a(g827 +V\u000a +p13862 +tp13863 +a(g827 +g1297 +tp13864 +a(g706 +g1721 +tp13865 +a(g827 +V\u000a +p13866 +tp13867 +a(g827 +g1297 +tp13868 +a(g745 +Vif +p13869 +tp13870 +a(g827 +g960 +tp13871 +a(g706 +g1016 +tp13872 +a(g436 +Vrlen +p13873 +tp13874 +a(g827 +g960 +tp13875 +a(g413 +g1694 +tp13876 +a(g827 +g960 +tp13877 +a(g37 +g1188 +tp13878 +a(g706 +g1025 +tp13879 +a(g827 +g960 +tp13880 +a(g706 +g1293 +tp13881 +a(g827 +V\u000a +p13882 +tp13883 +a(g827 +V +p13884 +tp13885 +a(g436 +VMEMMOVE +p13886 +tp13887 +a(g706 +g1016 +tp13888 +a(g436 +VRARRAY +p13889 +tp13890 +a(g706 +g1016 +tp13891 +a(g436 +Vary +p13892 +tp13893 +a(g706 +g1025 +tp13894 +a(g413 +g1692 +tp13895 +a(g413 +g1694 +tp13896 +a(g436 +Vptr +p13897 +tp13898 +a(g827 +g960 +tp13899 +a(g413 +g2280 +tp13900 +a(g827 +g960 +tp13901 +a(g436 +Vbeg +p13902 +tp13903 +a(g706 +g1372 +tp13904 +a(g827 +g960 +tp13905 +a(g436 +VRARRAY +p13906 +tp13907 +a(g706 +g1016 +tp13908 +a(g436 +Vrpl +p13909 +tp13910 +a(g706 +g1025 +tp13911 +a(g413 +g1692 +tp13912 +a(g413 +g1694 +tp13913 +a(g436 +Vptr +p13914 +tp13915 +a(g706 +g1372 +tp13916 +a(g827 +g960 +tp13917 +a(g436 +VVALUE +p13918 +tp13919 +a(g706 +g1372 +tp13920 +a(g827 +g960 +tp13921 +a(g436 +Vrlen +p13922 +tp13923 +a(g706 +g1025 +tp13924 +a(g706 +g1027 +tp13925 +a(g827 +V\u000a +p13926 +tp13927 +a(g827 +g1297 +tp13928 +a(g706 +g1721 +tp13929 +a(g827 +V\u000a +p13930 +tp13931 +a(g827 +V +p13932 +tp13933 +a(g706 +g1721 +tp13934 +a(g827 +V\u000a +p13935 +tp13936 +a(g706 +g1721 +tp13937 +a(g827 +V\u000a +p13938 +tp13939 +a(g827 +V\u000a +p13940 +tp13941 +a(g929 +V/* \u000a * call-seq:\u000a * array[index] = obj -> obj\u000a * array[start, length] = obj or an_array or nil -> obj or an_array or nil\u000a * array[range] = obj or an_array or nil -> obj or an_array or nil\u000a *\u000a * Element Assignment---Sets the element at _index_,\u000a * or replaces a subarray starting at _start_ and\u000a * continuing for _length_ elements, or replaces a subarray\u000a * specified by _range_. If indices are greater than\u000a * the current capacity of the array, the array grows\u000a * automatically. A negative indices will count backward\u000a * from the end of the array. Inserts elements if _length_ is\u000a * zero. An +IndexError+ is raised if a negative index points\u000a * past the beginning of the array. See also\u000a * Array#push, and Array#unshift.\u000a * \u000a * a = Array.new\u000a * a[4] = "4"; #=> [nil, nil, nil, nil, "4"]\u000a * a[0, 3] = [ 'a', 'b', 'c' ] #=> ["a", "b", "c", nil, "4"]\u000a * a[1..2] = [ 1, 2 ] #=> ["a", 1, 2, nil, "4"]\u000a * a[0, 2] = "?" #=> ["?", 2, nil, "4"]\u000a * a[0..2] = "A" #=> ["A", "4"]\u000a * a[-1] = "Z" #=> ["A", "Z"]\u000a * a[1..-1] = nil #=> ["A", nil]\u000a * a[1..-1] = [] #=> ["A"]\u000a */ +p13942 +tp13943 +a(g827 +V\u000a +p13944 +tp13945 +a(g827 +V\u000a +p13946 +tp13947 +a(g745 +Vstatic +p13948 +tp13949 +a(g827 +g960 +tp13950 +a(g436 +VVALUE +p13951 +tp13952 +a(g827 +V\u000a +p13953 +tp13954 +a(g436 +Vrb_ary_aset +p13955 +tp13956 +a(g706 +g1016 +tp13957 +a(g436 +Vargc +p13958 +tp13959 +a(g706 +g1372 +tp13960 +a(g827 +g960 +tp13961 +a(g436 +Vargv +p13962 +tp13963 +a(g706 +g1372 +tp13964 +a(g827 +g960 +tp13965 +a(g436 +Vary +p13966 +tp13967 +a(g706 +g1025 +tp13968 +a(g827 +V\u000a +p13969 +tp13970 +a(g827 +V +p13971 +tp13972 +a(g749 +Vint +p13973 +tp13974 +a(g827 +g960 +tp13975 +a(g436 +Vargc +p13976 +tp13977 +a(g706 +g1027 +tp13978 +a(g827 +V\u000a +p13979 +tp13980 +a(g827 +V +p13981 +tp13982 +a(g436 +VVALUE +p13983 +tp13984 +a(g827 +g960 +tp13985 +a(g413 +g1020 +tp13986 +a(g436 +Vargv +p13987 +tp13988 +a(g706 +g1027 +tp13989 +a(g827 +V\u000a +p13990 +tp13991 +a(g827 +V +p13992 +tp13993 +a(g436 +VVALUE +p13994 +tp13995 +a(g827 +g960 +tp13996 +a(g436 +Vary +p13997 +tp13998 +a(g706 +g1027 +tp13999 +a(g827 +V\u000a +p14000 +tp14001 +a(g706 +g1293 +tp14002 +a(g827 +V\u000a +p14003 +tp14004 +a(g827 +V +p14005 +tp14006 +a(g749 +Vlong +p14007 +tp14008 +a(g827 +g960 +tp14009 +a(g436 +Voffset +p14010 +tp14011 +a(g706 +g1372 +tp14012 +a(g827 +g960 +tp14013 +a(g436 +Vbeg +p14014 +tp14015 +a(g706 +g1372 +tp14016 +a(g827 +g960 +tp14017 +a(g436 +Vlen +p14018 +tp14019 +a(g706 +g1027 +tp14020 +a(g827 +V\u000a +p14021 +tp14022 +a(g827 +V\u000a +p14023 +tp14024 +a(g827 +V +p14025 +tp14026 +a(g745 +Vif +p14027 +tp14028 +a(g827 +g960 +tp14029 +a(g706 +g1016 +tp14030 +a(g436 +Vargc +p14031 +tp14032 +a(g827 +g960 +tp14033 +a(g413 +g1185 +tp14034 +a(g413 +g1185 +tp14035 +a(g827 +g960 +tp14036 +a(g37 +V3 +p14037 +tp14038 +a(g706 +g1025 +tp14039 +a(g827 +g960 +tp14040 +a(g706 +g1293 +tp14041 +a(g827 +V\u000a +p14042 +tp14043 +a(g827 +g1297 +tp14044 +a(g436 +Vrb_ary_splice +p14045 +tp14046 +a(g706 +g1016 +tp14047 +a(g436 +Vary +p14048 +tp14049 +a(g706 +g1372 +tp14050 +a(g827 +g960 +tp14051 +a(g436 +VNUM2LONG +p14052 +tp14053 +a(g706 +g1016 +tp14054 +a(g436 +Vargv +p14055 +tp14056 +a(g706 +g1217 +tp14057 +a(g37 +g1188 +tp14058 +a(g706 +g1221 +tp14059 +a(g706 +g1025 +tp14060 +a(g706 +g1372 +tp14061 +a(g827 +g960 +tp14062 +a(g436 +VNUM2LONG +p14063 +tp14064 +a(g706 +g1016 +tp14065 +a(g436 +Vargv +p14066 +tp14067 +a(g706 +g1217 +tp14068 +a(g37 +g1205 +tp14069 +a(g706 +g1221 +tp14070 +a(g706 +g1025 +tp14071 +a(g706 +g1372 +tp14072 +a(g827 +g960 +tp14073 +a(g436 +Vargv +p14074 +tp14075 +a(g706 +g1217 +tp14076 +a(g37 +g2780 +tp14077 +a(g706 +g1221 +tp14078 +a(g706 +g1025 +tp14079 +a(g706 +g1027 +tp14080 +a(g827 +V\u000a +p14081 +tp14082 +a(g827 +g1297 +tp14083 +a(g745 +Vreturn +p14084 +tp14085 +a(g827 +g960 +tp14086 +a(g436 +Vargv +p14087 +tp14088 +a(g706 +g1217 +tp14089 +a(g37 +g2780 +tp14090 +a(g706 +g1221 +tp14091 +a(g706 +g1027 +tp14092 +a(g827 +V\u000a +p14093 +tp14094 +a(g827 +V +p14095 +tp14096 +a(g706 +g1721 +tp14097 +a(g827 +V\u000a +p14098 +tp14099 +a(g827 +V +p14100 +tp14101 +a(g745 +Vif +p14102 +tp14103 +a(g827 +g960 +tp14104 +a(g706 +g1016 +tp14105 +a(g436 +Vargc +p14106 +tp14107 +a(g827 +g960 +tp14108 +a(g413 +g2490 +tp14109 +a(g413 +g1185 +tp14110 +a(g827 +g960 +tp14111 +a(g37 +g2780 +tp14112 +a(g706 +g1025 +tp14113 +a(g827 +g960 +tp14114 +a(g706 +g1293 +tp14115 +a(g827 +V\u000a +p14116 +tp14117 +a(g827 +g1297 +tp14118 +a(g436 +Vrb_raise +p14119 +tp14120 +a(g706 +g1016 +tp14121 +a(g436 +Vrb_eArgError +p14122 +tp14123 +a(g706 +g1372 +tp14124 +a(g827 +g960 +tp14125 +a(g89 +g1375 +tp14126 +a(g89 +Vwrong number of arguments (%d for 2) +p14127 +tp14128 +a(g89 +g1375 +tp14129 +a(g706 +g1372 +tp14130 +a(g827 +g960 +tp14131 +a(g436 +Vargc +p14132 +tp14133 +a(g706 +g1025 +tp14134 +a(g706 +g1027 +tp14135 +a(g827 +V\u000a +p14136 +tp14137 +a(g827 +V +p14138 +tp14139 +a(g706 +g1721 +tp14140 +a(g827 +V\u000a +p14141 +tp14142 +a(g827 +V +p14143 +tp14144 +a(g745 +Vif +p14145 +tp14146 +a(g827 +g960 +tp14147 +a(g706 +g1016 +tp14148 +a(g436 +VFIXNUM_P +p14149 +tp14150 +a(g706 +g1016 +tp14151 +a(g436 +Vargv +p14152 +tp14153 +a(g706 +g1217 +tp14154 +a(g37 +g1188 +tp14155 +a(g706 +g1221 +tp14156 +a(g706 +g1025 +tp14157 +a(g706 +g1025 +tp14158 +a(g827 +g960 +tp14159 +a(g706 +g1293 +tp14160 +a(g827 +V\u000a +p14161 +tp14162 +a(g827 +g1297 +tp14163 +a(g436 +Voffset +p14164 +tp14165 +a(g827 +g960 +tp14166 +a(g413 +g1185 +tp14167 +a(g827 +g960 +tp14168 +a(g436 +VFIX2LONG +p14169 +tp14170 +a(g706 +g1016 +tp14171 +a(g436 +Vargv +p14172 +tp14173 +a(g706 +g1217 +tp14174 +a(g37 +g1188 +tp14175 +a(g706 +g1221 +tp14176 +a(g706 +g1025 +tp14177 +a(g706 +g1027 +tp14178 +a(g827 +V\u000a +p14179 +tp14180 +a(g827 +g1297 +tp14181 +a(g745 +Vgoto +p14182 +tp14183 +a(g827 +g960 +tp14184 +a(g436 +Vfixnum +p14185 +tp14186 +a(g706 +g1027 +tp14187 +a(g827 +V\u000a +p14188 +tp14189 +a(g827 +V +p14190 +tp14191 +a(g706 +g1721 +tp14192 +a(g827 +V\u000a +p14193 +tp14194 +a(g827 +V +p14195 +tp14196 +a(g745 +Vif +p14197 +tp14198 +a(g827 +g960 +tp14199 +a(g706 +g1016 +tp14200 +a(g436 +Vrb_range_beg_len +p14201 +tp14202 +a(g706 +g1016 +tp14203 +a(g436 +Vargv +p14204 +tp14205 +a(g706 +g1217 +tp14206 +a(g37 +g1188 +tp14207 +a(g706 +g1221 +tp14208 +a(g706 +g1372 +tp14209 +a(g827 +g960 +tp14210 +a(g413 +g4051 +tp14211 +a(g436 +Vbeg +p14212 +tp14213 +a(g706 +g1372 +tp14214 +a(g827 +g960 +tp14215 +a(g413 +g4051 +tp14216 +a(g436 +Vlen +p14217 +tp14218 +a(g706 +g1372 +tp14219 +a(g827 +g960 +tp14220 +a(g436 +VRARRAY +p14221 +tp14222 +a(g706 +g1016 +tp14223 +a(g436 +Vary +p14224 +tp14225 +a(g706 +g1025 +tp14226 +a(g413 +g1692 +tp14227 +a(g413 +g1694 +tp14228 +a(g436 +Vlen +p14229 +tp14230 +a(g706 +g1372 +tp14231 +a(g827 +g960 +tp14232 +a(g37 +g1205 +tp14233 +a(g706 +g1025 +tp14234 +a(g706 +g1025 +tp14235 +a(g827 +g960 +tp14236 +a(g706 +g1293 +tp14237 +a(g827 +V\u000a +p14238 +tp14239 +a(g827 +g1297 +tp14240 +a(g929 +V/* check if idx is Range */ +p14241 +tp14242 +a(g827 +V\u000a +p14243 +tp14244 +a(g827 +g1297 +tp14245 +a(g436 +Vrb_ary_splice +p14246 +tp14247 +a(g706 +g1016 +tp14248 +a(g436 +Vary +p14249 +tp14250 +a(g706 +g1372 +tp14251 +a(g827 +g960 +tp14252 +a(g436 +Vbeg +p14253 +tp14254 +a(g706 +g1372 +tp14255 +a(g827 +g960 +tp14256 +a(g436 +Vlen +p14257 +tp14258 +a(g706 +g1372 +tp14259 +a(g827 +g960 +tp14260 +a(g436 +Vargv +p14261 +tp14262 +a(g706 +g1217 +tp14263 +a(g37 +g1205 +tp14264 +a(g706 +g1221 +tp14265 +a(g706 +g1025 +tp14266 +a(g706 +g1027 +tp14267 +a(g827 +V\u000a +p14268 +tp14269 +a(g827 +g1297 +tp14270 +a(g745 +Vreturn +p14271 +tp14272 +a(g827 +g960 +tp14273 +a(g436 +Vargv +p14274 +tp14275 +a(g706 +g1217 +tp14276 +a(g37 +g1205 +tp14277 +a(g706 +g1221 +tp14278 +a(g706 +g1027 +tp14279 +a(g827 +V\u000a +p14280 +tp14281 +a(g827 +V +p14282 +tp14283 +a(g706 +g1721 +tp14284 +a(g827 +V\u000a +p14285 +tp14286 +a(g827 +V\u000a +p14287 +tp14288 +a(g827 +V +p14289 +tp14290 +a(g436 +Voffset +p14291 +tp14292 +a(g827 +g960 +tp14293 +a(g413 +g1185 +tp14294 +a(g827 +g960 +tp14295 +a(g436 +VNUM2LONG +p14296 +tp14297 +a(g706 +g1016 +tp14298 +a(g436 +Vargv +p14299 +tp14300 +a(g706 +g1217 +tp14301 +a(g37 +g1188 +tp14302 +a(g706 +g1221 +tp14303 +a(g706 +g1025 +tp14304 +a(g706 +g1027 +tp14305 +a(g827 +V\u000a +p14306 +tp14307 +a(g597 +Vfixnum +p14308 +tp14309 +a(g706 +g3425 +tp14310 +a(g827 +V\u000a +p14311 +tp14312 +a(g827 +V +p14313 +tp14314 +a(g436 +Vrb_ary_store +p14315 +tp14316 +a(g706 +g1016 +tp14317 +a(g436 +Vary +p14318 +tp14319 +a(g706 +g1372 +tp14320 +a(g827 +g960 +tp14321 +a(g436 +Voffset +p14322 +tp14323 +a(g706 +g1372 +tp14324 +a(g827 +g960 +tp14325 +a(g436 +Vargv +p14326 +tp14327 +a(g706 +g1217 +tp14328 +a(g37 +g1205 +tp14329 +a(g706 +g1221 +tp14330 +a(g706 +g1025 +tp14331 +a(g706 +g1027 +tp14332 +a(g827 +V\u000a +p14333 +tp14334 +a(g827 +V +p14335 +tp14336 +a(g745 +Vreturn +p14337 +tp14338 +a(g827 +g960 +tp14339 +a(g436 +Vargv +p14340 +tp14341 +a(g706 +g1217 +tp14342 +a(g37 +g1205 +tp14343 +a(g706 +g1221 +tp14344 +a(g706 +g1027 +tp14345 +a(g827 +V\u000a +p14346 +tp14347 +a(g706 +g1721 +tp14348 +a(g827 +V\u000a +p14349 +tp14350 +a(g827 +V\u000a +p14351 +tp14352 +a(g929 +V/*\u000a * call-seq:\u000a * array.insert(index, obj...) -> array\u000a * \u000a * Inserts the given values before the element with the given index\u000a * (which may be negative).\u000a * \u000a * a = %w{ a b c d }\u000a * a.insert(2, 99) #=> ["a", "b", 99, "c", "d"]\u000a * a.insert(-2, 1, 2, 3) #=> ["a", "b", 99, "c", 1, 2, 3, "d"]\u000a */ +p14353 +tp14354 +a(g827 +V\u000a +p14355 +tp14356 +a(g827 +V\u000a +p14357 +tp14358 +a(g745 +Vstatic +p14359 +tp14360 +a(g827 +g960 +tp14361 +a(g436 +VVALUE +p14362 +tp14363 +a(g827 +V\u000a +p14364 +tp14365 +a(g436 +Vrb_ary_insert +p14366 +tp14367 +a(g706 +g1016 +tp14368 +a(g436 +Vargc +p14369 +tp14370 +a(g706 +g1372 +tp14371 +a(g827 +g960 +tp14372 +a(g436 +Vargv +p14373 +tp14374 +a(g706 +g1372 +tp14375 +a(g827 +g960 +tp14376 +a(g436 +Vary +p14377 +tp14378 +a(g706 +g1025 +tp14379 +a(g827 +V\u000a +p14380 +tp14381 +a(g827 +V +p14382 +tp14383 +a(g749 +Vint +p14384 +tp14385 +a(g827 +g960 +tp14386 +a(g436 +Vargc +p14387 +tp14388 +a(g706 +g1027 +tp14389 +a(g827 +V\u000a +p14390 +tp14391 +a(g827 +V +p14392 +tp14393 +a(g436 +VVALUE +p14394 +tp14395 +a(g827 +g960 +tp14396 +a(g413 +g1020 +tp14397 +a(g436 +Vargv +p14398 +tp14399 +a(g706 +g1027 +tp14400 +a(g827 +V\u000a +p14401 +tp14402 +a(g827 +V +p14403 +tp14404 +a(g436 +VVALUE +p14405 +tp14406 +a(g827 +g960 +tp14407 +a(g436 +Vary +p14408 +tp14409 +a(g706 +g1027 +tp14410 +a(g827 +V\u000a +p14411 +tp14412 +a(g706 +g1293 +tp14413 +a(g827 +V\u000a +p14414 +tp14415 +a(g827 +V +p14416 +tp14417 +a(g749 +Vlong +p14418 +tp14419 +a(g827 +g960 +tp14420 +a(g436 +Vpos +p14421 +tp14422 +a(g706 +g1027 +tp14423 +a(g827 +V\u000a +p14424 +tp14425 +a(g827 +V\u000a +p14426 +tp14427 +a(g827 +V +p14428 +tp14429 +a(g745 +Vif +p14430 +tp14431 +a(g827 +g960 +tp14432 +a(g706 +g1016 +tp14433 +a(g436 +Vargc +p14434 +tp14435 +a(g827 +g960 +tp14436 +a(g413 +g4656 +tp14437 +a(g827 +g960 +tp14438 +a(g37 +g1205 +tp14439 +a(g706 +g1025 +tp14440 +a(g827 +g960 +tp14441 +a(g706 +g1293 +tp14442 +a(g827 +V\u000a +p14443 +tp14444 +a(g827 +g1297 +tp14445 +a(g436 +Vrb_raise +p14446 +tp14447 +a(g706 +g1016 +tp14448 +a(g436 +Vrb_eArgError +p14449 +tp14450 +a(g706 +g1372 +tp14451 +a(g827 +g960 +tp14452 +a(g89 +g1375 +tp14453 +a(g89 +Vwrong number of arguments (at least 1) +p14454 +tp14455 +a(g89 +g1375 +tp14456 +a(g706 +g1025 +tp14457 +a(g706 +g1027 +tp14458 +a(g827 +V\u000a +p14459 +tp14460 +a(g827 +V +p14461 +tp14462 +a(g706 +g1721 +tp14463 +a(g827 +V\u000a +p14464 +tp14465 +a(g827 +V +p14466 +tp14467 +a(g436 +Vpos +p14468 +tp14469 +a(g827 +g960 +tp14470 +a(g413 +g1185 +tp14471 +a(g827 +g960 +tp14472 +a(g436 +VNUM2LONG +p14473 +tp14474 +a(g706 +g1016 +tp14475 +a(g436 +Vargv +p14476 +tp14477 +a(g706 +g1217 +tp14478 +a(g37 +g1188 +tp14479 +a(g706 +g1221 +tp14480 +a(g706 +g1025 +tp14481 +a(g706 +g1027 +tp14482 +a(g827 +V\u000a +p14483 +tp14484 +a(g827 +V +p14485 +tp14486 +a(g745 +Vif +p14487 +tp14488 +a(g827 +g960 +tp14489 +a(g706 +g1016 +tp14490 +a(g436 +Vpos +p14491 +tp14492 +a(g827 +g960 +tp14493 +a(g413 +g1185 +tp14494 +a(g413 +g1185 +tp14495 +a(g827 +g960 +tp14496 +a(g413 +g1692 +tp14497 +a(g37 +g1205 +tp14498 +a(g706 +g1025 +tp14499 +a(g827 +g960 +tp14500 +a(g706 +g1293 +tp14501 +a(g827 +V\u000a +p14502 +tp14503 +a(g827 +g1297 +tp14504 +a(g436 +Vpos +p14505 +tp14506 +a(g827 +g960 +tp14507 +a(g413 +g1185 +tp14508 +a(g827 +g960 +tp14509 +a(g436 +VRARRAY +p14510 +tp14511 +a(g706 +g1016 +tp14512 +a(g436 +Vary +p14513 +tp14514 +a(g706 +g1025 +tp14515 +a(g413 +g1692 +tp14516 +a(g413 +g1694 +tp14517 +a(g436 +Vlen +p14518 +tp14519 +a(g706 +g1027 +tp14520 +a(g827 +V\u000a +p14521 +tp14522 +a(g827 +V +p14523 +tp14524 +a(g706 +g1721 +tp14525 +a(g827 +V\u000a +p14526 +tp14527 +a(g827 +V +p14528 +tp14529 +a(g745 +Velse +p14530 +tp14531 +a(g827 +g960 +tp14532 +a(g745 +Vif +p14533 +tp14534 +a(g827 +g960 +tp14535 +a(g706 +g1016 +tp14536 +a(g436 +Vpos +p14537 +tp14538 +a(g827 +g960 +tp14539 +a(g413 +g4656 +tp14540 +a(g827 +g960 +tp14541 +a(g37 +g1188 +tp14542 +a(g706 +g1025 +tp14543 +a(g827 +g960 +tp14544 +a(g706 +g1293 +tp14545 +a(g827 +V\u000a +p14546 +tp14547 +a(g827 +g1297 +tp14548 +a(g436 +Vpos +p14549 +tp14550 +a(g413 +g2280 +tp14551 +a(g413 +g2280 +tp14552 +a(g706 +g1027 +tp14553 +a(g827 +V\u000a +p14554 +tp14555 +a(g827 +V +p14556 +tp14557 +a(g706 +g1721 +tp14558 +a(g827 +V\u000a +p14559 +tp14560 +a(g827 +V\u000a +p14561 +tp14562 +a(g827 +V +p14563 +tp14564 +a(g745 +Vif +p14565 +tp14566 +a(g827 +g960 +tp14567 +a(g706 +g1016 +tp14568 +a(g436 +Vargc +p14569 +tp14570 +a(g827 +g960 +tp14571 +a(g413 +g1185 +tp14572 +a(g413 +g1185 +tp14573 +a(g827 +g960 +tp14574 +a(g37 +g1205 +tp14575 +a(g706 +g1025 +tp14576 +a(g827 +g960 +tp14577 +a(g745 +Vreturn +p14578 +tp14579 +a(g827 +g960 +tp14580 +a(g436 +Vary +p14581 +tp14582 +a(g706 +g1027 +tp14583 +a(g827 +V\u000a +p14584 +tp14585 +a(g827 +V +p14586 +tp14587 +a(g436 +Vrb_ary_splice +p14588 +tp14589 +a(g706 +g1016 +tp14590 +a(g436 +Vary +p14591 +tp14592 +a(g706 +g1372 +tp14593 +a(g827 +g960 +tp14594 +a(g436 +Vpos +p14595 +tp14596 +a(g706 +g1372 +tp14597 +a(g827 +g960 +tp14598 +a(g37 +g1188 +tp14599 +a(g706 +g1372 +tp14600 +a(g827 +g960 +tp14601 +a(g436 +Vrb_ary_new4 +p14602 +tp14603 +a(g706 +g1016 +tp14604 +a(g436 +Vargc +p14605 +tp14606 +a(g827 +g960 +tp14607 +a(g413 +g1692 +tp14608 +a(g827 +g960 +tp14609 +a(g37 +g1205 +tp14610 +a(g706 +g1372 +tp14611 +a(g827 +g960 +tp14612 +a(g436 +Vargv +p14613 +tp14614 +a(g827 +g960 +tp14615 +a(g413 +g2280 +tp14616 +a(g827 +g960 +tp14617 +a(g37 +g1205 +tp14618 +a(g706 +g1025 +tp14619 +a(g706 +g1025 +tp14620 +a(g706 +g1027 +tp14621 +a(g827 +V\u000a +p14622 +tp14623 +a(g827 +V +p14624 +tp14625 +a(g745 +Vreturn +p14626 +tp14627 +a(g827 +g960 +tp14628 +a(g436 +Vary +p14629 +tp14630 +a(g706 +g1027 +tp14631 +a(g827 +V\u000a +p14632 +tp14633 +a(g706 +g1721 +tp14634 +a(g827 +V\u000a +p14635 +tp14636 +a(g827 +V\u000a +p14637 +tp14638 +a(g929 +V/*\u000a * call-seq:\u000a * array.each {|item| block } -> array\u000a * \u000a * Calls block once for each element in self, passing that\u000a * element as a parameter.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.each {|x| print x, " -- " }\u000a * \u000a * produces:\u000a * \u000a * a -- b -- c --\u000a */ +p14639 +tp14640 +a(g827 +V\u000a +p14641 +tp14642 +a(g827 +V\u000a +p14643 +tp14644 +a(g436 +VVALUE +p14645 +tp14646 +a(g827 +V\u000a +p14647 +tp14648 +a(g436 +Vrb_ary_each +p14649 +tp14650 +a(g706 +g1016 +tp14651 +a(g436 +Vary +p14652 +tp14653 +a(g706 +g1025 +tp14654 +a(g827 +V\u000a +p14655 +tp14656 +a(g827 +V +p14657 +tp14658 +a(g436 +VVALUE +p14659 +tp14660 +a(g827 +g960 +tp14661 +a(g436 +Vary +p14662 +tp14663 +a(g706 +g1027 +tp14664 +a(g827 +V\u000a +p14665 +tp14666 +a(g706 +g1293 +tp14667 +a(g827 +V\u000a +p14668 +tp14669 +a(g827 +V +p14670 +tp14671 +a(g749 +Vlong +p14672 +tp14673 +a(g827 +g960 +tp14674 +a(g436 +g2597 +tp14675 +a(g706 +g1027 +tp14676 +a(g827 +V\u000a +p14677 +tp14678 +a(g827 +V\u000a +p14679 +tp14680 +a(g827 +V +p14681 +tp14682 +a(g745 +Vfor +p14683 +tp14684 +a(g827 +g960 +tp14685 +a(g706 +g1016 +tp14686 +a(g436 +g2597 +tp14687 +a(g413 +g1185 +tp14688 +a(g37 +g1188 +tp14689 +a(g706 +g1027 +tp14690 +a(g827 +g960 +tp14691 +a(g436 +g2597 +tp14692 +a(g413 +g4656 +tp14693 +a(g436 +VRARRAY +p14694 +tp14695 +a(g706 +g1016 +tp14696 +a(g436 +Vary +p14697 +tp14698 +a(g706 +g1025 +tp14699 +a(g413 +g1692 +tp14700 +a(g413 +g1694 +tp14701 +a(g436 +Vlen +p14702 +tp14703 +a(g706 +g1027 +tp14704 +a(g827 +g960 +tp14705 +a(g436 +g2597 +tp14706 +a(g413 +g2280 +tp14707 +a(g413 +g2280 +tp14708 +a(g706 +g1025 +tp14709 +a(g827 +g960 +tp14710 +a(g706 +g1293 +tp14711 +a(g827 +V\u000a +p14712 +tp14713 +a(g827 +g1297 +tp14714 +a(g436 +Vrb_yield +p14715 +tp14716 +a(g706 +g1016 +tp14717 +a(g436 +VRARRAY +p14718 +tp14719 +a(g706 +g1016 +tp14720 +a(g436 +Vary +p14721 +tp14722 +a(g706 +g1025 +tp14723 +a(g413 +g1692 +tp14724 +a(g413 +g1694 +tp14725 +a(g436 +Vptr +p14726 +tp14727 +a(g706 +g1217 +tp14728 +a(g436 +g2597 +tp14729 +a(g706 +g1221 +tp14730 +a(g706 +g1025 +tp14731 +a(g706 +g1027 +tp14732 +a(g827 +V\u000a +p14733 +tp14734 +a(g827 +V +p14735 +tp14736 +a(g706 +g1721 +tp14737 +a(g827 +V\u000a +p14738 +tp14739 +a(g827 +V +p14740 +tp14741 +a(g745 +Vreturn +p14742 +tp14743 +a(g827 +g960 +tp14744 +a(g436 +Vary +p14745 +tp14746 +a(g706 +g1027 +tp14747 +a(g827 +V\u000a +p14748 +tp14749 +a(g706 +g1721 +tp14750 +a(g827 +V\u000a +p14751 +tp14752 +a(g827 +V\u000a +p14753 +tp14754 +a(g929 +V/*\u000a * call-seq:\u000a * array.each_index {|index| block } -> array\u000a * \u000a * Same as Array#each, but passes the index of the element\u000a * instead of the element itself.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.each_index {|x| print x, " -- " }\u000a * \u000a * produces:\u000a * \u000a * 0 -- 1 -- 2 --\u000a */ +p14755 +tp14756 +a(g827 +V\u000a +p14757 +tp14758 +a(g827 +V\u000a +p14759 +tp14760 +a(g745 +Vstatic +p14761 +tp14762 +a(g827 +g960 +tp14763 +a(g436 +VVALUE +p14764 +tp14765 +a(g827 +V\u000a +p14766 +tp14767 +a(g436 +Vrb_ary_each_index +p14768 +tp14769 +a(g706 +g1016 +tp14770 +a(g436 +Vary +p14771 +tp14772 +a(g706 +g1025 +tp14773 +a(g827 +V\u000a +p14774 +tp14775 +a(g827 +V +p14776 +tp14777 +a(g436 +VVALUE +p14778 +tp14779 +a(g827 +g960 +tp14780 +a(g436 +Vary +p14781 +tp14782 +a(g706 +g1027 +tp14783 +a(g827 +V\u000a +p14784 +tp14785 +a(g706 +g1293 +tp14786 +a(g827 +V\u000a +p14787 +tp14788 +a(g827 +V +p14789 +tp14790 +a(g749 +Vlong +p14791 +tp14792 +a(g827 +g960 +tp14793 +a(g436 +g2597 +tp14794 +a(g706 +g1027 +tp14795 +a(g827 +V\u000a +p14796 +tp14797 +a(g827 +V\u000a +p14798 +tp14799 +a(g827 +V +p14800 +tp14801 +a(g745 +Vfor +p14802 +tp14803 +a(g827 +g960 +tp14804 +a(g706 +g1016 +tp14805 +a(g436 +g2597 +tp14806 +a(g413 +g1185 +tp14807 +a(g37 +g1188 +tp14808 +a(g706 +g1027 +tp14809 +a(g827 +g960 +tp14810 +a(g436 +g2597 +tp14811 +a(g413 +g4656 +tp14812 +a(g436 +VRARRAY +p14813 +tp14814 +a(g706 +g1016 +tp14815 +a(g436 +Vary +p14816 +tp14817 +a(g706 +g1025 +tp14818 +a(g413 +g1692 +tp14819 +a(g413 +g1694 +tp14820 +a(g436 +Vlen +p14821 +tp14822 +a(g706 +g1027 +tp14823 +a(g827 +g960 +tp14824 +a(g436 +g2597 +tp14825 +a(g413 +g2280 +tp14826 +a(g413 +g2280 +tp14827 +a(g706 +g1025 +tp14828 +a(g827 +g960 +tp14829 +a(g706 +g1293 +tp14830 +a(g827 +V\u000a +p14831 +tp14832 +a(g827 +g1297 +tp14833 +a(g436 +Vrb_yield +p14834 +tp14835 +a(g706 +g1016 +tp14836 +a(g436 +VLONG2NUM +p14837 +tp14838 +a(g706 +g1016 +tp14839 +a(g436 +g2597 +tp14840 +a(g706 +g1025 +tp14841 +a(g706 +g1025 +tp14842 +a(g706 +g1027 +tp14843 +a(g827 +V\u000a +p14844 +tp14845 +a(g827 +V +p14846 +tp14847 +a(g706 +g1721 +tp14848 +a(g827 +V\u000a +p14849 +tp14850 +a(g827 +V +p14851 +tp14852 +a(g745 +Vreturn +p14853 +tp14854 +a(g827 +g960 +tp14855 +a(g436 +Vary +p14856 +tp14857 +a(g706 +g1027 +tp14858 +a(g827 +V\u000a +p14859 +tp14860 +a(g706 +g1721 +tp14861 +a(g827 +V\u000a +p14862 +tp14863 +a(g827 +V\u000a +p14864 +tp14865 +a(g929 +V/*\u000a * call-seq:\u000a * array.reverse_each {|item| block } \u000a * \u000a * Same as Array#each, but traverses self in reverse\u000a * order.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.reverse_each {|x| print x, " " }\u000a * \u000a * produces:\u000a * \u000a * c b a\u000a */ +p14866 +tp14867 +a(g827 +V\u000a +p14868 +tp14869 +a(g827 +V\u000a +p14870 +tp14871 +a(g745 +Vstatic +p14872 +tp14873 +a(g827 +g960 +tp14874 +a(g436 +VVALUE +p14875 +tp14876 +a(g827 +V\u000a +p14877 +tp14878 +a(g436 +Vrb_ary_reverse_each +p14879 +tp14880 +a(g706 +g1016 +tp14881 +a(g436 +Vary +p14882 +tp14883 +a(g706 +g1025 +tp14884 +a(g827 +V\u000a +p14885 +tp14886 +a(g827 +V +p14887 +tp14888 +a(g436 +VVALUE +p14889 +tp14890 +a(g827 +g960 +tp14891 +a(g436 +Vary +p14892 +tp14893 +a(g706 +g1027 +tp14894 +a(g827 +V\u000a +p14895 +tp14896 +a(g706 +g1293 +tp14897 +a(g827 +V\u000a +p14898 +tp14899 +a(g827 +V +p14900 +tp14901 +a(g749 +Vlong +p14902 +tp14903 +a(g827 +g960 +tp14904 +a(g436 +Vlen +p14905 +tp14906 +a(g827 +g960 +tp14907 +a(g413 +g1185 +tp14908 +a(g827 +g960 +tp14909 +a(g436 +VRARRAY +p14910 +tp14911 +a(g706 +g1016 +tp14912 +a(g436 +Vary +p14913 +tp14914 +a(g706 +g1025 +tp14915 +a(g413 +g1692 +tp14916 +a(g413 +g1694 +tp14917 +a(g436 +Vlen +p14918 +tp14919 +a(g706 +g1027 +tp14920 +a(g827 +V\u000a +p14921 +tp14922 +a(g827 +V\u000a +p14923 +tp14924 +a(g827 +V +p14925 +tp14926 +a(g745 +Vwhile +p14927 +tp14928 +a(g827 +g960 +tp14929 +a(g706 +g1016 +tp14930 +a(g436 +Vlen +p14931 +tp14932 +a(g413 +g1692 +tp14933 +a(g413 +g1692 +tp14934 +a(g706 +g1025 +tp14935 +a(g827 +g960 +tp14936 +a(g706 +g1293 +tp14937 +a(g827 +V\u000a +p14938 +tp14939 +a(g827 +g1297 +tp14940 +a(g436 +Vrb_yield +p14941 +tp14942 +a(g706 +g1016 +tp14943 +a(g436 +VRARRAY +p14944 +tp14945 +a(g706 +g1016 +tp14946 +a(g436 +Vary +p14947 +tp14948 +a(g706 +g1025 +tp14949 +a(g413 +g1692 +tp14950 +a(g413 +g1694 +tp14951 +a(g436 +Vptr +p14952 +tp14953 +a(g706 +g1217 +tp14954 +a(g436 +Vlen +p14955 +tp14956 +a(g706 +g1221 +tp14957 +a(g706 +g1025 +tp14958 +a(g706 +g1027 +tp14959 +a(g827 +V\u000a +p14960 +tp14961 +a(g827 +g1297 +tp14962 +a(g745 +Vif +p14963 +tp14964 +a(g827 +g960 +tp14965 +a(g706 +g1016 +tp14966 +a(g436 +VRARRAY +p14967 +tp14968 +a(g706 +g1016 +tp14969 +a(g436 +Vary +p14970 +tp14971 +a(g706 +g1025 +tp14972 +a(g413 +g1692 +tp14973 +a(g413 +g1694 +tp14974 +a(g436 +Vlen +p14975 +tp14976 +a(g827 +g960 +tp14977 +a(g413 +g4656 +tp14978 +a(g827 +g960 +tp14979 +a(g436 +Vlen +p14980 +tp14981 +a(g706 +g1025 +tp14982 +a(g827 +g960 +tp14983 +a(g706 +g1293 +tp14984 +a(g827 +V\u000a +p14985 +tp14986 +a(g827 +V +p14987 +tp14988 +a(g436 +Vlen +p14989 +tp14990 +a(g827 +g960 +tp14991 +a(g413 +g1185 +tp14992 +a(g827 +g960 +tp14993 +a(g436 +VRARRAY +p14994 +tp14995 +a(g706 +g1016 +tp14996 +a(g436 +Vary +p14997 +tp14998 +a(g706 +g1025 +tp14999 +a(g413 +g1692 +tp15000 +a(g413 +g1694 +tp15001 +a(g436 +Vlen +p15002 +tp15003 +a(g706 +g1027 +tp15004 +a(g827 +V\u000a +p15005 +tp15006 +a(g827 +g1297 +tp15007 +a(g706 +g1721 +tp15008 +a(g827 +V\u000a +p15009 +tp15010 +a(g827 +V +p15011 +tp15012 +a(g706 +g1721 +tp15013 +a(g827 +V\u000a +p15014 +tp15015 +a(g827 +V +p15016 +tp15017 +a(g745 +Vreturn +p15018 +tp15019 +a(g827 +g960 +tp15020 +a(g436 +Vary +p15021 +tp15022 +a(g706 +g1027 +tp15023 +a(g827 +V\u000a +p15024 +tp15025 +a(g706 +g1721 +tp15026 +a(g827 +V\u000a +p15027 +tp15028 +a(g827 +V\u000a +p15029 +tp15030 +a(g929 +V/*\u000a * call-seq:\u000a * array.length -> int\u000a * \u000a * Returns the number of elements in self. May be zero.\u000a * \u000a * [ 1, 2, 3, 4, 5 ].length #=> 5\u000a */ +p15031 +tp15032 +a(g827 +V\u000a +p15033 +tp15034 +a(g827 +V\u000a +p15035 +tp15036 +a(g745 +Vstatic +p15037 +tp15038 +a(g827 +g960 +tp15039 +a(g436 +VVALUE +p15040 +tp15041 +a(g827 +V\u000a +p15042 +tp15043 +a(g436 +Vrb_ary_length +p15044 +tp15045 +a(g706 +g1016 +tp15046 +a(g436 +Vary +p15047 +tp15048 +a(g706 +g1025 +tp15049 +a(g827 +V\u000a +p15050 +tp15051 +a(g827 +V +p15052 +tp15053 +a(g436 +VVALUE +p15054 +tp15055 +a(g827 +g960 +tp15056 +a(g436 +Vary +p15057 +tp15058 +a(g706 +g1027 +tp15059 +a(g827 +V\u000a +p15060 +tp15061 +a(g706 +g1293 +tp15062 +a(g827 +V\u000a +p15063 +tp15064 +a(g827 +V +p15065 +tp15066 +a(g745 +Vreturn +p15067 +tp15068 +a(g827 +g960 +tp15069 +a(g436 +VLONG2NUM +p15070 +tp15071 +a(g706 +g1016 +tp15072 +a(g436 +VRARRAY +p15073 +tp15074 +a(g706 +g1016 +tp15075 +a(g436 +Vary +p15076 +tp15077 +a(g706 +g1025 +tp15078 +a(g413 +g1692 +tp15079 +a(g413 +g1694 +tp15080 +a(g436 +Vlen +p15081 +tp15082 +a(g706 +g1025 +tp15083 +a(g706 +g1027 +tp15084 +a(g827 +V\u000a +p15085 +tp15086 +a(g706 +g1721 +tp15087 +a(g827 +V\u000a +p15088 +tp15089 +a(g827 +V\u000a +p15090 +tp15091 +a(g929 +V/*\u000a * call-seq:\u000a * array.empty? -> true or false\u000a * \u000a * Returns true if self array contains no elements.\u000a * \u000a * [].empty? #=> true\u000a */ +p15092 +tp15093 +a(g827 +V\u000a +p15094 +tp15095 +a(g827 +V\u000a +p15096 +tp15097 +a(g745 +Vstatic +p15098 +tp15099 +a(g827 +g960 +tp15100 +a(g436 +VVALUE +p15101 +tp15102 +a(g827 +V\u000a +p15103 +tp15104 +a(g436 +Vrb_ary_empty_p +p15105 +tp15106 +a(g706 +g1016 +tp15107 +a(g436 +Vary +p15108 +tp15109 +a(g706 +g1025 +tp15110 +a(g827 +V\u000a +p15111 +tp15112 +a(g827 +V +p15113 +tp15114 +a(g436 +VVALUE +p15115 +tp15116 +a(g827 +g960 +tp15117 +a(g436 +Vary +p15118 +tp15119 +a(g706 +g1027 +tp15120 +a(g827 +V\u000a +p15121 +tp15122 +a(g706 +g1293 +tp15123 +a(g827 +V\u000a +p15124 +tp15125 +a(g827 +V +p15126 +tp15127 +a(g745 +Vif +p15128 +tp15129 +a(g827 +g960 +tp15130 +a(g706 +g1016 +tp15131 +a(g436 +VRARRAY +p15132 +tp15133 +a(g706 +g1016 +tp15134 +a(g436 +Vary +p15135 +tp15136 +a(g706 +g1025 +tp15137 +a(g413 +g1692 +tp15138 +a(g413 +g1694 +tp15139 +a(g436 +Vlen +p15140 +tp15141 +a(g827 +g960 +tp15142 +a(g413 +g1185 +tp15143 +a(g413 +g1185 +tp15144 +a(g827 +g960 +tp15145 +a(g37 +g1188 +tp15146 +a(g706 +g1025 +tp15147 +a(g827 +V\u000a +p15148 +tp15149 +a(g827 +g1297 +tp15150 +a(g745 +Vreturn +p15151 +tp15152 +a(g827 +g960 +tp15153 +a(g436 +VQtrue +p15154 +tp15155 +a(g706 +g1027 +tp15156 +a(g827 +V\u000a +p15157 +tp15158 +a(g827 +V +p15159 +tp15160 +a(g745 +Vreturn +p15161 +tp15162 +a(g827 +g960 +tp15163 +a(g436 +VQfalse +p15164 +tp15165 +a(g706 +g1027 +tp15166 +a(g827 +V\u000a +p15167 +tp15168 +a(g706 +g1721 +tp15169 +a(g827 +V\u000a +p15170 +tp15171 +a(g827 +V\u000a +p15172 +tp15173 +a(g436 +VVALUE +p15174 +tp15175 +a(g827 +V\u000a +p15176 +tp15177 +a(g436 +Vrb_ary_dup +p15178 +tp15179 +a(g706 +g1016 +tp15180 +a(g436 +Vary +p15181 +tp15182 +a(g706 +g1025 +tp15183 +a(g827 +V\u000a +p15184 +tp15185 +a(g827 +V +p15186 +tp15187 +a(g436 +VVALUE +p15188 +tp15189 +a(g827 +g960 +tp15190 +a(g436 +Vary +p15191 +tp15192 +a(g706 +g1027 +tp15193 +a(g827 +V\u000a +p15194 +tp15195 +a(g706 +g1293 +tp15196 +a(g827 +V\u000a +p15197 +tp15198 +a(g827 +V +p15199 +tp15200 +a(g436 +VVALUE +p15201 +tp15202 +a(g827 +g960 +tp15203 +a(g436 +Vdup +p15204 +tp15205 +a(g827 +g960 +tp15206 +a(g413 +g1185 +tp15207 +a(g827 +g960 +tp15208 +a(g436 +Vrb_ary_new2 +p15209 +tp15210 +a(g706 +g1016 +tp15211 +a(g436 +VRARRAY +p15212 +tp15213 +a(g706 +g1016 +tp15214 +a(g436 +Vary +p15215 +tp15216 +a(g706 +g1025 +tp15217 +a(g413 +g1692 +tp15218 +a(g413 +g1694 +tp15219 +a(g436 +Vlen +p15220 +tp15221 +a(g706 +g1025 +tp15222 +a(g706 +g1027 +tp15223 +a(g827 +V\u000a +p15224 +tp15225 +a(g827 +V\u000a +p15226 +tp15227 +a(g827 +V +p15228 +tp15229 +a(g436 +VDUPSETUP +p15230 +tp15231 +a(g706 +g1016 +tp15232 +a(g436 +Vdup +p15233 +tp15234 +a(g706 +g1372 +tp15235 +a(g827 +g960 +tp15236 +a(g436 +Vary +p15237 +tp15238 +a(g706 +g1025 +tp15239 +a(g706 +g1027 +tp15240 +a(g827 +V\u000a +p15241 +tp15242 +a(g827 +V +p15243 +tp15244 +a(g436 +VMEMCPY +p15245 +tp15246 +a(g706 +g1016 +tp15247 +a(g436 +VRARRAY +p15248 +tp15249 +a(g706 +g1016 +tp15250 +a(g436 +Vdup +p15251 +tp15252 +a(g706 +g1025 +tp15253 +a(g413 +g1692 +tp15254 +a(g413 +g1694 +tp15255 +a(g436 +Vptr +p15256 +tp15257 +a(g706 +g1372 +tp15258 +a(g827 +g960 +tp15259 +a(g436 +VRARRAY +p15260 +tp15261 +a(g706 +g1016 +tp15262 +a(g436 +Vary +p15263 +tp15264 +a(g706 +g1025 +tp15265 +a(g413 +g1692 +tp15266 +a(g413 +g1694 +tp15267 +a(g436 +Vptr +p15268 +tp15269 +a(g706 +g1372 +tp15270 +a(g827 +g960 +tp15271 +a(g436 +VVALUE +p15272 +tp15273 +a(g706 +g1372 +tp15274 +a(g827 +g960 +tp15275 +a(g436 +VRARRAY +p15276 +tp15277 +a(g706 +g1016 +tp15278 +a(g436 +Vary +p15279 +tp15280 +a(g706 +g1025 +tp15281 +a(g413 +g1692 +tp15282 +a(g413 +g1694 +tp15283 +a(g436 +Vlen +p15284 +tp15285 +a(g706 +g1025 +tp15286 +a(g706 +g1027 +tp15287 +a(g827 +V\u000a +p15288 +tp15289 +a(g827 +V +p15290 +tp15291 +a(g436 +VRARRAY +p15292 +tp15293 +a(g706 +g1016 +tp15294 +a(g436 +Vdup +p15295 +tp15296 +a(g706 +g1025 +tp15297 +a(g413 +g1692 +tp15298 +a(g413 +g1694 +tp15299 +a(g436 +Vlen +p15300 +tp15301 +a(g827 +g960 +tp15302 +a(g413 +g1185 +tp15303 +a(g827 +g960 +tp15304 +a(g436 +VRARRAY +p15305 +tp15306 +a(g706 +g1016 +tp15307 +a(g436 +Vary +p15308 +tp15309 +a(g706 +g1025 +tp15310 +a(g413 +g1692 +tp15311 +a(g413 +g1694 +tp15312 +a(g436 +Vlen +p15313 +tp15314 +a(g706 +g1027 +tp15315 +a(g827 +V\u000a +p15316 +tp15317 +a(g827 +V +p15318 +tp15319 +a(g745 +Vreturn +p15320 +tp15321 +a(g827 +g960 +tp15322 +a(g436 +Vdup +p15323 +tp15324 +a(g706 +g1027 +tp15325 +a(g827 +V\u000a +p15326 +tp15327 +a(g706 +g1721 +tp15328 +a(g827 +V\u000a +p15329 +tp15330 +a(g827 +V\u000a +p15331 +tp15332 +a(g745 +Vextern +p15333 +tp15334 +a(g827 +g960 +tp15335 +a(g436 +VVALUE +p15336 +tp15337 +a(g827 +g960 +tp15338 +a(g436 +Vrb_output_fs +p15339 +tp15340 +a(g706 +g1027 +tp15341 +a(g827 +V\u000a +p15342 +tp15343 +a(g827 +V\u000a +p15344 +tp15345 +a(g745 +Vstatic +p15346 +tp15347 +a(g827 +g960 +tp15348 +a(g436 +VVALUE +p15349 +tp15350 +a(g827 +V\u000a +p15351 +tp15352 +a(g574 +Vrecursive_join +p15353 +tp15354 +a(g706 +g1016 +tp15355 +a(g436 +Vary +p15356 +tp15357 +a(g706 +g1372 +tp15358 +a(g827 +g960 +tp15359 +a(g436 +Varg +p15360 +tp15361 +a(g706 +g1372 +tp15362 +a(g827 +g960 +tp15363 +a(g436 +Vrecur +p15364 +tp15365 +a(g706 +g1025 +tp15366 +a(g827 +V\u000a +p15367 +tp15368 +a(g827 +V +p15369 +tp15370 +a(g436 +VVALUE +p15371 +tp15372 +a(g827 +g960 +tp15373 +a(g436 +Vary +p15374 +tp15375 +a(g706 +g1027 +tp15376 +a(g827 +V\u000a +p15377 +tp15378 +a(g827 +V +p15379 +tp15380 +a(g436 +VVALUE +p15381 +tp15382 +a(g827 +g960 +tp15383 +a(g413 +g1020 +tp15384 +a(g436 +Varg +p15385 +tp15386 +a(g706 +g1027 +tp15387 +a(g827 +V\u000a +p15388 +tp15389 +a(g827 +V +p15390 +tp15391 +a(g749 +Vint +p15392 +tp15393 +a(g827 +g960 +tp15394 +a(g436 +Vrecur +p15395 +tp15396 +a(g706 +g1027 +tp15397 +a(g827 +V\u000a +p15398 +tp15399 +a(g706 +g1293 +tp15400 +a(g827 +V\u000a +p15401 +tp15402 +a(g827 +V +p15403 +tp15404 +a(g745 +Vif +p15405 +tp15406 +a(g827 +g960 +tp15407 +a(g706 +g1016 +tp15408 +a(g436 +Vrecur +p15409 +tp15410 +a(g706 +g1025 +tp15411 +a(g827 +g960 +tp15412 +a(g706 +g1293 +tp15413 +a(g827 +V\u000a +p15414 +tp15415 +a(g827 +g1297 +tp15416 +a(g745 +Vreturn +p15417 +tp15418 +a(g827 +g960 +tp15419 +a(g436 +Vrb_str_new2 +p15420 +tp15421 +a(g706 +g1016 +tp15422 +a(g89 +g1375 +tp15423 +a(g89 +V[...] +p15424 +tp15425 +a(g89 +g1375 +tp15426 +a(g706 +g1025 +tp15427 +a(g706 +g1027 +tp15428 +a(g827 +V\u000a +p15429 +tp15430 +a(g827 +V +p15431 +tp15432 +a(g706 +g1721 +tp15433 +a(g827 +V\u000a +p15434 +tp15435 +a(g827 +V +p15436 +tp15437 +a(g745 +Vreturn +p15438 +tp15439 +a(g827 +g960 +tp15440 +a(g436 +Vrb_ary_join +p15441 +tp15442 +a(g706 +g1016 +tp15443 +a(g436 +Varg +p15444 +tp15445 +a(g706 +g1217 +tp15446 +a(g37 +g1188 +tp15447 +a(g706 +g1221 +tp15448 +a(g706 +g1372 +tp15449 +a(g827 +g960 +tp15450 +a(g436 +Varg +p15451 +tp15452 +a(g706 +g1217 +tp15453 +a(g37 +g1205 +tp15454 +a(g706 +g1221 +tp15455 +a(g706 +g1025 +tp15456 +a(g706 +g1027 +tp15457 +a(g827 +V\u000a +p15458 +tp15459 +a(g706 +g1721 +tp15460 +a(g827 +V\u000a +p15461 +tp15462 +a(g827 +V\u000a +p15463 +tp15464 +a(g436 +VVALUE +p15465 +tp15466 +a(g827 +V\u000a +p15467 +tp15468 +a(g436 +Vrb_ary_join +p15469 +tp15470 +a(g706 +g1016 +tp15471 +a(g436 +Vary +p15472 +tp15473 +a(g706 +g1372 +tp15474 +a(g827 +g960 +tp15475 +a(g436 +Vsep +p15476 +tp15477 +a(g706 +g1025 +tp15478 +a(g827 +V\u000a +p15479 +tp15480 +a(g827 +V +p15481 +tp15482 +a(g436 +VVALUE +p15483 +tp15484 +a(g827 +g960 +tp15485 +a(g436 +Vary +p15486 +tp15487 +a(g706 +g1372 +tp15488 +a(g827 +g960 +tp15489 +a(g436 +Vsep +p15490 +tp15491 +a(g706 +g1027 +tp15492 +a(g827 +V\u000a +p15493 +tp15494 +a(g706 +g1293 +tp15495 +a(g827 +V\u000a +p15496 +tp15497 +a(g827 +V +p15498 +tp15499 +a(g749 +Vlong +p15500 +tp15501 +a(g827 +g960 +tp15502 +a(g436 +Vlen +p15503 +tp15504 +a(g827 +g960 +tp15505 +a(g413 +g1185 +tp15506 +a(g827 +g960 +tp15507 +a(g37 +g1205 +tp15508 +a(g706 +g1372 +tp15509 +a(g827 +g960 +tp15510 +a(g436 +g2597 +tp15511 +a(g706 +g1027 +tp15512 +a(g827 +V\u000a +p15513 +tp15514 +a(g827 +V +p15515 +tp15516 +a(g749 +Vint +p15517 +tp15518 +a(g827 +g960 +tp15519 +a(g436 +Vtaint +p15520 +tp15521 +a(g827 +g960 +tp15522 +a(g413 +g1185 +tp15523 +a(g827 +g960 +tp15524 +a(g436 +VQfalse +p15525 +tp15526 +a(g706 +g1027 +tp15527 +a(g827 +V\u000a +p15528 +tp15529 +a(g827 +V +p15530 +tp15531 +a(g436 +VVALUE +p15532 +tp15533 +a(g827 +g960 +tp15534 +a(g436 +Vresult +p15535 +tp15536 +a(g706 +g1372 +tp15537 +a(g827 +g960 +tp15538 +a(g436 +Vtmp +p15539 +tp15540 +a(g706 +g1027 +tp15541 +a(g827 +V\u000a +p15542 +tp15543 +a(g827 +V\u000a +p15544 +tp15545 +a(g827 +V +p15546 +tp15547 +a(g745 +Vif +p15548 +tp15549 +a(g827 +g960 +tp15550 +a(g706 +g1016 +tp15551 +a(g436 +VRARRAY +p15552 +tp15553 +a(g706 +g1016 +tp15554 +a(g436 +Vary +p15555 +tp15556 +a(g706 +g1025 +tp15557 +a(g413 +g1692 +tp15558 +a(g413 +g1694 +tp15559 +a(g436 +Vlen +p15560 +tp15561 +a(g827 +g960 +tp15562 +a(g413 +g1185 +tp15563 +a(g413 +g1185 +tp15564 +a(g827 +g960 +tp15565 +a(g37 +g1188 +tp15566 +a(g706 +g1025 +tp15567 +a(g827 +g960 +tp15568 +a(g745 +Vreturn +p15569 +tp15570 +a(g827 +g960 +tp15571 +a(g436 +Vrb_str_new +p15572 +tp15573 +a(g706 +g1016 +tp15574 +a(g37 +g1188 +tp15575 +a(g706 +g1372 +tp15576 +a(g827 +g960 +tp15577 +a(g37 +g1188 +tp15578 +a(g706 +g1025 +tp15579 +a(g706 +g1027 +tp15580 +a(g827 +V\u000a +p15581 +tp15582 +a(g827 +V +p15583 +tp15584 +a(g745 +Vif +p15585 +tp15586 +a(g827 +g960 +tp15587 +a(g706 +g1016 +tp15588 +a(g436 +VOBJ_TAINTED +p15589 +tp15590 +a(g706 +g1016 +tp15591 +a(g436 +Vary +p15592 +tp15593 +a(g706 +g1025 +tp15594 +a(g827 +g960 +tp15595 +a(g413 +g10205 +tp15596 +a(g413 +g10205 +tp15597 +a(g827 +g960 +tp15598 +a(g436 +VOBJ_TAINTED +p15599 +tp15600 +a(g706 +g1016 +tp15601 +a(g436 +Vsep +p15602 +tp15603 +a(g706 +g1025 +tp15604 +a(g706 +g1025 +tp15605 +a(g827 +g960 +tp15606 +a(g436 +Vtaint +p15607 +tp15608 +a(g827 +g960 +tp15609 +a(g413 +g1185 +tp15610 +a(g827 +g960 +tp15611 +a(g436 +VQtrue +p15612 +tp15613 +a(g706 +g1027 +tp15614 +a(g827 +V\u000a +p15615 +tp15616 +a(g827 +V\u000a +p15617 +tp15618 +a(g827 +V +p15619 +tp15620 +a(g745 +Vfor +p15621 +tp15622 +a(g827 +g960 +tp15623 +a(g706 +g1016 +tp15624 +a(g436 +g2597 +tp15625 +a(g413 +g1185 +tp15626 +a(g37 +g1188 +tp15627 +a(g706 +g1027 +tp15628 +a(g827 +g960 +tp15629 +a(g436 +g2597 +tp15630 +a(g413 +g4656 +tp15631 +a(g436 +VRARRAY +p15632 +tp15633 +a(g706 +g1016 +tp15634 +a(g436 +Vary +p15635 +tp15636 +a(g706 +g1025 +tp15637 +a(g413 +g1692 +tp15638 +a(g413 +g1694 +tp15639 +a(g436 +Vlen +p15640 +tp15641 +a(g706 +g1027 +tp15642 +a(g827 +g960 +tp15643 +a(g436 +g2597 +tp15644 +a(g413 +g2280 +tp15645 +a(g413 +g2280 +tp15646 +a(g706 +g1025 +tp15647 +a(g827 +g960 +tp15648 +a(g706 +g1293 +tp15649 +a(g827 +V\u000a +p15650 +tp15651 +a(g827 +g1297 +tp15652 +a(g436 +Vtmp +p15653 +tp15654 +a(g827 +g960 +tp15655 +a(g413 +g1185 +tp15656 +a(g827 +g960 +tp15657 +a(g436 +Vrb_check_string_type +p15658 +tp15659 +a(g706 +g1016 +tp15660 +a(g436 +VRARRAY +p15661 +tp15662 +a(g706 +g1016 +tp15663 +a(g436 +Vary +p15664 +tp15665 +a(g706 +g1025 +tp15666 +a(g413 +g1692 +tp15667 +a(g413 +g1694 +tp15668 +a(g436 +Vptr +p15669 +tp15670 +a(g706 +g1217 +tp15671 +a(g436 +g2597 +tp15672 +a(g706 +g1221 +tp15673 +a(g706 +g1025 +tp15674 +a(g706 +g1027 +tp15675 +a(g827 +V\u000a +p15676 +tp15677 +a(g827 +g1297 +tp15678 +a(g436 +Vlen +p15679 +tp15680 +a(g827 +g960 +tp15681 +a(g413 +g2280 +tp15682 +a(g413 +g1185 +tp15683 +a(g827 +g960 +tp15684 +a(g436 +VNIL_P +p15685 +tp15686 +a(g706 +g1016 +tp15687 +a(g436 +Vtmp +p15688 +tp15689 +a(g706 +g1025 +tp15690 +a(g827 +g960 +tp15691 +a(g413 +V? +p15692 +tp15693 +a(g827 +g960 +tp15694 +a(g37 +V10 +p15695 +tp15696 +a(g827 +g960 +tp15697 +a(g413 +g3425 +tp15698 +a(g827 +g960 +tp15699 +a(g436 +VRSTRING +p15700 +tp15701 +a(g706 +g1016 +tp15702 +a(g436 +Vtmp +p15703 +tp15704 +a(g706 +g1025 +tp15705 +a(g413 +g1692 +tp15706 +a(g413 +g1694 +tp15707 +a(g436 +Vlen +p15708 +tp15709 +a(g706 +g1027 +tp15710 +a(g827 +V\u000a +p15711 +tp15712 +a(g827 +V +p15713 +tp15714 +a(g706 +g1721 +tp15715 +a(g827 +V\u000a +p15716 +tp15717 +a(g827 +V +p15718 +tp15719 +a(g745 +Vif +p15720 +tp15721 +a(g827 +g960 +tp15722 +a(g706 +g1016 +tp15723 +a(g413 +g2490 +tp15724 +a(g436 +VNIL_P +p15725 +tp15726 +a(g706 +g1016 +tp15727 +a(g436 +Vsep +p15728 +tp15729 +a(g706 +g1025 +tp15730 +a(g706 +g1025 +tp15731 +a(g827 +g960 +tp15732 +a(g706 +g1293 +tp15733 +a(g827 +V\u000a +p15734 +tp15735 +a(g827 +g1297 +tp15736 +a(g436 +VStringValue +p15737 +tp15738 +a(g706 +g1016 +tp15739 +a(g436 +Vsep +p15740 +tp15741 +a(g706 +g1025 +tp15742 +a(g706 +g1027 +tp15743 +a(g827 +V\u000a +p15744 +tp15745 +a(g827 +g1297 +tp15746 +a(g436 +Vlen +p15747 +tp15748 +a(g827 +g960 +tp15749 +a(g413 +g2280 +tp15750 +a(g413 +g1185 +tp15751 +a(g827 +g960 +tp15752 +a(g436 +VRSTRING +p15753 +tp15754 +a(g706 +g1016 +tp15755 +a(g436 +Vsep +p15756 +tp15757 +a(g706 +g1025 +tp15758 +a(g413 +g1692 +tp15759 +a(g413 +g1694 +tp15760 +a(g436 +Vlen +p15761 +tp15762 +a(g827 +g960 +tp15763 +a(g413 +g1020 +tp15764 +a(g827 +g960 +tp15765 +a(g706 +g1016 +tp15766 +a(g436 +VRARRAY +p15767 +tp15768 +a(g706 +g1016 +tp15769 +a(g436 +Vary +p15770 +tp15771 +a(g706 +g1025 +tp15772 +a(g413 +g1692 +tp15773 +a(g413 +g1694 +tp15774 +a(g436 +Vlen +p15775 +tp15776 +a(g827 +g960 +tp15777 +a(g413 +g1692 +tp15778 +a(g827 +g960 +tp15779 +a(g37 +g1205 +tp15780 +a(g706 +g1025 +tp15781 +a(g706 +g1027 +tp15782 +a(g827 +V\u000a +p15783 +tp15784 +a(g827 +V +p15785 +tp15786 +a(g706 +g1721 +tp15787 +a(g827 +V\u000a +p15788 +tp15789 +a(g827 +V +p15790 +tp15791 +a(g436 +Vresult +p15792 +tp15793 +a(g827 +g960 +tp15794 +a(g413 +g1185 +tp15795 +a(g827 +g960 +tp15796 +a(g436 +Vrb_str_buf_new +p15797 +tp15798 +a(g706 +g1016 +tp15799 +a(g436 +Vlen +p15800 +tp15801 +a(g706 +g1025 +tp15802 +a(g706 +g1027 +tp15803 +a(g827 +V\u000a +p15804 +tp15805 +a(g827 +V +p15806 +tp15807 +a(g745 +Vfor +p15808 +tp15809 +a(g827 +g960 +tp15810 +a(g706 +g1016 +tp15811 +a(g436 +g2597 +tp15812 +a(g413 +g1185 +tp15813 +a(g37 +g1188 +tp15814 +a(g706 +g1027 +tp15815 +a(g827 +g960 +tp15816 +a(g436 +g2597 +tp15817 +a(g413 +g4656 +tp15818 +a(g436 +VRARRAY +p15819 +tp15820 +a(g706 +g1016 +tp15821 +a(g436 +Vary +p15822 +tp15823 +a(g706 +g1025 +tp15824 +a(g413 +g1692 +tp15825 +a(g413 +g1694 +tp15826 +a(g436 +Vlen +p15827 +tp15828 +a(g706 +g1027 +tp15829 +a(g827 +g960 +tp15830 +a(g436 +g2597 +tp15831 +a(g413 +g2280 +tp15832 +a(g413 +g2280 +tp15833 +a(g706 +g1025 +tp15834 +a(g827 +g960 +tp15835 +a(g706 +g1293 +tp15836 +a(g827 +V\u000a +p15837 +tp15838 +a(g827 +g1297 +tp15839 +a(g436 +Vtmp +p15840 +tp15841 +a(g827 +g960 +tp15842 +a(g413 +g1185 +tp15843 +a(g827 +g960 +tp15844 +a(g436 +VRARRAY +p15845 +tp15846 +a(g706 +g1016 +tp15847 +a(g436 +Vary +p15848 +tp15849 +a(g706 +g1025 +tp15850 +a(g413 +g1692 +tp15851 +a(g413 +g1694 +tp15852 +a(g436 +Vptr +p15853 +tp15854 +a(g706 +g1217 +tp15855 +a(g436 +g2597 +tp15856 +a(g706 +g1221 +tp15857 +a(g706 +g1027 +tp15858 +a(g827 +V\u000a +p15859 +tp15860 +a(g827 +g1297 +tp15861 +a(g745 +Vswitch +p15862 +tp15863 +a(g827 +g960 +tp15864 +a(g706 +g1016 +tp15865 +a(g436 +VTYPE +p15866 +tp15867 +a(g706 +g1016 +tp15868 +a(g436 +Vtmp +p15869 +tp15870 +a(g706 +g1025 +tp15871 +a(g706 +g1025 +tp15872 +a(g827 +g960 +tp15873 +a(g706 +g1293 +tp15874 +a(g827 +V\u000a +p15875 +tp15876 +a(g827 +V +p15877 +tp15878 +a(g745 +Vcase +p15879 +tp15880 +a(g827 +g960 +tp15881 +a(g597 +VT_STRING +p15882 +tp15883 +a(g706 +g3425 +tp15884 +a(g827 +V\u000a +p15885 +tp15886 +a(g827 +V +p15887 +tp15888 +a(g745 +Vbreak +p15889 +tp15890 +a(g706 +g1027 +tp15891 +a(g827 +V\u000a +p15892 +tp15893 +a(g827 +V +p15894 +tp15895 +a(g745 +Vcase +p15896 +tp15897 +a(g827 +g960 +tp15898 +a(g597 +VT_ARRAY +p15899 +tp15900 +a(g706 +g3425 +tp15901 +a(g827 +V\u000a +p15902 +tp15903 +a(g827 +V +p15904 +tp15905 +a(g706 +g1293 +tp15906 +a(g827 +V\u000a +p15907 +tp15908 +a(g827 +V +p15909 +tp15910 +a(g436 +VVALUE +p15911 +tp15912 +a(g827 +g960 +tp15913 +a(g436 +Vargs +p15914 +tp15915 +a(g706 +g1217 +tp15916 +a(g37 +g2780 +tp15917 +a(g706 +g1221 +tp15918 +a(g706 +g1027 +tp15919 +a(g827 +V\u000a +p15920 +tp15921 +a(g827 +V\u000a +p15922 +tp15923 +a(g827 +V +p15924 +tp15925 +a(g436 +Vargs +p15926 +tp15927 +a(g706 +g1217 +tp15928 +a(g37 +g1188 +tp15929 +a(g706 +g1221 +tp15930 +a(g827 +g960 +tp15931 +a(g413 +g1185 +tp15932 +a(g827 +g960 +tp15933 +a(g436 +Vtmp +p15934 +tp15935 +a(g706 +g1027 +tp15936 +a(g827 +V\u000a +p15937 +tp15938 +a(g827 +V +p15939 +tp15940 +a(g436 +Vargs +p15941 +tp15942 +a(g706 +g1217 +tp15943 +a(g37 +g1205 +tp15944 +a(g706 +g1221 +tp15945 +a(g827 +g960 +tp15946 +a(g413 +g1185 +tp15947 +a(g827 +g960 +tp15948 +a(g436 +Vsep +p15949 +tp15950 +a(g706 +g1027 +tp15951 +a(g827 +V\u000a +p15952 +tp15953 +a(g827 +V +p15954 +tp15955 +a(g436 +Vtmp +p15956 +tp15957 +a(g827 +g960 +tp15958 +a(g413 +g1185 +tp15959 +a(g827 +g960 +tp15960 +a(g436 +Vrb_exec_recursive +p15961 +tp15962 +a(g706 +g1016 +tp15963 +a(g436 +Vrecursive_join +p15964 +tp15965 +a(g706 +g1372 +tp15966 +a(g827 +g960 +tp15967 +a(g436 +Vary +p15968 +tp15969 +a(g706 +g1372 +tp15970 +a(g827 +g960 +tp15971 +a(g706 +g1016 +tp15972 +a(g436 +VVALUE +p15973 +tp15974 +a(g706 +g1025 +tp15975 +a(g436 +Vargs +p15976 +tp15977 +a(g706 +g1025 +tp15978 +a(g706 +g1027 +tp15979 +a(g827 +V\u000a +p15980 +tp15981 +a(g827 +V +p15982 +tp15983 +a(g706 +g1721 +tp15984 +a(g827 +V\u000a +p15985 +tp15986 +a(g827 +V +p15987 +tp15988 +a(g745 +Vbreak +p15989 +tp15990 +a(g706 +g1027 +tp15991 +a(g827 +V\u000a +p15992 +tp15993 +a(g827 +V +p15994 +tp15995 +a(g745 +Vdefault +p15996 +tp15997 +a(g413 +g3425 +tp15998 +a(g827 +V\u000a +p15999 +tp16000 +a(g827 +V +p16001 +tp16002 +a(g436 +Vtmp +p16003 +tp16004 +a(g827 +g960 +tp16005 +a(g413 +g1185 +tp16006 +a(g827 +g960 +tp16007 +a(g436 +Vrb_obj_as_string +p16008 +tp16009 +a(g706 +g1016 +tp16010 +a(g436 +Vtmp +p16011 +tp16012 +a(g706 +g1025 +tp16013 +a(g706 +g1027 +tp16014 +a(g827 +V\u000a +p16015 +tp16016 +a(g827 +g1297 +tp16017 +a(g706 +g1721 +tp16018 +a(g827 +V\u000a +p16019 +tp16020 +a(g827 +g1297 +tp16021 +a(g745 +Vif +p16022 +tp16023 +a(g827 +g960 +tp16024 +a(g706 +g1016 +tp16025 +a(g436 +g2597 +tp16026 +a(g827 +g960 +tp16027 +a(g413 +g1694 +tp16028 +a(g827 +g960 +tp16029 +a(g37 +g1188 +tp16030 +a(g827 +g960 +tp16031 +a(g413 +g4051 +tp16032 +a(g413 +g4051 +tp16033 +a(g827 +g960 +tp16034 +a(g413 +g2490 +tp16035 +a(g436 +VNIL_P +p16036 +tp16037 +a(g706 +g1016 +tp16038 +a(g436 +Vsep +p16039 +tp16040 +a(g706 +g1025 +tp16041 +a(g706 +g1025 +tp16042 +a(g827 +V\u000a +p16043 +tp16044 +a(g827 +V +p16045 +tp16046 +a(g436 +Vrb_str_buf_append +p16047 +tp16048 +a(g706 +g1016 +tp16049 +a(g436 +Vresult +p16050 +tp16051 +a(g706 +g1372 +tp16052 +a(g827 +g960 +tp16053 +a(g436 +Vsep +p16054 +tp16055 +a(g706 +g1025 +tp16056 +a(g706 +g1027 +tp16057 +a(g827 +V\u000a +p16058 +tp16059 +a(g827 +g1297 +tp16060 +a(g436 +Vrb_str_buf_append +p16061 +tp16062 +a(g706 +g1016 +tp16063 +a(g436 +Vresult +p16064 +tp16065 +a(g706 +g1372 +tp16066 +a(g827 +g960 +tp16067 +a(g436 +Vtmp +p16068 +tp16069 +a(g706 +g1025 +tp16070 +a(g706 +g1027 +tp16071 +a(g827 +V\u000a +p16072 +tp16073 +a(g827 +g1297 +tp16074 +a(g745 +Vif +p16075 +tp16076 +a(g827 +g960 +tp16077 +a(g706 +g1016 +tp16078 +a(g436 +VOBJ_TAINTED +p16079 +tp16080 +a(g706 +g1016 +tp16081 +a(g436 +Vtmp +p16082 +tp16083 +a(g706 +g1025 +tp16084 +a(g706 +g1025 +tp16085 +a(g827 +g960 +tp16086 +a(g436 +Vtaint +p16087 +tp16088 +a(g827 +g960 +tp16089 +a(g413 +g1185 +tp16090 +a(g827 +g960 +tp16091 +a(g436 +VQtrue +p16092 +tp16093 +a(g706 +g1027 +tp16094 +a(g827 +V\u000a +p16095 +tp16096 +a(g827 +V +p16097 +tp16098 +a(g706 +g1721 +tp16099 +a(g827 +V\u000a +p16100 +tp16101 +a(g827 +V\u000a +p16102 +tp16103 +a(g827 +V +p16104 +tp16105 +a(g745 +Vif +p16106 +tp16107 +a(g827 +g960 +tp16108 +a(g706 +g1016 +tp16109 +a(g436 +Vtaint +p16110 +tp16111 +a(g706 +g1025 +tp16112 +a(g827 +g960 +tp16113 +a(g436 +VOBJ_TAINT +p16114 +tp16115 +a(g706 +g1016 +tp16116 +a(g436 +Vresult +p16117 +tp16118 +a(g706 +g1025 +tp16119 +a(g706 +g1027 +tp16120 +a(g827 +V\u000a +p16121 +tp16122 +a(g827 +V +p16123 +tp16124 +a(g745 +Vreturn +p16125 +tp16126 +a(g827 +g960 +tp16127 +a(g436 +Vresult +p16128 +tp16129 +a(g706 +g1027 +tp16130 +a(g827 +V\u000a +p16131 +tp16132 +a(g706 +g1721 +tp16133 +a(g827 +V\u000a +p16134 +tp16135 +a(g827 +V\u000a +p16136 +tp16137 +a(g929 +V/*\u000a * call-seq:\u000a * array.join(sep=$,) -> str\u000a * \u000a * Returns a string created by converting each element of the array to\u000a * a string, separated by sep.\u000a * \u000a * [ "a", "b", "c" ].join #=> "abc"\u000a * [ "a", "b", "c" ].join("-") #=> "a-b-c"\u000a */ +p16138 +tp16139 +a(g827 +V\u000a +p16140 +tp16141 +a(g827 +V\u000a +p16142 +tp16143 +a(g745 +Vstatic +p16144 +tp16145 +a(g827 +g960 +tp16146 +a(g436 +VVALUE +p16147 +tp16148 +a(g827 +V\u000a +p16149 +tp16150 +a(g436 +Vrb_ary_join_m +p16151 +tp16152 +a(g706 +g1016 +tp16153 +a(g436 +Vargc +p16154 +tp16155 +a(g706 +g1372 +tp16156 +a(g827 +g960 +tp16157 +a(g436 +Vargv +p16158 +tp16159 +a(g706 +g1372 +tp16160 +a(g827 +g960 +tp16161 +a(g436 +Vary +p16162 +tp16163 +a(g706 +g1025 +tp16164 +a(g827 +V\u000a +p16165 +tp16166 +a(g827 +V +p16167 +tp16168 +a(g749 +Vint +p16169 +tp16170 +a(g827 +g960 +tp16171 +a(g436 +Vargc +p16172 +tp16173 +a(g706 +g1027 +tp16174 +a(g827 +V\u000a +p16175 +tp16176 +a(g827 +V +p16177 +tp16178 +a(g436 +VVALUE +p16179 +tp16180 +a(g827 +g960 +tp16181 +a(g413 +g1020 +tp16182 +a(g436 +Vargv +p16183 +tp16184 +a(g706 +g1027 +tp16185 +a(g827 +V\u000a +p16186 +tp16187 +a(g827 +V +p16188 +tp16189 +a(g436 +VVALUE +p16190 +tp16191 +a(g827 +g960 +tp16192 +a(g436 +Vary +p16193 +tp16194 +a(g706 +g1027 +tp16195 +a(g827 +V\u000a +p16196 +tp16197 +a(g706 +g1293 +tp16198 +a(g827 +V\u000a +p16199 +tp16200 +a(g827 +V +p16201 +tp16202 +a(g436 +VVALUE +p16203 +tp16204 +a(g827 +g960 +tp16205 +a(g436 +Vsep +p16206 +tp16207 +a(g706 +g1027 +tp16208 +a(g827 +V\u000a +p16209 +tp16210 +a(g827 +V\u000a +p16211 +tp16212 +a(g827 +V +p16213 +tp16214 +a(g436 +Vrb_scan_args +p16215 +tp16216 +a(g706 +g1016 +tp16217 +a(g436 +Vargc +p16218 +tp16219 +a(g706 +g1372 +tp16220 +a(g827 +g960 +tp16221 +a(g436 +Vargv +p16222 +tp16223 +a(g706 +g1372 +tp16224 +a(g827 +g960 +tp16225 +a(g89 +g1375 +tp16226 +a(g89 +V01 +p16227 +tp16228 +a(g89 +g1375 +tp16229 +a(g706 +g1372 +tp16230 +a(g827 +g960 +tp16231 +a(g413 +g4051 +tp16232 +a(g436 +Vsep +p16233 +tp16234 +a(g706 +g1025 +tp16235 +a(g706 +g1027 +tp16236 +a(g827 +V\u000a +p16237 +tp16238 +a(g827 +V +p16239 +tp16240 +a(g745 +Vif +p16241 +tp16242 +a(g827 +g960 +tp16243 +a(g706 +g1016 +tp16244 +a(g436 +VNIL_P +p16245 +tp16246 +a(g706 +g1016 +tp16247 +a(g436 +Vsep +p16248 +tp16249 +a(g706 +g1025 +tp16250 +a(g706 +g1025 +tp16251 +a(g827 +g960 +tp16252 +a(g436 +Vsep +p16253 +tp16254 +a(g827 +g960 +tp16255 +a(g413 +g1185 +tp16256 +a(g827 +g960 +tp16257 +a(g436 +Vrb_output_fs +p16258 +tp16259 +a(g706 +g1027 +tp16260 +a(g827 +V\u000a +p16261 +tp16262 +a(g827 +V \u000a +p16263 +tp16264 +a(g745 +Vreturn +p16265 +tp16266 +a(g827 +g960 +tp16267 +a(g574 +Vrb_ary_join +p16268 +tp16269 +a(g706 +g1016 +tp16270 +a(g436 +Vary +p16271 +tp16272 +a(g706 +g1372 +tp16273 +a(g827 +g960 +tp16274 +a(g436 +Vsep +p16275 +tp16276 +a(g706 +g1025 +tp16277 +a(g706 +g1027 +tp16278 +a(g827 +V\u000a +p16279 +tp16280 +a(g706 +g1721 +tp16281 +a(g827 +V\u000a +p16282 +tp16283 +a(g827 +V\u000a +p16284 +tp16285 +a(g929 +V/*\u000a * call-seq:\u000a * array.to_s -> string\u000a * \u000a * Returns _self_.join.\u000a * \u000a * [ "a", "e", "i", "o" ].to_s #=> "aeio"\u000a *\u000a */ +p16286 +tp16287 +a(g827 +V\u000a +p16288 +tp16289 +a(g827 +V\u000a +p16290 +tp16291 +a(g436 +VVALUE +p16292 +tp16293 +a(g827 +V\u000a +p16294 +tp16295 +a(g436 +Vrb_ary_to_s +p16296 +tp16297 +a(g706 +g1016 +tp16298 +a(g436 +Vary +p16299 +tp16300 +a(g706 +g1025 +tp16301 +a(g827 +V\u000a +p16302 +tp16303 +a(g827 +V +p16304 +tp16305 +a(g436 +VVALUE +p16306 +tp16307 +a(g827 +g960 +tp16308 +a(g436 +Vary +p16309 +tp16310 +a(g706 +g1027 +tp16311 +a(g827 +V\u000a +p16312 +tp16313 +a(g706 +g1293 +tp16314 +a(g827 +V\u000a +p16315 +tp16316 +a(g827 +V +p16317 +tp16318 +a(g745 +Vif +p16319 +tp16320 +a(g827 +g960 +tp16321 +a(g706 +g1016 +tp16322 +a(g436 +VRARRAY +p16323 +tp16324 +a(g706 +g1016 +tp16325 +a(g436 +Vary +p16326 +tp16327 +a(g706 +g1025 +tp16328 +a(g413 +g1692 +tp16329 +a(g413 +g1694 +tp16330 +a(g436 +Vlen +p16331 +tp16332 +a(g827 +g960 +tp16333 +a(g413 +g1185 +tp16334 +a(g413 +g1185 +tp16335 +a(g827 +g960 +tp16336 +a(g37 +g1188 +tp16337 +a(g706 +g1025 +tp16338 +a(g827 +g960 +tp16339 +a(g745 +Vreturn +p16340 +tp16341 +a(g827 +g960 +tp16342 +a(g436 +Vrb_str_new +p16343 +tp16344 +a(g706 +g1016 +tp16345 +a(g37 +g1188 +tp16346 +a(g706 +g1372 +tp16347 +a(g827 +g960 +tp16348 +a(g37 +g1188 +tp16349 +a(g706 +g1025 +tp16350 +a(g706 +g1027 +tp16351 +a(g827 +V\u000a +p16352 +tp16353 +a(g827 +V \u000a +p16354 +tp16355 +a(g745 +Vreturn +p16356 +tp16357 +a(g827 +g960 +tp16358 +a(g574 +Vrb_ary_join +p16359 +tp16360 +a(g706 +g1016 +tp16361 +a(g436 +Vary +p16362 +tp16363 +a(g706 +g1372 +tp16364 +a(g827 +g960 +tp16365 +a(g436 +Vrb_output_fs +p16366 +tp16367 +a(g706 +g1025 +tp16368 +a(g706 +g1027 +tp16369 +a(g827 +V\u000a +p16370 +tp16371 +a(g706 +g1721 +tp16372 +a(g827 +V\u000a +p16373 +tp16374 +a(g827 +V\u000a +p16375 +tp16376 +a(g745 +Vstatic +p16377 +tp16378 +a(g827 +g960 +tp16379 +a(g436 +VVALUE +p16380 +tp16381 +a(g827 +V\u000a +p16382 +tp16383 +a(g436 +Vinspect_ary +p16384 +tp16385 +a(g706 +g1016 +tp16386 +a(g436 +Vary +p16387 +tp16388 +a(g706 +g1372 +tp16389 +a(g827 +g960 +tp16390 +a(g436 +Vdummy +p16391 +tp16392 +a(g706 +g1372 +tp16393 +a(g827 +g960 +tp16394 +a(g436 +Vrecur +p16395 +tp16396 +a(g706 +g1025 +tp16397 +a(g827 +V\u000a +p16398 +tp16399 +a(g827 +V +p16400 +tp16401 +a(g436 +VVALUE +p16402 +tp16403 +a(g827 +g960 +tp16404 +a(g436 +Vary +p16405 +tp16406 +a(g706 +g1027 +tp16407 +a(g827 +V\u000a +p16408 +tp16409 +a(g827 +V +p16410 +tp16411 +a(g436 +VVALUE +p16412 +tp16413 +a(g827 +g960 +tp16414 +a(g436 +Vdummy +p16415 +tp16416 +a(g706 +g1027 +tp16417 +a(g827 +V\u000a +p16418 +tp16419 +a(g827 +V +p16420 +tp16421 +a(g749 +Vint +p16422 +tp16423 +a(g827 +g960 +tp16424 +a(g436 +Vrecur +p16425 +tp16426 +a(g706 +g1027 +tp16427 +a(g827 +V\u000a +p16428 +tp16429 +a(g706 +g1293 +tp16430 +a(g827 +V\u000a +p16431 +tp16432 +a(g827 +V +p16433 +tp16434 +a(g749 +Vint +p16435 +tp16436 +a(g827 +g960 +tp16437 +a(g436 +Vtainted +p16438 +tp16439 +a(g827 +g960 +tp16440 +a(g413 +g1185 +tp16441 +a(g827 +g960 +tp16442 +a(g436 +VOBJ_TAINTED +p16443 +tp16444 +a(g706 +g1016 +tp16445 +a(g436 +Vary +p16446 +tp16447 +a(g706 +g1025 +tp16448 +a(g706 +g1027 +tp16449 +a(g827 +V\u000a +p16450 +tp16451 +a(g827 +V +p16452 +tp16453 +a(g749 +Vlong +p16454 +tp16455 +a(g827 +g960 +tp16456 +a(g436 +g2597 +tp16457 +a(g706 +g1027 +tp16458 +a(g827 +V\u000a +p16459 +tp16460 +a(g827 +V +p16461 +tp16462 +a(g436 +VVALUE +p16463 +tp16464 +a(g827 +g960 +tp16465 +a(g436 +Vs +p16466 +tp16467 +a(g706 +g1372 +tp16468 +a(g827 +g960 +tp16469 +a(g436 +Vstr +p16470 +tp16471 +a(g706 +g1027 +tp16472 +a(g827 +V\u000a +p16473 +tp16474 +a(g827 +V\u000a +p16475 +tp16476 +a(g827 +V +p16477 +tp16478 +a(g745 +Vif +p16479 +tp16480 +a(g827 +g960 +tp16481 +a(g706 +g1016 +tp16482 +a(g436 +Vrecur +p16483 +tp16484 +a(g706 +g1025 +tp16485 +a(g827 +g960 +tp16486 +a(g745 +Vreturn +p16487 +tp16488 +a(g827 +g960 +tp16489 +a(g436 +Vrb_tainted_str_new2 +p16490 +tp16491 +a(g706 +g1016 +tp16492 +a(g89 +g1375 +tp16493 +a(g89 +V[...] +p16494 +tp16495 +a(g89 +g1375 +tp16496 +a(g706 +g1025 +tp16497 +a(g706 +g1027 +tp16498 +a(g827 +V\u000a +p16499 +tp16500 +a(g827 +V +p16501 +tp16502 +a(g436 +Vstr +p16503 +tp16504 +a(g827 +g960 +tp16505 +a(g413 +g1185 +tp16506 +a(g827 +g960 +tp16507 +a(g436 +Vrb_str_buf_new2 +p16508 +tp16509 +a(g706 +g1016 +tp16510 +a(g89 +g1375 +tp16511 +a(g89 +g1217 +tp16512 +a(g89 +g1375 +tp16513 +a(g706 +g1025 +tp16514 +a(g706 +g1027 +tp16515 +a(g827 +V\u000a +p16516 +tp16517 +a(g827 +V +p16518 +tp16519 +a(g745 +Vfor +p16520 +tp16521 +a(g827 +g960 +tp16522 +a(g706 +g1016 +tp16523 +a(g436 +g2597 +tp16524 +a(g413 +g1185 +tp16525 +a(g37 +g1188 +tp16526 +a(g706 +g1027 +tp16527 +a(g827 +g960 +tp16528 +a(g436 +g2597 +tp16529 +a(g413 +g4656 +tp16530 +a(g436 +VRARRAY +p16531 +tp16532 +a(g706 +g1016 +tp16533 +a(g436 +Vary +p16534 +tp16535 +a(g706 +g1025 +tp16536 +a(g413 +g1692 +tp16537 +a(g413 +g1694 +tp16538 +a(g436 +Vlen +p16539 +tp16540 +a(g706 +g1027 +tp16541 +a(g827 +g960 +tp16542 +a(g436 +g2597 +tp16543 +a(g413 +g2280 +tp16544 +a(g413 +g2280 +tp16545 +a(g706 +g1025 +tp16546 +a(g827 +g960 +tp16547 +a(g706 +g1293 +tp16548 +a(g827 +V\u000a +p16549 +tp16550 +a(g827 +g1297 +tp16551 +a(g436 +g16466 +tp16552 +a(g827 +g960 +tp16553 +a(g413 +g1185 +tp16554 +a(g827 +g960 +tp16555 +a(g436 +Vrb_inspect +p16556 +tp16557 +a(g706 +g1016 +tp16558 +a(g436 +VRARRAY +p16559 +tp16560 +a(g706 +g1016 +tp16561 +a(g436 +Vary +p16562 +tp16563 +a(g706 +g1025 +tp16564 +a(g413 +g1692 +tp16565 +a(g413 +g1694 +tp16566 +a(g436 +Vptr +p16567 +tp16568 +a(g706 +g1217 +tp16569 +a(g436 +g2597 +tp16570 +a(g706 +g1221 +tp16571 +a(g706 +g1025 +tp16572 +a(g706 +g1027 +tp16573 +a(g827 +V\u000a +p16574 +tp16575 +a(g827 +g1297 +tp16576 +a(g745 +Vif +p16577 +tp16578 +a(g827 +g960 +tp16579 +a(g706 +g1016 +tp16580 +a(g436 +VOBJ_TAINTED +p16581 +tp16582 +a(g706 +g1016 +tp16583 +a(g436 +g16466 +tp16584 +a(g706 +g1025 +tp16585 +a(g706 +g1025 +tp16586 +a(g827 +g960 +tp16587 +a(g436 +Vtainted +p16588 +tp16589 +a(g827 +g960 +tp16590 +a(g413 +g1185 +tp16591 +a(g827 +g960 +tp16592 +a(g436 +VQtrue +p16593 +tp16594 +a(g706 +g1027 +tp16595 +a(g827 +V\u000a +p16596 +tp16597 +a(g827 +g1297 +tp16598 +a(g745 +Vif +p16599 +tp16600 +a(g827 +g960 +tp16601 +a(g706 +g1016 +tp16602 +a(g436 +g2597 +tp16603 +a(g827 +g960 +tp16604 +a(g413 +g1694 +tp16605 +a(g827 +g960 +tp16606 +a(g37 +g1188 +tp16607 +a(g706 +g1025 +tp16608 +a(g827 +g960 +tp16609 +a(g436 +Vrb_str_buf_cat2 +p16610 +tp16611 +a(g706 +g1016 +tp16612 +a(g436 +Vstr +p16613 +tp16614 +a(g706 +g1372 +tp16615 +a(g827 +g960 +tp16616 +a(g89 +g1375 +tp16617 +a(g89 +V, +p16618 +tp16619 +a(g89 +g1375 +tp16620 +a(g706 +g1025 +tp16621 +a(g706 +g1027 +tp16622 +a(g827 +V\u000a +p16623 +tp16624 +a(g827 +g1297 +tp16625 +a(g436 +Vrb_str_buf_append +p16626 +tp16627 +a(g706 +g1016 +tp16628 +a(g436 +Vstr +p16629 +tp16630 +a(g706 +g1372 +tp16631 +a(g827 +g960 +tp16632 +a(g436 +g16466 +tp16633 +a(g706 +g1025 +tp16634 +a(g706 +g1027 +tp16635 +a(g827 +V\u000a +p16636 +tp16637 +a(g827 +V +p16638 +tp16639 +a(g706 +g1721 +tp16640 +a(g827 +V\u000a +p16641 +tp16642 +a(g827 +V +p16643 +tp16644 +a(g436 +Vrb_str_buf_cat2 +p16645 +tp16646 +a(g706 +g1016 +tp16647 +a(g436 +Vstr +p16648 +tp16649 +a(g706 +g1372 +tp16650 +a(g827 +g960 +tp16651 +a(g89 +g1375 +tp16652 +a(g89 +g1221 +tp16653 +a(g89 +g1375 +tp16654 +a(g706 +g1025 +tp16655 +a(g706 +g1027 +tp16656 +a(g827 +V\u000a +p16657 +tp16658 +a(g827 +V +p16659 +tp16660 +a(g745 +Vif +p16661 +tp16662 +a(g827 +g960 +tp16663 +a(g706 +g1016 +tp16664 +a(g436 +Vtainted +p16665 +tp16666 +a(g706 +g1025 +tp16667 +a(g827 +g960 +tp16668 +a(g436 +VOBJ_TAINT +p16669 +tp16670 +a(g706 +g1016 +tp16671 +a(g436 +Vstr +p16672 +tp16673 +a(g706 +g1025 +tp16674 +a(g706 +g1027 +tp16675 +a(g827 +V\u000a +p16676 +tp16677 +a(g827 +V +p16678 +tp16679 +a(g745 +Vreturn +p16680 +tp16681 +a(g827 +g960 +tp16682 +a(g436 +Vstr +p16683 +tp16684 +a(g706 +g1027 +tp16685 +a(g827 +V\u000a +p16686 +tp16687 +a(g706 +g1721 +tp16688 +a(g827 +V\u000a +p16689 +tp16690 +a(g827 +V\u000a +p16691 +tp16692 +a(g929 +V/*\u000a * call-seq:\u000a * array.inspect -> string\u000a *\u000a * Create a printable version of array.\u000a */ +p16693 +tp16694 +a(g827 +V\u000a +p16695 +tp16696 +a(g827 +V\u000a +p16697 +tp16698 +a(g745 +Vstatic +p16699 +tp16700 +a(g827 +g960 +tp16701 +a(g436 +VVALUE +p16702 +tp16703 +a(g827 +V\u000a +p16704 +tp16705 +a(g436 +Vrb_ary_inspect +p16706 +tp16707 +a(g706 +g1016 +tp16708 +a(g436 +Vary +p16709 +tp16710 +a(g706 +g1025 +tp16711 +a(g827 +V\u000a +p16712 +tp16713 +a(g827 +V +p16714 +tp16715 +a(g436 +VVALUE +p16716 +tp16717 +a(g827 +g960 +tp16718 +a(g436 +Vary +p16719 +tp16720 +a(g706 +g1027 +tp16721 +a(g827 +V\u000a +p16722 +tp16723 +a(g706 +g1293 +tp16724 +a(g827 +V\u000a +p16725 +tp16726 +a(g827 +V +p16727 +tp16728 +a(g745 +Vif +p16729 +tp16730 +a(g827 +g960 +tp16731 +a(g706 +g1016 +tp16732 +a(g436 +VRARRAY +p16733 +tp16734 +a(g706 +g1016 +tp16735 +a(g436 +Vary +p16736 +tp16737 +a(g706 +g1025 +tp16738 +a(g413 +g1692 +tp16739 +a(g413 +g1694 +tp16740 +a(g436 +Vlen +p16741 +tp16742 +a(g827 +g960 +tp16743 +a(g413 +g1185 +tp16744 +a(g413 +g1185 +tp16745 +a(g827 +g960 +tp16746 +a(g37 +g1188 +tp16747 +a(g706 +g1025 +tp16748 +a(g827 +g960 +tp16749 +a(g745 +Vreturn +p16750 +tp16751 +a(g827 +g960 +tp16752 +a(g436 +Vrb_str_new2 +p16753 +tp16754 +a(g706 +g1016 +tp16755 +a(g89 +g1375 +tp16756 +a(g89 +V[] +p16757 +tp16758 +a(g89 +g1375 +tp16759 +a(g706 +g1025 +tp16760 +a(g706 +g1027 +tp16761 +a(g827 +V\u000a +p16762 +tp16763 +a(g827 +V +p16764 +tp16765 +a(g745 +Vreturn +p16766 +tp16767 +a(g827 +g960 +tp16768 +a(g574 +Vrb_exec_recursive +p16769 +tp16770 +a(g706 +g1016 +tp16771 +a(g436 +Vinspect_ary +p16772 +tp16773 +a(g706 +g1372 +tp16774 +a(g827 +g960 +tp16775 +a(g436 +Vary +p16776 +tp16777 +a(g706 +g1372 +tp16778 +a(g827 +g960 +tp16779 +a(g37 +g1188 +tp16780 +a(g706 +g1025 +tp16781 +a(g706 +g1027 +tp16782 +a(g827 +V\u000a +p16783 +tp16784 +a(g706 +g1721 +tp16785 +a(g827 +V\u000a +p16786 +tp16787 +a(g827 +V\u000a +p16788 +tp16789 +a(g929 +V/*\u000a * call-seq:\u000a * array.to_a -> array\u000a * \u000a * Returns _self_. If called on a subclass of Array, converts\u000a * the receiver to an Array object.\u000a */ +p16790 +tp16791 +a(g827 +V\u000a +p16792 +tp16793 +a(g827 +V\u000a +p16794 +tp16795 +a(g745 +Vstatic +p16796 +tp16797 +a(g827 +g960 +tp16798 +a(g436 +VVALUE +p16799 +tp16800 +a(g827 +V\u000a +p16801 +tp16802 +a(g436 +Vrb_ary_to_a +p16803 +tp16804 +a(g706 +g1016 +tp16805 +a(g436 +Vary +p16806 +tp16807 +a(g706 +g1025 +tp16808 +a(g827 +V\u000a +p16809 +tp16810 +a(g827 +V +p16811 +tp16812 +a(g436 +VVALUE +p16813 +tp16814 +a(g827 +g960 +tp16815 +a(g436 +Vary +p16816 +tp16817 +a(g706 +g1027 +tp16818 +a(g827 +V\u000a +p16819 +tp16820 +a(g706 +g1293 +tp16821 +a(g827 +V\u000a +p16822 +tp16823 +a(g827 +V +p16824 +tp16825 +a(g745 +Vif +p16826 +tp16827 +a(g827 +g960 +tp16828 +a(g706 +g1016 +tp16829 +a(g436 +Vrb_obj_class +p16830 +tp16831 +a(g706 +g1016 +tp16832 +a(g436 +Vary +p16833 +tp16834 +a(g706 +g1025 +tp16835 +a(g827 +g960 +tp16836 +a(g413 +g2490 +tp16837 +a(g413 +g1185 +tp16838 +a(g827 +g960 +tp16839 +a(g436 +Vrb_cArray +p16840 +tp16841 +a(g706 +g1025 +tp16842 +a(g827 +g960 +tp16843 +a(g706 +g1293 +tp16844 +a(g827 +V\u000a +p16845 +tp16846 +a(g827 +g1297 +tp16847 +a(g436 +VVALUE +p16848 +tp16849 +a(g827 +g960 +tp16850 +a(g436 +Vdup +p16851 +tp16852 +a(g827 +g960 +tp16853 +a(g413 +g1185 +tp16854 +a(g827 +g960 +tp16855 +a(g436 +Vrb_ary_new2 +p16856 +tp16857 +a(g706 +g1016 +tp16858 +a(g436 +VRARRAY +p16859 +tp16860 +a(g706 +g1016 +tp16861 +a(g436 +Vary +p16862 +tp16863 +a(g706 +g1025 +tp16864 +a(g413 +g1692 +tp16865 +a(g413 +g1694 +tp16866 +a(g436 +Vlen +p16867 +tp16868 +a(g706 +g1025 +tp16869 +a(g706 +g1027 +tp16870 +a(g827 +V\u000a +p16871 +tp16872 +a(g827 +g1297 +tp16873 +a(g436 +Vrb_ary_replace +p16874 +tp16875 +a(g706 +g1016 +tp16876 +a(g436 +Vdup +p16877 +tp16878 +a(g706 +g1372 +tp16879 +a(g827 +g960 +tp16880 +a(g436 +Vary +p16881 +tp16882 +a(g706 +g1025 +tp16883 +a(g706 +g1027 +tp16884 +a(g827 +V\u000a +p16885 +tp16886 +a(g827 +g1297 +tp16887 +a(g745 +Vreturn +p16888 +tp16889 +a(g827 +g960 +tp16890 +a(g436 +Vdup +p16891 +tp16892 +a(g706 +g1027 +tp16893 +a(g827 +V\u000a +p16894 +tp16895 +a(g827 +V +p16896 +tp16897 +a(g706 +g1721 +tp16898 +a(g827 +V\u000a +p16899 +tp16900 +a(g827 +V +p16901 +tp16902 +a(g745 +Vreturn +p16903 +tp16904 +a(g827 +g960 +tp16905 +a(g436 +Vary +p16906 +tp16907 +a(g706 +g1027 +tp16908 +a(g827 +V\u000a +p16909 +tp16910 +a(g706 +g1721 +tp16911 +a(g827 +V\u000a +p16912 +tp16913 +a(g827 +V\u000a +p16914 +tp16915 +a(g929 +V/*\u000a * call-seq:\u000a * array.to_ary -> array\u000a * \u000a * Returns _self_.\u000a */ +p16916 +tp16917 +a(g827 +V\u000a +p16918 +tp16919 +a(g827 +V\u000a +p16920 +tp16921 +a(g745 +Vstatic +p16922 +tp16923 +a(g827 +g960 +tp16924 +a(g436 +VVALUE +p16925 +tp16926 +a(g827 +V\u000a +p16927 +tp16928 +a(g436 +Vrb_ary_to_ary_m +p16929 +tp16930 +a(g706 +g1016 +tp16931 +a(g436 +Vary +p16932 +tp16933 +a(g706 +g1025 +tp16934 +a(g827 +V\u000a +p16935 +tp16936 +a(g827 +V +p16937 +tp16938 +a(g436 +VVALUE +p16939 +tp16940 +a(g827 +g960 +tp16941 +a(g436 +Vary +p16942 +tp16943 +a(g706 +g1027 +tp16944 +a(g827 +V\u000a +p16945 +tp16946 +a(g706 +g1293 +tp16947 +a(g827 +V\u000a +p16948 +tp16949 +a(g827 +V +p16950 +tp16951 +a(g745 +Vreturn +p16952 +tp16953 +a(g827 +g960 +tp16954 +a(g436 +Vary +p16955 +tp16956 +a(g706 +g1027 +tp16957 +a(g827 +V\u000a +p16958 +tp16959 +a(g706 +g1721 +tp16960 +a(g827 +V\u000a +p16961 +tp16962 +a(g827 +V\u000a +p16963 +tp16964 +a(g436 +VVALUE +p16965 +tp16966 +a(g827 +V\u000a +p16967 +tp16968 +a(g436 +Vrb_ary_reverse +p16969 +tp16970 +a(g706 +g1016 +tp16971 +a(g436 +Vary +p16972 +tp16973 +a(g706 +g1025 +tp16974 +a(g827 +V\u000a +p16975 +tp16976 +a(g827 +V +p16977 +tp16978 +a(g436 +VVALUE +p16979 +tp16980 +a(g827 +g960 +tp16981 +a(g436 +Vary +p16982 +tp16983 +a(g706 +g1027 +tp16984 +a(g827 +V\u000a +p16985 +tp16986 +a(g706 +g1293 +tp16987 +a(g827 +V\u000a +p16988 +tp16989 +a(g827 +V +p16990 +tp16991 +a(g436 +VVALUE +p16992 +tp16993 +a(g827 +g960 +tp16994 +a(g413 +g1020 +tp16995 +a(g436 +Vp1 +p16996 +tp16997 +a(g706 +g1372 +tp16998 +a(g827 +g960 +tp16999 +a(g413 +g1020 +tp17000 +a(g436 +Vp2 +p17001 +tp17002 +a(g706 +g1027 +tp17003 +a(g827 +V\u000a +p17004 +tp17005 +a(g827 +V +p17006 +tp17007 +a(g436 +VVALUE +p17008 +tp17009 +a(g827 +g960 +tp17010 +a(g436 +Vtmp +p17011 +tp17012 +a(g706 +g1027 +tp17013 +a(g827 +V\u000a +p17014 +tp17015 +a(g827 +V\u000a +p17016 +tp17017 +a(g827 +V +p17018 +tp17019 +a(g436 +Vrb_ary_modify +p17020 +tp17021 +a(g706 +g1016 +tp17022 +a(g436 +Vary +p17023 +tp17024 +a(g706 +g1025 +tp17025 +a(g706 +g1027 +tp17026 +a(g827 +V\u000a +p17027 +tp17028 +a(g827 +V +p17029 +tp17030 +a(g745 +Vif +p17031 +tp17032 +a(g827 +g960 +tp17033 +a(g706 +g1016 +tp17034 +a(g436 +VRARRAY +p17035 +tp17036 +a(g706 +g1016 +tp17037 +a(g436 +Vary +p17038 +tp17039 +a(g706 +g1025 +tp17040 +a(g413 +g1692 +tp17041 +a(g413 +g1694 +tp17042 +a(g436 +Vlen +p17043 +tp17044 +a(g827 +g960 +tp17045 +a(g413 +g1694 +tp17046 +a(g827 +g960 +tp17047 +a(g37 +g1205 +tp17048 +a(g706 +g1025 +tp17049 +a(g827 +g960 +tp17050 +a(g706 +g1293 +tp17051 +a(g827 +V\u000a +p17052 +tp17053 +a(g827 +g1297 +tp17054 +a(g436 +Vp1 +p17055 +tp17056 +a(g827 +g960 +tp17057 +a(g413 +g1185 +tp17058 +a(g827 +g960 +tp17059 +a(g436 +VRARRAY +p17060 +tp17061 +a(g706 +g1016 +tp17062 +a(g436 +Vary +p17063 +tp17064 +a(g706 +g1025 +tp17065 +a(g413 +g1692 +tp17066 +a(g413 +g1694 +tp17067 +a(g436 +Vptr +p17068 +tp17069 +a(g706 +g1027 +tp17070 +a(g827 +V\u000a +p17071 +tp17072 +a(g827 +g1297 +tp17073 +a(g436 +Vp2 +p17074 +tp17075 +a(g827 +g960 +tp17076 +a(g413 +g1185 +tp17077 +a(g827 +g960 +tp17078 +a(g436 +Vp1 +p17079 +tp17080 +a(g827 +g960 +tp17081 +a(g413 +g2280 +tp17082 +a(g827 +g960 +tp17083 +a(g436 +VRARRAY +p17084 +tp17085 +a(g706 +g1016 +tp17086 +a(g436 +Vary +p17087 +tp17088 +a(g706 +g1025 +tp17089 +a(g413 +g1692 +tp17090 +a(g413 +g1694 +tp17091 +a(g436 +Vlen +p17092 +tp17093 +a(g827 +g960 +tp17094 +a(g413 +g1692 +tp17095 +a(g827 +g960 +tp17096 +a(g37 +g1205 +tp17097 +a(g706 +g1027 +tp17098 +a(g827 +g1297 +tp17099 +a(g929 +V/* points last item */ +p17100 +tp17101 +a(g827 +V\u000a +p17102 +tp17103 +a(g827 +V\u000a +p17104 +tp17105 +a(g827 +g1297 +tp17106 +a(g745 +Vwhile +p17107 +tp17108 +a(g827 +g960 +tp17109 +a(g706 +g1016 +tp17110 +a(g436 +Vp1 +p17111 +tp17112 +a(g827 +g960 +tp17113 +a(g413 +g4656 +tp17114 +a(g827 +g960 +tp17115 +a(g436 +Vp2 +p17116 +tp17117 +a(g706 +g1025 +tp17118 +a(g827 +g960 +tp17119 +a(g706 +g1293 +tp17120 +a(g827 +V\u000a +p17121 +tp17122 +a(g827 +V +p17123 +tp17124 +a(g436 +Vtmp +p17125 +tp17126 +a(g827 +g960 +tp17127 +a(g413 +g1185 +tp17128 +a(g827 +g960 +tp17129 +a(g413 +g1020 +tp17130 +a(g436 +Vp1 +p17131 +tp17132 +a(g706 +g1027 +tp17133 +a(g827 +V\u000a +p17134 +tp17135 +a(g827 +V +p17136 +tp17137 +a(g413 +g1020 +tp17138 +a(g436 +Vp1 +p17139 +tp17140 +a(g413 +g2280 +tp17141 +a(g413 +g2280 +tp17142 +a(g827 +g960 +tp17143 +a(g413 +g1185 +tp17144 +a(g827 +g960 +tp17145 +a(g413 +g1020 +tp17146 +a(g436 +Vp2 +p17147 +tp17148 +a(g706 +g1027 +tp17149 +a(g827 +V\u000a +p17150 +tp17151 +a(g827 +V +p17152 +tp17153 +a(g413 +g1020 +tp17154 +a(g436 +Vp2 +p17155 +tp17156 +a(g413 +g1692 +tp17157 +a(g413 +g1692 +tp17158 +a(g827 +g960 +tp17159 +a(g413 +g1185 +tp17160 +a(g827 +g960 +tp17161 +a(g436 +Vtmp +p17162 +tp17163 +a(g706 +g1027 +tp17164 +a(g827 +V\u000a +p17165 +tp17166 +a(g827 +g1297 +tp17167 +a(g706 +g1721 +tp17168 +a(g827 +V\u000a +p17169 +tp17170 +a(g827 +V +p17171 +tp17172 +a(g706 +g1721 +tp17173 +a(g827 +V\u000a +p17174 +tp17175 +a(g827 +V +p17176 +tp17177 +a(g745 +Vreturn +p17178 +tp17179 +a(g827 +g960 +tp17180 +a(g436 +Vary +p17181 +tp17182 +a(g706 +g1027 +tp17183 +a(g827 +V\u000a +p17184 +tp17185 +a(g706 +g1721 +tp17186 +a(g827 +V\u000a +p17187 +tp17188 +a(g827 +V\u000a +p17189 +tp17190 +a(g929 +V/*\u000a * call-seq:\u000a * array.reverse! -> array \u000a * \u000a * Reverses _self_ in place.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.reverse! #=> ["c", "b", "a"]\u000a * a #=> ["c", "b", "a"]\u000a */ +p17191 +tp17192 +a(g827 +V\u000a +p17193 +tp17194 +a(g827 +V\u000a +p17195 +tp17196 +a(g745 +Vstatic +p17197 +tp17198 +a(g827 +g960 +tp17199 +a(g436 +VVALUE +p17200 +tp17201 +a(g827 +V\u000a +p17202 +tp17203 +a(g436 +Vrb_ary_reverse_bang +p17204 +tp17205 +a(g706 +g1016 +tp17206 +a(g436 +Vary +p17207 +tp17208 +a(g706 +g1025 +tp17209 +a(g827 +V\u000a +p17210 +tp17211 +a(g827 +V +p17212 +tp17213 +a(g436 +VVALUE +p17214 +tp17215 +a(g827 +g960 +tp17216 +a(g436 +Vary +p17217 +tp17218 +a(g706 +g1027 +tp17219 +a(g827 +V\u000a +p17220 +tp17221 +a(g706 +g1293 +tp17222 +a(g827 +V\u000a +p17223 +tp17224 +a(g827 +V +p17225 +tp17226 +a(g745 +Vreturn +p17227 +tp17228 +a(g827 +g960 +tp17229 +a(g436 +Vrb_ary_reverse +p17230 +tp17231 +a(g706 +g1016 +tp17232 +a(g436 +Vary +p17233 +tp17234 +a(g706 +g1025 +tp17235 +a(g706 +g1027 +tp17236 +a(g827 +V\u000a +p17237 +tp17238 +a(g706 +g1721 +tp17239 +a(g827 +V\u000a +p17240 +tp17241 +a(g827 +V\u000a +p17242 +tp17243 +a(g929 +V/*\u000a * call-seq:\u000a * array.reverse -> an_array\u000a * \u000a * Returns a new array containing self's elements in reverse order.\u000a * \u000a * [ "a", "b", "c" ].reverse #=> ["c", "b", "a"]\u000a * [ 1 ].reverse #=> [1]\u000a */ +p17244 +tp17245 +a(g827 +V\u000a +p17246 +tp17247 +a(g827 +V\u000a +p17248 +tp17249 +a(g745 +Vstatic +p17250 +tp17251 +a(g827 +g960 +tp17252 +a(g436 +VVALUE +p17253 +tp17254 +a(g827 +V\u000a +p17255 +tp17256 +a(g436 +Vrb_ary_reverse_m +p17257 +tp17258 +a(g706 +g1016 +tp17259 +a(g436 +Vary +p17260 +tp17261 +a(g706 +g1025 +tp17262 +a(g827 +V\u000a +p17263 +tp17264 +a(g827 +V +p17265 +tp17266 +a(g436 +VVALUE +p17267 +tp17268 +a(g827 +g960 +tp17269 +a(g436 +Vary +p17270 +tp17271 +a(g706 +g1027 +tp17272 +a(g827 +V\u000a +p17273 +tp17274 +a(g706 +g1293 +tp17275 +a(g827 +V\u000a +p17276 +tp17277 +a(g827 +V +p17278 +tp17279 +a(g745 +Vreturn +p17280 +tp17281 +a(g827 +g960 +tp17282 +a(g436 +Vrb_ary_reverse +p17283 +tp17284 +a(g706 +g1016 +tp17285 +a(g436 +Vrb_ary_dup +p17286 +tp17287 +a(g706 +g1016 +tp17288 +a(g436 +Vary +p17289 +tp17290 +a(g706 +g1025 +tp17291 +a(g706 +g1025 +tp17292 +a(g706 +g1027 +tp17293 +a(g827 +V\u000a +p17294 +tp17295 +a(g706 +g1721 +tp17296 +a(g827 +V\u000a +p17297 +tp17298 +a(g827 +V\u000a +p17299 +tp17300 +a(g745 +Vstruct +p17301 +tp17302 +a(g827 +g960 +tp17303 +a(g436 +Vary_sort_data +p17304 +tp17305 +a(g827 +g960 +tp17306 +a(g706 +g1293 +tp17307 +a(g827 +V\u000a +p17308 +tp17309 +a(g827 +V +p17310 +tp17311 +a(g436 +VVALUE +p17312 +tp17313 +a(g827 +g960 +tp17314 +a(g436 +Vary +p17315 +tp17316 +a(g706 +g1027 +tp17317 +a(g827 +V\u000a +p17318 +tp17319 +a(g827 +V +p17320 +tp17321 +a(g436 +VVALUE +p17322 +tp17323 +a(g827 +g960 +tp17324 +a(g413 +g1020 +tp17325 +a(g436 +Vptr +p17326 +tp17327 +a(g706 +g1027 +tp17328 +a(g827 +V\u000a +p17329 +tp17330 +a(g827 +V +p17331 +tp17332 +a(g749 +Vlong +p17333 +tp17334 +a(g827 +g960 +tp17335 +a(g436 +Vlen +p17336 +tp17337 +a(g706 +g1027 +tp17338 +a(g827 +V\u000a +p17339 +tp17340 +a(g706 +g1721 +tp17341 +a(g706 +g1027 +tp17342 +a(g827 +V\u000a +p17343 +tp17344 +a(g827 +V\u000a +p17345 +tp17346 +a(g745 +Vstatic +p17347 +tp17348 +a(g827 +g960 +tp17349 +a(g749 +Vvoid +p17350 +tp17351 +a(g827 +V\u000a +p17352 +tp17353 +a(g574 +Vary_sort_check +p17354 +tp17355 +a(g706 +g1016 +tp17356 +a(g436 +Vdata +p17357 +tp17358 +a(g706 +g1025 +tp17359 +a(g827 +V\u000a +p17360 +tp17361 +a(g827 +V +p17362 +tp17363 +a(g745 +Vstruct +p17364 +tp17365 +a(g827 +g960 +tp17366 +a(g436 +Vary_sort_data +p17367 +tp17368 +a(g827 +g960 +tp17369 +a(g413 +g1020 +tp17370 +a(g436 +Vdata +p17371 +tp17372 +a(g706 +g1027 +tp17373 +a(g827 +V\u000a +p17374 +tp17375 +a(g706 +g1293 +tp17376 +a(g827 +V\u000a +p17377 +tp17378 +a(g827 +V +p17379 +tp17380 +a(g745 +Vif +p17381 +tp17382 +a(g827 +g960 +tp17383 +a(g706 +g1016 +tp17384 +a(g436 +VRARRAY +p17385 +tp17386 +a(g706 +g1016 +tp17387 +a(g436 +Vdata +p17388 +tp17389 +a(g413 +g1692 +tp17390 +a(g413 +g1694 +tp17391 +a(g436 +Vary +p17392 +tp17393 +a(g706 +g1025 +tp17394 +a(g413 +g1692 +tp17395 +a(g413 +g1694 +tp17396 +a(g436 +Vptr +p17397 +tp17398 +a(g827 +g960 +tp17399 +a(g413 +g2490 +tp17400 +a(g413 +g1185 +tp17401 +a(g827 +g960 +tp17402 +a(g436 +Vdata +p17403 +tp17404 +a(g413 +g1692 +tp17405 +a(g413 +g1694 +tp17406 +a(g436 +Vptr +p17407 +tp17408 +a(g827 +g960 +tp17409 +a(g413 +g10205 +tp17410 +a(g413 +g10205 +tp17411 +a(g827 +g960 +tp17412 +a(g436 +VRARRAY +p17413 +tp17414 +a(g706 +g1016 +tp17415 +a(g436 +Vdata +p17416 +tp17417 +a(g413 +g1692 +tp17418 +a(g413 +g1694 +tp17419 +a(g436 +Vary +p17420 +tp17421 +a(g706 +g1025 +tp17422 +a(g413 +g1692 +tp17423 +a(g413 +g1694 +tp17424 +a(g436 +Vlen +p17425 +tp17426 +a(g827 +g960 +tp17427 +a(g413 +g2490 +tp17428 +a(g413 +g1185 +tp17429 +a(g827 +g960 +tp17430 +a(g436 +Vdata +p17431 +tp17432 +a(g413 +g1692 +tp17433 +a(g413 +g1694 +tp17434 +a(g436 +Vlen +p17435 +tp17436 +a(g706 +g1025 +tp17437 +a(g827 +g960 +tp17438 +a(g706 +g1293 +tp17439 +a(g827 +V\u000a +p17440 +tp17441 +a(g827 +g1297 +tp17442 +a(g436 +Vrb_raise +p17443 +tp17444 +a(g706 +g1016 +tp17445 +a(g436 +Vrb_eRuntimeError +p17446 +tp17447 +a(g706 +g1372 +tp17448 +a(g827 +g960 +tp17449 +a(g89 +g1375 +tp17450 +a(g89 +Varray modified during sort +p17451 +tp17452 +a(g89 +g1375 +tp17453 +a(g706 +g1025 +tp17454 +a(g706 +g1027 +tp17455 +a(g827 +V\u000a +p17456 +tp17457 +a(g827 +V +p17458 +tp17459 +a(g706 +g1721 +tp17460 +a(g827 +V\u000a +p17461 +tp17462 +a(g706 +g1721 +tp17463 +a(g827 +V\u000a +p17464 +tp17465 +a(g827 +V\u000a +p17466 +tp17467 +a(g745 +Vstatic +p17468 +tp17469 +a(g827 +g960 +tp17470 +a(g749 +Vint +p17471 +tp17472 +a(g827 +V\u000a +p17473 +tp17474 +a(g436 +Vsort_1 +p17475 +tp17476 +a(g706 +g1016 +tp17477 +a(g436 +g3558 +tp17478 +a(g706 +g1372 +tp17479 +a(g827 +g960 +tp17480 +a(g436 +g3567 +tp17481 +a(g706 +g1372 +tp17482 +a(g827 +g960 +tp17483 +a(g436 +Vdata +p17484 +tp17485 +a(g706 +g1025 +tp17486 +a(g827 +V\u000a +p17487 +tp17488 +a(g827 +V +p17489 +tp17490 +a(g436 +VVALUE +p17491 +tp17492 +a(g827 +g960 +tp17493 +a(g413 +g1020 +tp17494 +a(g436 +g3558 +tp17495 +a(g706 +g1372 +tp17496 +a(g827 +g960 +tp17497 +a(g413 +g1020 +tp17498 +a(g436 +g3567 +tp17499 +a(g706 +g1027 +tp17500 +a(g827 +V\u000a +p17501 +tp17502 +a(g827 +V +p17503 +tp17504 +a(g745 +Vstruct +p17505 +tp17506 +a(g827 +g960 +tp17507 +a(g436 +Vary_sort_data +p17508 +tp17509 +a(g827 +g960 +tp17510 +a(g413 +g1020 +tp17511 +a(g436 +Vdata +p17512 +tp17513 +a(g706 +g1027 +tp17514 +a(g827 +V\u000a +p17515 +tp17516 +a(g706 +g1293 +tp17517 +a(g827 +V\u000a +p17518 +tp17519 +a(g827 +V +p17520 +tp17521 +a(g436 +VVALUE +p17522 +tp17523 +a(g827 +g960 +tp17524 +a(g436 +Vretval +p17525 +tp17526 +a(g827 +g960 +tp17527 +a(g413 +g1185 +tp17528 +a(g827 +g960 +tp17529 +a(g436 +Vrb_yield_values +p17530 +tp17531 +a(g706 +g1016 +tp17532 +a(g37 +g2780 +tp17533 +a(g706 +g1372 +tp17534 +a(g827 +g960 +tp17535 +a(g413 +g1020 +tp17536 +a(g436 +g3558 +tp17537 +a(g706 +g1372 +tp17538 +a(g827 +g960 +tp17539 +a(g413 +g1020 +tp17540 +a(g436 +g3567 +tp17541 +a(g706 +g1025 +tp17542 +a(g706 +g1027 +tp17543 +a(g827 +V\u000a +p17544 +tp17545 +a(g827 +V +p17546 +tp17547 +a(g749 +Vint +p17548 +tp17549 +a(g827 +g960 +tp17550 +a(g436 +g4991 +tp17551 +a(g706 +g1027 +tp17552 +a(g827 +V\u000a +p17553 +tp17554 +a(g827 +V\u000a +p17555 +tp17556 +a(g827 +V +p17557 +tp17558 +a(g436 +g4991 +tp17559 +a(g827 +g960 +tp17560 +a(g413 +g1185 +tp17561 +a(g827 +g960 +tp17562 +a(g436 +Vrb_cmpint +p17563 +tp17564 +a(g706 +g1016 +tp17565 +a(g436 +Vretval +p17566 +tp17567 +a(g706 +g1372 +tp17568 +a(g827 +g960 +tp17569 +a(g413 +g1020 +tp17570 +a(g436 +g3558 +tp17571 +a(g706 +g1372 +tp17572 +a(g827 +g960 +tp17573 +a(g413 +g1020 +tp17574 +a(g436 +g3567 +tp17575 +a(g706 +g1025 +tp17576 +a(g706 +g1027 +tp17577 +a(g827 +V\u000a +p17578 +tp17579 +a(g827 +V +p17580 +tp17581 +a(g436 +Vary_sort_check +p17582 +tp17583 +a(g706 +g1016 +tp17584 +a(g436 +Vdata +p17585 +tp17586 +a(g706 +g1025 +tp17587 +a(g706 +g1027 +tp17588 +a(g827 +V\u000a +p17589 +tp17590 +a(g827 +V +p17591 +tp17592 +a(g745 +Vreturn +p17593 +tp17594 +a(g827 +g960 +tp17595 +a(g436 +g4991 +tp17596 +a(g706 +g1027 +tp17597 +a(g827 +V\u000a +p17598 +tp17599 +a(g706 +g1721 +tp17600 +a(g827 +V\u000a +p17601 +tp17602 +a(g827 +V\u000a +p17603 +tp17604 +a(g745 +Vstatic +p17605 +tp17606 +a(g827 +g960 +tp17607 +a(g749 +Vint +p17608 +tp17609 +a(g827 +V\u000a +p17610 +tp17611 +a(g436 +Vsort_2 +p17612 +tp17613 +a(g706 +g1016 +tp17614 +a(g436 +Vap +p17615 +tp17616 +a(g706 +g1372 +tp17617 +a(g827 +g960 +tp17618 +a(g436 +Vbp +p17619 +tp17620 +a(g706 +g1372 +tp17621 +a(g827 +g960 +tp17622 +a(g436 +Vdata +p17623 +tp17624 +a(g706 +g1025 +tp17625 +a(g827 +V\u000a +p17626 +tp17627 +a(g827 +V +p17628 +tp17629 +a(g436 +VVALUE +p17630 +tp17631 +a(g827 +g960 +tp17632 +a(g413 +g1020 +tp17633 +a(g436 +Vap +p17634 +tp17635 +a(g706 +g1372 +tp17636 +a(g827 +g960 +tp17637 +a(g413 +g1020 +tp17638 +a(g436 +Vbp +p17639 +tp17640 +a(g706 +g1027 +tp17641 +a(g827 +V\u000a +p17642 +tp17643 +a(g827 +V +p17644 +tp17645 +a(g745 +Vstruct +p17646 +tp17647 +a(g827 +g960 +tp17648 +a(g436 +Vary_sort_data +p17649 +tp17650 +a(g827 +g960 +tp17651 +a(g413 +g1020 +tp17652 +a(g436 +Vdata +p17653 +tp17654 +a(g706 +g1027 +tp17655 +a(g827 +V\u000a +p17656 +tp17657 +a(g706 +g1293 +tp17658 +a(g827 +V\u000a +p17659 +tp17660 +a(g827 +V +p17661 +tp17662 +a(g436 +VVALUE +p17663 +tp17664 +a(g827 +g960 +tp17665 +a(g436 +Vretval +p17666 +tp17667 +a(g706 +g1027 +tp17668 +a(g827 +V\u000a +p17669 +tp17670 +a(g827 +V +p17671 +tp17672 +a(g436 +VVALUE +p17673 +tp17674 +a(g827 +g960 +tp17675 +a(g436 +g3558 +tp17676 +a(g827 +g960 +tp17677 +a(g413 +g1185 +tp17678 +a(g827 +g960 +tp17679 +a(g413 +g1020 +tp17680 +a(g436 +Vap +p17681 +tp17682 +a(g706 +g1372 +tp17683 +a(g827 +g960 +tp17684 +a(g436 +g3567 +tp17685 +a(g827 +g960 +tp17686 +a(g413 +g1185 +tp17687 +a(g827 +g960 +tp17688 +a(g413 +g1020 +tp17689 +a(g436 +Vbp +p17690 +tp17691 +a(g706 +g1027 +tp17692 +a(g827 +V\u000a +p17693 +tp17694 +a(g827 +V +p17695 +tp17696 +a(g749 +Vint +p17697 +tp17698 +a(g827 +g960 +tp17699 +a(g436 +g4991 +tp17700 +a(g706 +g1027 +tp17701 +a(g827 +V\u000a +p17702 +tp17703 +a(g827 +V\u000a +p17704 +tp17705 +a(g827 +V +p17706 +tp17707 +a(g745 +Vif +p17708 +tp17709 +a(g827 +g960 +tp17710 +a(g706 +g1016 +tp17711 +a(g436 +VFIXNUM_P +p17712 +tp17713 +a(g706 +g1016 +tp17714 +a(g436 +g3558 +tp17715 +a(g706 +g1025 +tp17716 +a(g827 +g960 +tp17717 +a(g413 +g4051 +tp17718 +a(g413 +g4051 +tp17719 +a(g827 +g960 +tp17720 +a(g436 +VFIXNUM_P +p17721 +tp17722 +a(g706 +g1016 +tp17723 +a(g436 +g3567 +tp17724 +a(g706 +g1025 +tp17725 +a(g706 +g1025 +tp17726 +a(g827 +g960 +tp17727 +a(g706 +g1293 +tp17728 +a(g827 +V\u000a +p17729 +tp17730 +a(g827 +g1297 +tp17731 +a(g745 +Vif +p17732 +tp17733 +a(g827 +g960 +tp17734 +a(g706 +g1016 +tp17735 +a(g706 +g1016 +tp17736 +a(g749 +Vlong +p17737 +tp17738 +a(g706 +g1025 +tp17739 +a(g436 +g3558 +tp17740 +a(g827 +g960 +tp17741 +a(g413 +g1694 +tp17742 +a(g827 +g960 +tp17743 +a(g706 +g1016 +tp17744 +a(g749 +Vlong +p17745 +tp17746 +a(g706 +g1025 +tp17747 +a(g436 +g3567 +tp17748 +a(g706 +g1025 +tp17749 +a(g827 +g960 +tp17750 +a(g745 +Vreturn +p17751 +tp17752 +a(g827 +g960 +tp17753 +a(g37 +g1205 +tp17754 +a(g706 +g1027 +tp17755 +a(g827 +V\u000a +p17756 +tp17757 +a(g827 +g1297 +tp17758 +a(g745 +Vif +p17759 +tp17760 +a(g827 +g960 +tp17761 +a(g706 +g1016 +tp17762 +a(g706 +g1016 +tp17763 +a(g749 +Vlong +p17764 +tp17765 +a(g706 +g1025 +tp17766 +a(g436 +g3558 +tp17767 +a(g827 +g960 +tp17768 +a(g413 +g4656 +tp17769 +a(g827 +g960 +tp17770 +a(g706 +g1016 +tp17771 +a(g749 +Vlong +p17772 +tp17773 +a(g706 +g1025 +tp17774 +a(g436 +g3567 +tp17775 +a(g706 +g1025 +tp17776 +a(g827 +g960 +tp17777 +a(g745 +Vreturn +p17778 +tp17779 +a(g827 +g960 +tp17780 +a(g413 +g1692 +tp17781 +a(g37 +g1205 +tp17782 +a(g706 +g1027 +tp17783 +a(g827 +V\u000a +p17784 +tp17785 +a(g827 +g1297 +tp17786 +a(g745 +Vreturn +p17787 +tp17788 +a(g827 +g960 +tp17789 +a(g37 +g1188 +tp17790 +a(g706 +g1027 +tp17791 +a(g827 +V\u000a +p17792 +tp17793 +a(g827 +V +p17794 +tp17795 +a(g706 +g1721 +tp17796 +a(g827 +V\u000a +p17797 +tp17798 +a(g827 +V +p17799 +tp17800 +a(g745 +Vif +p17801 +tp17802 +a(g827 +g960 +tp17803 +a(g706 +g1016 +tp17804 +a(g436 +VTYPE +p17805 +tp17806 +a(g706 +g1016 +tp17807 +a(g436 +g3558 +tp17808 +a(g706 +g1025 +tp17809 +a(g827 +g960 +tp17810 +a(g413 +g1185 +tp17811 +a(g413 +g1185 +tp17812 +a(g827 +g960 +tp17813 +a(g436 +VT_STRING +p17814 +tp17815 +a(g827 +g960 +tp17816 +a(g413 +g4051 +tp17817 +a(g413 +g4051 +tp17818 +a(g827 +g960 +tp17819 +a(g436 +VTYPE +p17820 +tp17821 +a(g706 +g1016 +tp17822 +a(g436 +g3567 +tp17823 +a(g706 +g1025 +tp17824 +a(g827 +g960 +tp17825 +a(g413 +g1185 +tp17826 +a(g413 +g1185 +tp17827 +a(g827 +g960 +tp17828 +a(g436 +VT_STRING +p17829 +tp17830 +a(g706 +g1025 +tp17831 +a(g827 +g960 +tp17832 +a(g706 +g1293 +tp17833 +a(g827 +V\u000a +p17834 +tp17835 +a(g827 +g1297 +tp17836 +a(g745 +Vreturn +p17837 +tp17838 +a(g827 +g960 +tp17839 +a(g436 +Vrb_str_cmp +p17840 +tp17841 +a(g706 +g1016 +tp17842 +a(g436 +g3558 +tp17843 +a(g706 +g1372 +tp17844 +a(g827 +g960 +tp17845 +a(g436 +g3567 +tp17846 +a(g706 +g1025 +tp17847 +a(g706 +g1027 +tp17848 +a(g827 +V\u000a +p17849 +tp17850 +a(g827 +V +p17851 +tp17852 +a(g706 +g1721 +tp17853 +a(g827 +V\u000a +p17854 +tp17855 +a(g827 +V\u000a +p17856 +tp17857 +a(g827 +V +p17858 +tp17859 +a(g436 +Vretval +p17860 +tp17861 +a(g827 +g960 +tp17862 +a(g413 +g1185 +tp17863 +a(g827 +g960 +tp17864 +a(g436 +Vrb_funcall +p17865 +tp17866 +a(g706 +g1016 +tp17867 +a(g436 +g3558 +tp17868 +a(g706 +g1372 +tp17869 +a(g827 +g960 +tp17870 +a(g436 +Vid_cmp +p17871 +tp17872 +a(g706 +g1372 +tp17873 +a(g827 +g960 +tp17874 +a(g37 +g1205 +tp17875 +a(g706 +g1372 +tp17876 +a(g827 +g960 +tp17877 +a(g436 +g3567 +tp17878 +a(g706 +g1025 +tp17879 +a(g706 +g1027 +tp17880 +a(g827 +V\u000a +p17881 +tp17882 +a(g827 +V +p17883 +tp17884 +a(g436 +g4991 +tp17885 +a(g827 +g960 +tp17886 +a(g413 +g1185 +tp17887 +a(g827 +g960 +tp17888 +a(g436 +Vrb_cmpint +p17889 +tp17890 +a(g706 +g1016 +tp17891 +a(g436 +Vretval +p17892 +tp17893 +a(g706 +g1372 +tp17894 +a(g827 +g960 +tp17895 +a(g436 +g3558 +tp17896 +a(g706 +g1372 +tp17897 +a(g827 +g960 +tp17898 +a(g436 +g3567 +tp17899 +a(g706 +g1025 +tp17900 +a(g706 +g1027 +tp17901 +a(g827 +V\u000a +p17902 +tp17903 +a(g827 +V +p17904 +tp17905 +a(g436 +Vary_sort_check +p17906 +tp17907 +a(g706 +g1016 +tp17908 +a(g436 +Vdata +p17909 +tp17910 +a(g706 +g1025 +tp17911 +a(g706 +g1027 +tp17912 +a(g827 +V\u000a +p17913 +tp17914 +a(g827 +V\u000a +p17915 +tp17916 +a(g827 +V +p17917 +tp17918 +a(g745 +Vreturn +p17919 +tp17920 +a(g827 +g960 +tp17921 +a(g436 +g4991 +tp17922 +a(g706 +g1027 +tp17923 +a(g827 +V\u000a +p17924 +tp17925 +a(g706 +g1721 +tp17926 +a(g827 +V\u000a +p17927 +tp17928 +a(g827 +V\u000a +p17929 +tp17930 +a(g745 +Vstatic +p17931 +tp17932 +a(g827 +g960 +tp17933 +a(g436 +VVALUE +p17934 +tp17935 +a(g827 +V\u000a +p17936 +tp17937 +a(g436 +Vsort_internal +p17938 +tp17939 +a(g706 +g1016 +tp17940 +a(g436 +Vary +p17941 +tp17942 +a(g706 +g1025 +tp17943 +a(g827 +V\u000a +p17944 +tp17945 +a(g827 +V +p17946 +tp17947 +a(g436 +VVALUE +p17948 +tp17949 +a(g827 +g960 +tp17950 +a(g436 +Vary +p17951 +tp17952 +a(g706 +g1027 +tp17953 +a(g827 +V\u000a +p17954 +tp17955 +a(g706 +g1293 +tp17956 +a(g827 +V\u000a +p17957 +tp17958 +a(g827 +V +p17959 +tp17960 +a(g745 +Vstruct +p17961 +tp17962 +a(g827 +g960 +tp17963 +a(g436 +Vary_sort_data +p17964 +tp17965 +a(g827 +g960 +tp17966 +a(g436 +Vdata +p17967 +tp17968 +a(g706 +g1027 +tp17969 +a(g827 +V\u000a +p17970 +tp17971 +a(g827 +V\u000a +p17972 +tp17973 +a(g827 +V +p17974 +tp17975 +a(g436 +Vdata +p17976 +tp17977 +a(g706 +g4214 +tp17978 +a(g436 +Vary +p17979 +tp17980 +a(g827 +g960 +tp17981 +a(g413 +g1185 +tp17982 +a(g827 +g960 +tp17983 +a(g436 +Vary +p17984 +tp17985 +a(g706 +g1027 +tp17986 +a(g827 +V\u000a +p17987 +tp17988 +a(g827 +V +p17989 +tp17990 +a(g436 +Vdata +p17991 +tp17992 +a(g706 +g4214 +tp17993 +a(g436 +Vptr +p17994 +tp17995 +a(g827 +g960 +tp17996 +a(g413 +g1185 +tp17997 +a(g827 +g960 +tp17998 +a(g436 +VRARRAY +p17999 +tp18000 +a(g706 +g1016 +tp18001 +a(g436 +Vary +p18002 +tp18003 +a(g706 +g1025 +tp18004 +a(g413 +g1692 +tp18005 +a(g413 +g1694 +tp18006 +a(g436 +Vptr +p18007 +tp18008 +a(g706 +g1027 +tp18009 +a(g827 +g960 +tp18010 +a(g436 +Vdata +p18011 +tp18012 +a(g706 +g4214 +tp18013 +a(g436 +Vlen +p18014 +tp18015 +a(g827 +g960 +tp18016 +a(g413 +g1185 +tp18017 +a(g827 +g960 +tp18018 +a(g436 +VRARRAY +p18019 +tp18020 +a(g706 +g1016 +tp18021 +a(g436 +Vary +p18022 +tp18023 +a(g706 +g1025 +tp18024 +a(g413 +g1692 +tp18025 +a(g413 +g1694 +tp18026 +a(g436 +Vlen +p18027 +tp18028 +a(g706 +g1027 +tp18029 +a(g827 +V\u000a +p18030 +tp18031 +a(g827 +V +p18032 +tp18033 +a(g436 +Vqsort +p18034 +tp18035 +a(g706 +g1016 +tp18036 +a(g436 +VRARRAY +p18037 +tp18038 +a(g706 +g1016 +tp18039 +a(g436 +Vary +p18040 +tp18041 +a(g706 +g1025 +tp18042 +a(g413 +g1692 +tp18043 +a(g413 +g1694 +tp18044 +a(g436 +Vptr +p18045 +tp18046 +a(g706 +g1372 +tp18047 +a(g827 +g960 +tp18048 +a(g436 +VRARRAY +p18049 +tp18050 +a(g706 +g1016 +tp18051 +a(g436 +Vary +p18052 +tp18053 +a(g706 +g1025 +tp18054 +a(g413 +g1692 +tp18055 +a(g413 +g1694 +tp18056 +a(g436 +Vlen +p18057 +tp18058 +a(g706 +g1372 +tp18059 +a(g827 +g960 +tp18060 +a(g745 +Vsizeof +p18061 +tp18062 +a(g706 +g1016 +tp18063 +a(g436 +VVALUE +p18064 +tp18065 +a(g706 +g1025 +tp18066 +a(g706 +g1372 +tp18067 +a(g827 +V\u000a +p18068 +tp18069 +a(g827 +V +p18070 +tp18071 +a(g436 +Vrb_block_given_p +p18072 +tp18073 +a(g706 +g1016 +tp18074 +a(g706 +g1025 +tp18075 +a(g413 +g15692 +tp18076 +a(g597 +Vsort_1 +p18077 +tp18078 +a(g706 +g3425 +tp18079 +a(g436 +Vsort_2 +p18080 +tp18081 +a(g706 +g1372 +tp18082 +a(g827 +g960 +tp18083 +a(g413 +g4051 +tp18084 +a(g436 +Vdata +p18085 +tp18086 +a(g706 +g1025 +tp18087 +a(g706 +g1027 +tp18088 +a(g827 +V\u000a +p18089 +tp18090 +a(g827 +V +p18091 +tp18092 +a(g745 +Vreturn +p18093 +tp18094 +a(g827 +g960 +tp18095 +a(g436 +Vary +p18096 +tp18097 +a(g706 +g1027 +tp18098 +a(g827 +V\u000a +p18099 +tp18100 +a(g706 +g1721 +tp18101 +a(g827 +V\u000a +p18102 +tp18103 +a(g827 +V\u000a +p18104 +tp18105 +a(g745 +Vstatic +p18106 +tp18107 +a(g827 +g960 +tp18108 +a(g436 +VVALUE +p18109 +tp18110 +a(g827 +V\u000a +p18111 +tp18112 +a(g436 +Vsort_unlock +p18113 +tp18114 +a(g706 +g1016 +tp18115 +a(g436 +Vary +p18116 +tp18117 +a(g706 +g1025 +tp18118 +a(g827 +V\u000a +p18119 +tp18120 +a(g827 +V +p18121 +tp18122 +a(g436 +VVALUE +p18123 +tp18124 +a(g827 +g960 +tp18125 +a(g436 +Vary +p18126 +tp18127 +a(g706 +g1027 +tp18128 +a(g827 +V\u000a +p18129 +tp18130 +a(g706 +g1293 +tp18131 +a(g827 +V\u000a +p18132 +tp18133 +a(g827 +V +p18134 +tp18135 +a(g436 +VFL_UNSET +p18136 +tp18137 +a(g706 +g1016 +tp18138 +a(g436 +Vary +p18139 +tp18140 +a(g706 +g1372 +tp18141 +a(g827 +g960 +tp18142 +a(g436 +VARY_TMPLOCK +p18143 +tp18144 +a(g706 +g1025 +tp18145 +a(g706 +g1027 +tp18146 +a(g827 +V\u000a +p18147 +tp18148 +a(g827 +V +p18149 +tp18150 +a(g745 +Vreturn +p18151 +tp18152 +a(g827 +g960 +tp18153 +a(g436 +Vary +p18154 +tp18155 +a(g706 +g1027 +tp18156 +a(g827 +V\u000a +p18157 +tp18158 +a(g706 +g1721 +tp18159 +a(g827 +V\u000a +p18160 +tp18161 +a(g827 +V\u000a +p18162 +tp18163 +a(g929 +V/*\u000a * call-seq:\u000a * array.sort! -> array\u000a * array.sort! {| a,b | block } -> array \u000a * \u000a * Sorts _self_. Comparisons for\u000a * the sort will be done using the <=> operator or using\u000a * an optional code block. The block implements a comparison between\u000a * a and b, returning -1, 0, or +1. See also\u000a * Enumerable#sort_by.\u000a * \u000a * a = [ "d", "a", "e", "c", "b" ]\u000a * a.sort #=> ["a", "b", "c", "d", "e"]\u000a * a.sort {|x,y| y <=> x } #=> ["e", "d", "c", "b", "a"]\u000a */ +p18164 +tp18165 +a(g827 +V\u000a +p18166 +tp18167 +a(g827 +V\u000a +p18168 +tp18169 +a(g436 +VVALUE +p18170 +tp18171 +a(g827 +V\u000a +p18172 +tp18173 +a(g436 +Vrb_ary_sort_bang +p18174 +tp18175 +a(g706 +g1016 +tp18176 +a(g436 +Vary +p18177 +tp18178 +a(g706 +g1025 +tp18179 +a(g827 +V\u000a +p18180 +tp18181 +a(g827 +V +p18182 +tp18183 +a(g436 +VVALUE +p18184 +tp18185 +a(g827 +g960 +tp18186 +a(g436 +Vary +p18187 +tp18188 +a(g706 +g1027 +tp18189 +a(g827 +V\u000a +p18190 +tp18191 +a(g706 +g1293 +tp18192 +a(g827 +V\u000a +p18193 +tp18194 +a(g827 +V +p18195 +tp18196 +a(g436 +Vrb_ary_modify +p18197 +tp18198 +a(g706 +g1016 +tp18199 +a(g436 +Vary +p18200 +tp18201 +a(g706 +g1025 +tp18202 +a(g706 +g1027 +tp18203 +a(g827 +V\u000a +p18204 +tp18205 +a(g827 +V +p18206 +tp18207 +a(g745 +Vif +p18208 +tp18209 +a(g827 +g960 +tp18210 +a(g706 +g1016 +tp18211 +a(g436 +VRARRAY +p18212 +tp18213 +a(g706 +g1016 +tp18214 +a(g436 +Vary +p18215 +tp18216 +a(g706 +g1025 +tp18217 +a(g413 +g1692 +tp18218 +a(g413 +g1694 +tp18219 +a(g436 +Vlen +p18220 +tp18221 +a(g827 +g960 +tp18222 +a(g413 +g1694 +tp18223 +a(g827 +g960 +tp18224 +a(g37 +g1205 +tp18225 +a(g706 +g1025 +tp18226 +a(g827 +g960 +tp18227 +a(g706 +g1293 +tp18228 +a(g827 +V\u000a +p18229 +tp18230 +a(g827 +g1297 +tp18231 +a(g436 +VFL_SET +p18232 +tp18233 +a(g706 +g1016 +tp18234 +a(g436 +Vary +p18235 +tp18236 +a(g706 +g1372 +tp18237 +a(g827 +g960 +tp18238 +a(g436 +VARY_TMPLOCK +p18239 +tp18240 +a(g706 +g1025 +tp18241 +a(g706 +g1027 +tp18242 +a(g827 +g1297 +tp18243 +a(g929 +V/* prohibit modification during sort */ +p18244 +tp18245 +a(g827 +V\u000a +p18246 +tp18247 +a(g827 +g1297 +tp18248 +a(g436 +Vrb_ensure +p18249 +tp18250 +a(g706 +g1016 +tp18251 +a(g436 +Vsort_internal +p18252 +tp18253 +a(g706 +g1372 +tp18254 +a(g827 +g960 +tp18255 +a(g436 +Vary +p18256 +tp18257 +a(g706 +g1372 +tp18258 +a(g827 +g960 +tp18259 +a(g436 +Vsort_unlock +p18260 +tp18261 +a(g706 +g1372 +tp18262 +a(g827 +g960 +tp18263 +a(g436 +Vary +p18264 +tp18265 +a(g706 +g1025 +tp18266 +a(g706 +g1027 +tp18267 +a(g827 +V\u000a +p18268 +tp18269 +a(g827 +V +p18270 +tp18271 +a(g706 +g1721 +tp18272 +a(g827 +V\u000a +p18273 +tp18274 +a(g827 +V +p18275 +tp18276 +a(g745 +Vreturn +p18277 +tp18278 +a(g827 +g960 +tp18279 +a(g436 +Vary +p18280 +tp18281 +a(g706 +g1027 +tp18282 +a(g827 +V\u000a +p18283 +tp18284 +a(g706 +g1721 +tp18285 +a(g827 +V\u000a +p18286 +tp18287 +a(g827 +V\u000a +p18288 +tp18289 +a(g929 +V/*\u000a * call-seq:\u000a * array.sort -> an_array \u000a * array.sort {| a,b | block } -> an_array \u000a * \u000a * Returns a new array created by sorting self. Comparisons for\u000a * the sort will be done using the <=> operator or using\u000a * an optional code block. The block implements a comparison between\u000a * a and b, returning -1, 0, or +1. See also\u000a * Enumerable#sort_by.\u000a * \u000a * a = [ "d", "a", "e", "c", "b" ]\u000a * a.sort #=> ["a", "b", "c", "d", "e"]\u000a * a.sort {|x,y| y <=> x } #=> ["e", "d", "c", "b", "a"]\u000a */ +p18290 +tp18291 +a(g827 +V\u000a +p18292 +tp18293 +a(g827 +V\u000a +p18294 +tp18295 +a(g436 +VVALUE +p18296 +tp18297 +a(g827 +V\u000a +p18298 +tp18299 +a(g436 +Vrb_ary_sort +p18300 +tp18301 +a(g706 +g1016 +tp18302 +a(g436 +Vary +p18303 +tp18304 +a(g706 +g1025 +tp18305 +a(g827 +V\u000a +p18306 +tp18307 +a(g827 +V +p18308 +tp18309 +a(g436 +VVALUE +p18310 +tp18311 +a(g827 +g960 +tp18312 +a(g436 +Vary +p18313 +tp18314 +a(g706 +g1027 +tp18315 +a(g827 +V\u000a +p18316 +tp18317 +a(g706 +g1293 +tp18318 +a(g827 +V\u000a +p18319 +tp18320 +a(g827 +V +p18321 +tp18322 +a(g436 +Vary +p18323 +tp18324 +a(g827 +g960 +tp18325 +a(g413 +g1185 +tp18326 +a(g827 +g960 +tp18327 +a(g436 +Vrb_ary_dup +p18328 +tp18329 +a(g706 +g1016 +tp18330 +a(g436 +Vary +p18331 +tp18332 +a(g706 +g1025 +tp18333 +a(g706 +g1027 +tp18334 +a(g827 +V\u000a +p18335 +tp18336 +a(g827 +V +p18337 +tp18338 +a(g436 +Vrb_ary_sort_bang +p18339 +tp18340 +a(g706 +g1016 +tp18341 +a(g436 +Vary +p18342 +tp18343 +a(g706 +g1025 +tp18344 +a(g706 +g1027 +tp18345 +a(g827 +V\u000a +p18346 +tp18347 +a(g827 +V +p18348 +tp18349 +a(g745 +Vreturn +p18350 +tp18351 +a(g827 +g960 +tp18352 +a(g436 +Vary +p18353 +tp18354 +a(g706 +g1027 +tp18355 +a(g827 +V\u000a +p18356 +tp18357 +a(g706 +g1721 +tp18358 +a(g827 +V\u000a +p18359 +tp18360 +a(g827 +V\u000a +p18361 +tp18362 +a(g929 +V/*\u000a * call-seq:\u000a * array.collect {|item| block } -> an_array\u000a * array.map {|item| block } -> an_array\u000a * \u000a * Invokes block once for each element of self. Creates a \u000a * new array containing the values returned by the block.\u000a * See also Enumerable#collect.\u000a * \u000a * a = [ "a", "b", "c", "d" ]\u000a * a.collect {|x| x + "!" } #=> ["a!", "b!", "c!", "d!"]\u000a * a #=> ["a", "b", "c", "d"]\u000a */ +p18363 +tp18364 +a(g827 +V\u000a +p18365 +tp18366 +a(g827 +V\u000a +p18367 +tp18368 +a(g745 +Vstatic +p18369 +tp18370 +a(g827 +g960 +tp18371 +a(g436 +VVALUE +p18372 +tp18373 +a(g827 +V\u000a +p18374 +tp18375 +a(g436 +Vrb_ary_collect +p18376 +tp18377 +a(g706 +g1016 +tp18378 +a(g436 +Vary +p18379 +tp18380 +a(g706 +g1025 +tp18381 +a(g827 +V\u000a +p18382 +tp18383 +a(g827 +V +p18384 +tp18385 +a(g436 +VVALUE +p18386 +tp18387 +a(g827 +g960 +tp18388 +a(g436 +Vary +p18389 +tp18390 +a(g706 +g1027 +tp18391 +a(g827 +V\u000a +p18392 +tp18393 +a(g706 +g1293 +tp18394 +a(g827 +V\u000a +p18395 +tp18396 +a(g827 +V +p18397 +tp18398 +a(g749 +Vlong +p18399 +tp18400 +a(g827 +g960 +tp18401 +a(g436 +g2597 +tp18402 +a(g706 +g1027 +tp18403 +a(g827 +V\u000a +p18404 +tp18405 +a(g827 +V +p18406 +tp18407 +a(g436 +VVALUE +p18408 +tp18409 +a(g827 +g960 +tp18410 +a(g436 +Vcollect +p18411 +tp18412 +a(g706 +g1027 +tp18413 +a(g827 +V\u000a +p18414 +tp18415 +a(g827 +V\u000a +p18416 +tp18417 +a(g827 +V +p18418 +tp18419 +a(g745 +Vif +p18420 +tp18421 +a(g827 +g960 +tp18422 +a(g706 +g1016 +tp18423 +a(g413 +g2490 +tp18424 +a(g436 +Vrb_block_given_p +p18425 +tp18426 +a(g706 +g1016 +tp18427 +a(g706 +g1025 +tp18428 +a(g706 +g1025 +tp18429 +a(g827 +g960 +tp18430 +a(g706 +g1293 +tp18431 +a(g827 +V\u000a +p18432 +tp18433 +a(g827 +g1297 +tp18434 +a(g745 +Vreturn +p18435 +tp18436 +a(g827 +g960 +tp18437 +a(g436 +Vrb_ary_new4 +p18438 +tp18439 +a(g706 +g1016 +tp18440 +a(g436 +VRARRAY +p18441 +tp18442 +a(g706 +g1016 +tp18443 +a(g436 +Vary +p18444 +tp18445 +a(g706 +g1025 +tp18446 +a(g413 +g1692 +tp18447 +a(g413 +g1694 +tp18448 +a(g436 +Vlen +p18449 +tp18450 +a(g706 +g1372 +tp18451 +a(g827 +g960 +tp18452 +a(g436 +VRARRAY +p18453 +tp18454 +a(g706 +g1016 +tp18455 +a(g436 +Vary +p18456 +tp18457 +a(g706 +g1025 +tp18458 +a(g413 +g1692 +tp18459 +a(g413 +g1694 +tp18460 +a(g436 +Vptr +p18461 +tp18462 +a(g706 +g1025 +tp18463 +a(g706 +g1027 +tp18464 +a(g827 +V\u000a +p18465 +tp18466 +a(g827 +V +p18467 +tp18468 +a(g706 +g1721 +tp18469 +a(g827 +V\u000a +p18470 +tp18471 +a(g827 +V\u000a +p18472 +tp18473 +a(g827 +V +p18474 +tp18475 +a(g436 +Vcollect +p18476 +tp18477 +a(g827 +g960 +tp18478 +a(g413 +g1185 +tp18479 +a(g827 +g960 +tp18480 +a(g436 +Vrb_ary_new2 +p18481 +tp18482 +a(g706 +g1016 +tp18483 +a(g436 +VRARRAY +p18484 +tp18485 +a(g706 +g1016 +tp18486 +a(g436 +Vary +p18487 +tp18488 +a(g706 +g1025 +tp18489 +a(g413 +g1692 +tp18490 +a(g413 +g1694 +tp18491 +a(g436 +Vlen +p18492 +tp18493 +a(g706 +g1025 +tp18494 +a(g706 +g1027 +tp18495 +a(g827 +V\u000a +p18496 +tp18497 +a(g827 +V +p18498 +tp18499 +a(g745 +Vfor +p18500 +tp18501 +a(g827 +g960 +tp18502 +a(g706 +g1016 +tp18503 +a(g436 +g2597 +tp18504 +a(g827 +g960 +tp18505 +a(g413 +g1185 +tp18506 +a(g827 +g960 +tp18507 +a(g37 +g1188 +tp18508 +a(g706 +g1027 +tp18509 +a(g827 +g960 +tp18510 +a(g436 +g2597 +tp18511 +a(g827 +g960 +tp18512 +a(g413 +g4656 +tp18513 +a(g827 +g960 +tp18514 +a(g436 +VRARRAY +p18515 +tp18516 +a(g706 +g1016 +tp18517 +a(g436 +Vary +p18518 +tp18519 +a(g706 +g1025 +tp18520 +a(g413 +g1692 +tp18521 +a(g413 +g1694 +tp18522 +a(g436 +Vlen +p18523 +tp18524 +a(g706 +g1027 +tp18525 +a(g827 +g960 +tp18526 +a(g436 +g2597 +tp18527 +a(g413 +g2280 +tp18528 +a(g413 +g2280 +tp18529 +a(g706 +g1025 +tp18530 +a(g827 +g960 +tp18531 +a(g706 +g1293 +tp18532 +a(g827 +V\u000a +p18533 +tp18534 +a(g827 +g1297 +tp18535 +a(g436 +Vrb_ary_push +p18536 +tp18537 +a(g706 +g1016 +tp18538 +a(g436 +Vcollect +p18539 +tp18540 +a(g706 +g1372 +tp18541 +a(g827 +g960 +tp18542 +a(g436 +Vrb_yield +p18543 +tp18544 +a(g706 +g1016 +tp18545 +a(g436 +VRARRAY +p18546 +tp18547 +a(g706 +g1016 +tp18548 +a(g436 +Vary +p18549 +tp18550 +a(g706 +g1025 +tp18551 +a(g413 +g1692 +tp18552 +a(g413 +g1694 +tp18553 +a(g436 +Vptr +p18554 +tp18555 +a(g706 +g1217 +tp18556 +a(g436 +g2597 +tp18557 +a(g706 +g1221 +tp18558 +a(g706 +g1025 +tp18559 +a(g706 +g1025 +tp18560 +a(g706 +g1027 +tp18561 +a(g827 +V\u000a +p18562 +tp18563 +a(g827 +V +p18564 +tp18565 +a(g706 +g1721 +tp18566 +a(g827 +V\u000a +p18567 +tp18568 +a(g827 +V +p18569 +tp18570 +a(g745 +Vreturn +p18571 +tp18572 +a(g827 +g960 +tp18573 +a(g436 +Vcollect +p18574 +tp18575 +a(g706 +g1027 +tp18576 +a(g827 +V\u000a +p18577 +tp18578 +a(g706 +g1721 +tp18579 +a(g827 +V\u000a +p18580 +tp18581 +a(g827 +V\u000a +p18582 +tp18583 +a(g929 +V/* \u000a * call-seq:\u000a * array.collect! {|item| block } -> array\u000a * array.map! {|item| block } -> array\u000a *\u000a * Invokes the block once for each element of _self_, replacing the\u000a * element with the value returned by _block_.\u000a * See also Enumerable#collect.\u000a * \u000a * a = [ "a", "b", "c", "d" ]\u000a * a.collect! {|x| x + "!" }\u000a * a #=> [ "a!", "b!", "c!", "d!" ]\u000a */ +p18584 +tp18585 +a(g827 +V\u000a +p18586 +tp18587 +a(g827 +V\u000a +p18588 +tp18589 +a(g745 +Vstatic +p18590 +tp18591 +a(g827 +g960 +tp18592 +a(g436 +VVALUE +p18593 +tp18594 +a(g827 +V\u000a +p18595 +tp18596 +a(g436 +Vrb_ary_collect_bang +p18597 +tp18598 +a(g706 +g1016 +tp18599 +a(g436 +Vary +p18600 +tp18601 +a(g706 +g1025 +tp18602 +a(g827 +V\u000a +p18603 +tp18604 +a(g827 +V +p18605 +tp18606 +a(g436 +VVALUE +p18607 +tp18608 +a(g827 +g960 +tp18609 +a(g436 +Vary +p18610 +tp18611 +a(g706 +g1027 +tp18612 +a(g827 +V\u000a +p18613 +tp18614 +a(g706 +g1293 +tp18615 +a(g827 +V\u000a +p18616 +tp18617 +a(g827 +V +p18618 +tp18619 +a(g749 +Vlong +p18620 +tp18621 +a(g827 +g960 +tp18622 +a(g436 +g2597 +tp18623 +a(g706 +g1027 +tp18624 +a(g827 +V\u000a +p18625 +tp18626 +a(g827 +V\u000a +p18627 +tp18628 +a(g827 +V +p18629 +tp18630 +a(g436 +Vrb_ary_modify +p18631 +tp18632 +a(g706 +g1016 +tp18633 +a(g436 +Vary +p18634 +tp18635 +a(g706 +g1025 +tp18636 +a(g706 +g1027 +tp18637 +a(g827 +V\u000a +p18638 +tp18639 +a(g827 +V +p18640 +tp18641 +a(g745 +Vfor +p18642 +tp18643 +a(g827 +g960 +tp18644 +a(g706 +g1016 +tp18645 +a(g436 +g2597 +tp18646 +a(g827 +g960 +tp18647 +a(g413 +g1185 +tp18648 +a(g827 +g960 +tp18649 +a(g37 +g1188 +tp18650 +a(g706 +g1027 +tp18651 +a(g827 +g960 +tp18652 +a(g436 +g2597 +tp18653 +a(g827 +g960 +tp18654 +a(g413 +g4656 +tp18655 +a(g827 +g960 +tp18656 +a(g436 +VRARRAY +p18657 +tp18658 +a(g706 +g1016 +tp18659 +a(g436 +Vary +p18660 +tp18661 +a(g706 +g1025 +tp18662 +a(g413 +g1692 +tp18663 +a(g413 +g1694 +tp18664 +a(g436 +Vlen +p18665 +tp18666 +a(g706 +g1027 +tp18667 +a(g827 +g960 +tp18668 +a(g436 +g2597 +tp18669 +a(g413 +g2280 +tp18670 +a(g413 +g2280 +tp18671 +a(g706 +g1025 +tp18672 +a(g827 +g960 +tp18673 +a(g706 +g1293 +tp18674 +a(g827 +V\u000a +p18675 +tp18676 +a(g827 +g1297 +tp18677 +a(g436 +Vrb_ary_store +p18678 +tp18679 +a(g706 +g1016 +tp18680 +a(g436 +Vary +p18681 +tp18682 +a(g706 +g1372 +tp18683 +a(g827 +g960 +tp18684 +a(g436 +g2597 +tp18685 +a(g706 +g1372 +tp18686 +a(g827 +g960 +tp18687 +a(g436 +Vrb_yield +p18688 +tp18689 +a(g706 +g1016 +tp18690 +a(g436 +VRARRAY +p18691 +tp18692 +a(g706 +g1016 +tp18693 +a(g436 +Vary +p18694 +tp18695 +a(g706 +g1025 +tp18696 +a(g413 +g1692 +tp18697 +a(g413 +g1694 +tp18698 +a(g436 +Vptr +p18699 +tp18700 +a(g706 +g1217 +tp18701 +a(g436 +g2597 +tp18702 +a(g706 +g1221 +tp18703 +a(g706 +g1025 +tp18704 +a(g706 +g1025 +tp18705 +a(g706 +g1027 +tp18706 +a(g827 +V\u000a +p18707 +tp18708 +a(g827 +V +p18709 +tp18710 +a(g706 +g1721 +tp18711 +a(g827 +V\u000a +p18712 +tp18713 +a(g827 +V +p18714 +tp18715 +a(g745 +Vreturn +p18716 +tp18717 +a(g827 +g960 +tp18718 +a(g436 +Vary +p18719 +tp18720 +a(g706 +g1027 +tp18721 +a(g827 +V\u000a +p18722 +tp18723 +a(g706 +g1721 +tp18724 +a(g827 +V\u000a +p18725 +tp18726 +a(g827 +V\u000a +p18727 +tp18728 +a(g436 +VVALUE +p18729 +tp18730 +a(g827 +V\u000a +p18731 +tp18732 +a(g436 +Vrb_get_values_at +p18733 +tp18734 +a(g706 +g1016 +tp18735 +a(g436 +Vobj +p18736 +tp18737 +a(g706 +g1372 +tp18738 +a(g827 +g960 +tp18739 +a(g436 +Volen +p18740 +tp18741 +a(g706 +g1372 +tp18742 +a(g827 +g960 +tp18743 +a(g436 +Vargc +p18744 +tp18745 +a(g706 +g1372 +tp18746 +a(g827 +g960 +tp18747 +a(g436 +Vargv +p18748 +tp18749 +a(g706 +g1372 +tp18750 +a(g827 +g960 +tp18751 +a(g436 +Vfunc +p18752 +tp18753 +a(g706 +g1025 +tp18754 +a(g827 +V\u000a +p18755 +tp18756 +a(g827 +V +p18757 +tp18758 +a(g436 +VVALUE +p18759 +tp18760 +a(g827 +g960 +tp18761 +a(g436 +Vobj +p18762 +tp18763 +a(g706 +g1027 +tp18764 +a(g827 +V\u000a +p18765 +tp18766 +a(g827 +V +p18767 +tp18768 +a(g749 +Vlong +p18769 +tp18770 +a(g827 +g960 +tp18771 +a(g436 +Volen +p18772 +tp18773 +a(g706 +g1027 +tp18774 +a(g827 +V\u000a +p18775 +tp18776 +a(g827 +V +p18777 +tp18778 +a(g749 +Vint +p18779 +tp18780 +a(g827 +g960 +tp18781 +a(g436 +Vargc +p18782 +tp18783 +a(g706 +g1027 +tp18784 +a(g827 +V\u000a +p18785 +tp18786 +a(g827 +V +p18787 +tp18788 +a(g436 +VVALUE +p18789 +tp18790 +a(g827 +g960 +tp18791 +a(g413 +g1020 +tp18792 +a(g436 +Vargv +p18793 +tp18794 +a(g706 +g1027 +tp18795 +a(g827 +V\u000a +p18796 +tp18797 +a(g827 +V +p18798 +tp18799 +a(g436 +VVALUE +p18800 +tp18801 +a(g827 +g960 +tp18802 +a(g706 +g1016 +tp18803 +a(g413 +g1020 +tp18804 +a(g436 +Vfunc +p18805 +tp18806 +a(g706 +g1025 +tp18807 +a(g827 +g960 +tp18808 +a(g436 +g6570 +tp18809 +a(g706 +g1016 +tp18810 +a(g706 +g1016 +tp18811 +a(g436 +VVALUE +p18812 +tp18813 +a(g706 +g1372 +tp18814 +a(g749 +Vlong +p18815 +tp18816 +a(g706 +g1025 +tp18817 +a(g706 +g1025 +tp18818 +a(g706 +g1027 +tp18819 +a(g827 +V\u000a +p18820 +tp18821 +a(g706 +g1293 +tp18822 +a(g827 +V\u000a +p18823 +tp18824 +a(g827 +V +p18825 +tp18826 +a(g436 +VVALUE +p18827 +tp18828 +a(g827 +g960 +tp18829 +a(g436 +Vresult +p18830 +tp18831 +a(g827 +g960 +tp18832 +a(g413 +g1185 +tp18833 +a(g827 +g960 +tp18834 +a(g436 +Vrb_ary_new2 +p18835 +tp18836 +a(g706 +g1016 +tp18837 +a(g436 +Vargc +p18838 +tp18839 +a(g706 +g1025 +tp18840 +a(g706 +g1027 +tp18841 +a(g827 +V\u000a +p18842 +tp18843 +a(g827 +V +p18844 +tp18845 +a(g749 +Vlong +p18846 +tp18847 +a(g827 +g960 +tp18848 +a(g436 +Vbeg +p18849 +tp18850 +a(g706 +g1372 +tp18851 +a(g827 +g960 +tp18852 +a(g436 +Vlen +p18853 +tp18854 +a(g706 +g1372 +tp18855 +a(g827 +g960 +tp18856 +a(g436 +g2597 +tp18857 +a(g706 +g1372 +tp18858 +a(g827 +g960 +tp18859 +a(g436 +Vj +p18860 +tp18861 +a(g706 +g1027 +tp18862 +a(g827 +V\u000a +p18863 +tp18864 +a(g827 +V\u000a +p18865 +tp18866 +a(g827 +V +p18867 +tp18868 +a(g745 +Vfor +p18869 +tp18870 +a(g827 +g960 +tp18871 +a(g706 +g1016 +tp18872 +a(g436 +g2597 +tp18873 +a(g413 +g1185 +tp18874 +a(g37 +g1188 +tp18875 +a(g706 +g1027 +tp18876 +a(g827 +g960 +tp18877 +a(g436 +g2597 +tp18878 +a(g413 +g4656 +tp18879 +a(g436 +Vargc +p18880 +tp18881 +a(g706 +g1027 +tp18882 +a(g827 +g960 +tp18883 +a(g436 +g2597 +tp18884 +a(g413 +g2280 +tp18885 +a(g413 +g2280 +tp18886 +a(g706 +g1025 +tp18887 +a(g827 +g960 +tp18888 +a(g706 +g1293 +tp18889 +a(g827 +V\u000a +p18890 +tp18891 +a(g827 +g1297 +tp18892 +a(g745 +Vif +p18893 +tp18894 +a(g827 +g960 +tp18895 +a(g706 +g1016 +tp18896 +a(g436 +VFIXNUM_P +p18897 +tp18898 +a(g706 +g1016 +tp18899 +a(g436 +Vargv +p18900 +tp18901 +a(g706 +g1217 +tp18902 +a(g436 +g2597 +tp18903 +a(g706 +g1221 +tp18904 +a(g706 +g1025 +tp18905 +a(g706 +g1025 +tp18906 +a(g827 +g960 +tp18907 +a(g706 +g1293 +tp18908 +a(g827 +V\u000a +p18909 +tp18910 +a(g827 +V +p18911 +tp18912 +a(g436 +Vrb_ary_push +p18913 +tp18914 +a(g706 +g1016 +tp18915 +a(g436 +Vresult +p18916 +tp18917 +a(g706 +g1372 +tp18918 +a(g827 +g960 +tp18919 +a(g706 +g1016 +tp18920 +a(g413 +g1020 +tp18921 +a(g436 +Vfunc +p18922 +tp18923 +a(g706 +g1025 +tp18924 +a(g706 +g1016 +tp18925 +a(g436 +Vobj +p18926 +tp18927 +a(g706 +g1372 +tp18928 +a(g827 +g960 +tp18929 +a(g436 +VFIX2LONG +p18930 +tp18931 +a(g706 +g1016 +tp18932 +a(g436 +Vargv +p18933 +tp18934 +a(g706 +g1217 +tp18935 +a(g436 +g2597 +tp18936 +a(g706 +g1221 +tp18937 +a(g706 +g1025 +tp18938 +a(g706 +g1025 +tp18939 +a(g706 +g1025 +tp18940 +a(g706 +g1027 +tp18941 +a(g827 +V\u000a +p18942 +tp18943 +a(g827 +V +p18944 +tp18945 +a(g745 +Vcontinue +p18946 +tp18947 +a(g706 +g1027 +tp18948 +a(g827 +V\u000a +p18949 +tp18950 +a(g827 +g1297 +tp18951 +a(g706 +g1721 +tp18952 +a(g827 +V\u000a +p18953 +tp18954 +a(g827 +g1297 +tp18955 +a(g929 +V/* check if idx is Range */ +p18956 +tp18957 +a(g827 +V\u000a +p18958 +tp18959 +a(g827 +g1297 +tp18960 +a(g745 +Vswitch +p18961 +tp18962 +a(g827 +g960 +tp18963 +a(g706 +g1016 +tp18964 +a(g436 +Vrb_range_beg_len +p18965 +tp18966 +a(g706 +g1016 +tp18967 +a(g436 +Vargv +p18968 +tp18969 +a(g706 +g1217 +tp18970 +a(g436 +g2597 +tp18971 +a(g706 +g1221 +tp18972 +a(g706 +g1372 +tp18973 +a(g827 +g960 +tp18974 +a(g413 +g4051 +tp18975 +a(g436 +Vbeg +p18976 +tp18977 +a(g706 +g1372 +tp18978 +a(g827 +g960 +tp18979 +a(g413 +g4051 +tp18980 +a(g436 +Vlen +p18981 +tp18982 +a(g706 +g1372 +tp18983 +a(g827 +g960 +tp18984 +a(g436 +Volen +p18985 +tp18986 +a(g706 +g1372 +tp18987 +a(g827 +g960 +tp18988 +a(g37 +g1188 +tp18989 +a(g706 +g1025 +tp18990 +a(g706 +g1025 +tp18991 +a(g827 +g960 +tp18992 +a(g706 +g1293 +tp18993 +a(g827 +V\u000a +p18994 +tp18995 +a(g827 +V +p18996 +tp18997 +a(g745 +Vcase +p18998 +tp18999 +a(g827 +g960 +tp19000 +a(g597 +VQfalse +p19001 +tp19002 +a(g706 +g3425 +tp19003 +a(g827 +V\u000a +p19004 +tp19005 +a(g827 +V +p19006 +tp19007 +a(g745 +Vbreak +p19008 +tp19009 +a(g706 +g1027 +tp19010 +a(g827 +V\u000a +p19011 +tp19012 +a(g827 +V +p19013 +tp19014 +a(g745 +Vcase +p19015 +tp19016 +a(g827 +g960 +tp19017 +a(g597 +VQnil +p19018 +tp19019 +a(g706 +g3425 +tp19020 +a(g827 +V\u000a +p19021 +tp19022 +a(g827 +V +p19023 +tp19024 +a(g745 +Vcontinue +p19025 +tp19026 +a(g706 +g1027 +tp19027 +a(g827 +V\u000a +p19028 +tp19029 +a(g827 +V +p19030 +tp19031 +a(g745 +Vdefault +p19032 +tp19033 +a(g413 +g3425 +tp19034 +a(g827 +V\u000a +p19035 +tp19036 +a(g827 +V +p19037 +tp19038 +a(g745 +Vfor +p19039 +tp19040 +a(g827 +g960 +tp19041 +a(g706 +g1016 +tp19042 +a(g436 +g18860 +tp19043 +a(g413 +g1185 +tp19044 +a(g37 +g1188 +tp19045 +a(g706 +g1027 +tp19046 +a(g827 +g960 +tp19047 +a(g436 +g18860 +tp19048 +a(g413 +g4656 +tp19049 +a(g436 +Vlen +p19050 +tp19051 +a(g706 +g1027 +tp19052 +a(g827 +g960 +tp19053 +a(g436 +g18860 +tp19054 +a(g413 +g2280 +tp19055 +a(g413 +g2280 +tp19056 +a(g706 +g1025 +tp19057 +a(g827 +g960 +tp19058 +a(g706 +g1293 +tp19059 +a(g827 +V\u000a +p19060 +tp19061 +a(g827 +V +p19062 +tp19063 +a(g436 +Vrb_ary_push +p19064 +tp19065 +a(g706 +g1016 +tp19066 +a(g436 +Vresult +p19067 +tp19068 +a(g706 +g1372 +tp19069 +a(g827 +g960 +tp19070 +a(g706 +g1016 +tp19071 +a(g413 +g1020 +tp19072 +a(g436 +Vfunc +p19073 +tp19074 +a(g706 +g1025 +tp19075 +a(g706 +g1016 +tp19076 +a(g436 +Vobj +p19077 +tp19078 +a(g706 +g1372 +tp19079 +a(g827 +g960 +tp19080 +a(g436 +g18860 +tp19081 +a(g413 +g2280 +tp19082 +a(g436 +Vbeg +p19083 +tp19084 +a(g706 +g1025 +tp19085 +a(g706 +g1025 +tp19086 +a(g706 +g1027 +tp19087 +a(g827 +V\u000a +p19088 +tp19089 +a(g827 +V +p19090 +tp19091 +a(g706 +g1721 +tp19092 +a(g827 +V\u000a +p19093 +tp19094 +a(g827 +V +p19095 +tp19096 +a(g745 +Vcontinue +p19097 +tp19098 +a(g706 +g1027 +tp19099 +a(g827 +V\u000a +p19100 +tp19101 +a(g827 +g1297 +tp19102 +a(g706 +g1721 +tp19103 +a(g827 +V\u000a +p19104 +tp19105 +a(g827 +g1297 +tp19106 +a(g436 +Vrb_ary_push +p19107 +tp19108 +a(g706 +g1016 +tp19109 +a(g436 +Vresult +p19110 +tp19111 +a(g706 +g1372 +tp19112 +a(g827 +g960 +tp19113 +a(g706 +g1016 +tp19114 +a(g413 +g1020 +tp19115 +a(g436 +Vfunc +p19116 +tp19117 +a(g706 +g1025 +tp19118 +a(g706 +g1016 +tp19119 +a(g436 +Vobj +p19120 +tp19121 +a(g706 +g1372 +tp19122 +a(g827 +g960 +tp19123 +a(g436 +VNUM2LONG +p19124 +tp19125 +a(g706 +g1016 +tp19126 +a(g436 +Vargv +p19127 +tp19128 +a(g706 +g1217 +tp19129 +a(g436 +g2597 +tp19130 +a(g706 +g1221 +tp19131 +a(g706 +g1025 +tp19132 +a(g706 +g1025 +tp19133 +a(g706 +g1025 +tp19134 +a(g706 +g1027 +tp19135 +a(g827 +V\u000a +p19136 +tp19137 +a(g827 +V +p19138 +tp19139 +a(g706 +g1721 +tp19140 +a(g827 +V\u000a +p19141 +tp19142 +a(g827 +V +p19143 +tp19144 +a(g745 +Vreturn +p19145 +tp19146 +a(g827 +g960 +tp19147 +a(g436 +Vresult +p19148 +tp19149 +a(g706 +g1027 +tp19150 +a(g827 +V\u000a +p19151 +tp19152 +a(g706 +g1721 +tp19153 +a(g827 +V\u000a +p19154 +tp19155 +a(g827 +V\u000a +p19156 +tp19157 +a(g929 +V/* \u000a * call-seq:\u000a * array.values_at(selector,... ) -> an_array\u000a *\u000a * Returns an array containing the elements in\u000a * _self_ corresponding to the given selector(s). The selectors\u000a * may be either integer indices or ranges. \u000a * See also Array#select.\u000a * \u000a * a = %w{ a b c d e f }\u000a * a.values_at(1, 3, 5)\u000a * a.values_at(1, 3, 5, 7)\u000a * a.values_at(-1, -3, -5, -7)\u000a * a.values_at(1..3, 2...5)\u000a */ +p19158 +tp19159 +a(g827 +V\u000a +p19160 +tp19161 +a(g827 +V\u000a +p19162 +tp19163 +a(g745 +Vstatic +p19164 +tp19165 +a(g827 +g960 +tp19166 +a(g436 +VVALUE +p19167 +tp19168 +a(g827 +V\u000a +p19169 +tp19170 +a(g436 +Vrb_ary_values_at +p19171 +tp19172 +a(g706 +g1016 +tp19173 +a(g436 +Vargc +p19174 +tp19175 +a(g706 +g1372 +tp19176 +a(g827 +g960 +tp19177 +a(g436 +Vargv +p19178 +tp19179 +a(g706 +g1372 +tp19180 +a(g827 +g960 +tp19181 +a(g436 +Vary +p19182 +tp19183 +a(g706 +g1025 +tp19184 +a(g827 +V\u000a +p19185 +tp19186 +a(g827 +V +p19187 +tp19188 +a(g749 +Vint +p19189 +tp19190 +a(g827 +g960 +tp19191 +a(g436 +Vargc +p19192 +tp19193 +a(g706 +g1027 +tp19194 +a(g827 +V\u000a +p19195 +tp19196 +a(g827 +V +p19197 +tp19198 +a(g436 +VVALUE +p19199 +tp19200 +a(g827 +g960 +tp19201 +a(g413 +g1020 +tp19202 +a(g436 +Vargv +p19203 +tp19204 +a(g706 +g1027 +tp19205 +a(g827 +V\u000a +p19206 +tp19207 +a(g827 +V +p19208 +tp19209 +a(g436 +VVALUE +p19210 +tp19211 +a(g827 +g960 +tp19212 +a(g436 +Vary +p19213 +tp19214 +a(g706 +g1027 +tp19215 +a(g827 +V\u000a +p19216 +tp19217 +a(g706 +g1293 +tp19218 +a(g827 +V\u000a +p19219 +tp19220 +a(g827 +V +p19221 +tp19222 +a(g745 +Vreturn +p19223 +tp19224 +a(g827 +g960 +tp19225 +a(g436 +Vrb_get_values_at +p19226 +tp19227 +a(g706 +g1016 +tp19228 +a(g436 +Vary +p19229 +tp19230 +a(g706 +g1372 +tp19231 +a(g827 +g960 +tp19232 +a(g436 +VRARRAY +p19233 +tp19234 +a(g706 +g1016 +tp19235 +a(g436 +Vary +p19236 +tp19237 +a(g706 +g1025 +tp19238 +a(g413 +g1692 +tp19239 +a(g413 +g1694 +tp19240 +a(g436 +Vlen +p19241 +tp19242 +a(g706 +g1372 +tp19243 +a(g827 +g960 +tp19244 +a(g436 +Vargc +p19245 +tp19246 +a(g706 +g1372 +tp19247 +a(g827 +g960 +tp19248 +a(g436 +Vargv +p19249 +tp19250 +a(g706 +g1372 +tp19251 +a(g827 +g960 +tp19252 +a(g436 +Vrb_ary_entry +p19253 +tp19254 +a(g706 +g1025 +tp19255 +a(g706 +g1027 +tp19256 +a(g827 +V\u000a +p19257 +tp19258 +a(g706 +g1721 +tp19259 +a(g827 +V\u000a +p19260 +tp19261 +a(g827 +V\u000a +p19262 +tp19263 +a(g929 +V/*\u000a * call-seq:\u000a * array.select {|item| block } -> an_array\u000a * \u000a * Invokes the block passing in successive elements from array,\u000a * returning an array containing those elements for which the block\u000a * returns a true value (equivalent to Enumerable#select).\u000a * \u000a * a = %w{ a b c d e f }\u000a * a.select {|v| v =~ /[aeiou]/} #=> ["a", "e"]\u000a */ +p19264 +tp19265 +a(g827 +V\u000a +p19266 +tp19267 +a(g827 +V\u000a +p19268 +tp19269 +a(g745 +Vstatic +p19270 +tp19271 +a(g827 +g960 +tp19272 +a(g436 +VVALUE +p19273 +tp19274 +a(g827 +V\u000a +p19275 +tp19276 +a(g436 +Vrb_ary_select +p19277 +tp19278 +a(g706 +g1016 +tp19279 +a(g436 +Vary +p19280 +tp19281 +a(g706 +g1025 +tp19282 +a(g827 +V\u000a +p19283 +tp19284 +a(g827 +V +p19285 +tp19286 +a(g436 +VVALUE +p19287 +tp19288 +a(g827 +g960 +tp19289 +a(g436 +Vary +p19290 +tp19291 +a(g706 +g1027 +tp19292 +a(g827 +V\u000a +p19293 +tp19294 +a(g706 +g1293 +tp19295 +a(g827 +V\u000a +p19296 +tp19297 +a(g827 +V +p19298 +tp19299 +a(g436 +VVALUE +p19300 +tp19301 +a(g827 +g960 +tp19302 +a(g436 +Vresult +p19303 +tp19304 +a(g706 +g1027 +tp19305 +a(g827 +V\u000a +p19306 +tp19307 +a(g827 +V +p19308 +tp19309 +a(g749 +Vlong +p19310 +tp19311 +a(g827 +g960 +tp19312 +a(g436 +g2597 +tp19313 +a(g706 +g1027 +tp19314 +a(g827 +V\u000a +p19315 +tp19316 +a(g827 +V\u000a +p19317 +tp19318 +a(g827 +V +p19319 +tp19320 +a(g436 +Vresult +p19321 +tp19322 +a(g827 +g960 +tp19323 +a(g413 +g1185 +tp19324 +a(g827 +g960 +tp19325 +a(g436 +Vrb_ary_new2 +p19326 +tp19327 +a(g706 +g1016 +tp19328 +a(g436 +VRARRAY +p19329 +tp19330 +a(g706 +g1016 +tp19331 +a(g436 +Vary +p19332 +tp19333 +a(g706 +g1025 +tp19334 +a(g413 +g1692 +tp19335 +a(g413 +g1694 +tp19336 +a(g436 +Vlen +p19337 +tp19338 +a(g706 +g1025 +tp19339 +a(g706 +g1027 +tp19340 +a(g827 +V\u000a +p19341 +tp19342 +a(g827 +V +p19343 +tp19344 +a(g745 +Vfor +p19345 +tp19346 +a(g827 +g960 +tp19347 +a(g706 +g1016 +tp19348 +a(g436 +g2597 +tp19349 +a(g827 +g960 +tp19350 +a(g413 +g1185 +tp19351 +a(g827 +g960 +tp19352 +a(g37 +g1188 +tp19353 +a(g706 +g1027 +tp19354 +a(g827 +g960 +tp19355 +a(g436 +g2597 +tp19356 +a(g827 +g960 +tp19357 +a(g413 +g4656 +tp19358 +a(g827 +g960 +tp19359 +a(g436 +VRARRAY +p19360 +tp19361 +a(g706 +g1016 +tp19362 +a(g436 +Vary +p19363 +tp19364 +a(g706 +g1025 +tp19365 +a(g413 +g1692 +tp19366 +a(g413 +g1694 +tp19367 +a(g436 +Vlen +p19368 +tp19369 +a(g706 +g1027 +tp19370 +a(g827 +g960 +tp19371 +a(g436 +g2597 +tp19372 +a(g413 +g2280 +tp19373 +a(g413 +g2280 +tp19374 +a(g706 +g1025 +tp19375 +a(g827 +g960 +tp19376 +a(g706 +g1293 +tp19377 +a(g827 +V\u000a +p19378 +tp19379 +a(g827 +g1297 +tp19380 +a(g745 +Vif +p19381 +tp19382 +a(g827 +g960 +tp19383 +a(g706 +g1016 +tp19384 +a(g436 +VRTEST +p19385 +tp19386 +a(g706 +g1016 +tp19387 +a(g436 +Vrb_yield +p19388 +tp19389 +a(g706 +g1016 +tp19390 +a(g436 +VRARRAY +p19391 +tp19392 +a(g706 +g1016 +tp19393 +a(g436 +Vary +p19394 +tp19395 +a(g706 +g1025 +tp19396 +a(g413 +g1692 +tp19397 +a(g413 +g1694 +tp19398 +a(g436 +Vptr +p19399 +tp19400 +a(g706 +g1217 +tp19401 +a(g436 +g2597 +tp19402 +a(g706 +g1221 +tp19403 +a(g706 +g1025 +tp19404 +a(g706 +g1025 +tp19405 +a(g706 +g1025 +tp19406 +a(g827 +g960 +tp19407 +a(g706 +g1293 +tp19408 +a(g827 +V\u000a +p19409 +tp19410 +a(g827 +V +p19411 +tp19412 +a(g436 +Vrb_ary_push +p19413 +tp19414 +a(g706 +g1016 +tp19415 +a(g436 +Vresult +p19416 +tp19417 +a(g706 +g1372 +tp19418 +a(g827 +g960 +tp19419 +a(g436 +Vrb_ary_elt +p19420 +tp19421 +a(g706 +g1016 +tp19422 +a(g436 +Vary +p19423 +tp19424 +a(g706 +g1372 +tp19425 +a(g827 +g960 +tp19426 +a(g436 +g2597 +tp19427 +a(g706 +g1025 +tp19428 +a(g706 +g1025 +tp19429 +a(g706 +g1027 +tp19430 +a(g827 +V\u000a +p19431 +tp19432 +a(g827 +g1297 +tp19433 +a(g706 +g1721 +tp19434 +a(g827 +V\u000a +p19435 +tp19436 +a(g827 +V +p19437 +tp19438 +a(g706 +g1721 +tp19439 +a(g827 +V\u000a +p19440 +tp19441 +a(g827 +V +p19442 +tp19443 +a(g745 +Vreturn +p19444 +tp19445 +a(g827 +g960 +tp19446 +a(g436 +Vresult +p19447 +tp19448 +a(g706 +g1027 +tp19449 +a(g827 +V\u000a +p19450 +tp19451 +a(g706 +g1721 +tp19452 +a(g827 +V\u000a +p19453 +tp19454 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.ceylon b/tests/examplefiles/output/example.ceylon new file mode 100644 index 0000000..70e8afd --- /dev/null +++ b/tests/examplefiles/output/example.ceylon @@ -0,0 +1,4056 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVimport +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g612 +Vceylon.language +p960 +tp961 +a(g830 +g958 +tp962 +a(g405 +V{ +p963 +tp964 +a(g830 +g958 +tp965 +a(g428 +VparseInteger +p966 +tp967 +a(g830 +g958 +tp968 +a(g405 +V} +p969 +tp970 +a(g830 +V\u000a +p971 +tp972 +a(g830 +V\u000a +p973 +tp974 +a(g488 +Vdoc +p975 +tp976 +a(g830 +g958 +tp977 +a(g81 +V"A top-level function,\u000a with multi-line documentation." +p978 +tp979 +a(g830 +V\u000a +p980 +tp981 +a(g885 +Vvoid +p982 +tp983 +a(g830 +g958 +tp984 +a(g566 +VtopLevel +p985 +tp986 +a(g405 +V( +p987 +tp988 +a(g428 +VString +p989 +tp990 +a(g405 +V? +p991 +tp992 +a(g830 +g958 +tp993 +a(g428 +Va +p994 +tp995 +a(g405 +V, +p996 +tp997 +a(g830 +g958 +tp998 +a(g428 +VInteger +p999 +tp1000 +a(g830 +g958 +tp1001 +a(g428 +Vb +p1002 +tp1003 +a(g405 +V= +p1004 +tp1005 +a(g27 +V5 +p1006 +tp1007 +a(g405 +g996 +tp1008 +a(g830 +g958 +tp1009 +a(g428 +VString +p1010 +tp1011 +a(g405 +V* +p1012 +tp1013 +a(g830 +g958 +tp1014 +a(g428 +Vseqs +p1015 +tp1016 +a(g405 +V) +p1017 +tp1018 +a(g830 +g958 +tp1019 +a(g405 +g963 +tp1020 +a(g830 +V\u000a +p1021 +tp1022 +a(g830 +V +p1023 +tp1024 +a(g885 +Vfunction +p1025 +tp1026 +a(g830 +g958 +tp1027 +a(g566 +Vnested +p1028 +tp1029 +a(g405 +g987 +tp1030 +a(g428 +VString +p1031 +tp1032 +a(g830 +g958 +tp1033 +a(g428 +Vs +p1034 +tp1035 +a(g405 +g1017 +tp1036 +a(g830 +g958 +tp1037 +a(g405 +g963 +tp1038 +a(g830 +V\u000a +p1039 +tp1040 +a(g830 +V +p1041 +tp1042 +a(g428 +Vprint +p1043 +tp1044 +a(g405 +g987 +tp1045 +a(g428 +g1034 +tp1046 +a(g405 +V[ +p1047 +tp1048 +a(g27 +V1 +p1049 +tp1050 +a(g405 +V. +p1051 +tp1052 +a(g405 +g1051 +tp1053 +a(g27 +V2 +p1054 +tp1055 +a(g405 +V] +p1056 +tp1057 +a(g405 +g1017 +tp1058 +a(g405 +V; +p1059 +tp1060 +a(g830 +V\u000a +p1061 +tp1062 +a(g830 +V +p1063 +tp1064 +a(g13 +Vreturn +p1065 +tp1066 +a(g830 +g958 +tp1067 +a(g900 +Vtrue +p1068 +tp1069 +a(g405 +g1059 +tp1070 +a(g830 +V\u000a +p1071 +tp1072 +a(g830 +V +p1073 +tp1074 +a(g405 +g969 +tp1075 +a(g830 +V\u000a +p1076 +tp1077 +a(g830 +V +p1078 +tp1079 +a(g13 +Vfor +p1080 +tp1081 +a(g830 +g958 +tp1082 +a(g405 +g987 +tp1083 +a(g428 +g1034 +tp1084 +a(g830 +g958 +tp1085 +a(g13 +Vin +p1086 +tp1087 +a(g830 +g958 +tp1088 +a(g428 +Vseqs +p1089 +tp1090 +a(g405 +g1051 +tp1091 +a(g658 +Vfilter +p1092 +tp1093 +a(g405 +g987 +tp1094 +a(g405 +g987 +tp1095 +a(g428 +VString +p1096 +tp1097 +a(g830 +g958 +tp1098 +a(g428 +Vx +p1099 +tp1100 +a(g405 +g1017 +tp1101 +a(g830 +g958 +tp1102 +a(g405 +g1004 +tp1103 +a(g405 +V> +p1104 +tp1105 +a(g830 +g958 +tp1106 +a(g428 +g1099 +tp1107 +a(g405 +g1051 +tp1108 +a(g658 +Vsize +p1109 +tp1110 +a(g830 +g958 +tp1111 +a(g405 +g1104 +tp1112 +a(g830 +g958 +tp1113 +a(g27 +g1054 +tp1114 +a(g405 +g1017 +tp1115 +a(g405 +g1017 +tp1116 +a(g830 +g958 +tp1117 +a(g405 +g963 +tp1118 +a(g830 +V\u000a +p1119 +tp1120 +a(g830 +V +p1121 +tp1122 +a(g428 +Vnested +p1123 +tp1124 +a(g405 +g987 +tp1125 +a(g428 +g1034 +tp1126 +a(g405 +g1017 +tp1127 +a(g405 +g1059 +tp1128 +a(g830 +V\u000a +p1129 +tp1130 +a(g830 +V +p1131 +tp1132 +a(g405 +g969 +tp1133 +a(g830 +V\u000a +p1134 +tp1135 +a(g830 +V +p1136 +tp1137 +a(g885 +Vvalue +p1138 +tp1139 +a(g830 +g958 +tp1140 +a(g428 +Vuppers +p1141 +tp1142 +a(g830 +g958 +tp1143 +a(g405 +g1004 +tp1144 +a(g830 +g958 +tp1145 +a(g428 +Vseqs +p1146 +tp1147 +a(g405 +g1051 +tp1148 +a(g658 +Vmap +p1149 +tp1150 +a(g405 +g987 +tp1151 +a(g405 +g987 +tp1152 +a(g428 +VString +p1153 +tp1154 +a(g830 +g958 +tp1155 +a(g428 +g1099 +tp1156 +a(g405 +g1017 +tp1157 +a(g830 +g958 +tp1158 +a(g405 +g963 +tp1159 +a(g830 +V\u000a +p1160 +tp1161 +a(g830 +V +p1162 +tp1163 +a(g13 +Vreturn +p1164 +tp1165 +a(g830 +g958 +tp1166 +a(g428 +g1099 +tp1167 +a(g405 +g1051 +tp1168 +a(g658 +Vuppercased +p1169 +tp1170 +a(g405 +g1059 +tp1171 +a(g830 +V\u000a +p1172 +tp1173 +a(g830 +V +p1174 +tp1175 +a(g405 +g969 +tp1176 +a(g405 +g1017 +tp1177 +a(g405 +g1059 +tp1178 +a(g830 +V\u000a +p1179 +tp1180 +a(g830 +V +p1181 +tp1182 +a(g428 +VString +p1183 +tp1184 +a(g405 +V| +p1185 +tp1186 +a(g428 +VNull +p1187 +tp1188 +a(g830 +g958 +tp1189 +a(g428 +Vz +p1190 +tp1191 +a(g830 +g958 +tp1192 +a(g405 +g1004 +tp1193 +a(g830 +g958 +tp1194 +a(g428 +g994 +tp1195 +a(g405 +g1059 +tp1196 +a(g830 +V\u000a +p1197 +tp1198 +a(g830 +V +p1199 +tp1200 +a(g405 +g963 +tp1201 +a(g428 +VInteger +p1202 +tp1203 +a(g405 +V+ +p1204 +tp1205 +a(g405 +g969 +tp1206 +a(g830 +g958 +tp1207 +a(g428 +Vints +p1208 +tp1209 +a(g830 +g958 +tp1210 +a(g405 +g1004 +tp1211 +a(g830 +g958 +tp1212 +a(g405 +g963 +tp1213 +a(g830 +g958 +tp1214 +a(g27 +g1049 +tp1215 +a(g405 +g996 +tp1216 +a(g830 +g958 +tp1217 +a(g27 +g1054 +tp1218 +a(g405 +g996 +tp1219 +a(g830 +g958 +tp1220 +a(g27 +V3 +p1221 +tp1222 +a(g405 +g996 +tp1223 +a(g830 +g958 +tp1224 +a(g27 +V4 +p1225 +tp1226 +a(g405 +g996 +tp1227 +a(g830 +g958 +tp1228 +a(g27 +g1006 +tp1229 +a(g830 +g958 +tp1230 +a(g405 +g969 +tp1231 +a(g405 +g1059 +tp1232 +a(g830 +V\u000a +p1233 +tp1234 +a(g830 +V +p1235 +tp1236 +a(g885 +Vvalue +p1237 +tp1238 +a(g830 +g958 +tp1239 +a(g428 +Vnumbers +p1240 +tp1241 +a(g830 +g958 +tp1242 +a(g405 +g1004 +tp1243 +a(g830 +g958 +tp1244 +a(g405 +g1047 +tp1245 +a(g830 +g958 +tp1246 +a(g27 +g1049 +tp1247 +a(g405 +g996 +tp1248 +a(g830 +g958 +tp1249 +a(g290 +V#ffff +p1250 +tp1251 +a(g405 +g996 +tp1252 +a(g830 +g958 +tp1253 +a(g290 +V#ffff_ffff +p1254 +tp1255 +a(g405 +g996 +tp1256 +a(g830 +g958 +tp1257 +a(g258 +V$10101010 +p1258 +tp1259 +a(g405 +g996 +tp1260 +a(g830 +g958 +tp1261 +a(g258 +V$1010_1010_1010_1010 +p1262 +tp1263 +a(g405 +g996 +tp1264 +a(g830 +V\u000a +p1265 +tp1266 +a(g830 +V +p1267 +tp1268 +a(g27 +V123_456_789 +p1269 +tp1270 +a(g830 +g958 +tp1271 +a(g405 +g1056 +tp1272 +a(g405 +g1059 +tp1273 +a(g830 +V\u000a +p1274 +tp1275 +a(g830 +V +p1276 +tp1277 +a(g885 +Vvalue +p1278 +tp1279 +a(g830 +g958 +tp1280 +a(g428 +Vchars +p1281 +tp1282 +a(g830 +g958 +tp1283 +a(g405 +g1004 +tp1284 +a(g830 +g958 +tp1285 +a(g405 +g1047 +tp1286 +a(g85 +V'a' +p1287 +tp1288 +a(g405 +g996 +tp1289 +a(g830 +g958 +tp1290 +a(g85 +V'\u005c{#ffff}' +p1291 +tp1292 +a(g830 +g958 +tp1293 +a(g405 +g1056 +tp1294 +a(g405 +g1059 +tp1295 +a(g830 +V\u000a +p1296 +tp1297 +a(g405 +g969 +tp1298 +a(g830 +V\u000a +p1299 +tp1300 +a(g830 +V\u000a +p1301 +tp1302 +a(g488 +Vshared +p1303 +tp1304 +a(g830 +g958 +tp1305 +a(g939 +Vclass +p1306 +tp1307 +a(g830 +g958 +tp1308 +a(g620 +VExample_1 +p1309 +tp1310 +a(g405 +V< +p1311 +tp1312 +a(g428 +VElement +p1313 +tp1314 +a(g405 +g1104 +tp1315 +a(g405 +g987 +tp1316 +a(g428 +Vname +p1317 +tp1318 +a(g405 +g996 +tp1319 +a(g830 +g958 +tp1320 +a(g428 +Velement +p1321 +tp1322 +a(g405 +g1017 +tp1323 +a(g830 +g958 +tp1324 +a(g939 +Vsatisfies +p1325 +tp1326 +a(g830 +g958 +tp1327 +a(g428 +VComparable +p1328 +tp1329 +a(g405 +g1311 +tp1330 +a(g428 +VExample_1 +p1331 +tp1332 +a(g405 +g1311 +tp1333 +a(g428 +VElement +p1334 +tp1335 +a(g405 +g1104 +tp1336 +a(g405 +g1104 +tp1337 +a(g830 +V\u000a +p1338 +tp1339 +a(g830 +V +p1340 +tp1341 +a(g939 +Vgiven +p1342 +tp1343 +a(g830 +g958 +tp1344 +a(g428 +VElement +p1345 +tp1346 +a(g830 +g958 +tp1347 +a(g939 +Vsatisfies +p1348 +tp1349 +a(g830 +g958 +tp1350 +a(g428 +VComparable +p1351 +tp1352 +a(g405 +g1311 +tp1353 +a(g428 +VElement +p1354 +tp1355 +a(g405 +g1104 +tp1356 +a(g830 +g958 +tp1357 +a(g405 +g963 +tp1358 +a(g830 +V\u000a +p1359 +tp1360 +a(g830 +V +p1361 +tp1362 +a(g488 +Vshared +p1363 +tp1364 +a(g830 +g958 +tp1365 +a(g428 +VString +p1366 +tp1367 +a(g830 +g958 +tp1368 +a(g428 +Vname +p1369 +tp1370 +a(g405 +g1059 +tp1371 +a(g830 +V\u000a +p1372 +tp1373 +a(g830 +V +p1374 +tp1375 +a(g488 +Vshared +p1376 +tp1377 +a(g830 +g958 +tp1378 +a(g428 +VElement +p1379 +tp1380 +a(g830 +g958 +tp1381 +a(g428 +Velement +p1382 +tp1383 +a(g405 +g1059 +tp1384 +a(g830 +V\u000a +p1385 +tp1386 +a(g830 +V +p1387 +tp1388 +a(g488 +Vshared +p1389 +tp1390 +a(g830 +g958 +tp1391 +a(g405 +g1047 +tp1392 +a(g428 +VInteger +p1393 +tp1394 +a(g405 +g996 +tp1395 +a(g428 +VString +p1396 +tp1397 +a(g405 +g1056 +tp1398 +a(g830 +g958 +tp1399 +a(g428 +Vtuple +p1400 +tp1401 +a(g830 +g958 +tp1402 +a(g405 +g1004 +tp1403 +a(g830 +g958 +tp1404 +a(g405 +g1047 +tp1405 +a(g27 +g1049 +tp1406 +a(g405 +g996 +tp1407 +a(g830 +g958 +tp1408 +a(g81 +V"2" +p1409 +tp1410 +a(g405 +g1056 +tp1411 +a(g405 +g1059 +tp1412 +a(g830 +V\u000a +p1413 +tp1414 +a(g830 +V +p1415 +tp1416 +a(g488 +Vshared +p1417 +tp1418 +a(g830 +g958 +tp1419 +a(g488 +Vlate +p1420 +tp1421 +a(g830 +g958 +tp1422 +a(g428 +VString +p1423 +tp1424 +a(g830 +g958 +tp1425 +a(g428 +VlastName +p1426 +tp1427 +a(g405 +g1059 +tp1428 +a(g830 +V\u000a +p1429 +tp1430 +a(g830 +V +p1431 +tp1432 +a(g488 +Vvariable +p1433 +tp1434 +a(g830 +g958 +tp1435 +a(g428 +VInteger +p1436 +tp1437 +a(g830 +g958 +tp1438 +a(g428 +Vcnt +p1439 +tp1440 +a(g830 +g958 +tp1441 +a(g405 +g1004 +tp1442 +a(g830 +g958 +tp1443 +a(g27 +V0 +p1444 +tp1445 +a(g405 +g1059 +tp1446 +a(g830 +V\u000a +p1447 +tp1448 +a(g830 +V\u000a +p1449 +tp1450 +a(g830 +V +p1451 +tp1452 +a(g488 +Vshared +p1453 +tp1454 +a(g830 +g958 +tp1455 +a(g428 +VInteger +p1456 +tp1457 +a(g830 +g958 +tp1458 +a(g428 +Vcount +p1459 +tp1460 +a(g830 +g958 +tp1461 +a(g405 +g1004 +tp1462 +a(g405 +g1104 +tp1463 +a(g830 +g958 +tp1464 +a(g428 +Vcnt +p1465 +tp1466 +a(g405 +g1059 +tp1467 +a(g830 +V\u000a +p1468 +tp1469 +a(g830 +V +p1470 +tp1471 +a(g939 +Vassign +p1472 +tp1473 +a(g830 +g958 +tp1474 +a(g428 +Vcount +p1475 +tp1476 +a(g830 +g958 +tp1477 +a(g405 +g963 +tp1478 +a(g830 +V\u000a +p1479 +tp1480 +a(g830 +V +p1481 +tp1482 +a(g13 +Vassert +p1483 +tp1484 +a(g405 +g987 +tp1485 +a(g428 +Vcount +p1486 +tp1487 +a(g830 +g958 +tp1488 +a(g405 +g1104 +tp1489 +a(g405 +g1004 +tp1490 +a(g830 +g958 +tp1491 +a(g428 +Vcnt +p1492 +tp1493 +a(g405 +g1017 +tp1494 +a(g405 +g1059 +tp1495 +a(g830 +V\u000a +p1496 +tp1497 +a(g830 +V +p1498 +tp1499 +a(g428 +Vcnt +p1500 +tp1501 +a(g830 +g958 +tp1502 +a(g405 +g1004 +tp1503 +a(g830 +g958 +tp1504 +a(g428 +Vcount +p1505 +tp1506 +a(g405 +g1059 +tp1507 +a(g830 +V\u000a +p1508 +tp1509 +a(g830 +V +p1510 +tp1511 +a(g405 +g969 +tp1512 +a(g830 +V\u000a +p1513 +tp1514 +a(g830 +V\u000a +p1515 +tp1516 +a(g830 +V +p1517 +tp1518 +a(g488 +Vshared +p1519 +tp1520 +a(g830 +g958 +tp1521 +a(g488 +Vactual +p1522 +tp1523 +a(g830 +g958 +tp1524 +a(g428 +VComparison +p1525 +tp1526 +a(g830 +g958 +tp1527 +a(g566 +Vcompare +p1528 +tp1529 +a(g405 +g987 +tp1530 +a(g428 +VExample_1 +p1531 +tp1532 +a(g405 +g1311 +tp1533 +a(g428 +VElement +p1534 +tp1535 +a(g405 +g1104 +tp1536 +a(g830 +g958 +tp1537 +a(g428 +Vother +p1538 +tp1539 +a(g405 +g1017 +tp1540 +a(g830 +g958 +tp1541 +a(g405 +g963 +tp1542 +a(g830 +V\u000a +p1543 +tp1544 +a(g830 +V +p1545 +tp1546 +a(g13 +Vreturn +p1547 +tp1548 +a(g830 +g958 +tp1549 +a(g428 +Velement +p1550 +tp1551 +a(g830 +g958 +tp1552 +a(g405 +g1311 +tp1553 +a(g405 +g1004 +tp1554 +a(g405 +g1104 +tp1555 +a(g830 +g958 +tp1556 +a(g428 +Vother +p1557 +tp1558 +a(g405 +g1051 +tp1559 +a(g658 +Velement +p1560 +tp1561 +a(g405 +g1059 +tp1562 +a(g830 +V\u000a +p1563 +tp1564 +a(g830 +V +p1565 +tp1566 +a(g405 +g969 +tp1567 +a(g830 +V\u000a +p1568 +tp1569 +a(g830 +V\u000a +p1570 +tp1571 +a(g830 +V +p1572 +tp1573 +a(g488 +Vshared +p1574 +tp1575 +a(g830 +g958 +tp1576 +a(g488 +Vactual +p1577 +tp1578 +a(g830 +g958 +tp1579 +a(g428 +VString +p1580 +tp1581 +a(g830 +g958 +tp1582 +a(g428 +Vstring +p1583 +tp1584 +a(g830 +g958 +tp1585 +a(g405 +g963 +tp1586 +a(g830 +V\u000a +p1587 +tp1588 +a(g830 +V +p1589 +tp1590 +a(g13 +Vreturn +p1591 +tp1592 +a(g830 +g958 +tp1593 +a(g81 +V"Example with ``element.string``" +p1594 +tp1595 +a(g405 +g1059 +tp1596 +a(g830 +V\u000a +p1597 +tp1598 +a(g830 +V +p1599 +tp1600 +a(g405 +g969 +tp1601 +a(g830 +V\u000a +p1602 +tp1603 +a(g405 +g969 +tp1604 +a(g830 +V\u000a +p1605 +tp1606 +a(g830 +V\u000a +p1607 +tp1608 +a(g428 +VExample_1 +p1609 +tp1610 +a(g405 +g1311 +tp1611 +a(g428 +VInteger +p1612 +tp1613 +a(g405 +g1104 +tp1614 +a(g830 +g958 +tp1615 +a(g428 +Vinstance +p1616 +tp1617 +a(g830 +g958 +tp1618 +a(g405 +g1004 +tp1619 +a(g830 +g958 +tp1620 +a(g428 +VExample_1 +p1621 +tp1622 +a(g830 +g958 +tp1623 +a(g405 +g963 +tp1624 +a(g830 +V\u000a +p1625 +tp1626 +a(g830 +V +p1627 +tp1628 +a(g428 +Velement +p1629 +tp1630 +a(g830 +g958 +tp1631 +a(g405 +g1004 +tp1632 +a(g830 +g958 +tp1633 +a(g27 +g1006 +tp1634 +a(g405 +g1059 +tp1635 +a(g830 +V\u000a +p1636 +tp1637 +a(g830 +V +p1638 +tp1639 +a(g428 +Vname +p1640 +tp1641 +a(g830 +g958 +tp1642 +a(g405 +g1004 +tp1643 +a(g830 +g958 +tp1644 +a(g81 +V"Named args call \u005c{#0060}" +p1645 +tp1646 +a(g405 +g1059 +tp1647 +a(g830 +V\u000a +p1648 +tp1649 +a(g405 +g969 +tp1650 +a(g405 +g1059 +tp1651 +a(g830 +V\u000a +p1652 +tp1653 +a(g830 +V\u000a +p1654 +tp1655 +a(g939 +Vobject +p1656 +tp1657 +a(g830 +g958 +tp1658 +a(g620 +Vexample1 +p1659 +tp1660 +a(g830 +g958 +tp1661 +a(g939 +Vextends +p1662 +tp1663 +a(g830 +g958 +tp1664 +a(g428 +VExample_1 +p1665 +tp1666 +a(g405 +g1311 +tp1667 +a(g428 +VInteger +p1668 +tp1669 +a(g405 +g1104 +tp1670 +a(g405 +g987 +tp1671 +a(g81 +V"object" +p1672 +tp1673 +a(g405 +g996 +tp1674 +a(g830 +g958 +tp1675 +a(g27 +g1006 +tp1676 +a(g405 +g1017 +tp1677 +a(g830 +g958 +tp1678 +a(g405 +g963 +tp1679 +a(g830 +V\u000a +p1680 +tp1681 +a(g405 +g969 +tp1682 +a(g830 +V\u000a +p1683 +tp1684 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.chai b/tests/examplefiles/output/example.chai new file mode 100644 index 0000000..c91660e --- /dev/null +++ b/tests/examplefiles/output/example.chai @@ -0,0 +1,2665 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Declaration' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsS'Reserved' +p928 +g1 +(g2 +g3 +(g4 +g928 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbsg34 +g35 +((lp936 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag894 +ag8 +ag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag931 +ag916 +atp951 +Rp952 +sg4 +g946 +sg5 +g8 +sg416 +g939 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVvar +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g643 +Vf +p960 +tp961 +a(g831 +g958 +tp962 +a(g405 +V= +p963 +tp964 +a(g831 +g958 +tp965 +a(g931 +Vfun +p966 +tp967 +a(g698 +V( +p968 +tp969 +a(g643 +Vx +p970 +tp971 +a(g698 +V) +p972 +tp973 +a(g831 +g958 +tp974 +a(g698 +V{ +p975 +tp976 +a(g831 +g958 +tp977 +a(g643 +g970 +tp978 +a(g831 +g958 +tp979 +a(g405 +V+ +p980 +tp981 +a(g831 +g958 +tp982 +a(g27 +V2 +p983 +tp984 +a(g698 +V; +p985 +tp986 +a(g831 +g958 +tp987 +a(g698 +V} +p988 +tp989 +a(g831 +V\u000a +p990 +tp991 +a(g750 +V// comment\u000a +p992 +tp993 +a(g643 +Vputs +p994 +tp995 +a(g698 +g968 +tp996 +a(g643 +VsomeFunc +p997 +tp998 +a(g698 +g968 +tp999 +a(g27 +g983 +tp1000 +a(g831 +g958 +tp1001 +a(g405 +g980 +tp1002 +a(g831 +g958 +tp1003 +a(g27 +g983 +tp1004 +a(g831 +g958 +tp1005 +a(g405 +V- +p1006 +tp1007 +a(g831 +g958 +tp1008 +a(g27 +V1 +p1009 +tp1010 +a(g831 +g958 +tp1011 +a(g405 +V* +p1012 +tp1013 +a(g831 +g958 +tp1014 +a(g27 +V5 +p1015 +tp1016 +a(g831 +g958 +tp1017 +a(g405 +V/ +p1018 +tp1019 +a(g831 +g958 +tp1020 +a(g27 +V4 +p1021 +tp1022 +a(g698 +g972 +tp1023 +a(g698 +g972 +tp1024 +a(g698 +g985 +tp1025 +a(g831 +V\u000a +p1026 +tp1027 +a(g8 +Vvar +p1028 +tp1029 +a(g831 +g958 +tp1030 +a(g643 +g970 +tp1031 +a(g831 +g958 +tp1032 +a(g405 +g963 +tp1033 +a(g831 +g958 +tp1034 +a(g149 +V" +p1035 +tp1036 +a(g149 +Vstr +p1037 +tp1038 +a(g149 +g1035 +tp1039 +a(g698 +g985 +tp1040 +a(g831 +V\u000a +p1041 +tp1042 +a(g931 +Vdef +p1043 +tp1044 +a(g831 +g958 +tp1045 +a(g643 +Vdosomething +p1046 +tp1047 +a(g698 +g968 +tp1048 +a(g643 +Vlhs +p1049 +tp1050 +a(g698 +V, +p1051 +tp1052 +a(g831 +g958 +tp1053 +a(g643 +Vrhs +p1054 +tp1055 +a(g698 +g972 +tp1056 +a(g831 +g958 +tp1057 +a(g698 +g975 +tp1058 +a(g831 +g958 +tp1059 +a(g643 +Vprint +p1060 +tp1061 +a(g698 +g968 +tp1062 +a(g149 +g1035 +tp1063 +a(g149 +Vlhs: +p1064 +tp1065 +a(g117 +V${lhs} +p1066 +tp1067 +a(g149 +V, rhs: +p1068 +tp1069 +a(g117 +V${rhs} +p1070 +tp1071 +a(g149 +g1035 +tp1072 +a(g698 +g972 +tp1073 +a(g698 +g985 +tp1074 +a(g831 +g958 +tp1075 +a(g698 +g988 +tp1076 +a(g831 +V\u000a +p1077 +tp1078 +a(g643 +Vcallfunc +p1079 +tp1080 +a(g698 +g968 +tp1081 +a(g674 +V`+` +p1082 +tp1083 +a(g698 +g1051 +tp1084 +a(g831 +g958 +tp1085 +a(g27 +g1009 +tp1086 +a(g698 +g1051 +tp1087 +a(g831 +g958 +tp1088 +a(g27 +g1021 +tp1089 +a(g698 +g972 +tp1090 +a(g698 +g985 +tp1091 +a(g831 +V\u000a +p1092 +tp1093 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.clay b/tests/examplefiles/output/example.clay new file mode 100644 index 0000000..bfc9a39 --- /dev/null +++ b/tests/examplefiles/output/example.clay @@ -0,0 +1,3488 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g7 +V\u000a +p958 +tp959 +a(g761 +V/// @section StringLiteralRef +p960 +tp961 +a(g7 +V\u000a +p962 +tp963 +a(g7 +V\u000a +p964 +tp965 +a(g842 +Vrecord +p966 +tp967 +a(g7 +V +p968 +tp969 +a(g439 +VStringLiteralRef +p970 +tp971 +a(g7 +g968 +tp972 +a(g709 +V( +p973 +tp974 +a(g7 +V\u000a +p975 +tp976 +a(g7 +g968 +tp977 +a(g7 +g968 +tp978 +a(g7 +g968 +tp979 +a(g7 +g968 +tp980 +a(g439 +Vsizep +p981 +tp982 +a(g7 +g968 +tp983 +a(g416 +V: +p984 +tp985 +a(g7 +g968 +tp986 +a(g439 +VPointer +p987 +tp988 +a(g709 +V[ +p989 +tp990 +a(g439 +VSizeT +p991 +tp992 +a(g709 +V] +p993 +tp994 +a(g709 +V, +p995 +tp996 +a(g7 +V\u000a +p997 +tp998 +a(g709 +V) +p999 +tp1000 +a(g709 +V; +p1001 +tp1002 +a(g7 +V\u000a +p1003 +tp1004 +a(g7 +V\u000a +p1005 +tp1006 +a(g7 +g956 +tp1007 +a(g7 +V\u000a +p1008 +tp1009 +a(g761 +V/// @section predicates +p1010 +tp1011 +a(g7 +V\u000a +p1012 +tp1013 +a(g7 +V\u000a +p1014 +tp1015 +a(g842 +Voverload +p1016 +tp1017 +a(g7 +g968 +tp1018 +a(g439 +VContiguousSequence? +p1019 +tp1020 +a(g709 +g973 +tp1021 +a(g709 +V# +p1022 +tp1023 +a(g439 +VStringLiteralRef +p1024 +tp1025 +a(g709 +g999 +tp1026 +a(g7 +g968 +tp1027 +a(g416 +g984 +tp1028 +a(g7 +g968 +tp1029 +a(g439 +VBool +p1030 +tp1031 +a(g7 +g968 +tp1032 +a(g416 +V= +p1033 +tp1034 +a(g7 +g968 +tp1035 +a(g842 +Vtrue +p1036 +tp1037 +a(g709 +g1001 +tp1038 +a(g7 +V\u000a +p1039 +tp1040 +a(g709 +g989 +tp1041 +a(g439 +Vs +p1042 +tp1043 +a(g7 +g968 +tp1044 +a(g842 +Vwhen +p1045 +tp1046 +a(g7 +g968 +tp1047 +a(g439 +VStringLiteral? +p1048 +tp1049 +a(g709 +g973 +tp1050 +a(g439 +g1042 +tp1051 +a(g709 +g999 +tp1052 +a(g709 +g993 +tp1053 +a(g7 +V\u000a +p1054 +tp1055 +a(g842 +Voverload +p1056 +tp1057 +a(g7 +g968 +tp1058 +a(g439 +VContiguousSequence? +p1059 +tp1060 +a(g709 +g973 +tp1061 +a(g709 +g1022 +tp1062 +a(g439 +VStatic +p1063 +tp1064 +a(g709 +g989 +tp1065 +a(g439 +g1042 +tp1066 +a(g709 +g993 +tp1067 +a(g709 +g999 +tp1068 +a(g7 +g968 +tp1069 +a(g416 +g984 +tp1070 +a(g7 +g968 +tp1071 +a(g439 +VBool +p1072 +tp1073 +a(g7 +g968 +tp1074 +a(g416 +g1033 +tp1075 +a(g7 +g968 +tp1076 +a(g842 +Vtrue +p1077 +tp1078 +a(g709 +g1001 +tp1079 +a(g7 +V\u000a +p1080 +tp1081 +a(g7 +V\u000a +p1082 +tp1083 +a(g7 +V\u000a +p1084 +tp1085 +a(g7 +g956 +tp1086 +a(g7 +V\u000a +p1087 +tp1088 +a(g761 +V/// @section size, begin, end, index +p1089 +tp1090 +a(g7 +V\u000a +p1091 +tp1092 +a(g7 +V\u000a +p1093 +tp1094 +a(g842 +Vforceinline +p1095 +tp1096 +a(g7 +g968 +tp1097 +a(g842 +Voverload +p1098 +tp1099 +a(g7 +g968 +tp1100 +a(g439 +Vsize +p1101 +tp1102 +a(g709 +g973 +tp1103 +a(g439 +Va +p1104 +tp1105 +a(g416 +g984 +tp1106 +a(g439 +VStringLiteralRef +p1107 +tp1108 +a(g709 +g999 +tp1109 +a(g7 +g968 +tp1110 +a(g416 +g1033 +tp1111 +a(g7 +g968 +tp1112 +a(g439 +g1104 +tp1113 +a(g709 +V. +p1114 +tp1115 +a(g439 +Vsizep +p1116 +tp1117 +a(g416 +V^ +p1118 +tp1119 +a(g709 +g1001 +tp1120 +a(g7 +V\u000a +p1121 +tp1122 +a(g7 +V\u000a +p1123 +tp1124 +a(g842 +Vforceinline +p1125 +tp1126 +a(g7 +g968 +tp1127 +a(g842 +Voverload +p1128 +tp1129 +a(g7 +g968 +tp1130 +a(g439 +Vbegin +p1131 +tp1132 +a(g709 +g973 +tp1133 +a(g439 +g1104 +tp1134 +a(g416 +g984 +tp1135 +a(g439 +VStringLiteralRef +p1136 +tp1137 +a(g709 +g999 +tp1138 +a(g7 +g968 +tp1139 +a(g416 +g984 +tp1140 +a(g7 +g968 +tp1141 +a(g439 +VPointer +p1142 +tp1143 +a(g709 +g989 +tp1144 +a(g439 +VChar +p1145 +tp1146 +a(g709 +g993 +tp1147 +a(g7 +g968 +tp1148 +a(g416 +g1033 +tp1149 +a(g7 +g968 +tp1150 +a(g439 +VPointer +p1151 +tp1152 +a(g709 +g989 +tp1153 +a(g439 +VChar +p1154 +tp1155 +a(g709 +g993 +tp1156 +a(g709 +g973 +tp1157 +a(g439 +g1104 +tp1158 +a(g709 +g1114 +tp1159 +a(g439 +Vsizep +p1160 +tp1161 +a(g7 +g968 +tp1162 +a(g416 +V+ +p1163 +tp1164 +a(g7 +g968 +tp1165 +a(g40 +V1 +p1166 +tp1167 +a(g709 +g999 +tp1168 +a(g709 +g1001 +tp1169 +a(g7 +V\u000a +p1170 +tp1171 +a(g842 +Vforceinline +p1172 +tp1173 +a(g7 +g968 +tp1174 +a(g842 +Voverload +p1175 +tp1176 +a(g7 +g968 +tp1177 +a(g439 +Vend +p1178 +tp1179 +a(g709 +g973 +tp1180 +a(g439 +g1104 +tp1181 +a(g416 +g984 +tp1182 +a(g439 +VStringLiteralRef +p1183 +tp1184 +a(g709 +g999 +tp1185 +a(g7 +g968 +tp1186 +a(g416 +g1033 +tp1187 +a(g7 +g968 +tp1188 +a(g439 +Vbegin +p1189 +tp1190 +a(g709 +g973 +tp1191 +a(g439 +g1104 +tp1192 +a(g709 +g999 +tp1193 +a(g7 +g968 +tp1194 +a(g416 +g1163 +tp1195 +a(g7 +g968 +tp1196 +a(g439 +Vsize +p1197 +tp1198 +a(g709 +g973 +tp1199 +a(g439 +g1104 +tp1200 +a(g709 +g999 +tp1201 +a(g709 +g1001 +tp1202 +a(g7 +V\u000a +p1203 +tp1204 +a(g7 +V\u000a +p1205 +tp1206 +a(g709 +g989 +tp1207 +a(g439 +VI +p1208 +tp1209 +a(g7 +g968 +tp1210 +a(g842 +Vwhen +p1211 +tp1212 +a(g7 +g968 +tp1213 +a(g439 +VInteger? +p1214 +tp1215 +a(g709 +g973 +tp1216 +a(g439 +g1208 +tp1217 +a(g709 +g999 +tp1218 +a(g709 +g993 +tp1219 +a(g7 +V\u000a +p1220 +tp1221 +a(g842 +Vforceinline +p1222 +tp1223 +a(g7 +g968 +tp1224 +a(g842 +Voverload +p1225 +tp1226 +a(g7 +g968 +tp1227 +a(g439 +Vindex +p1228 +tp1229 +a(g709 +g973 +tp1230 +a(g439 +g1104 +tp1231 +a(g416 +g984 +tp1232 +a(g439 +VStringLiteralRef +p1233 +tp1234 +a(g709 +g995 +tp1235 +a(g7 +g968 +tp1236 +a(g439 +Vi +p1237 +tp1238 +a(g416 +g984 +tp1239 +a(g439 +g1208 +tp1240 +a(g709 +g999 +tp1241 +a(g7 +g968 +tp1242 +a(g416 +g984 +tp1243 +a(g7 +g968 +tp1244 +a(g439 +VByRef +p1245 +tp1246 +a(g709 +g989 +tp1247 +a(g439 +VChar +p1248 +tp1249 +a(g709 +g993 +tp1250 +a(g7 +g968 +tp1251 +a(g709 +V{ +p1252 +tp1253 +a(g7 +V\u000a +p1254 +tp1255 +a(g7 +g968 +tp1256 +a(g7 +g968 +tp1257 +a(g7 +g968 +tp1258 +a(g7 +g968 +tp1259 +a(g439 +Vassert +p1260 +tp1261 +a(g709 +g989 +tp1262 +a(g92 +V" +p1263 +tp1264 +a(g92 +Vb +p1265 +tp1266 +a(g92 +Vo +p1267 +tp1268 +a(g92 +Vu +p1269 +tp1270 +a(g92 +Vn +p1271 +tp1272 +a(g92 +Vd +p1273 +tp1274 +a(g92 +g1042 +tp1275 +a(g92 +VC +p1276 +tp1277 +a(g92 +Vh +p1278 +tp1279 +a(g92 +Ve +p1280 +tp1281 +a(g92 +Vc +p1282 +tp1283 +a(g92 +Vk +p1284 +tp1285 +a(g92 +g1042 +tp1286 +a(g92 +g1263 +tp1287 +a(g709 +g993 +tp1288 +a(g709 +g973 +tp1289 +a(g439 +g1237 +tp1290 +a(g7 +g968 +tp1291 +a(g416 +V> +p1292 +tp1293 +a(g416 +g1033 +tp1294 +a(g7 +g968 +tp1295 +a(g40 +V0 +p1296 +tp1297 +a(g7 +g968 +tp1298 +a(g842 +Vand +p1299 +tp1300 +a(g7 +g968 +tp1301 +a(g439 +g1237 +tp1302 +a(g7 +g968 +tp1303 +a(g416 +V< +p1304 +tp1305 +a(g7 +g968 +tp1306 +a(g439 +Vsize +p1307 +tp1308 +a(g709 +g973 +tp1309 +a(g439 +g1104 +tp1310 +a(g709 +g999 +tp1311 +a(g709 +g995 +tp1312 +a(g7 +g968 +tp1313 +a(g92 +g1263 +tp1314 +a(g92 +VS +p1315 +tp1316 +a(g92 +Vt +p1317 +tp1318 +a(g92 +Vr +p1319 +tp1320 +a(g92 +g1237 +tp1321 +a(g92 +g1271 +tp1322 +a(g92 +Vg +p1323 +tp1324 +a(g92 +VL +p1325 +tp1326 +a(g92 +g1237 +tp1327 +a(g92 +g1317 +tp1328 +a(g92 +g1280 +tp1329 +a(g92 +g1319 +tp1330 +a(g92 +g1104 +tp1331 +a(g92 +Vl +p1332 +tp1333 +a(g92 +VR +p1334 +tp1335 +a(g92 +g1280 +tp1336 +a(g92 +Vf +p1337 +tp1338 +a(g92 +g968 +tp1339 +a(g92 +g1237 +tp1340 +a(g92 +g1271 +tp1341 +a(g92 +g1273 +tp1342 +a(g92 +g1280 +tp1343 +a(g92 +Vx +p1344 +tp1345 +a(g92 +g968 +tp1346 +a(g92 +g1267 +tp1347 +a(g92 +g1269 +tp1348 +a(g92 +g1317 +tp1349 +a(g92 +g968 +tp1350 +a(g92 +g1267 +tp1351 +a(g92 +g1337 +tp1352 +a(g92 +g968 +tp1353 +a(g92 +g1265 +tp1354 +a(g92 +g1267 +tp1355 +a(g92 +g1269 +tp1356 +a(g92 +g1271 +tp1357 +a(g92 +g1273 +tp1358 +a(g92 +g1042 +tp1359 +a(g92 +g1263 +tp1360 +a(g709 +g999 +tp1361 +a(g709 +g1001 +tp1362 +a(g7 +V\u000a +p1363 +tp1364 +a(g7 +g968 +tp1365 +a(g7 +g968 +tp1366 +a(g7 +g968 +tp1367 +a(g7 +g968 +tp1368 +a(g842 +Vreturn +p1369 +tp1370 +a(g7 +g968 +tp1371 +a(g842 +Vref +p1372 +tp1373 +a(g7 +g968 +tp1374 +a(g709 +g973 +tp1375 +a(g439 +Vbegin +p1376 +tp1377 +a(g709 +g973 +tp1378 +a(g439 +g1104 +tp1379 +a(g709 +g999 +tp1380 +a(g7 +g968 +tp1381 +a(g416 +g1163 +tp1382 +a(g7 +g968 +tp1383 +a(g439 +g1237 +tp1384 +a(g709 +g999 +tp1385 +a(g416 +g1118 +tp1386 +a(g709 +g1001 +tp1387 +a(g7 +V\u000a +p1388 +tp1389 +a(g709 +V} +p1390 +tp1391 +a(g7 +V\u000a +p1392 +tp1393 +a(g7 +V\u000a +p1394 +tp1395 +a(g439 +Vfoo +p1396 +tp1397 +a(g709 +g973 +tp1398 +a(g709 +g999 +tp1399 +a(g7 +g968 +tp1400 +a(g416 +g1033 +tp1401 +a(g7 +g968 +tp1402 +a(g92 +V""" +p1403 +tp1404 +a(g92 +V\u000a +p1405 +tp1406 +a(g92 +g1332 +tp1407 +a(g92 +g1267 +tp1408 +a(g92 +g1271 +tp1409 +a(g92 +g1323 +tp1410 +a(g216 +V\u005ct +p1411 +tp1412 +a(g92 +g1332 +tp1413 +a(g92 +g1267 +tp1414 +a(g92 +g1271 +tp1415 +a(g92 +g1323 +tp1416 +a(g92 +V\u000a +p1417 +tp1418 +a(g92 +g1042 +tp1419 +a(g92 +g1317 +tp1420 +a(g92 +g1267 +tp1421 +a(g92 +g1319 +tp1422 +a(g92 +Vy +p1423 +tp1424 +a(g92 +V\u000a +p1425 +tp1426 +a(g92 +V""" +p1427 +tp1428 +a(g7 +V\u000a +p1429 +tp1430 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.cls b/tests/examplefiles/output/example.cls new file mode 100644 index 0000000..daf6282 --- /dev/null +++ b/tests/examplefiles/output/example.cls @@ -0,0 +1,2727 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Builtin' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g247 +g15 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g254 +atp260 +Rp261 +sbag148 +ag8 +ag187 +ag124 +ag100 +atp262 +Rp263 +sg10 +g1 +(g2 +g3 +(ttp264 +Rp265 +(dp266 +S'Number' +p267 +g1 +(g2 +g3 +(S'Literal' +p268 +g267 +tp269 +tp270 +Rp271 +(dp272 +S'Integer' +p273 +g1 +(g2 +g3 +(g268 +g267 +g273 +tp274 +tp275 +Rp276 +(dp277 +g10 +g271 +sS'Long' +p278 +g1 +(g2 +g3 +(g268 +g267 +g273 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g276 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g281 +atp287 +Rp288 +sbsg10 +g1 +(g2 +g3 +(g268 +tp289 +tp290 +Rp291 +(dp292 +S'Scalar' +p293 +g1 +(g2 +g3 +(g268 +g293 +tp294 +tp295 +Rp296 +(dp297 +g10 +g291 +sg25 +g26 +((lp298 +g1 +(g2 +g3 +(g268 +g293 +S'Plain' +p299 +tp300 +tp301 +Rp302 +(dp303 +g10 +g296 +sg25 +g26 +((lp304 +tp305 +Rp306 +sbatp307 +Rp308 +sg299 +g302 +sbsg267 +g271 +sg10 +g265 +sg231 +g1 +(g2 +g3 +(g268 +g231 +tp309 +tp310 +Rp311 +(dp312 +g10 +g291 +sg25 +g26 +((lp313 +tp314 +Rp315 +sbsS'Char' +p316 +g1 +(g2 +g3 +(g268 +g316 +tp317 +tp318 +Rp319 +(dp320 +g10 +g291 +sg25 +g26 +((lp321 +tp322 +Rp323 +sbsS'String' +p324 +g1 +(g2 +g3 +(g268 +g324 +tp325 +tp326 +Rp327 +(dp328 +g316 +g1 +(g2 +g3 +(g268 +g324 +g316 +tp329 +tp330 +Rp331 +(dp332 +g10 +g327 +sg25 +g26 +((lp333 +tp334 +Rp335 +sbsS'Backtick' +p336 +g1 +(g2 +g3 +(g268 +g324 +g336 +tp337 +tp338 +Rp339 +(dp340 +g10 +g327 +sg25 +g26 +((lp341 +tp342 +Rp343 +sbsS'Heredoc' +p344 +g1 +(g2 +g3 +(g268 +g324 +g344 +tp345 +tp346 +Rp347 +(dp348 +g10 +g327 +sg25 +g26 +((lp349 +tp350 +Rp351 +sbsg97 +g1 +(g2 +g3 +(g268 +g324 +g97 +tp352 +tp353 +Rp354 +(dp355 +g10 +g327 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsS'Interpol' +p359 +g1 +(g2 +g3 +(g268 +g324 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g327 +sg25 +g26 +((lp364 +tp365 +Rp366 +sbsS'Delimiter' +p367 +g1 +(g2 +g3 +(g268 +g324 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g327 +sg25 +g26 +((lp372 +tp373 +Rp374 +sbsS'Boolean' +p375 +g1 +(g2 +g3 +(g268 +g324 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g327 +sg25 +g26 +((lp380 +tp381 +Rp382 +sbsS'Character' +p383 +g1 +(g2 +g3 +(g268 +g324 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g327 +sg25 +g26 +((lp388 +tp389 +Rp390 +sbsS'Double' +p391 +g1 +(g2 +g3 +(g268 +g324 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g327 +sg25 +g26 +((lp396 +tp397 +Rp398 +sbsS'Delimeter' +p399 +g1 +(g2 +g3 +(g268 +g324 +g399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g327 +sg25 +g26 +((lp404 +tp405 +Rp406 +sbsS'Atom' +p407 +g1 +(g2 +g3 +(g268 +g324 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g327 +sg25 +g26 +((lp412 +tp413 +Rp414 +sbsS'Affix' +p415 +g1 +(g2 +g3 +(g268 +g324 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g327 +sg25 +g26 +((lp420 +tp421 +Rp422 +sbsg4 +g1 +(g2 +g3 +(g268 +g324 +g4 +tp423 +tp424 +Rp425 +(dp426 +g10 +g327 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsS'Regex' +p430 +g1 +(g2 +g3 +(g268 +g324 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g327 +sg25 +g26 +((lp435 +tp436 +Rp437 +sbsS'Interp' +p438 +g1 +(g2 +g3 +(g268 +g324 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g327 +sg25 +g26 +((lp443 +tp444 +Rp445 +sbsS'Escape' +p446 +g1 +(g2 +g3 +(g268 +g324 +g446 +tp447 +tp448 +Rp449 +(dp450 +g10 +g327 +sg25 +g26 +((lp451 +tp452 +Rp453 +sbsg25 +g26 +((lp454 +g370 +ag354 +ag433 +ag1 +(g2 +g3 +(g268 +g324 +S'Doc' +p455 +tp456 +tp457 +Rp458 +(dp459 +g10 +g327 +sg25 +g26 +((lp460 +tp461 +Rp462 +sbag386 +ag378 +ag394 +ag362 +ag410 +ag402 +ag425 +ag449 +ag1 +(g2 +g3 +(g268 +g324 +S'Single' +p463 +tp464 +tp465 +Rp466 +(dp467 +g10 +g327 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbag1 +(g2 +g3 +(g268 +g324 +g231 +tp471 +tp472 +Rp473 +(dp474 +g10 +g327 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag441 +ag339 +ag418 +ag1 +(g2 +g3 +(g268 +g324 +S'Moment' +p478 +tp479 +tp480 +Rp481 +(dp482 +g10 +g327 +sg25 +g26 +((lp483 +tp484 +Rp485 +sbag331 +ag347 +atp486 +Rp487 +sg463 +g466 +sg478 +g481 +sg10 +g291 +sg231 +g473 +sg455 +g458 +sbsg25 +g26 +((lp488 +g327 +ag319 +ag311 +ag1 +(g2 +g3 +(g268 +S'Date' +p489 +tp490 +tp491 +Rp492 +(dp493 +g10 +g291 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbag296 +ag271 +atp497 +Rp498 +sg489 +g492 +sbsS'Bin' +p499 +g1 +(g2 +g3 +(g268 +g267 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g271 +sg25 +g26 +((lp504 +tp505 +Rp506 +sbsS'Radix' +p507 +g1 +(g2 +g3 +(g268 +g267 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g271 +sg25 +g26 +((lp512 +tp513 +Rp514 +sbsS'Oct' +p515 +g1 +(g2 +g3 +(g268 +g267 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g271 +sg25 +g26 +((lp520 +tp521 +Rp522 +sbsS'Dec' +p523 +g1 +(g2 +g3 +(g268 +g267 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g271 +sg25 +g26 +((lp528 +tp529 +Rp530 +sbsS'Hex' +p531 +g1 +(g2 +g3 +(g268 +g267 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g271 +sg25 +g26 +((lp536 +tp537 +Rp538 +sbsg25 +g26 +((lp539 +g276 +ag510 +ag526 +ag1 +(g2 +g3 +(g268 +g267 +S'Decimal' +p540 +tp541 +tp542 +Rp543 +(dp544 +g10 +g271 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbag502 +ag1 +(g2 +g3 +(g268 +g267 +S'Float' +p548 +tp549 +tp550 +Rp551 +(dp552 +g10 +g271 +sg25 +g26 +((lp553 +tp554 +Rp555 +sbag518 +ag534 +atp556 +Rp557 +sg540 +g543 +sg548 +g551 +sbsS'Generic' +p558 +g1 +(g2 +g3 +(g558 +tp559 +tp560 +Rp561 +(dp562 +g10 +g265 +sS'Deleted' +p563 +g1 +(g2 +g3 +(g558 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g561 +sg25 +g26 +((lp568 +tp569 +Rp570 +sbsS'Subheading' +p571 +g1 +(g2 +g3 +(g558 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g561 +sg25 +g26 +((lp576 +tp577 +Rp578 +sbsS'Heading' +p579 +g1 +(g2 +g3 +(g558 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g561 +sg25 +g26 +((lp584 +tp585 +Rp586 +sbsS'Emph' +p587 +g1 +(g2 +g3 +(g558 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g561 +sg25 +g26 +((lp592 +tp593 +Rp594 +sbsS'Prompt' +p595 +g1 +(g2 +g3 +(g558 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g561 +sg25 +g26 +((lp600 +tp601 +Rp602 +sbsS'Inserted' +p603 +g1 +(g2 +g3 +(g558 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g561 +sg25 +g26 +((lp608 +tp609 +Rp610 +sbsS'Strong' +p611 +g1 +(g2 +g3 +(g558 +g611 +tp612 +tp613 +Rp614 +(dp615 +g10 +g561 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsS'Error' +p619 +g1 +(g2 +g3 +(g558 +g619 +tp620 +tp621 +Rp622 +(dp623 +g10 +g561 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Traceback' +p627 +g1 +(g2 +g3 +(g558 +g627 +tp628 +tp629 +Rp630 +(dp631 +g10 +g561 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsg25 +g26 +((lp635 +g582 +ag574 +ag1 +(g2 +g3 +(g558 +S'Output' +p636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g561 +sg25 +g26 +((lp641 +tp642 +Rp643 +sbag614 +ag590 +ag622 +ag630 +ag606 +ag598 +ag566 +atp644 +Rp645 +sg636 +g639 +sbsg65 +g1 +(g2 +g3 +(g65 +tp646 +tp647 +Rp648 +(dp649 +g10 +g265 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp650 +tp651 +Rp652 +(dp653 +g10 +g648 +sg25 +g26 +((lp654 +tp655 +Rp656 +sbsg25 +g26 +((lp657 +g652 +ag1 +(g2 +g3 +(g65 +S'Word' +p658 +tp659 +tp660 +Rp661 +(dp662 +g10 +g648 +sg25 +g26 +((lp663 +tp664 +Rp665 +sbatp666 +Rp667 +sg658 +g661 +sbsg324 +g327 +sg4 +g13 +sS'Punctuation' +p668 +g1 +(g2 +g3 +(g668 +tp669 +tp670 +Rp671 +(dp672 +g10 +g265 +sg25 +g26 +((lp673 +g1 +(g2 +g3 +(g668 +S'Indicator' +p674 +tp675 +tp676 +Rp677 +(dp678 +g10 +g671 +sg25 +g26 +((lp679 +tp680 +Rp681 +sbatp682 +Rp683 +sg674 +g677 +sbsS'Comment' +p684 +g1 +(g2 +g3 +(g684 +tp685 +tp686 +Rp687 +(dp688 +S'Multi' +p689 +g1 +(g2 +g3 +(g684 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g687 +sg25 +g26 +((lp694 +tp695 +Rp696 +sbsg10 +g265 +sS'Special' +p697 +g1 +(g2 +g3 +(g684 +g697 +tp698 +tp699 +Rp700 +(dp701 +g10 +g687 +sg25 +g26 +((lp702 +tp703 +Rp704 +sbsS'Hashbang' +p705 +g1 +(g2 +g3 +(g684 +g705 +tp706 +tp707 +Rp708 +(dp709 +g10 +g687 +sg25 +g26 +((lp710 +tp711 +Rp712 +sbsS'Preproc' +p713 +g1 +(g2 +g3 +(g684 +g713 +tp714 +tp715 +Rp716 +(dp717 +g10 +g687 +sg25 +g26 +((lp718 +tp719 +Rp720 +sbsg463 +g1 +(g2 +g3 +(g684 +g463 +tp721 +tp722 +Rp723 +(dp724 +g10 +g687 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsS'Directive' +p728 +g1 +(g2 +g3 +(g684 +g728 +tp729 +tp730 +Rp731 +(dp732 +g10 +g687 +sg25 +g26 +((lp733 +tp734 +Rp735 +sbsg455 +g1 +(g2 +g3 +(g684 +g455 +tp736 +tp737 +Rp738 +(dp739 +g10 +g687 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsS'Singleline' +p743 +g1 +(g2 +g3 +(g684 +g743 +tp744 +tp745 +Rp746 +(dp747 +g10 +g687 +sg25 +g26 +((lp748 +tp749 +Rp750 +sbsS'Multiline' +p751 +g1 +(g2 +g3 +(g684 +g751 +tp752 +tp753 +Rp754 +(dp755 +g10 +g687 +sg25 +g26 +((lp756 +tp757 +Rp758 +sbsg25 +g26 +((lp759 +g738 +ag731 +ag708 +ag692 +ag746 +ag716 +ag754 +ag723 +ag1 +(g2 +g3 +(g684 +S'PreprocFile' +p760 +tp761 +tp762 +Rp763 +(dp764 +g10 +g687 +sg25 +g26 +((lp765 +tp766 +Rp767 +sbag1 +(g2 +g3 +(g684 +S'SingleLine' +p768 +tp769 +tp770 +Rp771 +(dp772 +g10 +g687 +sg25 +g26 +((lp773 +tp774 +Rp775 +sbag700 +atp776 +Rp777 +sg760 +g763 +sg768 +g771 +sbsg268 +g291 +sg231 +g1 +(g2 +g3 +(g231 +tp778 +tp779 +Rp780 +(dp781 +g10 +g265 +sg25 +g26 +((lp782 +tp783 +Rp784 +sbsg619 +g1 +(g2 +g3 +(g619 +tp785 +tp786 +Rp787 +(dp788 +g10 +g265 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsS'Token' +p792 +g265 +sg446 +g1 +(g2 +g3 +(g446 +tp793 +tp794 +Rp795 +(dp796 +g10 +g265 +sg25 +g26 +((lp797 +tp798 +Rp799 +sbsg25 +g26 +((lp800 +g13 +ag780 +ag1 +(g2 +g3 +(S'Keyword' +p801 +tp802 +tp803 +Rp804 +(dp805 +g10 +g265 +sg121 +g1 +(g2 +g3 +(g801 +g121 +tp806 +tp807 +Rp808 +(dp809 +g10 +g804 +sg25 +g26 +((lp810 +tp811 +Rp812 +sbsS'Control' +p813 +g1 +(g2 +g3 +(g801 +g813 +tp814 +tp815 +Rp816 +(dp817 +g10 +g804 +sg25 +g26 +((lp818 +tp819 +Rp820 +sbsg145 +g1 +(g2 +g3 +(g801 +g145 +tp821 +tp822 +Rp823 +(dp824 +g10 +g804 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg200 +g1 +(g2 +g3 +(g801 +g200 +tp828 +tp829 +Rp830 +(dp831 +g10 +g804 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsS'PreProc' +p835 +g1 +(g2 +g3 +(g801 +g835 +tp836 +tp837 +Rp838 +(dp839 +g10 +g804 +sg25 +g26 +((lp840 +tp841 +Rp842 +sbsg113 +g1 +(g2 +g3 +(g801 +g113 +tp843 +tp844 +Rp845 +(dp846 +g10 +g804 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsS'Reserved' +p850 +g1 +(g2 +g3 +(g801 +g850 +tp851 +tp852 +Rp853 +(dp854 +g10 +g804 +sg25 +g26 +((lp855 +tp856 +Rp857 +sbsg25 +g26 +((lp858 +g830 +ag1 +(g2 +g3 +(g801 +g658 +tp859 +tp860 +Rp861 +(dp862 +g10 +g804 +sg25 +g26 +((lp863 +tp864 +Rp865 +sbag816 +ag1 +(g2 +g3 +(g801 +S'Declaration' +p866 +tp867 +tp868 +Rp869 +(dp870 +g10 +g804 +sg25 +g26 +((lp871 +tp872 +Rp873 +sbag1 +(g2 +g3 +(g801 +g801 +tp874 +tp875 +Rp876 +(dp877 +g10 +g804 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag845 +ag823 +ag808 +ag853 +ag838 +atp881 +Rp882 +sg801 +g876 +sg866 +g869 +sg658 +g861 +sbag561 +ag1 +(g2 +g3 +(S'Text' +p883 +tp884 +tp885 +Rp886 +(dp887 +S'Beer' +p888 +g1 +(g2 +g3 +(g883 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g886 +sg25 +g26 +((lp893 +tp894 +Rp895 +sbsS'Whitespace' +p896 +g1 +(g2 +g3 +(g883 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g886 +sg25 +g26 +((lp901 +tp902 +Rp903 +sbsg10 +g265 +sS'Root' +p904 +g1 +(g2 +g3 +(g883 +g904 +tp905 +tp906 +Rp907 +(dp908 +g10 +g886 +sg25 +g26 +((lp909 +tp910 +Rp911 +sbsg97 +g1 +(g2 +g3 +(g883 +g97 +tp912 +tp913 +Rp914 +(dp915 +g10 +g886 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg668 +g1 +(g2 +g3 +(g883 +g668 +tp919 +tp920 +Rp921 +(dp922 +g10 +g886 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g907 +ag914 +ag921 +ag899 +ag891 +ag1 +(g2 +g3 +(g883 +S'Rag' +p927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g886 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbatp935 +Rp936 +sg927 +g930 +sbag648 +ag795 +ag671 +ag687 +ag787 +ag291 +atp937 +Rp938 +sg801 +g804 +sg883 +g886 +sbsg20 +g211 +sg5 +g8 +sg247 +g250 +sg231 +g234 +sbsg121 +g1 +(g2 +g3 +(g4 +g5 +g121 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg25 +g26 +((lp943 +tp944 +Rp945 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g113 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbag941 +atp954 +Rp955 +sg113 +g949 +sbVUSING +p956 +tp957 +a(g886 +V +p958 +tp959 +a(g8 +VProgress +p960 +tp961 +a(g671 +V. +p962 +tp963 +a(g18 +VL +p964 +tp965 +a(g18 +Va +p966 +tp967 +a(g18 +Vn +p968 +tp969 +a(g18 +Vg +p970 +tp971 +a(g671 +g962 +tp972 +a(g648 +V* +p973 +tp974 +a(g671 +g962 +tp975 +a(g886 +V\u000a\u000a +p976 +tp977 +a(g8 +VCLASS +p978 +tp979 +a(g886 +g958 +tp980 +a(g18 +VT +p981 +tp982 +a(g18 +Ve +p983 +tp984 +a(g18 +Vs +p985 +tp986 +a(g18 +Vt +p987 +tp988 +a(g886 +g958 +tp989 +a(g8 +VINHERITS +p990 +tp991 +a(g886 +g958 +tp992 +a(g8 +VProgress +p993 +tp994 +a(g671 +g962 +tp995 +a(g18 +VS +p996 +tp997 +a(g18 +Vu +p998 +tp999 +a(g18 +Vc +p1000 +tp1001 +a(g18 +Vk +p1002 +tp1003 +a(g18 +g985 +tp1004 +a(g671 +V: +p1005 +tp1006 +a(g886 +V\u000a\u000a +p1007 +tp1008 +a(g869 +VDEFINE +p1009 +tp1010 +a(g886 +g958 +tp1011 +a(g8 +VPRIVATE +p1012 +tp1013 +a(g886 +g958 +tp1014 +a(g8 +VVARIABLE +p1015 +tp1016 +a(g886 +g958 +tp1017 +a(g18 +g1000 +tp1018 +a(g18 +g981 +tp1019 +a(g18 +g983 +tp1020 +a(g18 +g985 +tp1021 +a(g18 +g987 +tp1022 +a(g886 +g958 +tp1023 +a(g8 +VAS +p1024 +tp1025 +a(g886 +g958 +tp1026 +a(g808 +VCHAR +p1027 +tp1028 +a(g886 +g958 +tp1029 +a(g8 +VNO-UNDO +p1030 +tp1031 +a(g671 +g962 +tp1032 +a(g886 +V\u000a\u000a +p1033 +tp1034 +a(g8 +VCONSTRUCTOR +p1035 +tp1036 +a(g886 +g958 +tp1037 +a(g8 +VPUBLIC +p1038 +tp1039 +a(g886 +g958 +tp1040 +a(g18 +g981 +tp1041 +a(g18 +g983 +tp1042 +a(g18 +g985 +tp1043 +a(g18 +g987 +tp1044 +a(g671 +V( +p1045 +tp1046 +a(g671 +V) +p1047 +tp1048 +a(g671 +g1005 +tp1049 +a(g886 +V\u000a +p1050 +tp1051 +a(g8 +VSUPER +p1052 +tp1053 +a(g671 +g1045 +tp1054 +a(g671 +g1047 +tp1055 +a(g671 +g962 +tp1056 +a(g886 +V\u000a +p1057 +tp1058 +a(g8 +VMESSAGE +p1059 +tp1060 +a(g394 +V"Why are you punishing yourself by coding in this language?" +p1061 +tp1062 +a(g671 +g962 +tp1063 +a(g886 +V\u000a +p1064 +tp1065 +a(g8 +VEND +p1066 +tp1067 +a(g886 +g958 +tp1068 +a(g8 +VCONSTRUCTOR +p1069 +tp1070 +a(g671 +g962 +tp1071 +a(g886 +V\u000a\u000a +p1072 +tp1073 +a(g8 +VMETHOD +p1074 +tp1075 +a(g886 +g958 +tp1076 +a(g8 +VPUBLIC +p1077 +tp1078 +a(g886 +g958 +tp1079 +a(g808 +VLOGICAL +p1080 +tp1081 +a(g886 +g958 +tp1082 +a(g18 +VB +p1083 +tp1084 +a(g18 +Vl +p1085 +tp1086 +a(g18 +Vo +p1087 +tp1088 +a(g18 +Vw +p1089 +tp1090 +a(g18 +g998 +tp1091 +a(g18 +Vp +p1092 +tp1093 +a(g671 +g1045 +tp1094 +a(g8 +VINPUT +p1095 +tp1096 +a(g886 +g958 +tp1097 +a(g18 +Vi +p1098 +tp1099 +a(g18 +g981 +tp1100 +a(g18 +g1098 +tp1101 +a(g18 +Vm +p1102 +tp1103 +a(g18 +g983 +tp1104 +a(g886 +g958 +tp1105 +a(g8 +VAS +p1106 +tp1107 +a(g886 +g958 +tp1108 +a(g808 +VINT +p1109 +tp1110 +a(g671 +g1047 +tp1111 +a(g671 +g1005 +tp1112 +a(g886 +V\u000a +p1113 +tp1114 +a(g8 +VEND +p1115 +tp1116 +a(g671 +g962 +tp1117 +a(g886 +V\u000a\u000a +p1118 +tp1119 +a(g8 +VEND +p1120 +tp1121 +a(g886 +g958 +tp1122 +a(g8 +VCLASS +p1123 +tp1124 +a(g671 +g962 +tp1125 +a(g886 +V\u000a +p1126 +tp1127 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.cob b/tests/examplefiles/output/example.cob new file mode 100644 index 0000000..22cee26 --- /dev/null +++ b/tests/examplefiles/output/example.cob @@ -0,0 +1,71439 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV +p956 +tp957 +a(g822 +V +p958 +tp959 +a(g789 +VIDENTIFICATION +p960 +tp961 +a(g822 +g958 +tp962 +a(g789 +VDIVISION +p963 +tp964 +a(g701 +V. +p965 +tp966 +a(g822 +V\u000a +p967 +tp968 +a(g7 +V +p969 +tp970 +a(g822 +g958 +tp971 +a(g789 +VPROGRAM-ID +p972 +tp973 +a(g701 +g965 +tp974 +a(g822 +g958 +tp975 +a(g436 +VOCic +p976 +tp977 +a(g701 +g965 +tp978 +a(g822 +V\u000a +p979 +tp980 +a(g7 +V *****************************************************************\u000a +p981 +tp982 +a(g7 +V ** This program provides a Textual User Interface (TUI) to the **\u000a +p983 +tp984 +a(g7 +V ** process of compiling and (optionally) executing an OpenCOBOL**\u000a +p985 +tp986 +a(g7 +V ** program. **\u000a +p987 +tp988 +a(g7 +V ** **\u000a +p989 +tp990 +a(g7 +V ** This programs execution syntax is as follows: **\u000a +p991 +tp992 +a(g7 +V ** **\u000a +p993 +tp994 +a(g7 +V ** ocic [ ... ] **\u000a +p995 +tp996 +a(g7 +V ** **\u000a +p997 +tp998 +a(g7 +V ** Once executed, a display screen will be presented showing **\u000a +p999 +tp1000 +a(g7 +V ** the compilation options that will be used. The user will **\u000a +p1001 +tp1002 +a(g7 +V ** have the opportunity to change options, specify new ones **\u000a +p1003 +tp1004 +a(g7 +V ** and specify any program execution arguments to be used if **\u000a +p1005 +tp1006 +a(g7 +V ** you select the "Execute" option. When you press the Enter **\u000a +p1007 +tp1008 +a(g7 +V ** key the program will be compiled. **\u000a +p1009 +tp1010 +a(g7 +V ** **\u000a +p1011 +tp1012 +a(g7 +V ** The SCREEN SECTION contains an image of the screen. **\u000a +p1013 +tp1014 +a(g7 +V ** **\u000a +p1015 +tp1016 +a(g7 +V ** The "010-Parse-Args" section in the PROCEDURE DIVISION has **\u000a +p1017 +tp1018 +a(g7 +V ** documentation on switches and their function. **\u000a +p1019 +tp1020 +a(g7 +V *****************************************************************\u000a +p1021 +tp1022 +a(g7 +V ** **\u000a +p1023 +tp1024 +a(g7 +V ** AUTHOR: GARY L. CUTLER **\u000a +p1025 +tp1026 +a(g7 +V ** CutlerGL@gmail.com **\u000a +p1027 +tp1028 +a(g7 +V ** Copyright (C) 2009-2010, Gary L. Cutler, GPL **\u000a +p1029 +tp1030 +a(g7 +V ** **\u000a +p1031 +tp1032 +a(g7 +V ** DATE-WRITTEN: June 14, 2009 **\u000a +p1033 +tp1034 +a(g7 +V ** **\u000a +p1035 +tp1036 +a(g7 +V *****************************************************************\u000a +p1037 +tp1038 +a(g7 +V ** Note: Depending on which extended DISPLAY handler you're **\u000a +p1039 +tp1040 +a(g7 +V ** using (PDCurses, Curses, ...), you may need to un- **\u000a +p1041 +tp1042 +a(g7 +V ** comment any source lines tagged with "SCROLL" in cols **\u000a +p1043 +tp1044 +a(g7 +V ** 1-6 in order to have error messages scroll properly **\u000a +p1045 +tp1046 +a(g7 +V ** in the OCic shell window. **\u000a +p1047 +tp1048 +a(g7 +V *****************************************************************\u000a +p1049 +tp1050 +a(g7 +V ** DATE CHANGE DESCRIPTION **\u000a +p1051 +tp1052 +a(g7 +V ** ====== ==================================================== **\u000a +p1053 +tp1054 +a(g7 +V ** GC0609 Don't display compiler messages file if compilation **\u000a +p1055 +tp1056 +a(g7 +V ** Is successful. Also don't display messages if the **\u000a +p1057 +tp1058 +a(g7 +V ** output file is busy (just put a message on the **\u000a +p1059 +tp1060 +a(g7 +V ** screen, leave the OC screen up & let the user fix **\u000a +p1061 +tp1062 +a(g7 +V ** the problem & resubmit. **\u000a +p1063 +tp1064 +a(g7 +V ** GC0709 When 'EXECUTE' is selected, a 'FILE BUSY' error will **\u000a +p1065 +tp1066 +a(g7 +V ** still cause the (old) executable to be launched. **\u000a +p1067 +tp1068 +a(g7 +V ** Also, the 'EXTRA SWITCHES' field is being ignored. **\u000a +p1069 +tp1070 +a(g7 +V ** Changed the title bar to lowlighted reverse video & **\u000a +p1071 +tp1072 +a(g7 +V ** the message area to highlighted reverse-video. **\u000a +p1073 +tp1074 +a(g7 +V ** GC0809 Add a SPACE in from of command-line args when **\u000a +p1075 +tp1076 +a(g7 +V ** executing users program. Add a SPACE after the **\u000a +p1077 +tp1078 +a(g7 +V ** -ftraceall switch when building cobc command. **\u000a +p1079 +tp1080 +a(g7 +V ** GC0909 Convert to work on Cygwin/Linux as well as MinGW **\u000a +p1081 +tp1082 +a(g7 +V ** GC0310 Virtualized the key codes for S-F1 thru S-F7 as they **\u000a +p1083 +tp1084 +a(g7 +V ** differ depending upon whether PDCurses or NCurses is **\u000a +p1085 +tp1086 +a(g7 +V ** being used. **\u000a +p1087 +tp1088 +a(g7 +V ** GC0410 Introduced the cross-reference and source listing **\u000a +p1089 +tp1090 +a(g7 +V ** features. Also fixed a bug in @EXTRA switch proces- **\u000a +p1091 +tp1092 +a(g7 +V ** sing where garbage will result if more than the **\u000a +p1093 +tp1094 +a(g7 +V ** @EXTRA switch is specified. **\u000a +p1095 +tp1096 +a(g7 +V *****************************************************************\u000a +p1097 +tp1098 +a(g7 +V +p1099 +tp1100 +a(g822 +g958 +tp1101 +a(g789 +VENVIRONMENT +p1102 +tp1103 +a(g822 +g958 +tp1104 +a(g789 +VDIVISION +p1105 +tp1106 +a(g701 +g965 +tp1107 +a(g822 +V\u000a +p1108 +tp1109 +a(g7 +V +p1110 +tp1111 +a(g822 +g958 +tp1112 +a(g789 +VCONFIGURATION +p1113 +tp1114 +a(g822 +g958 +tp1115 +a(g789 +VSECTION +p1116 +tp1117 +a(g701 +g965 +tp1118 +a(g822 +V\u000a +p1119 +tp1120 +a(g7 +V +p1121 +tp1122 +a(g822 +g958 +tp1123 +a(g781 +VREPOSITORY +p1124 +tp1125 +a(g701 +g965 +tp1126 +a(g822 +V\u000a +p1127 +tp1128 +a(g7 +V +p1129 +tp1130 +a(g822 +V +p1131 +tp1132 +a(g781 +VFUNCTION +p1133 +tp1134 +a(g822 +g958 +tp1135 +a(g781 +VALL +p1136 +tp1137 +a(g822 +g958 +tp1138 +a(g781 +VINTRINSIC +p1139 +tp1140 +a(g701 +g965 +tp1141 +a(g822 +V\u000a +p1142 +tp1143 +a(g7 +V +p1144 +tp1145 +a(g822 +g958 +tp1146 +a(g789 +VINPUT-OUTPUT +p1147 +tp1148 +a(g822 +g958 +tp1149 +a(g789 +VSECTION +p1150 +tp1151 +a(g701 +g965 +tp1152 +a(g822 +V\u000a +p1153 +tp1154 +a(g7 +V +p1155 +tp1156 +a(g822 +g958 +tp1157 +a(g789 +VFILE-CONTROL +p1158 +tp1159 +a(g701 +g965 +tp1160 +a(g822 +V\u000a +p1161 +tp1162 +a(g7 +V +p1163 +tp1164 +a(g822 +V +p1165 +tp1166 +a(g781 +VSELECT +p1167 +tp1168 +a(g822 +g958 +tp1169 +a(g436 +VBat-File +p1170 +tp1171 +a(g822 +V +p1172 +tp1173 +a(g781 +VASSIGN +p1174 +tp1175 +a(g822 +g958 +tp1176 +a(g781 +VTO +p1177 +tp1178 +a(g822 +g958 +tp1179 +a(g436 +VBat-File-Name +p1180 +tp1181 +a(g822 +V\u000a +p1182 +tp1183 +a(g7 +V +p1184 +tp1185 +a(g822 +V +p1186 +tp1187 +a(g781 +VORGANIZATION +p1188 +tp1189 +a(g822 +g958 +tp1190 +a(g781 +VIS +p1191 +tp1192 +a(g822 +g958 +tp1193 +a(g781 +VLINE +p1194 +tp1195 +a(g822 +g958 +tp1196 +a(g781 +VSEQUENTIAL +p1197 +tp1198 +a(g701 +g965 +tp1199 +a(g822 +V\u000a +p1200 +tp1201 +a(g822 +V\u000a +p1202 +tp1203 +a(g7 +V +p1204 +tp1205 +a(g822 +V +p1206 +tp1207 +a(g781 +VSELECT +p1208 +tp1209 +a(g822 +g958 +tp1210 +a(g436 +VCobc-Output +p1211 +tp1212 +a(g822 +V +p1213 +tp1214 +a(g781 +VASSIGN +p1215 +tp1216 +a(g822 +g958 +tp1217 +a(g781 +VTO +p1218 +tp1219 +a(g822 +g958 +tp1220 +a(g436 +VCobc-Output-File +p1221 +tp1222 +a(g822 +V\u000a +p1223 +tp1224 +a(g7 +V +p1225 +tp1226 +a(g822 +V +p1227 +tp1228 +a(g781 +VORGANIZATION +p1229 +tp1230 +a(g822 +g958 +tp1231 +a(g781 +VIS +p1232 +tp1233 +a(g822 +g958 +tp1234 +a(g781 +VLINE +p1235 +tp1236 +a(g822 +g958 +tp1237 +a(g781 +VSEQUENTIAL +p1238 +tp1239 +a(g701 +g965 +tp1240 +a(g822 +V\u000a +p1241 +tp1242 +a(g822 +V\u000a +p1243 +tp1244 +a(g7 +V +p1245 +tp1246 +a(g822 +V +p1247 +tp1248 +a(g781 +VSELECT +p1249 +tp1250 +a(g822 +g958 +tp1251 +a(g436 +VSource-Code +p1252 +tp1253 +a(g822 +V +p1254 +tp1255 +a(g781 +VASSIGN +p1256 +tp1257 +a(g822 +g958 +tp1258 +a(g781 +VTO +p1259 +tp1260 +a(g822 +g958 +tp1261 +a(g436 +VFile-Name +p1262 +tp1263 +a(g822 +V\u000a +p1264 +tp1265 +a(g7 +V +p1266 +tp1267 +a(g822 +V +p1268 +tp1269 +a(g781 +VORGANIZATION +p1270 +tp1271 +a(g822 +g958 +tp1272 +a(g781 +VIS +p1273 +tp1274 +a(g822 +g958 +tp1275 +a(g781 +VLINE +p1276 +tp1277 +a(g822 +g958 +tp1278 +a(g781 +VSEQUENTIAL\u000a +p1279 +tp1280 +a(g822 +g958 +tp1281 +a(g789 +VFILE +p1282 +tp1283 +a(g822 +g958 +tp1284 +a(g781 +VSTATUS +p1285 +tp1286 +a(g822 +g958 +tp1287 +a(g781 +VIS +p1288 +tp1289 +a(g822 +g958 +tp1290 +a(g436 +VFSM-Status +p1291 +tp1292 +a(g701 +g965 +tp1293 +a(g822 +V\u000a +p1294 +tp1295 +a(g7 +V +p1296 +tp1297 +a(g822 +g958 +tp1298 +a(g789 +VDATA +p1299 +tp1300 +a(g822 +g958 +tp1301 +a(g789 +VDIVISION +p1302 +tp1303 +a(g701 +g965 +tp1304 +a(g822 +V\u000a +p1305 +tp1306 +a(g7 +V +p1307 +tp1308 +a(g822 +g958 +tp1309 +a(g789 +VFILE +p1310 +tp1311 +a(g822 +g958 +tp1312 +a(g789 +VSECTION +p1313 +tp1314 +a(g701 +g965 +tp1315 +a(g822 +V\u000a +p1316 +tp1317 +a(g7 +V +p1318 +tp1319 +a(g822 +g958 +tp1320 +a(g789 +VFD +p1321 +tp1322 +a(g822 +g958 +tp1323 +a(g436 +VBat-File +p1324 +tp1325 +a(g701 +g965 +tp1326 +a(g822 +V\u000a +p1327 +tp1328 +a(g7 +V +p1329 +tp1330 +a(g822 +g958 +tp1331 +a(g32 +V01 +p1332 +tp1333 +a(g436 +VBat-File-Rec +p1334 +tp1335 +a(g822 +V +p1336 +tp1337 +a(g744 +VPIC X(2048) +p1338 +tp1339 +a(g701 +g965 +tp1340 +a(g822 +V\u000a +p1341 +tp1342 +a(g822 +V\u000a +p1343 +tp1344 +a(g7 +V +p1345 +tp1346 +a(g822 +g958 +tp1347 +a(g789 +VFD +p1348 +tp1349 +a(g822 +g958 +tp1350 +a(g436 +VCobc-Output +p1351 +tp1352 +a(g701 +g965 +tp1353 +a(g822 +V\u000a +p1354 +tp1355 +a(g7 +V +p1356 +tp1357 +a(g822 +g958 +tp1358 +a(g32 +V01 +p1359 +tp1360 +a(g436 +VCobc-Output-Rec +p1361 +tp1362 +a(g822 +V +p1363 +tp1364 +a(g744 +VPIC X(256) +p1365 +tp1366 +a(g701 +g965 +tp1367 +a(g822 +V\u000a +p1368 +tp1369 +a(g822 +V\u000a +p1370 +tp1371 +a(g7 +V +p1372 +tp1373 +a(g822 +g958 +tp1374 +a(g789 +VFD +p1375 +tp1376 +a(g822 +g958 +tp1377 +a(g436 +VSource-Code +p1378 +tp1379 +a(g701 +g965 +tp1380 +a(g822 +V\u000a +p1381 +tp1382 +a(g7 +V +p1383 +tp1384 +a(g822 +g958 +tp1385 +a(g32 +V01 +p1386 +tp1387 +a(g436 +VSource-Code-Record +p1388 +tp1389 +a(g822 +V +p1390 +tp1391 +a(g744 +VPIC X(80) +p1392 +tp1393 +a(g701 +g965 +tp1394 +a(g822 +V\u000a +p1395 +tp1396 +a(g822 +V\u000a +p1397 +tp1398 +a(g7 +V +p1399 +tp1400 +a(g822 +g958 +tp1401 +a(g789 +VWORKING-STORAGE +p1402 +tp1403 +a(g822 +g958 +tp1404 +a(g789 +VSECTION +p1405 +tp1406 +a(g701 +g965 +tp1407 +a(g822 +V\u000a +p1408 +tp1409 +a(g7 +V +p1410 +tp1411 +a(g822 +g958 +tp1412 +a(g781 +VCOPY +p1413 +tp1414 +a(g822 +g958 +tp1415 +a(g436 +Vscreenio +p1416 +tp1417 +a(g701 +g965 +tp1418 +a(g822 +V\u000a +p1419 +tp1420 +a(g822 +V\u000a +p1421 +tp1422 +a(g7 +V +p1423 +tp1424 +a(g822 +g958 +tp1425 +a(g32 +V01 +p1426 +tp1427 +a(g436 +VBat-File-Name +p1428 +tp1429 +a(g822 +V +p1430 +tp1431 +a(g744 +VPIC X(256) +p1432 +tp1433 +a(g701 +g965 +tp1434 +a(g822 +V\u000a +p1435 +tp1436 +a(g822 +V\u000a +p1437 +tp1438 +a(g7 +VGC0909 +p1439 +tp1440 +a(g822 +g958 +tp1441 +a(g32 +V01 +p1442 +tp1443 +a(g436 +VCmd +p1444 +tp1445 +a(g822 +V +p1446 +tp1447 +a(g744 +VPIC X(512) +p1448 +tp1449 +a(g701 +g965 +tp1450 +a(g822 +V\u000a +p1451 +tp1452 +a(g822 +V\u000a +p1453 +tp1454 +a(g7 +V +p1455 +tp1456 +a(g822 +g958 +tp1457 +a(g32 +V01 +p1458 +tp1459 +a(g436 +VCobc-Cmd +p1460 +tp1461 +a(g822 +V +p1462 +tp1463 +a(g744 +VPIC X(256) +p1464 +tp1465 +a(g701 +g965 +tp1466 +a(g822 +V\u000a +p1467 +tp1468 +a(g822 +V\u000a +p1469 +tp1470 +a(g7 +V +p1471 +tp1472 +a(g822 +g958 +tp1473 +a(g32 +V01 +p1474 +tp1475 +a(g436 +VCobc-Output-File +p1476 +tp1477 +a(g822 +V +p1478 +tp1479 +a(g744 +VPIC X(256) +p1480 +tp1481 +a(g701 +g965 +tp1482 +a(g822 +V\u000a +p1483 +tp1484 +a(g822 +V\u000a +p1485 +tp1486 +a(g7 +V +p1487 +tp1488 +a(g822 +g958 +tp1489 +a(g32 +V01 +p1490 +tp1491 +a(g436 +VCommand-Line-Args +p1492 +tp1493 +a(g822 +V +p1494 +tp1495 +a(g744 +VPIC X(256) +p1496 +tp1497 +a(g701 +g965 +tp1498 +a(g822 +V\u000a +p1499 +tp1500 +a(g822 +V\u000a +p1501 +tp1502 +a(g7 +V +p1503 +tp1504 +a(g822 +g958 +tp1505 +a(g32 +V01 +p1506 +tp1507 +a(g436 +VConfig-File +p1508 +tp1509 +a(g822 +V +p1510 +tp1511 +a(g744 +VPIC X(12) +p1512 +tp1513 +a(g701 +g965 +tp1514 +a(g822 +V\u000a +p1515 +tp1516 +a(g822 +V\u000a +p1517 +tp1518 +a(g7 +VGC0310 +p1519 +tp1520 +a(g822 +g958 +tp1521 +a(g32 +V01 +p1522 +tp1523 +a(g436 +VConfig-Keys +p1524 +tp1525 +a(g701 +g965 +tp1526 +a(g822 +V\u000a +p1527 +tp1528 +a(g7 +VGC0310 +p1529 +tp1530 +a(g822 +V +p1531 +tp1532 +a(g32 +V05 +p1533 +tp1534 +a(g436 +VCK-S-F1 +p1535 +tp1536 +a(g822 +V +p1537 +tp1538 +a(g744 +VPIC 9(4) +p1539 +tp1540 +a(g701 +g965 +tp1541 +a(g822 +V\u000a +p1542 +tp1543 +a(g7 +VGC0310 +p1544 +tp1545 +a(g822 +V +p1546 +tp1547 +a(g32 +V05 +p1548 +tp1549 +a(g436 +VCK-S-F2 +p1550 +tp1551 +a(g822 +V +p1552 +tp1553 +a(g744 +VPIC 9(4) +p1554 +tp1555 +a(g701 +g965 +tp1556 +a(g822 +V\u000a +p1557 +tp1558 +a(g7 +VGC0310 +p1559 +tp1560 +a(g822 +V +p1561 +tp1562 +a(g32 +V05 +p1563 +tp1564 +a(g436 +VCK-S-F3 +p1565 +tp1566 +a(g822 +V +p1567 +tp1568 +a(g744 +VPIC 9(4) +p1569 +tp1570 +a(g701 +g965 +tp1571 +a(g822 +V\u000a +p1572 +tp1573 +a(g7 +VGC0310 +p1574 +tp1575 +a(g822 +V +p1576 +tp1577 +a(g32 +V05 +p1578 +tp1579 +a(g436 +VCK-S-F4 +p1580 +tp1581 +a(g822 +V +p1582 +tp1583 +a(g744 +VPIC 9(4) +p1584 +tp1585 +a(g701 +g965 +tp1586 +a(g822 +V\u000a +p1587 +tp1588 +a(g7 +VGC0310 +p1589 +tp1590 +a(g822 +V +p1591 +tp1592 +a(g32 +V05 +p1593 +tp1594 +a(g436 +VCK-S-F5 +p1595 +tp1596 +a(g822 +V +p1597 +tp1598 +a(g744 +VPIC 9(4) +p1599 +tp1600 +a(g701 +g965 +tp1601 +a(g822 +V\u000a +p1602 +tp1603 +a(g7 +VGC0310 +p1604 +tp1605 +a(g822 +V +p1606 +tp1607 +a(g32 +V05 +p1608 +tp1609 +a(g436 +VCK-S-F6 +p1610 +tp1611 +a(g822 +V +p1612 +tp1613 +a(g744 +VPIC 9(4) +p1614 +tp1615 +a(g701 +g965 +tp1616 +a(g822 +V\u000a +p1617 +tp1618 +a(g7 +VGC0310 +p1619 +tp1620 +a(g822 +V +p1621 +tp1622 +a(g32 +V05 +p1623 +tp1624 +a(g436 +VCK-S-F7 +p1625 +tp1626 +a(g822 +V +p1627 +tp1628 +a(g744 +VPIC 9(4) +p1629 +tp1630 +a(g701 +g965 +tp1631 +a(g822 +V\u000a +p1632 +tp1633 +a(g822 +V\u000a +p1634 +tp1635 +a(g7 +VGC0909 +p1636 +tp1637 +a(g822 +g958 +tp1638 +a(g32 +V01 +p1639 +tp1640 +a(g436 +VDir-Char +p1641 +tp1642 +a(g822 +V +p1643 +tp1644 +a(g744 +VPIC X(1) +p1645 +tp1646 +a(g701 +g965 +tp1647 +a(g822 +V\u000a +p1648 +tp1649 +a(g822 +V\u000a +p1650 +tp1651 +a(g7 +V +p1652 +tp1653 +a(g822 +g958 +tp1654 +a(g32 +V01 +p1655 +tp1656 +a(g436 +VDummy +p1657 +tp1658 +a(g822 +V +p1659 +tp1660 +a(g744 +VPIC X(1) +p1661 +tp1662 +a(g701 +g965 +tp1663 +a(g822 +V\u000a +p1664 +tp1665 +a(g822 +V\u000a +p1666 +tp1667 +a(g7 +V +p1668 +tp1669 +a(g822 +g958 +tp1670 +a(g32 +V01 +p1671 +tp1672 +a(g436 +VEnv-TEMP +p1673 +tp1674 +a(g822 +V +p1675 +tp1676 +a(g744 +VPIC X(256) +p1677 +tp1678 +a(g701 +g965 +tp1679 +a(g822 +V\u000a +p1680 +tp1681 +a(g822 +V\u000a +p1682 +tp1683 +a(g7 +V +p1684 +tp1685 +a(g822 +g958 +tp1686 +a(g32 +V01 +p1687 +tp1688 +a(g436 +VFile-Name +p1689 +tp1690 +a(g701 +g965 +tp1691 +a(g822 +V\u000a +p1692 +tp1693 +a(g7 +V +p1694 +tp1695 +a(g822 +V +p1696 +tp1697 +a(g32 +V05 +p1698 +tp1699 +a(g436 +VFN-Char +p1700 +tp1701 +a(g822 +V +p1702 +tp1703 +a(g781 +VOCCURS +p1704 +tp1705 +a(g822 +g958 +tp1706 +a(g32 +V256 +p1707 +tp1708 +a(g781 +VTIMES +p1709 +tp1710 +a(g822 +g958 +tp1711 +a(g744 +VPIC X(1) +p1712 +tp1713 +a(g701 +g965 +tp1714 +a(g822 +V\u000a +p1715 +tp1716 +a(g822 +V\u000a +p1717 +tp1718 +a(g7 +V +p1719 +tp1720 +a(g822 +g958 +tp1721 +a(g32 +V01 +p1722 +tp1723 +a(g436 +VFile-Status-Message +p1724 +tp1725 +a(g701 +g965 +tp1726 +a(g822 +V\u000a +p1727 +tp1728 +a(g7 +V +p1729 +tp1730 +a(g822 +V +p1731 +tp1732 +a(g32 +V05 +p1733 +tp1734 +a(g781 +VFILLER +p1735 +tp1736 +a(g822 +g958 +tp1737 +a(g744 +VPIC X(13) +p1738 +tp1739 +a(g822 +g958 +tp1740 +a(g781 +VVALUE +p1741 +tp1742 +a(g225 +V'Status Code: ' +p1743 +tp1744 +a(g701 +g965 +tp1745 +a(g822 +V\u000a +p1746 +tp1747 +a(g7 +V +p1748 +tp1749 +a(g822 +V +p1750 +tp1751 +a(g32 +V05 +p1752 +tp1753 +a(g436 +VFSM-Status +p1754 +tp1755 +a(g822 +V +p1756 +tp1757 +a(g744 +VPIC 9(2) +p1758 +tp1759 +a(g701 +g965 +tp1760 +a(g822 +V\u000a +p1761 +tp1762 +a(g7 +V +p1763 +tp1764 +a(g822 +V +p1765 +tp1766 +a(g32 +V05 +p1767 +tp1768 +a(g781 +VFILLER +p1769 +tp1770 +a(g822 +g958 +tp1771 +a(g744 +VPIC X(11) +p1772 +tp1773 +a(g822 +g958 +tp1774 +a(g781 +VVALUE +p1775 +tp1776 +a(g225 +V', Meaning: ' +p1777 +tp1778 +a(g701 +g965 +tp1779 +a(g822 +V\u000a +p1780 +tp1781 +a(g7 +V +p1782 +tp1783 +a(g822 +V +p1784 +tp1785 +a(g32 +V05 +p1786 +tp1787 +a(g436 +VFSM-Msg +p1788 +tp1789 +a(g822 +V +p1790 +tp1791 +a(g744 +VPIC X(25) +p1792 +tp1793 +a(g701 +g965 +tp1794 +a(g822 +V\u000a +p1795 +tp1796 +a(g822 +V\u000a +p1797 +tp1798 +a(g7 +V +p1799 +tp1800 +a(g822 +g958 +tp1801 +a(g32 +V01 +p1802 +tp1803 +a(g436 +VFlags +p1804 +tp1805 +a(g701 +g965 +tp1806 +a(g822 +V\u000a +p1807 +tp1808 +a(g7 +V +p1809 +tp1810 +a(g822 +V +p1811 +tp1812 +a(g32 +V05 +p1813 +tp1814 +a(g436 +VF-Compilation-Succeeded +p1815 +tp1816 +a(g822 +V +p1817 +tp1818 +a(g744 +VPIC X(1) +p1819 +tp1820 +a(g701 +g965 +tp1821 +a(g822 +V\u000a +p1822 +tp1823 +a(g7 +V +p1824 +tp1825 +a(g822 +V +p1826 +tp1827 +a(g32 +V88 +p1828 +tp1829 +a(g32 +V88 +p1830 +tp1831 +a(g408 +V- +p1832 +tp1833 +a(g436 +VCompile-OK +p1834 +tp1835 +a(g822 +V +p1836 +tp1837 +a(g781 +VVALUE +p1838 +tp1839 +a(g225 +V'Y' +p1840 +tp1841 +a(g701 +g965 +tp1842 +a(g822 +V\u000a +p1843 +tp1844 +a(g7 +VGC0909 +p1845 +tp1846 +a(g822 +V +p1847 +tp1848 +a(g32 +V88 +p1849 +tp1850 +a(g32 +V88 +p1851 +tp1852 +a(g408 +g1832 +tp1853 +a(g436 +VCompile-OK-Warn +p1854 +tp1855 +a(g822 +V +p1856 +tp1857 +a(g781 +VVALUE +p1858 +tp1859 +a(g225 +V'W' +p1860 +tp1861 +a(g701 +g965 +tp1862 +a(g822 +V\u000a +p1863 +tp1864 +a(g7 +V +p1865 +tp1866 +a(g822 +V +p1867 +tp1868 +a(g32 +V88 +p1869 +tp1870 +a(g32 +V88 +p1871 +tp1872 +a(g408 +g1832 +tp1873 +a(g436 +VCompile-Failed +p1874 +tp1875 +a(g822 +V +p1876 +tp1877 +a(g781 +VVALUE +p1878 +tp1879 +a(g225 +V'N' +p1880 +tp1881 +a(g701 +g965 +tp1882 +a(g822 +V\u000a +p1883 +tp1884 +a(g7 +VGC0609 +p1885 +tp1886 +a(g822 +V +p1887 +tp1888 +a(g32 +V05 +p1889 +tp1890 +a(g436 +VF-Complete +p1891 +tp1892 +a(g822 +V +p1893 +tp1894 +a(g744 +VPIC X(1) +p1895 +tp1896 +a(g701 +g965 +tp1897 +a(g822 +V\u000a +p1898 +tp1899 +a(g7 +VGC0609 +p1900 +tp1901 +a(g822 +V +p1902 +tp1903 +a(g32 +V88 +p1904 +tp1905 +a(g32 +V88 +p1906 +tp1907 +a(g408 +g1832 +tp1908 +a(g436 +VComplete +p1909 +tp1910 +a(g822 +V +p1911 +tp1912 +a(g781 +VVALUE +p1913 +tp1914 +a(g225 +V'Y' +p1915 +tp1916 +a(g701 +g965 +tp1917 +a(g822 +V\u000a +p1918 +tp1919 +a(g7 +VGC0609 +p1920 +tp1921 +a(g822 +V +p1922 +tp1923 +a(g32 +V88 +p1924 +tp1925 +a(g32 +V88 +p1926 +tp1927 +a(g408 +g1832 +tp1928 +a(g436 +VNot-Complete +p1929 +tp1930 +a(g822 +V +p1931 +tp1932 +a(g781 +VVALUE +p1933 +tp1934 +a(g225 +V'N' +p1935 +tp1936 +a(g701 +g965 +tp1937 +a(g822 +V\u000a +p1938 +tp1939 +a(g7 +VGC0809 +p1940 +tp1941 +a(g822 +V +p1942 +tp1943 +a(g32 +V05 +p1944 +tp1945 +a(g436 +VF-IDENT-DIVISION +p1946 +tp1947 +a(g822 +V +p1948 +tp1949 +a(g744 +VPIC X(1) +p1950 +tp1951 +a(g701 +g965 +tp1952 +a(g822 +V\u000a +p1953 +tp1954 +a(g7 +VGC0809 +p1955 +tp1956 +a(g822 +V +p1957 +tp1958 +a(g32 +V88 +p1959 +tp1960 +a(g32 +V88 +p1961 +tp1962 +a(g408 +g1832 +tp1963 +a(g32 +V1 +p1964 +tp1965 +a(g436 +Vst-Prog-Complete +p1966 +tp1967 +a(g822 +V +p1968 +tp1969 +a(g781 +VVALUE +p1970 +tp1971 +a(g225 +V'Y' +p1972 +tp1973 +a(g701 +g965 +tp1974 +a(g822 +V\u000a +p1975 +tp1976 +a(g7 +VGC0809 +p1977 +tp1978 +a(g822 +V +p1979 +tp1980 +a(g32 +V88 +p1981 +tp1982 +a(g32 +V88 +p1983 +tp1984 +a(g408 +g1832 +tp1985 +a(g436 +VMore-To-1st-Prog +p1986 +tp1987 +a(g822 +V +p1988 +tp1989 +a(g781 +VVALUE +p1990 +tp1991 +a(g225 +V'N' +p1992 +tp1993 +a(g701 +g965 +tp1994 +a(g822 +V\u000a +p1995 +tp1996 +a(g7 +V +p1997 +tp1998 +a(g822 +V +p1999 +tp2000 +a(g32 +V05 +p2001 +tp2002 +a(g436 +VF-LINKAGE-SECTION +p2003 +tp2004 +a(g822 +V +p2005 +tp2006 +a(g744 +VPIC X(1) +p2007 +tp2008 +a(g701 +g965 +tp2009 +a(g822 +V\u000a +p2010 +tp2011 +a(g7 +V +p2012 +tp2013 +a(g822 +V +p2014 +tp2015 +a(g32 +V88 +p2016 +tp2017 +a(g32 +V88 +p2018 +tp2019 +a(g408 +g1832 +tp2020 +a(g436 +VCompile-As-Subpgm +p2021 +tp2022 +a(g822 +V +p2023 +tp2024 +a(g781 +VVALUE +p2025 +tp2026 +a(g225 +V'Y' +p2027 +tp2028 +a(g701 +g965 +tp2029 +a(g822 +V\u000a +p2030 +tp2031 +a(g7 +V +p2032 +tp2033 +a(g822 +V +p2034 +tp2035 +a(g32 +V88 +p2036 +tp2037 +a(g32 +V88 +p2038 +tp2039 +a(g408 +g1832 +tp2040 +a(g436 +VCompile-As-Mainpgm +p2041 +tp2042 +a(g822 +g958 +tp2043 +a(g781 +VVALUE +p2044 +tp2045 +a(g225 +V'N' +p2046 +tp2047 +a(g701 +g965 +tp2048 +a(g822 +V\u000a +p2049 +tp2050 +a(g7 +V +p2051 +tp2052 +a(g822 +V +p2053 +tp2054 +a(g32 +V05 +p2055 +tp2056 +a(g436 +VF-No-Switch-Changes +p2057 +tp2058 +a(g822 +V +p2059 +tp2060 +a(g744 +VPIC X(1) +p2061 +tp2062 +a(g701 +g965 +tp2063 +a(g822 +V\u000a +p2064 +tp2065 +a(g7 +V +p2066 +tp2067 +a(g822 +V +p2068 +tp2069 +a(g32 +V88 +p2070 +tp2071 +a(g32 +V88 +p2072 +tp2073 +a(g408 +g1832 +tp2074 +a(g436 +VNo-Switch-Changes +p2075 +tp2076 +a(g822 +V +p2077 +tp2078 +a(g781 +VVALUE +p2079 +tp2080 +a(g225 +V'Y' +p2081 +tp2082 +a(g701 +g965 +tp2083 +a(g822 +V\u000a +p2084 +tp2085 +a(g7 +V +p2086 +tp2087 +a(g822 +V +p2088 +tp2089 +a(g32 +V88 +p2090 +tp2091 +a(g32 +V88 +p2092 +tp2093 +a(g408 +g1832 +tp2094 +a(g436 +VSwitch-Changes +p2095 +tp2096 +a(g822 +V +p2097 +tp2098 +a(g781 +VVALUE +p2099 +tp2100 +a(g225 +V'N' +p2101 +tp2102 +a(g701 +g965 +tp2103 +a(g822 +V\u000a +p2104 +tp2105 +a(g7 +VGC0709 +p2106 +tp2107 +a(g822 +V +p2108 +tp2109 +a(g32 +V05 +p2110 +tp2111 +a(g436 +VF-Output-File-Busy +p2112 +tp2113 +a(g822 +V +p2114 +tp2115 +a(g744 +VPIC X(1) +p2116 +tp2117 +a(g701 +g965 +tp2118 +a(g822 +V\u000a +p2119 +tp2120 +a(g7 +VGC0709 +p2121 +tp2122 +a(g822 +V +p2123 +tp2124 +a(g32 +V88 +p2125 +tp2126 +a(g32 +V88 +p2127 +tp2128 +a(g408 +g1832 +tp2129 +a(g436 +VOutput-File-Busy +p2130 +tp2131 +a(g822 +V +p2132 +tp2133 +a(g781 +VVALUE +p2134 +tp2135 +a(g225 +V'Y' +p2136 +tp2137 +a(g701 +g965 +tp2138 +a(g822 +V\u000a +p2139 +tp2140 +a(g7 +VGC0709 +p2141 +tp2142 +a(g822 +V +p2143 +tp2144 +a(g32 +V88 +p2145 +tp2146 +a(g32 +V88 +p2147 +tp2148 +a(g408 +g1832 +tp2149 +a(g436 +VOutput-File-Avail +p2150 +tp2151 +a(g822 +V +p2152 +tp2153 +a(g781 +VVALUE +p2154 +tp2155 +a(g225 +V'N' +p2156 +tp2157 +a(g701 +g965 +tp2158 +a(g822 +V\u000a +p2159 +tp2160 +a(g7 +VGC0809 +p2161 +tp2162 +a(g822 +V +p2163 +tp2164 +a(g32 +V05 +p2165 +tp2166 +a(g436 +VF-Source-Record-Type +p2167 +tp2168 +a(g822 +V +p2169 +tp2170 +a(g744 +VPIC X(1) +p2171 +tp2172 +a(g701 +g965 +tp2173 +a(g822 +V\u000a +p2174 +tp2175 +a(g7 +VGC0809 +p2176 +tp2177 +a(g822 +V +p2178 +tp2179 +a(g32 +V88 +p2180 +tp2181 +a(g32 +V88 +p2182 +tp2183 +a(g408 +g1832 +tp2184 +a(g436 +VSource-Rec-Linkage +p2185 +tp2186 +a(g822 +g958 +tp2187 +a(g781 +VVALUE +p2188 +tp2189 +a(g225 +V'L' +p2190 +tp2191 +a(g701 +g965 +tp2192 +a(g822 +V\u000a +p2193 +tp2194 +a(g7 +VGC0809 +p2195 +tp2196 +a(g822 +V +p2197 +tp2198 +a(g32 +V88 +p2199 +tp2200 +a(g32 +V88 +p2201 +tp2202 +a(g408 +g1832 +tp2203 +a(g436 +VSource-Rec-Ident +p2204 +tp2205 +a(g822 +V +p2206 +tp2207 +a(g781 +VVALUE +p2208 +tp2209 +a(g225 +V'I' +p2210 +tp2211 +a(g701 +g965 +tp2212 +a(g822 +V\u000a +p2213 +tp2214 +a(g7 +VGC0809 +p2215 +tp2216 +a(g822 +V +p2217 +tp2218 +a(g32 +V88 +p2219 +tp2220 +a(g32 +V88 +p2221 +tp2222 +a(g408 +g1832 +tp2223 +a(g436 +VSource-Rec-IgnoCOB-COLOR-RED +p2224 +tp2225 +a(g822 +g958 +tp2226 +a(g781 +VVALUE +p2227 +tp2228 +a(g225 +V' ' +p2229 +tp2230 +a(g701 +g965 +tp2231 +a(g822 +V\u000a +p2232 +tp2233 +a(g7 +V +p2234 +tp2235 +a(g822 +V +p2236 +tp2237 +a(g32 +V05 +p2238 +tp2239 +a(g436 +VF-Switch-Error +p2240 +tp2241 +a(g822 +V +p2242 +tp2243 +a(g744 +VPIC X(1) +p2244 +tp2245 +a(g701 +g965 +tp2246 +a(g822 +V\u000a +p2247 +tp2248 +a(g7 +V +p2249 +tp2250 +a(g822 +V +p2251 +tp2252 +a(g32 +V88 +p2253 +tp2254 +a(g32 +V88 +p2255 +tp2256 +a(g408 +g1832 +tp2257 +a(g436 +VSwitch-Is-Bad +p2258 +tp2259 +a(g822 +V +p2260 +tp2261 +a(g781 +VVALUE +p2262 +tp2263 +a(g225 +V'Y' +p2264 +tp2265 +a(g701 +g965 +tp2266 +a(g822 +V\u000a +p2267 +tp2268 +a(g7 +V +p2269 +tp2270 +a(g822 +V +p2271 +tp2272 +a(g32 +V88 +p2273 +tp2274 +a(g32 +V88 +p2275 +tp2276 +a(g408 +g1832 +tp2277 +a(g436 +VSwitch-Is-Good +p2278 +tp2279 +a(g822 +V +p2280 +tp2281 +a(g781 +VVALUE +p2282 +tp2283 +a(g225 +V'N' +p2284 +tp2285 +a(g701 +g965 +tp2286 +a(g822 +V\u000a +p2287 +tp2288 +a(g822 +V\u000a +p2289 +tp2290 +a(g7 +VGC0909 +p2291 +tp2292 +a(g822 +g958 +tp2293 +a(g32 +V01 +p2294 +tp2295 +a(g436 +VHorizontal-Line +p2296 +tp2297 +a(g822 +V +p2298 +tp2299 +a(g744 +VPIC X(80) +p2300 +tp2301 +a(g701 +g965 +tp2302 +a(g822 +V\u000a +p2303 +tp2304 +a(g7 +VGC0909 +p2305 +tp2306 +a(g822 +V\u000a +p2307 +tp2308 +a(g7 +V +p2309 +tp2310 +a(g822 +g958 +tp2311 +a(g32 +V01 +p2312 +tp2313 +a(g436 +VI +p2314 +tp2315 +a(g822 +V +p2316 +tp2317 +a(g781 +VUSAGE +p2318 +tp2319 +a(g822 +g958 +tp2320 +a(g744 +VBINARY-LONG +p2321 +tp2322 +a(g701 +g965 +tp2323 +a(g822 +V\u000a +p2324 +tp2325 +a(g822 +V\u000a +p2326 +tp2327 +a(g7 +V +p2328 +tp2329 +a(g822 +g958 +tp2330 +a(g32 +V01 +p2331 +tp2332 +a(g436 +VJ +p2333 +tp2334 +a(g822 +V +p2335 +tp2336 +a(g781 +VUSAGE +p2337 +tp2338 +a(g822 +g958 +tp2339 +a(g744 +VBINARY-LONG +p2340 +tp2341 +a(g701 +g965 +tp2342 +a(g822 +V\u000a +p2343 +tp2344 +a(g822 +V\u000a +p2345 +tp2346 +a(g7 +VGC0909 +p2347 +tp2348 +a(g822 +g958 +tp2349 +a(g32 +V01 +p2350 +tp2351 +a(g436 +VMS +p2352 +tp2353 +a(g822 +V +p2354 +tp2355 +a(g781 +VUSAGE +p2356 +tp2357 +a(g822 +g958 +tp2358 +a(g744 +VBINARY-LONG +p2359 +tp2360 +a(g701 +g965 +tp2361 +a(g822 +V\u000a +p2362 +tp2363 +a(g822 +V\u000a +p2364 +tp2365 +a(g7 +VGC0909 +p2366 +tp2367 +a(g822 +g958 +tp2368 +a(g32 +V01 +p2369 +tp2370 +a(g436 +VML +p2371 +tp2372 +a(g822 +V +p2373 +tp2374 +a(g781 +VUSAGE +p2375 +tp2376 +a(g822 +g958 +tp2377 +a(g744 +VBINARY-LONG +p2378 +tp2379 +a(g701 +g965 +tp2380 +a(g822 +V\u000a +p2381 +tp2382 +a(g822 +V\u000a +p2383 +tp2384 +a(g7 +V +p2385 +tp2386 +a(g822 +g958 +tp2387 +a(g32 +V01 +p2388 +tp2389 +a(g436 +VOC-Compiled +p2390 +tp2391 +a(g822 +V +p2392 +tp2393 +a(g744 +VPIC XXXX/XX/XXBXX/XX +p2394 +tp2395 +a(g701 +g965 +tp2396 +a(g822 +V\u000a +p2397 +tp2398 +a(g822 +V\u000a +p2399 +tp2400 +a(g7 +VGC0909 +p2401 +tp2402 +a(g822 +g958 +tp2403 +a(g32 +V01 +p2404 +tp2405 +a(g436 +VOS-Type +p2406 +tp2407 +a(g822 +V +p2408 +tp2409 +a(g781 +VUSAGE +p2410 +tp2411 +a(g822 +g958 +tp2412 +a(g744 +VBINARY-LONG +p2413 +tp2414 +a(g701 +g965 +tp2415 +a(g822 +V\u000a +p2416 +tp2417 +a(g7 +VGC0909 +p2418 +tp2419 +a(g822 +V +p2420 +tp2421 +a(g32 +V88 +p2422 +tp2423 +a(g436 +VOS-Unknown +p2424 +tp2425 +a(g822 +V +p2426 +tp2427 +a(g781 +VVALUE +p2428 +tp2429 +a(g822 +g958 +tp2430 +a(g32 +V0 +p2431 +tp2432 +a(g701 +g965 +tp2433 +a(g822 +V\u000a +p2434 +tp2435 +a(g7 +VGC0909 +p2436 +tp2437 +a(g822 +V +p2438 +tp2439 +a(g32 +V88 +p2440 +tp2441 +a(g436 +VOS-Windows +p2442 +tp2443 +a(g822 +V +p2444 +tp2445 +a(g781 +VVALUE +p2446 +tp2447 +a(g822 +g958 +tp2448 +a(g32 +g1964 +tp2449 +a(g701 +g965 +tp2450 +a(g822 +V\u000a +p2451 +tp2452 +a(g7 +VGC0909 +p2453 +tp2454 +a(g822 +V +p2455 +tp2456 +a(g32 +V88 +p2457 +tp2458 +a(g436 +VOS-Cygwin +p2459 +tp2460 +a(g822 +V +p2461 +tp2462 +a(g781 +VVALUE +p2463 +tp2464 +a(g822 +g958 +tp2465 +a(g32 +V2 +p2466 +tp2467 +a(g701 +g965 +tp2468 +a(g822 +V\u000a +p2469 +tp2470 +a(g7 +VGC0909 +p2471 +tp2472 +a(g822 +V +p2473 +tp2474 +a(g32 +V88 +p2475 +tp2476 +a(g436 +VOS-UNIX +p2477 +tp2478 +a(g822 +V +p2479 +tp2480 +a(g781 +VVALUE +p2481 +tp2482 +a(g822 +g958 +tp2483 +a(g32 +V3 +p2484 +tp2485 +a(g701 +g965 +tp2486 +a(g822 +V\u000a +p2487 +tp2488 +a(g822 +V\u000a +p2489 +tp2490 +a(g7 +VGC0909 +p2491 +tp2492 +a(g822 +g958 +tp2493 +a(g32 +V01 +p2494 +tp2495 +a(g436 +VOS-Type-Literal +p2496 +tp2497 +a(g822 +V +p2498 +tp2499 +a(g744 +VPIC X(7) +p2500 +tp2501 +a(g701 +g965 +tp2502 +a(g822 +V\u000a +p2503 +tp2504 +a(g822 +V\u000a +p2505 +tp2506 +a(g7 +V +p2507 +tp2508 +a(g822 +g958 +tp2509 +a(g32 +V01 +p2510 +tp2511 +a(g436 +VOutput-Message +p2512 +tp2513 +a(g822 +V +p2514 +tp2515 +a(g744 +VPIC X(80) +p2516 +tp2517 +a(g701 +g965 +tp2518 +a(g822 +V\u000a +p2519 +tp2520 +a(g822 +V\u000a +p2521 +tp2522 +a(g7 +V +p2523 +tp2524 +a(g822 +g958 +tp2525 +a(g32 +V01 +p2526 +tp2527 +a(g436 +VPath-Delimiter +p2528 +tp2529 +a(g822 +V +p2530 +tp2531 +a(g744 +VPIC X(1) +p2532 +tp2533 +a(g701 +g965 +tp2534 +a(g822 +V\u000a +p2535 +tp2536 +a(g822 +V\u000a +p2537 +tp2538 +a(g7 +V +p2539 +tp2540 +a(g822 +g958 +tp2541 +a(g32 +V01 +p2542 +tp2543 +a(g436 +VProg-Folder +p2544 +tp2545 +a(g822 +V +p2546 +tp2547 +a(g744 +VPIC X(256) +p2548 +tp2549 +a(g701 +g965 +tp2550 +a(g822 +V\u000a +p2551 +tp2552 +a(g822 +V\u000a +p2553 +tp2554 +a(g7 +V +p2555 +tp2556 +a(g822 +g958 +tp2557 +a(g32 +V01 +p2558 +tp2559 +a(g436 +VProg-Extension +p2560 +tp2561 +a(g822 +V +p2562 +tp2563 +a(g744 +VPIC X(30) +p2564 +tp2565 +a(g701 +g965 +tp2566 +a(g822 +V\u000a +p2567 +tp2568 +a(g822 +V\u000a +p2569 +tp2570 +a(g7 +V +p2571 +tp2572 +a(g822 +g958 +tp2573 +a(g32 +V01 +p2574 +tp2575 +a(g436 +VProg-File-Name +p2576 +tp2577 +a(g822 +V +p2578 +tp2579 +a(g744 +VPIC X(40) +p2580 +tp2581 +a(g701 +g965 +tp2582 +a(g822 +V\u000a +p2583 +tp2584 +a(g822 +V\u000a +p2585 +tp2586 +a(g7 +V +p2587 +tp2588 +a(g822 +g958 +tp2589 +a(g32 +V01 +p2590 +tp2591 +a(g436 +VProg-Name +p2592 +tp2593 +a(g822 +V +p2594 +tp2595 +a(g744 +VPIC X(31) +p2596 +tp2597 +a(g701 +g965 +tp2598 +a(g822 +V\u000a +p2599 +tp2600 +a(g822 +V\u000a +p2601 +tp2602 +a(g7 +V +p2603 +tp2604 +a(g822 +g958 +tp2605 +a(g32 +V78 +p2606 +tp2607 +a(g436 +VSelection-Char +p2608 +tp2609 +a(g822 +V +p2610 +tp2611 +a(g781 +VVALUE +p2612 +tp2613 +a(g225 +V'>' +p2614 +tp2615 +a(g701 +g965 +tp2616 +a(g822 +V\u000a +p2617 +tp2618 +a(g822 +V\u000a +p2619 +tp2620 +a(g7 +V +p2621 +tp2622 +a(g822 +g958 +tp2623 +a(g32 +V01 +p2624 +tp2625 +a(g436 +VSwitch-Display +p2626 +tp2627 +a(g701 +g965 +tp2628 +a(g822 +V\u000a +p2629 +tp2630 +a(g7 +V +p2631 +tp2632 +a(g822 +V +p2633 +tp2634 +a(g32 +V05 +p2635 +tp2636 +a(g436 +VSD-Switch-And-Value +p2637 +tp2638 +a(g822 +V +p2639 +tp2640 +a(g744 +VPIC X(19) +p2641 +tp2642 +a(g701 +g965 +tp2643 +a(g822 +V\u000a +p2644 +tp2645 +a(g7 +V +p2646 +tp2647 +a(g822 +V +p2648 +tp2649 +a(g32 +V05 +p2650 +tp2651 +a(g781 +VFILLER +p2652 +tp2653 +a(g822 +g958 +tp2654 +a(g744 +VPIC X(1) +p2655 +tp2656 +a(g701 +g965 +tp2657 +a(g822 +V\u000a +p2658 +tp2659 +a(g7 +V +p2660 +tp2661 +a(g822 +V +p2662 +tp2663 +a(g32 +V05 +p2664 +tp2665 +a(g436 +VSD-Description +p2666 +tp2667 +a(g822 +V +p2668 +tp2669 +a(g744 +VPIC X(60) +p2670 +tp2671 +a(g701 +g965 +tp2672 +a(g822 +V\u000a +p2673 +tp2674 +a(g822 +V\u000a +p2675 +tp2676 +a(g7 +V +p2677 +tp2678 +a(g822 +g958 +tp2679 +a(g32 +V01 +p2680 +tp2681 +a(g436 +VSwitch-Keyword +p2682 +tp2683 +a(g822 +V +p2684 +tp2685 +a(g744 +VPIC X(12) +p2686 +tp2687 +a(g701 +g965 +tp2688 +a(g822 +V\u000a +p2689 +tp2690 +a(g7 +VGC0410 +p2691 +tp2692 +a(g822 +V +p2693 +tp2694 +a(g32 +V88 +p2695 +tp2696 +a(g436 +VSwitch-Is-CONFIG +p2697 +tp2698 +a(g822 +V +p2699 +tp2700 +a(g781 +VVALUE +p2701 +tp2702 +a(g225 +V'@CONFIG' +p2703 +tp2704 +a(g701 +V, +p2705 +tp2706 +a(g822 +g958 +tp2707 +a(g225 +V'@C' +p2708 +tp2709 +a(g701 +g965 +tp2710 +a(g822 +V\u000a +p2711 +tp2712 +a(g7 +VGC0410 +p2713 +tp2714 +a(g822 +V +p2715 +tp2716 +a(g32 +V88 +p2717 +tp2718 +a(g436 +VSwitch-Is-DEBUG +p2719 +tp2720 +a(g822 +V +p2721 +tp2722 +a(g781 +VVALUE +p2723 +tp2724 +a(g225 +V'@DEBUG' +p2725 +tp2726 +a(g701 +g2705 +tp2727 +a(g822 +g958 +tp2728 +a(g225 +V'@D' +p2729 +tp2730 +a(g701 +g965 +tp2731 +a(g822 +V\u000a +p2732 +tp2733 +a(g7 +VGC0410 +p2734 +tp2735 +a(g822 +V +p2736 +tp2737 +a(g32 +V88 +p2738 +tp2739 +a(g436 +VSwitch-Is-DLL +p2740 +tp2741 +a(g822 +V +p2742 +tp2743 +a(g781 +VVALUE +p2744 +tp2745 +a(g225 +V'@DLL' +p2746 +tp2747 +a(g701 +g965 +tp2748 +a(g822 +V\u000a +p2749 +tp2750 +a(g7 +VGC0410 +p2751 +tp2752 +a(g822 +V +p2753 +tp2754 +a(g32 +V88 +p2755 +tp2756 +a(g436 +VSwitch-Is-EXECUTE +p2757 +tp2758 +a(g822 +V +p2759 +tp2760 +a(g781 +VVALUE +p2761 +tp2762 +a(g225 +V'@EXECUTE' +p2763 +tp2764 +a(g701 +g2705 +tp2765 +a(g822 +g958 +tp2766 +a(g225 +V'@E' +p2767 +tp2768 +a(g701 +g965 +tp2769 +a(g822 +V\u000a +p2770 +tp2771 +a(g7 +VGC0410 +p2772 +tp2773 +a(g822 +V +p2774 +tp2775 +a(g32 +V88 +p2776 +tp2777 +a(g436 +VSwitch-Is-EXTRA +p2778 +tp2779 +a(g822 +V +p2780 +tp2781 +a(g781 +VVALUE +p2782 +tp2783 +a(g225 +V'@EXTRA' +p2784 +tp2785 +a(g701 +g2705 +tp2786 +a(g822 +g958 +tp2787 +a(g225 +V'@EX' +p2788 +tp2789 +a(g701 +g965 +tp2790 +a(g822 +V\u000a +p2791 +tp2792 +a(g7 +VGC0410 +p2793 +tp2794 +a(g822 +V +p2795 +tp2796 +a(g32 +V88 +p2797 +tp2798 +a(g436 +VSwitch-Is-NOTRUNC +p2799 +tp2800 +a(g822 +V +p2801 +tp2802 +a(g781 +VVALUE +p2803 +tp2804 +a(g225 +V'@NOTRUNC' +p2805 +tp2806 +a(g701 +g2705 +tp2807 +a(g822 +g958 +tp2808 +a(g225 +V'@N' +p2809 +tp2810 +a(g701 +g965 +tp2811 +a(g822 +V\u000a +p2812 +tp2813 +a(g7 +VGC0410 +p2814 +tp2815 +a(g822 +V +p2816 +tp2817 +a(g32 +V88 +p2818 +tp2819 +a(g436 +VSwitch-Is-TRACE +p2820 +tp2821 +a(g822 +V +p2822 +tp2823 +a(g781 +VVALUE +p2824 +tp2825 +a(g225 +V'@TRACE' +p2826 +tp2827 +a(g701 +g2705 +tp2828 +a(g822 +g958 +tp2829 +a(g225 +V'@T' +p2830 +tp2831 +a(g701 +g965 +tp2832 +a(g822 +V\u000a +p2833 +tp2834 +a(g7 +VGC0410 +p2835 +tp2836 +a(g822 +V +p2837 +tp2838 +a(g32 +V88 +p2839 +tp2840 +a(g436 +VSwitch-Is-SOURCE +p2841 +tp2842 +a(g822 +V +p2843 +tp2844 +a(g781 +VVALUE +p2845 +tp2846 +a(g225 +V'@SOURCE' +p2847 +tp2848 +a(g701 +g2705 +tp2849 +a(g822 +g958 +tp2850 +a(g225 +V'@S' +p2851 +tp2852 +a(g701 +g965 +tp2853 +a(g822 +V\u000a +p2854 +tp2855 +a(g7 +VGC0410 +p2856 +tp2857 +a(g822 +V +p2858 +tp2859 +a(g32 +V88 +p2860 +tp2861 +a(g436 +VSwitch-Is-XREF +p2862 +tp2863 +a(g822 +V +p2864 +tp2865 +a(g781 +VVALUE +p2866 +tp2867 +a(g225 +V'@XREF' +p2868 +tp2869 +a(g701 +g2705 +tp2870 +a(g822 +g958 +tp2871 +a(g225 +V'@X' +p2872 +tp2873 +a(g701 +g965 +tp2874 +a(g822 +V\u000a +p2875 +tp2876 +a(g822 +V\u000a +p2877 +tp2878 +a(g7 +V +p2879 +tp2880 +a(g822 +g958 +tp2881 +a(g32 +V01 +p2882 +tp2883 +a(g436 +VSwitch-Keyword-And-Value +p2884 +tp2885 +a(g822 +V +p2886 +tp2887 +a(g744 +VPIC X(256) +p2888 +tp2889 +a(g701 +g965 +tp2890 +a(g822 +V\u000a +p2891 +tp2892 +a(g822 +V\u000a +p2893 +tp2894 +a(g7 +V +p2895 +tp2896 +a(g822 +g958 +tp2897 +a(g32 +V01 +p2898 +tp2899 +a(g436 +VSwitch-Value +p2900 +tp2901 +a(g701 +g965 +tp2902 +a(g822 +V\u000a +p2903 +tp2904 +a(g7 +V +p2905 +tp2906 +a(g822 +V +p2907 +tp2908 +a(g32 +V05 +p2909 +tp2910 +a(g436 +VSV-1 +p2911 +tp2912 +a(g822 +V +p2913 +tp2914 +a(g744 +VPIC X(1) +p2915 +tp2916 +a(g701 +g965 +tp2917 +a(g822 +V\u000a +p2918 +tp2919 +a(g7 +V +p2920 +tp2921 +a(g822 +V +p2922 +tp2923 +a(g32 +V05 +p2924 +tp2925 +a(g781 +VFILLER +p2926 +tp2927 +a(g822 +g958 +tp2928 +a(g744 +VPIC X(255) +p2929 +tp2930 +a(g701 +g965 +tp2931 +a(g822 +V\u000a +p2932 +tp2933 +a(g7 +V +p2934 +tp2935 +a(g822 +g958 +tp2936 +a(g32 +V01 +p2937 +tp2938 +a(g436 +VSwitch-Value-Alt +p2939 +tp2940 +a(g822 +V +p2941 +tp2942 +a(g781 +VREDEFINES +p2943 +tp2944 +a(g822 +g958 +tp2945 +a(g436 +VSwitch-Value +p2946 +tp2947 +a(g822 +V\u000a +p2948 +tp2949 +a(g7 +V +p2950 +tp2951 +a(g822 +V +p2952 +tp2953 +a(g744 +VPIC X(256) +p2954 +tp2955 +a(g701 +g965 +tp2956 +a(g822 +V\u000a +p2957 +tp2958 +a(g7 +V +p2959 +tp2960 +a(g822 +V +p2961 +tp2962 +a(g32 +V88 +p2963 +tp2964 +a(g436 +VValid-Config-Filename +p2965 +tp2966 +a(g822 +V\u000a +p2967 +tp2968 +a(g7 +V +p2969 +tp2970 +a(g822 +V +p2971 +tp2972 +a(g781 +VVALUE +p2973 +tp2974 +a(g225 +V'BS2000' +p2975 +tp2976 +a(g701 +g2705 +tp2977 +a(g822 +g958 +tp2978 +a(g225 +V'COBOL85' +p2979 +tp2980 +a(g701 +g2705 +tp2981 +a(g822 +g958 +tp2982 +a(g225 +V'COBOL2002' +p2983 +tp2984 +a(g701 +g2705 +tp2985 +a(g822 +g958 +tp2986 +a(g225 +V'DEFAULT' +p2987 +tp2988 +a(g701 +g2705 +tp2989 +a(g822 +V\u000a +p2990 +tp2991 +a(g7 +V +p2992 +tp2993 +a(g822 +V +p2994 +tp2995 +a(g225 +V'IBM' +p2996 +tp2997 +a(g701 +g2705 +tp2998 +a(g822 +V +p2999 +tp3000 +a(g225 +V'MF' +p3001 +tp3002 +a(g701 +g2705 +tp3003 +a(g822 +V +p3004 +tp3005 +a(g225 +V'MVS' +p3006 +tp3007 +a(g701 +g965 +tp3008 +a(g822 +V\u000a +p3009 +tp3010 +a(g822 +V\u000a +p3011 +tp3012 +a(g7 +V +p3013 +tp3014 +a(g822 +g958 +tp3015 +a(g32 +V01 +p3016 +tp3017 +a(g436 +VSwitches +p3018 +tp3019 +a(g701 +g965 +tp3020 +a(g822 +V\u000a +p3021 +tp3022 +a(g7 +V +p3023 +tp3024 +a(g822 +V +p3025 +tp3026 +a(g32 +V05 +p3027 +tp3028 +a(g436 +VS-ARGS +p3029 +tp3030 +a(g822 +V +p3031 +tp3032 +a(g744 +VPIC X(75) +p3033 +tp3034 +a(g822 +g958 +tp3035 +a(g781 +VVALUE +p3036 +tp3037 +a(g822 +g958 +tp3038 +a(g561 +VSPACES +p3039 +tp3040 +a(g701 +g965 +tp3041 +a(g822 +V\u000a +p3042 +tp3043 +a(g7 +V +p3044 +tp3045 +a(g822 +V +p3046 +tp3047 +a(g32 +V05 +p3048 +tp3049 +a(g436 +VS-CfgS +p3050 +tp3051 +a(g701 +g965 +tp3052 +a(g822 +V\u000a +p3053 +tp3054 +a(g7 +V +p3055 +tp3056 +a(g822 +V +p3057 +tp3058 +a(g32 +V10 +p3059 +tp3060 +a(g436 +VS-Cfg-BS2000 +p3061 +tp3062 +a(g822 +V +p3063 +tp3064 +a(g744 +VPIC X(1) +p3065 +tp3066 +a(g822 +g958 +tp3067 +a(g781 +VVALUE +p3068 +tp3069 +a(g225 +V' ' +p3070 +tp3071 +a(g701 +g965 +tp3072 +a(g822 +V\u000a +p3073 +tp3074 +a(g7 +V +p3075 +tp3076 +a(g822 +V +p3077 +tp3078 +a(g32 +V10 +p3079 +tp3080 +a(g436 +VS-Cfg-COBOL85 +p3081 +tp3082 +a(g822 +V +p3083 +tp3084 +a(g744 +VPIC X(1) +p3085 +tp3086 +a(g822 +g958 +tp3087 +a(g781 +VVALUE +p3088 +tp3089 +a(g225 +V' ' +p3090 +tp3091 +a(g701 +g965 +tp3092 +a(g822 +V\u000a +p3093 +tp3094 +a(g7 +V +p3095 +tp3096 +a(g822 +V +p3097 +tp3098 +a(g32 +V10 +p3099 +tp3100 +a(g436 +VS-Cfg-COBOL2002 +p3101 +tp3102 +a(g822 +V +p3103 +tp3104 +a(g744 +VPIC X(1) +p3105 +tp3106 +a(g822 +g958 +tp3107 +a(g781 +VVALUE +p3108 +tp3109 +a(g225 +V' ' +p3110 +tp3111 +a(g701 +g965 +tp3112 +a(g822 +V\u000a +p3113 +tp3114 +a(g7 +V +p3115 +tp3116 +a(g822 +V +p3117 +tp3118 +a(g32 +V10 +p3119 +tp3120 +a(g436 +VS-Cfg-DEFAULT +p3121 +tp3122 +a(g822 +V +p3123 +tp3124 +a(g744 +VPIC X(1) +p3125 +tp3126 +a(g822 +g958 +tp3127 +a(g781 +VVALUE +p3128 +tp3129 +a(g822 +g958 +tp3130 +a(g436 +VSelection-Char +p3131 +tp3132 +a(g701 +g965 +tp3133 +a(g822 +V\u000a +p3134 +tp3135 +a(g7 +V +p3136 +tp3137 +a(g822 +V +p3138 +tp3139 +a(g32 +V10 +p3140 +tp3141 +a(g436 +VS-Cfg-IBM +p3142 +tp3143 +a(g822 +V +p3144 +tp3145 +a(g744 +VPIC X(1) +p3146 +tp3147 +a(g822 +g958 +tp3148 +a(g781 +VVALUE +p3149 +tp3150 +a(g225 +V' ' +p3151 +tp3152 +a(g701 +g965 +tp3153 +a(g822 +V\u000a +p3154 +tp3155 +a(g7 +V +p3156 +tp3157 +a(g822 +V +p3158 +tp3159 +a(g32 +V10 +p3160 +tp3161 +a(g436 +VS-Cfg-MF +p3162 +tp3163 +a(g822 +V +p3164 +tp3165 +a(g744 +VPIC X(1) +p3166 +tp3167 +a(g822 +g958 +tp3168 +a(g781 +VVALUE +p3169 +tp3170 +a(g225 +V' ' +p3171 +tp3172 +a(g701 +g965 +tp3173 +a(g822 +V\u000a +p3174 +tp3175 +a(g7 +V +p3176 +tp3177 +a(g822 +V +p3178 +tp3179 +a(g32 +V10 +p3180 +tp3181 +a(g436 +VS-Cfg-MVS +p3182 +tp3183 +a(g822 +V +p3184 +tp3185 +a(g744 +VPIC X(1) +p3186 +tp3187 +a(g822 +g958 +tp3188 +a(g781 +VVALUE +p3189 +tp3190 +a(g225 +V' ' +p3191 +tp3192 +a(g701 +g965 +tp3193 +a(g822 +V\u000a +p3194 +tp3195 +a(g7 +V +p3196 +tp3197 +a(g822 +V +p3198 +tp3199 +a(g32 +V05 +p3200 +tp3201 +a(g436 +VS-EXTRA +p3202 +tp3203 +a(g822 +V +p3204 +tp3205 +a(g744 +VPIC X(75) +p3206 +tp3207 +a(g822 +g958 +tp3208 +a(g781 +VVALUE +p3209 +tp3210 +a(g822 +g958 +tp3211 +a(g561 +VSPACES +p3212 +tp3213 +a(g701 +g965 +tp3214 +a(g822 +V\u000a +p3215 +tp3216 +a(g7 +V +p3217 +tp3218 +a(g822 +V +p3219 +tp3220 +a(g32 +V05 +p3221 +tp3222 +a(g436 +VS-Yes-No-Switches +p3223 +tp3224 +a(g701 +g965 +tp3225 +a(g822 +V\u000a +p3226 +tp3227 +a(g7 +V +p3228 +tp3229 +a(g822 +V +p3230 +tp3231 +a(g32 +V10 +p3232 +tp3233 +a(g436 +VS-DEBUG +p3234 +tp3235 +a(g822 +V +p3236 +tp3237 +a(g744 +VPIC X(1) +p3238 +tp3239 +a(g822 +g958 +tp3240 +a(g781 +VVALUE +p3241 +tp3242 +a(g225 +V'N' +p3243 +tp3244 +a(g701 +g965 +tp3245 +a(g822 +V\u000a +p3246 +tp3247 +a(g7 +V +p3248 +tp3249 +a(g822 +V +p3250 +tp3251 +a(g32 +V10 +p3252 +tp3253 +a(g436 +VS-DLL +p3254 +tp3255 +a(g822 +V +p3256 +tp3257 +a(g744 +VPIC X(1) +p3258 +tp3259 +a(g822 +g958 +tp3260 +a(g781 +VVALUE +p3261 +tp3262 +a(g225 +V'N' +p3263 +tp3264 +a(g701 +g965 +tp3265 +a(g822 +V\u000a +p3266 +tp3267 +a(g7 +VGC0410 +p3268 +tp3269 +a(g822 +V +p3270 +tp3271 +a(g32 +V10 +p3272 +tp3273 +a(g436 +VS-XREF +p3274 +tp3275 +a(g822 +V +p3276 +tp3277 +a(g744 +VPIC X(1) +p3278 +tp3279 +a(g822 +g958 +tp3280 +a(g781 +VVALUE +p3281 +tp3282 +a(g225 +V'N' +p3283 +tp3284 +a(g701 +g965 +tp3285 +a(g822 +V\u000a +p3286 +tp3287 +a(g7 +VGC0410 +p3288 +tp3289 +a(g822 +V +p3290 +tp3291 +a(g32 +V10 +p3292 +tp3293 +a(g436 +VS-SOURCE +p3294 +tp3295 +a(g822 +V +p3296 +tp3297 +a(g744 +VPIC X(1) +p3298 +tp3299 +a(g822 +g958 +tp3300 +a(g781 +VVALUE +p3301 +tp3302 +a(g225 +V'N' +p3303 +tp3304 +a(g701 +g965 +tp3305 +a(g822 +V\u000a +p3306 +tp3307 +a(g7 +V +p3308 +tp3309 +a(g822 +V +p3310 +tp3311 +a(g32 +V10 +p3312 +tp3313 +a(g436 +VS-EXECUTE +p3314 +tp3315 +a(g822 +V +p3316 +tp3317 +a(g744 +VPIC X(1) +p3318 +tp3319 +a(g822 +g958 +tp3320 +a(g781 +VVALUE +p3321 +tp3322 +a(g225 +V'N' +p3323 +tp3324 +a(g701 +g965 +tp3325 +a(g822 +V\u000a +p3326 +tp3327 +a(g7 +V +p3328 +tp3329 +a(g822 +V +p3330 +tp3331 +a(g32 +V10 +p3332 +tp3333 +a(g436 +VS-NOTRUNC +p3334 +tp3335 +a(g822 +V +p3336 +tp3337 +a(g744 +VPIC X(1) +p3338 +tp3339 +a(g822 +g958 +tp3340 +a(g781 +VVALUE +p3341 +tp3342 +a(g225 +V'Y' +p3343 +tp3344 +a(g701 +g965 +tp3345 +a(g822 +V\u000a +p3346 +tp3347 +a(g7 +V +p3348 +tp3349 +a(g822 +V +p3350 +tp3351 +a(g32 +V10 +p3352 +tp3353 +a(g436 +VS-SUBROUTINE +p3354 +tp3355 +a(g822 +V +p3356 +tp3357 +a(g744 +VPIC X(1) +p3358 +tp3359 +a(g822 +g958 +tp3360 +a(g781 +VVALUE +p3361 +tp3362 +a(g225 +V'A' +p3363 +tp3364 +a(g701 +g965 +tp3365 +a(g822 +V\u000a +p3366 +tp3367 +a(g7 +V +p3368 +tp3369 +a(g822 +V +p3370 +tp3371 +a(g32 +V10 +p3372 +tp3373 +a(g436 +VS-TRACE +p3374 +tp3375 +a(g822 +V +p3376 +tp3377 +a(g744 +VPIC X(1) +p3378 +tp3379 +a(g822 +g958 +tp3380 +a(g781 +VVALUE +p3381 +tp3382 +a(g225 +V'N' +p3383 +tp3384 +a(g701 +g965 +tp3385 +a(g822 +V\u000a +p3386 +tp3387 +a(g7 +V +p3388 +tp3389 +a(g822 +V +p3390 +tp3391 +a(g32 +V10 +p3392 +tp3393 +a(g436 +VS-TRACEALL +p3394 +tp3395 +a(g822 +V +p3396 +tp3397 +a(g744 +VPIC X(1) +p3398 +tp3399 +a(g822 +g958 +tp3400 +a(g781 +VVALUE +p3401 +tp3402 +a(g225 +V'N' +p3403 +tp3404 +a(g701 +g965 +tp3405 +a(g822 +V\u000a +p3406 +tp3407 +a(g822 +V\u000a +p3408 +tp3409 +a(g7 +V +p3410 +tp3411 +a(g822 +g958 +tp3412 +a(g32 +V01 +p3413 +tp3414 +a(g436 +VTally +p3415 +tp3416 +a(g822 +V +p3417 +tp3418 +a(g781 +VUSAGE +p3419 +tp3420 +a(g822 +g958 +tp3421 +a(g744 +VBINARY-LONG +p3422 +tp3423 +a(g701 +g965 +tp3424 +a(g822 +V\u000a +p3425 +tp3426 +a(g822 +V\u000a +p3427 +tp3428 +a(g7 +V +p3429 +tp3430 +a(g822 +V +p3431 +tp3432 +a(g789 +VSCREEN +p3433 +tp3434 +a(g822 +g958 +tp3435 +a(g789 +VSECTION +p3436 +tp3437 +a(g701 +g965 +tp3438 +a(g822 +V\u000a +p3439 +tp3440 +a(g7 +V *>\u000a +p3441 +tp3442 +a(g7 +V *> Here is the layout of the OCic screen.\u000a +p3443 +tp3444 +a(g7 +V *>\u000a +p3445 +tp3446 +a(g7 +V *> Note that this program can utilize the traditional PC line-drawing characters,\u000a +p3447 +tp3448 +a(g7 +V *> if they are available.\u000a +p3449 +tp3450 +a(g7 +V *>\u000a +p3451 +tp3452 +a(g7 +V *> If this program is run on Windows, it must run with codepage 437 activated to\u000a +p3453 +tp3454 +a(g7 +V *> display the line-drawing characters. With a native Windows build or a\u000a +p3455 +tp3456 +a(g7 +V *> Windows/MinGW build, one could use the command "chcp 437" to set that codepage\u000a +p3457 +tp3458 +a(g7 +V *> for display within a Windows console window (that should be the default, though).\u000a +p3459 +tp3460 +a(g7 +V *> With a Windows/Cygwin build, set the environment variable CYGWIN to a value of\u000a +p3461 +tp3462 +a(g7 +V *> "codepage:oem" (this cannot be done from within the program though - you will\u000a +p3463 +tp3464 +a(g7 +V *> have to use the "Computer/Advanced System Settings/Environment Variables" (Vista or\u000a +p3465 +tp3466 +a(g7 +V *> Windows 7) function to define the variable. XP Users: use "My Computer/Properties/\u000a +p3467 +tp3468 +a(g7 +V *> Advanced/Environment Variables".\u000a +p3469 +tp3470 +a(g7 +V *>\u000a +p3471 +tp3472 +a(g7 +V *> To use OCic without the line-drawing characters, comment-out the first set of\u000a +p3473 +tp3474 +a(g7 +V *> 78 "LD" items and uncomment the second.\u000a +p3475 +tp3476 +a(g7 +V *>\u000a +p3477 +tp3478 +a(g7 +V *> The following sample screen layout shows how the screen looks with line-drawing\u000a +p3479 +tp3480 +a(g7 +V *> characters disabled.\u000a +p3481 +tp3482 +a(g7 +V *>\u000a +p3483 +tp3484 +a(g7 +V *>===================================================================================\u000a +p3485 +tp3486 +a(g7 +V *> OCic (2010/04/02 11:36) - OpenCOBOL V1.1 Interactive Compilation Windows 01\u000a +p3487 +tp3488 +a(g7 +V *> +-----------------------------------------------------------------------------+ 02\u000a +p3489 +tp3490 +a(g7 +V *> | Program: OCic F-Key: Select Opt | 03\u000a +p3491 +tp3492 +a(g7 +V *> | Folder: E:\u005cOpenCOBOL\u005cSamples Enter: Compile | 04\u000a +p3493 +tp3494 +a(g7 +V *> | Filename: OCic.cbl Esc: Quit | 05\u000a +p3495 +tp3496 +a(g7 +V *> +-----------------------------------------------------------------------------+ 06\u000a +p3497 +tp3498 +a(g7 +V *> On/Off Switches: Configuration: 07\u000a +p3499 +tp3500 +a(g7 +V *> +---------------------------------------------------------+-------------------+ 08\u000a +p3501 +tp3502 +a(g7 +V *> | F1 Compile debug lines F8 Produce source listing | S-F1 BS2000 | 09\u000a +p3503 +tp3504 +a(g7 +V *> | F2 Always make DLLs F9 Produce xref listing | S-F2 COBOL85 | 10\u000a +p3505 +tp3506 +a(g7 +V *> | F3 Pgm is a SUBROUTINE | S-F3 COBOL2002 | 11\u000a +p3507 +tp3508 +a(g7 +V *> | F4 Execute if compile OK | S-F4 > Default | 12\u000a +p3509 +tp3510 +a(g7 +V *> | F5 > No COMP/BINARY trunc | S-F5 IBM | 13\u000a +p3511 +tp3512 +a(g7 +V *> | F6 Trace procedures | S-F6 MicroFocus | 14\u000a +p3513 +tp3514 +a(g7 +V *> | F7 Trace proc + stmnts | S-F7 MVS | 15\u000a +p3515 +tp3516 +a(g7 +V *> +---------------------------------------------------------+-------------------+ 16\u000a +p3517 +tp3518 +a(g7 +V *> Additional "cobc" Switches (if any): 17\u000a +p3519 +tp3520 +a(g7 +V *> +-----------------------------------------------------------------------------+ 18\u000a +p3521 +tp3522 +a(g7 +V *> | -O2________________________________________________________________________ | 19\u000a +p3523 +tp3524 +a(g7 +V *> +-----------------------------------------------------------------------------+ 20\u000a +p3525 +tp3526 +a(g7 +V *> Program Execution Arguments (if any): 21\u000a +p3527 +tp3528 +a(g7 +V *> +-----------------------------------------------------------------------------+ 22\u000a +p3529 +tp3530 +a(g7 +V *> | ___________________________________________________________________________ | 23\u000a +p3531 +tp3532 +a(g7 +V *> +-----------------------------------------------------------------------------+ 24\u000a +p3533 +tp3534 +a(g7 +V *> OCic Copyright (C) 2009-2010, Gary L. Cutler, GPL 25\u000a +p3535 +tp3536 +a(g7 +V *>===================================================================================\u000a +p3537 +tp3538 +a(g7 +V *>12345678901234567890123456789012345678901234567890123456789012345678901234567890\u000a +p3539 +tp3540 +a(g7 +V *> 1 2 3 4 5 6 7 8\u000a +p3541 +tp3542 +a(g7 +V *>\u000a +p3543 +tp3544 +a(g7 +V *> USE THESE CHARS FOR LINE-DRAWING IF YOU HAVE ACCESS TO PC-DOS CODEPAGE 437:\u000a +p3545 +tp3546 +a(g7 +V *>\u000a +p3547 +tp3548 +a(g7 +V +p3549 +tp3550 +a(g822 +g958 +tp3551 +a(g32 +V78 +p3552 +tp3553 +a(g436 +VLD-UL-Corner +p3554 +tp3555 +a(g822 +V +p3556 +tp3557 +a(g781 +VVALUE +p3558 +tp3559 +a(g822 +g958 +tp3560 +a(g436 +VX +p3561 +tp3562 +a(g152 +V"DA" +p3563 +tp3564 +a(g701 +g965 +tp3565 +a(g822 +V\u000a +p3566 +tp3567 +a(g7 +V +p3568 +tp3569 +a(g822 +g958 +tp3570 +a(g32 +V78 +p3571 +tp3572 +a(g436 +VLD-LL-Corner +p3573 +tp3574 +a(g822 +V +p3575 +tp3576 +a(g781 +VVALUE +p3577 +tp3578 +a(g822 +g958 +tp3579 +a(g436 +g3561 +tp3580 +a(g152 +V"C0" +p3581 +tp3582 +a(g701 +g965 +tp3583 +a(g822 +V\u000a +p3584 +tp3585 +a(g7 +V +p3586 +tp3587 +a(g822 +g958 +tp3588 +a(g32 +V78 +p3589 +tp3590 +a(g436 +VLD-UR-Corner +p3591 +tp3592 +a(g822 +V +p3593 +tp3594 +a(g781 +VVALUE +p3595 +tp3596 +a(g822 +g958 +tp3597 +a(g436 +g3561 +tp3598 +a(g152 +V"BF" +p3599 +tp3600 +a(g701 +g965 +tp3601 +a(g822 +V\u000a +p3602 +tp3603 +a(g7 +V +p3604 +tp3605 +a(g822 +g958 +tp3606 +a(g32 +V78 +p3607 +tp3608 +a(g436 +VLD-LR-Corner +p3609 +tp3610 +a(g822 +V +p3611 +tp3612 +a(g781 +VVALUE +p3613 +tp3614 +a(g822 +g958 +tp3615 +a(g436 +g3561 +tp3616 +a(g152 +V"D9" +p3617 +tp3618 +a(g701 +g965 +tp3619 +a(g822 +V\u000a +p3620 +tp3621 +a(g7 +V +p3622 +tp3623 +a(g822 +g958 +tp3624 +a(g32 +V78 +p3625 +tp3626 +a(g436 +VLD-Upper-T +p3627 +tp3628 +a(g822 +V +p3629 +tp3630 +a(g781 +VVALUE +p3631 +tp3632 +a(g822 +g958 +tp3633 +a(g436 +g3561 +tp3634 +a(g152 +V"C2" +p3635 +tp3636 +a(g701 +g965 +tp3637 +a(g822 +V\u000a +p3638 +tp3639 +a(g7 +V +p3640 +tp3641 +a(g822 +g958 +tp3642 +a(g32 +V78 +p3643 +tp3644 +a(g436 +VLD-Lower-T +p3645 +tp3646 +a(g822 +V +p3647 +tp3648 +a(g781 +VVALUE +p3649 +tp3650 +a(g822 +g958 +tp3651 +a(g436 +g3561 +tp3652 +a(g152 +V"C1" +p3653 +tp3654 +a(g701 +g965 +tp3655 +a(g822 +V\u000a +p3656 +tp3657 +a(g7 +V +p3658 +tp3659 +a(g822 +g958 +tp3660 +a(g32 +V78 +p3661 +tp3662 +a(g436 +VLD-Horiz-Line +p3663 +tp3664 +a(g822 +V +p3665 +tp3666 +a(g781 +VVALUE +p3667 +tp3668 +a(g822 +g958 +tp3669 +a(g436 +g3561 +tp3670 +a(g152 +V"C4" +p3671 +tp3672 +a(g701 +g965 +tp3673 +a(g822 +V\u000a +p3674 +tp3675 +a(g7 +V +p3676 +tp3677 +a(g822 +g958 +tp3678 +a(g32 +V78 +p3679 +tp3680 +a(g436 +VLD-Vert-Line +p3681 +tp3682 +a(g822 +V +p3683 +tp3684 +a(g781 +VVALUE +p3685 +tp3686 +a(g822 +g958 +tp3687 +a(g436 +g3561 +tp3688 +a(g152 +V"B3" +p3689 +tp3690 +a(g701 +g965 +tp3691 +a(g822 +V\u000a +p3692 +tp3693 +a(g7 +V *>\u000a +p3694 +tp3695 +a(g7 +V *> USE THESE CHARS FOR LINE-DRAWING IF YOU DO NOT HAVE ACCESS TO PC-DOS CODEPAGE 437:\u000a +p3696 +tp3697 +a(g7 +V *>\u000a +p3698 +tp3699 +a(g7 +V *> 78 LD-UL-Corner VALUE '+'.\u000a +p3700 +tp3701 +a(g7 +V *> 78 LD-LL-Corner VALUE '+'.\u000a +p3702 +tp3703 +a(g7 +V *> 78 LD-UR-Corner VALUE '+'.\u000a +p3704 +tp3705 +a(g7 +V *> 78 LD-LR-Corner VALUE '+'.\u000a +p3706 +tp3707 +a(g7 +V *> 78 LD-Upper-T VALUE '+'.\u000a +p3708 +tp3709 +a(g7 +V *> 78 LD-Lower-T VALUE '+'.\u000a +p3710 +tp3711 +a(g7 +V *> 78 LD-Horiz-Line VALUE '-'.\u000a +p3712 +tp3713 +a(g7 +V *> 78 LD-Vert-Line VALUE '|'.\u000a +p3714 +tp3715 +a(g7 +V *>\u000a +p3716 +tp3717 +a(g7 +V +p3718 +tp3719 +a(g822 +g958 +tp3720 +a(g32 +V01 +p3721 +tp3722 +a(g436 +VBlank-Screen +p3723 +tp3724 +a(g822 +g958 +tp3725 +a(g781 +VLINE +p3726 +tp3727 +a(g822 +g958 +tp3728 +a(g32 +V1 +p3729 +tp3730 +a(g781 +VCOLUMN +p3731 +tp3732 +a(g822 +g958 +tp3733 +a(g32 +V1 +p3734 +tp3735 +a(g781 +VBLANK +p3736 +tp3737 +a(g822 +g958 +tp3738 +a(g789 +VSCREEN +p3739 +tp3740 +a(g701 +g965 +tp3741 +a(g822 +V\u000a +p3742 +tp3743 +a(g822 +V\u000a +p3744 +tp3745 +a(g7 +V +p3746 +tp3747 +a(g822 +g958 +tp3748 +a(g32 +V01 +p3749 +tp3750 +a(g436 +VSwitches-Screen +p3751 +tp3752 +a(g822 +g958 +tp3753 +a(g781 +VBACKGROUND-COLOR +p3754 +tp3755 +a(g822 +g958 +tp3756 +a(g436 +VCOB-COLOR-BLACK +p3757 +tp3758 +a(g822 +V\u000a +p3759 +tp3760 +a(g7 +V +p3761 +tp3762 +a(g822 +V +p3763 +tp3764 +a(g781 +VFOREGROUND-COLOR +p3765 +tp3766 +a(g822 +g958 +tp3767 +a(g436 +VCOB-COLOR-WHITE +p3768 +tp3769 +a(g822 +g958 +tp3770 +a(g781 +VAUTO +p3771 +tp3772 +a(g701 +g965 +tp3773 +a(g822 +V\u000a +p3774 +tp3775 +a(g7 +V *>\u000a +p3776 +tp3777 +a(g7 +V *> GENERAL SCREEN FRAMEWORK\u000a +p3778 +tp3779 +a(g7 +V *>\u000a +p3780 +tp3781 +a(g7 +V +p3782 +tp3783 +a(g822 +V +p3784 +tp3785 +a(g32 +V03 +p3786 +tp3787 +a(g781 +VBACKGROUND-COLOR +p3788 +tp3789 +a(g822 +g958 +tp3790 +a(g436 +VCOB-COLOR-BLACK +p3791 +tp3792 +a(g822 +V\u000a +p3793 +tp3794 +a(g7 +V +p3795 +tp3796 +a(g822 +V +p3797 +tp3798 +a(g781 +VFOREGROUND-COLOR +p3799 +tp3800 +a(g822 +g958 +tp3801 +a(g436 +VCOB-COLOR-BLUE +p3802 +tp3803 +a(g822 +V +p3804 +tp3805 +a(g781 +VHIGHLIGHT +p3806 +tp3807 +a(g701 +g965 +tp3808 +a(g822 +V\u000a +p3809 +tp3810 +a(g7 +V +p3811 +tp3812 +a(g822 +V +p3813 +tp3814 +a(g32 +V05 +p3815 +tp3816 +a(g781 +VLINE +p3817 +tp3818 +a(g822 +g958 +tp3819 +a(g32 +V02 +p3820 +tp3821 +a(g781 +VCOL +p3822 +tp3823 +a(g822 +g958 +tp3824 +a(g32 +V02 +p3825 +tp3826 +a(g781 +VVALUE +p3827 +tp3828 +a(g822 +g958 +tp3829 +a(g436 +VLD-UL-Corner +p3830 +tp3831 +a(g701 +g965 +tp3832 +a(g822 +V\u000a +p3833 +tp3834 +a(g7 +V +p3835 +tp3836 +a(g822 +V +p3837 +tp3838 +a(g32 +V05 +p3839 +tp3840 +a(g744 +VPIC X(77) +p3841 +tp3842 +a(g822 +g958 +tp3843 +a(g781 +VFROM +p3844 +tp3845 +a(g822 +g958 +tp3846 +a(g436 +VHorizontal-Line +p3847 +tp3848 +a(g701 +g965 +tp3849 +a(g822 +V\u000a +p3850 +tp3851 +a(g7 +V +p3852 +tp3853 +a(g822 +V +p3854 +tp3855 +a(g32 +V05 +p3856 +tp3857 +a(g781 +VCOL +p3858 +tp3859 +a(g822 +g958 +tp3860 +a(g32 +V80 +p3861 +tp3862 +a(g781 +VVALUE +p3863 +tp3864 +a(g822 +g958 +tp3865 +a(g436 +VLD-UR-Corner +p3866 +tp3867 +a(g701 +g965 +tp3868 +a(g822 +V\u000a +p3869 +tp3870 +a(g822 +V\u000a +p3871 +tp3872 +a(g7 +V +p3873 +tp3874 +a(g822 +V +p3875 +tp3876 +a(g32 +V05 +p3877 +tp3878 +a(g781 +VLINE +p3879 +tp3880 +a(g822 +g958 +tp3881 +a(g32 +V03 +p3882 +tp3883 +a(g781 +VCOL +p3884 +tp3885 +a(g822 +g958 +tp3886 +a(g32 +V02 +p3887 +tp3888 +a(g781 +VVALUE +p3889 +tp3890 +a(g822 +g958 +tp3891 +a(g436 +VLD-Vert-Line +p3892 +tp3893 +a(g701 +g965 +tp3894 +a(g822 +V\u000a +p3895 +tp3896 +a(g7 +V +p3897 +tp3898 +a(g822 +V +p3899 +tp3900 +a(g32 +V05 +p3901 +tp3902 +a(g781 +VCOL +p3903 +tp3904 +a(g822 +g958 +tp3905 +a(g32 +V80 +p3906 +tp3907 +a(g781 +VVALUE +p3908 +tp3909 +a(g822 +g958 +tp3910 +a(g436 +VLD-Vert-Line +p3911 +tp3912 +a(g701 +g965 +tp3913 +a(g822 +V\u000a +p3914 +tp3915 +a(g822 +V\u000a +p3916 +tp3917 +a(g7 +V +p3918 +tp3919 +a(g822 +V +p3920 +tp3921 +a(g32 +V05 +p3922 +tp3923 +a(g781 +VLINE +p3924 +tp3925 +a(g822 +g958 +tp3926 +a(g32 +V04 +p3927 +tp3928 +a(g781 +VCOL +p3929 +tp3930 +a(g822 +g958 +tp3931 +a(g32 +V02 +p3932 +tp3933 +a(g781 +VVALUE +p3934 +tp3935 +a(g822 +g958 +tp3936 +a(g436 +VLD-Vert-Line +p3937 +tp3938 +a(g701 +g965 +tp3939 +a(g822 +V\u000a +p3940 +tp3941 +a(g7 +V +p3942 +tp3943 +a(g822 +V +p3944 +tp3945 +a(g32 +V05 +p3946 +tp3947 +a(g781 +VCOL +p3948 +tp3949 +a(g822 +g958 +tp3950 +a(g32 +V80 +p3951 +tp3952 +a(g781 +VVALUE +p3953 +tp3954 +a(g822 +g958 +tp3955 +a(g436 +VLD-Vert-Line +p3956 +tp3957 +a(g701 +g965 +tp3958 +a(g822 +V\u000a +p3959 +tp3960 +a(g822 +V\u000a +p3961 +tp3962 +a(g7 +V +p3963 +tp3964 +a(g822 +V +p3965 +tp3966 +a(g32 +V05 +p3967 +tp3968 +a(g781 +VLINE +p3969 +tp3970 +a(g822 +g958 +tp3971 +a(g32 +V05 +p3972 +tp3973 +a(g781 +VCOL +p3974 +tp3975 +a(g822 +g958 +tp3976 +a(g32 +V02 +p3977 +tp3978 +a(g781 +VVALUE +p3979 +tp3980 +a(g822 +g958 +tp3981 +a(g436 +VLD-Vert-Line +p3982 +tp3983 +a(g701 +g965 +tp3984 +a(g822 +V\u000a +p3985 +tp3986 +a(g7 +V +p3987 +tp3988 +a(g822 +V +p3989 +tp3990 +a(g32 +V05 +p3991 +tp3992 +a(g781 +VCOL +p3993 +tp3994 +a(g822 +g958 +tp3995 +a(g32 +V80 +p3996 +tp3997 +a(g781 +VVALUE +p3998 +tp3999 +a(g822 +g958 +tp4000 +a(g436 +VLD-Vert-Line +p4001 +tp4002 +a(g701 +g965 +tp4003 +a(g822 +V\u000a +p4004 +tp4005 +a(g822 +V\u000a +p4006 +tp4007 +a(g7 +V +p4008 +tp4009 +a(g822 +V +p4010 +tp4011 +a(g32 +V05 +p4012 +tp4013 +a(g781 +VLINE +p4014 +tp4015 +a(g822 +g958 +tp4016 +a(g32 +V06 +p4017 +tp4018 +a(g781 +VCOL +p4019 +tp4020 +a(g822 +g958 +tp4021 +a(g32 +V02 +p4022 +tp4023 +a(g781 +VVALUE +p4024 +tp4025 +a(g822 +g958 +tp4026 +a(g436 +VLD-LL-Corner +p4027 +tp4028 +a(g701 +g965 +tp4029 +a(g822 +V\u000a +p4030 +tp4031 +a(g7 +V +p4032 +tp4033 +a(g822 +V +p4034 +tp4035 +a(g32 +V05 +p4036 +tp4037 +a(g744 +VPIC X(77) +p4038 +tp4039 +a(g822 +g958 +tp4040 +a(g781 +VFROM +p4041 +tp4042 +a(g822 +g958 +tp4043 +a(g436 +VHorizontal-Line +p4044 +tp4045 +a(g701 +g965 +tp4046 +a(g822 +V\u000a +p4047 +tp4048 +a(g7 +V +p4049 +tp4050 +a(g822 +V +p4051 +tp4052 +a(g32 +V05 +p4053 +tp4054 +a(g781 +VCOL +p4055 +tp4056 +a(g822 +g958 +tp4057 +a(g32 +V80 +p4058 +tp4059 +a(g781 +VVALUE +p4060 +tp4061 +a(g822 +g958 +tp4062 +a(g436 +VLD-LR-Corner +p4063 +tp4064 +a(g701 +g965 +tp4065 +a(g822 +V\u000a +p4066 +tp4067 +a(g822 +V\u000a +p4068 +tp4069 +a(g7 +V +p4070 +tp4071 +a(g822 +V +p4072 +tp4073 +a(g32 +V05 +p4074 +tp4075 +a(g781 +VLINE +p4076 +tp4077 +a(g822 +g958 +tp4078 +a(g32 +V08 +p4079 +tp4080 +a(g781 +VCOL +p4081 +tp4082 +a(g822 +g958 +tp4083 +a(g32 +V02 +p4084 +tp4085 +a(g781 +VVALUE +p4086 +tp4087 +a(g822 +g958 +tp4088 +a(g436 +VLD-UL-Corner +p4089 +tp4090 +a(g701 +g965 +tp4091 +a(g822 +V\u000a +p4092 +tp4093 +a(g7 +V +p4094 +tp4095 +a(g822 +V +p4096 +tp4097 +a(g32 +V05 +p4098 +tp4099 +a(g744 +VPIC X(57) +p4100 +tp4101 +a(g822 +g958 +tp4102 +a(g781 +VFROM +p4103 +tp4104 +a(g822 +g958 +tp4105 +a(g436 +VHorizontal-Line +p4106 +tp4107 +a(g701 +g965 +tp4108 +a(g822 +V\u000a +p4109 +tp4110 +a(g7 +V +p4111 +tp4112 +a(g822 +V +p4113 +tp4114 +a(g32 +V05 +p4115 +tp4116 +a(g781 +VCOL +p4117 +tp4118 +a(g822 +g958 +tp4119 +a(g32 +V60 +p4120 +tp4121 +a(g781 +VVALUE +p4122 +tp4123 +a(g822 +g958 +tp4124 +a(g436 +VLD-Upper-T +p4125 +tp4126 +a(g701 +g965 +tp4127 +a(g822 +V\u000a +p4128 +tp4129 +a(g7 +V +p4130 +tp4131 +a(g822 +V +p4132 +tp4133 +a(g32 +V05 +p4134 +tp4135 +a(g744 +VPIC X(19) +p4136 +tp4137 +a(g822 +g958 +tp4138 +a(g781 +VFROM +p4139 +tp4140 +a(g822 +g958 +tp4141 +a(g436 +VHorizontal-Line +p4142 +tp4143 +a(g701 +g965 +tp4144 +a(g822 +V\u000a +p4145 +tp4146 +a(g7 +V +p4147 +tp4148 +a(g822 +V +p4149 +tp4150 +a(g32 +V05 +p4151 +tp4152 +a(g781 +VCOL +p4153 +tp4154 +a(g822 +g958 +tp4155 +a(g32 +V80 +p4156 +tp4157 +a(g781 +VVALUE +p4158 +tp4159 +a(g822 +g958 +tp4160 +a(g436 +VLD-UR-Corner +p4161 +tp4162 +a(g701 +g965 +tp4163 +a(g822 +V\u000a +p4164 +tp4165 +a(g822 +V\u000a +p4166 +tp4167 +a(g7 +V +p4168 +tp4169 +a(g822 +V +p4170 +tp4171 +a(g32 +V05 +p4172 +tp4173 +a(g781 +VLINE +p4174 +tp4175 +a(g822 +g958 +tp4176 +a(g32 +V09 +p4177 +tp4178 +a(g781 +VCOL +p4179 +tp4180 +a(g822 +g958 +tp4181 +a(g32 +V02 +p4182 +tp4183 +a(g781 +VVALUE +p4184 +tp4185 +a(g822 +g958 +tp4186 +a(g436 +VLD-Vert-Line +p4187 +tp4188 +a(g701 +g965 +tp4189 +a(g822 +V\u000a +p4190 +tp4191 +a(g7 +V +p4192 +tp4193 +a(g822 +V +p4194 +tp4195 +a(g32 +V05 +p4196 +tp4197 +a(g781 +VCOL +p4198 +tp4199 +a(g822 +g958 +tp4200 +a(g32 +V60 +p4201 +tp4202 +a(g781 +VVALUE +p4203 +tp4204 +a(g822 +g958 +tp4205 +a(g436 +VLD-Vert-Line +p4206 +tp4207 +a(g701 +g965 +tp4208 +a(g822 +V\u000a +p4209 +tp4210 +a(g7 +V +p4211 +tp4212 +a(g822 +V +p4213 +tp4214 +a(g32 +V05 +p4215 +tp4216 +a(g781 +VCOL +p4217 +tp4218 +a(g822 +g958 +tp4219 +a(g32 +V80 +p4220 +tp4221 +a(g781 +VVALUE +p4222 +tp4223 +a(g822 +g958 +tp4224 +a(g436 +VLD-Vert-Line +p4225 +tp4226 +a(g701 +g965 +tp4227 +a(g822 +V\u000a +p4228 +tp4229 +a(g822 +V\u000a +p4230 +tp4231 +a(g7 +V +p4232 +tp4233 +a(g822 +V +p4234 +tp4235 +a(g32 +V05 +p4236 +tp4237 +a(g781 +VLINE +p4238 +tp4239 +a(g822 +g958 +tp4240 +a(g32 +V10 +p4241 +tp4242 +a(g781 +VCOL +p4243 +tp4244 +a(g822 +g958 +tp4245 +a(g32 +V02 +p4246 +tp4247 +a(g781 +VVALUE +p4248 +tp4249 +a(g822 +g958 +tp4250 +a(g436 +VLD-Vert-Line +p4251 +tp4252 +a(g701 +g965 +tp4253 +a(g822 +V\u000a +p4254 +tp4255 +a(g7 +V +p4256 +tp4257 +a(g822 +V +p4258 +tp4259 +a(g32 +V05 +p4260 +tp4261 +a(g781 +VCOL +p4262 +tp4263 +a(g822 +g958 +tp4264 +a(g32 +V60 +p4265 +tp4266 +a(g781 +VVALUE +p4267 +tp4268 +a(g822 +g958 +tp4269 +a(g436 +VLD-Vert-Line +p4270 +tp4271 +a(g701 +g965 +tp4272 +a(g822 +V\u000a +p4273 +tp4274 +a(g7 +V +p4275 +tp4276 +a(g822 +V +p4277 +tp4278 +a(g32 +V05 +p4279 +tp4280 +a(g781 +VCOL +p4281 +tp4282 +a(g822 +g958 +tp4283 +a(g32 +V80 +p4284 +tp4285 +a(g781 +VVALUE +p4286 +tp4287 +a(g822 +g958 +tp4288 +a(g436 +VLD-Vert-Line +p4289 +tp4290 +a(g701 +g965 +tp4291 +a(g822 +V\u000a +p4292 +tp4293 +a(g822 +V\u000a +p4294 +tp4295 +a(g7 +V +p4296 +tp4297 +a(g822 +V +p4298 +tp4299 +a(g32 +V05 +p4300 +tp4301 +a(g781 +VLINE +p4302 +tp4303 +a(g822 +g958 +tp4304 +a(g32 +V11 +p4305 +tp4306 +a(g781 +VCOL +p4307 +tp4308 +a(g822 +g958 +tp4309 +a(g32 +V02 +p4310 +tp4311 +a(g781 +VVALUE +p4312 +tp4313 +a(g822 +g958 +tp4314 +a(g436 +VLD-Vert-Line +p4315 +tp4316 +a(g701 +g965 +tp4317 +a(g822 +V\u000a +p4318 +tp4319 +a(g7 +V +p4320 +tp4321 +a(g822 +V +p4322 +tp4323 +a(g32 +V05 +p4324 +tp4325 +a(g781 +VCOL +p4326 +tp4327 +a(g822 +g958 +tp4328 +a(g32 +V60 +p4329 +tp4330 +a(g781 +VVALUE +p4331 +tp4332 +a(g822 +g958 +tp4333 +a(g436 +VLD-Vert-Line +p4334 +tp4335 +a(g701 +g965 +tp4336 +a(g822 +V\u000a +p4337 +tp4338 +a(g7 +V +p4339 +tp4340 +a(g822 +V +p4341 +tp4342 +a(g32 +V05 +p4343 +tp4344 +a(g781 +VCOL +p4345 +tp4346 +a(g822 +g958 +tp4347 +a(g32 +V80 +p4348 +tp4349 +a(g781 +VVALUE +p4350 +tp4351 +a(g822 +g958 +tp4352 +a(g436 +VLD-Vert-Line +p4353 +tp4354 +a(g701 +g965 +tp4355 +a(g822 +V\u000a +p4356 +tp4357 +a(g822 +V\u000a +p4358 +tp4359 +a(g7 +V +p4360 +tp4361 +a(g822 +V +p4362 +tp4363 +a(g32 +V05 +p4364 +tp4365 +a(g781 +VLINE +p4366 +tp4367 +a(g822 +g958 +tp4368 +a(g32 +V12 +p4369 +tp4370 +a(g781 +VCOL +p4371 +tp4372 +a(g822 +g958 +tp4373 +a(g32 +V02 +p4374 +tp4375 +a(g781 +VVALUE +p4376 +tp4377 +a(g822 +g958 +tp4378 +a(g436 +VLD-Vert-Line +p4379 +tp4380 +a(g701 +g965 +tp4381 +a(g822 +V\u000a +p4382 +tp4383 +a(g7 +V +p4384 +tp4385 +a(g822 +V +p4386 +tp4387 +a(g32 +V05 +p4388 +tp4389 +a(g781 +VCOL +p4390 +tp4391 +a(g822 +g958 +tp4392 +a(g32 +V60 +p4393 +tp4394 +a(g781 +VVALUE +p4395 +tp4396 +a(g822 +g958 +tp4397 +a(g436 +VLD-Vert-Line +p4398 +tp4399 +a(g701 +g965 +tp4400 +a(g822 +V\u000a +p4401 +tp4402 +a(g7 +V +p4403 +tp4404 +a(g822 +V +p4405 +tp4406 +a(g32 +V05 +p4407 +tp4408 +a(g781 +VCOL +p4409 +tp4410 +a(g822 +g958 +tp4411 +a(g32 +V80 +p4412 +tp4413 +a(g781 +VVALUE +p4414 +tp4415 +a(g822 +g958 +tp4416 +a(g436 +VLD-Vert-Line +p4417 +tp4418 +a(g701 +g965 +tp4419 +a(g822 +V\u000a +p4420 +tp4421 +a(g822 +V\u000a +p4422 +tp4423 +a(g7 +V +p4424 +tp4425 +a(g822 +V +p4426 +tp4427 +a(g32 +V05 +p4428 +tp4429 +a(g781 +VLINE +p4430 +tp4431 +a(g822 +g958 +tp4432 +a(g32 +V13 +p4433 +tp4434 +a(g781 +VCOL +p4435 +tp4436 +a(g822 +g958 +tp4437 +a(g32 +V02 +p4438 +tp4439 +a(g781 +VVALUE +p4440 +tp4441 +a(g822 +g958 +tp4442 +a(g436 +VLD-Vert-Line +p4443 +tp4444 +a(g701 +g965 +tp4445 +a(g822 +V\u000a +p4446 +tp4447 +a(g7 +V +p4448 +tp4449 +a(g822 +V +p4450 +tp4451 +a(g32 +V05 +p4452 +tp4453 +a(g781 +VCOL +p4454 +tp4455 +a(g822 +g958 +tp4456 +a(g32 +V60 +p4457 +tp4458 +a(g781 +VVALUE +p4459 +tp4460 +a(g822 +g958 +tp4461 +a(g436 +VLD-Vert-Line +p4462 +tp4463 +a(g701 +g965 +tp4464 +a(g822 +V\u000a +p4465 +tp4466 +a(g7 +V +p4467 +tp4468 +a(g822 +V +p4469 +tp4470 +a(g32 +V05 +p4471 +tp4472 +a(g781 +VCOL +p4473 +tp4474 +a(g822 +g958 +tp4475 +a(g32 +V80 +p4476 +tp4477 +a(g781 +VVALUE +p4478 +tp4479 +a(g822 +g958 +tp4480 +a(g436 +VLD-Vert-Line +p4481 +tp4482 +a(g701 +g965 +tp4483 +a(g822 +V\u000a +p4484 +tp4485 +a(g822 +V\u000a +p4486 +tp4487 +a(g7 +V +p4488 +tp4489 +a(g822 +V +p4490 +tp4491 +a(g32 +V05 +p4492 +tp4493 +a(g781 +VLINE +p4494 +tp4495 +a(g822 +g958 +tp4496 +a(g32 +V14 +p4497 +tp4498 +a(g781 +VCOL +p4499 +tp4500 +a(g822 +g958 +tp4501 +a(g32 +V02 +p4502 +tp4503 +a(g781 +VVALUE +p4504 +tp4505 +a(g822 +g958 +tp4506 +a(g436 +VLD-Vert-Line +p4507 +tp4508 +a(g701 +g965 +tp4509 +a(g822 +V\u000a +p4510 +tp4511 +a(g7 +V +p4512 +tp4513 +a(g822 +V +p4514 +tp4515 +a(g32 +V05 +p4516 +tp4517 +a(g781 +VCOL +p4518 +tp4519 +a(g822 +g958 +tp4520 +a(g32 +V60 +p4521 +tp4522 +a(g781 +VVALUE +p4523 +tp4524 +a(g822 +g958 +tp4525 +a(g436 +VLD-Vert-Line +p4526 +tp4527 +a(g701 +g965 +tp4528 +a(g822 +V\u000a +p4529 +tp4530 +a(g7 +V +p4531 +tp4532 +a(g822 +V +p4533 +tp4534 +a(g32 +V05 +p4535 +tp4536 +a(g781 +VCOL +p4537 +tp4538 +a(g822 +g958 +tp4539 +a(g32 +V80 +p4540 +tp4541 +a(g781 +VVALUE +p4542 +tp4543 +a(g822 +g958 +tp4544 +a(g436 +VLD-Vert-Line +p4545 +tp4546 +a(g701 +g965 +tp4547 +a(g822 +V\u000a +p4548 +tp4549 +a(g822 +V\u000a +p4550 +tp4551 +a(g7 +V +p4552 +tp4553 +a(g822 +V +p4554 +tp4555 +a(g32 +V05 +p4556 +tp4557 +a(g781 +VLINE +p4558 +tp4559 +a(g822 +g958 +tp4560 +a(g32 +V15 +p4561 +tp4562 +a(g781 +VCOL +p4563 +tp4564 +a(g822 +g958 +tp4565 +a(g32 +V02 +p4566 +tp4567 +a(g781 +VVALUE +p4568 +tp4569 +a(g822 +g958 +tp4570 +a(g436 +VLD-Vert-Line +p4571 +tp4572 +a(g701 +g965 +tp4573 +a(g822 +V\u000a +p4574 +tp4575 +a(g7 +V +p4576 +tp4577 +a(g822 +V +p4578 +tp4579 +a(g32 +V05 +p4580 +tp4581 +a(g781 +VCOL +p4582 +tp4583 +a(g822 +g958 +tp4584 +a(g32 +V60 +p4585 +tp4586 +a(g781 +VVALUE +p4587 +tp4588 +a(g822 +g958 +tp4589 +a(g436 +VLD-Vert-Line +p4590 +tp4591 +a(g701 +g965 +tp4592 +a(g822 +V\u000a +p4593 +tp4594 +a(g7 +V +p4595 +tp4596 +a(g822 +V +p4597 +tp4598 +a(g32 +V05 +p4599 +tp4600 +a(g781 +VCOL +p4601 +tp4602 +a(g822 +g958 +tp4603 +a(g32 +V80 +p4604 +tp4605 +a(g781 +VVALUE +p4606 +tp4607 +a(g822 +g958 +tp4608 +a(g436 +VLD-Vert-Line +p4609 +tp4610 +a(g701 +g965 +tp4611 +a(g822 +V\u000a +p4612 +tp4613 +a(g822 +V\u000a +p4614 +tp4615 +a(g7 +V +p4616 +tp4617 +a(g822 +V +p4618 +tp4619 +a(g32 +V05 +p4620 +tp4621 +a(g781 +VLINE +p4622 +tp4623 +a(g822 +g958 +tp4624 +a(g32 +V16 +p4625 +tp4626 +a(g781 +VCOL +p4627 +tp4628 +a(g822 +g958 +tp4629 +a(g32 +V02 +p4630 +tp4631 +a(g781 +VVALUE +p4632 +tp4633 +a(g822 +g958 +tp4634 +a(g436 +VLD-LL-Corner +p4635 +tp4636 +a(g701 +g965 +tp4637 +a(g822 +V\u000a +p4638 +tp4639 +a(g7 +V +p4640 +tp4641 +a(g822 +V +p4642 +tp4643 +a(g32 +V05 +p4644 +tp4645 +a(g744 +VPIC X(57) +p4646 +tp4647 +a(g822 +g958 +tp4648 +a(g781 +VFROM +p4649 +tp4650 +a(g822 +g958 +tp4651 +a(g436 +VHorizontal-Line +p4652 +tp4653 +a(g701 +g965 +tp4654 +a(g822 +V\u000a +p4655 +tp4656 +a(g7 +V +p4657 +tp4658 +a(g822 +V +p4659 +tp4660 +a(g32 +V05 +p4661 +tp4662 +a(g781 +VCOL +p4663 +tp4664 +a(g822 +g958 +tp4665 +a(g32 +V60 +p4666 +tp4667 +a(g781 +VVALUE +p4668 +tp4669 +a(g822 +g958 +tp4670 +a(g436 +VLD-Lower-T +p4671 +tp4672 +a(g701 +g965 +tp4673 +a(g822 +V\u000a +p4674 +tp4675 +a(g7 +V +p4676 +tp4677 +a(g822 +V +p4678 +tp4679 +a(g32 +V05 +p4680 +tp4681 +a(g744 +VPIC X(19) +p4682 +tp4683 +a(g822 +g958 +tp4684 +a(g781 +VFROM +p4685 +tp4686 +a(g822 +g958 +tp4687 +a(g436 +VHorizontal-Line +p4688 +tp4689 +a(g701 +g965 +tp4690 +a(g822 +V\u000a +p4691 +tp4692 +a(g7 +V +p4693 +tp4694 +a(g822 +V +p4695 +tp4696 +a(g32 +V05 +p4697 +tp4698 +a(g781 +VCOL +p4699 +tp4700 +a(g822 +g958 +tp4701 +a(g32 +V80 +p4702 +tp4703 +a(g781 +VVALUE +p4704 +tp4705 +a(g822 +g958 +tp4706 +a(g436 +VLD-LR-Corner +p4707 +tp4708 +a(g701 +g965 +tp4709 +a(g822 +V\u000a +p4710 +tp4711 +a(g822 +V\u000a +p4712 +tp4713 +a(g7 +V +p4714 +tp4715 +a(g822 +V +p4716 +tp4717 +a(g32 +V05 +p4718 +tp4719 +a(g781 +VLINE +p4720 +tp4721 +a(g822 +g958 +tp4722 +a(g32 +V18 +p4723 +tp4724 +a(g781 +VCOL +p4725 +tp4726 +a(g822 +g958 +tp4727 +a(g32 +V02 +p4728 +tp4729 +a(g781 +VVALUE +p4730 +tp4731 +a(g822 +g958 +tp4732 +a(g436 +VLD-UL-Corner +p4733 +tp4734 +a(g701 +g965 +tp4735 +a(g822 +V\u000a +p4736 +tp4737 +a(g7 +V +p4738 +tp4739 +a(g822 +V +p4740 +tp4741 +a(g32 +V05 +p4742 +tp4743 +a(g744 +VPIC X(77) +p4744 +tp4745 +a(g822 +g958 +tp4746 +a(g781 +VFROM +p4747 +tp4748 +a(g822 +g958 +tp4749 +a(g436 +VHorizontal-Line +p4750 +tp4751 +a(g701 +g965 +tp4752 +a(g822 +V\u000a +p4753 +tp4754 +a(g7 +V +p4755 +tp4756 +a(g822 +V +p4757 +tp4758 +a(g32 +V05 +p4759 +tp4760 +a(g781 +VCOL +p4761 +tp4762 +a(g822 +g958 +tp4763 +a(g32 +V80 +p4764 +tp4765 +a(g781 +VVALUE +p4766 +tp4767 +a(g822 +g958 +tp4768 +a(g436 +VLD-UR-Corner +p4769 +tp4770 +a(g701 +g965 +tp4771 +a(g822 +V\u000a +p4772 +tp4773 +a(g822 +V\u000a +p4774 +tp4775 +a(g7 +V +p4776 +tp4777 +a(g822 +V +p4778 +tp4779 +a(g32 +V05 +p4780 +tp4781 +a(g781 +VLINE +p4782 +tp4783 +a(g822 +g958 +tp4784 +a(g32 +V19 +p4785 +tp4786 +a(g781 +VCOL +p4787 +tp4788 +a(g822 +g958 +tp4789 +a(g32 +V02 +p4790 +tp4791 +a(g781 +VVALUE +p4792 +tp4793 +a(g822 +g958 +tp4794 +a(g436 +VLD-Vert-Line +p4795 +tp4796 +a(g701 +g965 +tp4797 +a(g822 +V\u000a +p4798 +tp4799 +a(g7 +V +p4800 +tp4801 +a(g822 +V +p4802 +tp4803 +a(g32 +V05 +p4804 +tp4805 +a(g781 +VCOL +p4806 +tp4807 +a(g822 +g958 +tp4808 +a(g32 +V80 +p4809 +tp4810 +a(g781 +VVALUE +p4811 +tp4812 +a(g822 +g958 +tp4813 +a(g436 +VLD-Vert-Line +p4814 +tp4815 +a(g701 +g965 +tp4816 +a(g822 +V\u000a +p4817 +tp4818 +a(g822 +V\u000a +p4819 +tp4820 +a(g7 +V +p4821 +tp4822 +a(g822 +V +p4823 +tp4824 +a(g32 +V05 +p4825 +tp4826 +a(g781 +VLINE +p4827 +tp4828 +a(g822 +g958 +tp4829 +a(g32 +V20 +p4830 +tp4831 +a(g781 +VCOL +p4832 +tp4833 +a(g822 +g958 +tp4834 +a(g32 +V02 +p4835 +tp4836 +a(g781 +VVALUE +p4837 +tp4838 +a(g822 +g958 +tp4839 +a(g436 +VLD-LL-Corner +p4840 +tp4841 +a(g701 +g965 +tp4842 +a(g822 +V\u000a +p4843 +tp4844 +a(g7 +V +p4845 +tp4846 +a(g822 +V +p4847 +tp4848 +a(g32 +V05 +p4849 +tp4850 +a(g744 +VPIC X(77) +p4851 +tp4852 +a(g822 +g958 +tp4853 +a(g781 +VFROM +p4854 +tp4855 +a(g822 +g958 +tp4856 +a(g436 +VHorizontal-Line +p4857 +tp4858 +a(g701 +g965 +tp4859 +a(g822 +V\u000a +p4860 +tp4861 +a(g7 +V +p4862 +tp4863 +a(g822 +V +p4864 +tp4865 +a(g32 +V05 +p4866 +tp4867 +a(g781 +VCOL +p4868 +tp4869 +a(g822 +g958 +tp4870 +a(g32 +V80 +p4871 +tp4872 +a(g781 +VVALUE +p4873 +tp4874 +a(g822 +g958 +tp4875 +a(g436 +VLD-LR-Corner +p4876 +tp4877 +a(g701 +g965 +tp4878 +a(g822 +V\u000a +p4879 +tp4880 +a(g822 +V\u000a +p4881 +tp4882 +a(g7 +V +p4883 +tp4884 +a(g822 +V +p4885 +tp4886 +a(g32 +V05 +p4887 +tp4888 +a(g781 +VLINE +p4889 +tp4890 +a(g822 +g958 +tp4891 +a(g32 +V22 +p4892 +tp4893 +a(g781 +VCOL +p4894 +tp4895 +a(g822 +g958 +tp4896 +a(g32 +V02 +p4897 +tp4898 +a(g781 +VVALUE +p4899 +tp4900 +a(g822 +g958 +tp4901 +a(g436 +VLD-UL-Corner +p4902 +tp4903 +a(g701 +g965 +tp4904 +a(g822 +V\u000a +p4905 +tp4906 +a(g7 +V +p4907 +tp4908 +a(g822 +V +p4909 +tp4910 +a(g32 +V05 +p4911 +tp4912 +a(g744 +VPIC X(77) +p4913 +tp4914 +a(g822 +g958 +tp4915 +a(g781 +VFROM +p4916 +tp4917 +a(g822 +g958 +tp4918 +a(g436 +VHorizontal-Line +p4919 +tp4920 +a(g701 +g965 +tp4921 +a(g822 +V\u000a +p4922 +tp4923 +a(g7 +V +p4924 +tp4925 +a(g822 +V +p4926 +tp4927 +a(g32 +V05 +p4928 +tp4929 +a(g781 +VCOL +p4930 +tp4931 +a(g822 +g958 +tp4932 +a(g32 +V80 +p4933 +tp4934 +a(g781 +VVALUE +p4935 +tp4936 +a(g822 +g958 +tp4937 +a(g436 +VLD-UR-Corner +p4938 +tp4939 +a(g701 +g965 +tp4940 +a(g822 +V\u000a +p4941 +tp4942 +a(g822 +V\u000a +p4943 +tp4944 +a(g7 +V +p4945 +tp4946 +a(g822 +V +p4947 +tp4948 +a(g32 +V05 +p4949 +tp4950 +a(g781 +VLINE +p4951 +tp4952 +a(g822 +g958 +tp4953 +a(g32 +V23 +p4954 +tp4955 +a(g781 +VCOL +p4956 +tp4957 +a(g822 +g958 +tp4958 +a(g32 +V02 +p4959 +tp4960 +a(g781 +VVALUE +p4961 +tp4962 +a(g822 +g958 +tp4963 +a(g436 +VLD-Vert-Line +p4964 +tp4965 +a(g701 +g965 +tp4966 +a(g822 +V\u000a +p4967 +tp4968 +a(g7 +V +p4969 +tp4970 +a(g822 +V +p4971 +tp4972 +a(g32 +V05 +p4973 +tp4974 +a(g781 +VCOL +p4975 +tp4976 +a(g822 +g958 +tp4977 +a(g32 +V80 +p4978 +tp4979 +a(g781 +VVALUE +p4980 +tp4981 +a(g822 +g958 +tp4982 +a(g436 +VLD-Vert-Line +p4983 +tp4984 +a(g701 +g965 +tp4985 +a(g822 +V\u000a +p4986 +tp4987 +a(g822 +V\u000a +p4988 +tp4989 +a(g7 +V +p4990 +tp4991 +a(g822 +V +p4992 +tp4993 +a(g32 +V05 +p4994 +tp4995 +a(g781 +VLINE +p4996 +tp4997 +a(g822 +g958 +tp4998 +a(g32 +V24 +p4999 +tp5000 +a(g781 +VCOL +p5001 +tp5002 +a(g822 +g958 +tp5003 +a(g32 +V02 +p5004 +tp5005 +a(g781 +VVALUE +p5006 +tp5007 +a(g822 +g958 +tp5008 +a(g436 +VLD-LL-Corner +p5009 +tp5010 +a(g701 +g965 +tp5011 +a(g822 +V\u000a +p5012 +tp5013 +a(g7 +V +p5014 +tp5015 +a(g822 +V +p5016 +tp5017 +a(g32 +V05 +p5018 +tp5019 +a(g744 +VPIC X(77) +p5020 +tp5021 +a(g822 +g958 +tp5022 +a(g781 +VFROM +p5023 +tp5024 +a(g822 +g958 +tp5025 +a(g436 +VHorizontal-Line +p5026 +tp5027 +a(g701 +g965 +tp5028 +a(g822 +V\u000a +p5029 +tp5030 +a(g7 +V +p5031 +tp5032 +a(g822 +V +p5033 +tp5034 +a(g32 +V05 +p5035 +tp5036 +a(g781 +VCOL +p5037 +tp5038 +a(g822 +g958 +tp5039 +a(g32 +V80 +p5040 +tp5041 +a(g781 +VVALUE +p5042 +tp5043 +a(g822 +g958 +tp5044 +a(g436 +VLD-LR-Corner +p5045 +tp5046 +a(g701 +g965 +tp5047 +a(g822 +V\u000a +p5048 +tp5049 +a(g7 +V *>\u000a +p5050 +tp5051 +a(g7 +V *> TOP AND BOTTOM LINES\u000a +p5052 +tp5053 +a(g7 +V *>\u000a +p5054 +tp5055 +a(g7 +V +p5056 +tp5057 +a(g822 +V +p5058 +tp5059 +a(g32 +V03 +p5060 +tp5061 +a(g781 +VBACKGROUND-COLOR +p5062 +tp5063 +a(g822 +g958 +tp5064 +a(g436 +VCOB-COLOR-BLUE +p5065 +tp5066 +a(g822 +V +p5067 +tp5068 +a(g781 +VBLINK\u000a +p5069 +tp5070 +a(g822 +g958 +tp5071 +a(g781 +VFOREGROUND-COLOR +p5072 +tp5073 +a(g822 +g958 +tp5074 +a(g436 +VCOB-COLOR-WHITE +p5075 +tp5076 +a(g822 +g958 +tp5077 +a(g781 +VHIGHLIGHT +p5078 +tp5079 +a(g701 +g965 +tp5080 +a(g822 +V\u000a +p5081 +tp5082 +a(g7 +VGC0410 +p5083 +tp5084 +a(g822 +V +p5085 +tp5086 +a(g32 +V05 +p5087 +tp5088 +a(g781 +VLINE +p5089 +tp5090 +a(g822 +g958 +tp5091 +a(g32 +V01 +p5092 +tp5093 +a(g781 +VCOL +p5094 +tp5095 +a(g822 +g958 +tp5096 +a(g32 +V01 +p5097 +tp5098 +a(g781 +VVALUE +p5099 +tp5100 +a(g225 +V' OCic (' +p5101 +tp5102 +a(g701 +g965 +tp5103 +a(g822 +V\u000a +p5104 +tp5105 +a(g7 +VGC0410 +p5106 +tp5107 +a(g822 +V +p5108 +tp5109 +a(g32 +V05 +p5110 +tp5111 +a(g744 +VPIC X(16) +p5112 +tp5113 +a(g822 +g958 +tp5114 +a(g781 +VFROM +p5115 +tp5116 +a(g822 +g958 +tp5117 +a(g436 +VOC-Compiled +p5118 +tp5119 +a(g701 +g965 +tp5120 +a(g822 +V\u000a +p5121 +tp5122 +a(g7 +VGC0410 +p5123 +tp5124 +a(g822 +V +p5125 +tp5126 +a(g32 +V05 +p5127 +tp5128 +a(g781 +VVALUE +p5129 +tp5130 +a(g225 +V') OpenCOBOL V1.1 06FEB2009 ' +p5131 +tp5132 +a(g822 +g958 +tp5133 +a(g701 +V& +p5134 +tp5135 +a(g822 +V\u000a +p5136 +tp5137 +a(g7 +VGC0410 +p5138 +tp5139 +a(g822 +V +p5140 +tp5141 +a(g225 +V'Interactive Compilation ' +p5142 +tp5143 +a(g701 +g965 +tp5144 +a(g822 +V\u000a +p5145 +tp5146 +a(g7 +VGC0410 +p5147 +tp5148 +a(g822 +V +p5149 +tp5150 +a(g32 +V05 +p5151 +tp5152 +a(g781 +VLINE +p5153 +tp5154 +a(g822 +g958 +tp5155 +a(g32 +V25 +p5156 +tp5157 +a(g781 +VCOL +p5158 +tp5159 +a(g822 +g958 +tp5160 +a(g32 +V01 +p5161 +tp5162 +a(g744 +VPIC X(81) +p5163 +tp5164 +a(g822 +g958 +tp5165 +a(g781 +VFROM +p5166 +tp5167 +a(g822 +g958 +tp5168 +a(g436 +VOutput-Message +p5169 +tp5170 +a(g701 +g965 +tp5171 +a(g822 +V\u000a +p5172 +tp5173 +a(g7 +V *>\u000a +p5174 +tp5175 +a(g7 +V *> LABELS\u000a +p5176 +tp5177 +a(g7 +V *>\u000a +p5178 +tp5179 +a(g7 +V +p5180 +tp5181 +a(g822 +V +p5182 +tp5183 +a(g32 +V03 +p5184 +tp5185 +a(g781 +VBACKGROUND-COLOR +p5186 +tp5187 +a(g822 +g958 +tp5188 +a(g436 +VCOB-COLOR-BLACK +p5189 +tp5190 +a(g822 +V\u000a +p5191 +tp5192 +a(g7 +V +p5193 +tp5194 +a(g822 +V +p5195 +tp5196 +a(g781 +VFOREGROUND-COLOR +p5197 +tp5198 +a(g822 +g958 +tp5199 +a(g436 +VCOB-COLOR-CYAN +p5200 +tp5201 +a(g822 +V +p5202 +tp5203 +a(g781 +VHIGHLIGHT +p5204 +tp5205 +a(g701 +g965 +tp5206 +a(g822 +V\u000a +p5207 +tp5208 +a(g7 +V +p5209 +tp5210 +a(g822 +V +p5211 +tp5212 +a(g32 +V05 +p5213 +tp5214 +a(g781 +VLINE +p5215 +tp5216 +a(g822 +g958 +tp5217 +a(g32 +V07 +p5218 +tp5219 +a(g781 +VCOL +p5220 +tp5221 +a(g822 +g958 +tp5222 +a(g32 +V04 +p5223 +tp5224 +a(g781 +VVALUE +p5225 +tp5226 +a(g225 +V'On/Off Switches:' +p5227 +tp5228 +a(g701 +g965 +tp5229 +a(g822 +V\u000a +p5230 +tp5231 +a(g7 +V +p5232 +tp5233 +a(g822 +V +p5234 +tp5235 +a(g32 +V05 +p5236 +tp5237 +a(g781 +VCOL +p5238 +tp5239 +a(g822 +g958 +tp5240 +a(g32 +V62 +p5241 +tp5242 +a(g781 +VVALUE +p5243 +tp5244 +a(g225 +V'Configuration:' +p5245 +tp5246 +a(g701 +g965 +tp5247 +a(g822 +V\u000a +p5248 +tp5249 +a(g7 +V +p5250 +tp5251 +a(g822 +V +p5252 +tp5253 +a(g32 +V05 +p5254 +tp5255 +a(g781 +VLINE +p5256 +tp5257 +a(g822 +g958 +tp5258 +a(g32 +V17 +p5259 +tp5260 +a(g781 +VCOL +p5261 +tp5262 +a(g822 +g958 +tp5263 +a(g32 +V04 +p5264 +tp5265 +a(g781 +VVALUE +p5266 +tp5267 +a(g225 +V'Additional "cobc" Switches (if any\u000a +p5268 +tp5269 +a(g7 +V +p5270 +tp5271 +a(g408 +g1832 +tp5272 +a(g822 +V +p5273 +tp5274 +a(g225 +V'):' +p5275 +tp5276 +a(g701 +g965 +tp5277 +a(g822 +V\u000a +p5278 +tp5279 +a(g7 +V +p5280 +tp5281 +a(g822 +V +p5282 +tp5283 +a(g32 +V05 +p5284 +tp5285 +a(g781 +VLINE +p5286 +tp5287 +a(g822 +g958 +tp5288 +a(g32 +V21 +p5289 +tp5290 +a(g781 +VCOL +p5291 +tp5292 +a(g822 +g958 +tp5293 +a(g32 +V04 +p5294 +tp5295 +a(g781 +VVALUE +p5296 +tp5297 +a(g225 +V'Program Execution Arguments (if an\u000a +p5298 +tp5299 +a(g7 +V +p5300 +tp5301 +a(g408 +g1832 +tp5302 +a(g822 +V +p5303 +tp5304 +a(g225 +V'y):' +p5305 +tp5306 +a(g701 +g965 +tp5307 +a(g822 +V\u000a +p5308 +tp5309 +a(g7 +V *>\u000a +p5310 +tp5311 +a(g7 +V *> TOP SECTION BACKGROUND\u000a +p5312 +tp5313 +a(g7 +V *>\u000a +p5314 +tp5315 +a(g7 +V +p5316 +tp5317 +a(g822 +V +p5318 +tp5319 +a(g32 +V03 +p5320 +tp5321 +a(g781 +VBACKGROUND-COLOR +p5322 +tp5323 +a(g822 +g958 +tp5324 +a(g436 +VCOB-COLOR-BLACK +p5325 +tp5326 +a(g822 +V\u000a +p5327 +tp5328 +a(g7 +V +p5329 +tp5330 +a(g822 +V +p5331 +tp5332 +a(g781 +VFOREGROUND-COLOR +p5333 +tp5334 +a(g822 +g958 +tp5335 +a(g436 +VCOB-COLOR-CYAN +p5336 +tp5337 +a(g822 +g958 +tp5338 +a(g781 +VLOWLIGHT +p5339 +tp5340 +a(g701 +g965 +tp5341 +a(g822 +V\u000a +p5342 +tp5343 +a(g7 +V +p5344 +tp5345 +a(g822 +V +p5346 +tp5347 +a(g32 +V05 +p5348 +tp5349 +a(g781 +VLINE +p5350 +tp5351 +a(g822 +g958 +tp5352 +a(g32 +V03 +p5353 +tp5354 +a(g781 +VCOL +p5355 +tp5356 +a(g822 +g958 +tp5357 +a(g32 +V04 +p5358 +tp5359 +a(g781 +VVALUE +p5360 +tp5361 +a(g225 +V'Program: ' +p5362 +tp5363 +a(g701 +g965 +tp5364 +a(g822 +V\u000a +p5365 +tp5366 +a(g7 +V +p5367 +tp5368 +a(g822 +V +p5369 +tp5370 +a(g32 +V05 +p5371 +tp5372 +a(g781 +VLINE +p5373 +tp5374 +a(g822 +g958 +tp5375 +a(g32 +V04 +p5376 +tp5377 +a(g781 +VCOL +p5378 +tp5379 +a(g822 +g958 +tp5380 +a(g32 +V04 +p5381 +tp5382 +a(g781 +VVALUE +p5383 +tp5384 +a(g225 +V'Folder: ' +p5385 +tp5386 +a(g701 +g965 +tp5387 +a(g822 +V\u000a +p5388 +tp5389 +a(g7 +V +p5390 +tp5391 +a(g822 +V +p5392 +tp5393 +a(g32 +V05 +p5394 +tp5395 +a(g781 +VLINE +p5396 +tp5397 +a(g822 +g958 +tp5398 +a(g32 +V05 +p5399 +tp5400 +a(g781 +VCOL +p5401 +tp5402 +a(g822 +g958 +tp5403 +a(g32 +V04 +p5404 +tp5405 +a(g781 +VVALUE +p5406 +tp5407 +a(g225 +V'Filename: ' +p5408 +tp5409 +a(g701 +g965 +tp5410 +a(g822 +V\u000a +p5411 +tp5412 +a(g822 +V\u000a +p5413 +tp5414 +a(g7 +V +p5415 +tp5416 +a(g822 +V +p5417 +tp5418 +a(g32 +V05 +p5419 +tp5420 +a(g781 +VLINE +p5421 +tp5422 +a(g822 +g958 +tp5423 +a(g32 +V03 +p5424 +tp5425 +a(g781 +VCOL +p5426 +tp5427 +a(g822 +g958 +tp5428 +a(g32 +V62 +p5429 +tp5430 +a(g781 +VVALUE +p5431 +tp5432 +a(g225 +V'F-Key: Select Opt' +p5433 +tp5434 +a(g701 +g965 +tp5435 +a(g822 +V\u000a +p5436 +tp5437 +a(g7 +V +p5438 +tp5439 +a(g822 +V +p5440 +tp5441 +a(g32 +V05 +p5442 +tp5443 +a(g781 +VLINE +p5444 +tp5445 +a(g822 +g958 +tp5446 +a(g32 +V04 +p5447 +tp5448 +a(g781 +VCOL +p5449 +tp5450 +a(g822 +g958 +tp5451 +a(g32 +V62 +p5452 +tp5453 +a(g781 +VVALUE +p5454 +tp5455 +a(g225 +V'Enter: Compile ' +p5456 +tp5457 +a(g701 +g965 +tp5458 +a(g822 +V\u000a +p5459 +tp5460 +a(g7 +V +p5461 +tp5462 +a(g822 +V +p5463 +tp5464 +a(g32 +V05 +p5465 +tp5466 +a(g781 +VLINE +p5467 +tp5468 +a(g822 +g958 +tp5469 +a(g32 +V05 +p5470 +tp5471 +a(g781 +VCOL +p5472 +tp5473 +a(g822 +g958 +tp5474 +a(g32 +V62 +p5475 +tp5476 +a(g781 +VVALUE +p5477 +tp5478 +a(g225 +V'Esc: Quit ' +p5479 +tp5480 +a(g701 +g965 +tp5481 +a(g822 +V\u000a +p5482 +tp5483 +a(g7 +V *>\u000a +p5484 +tp5485 +a(g7 +V *> TOP SECTION PROGRAM INFO\u000a +p5486 +tp5487 +a(g7 +V *>\u000a +p5488 +tp5489 +a(g7 +V +p5490 +tp5491 +a(g822 +V +p5492 +tp5493 +a(g32 +V03 +p5494 +tp5495 +a(g781 +VBACKGROUND-COLOR +p5496 +tp5497 +a(g822 +g958 +tp5498 +a(g436 +VCOB-COLOR-BLACK +p5499 +tp5500 +a(g822 +V\u000a +p5501 +tp5502 +a(g7 +V +p5503 +tp5504 +a(g822 +V +p5505 +tp5506 +a(g781 +VFOREGROUND-COLOR +p5507 +tp5508 +a(g822 +g958 +tp5509 +a(g436 +VCOB-COLOR-WHITE +p5510 +tp5511 +a(g822 +g958 +tp5512 +a(g781 +VHIGHLIGHT +p5513 +tp5514 +a(g701 +g965 +tp5515 +a(g822 +V\u000a +p5516 +tp5517 +a(g7 +V +p5518 +tp5519 +a(g822 +V +p5520 +tp5521 +a(g32 +V05 +p5522 +tp5523 +a(g781 +VLINE +p5524 +tp5525 +a(g822 +g958 +tp5526 +a(g32 +V03 +p5527 +tp5528 +a(g781 +VCOL +p5529 +tp5530 +a(g822 +g958 +tp5531 +a(g32 +V14 +p5532 +tp5533 +a(g744 +VPIC X(47) +p5534 +tp5535 +a(g822 +g958 +tp5536 +a(g781 +VFROM +p5537 +tp5538 +a(g822 +g958 +tp5539 +a(g436 +VProg-Name +p5540 +tp5541 +a(g701 +g965 +tp5542 +a(g822 +V\u000a +p5543 +tp5544 +a(g7 +V +p5545 +tp5546 +a(g822 +V +p5547 +tp5548 +a(g32 +V05 +p5549 +tp5550 +a(g781 +VLINE +p5551 +tp5552 +a(g822 +g958 +tp5553 +a(g32 +V04 +p5554 +tp5555 +a(g781 +VCOL +p5556 +tp5557 +a(g822 +g958 +tp5558 +a(g32 +V14 +p5559 +tp5560 +a(g744 +VPIC X(47) +p5561 +tp5562 +a(g822 +g958 +tp5563 +a(g781 +VFROM +p5564 +tp5565 +a(g822 +g958 +tp5566 +a(g436 +VProg-Folder +p5567 +tp5568 +a(g701 +g965 +tp5569 +a(g822 +V\u000a +p5570 +tp5571 +a(g7 +V +p5572 +tp5573 +a(g822 +V +p5574 +tp5575 +a(g32 +V05 +p5576 +tp5577 +a(g781 +VLINE +p5578 +tp5579 +a(g822 +g958 +tp5580 +a(g32 +V05 +p5581 +tp5582 +a(g781 +VCOL +p5583 +tp5584 +a(g822 +g958 +tp5585 +a(g32 +V14 +p5586 +tp5587 +a(g744 +VPIC X(47) +p5588 +tp5589 +a(g822 +g958 +tp5590 +a(g781 +VFROM +p5591 +tp5592 +a(g822 +g958 +tp5593 +a(g436 +VProg-File-Name +p5594 +tp5595 +a(g701 +g965 +tp5596 +a(g822 +V\u000a +p5597 +tp5598 +a(g7 +V *>\u000a +p5599 +tp5600 +a(g7 +V *> MIDDLE LEFT SECTION F-KEYS\u000a +p5601 +tp5602 +a(g7 +V *>\u000a +p5603 +tp5604 +a(g7 +V +p5605 +tp5606 +a(g822 +V +p5607 +tp5608 +a(g32 +V03 +p5609 +tp5610 +a(g781 +VBACKGROUND-COLOR +p5611 +tp5612 +a(g822 +g958 +tp5613 +a(g436 +VCOB-COLOR-BLACK +p5614 +tp5615 +a(g822 +V\u000a +p5616 +tp5617 +a(g7 +V +p5618 +tp5619 +a(g822 +V +p5620 +tp5621 +a(g781 +VFOREGROUND-COLOR +p5622 +tp5623 +a(g822 +g958 +tp5624 +a(g436 +VCOB-COLOR-WHITE +p5625 +tp5626 +a(g822 +g958 +tp5627 +a(g781 +VHIGHLIGHT +p5628 +tp5629 +a(g701 +g965 +tp5630 +a(g822 +V\u000a +p5631 +tp5632 +a(g7 +V +p5633 +tp5634 +a(g822 +V +p5635 +tp5636 +a(g32 +V05 +p5637 +tp5638 +a(g781 +VLINE +p5639 +tp5640 +a(g822 +g958 +tp5641 +a(g32 +V09 +p5642 +tp5643 +a(g781 +VCOL +p5644 +tp5645 +a(g822 +g958 +tp5646 +a(g32 +V04 +p5647 +tp5648 +a(g781 +VVALUE +p5649 +tp5650 +a(g225 +V'F1' +p5651 +tp5652 +a(g701 +g965 +tp5653 +a(g822 +V\u000a +p5654 +tp5655 +a(g7 +V +p5656 +tp5657 +a(g822 +V +p5658 +tp5659 +a(g32 +V05 +p5660 +tp5661 +a(g781 +VLINE +p5662 +tp5663 +a(g822 +g958 +tp5664 +a(g32 +V10 +p5665 +tp5666 +a(g781 +VCOL +p5667 +tp5668 +a(g822 +g958 +tp5669 +a(g32 +V04 +p5670 +tp5671 +a(g781 +VVALUE +p5672 +tp5673 +a(g225 +V'F2' +p5674 +tp5675 +a(g701 +g965 +tp5676 +a(g822 +V\u000a +p5677 +tp5678 +a(g7 +V +p5679 +tp5680 +a(g822 +V +p5681 +tp5682 +a(g32 +V05 +p5683 +tp5684 +a(g781 +VLINE +p5685 +tp5686 +a(g822 +g958 +tp5687 +a(g32 +V11 +p5688 +tp5689 +a(g781 +VCOL +p5690 +tp5691 +a(g822 +g958 +tp5692 +a(g32 +V04 +p5693 +tp5694 +a(g781 +VVALUE +p5695 +tp5696 +a(g225 +V'F3' +p5697 +tp5698 +a(g701 +g965 +tp5699 +a(g822 +V\u000a +p5700 +tp5701 +a(g7 +V +p5702 +tp5703 +a(g822 +V +p5704 +tp5705 +a(g32 +V05 +p5706 +tp5707 +a(g781 +VLINE +p5708 +tp5709 +a(g822 +g958 +tp5710 +a(g32 +V12 +p5711 +tp5712 +a(g781 +VCOL +p5713 +tp5714 +a(g822 +g958 +tp5715 +a(g32 +V04 +p5716 +tp5717 +a(g781 +VVALUE +p5718 +tp5719 +a(g225 +V'F4' +p5720 +tp5721 +a(g701 +g965 +tp5722 +a(g822 +V\u000a +p5723 +tp5724 +a(g7 +V +p5725 +tp5726 +a(g822 +V +p5727 +tp5728 +a(g32 +V05 +p5729 +tp5730 +a(g781 +VLINE +p5731 +tp5732 +a(g822 +g958 +tp5733 +a(g32 +V13 +p5734 +tp5735 +a(g781 +VCOL +p5736 +tp5737 +a(g822 +g958 +tp5738 +a(g32 +V04 +p5739 +tp5740 +a(g781 +VVALUE +p5741 +tp5742 +a(g225 +V'F5' +p5743 +tp5744 +a(g701 +g965 +tp5745 +a(g822 +V\u000a +p5746 +tp5747 +a(g7 +V +p5748 +tp5749 +a(g822 +V +p5750 +tp5751 +a(g32 +V05 +p5752 +tp5753 +a(g781 +VLINE +p5754 +tp5755 +a(g822 +g958 +tp5756 +a(g32 +V14 +p5757 +tp5758 +a(g781 +VCOL +p5759 +tp5760 +a(g822 +g958 +tp5761 +a(g32 +V04 +p5762 +tp5763 +a(g781 +VVALUE +p5764 +tp5765 +a(g225 +V'F6' +p5766 +tp5767 +a(g701 +g965 +tp5768 +a(g822 +V\u000a +p5769 +tp5770 +a(g7 +V +p5771 +tp5772 +a(g822 +V +p5773 +tp5774 +a(g32 +V05 +p5775 +tp5776 +a(g781 +VLINE +p5777 +tp5778 +a(g822 +g958 +tp5779 +a(g32 +V15 +p5780 +tp5781 +a(g781 +VCOL +p5782 +tp5783 +a(g822 +g958 +tp5784 +a(g32 +V04 +p5785 +tp5786 +a(g781 +VVALUE +p5787 +tp5788 +a(g225 +V'F7' +p5789 +tp5790 +a(g701 +g965 +tp5791 +a(g822 +V\u000a +p5792 +tp5793 +a(g7 +V +p5794 +tp5795 +a(g822 +V +p5796 +tp5797 +a(g32 +V05 +p5798 +tp5799 +a(g781 +VLINE +p5800 +tp5801 +a(g822 +g958 +tp5802 +a(g32 +V09 +p5803 +tp5804 +a(g781 +VCOL +p5805 +tp5806 +a(g822 +g958 +tp5807 +a(g32 +V32 +p5808 +tp5809 +a(g781 +VVALUE +p5810 +tp5811 +a(g225 +V'F8' +p5812 +tp5813 +a(g701 +g965 +tp5814 +a(g822 +V\u000a +p5815 +tp5816 +a(g7 +V +p5817 +tp5818 +a(g822 +V +p5819 +tp5820 +a(g32 +V05 +p5821 +tp5822 +a(g781 +VLINE +p5823 +tp5824 +a(g822 +g958 +tp5825 +a(g32 +V10 +p5826 +tp5827 +a(g781 +VCOL +p5828 +tp5829 +a(g822 +g958 +tp5830 +a(g32 +V32 +p5831 +tp5832 +a(g781 +VVALUE +p5833 +tp5834 +a(g225 +V'F9' +p5835 +tp5836 +a(g701 +g965 +tp5837 +a(g822 +V\u000a +p5838 +tp5839 +a(g7 +V *>\u000a +p5840 +tp5841 +a(g7 +V *> MIDDLE LEFT SECTION SWITCHES\u000a +p5842 +tp5843 +a(g7 +V *>\u000a +p5844 +tp5845 +a(g7 +V +p5846 +tp5847 +a(g822 +V +p5848 +tp5849 +a(g32 +V03 +p5850 +tp5851 +a(g781 +VBACKGROUND-COLOR +p5852 +tp5853 +a(g822 +g958 +tp5854 +a(g436 +VCOB-COLOR-BLACK +p5855 +tp5856 +a(g822 +V\u000a +p5857 +tp5858 +a(g7 +V +p5859 +tp5860 +a(g822 +V +p5861 +tp5862 +a(g781 +VFOREGROUND-COLOR +p5863 +tp5864 +a(g822 +g958 +tp5865 +a(g436 +VCOB-COLOR-RED +p5866 +tp5867 +a(g822 +V +p5868 +tp5869 +a(g781 +VHIGHLIGHT +p5870 +tp5871 +a(g701 +g965 +tp5872 +a(g822 +V\u000a +p5873 +tp5874 +a(g7 +V +p5875 +tp5876 +a(g822 +V +p5877 +tp5878 +a(g32 +V05 +p5879 +tp5880 +a(g781 +VLINE +p5881 +tp5882 +a(g822 +g958 +tp5883 +a(g32 +V09 +p5884 +tp5885 +a(g781 +VCOL +p5886 +tp5887 +a(g822 +g958 +tp5888 +a(g32 +V07 +p5889 +tp5890 +a(g744 +VPIC X(1) +p5891 +tp5892 +a(g822 +g958 +tp5893 +a(g781 +VFROM +p5894 +tp5895 +a(g822 +g958 +tp5896 +a(g436 +VS-DEBUG +p5897 +tp5898 +a(g701 +g965 +tp5899 +a(g822 +V\u000a +p5900 +tp5901 +a(g7 +V +p5902 +tp5903 +a(g822 +V +p5904 +tp5905 +a(g32 +V05 +p5906 +tp5907 +a(g781 +VLINE +p5908 +tp5909 +a(g822 +g958 +tp5910 +a(g32 +V10 +p5911 +tp5912 +a(g781 +VCOL +p5913 +tp5914 +a(g822 +g958 +tp5915 +a(g32 +V07 +p5916 +tp5917 +a(g744 +VPIC X(1) +p5918 +tp5919 +a(g822 +g958 +tp5920 +a(g781 +VFROM +p5921 +tp5922 +a(g822 +g958 +tp5923 +a(g436 +VS-DLL +p5924 +tp5925 +a(g701 +g965 +tp5926 +a(g822 +V\u000a +p5927 +tp5928 +a(g7 +V +p5929 +tp5930 +a(g822 +V +p5931 +tp5932 +a(g32 +V05 +p5933 +tp5934 +a(g781 +VLINE +p5935 +tp5936 +a(g822 +g958 +tp5937 +a(g32 +V11 +p5938 +tp5939 +a(g781 +VCOL +p5940 +tp5941 +a(g822 +g958 +tp5942 +a(g32 +V07 +p5943 +tp5944 +a(g744 +VPIC X(1) +p5945 +tp5946 +a(g822 +g958 +tp5947 +a(g781 +VFROM +p5948 +tp5949 +a(g822 +g958 +tp5950 +a(g436 +VS-SUBROUTINE +p5951 +tp5952 +a(g701 +g965 +tp5953 +a(g822 +V\u000a +p5954 +tp5955 +a(g7 +V +p5956 +tp5957 +a(g822 +V +p5958 +tp5959 +a(g32 +V05 +p5960 +tp5961 +a(g781 +VLINE +p5962 +tp5963 +a(g822 +g958 +tp5964 +a(g32 +V12 +p5965 +tp5966 +a(g781 +VCOL +p5967 +tp5968 +a(g822 +g958 +tp5969 +a(g32 +V07 +p5970 +tp5971 +a(g744 +VPIC X(1) +p5972 +tp5973 +a(g822 +g958 +tp5974 +a(g781 +VFROM +p5975 +tp5976 +a(g822 +g958 +tp5977 +a(g436 +VS-EXECUTE +p5978 +tp5979 +a(g701 +g965 +tp5980 +a(g822 +V\u000a +p5981 +tp5982 +a(g7 +V +p5983 +tp5984 +a(g822 +V +p5985 +tp5986 +a(g32 +V05 +p5987 +tp5988 +a(g781 +VLINE +p5989 +tp5990 +a(g822 +g958 +tp5991 +a(g32 +V13 +p5992 +tp5993 +a(g781 +VCOL +p5994 +tp5995 +a(g822 +g958 +tp5996 +a(g32 +V07 +p5997 +tp5998 +a(g744 +VPIC X(1) +p5999 +tp6000 +a(g822 +g958 +tp6001 +a(g781 +VFROM +p6002 +tp6003 +a(g822 +g958 +tp6004 +a(g436 +VS-NOTRUNC +p6005 +tp6006 +a(g701 +g965 +tp6007 +a(g822 +V\u000a +p6008 +tp6009 +a(g7 +V +p6010 +tp6011 +a(g822 +V +p6012 +tp6013 +a(g32 +V05 +p6014 +tp6015 +a(g781 +VLINE +p6016 +tp6017 +a(g822 +g958 +tp6018 +a(g32 +V14 +p6019 +tp6020 +a(g781 +VCOL +p6021 +tp6022 +a(g822 +g958 +tp6023 +a(g32 +V07 +p6024 +tp6025 +a(g744 +VPIC X(1) +p6026 +tp6027 +a(g822 +g958 +tp6028 +a(g781 +VFROM +p6029 +tp6030 +a(g822 +g958 +tp6031 +a(g436 +VS-TRACE +p6032 +tp6033 +a(g701 +g965 +tp6034 +a(g822 +V\u000a +p6035 +tp6036 +a(g7 +V +p6037 +tp6038 +a(g822 +V +p6039 +tp6040 +a(g32 +V05 +p6041 +tp6042 +a(g781 +VLINE +p6043 +tp6044 +a(g822 +g958 +tp6045 +a(g32 +V15 +p6046 +tp6047 +a(g781 +VCOL +p6048 +tp6049 +a(g822 +g958 +tp6050 +a(g32 +V07 +p6051 +tp6052 +a(g744 +VPIC X(1) +p6053 +tp6054 +a(g822 +g958 +tp6055 +a(g781 +VFROM +p6056 +tp6057 +a(g822 +g958 +tp6058 +a(g436 +VS-TRACEALL +p6059 +tp6060 +a(g701 +g965 +tp6061 +a(g822 +V\u000a +p6062 +tp6063 +a(g7 +V +p6064 +tp6065 +a(g822 +V +p6066 +tp6067 +a(g32 +V05 +p6068 +tp6069 +a(g781 +VLINE +p6070 +tp6071 +a(g822 +g958 +tp6072 +a(g32 +V09 +p6073 +tp6074 +a(g781 +VCOL +p6075 +tp6076 +a(g822 +g958 +tp6077 +a(g32 +V35 +p6078 +tp6079 +a(g744 +VPIC X(1) +p6080 +tp6081 +a(g822 +g958 +tp6082 +a(g781 +VFROM +p6083 +tp6084 +a(g822 +g958 +tp6085 +a(g436 +VS-SOURCE +p6086 +tp6087 +a(g701 +g965 +tp6088 +a(g822 +V\u000a +p6089 +tp6090 +a(g7 +V +p6091 +tp6092 +a(g822 +V +p6093 +tp6094 +a(g32 +V05 +p6095 +tp6096 +a(g781 +VLINE +p6097 +tp6098 +a(g822 +g958 +tp6099 +a(g32 +V10 +p6100 +tp6101 +a(g781 +VCOL +p6102 +tp6103 +a(g822 +g958 +tp6104 +a(g32 +V35 +p6105 +tp6106 +a(g744 +VPIC X(1) +p6107 +tp6108 +a(g822 +g958 +tp6109 +a(g781 +VFROM +p6110 +tp6111 +a(g822 +g958 +tp6112 +a(g436 +VS-XREF +p6113 +tp6114 +a(g701 +g965 +tp6115 +a(g822 +V\u000a +p6116 +tp6117 +a(g7 +V *>\u000a +p6118 +tp6119 +a(g7 +V *> MIDDLE LEFT SECTION BACKGROUND\u000a +p6120 +tp6121 +a(g7 +V *>\u000a +p6122 +tp6123 +a(g7 +V +p6124 +tp6125 +a(g822 +V +p6126 +tp6127 +a(g32 +V03 +p6128 +tp6129 +a(g781 +VBACKGROUND-COLOR +p6130 +tp6131 +a(g822 +g958 +tp6132 +a(g436 +VCOB-COLOR-BLACK +p6133 +tp6134 +a(g822 +V\u000a +p6135 +tp6136 +a(g7 +V +p6137 +tp6138 +a(g822 +V +p6139 +tp6140 +a(g781 +VFOREGROUND-COLOR +p6141 +tp6142 +a(g822 +g958 +tp6143 +a(g436 +VCOB-COLOR-CYAN +p6144 +tp6145 +a(g822 +V +p6146 +tp6147 +a(g781 +VLOWLIGHT +p6148 +tp6149 +a(g701 +g965 +tp6150 +a(g822 +V\u000a +p6151 +tp6152 +a(g7 +V +p6153 +tp6154 +a(g822 +V +p6155 +tp6156 +a(g32 +V05 +p6157 +tp6158 +a(g781 +VLINE +p6159 +tp6160 +a(g822 +g958 +tp6161 +a(g32 +V09 +p6162 +tp6163 +a(g781 +VCOL +p6164 +tp6165 +a(g822 +g958 +tp6166 +a(g32 +V09 +p6167 +tp6168 +a(g781 +VVALUE +p6169 +tp6170 +a(g225 +V'Compile debug lines ' +p6171 +tp6172 +a(g701 +g965 +tp6173 +a(g822 +V\u000a +p6174 +tp6175 +a(g7 +V +p6176 +tp6177 +a(g822 +V +p6178 +tp6179 +a(g32 +V05 +p6180 +tp6181 +a(g781 +VLINE +p6182 +tp6183 +a(g822 +g958 +tp6184 +a(g32 +V10 +p6185 +tp6186 +a(g781 +VCOL +p6187 +tp6188 +a(g822 +g958 +tp6189 +a(g32 +V09 +p6190 +tp6191 +a(g781 +VVALUE +p6192 +tp6193 +a(g225 +V'Always make DLLs ' +p6194 +tp6195 +a(g701 +g965 +tp6196 +a(g822 +V\u000a +p6197 +tp6198 +a(g7 +V +p6199 +tp6200 +a(g822 +V +p6201 +tp6202 +a(g32 +V05 +p6203 +tp6204 +a(g781 +VLINE +p6205 +tp6206 +a(g822 +g958 +tp6207 +a(g32 +V11 +p6208 +tp6209 +a(g781 +VCOL +p6210 +tp6211 +a(g822 +g958 +tp6212 +a(g32 +V09 +p6213 +tp6214 +a(g781 +VVALUE +p6215 +tp6216 +a(g225 +V'Pgm is a SUBROUTINE ' +p6217 +tp6218 +a(g701 +g965 +tp6219 +a(g822 +V\u000a +p6220 +tp6221 +a(g7 +V +p6222 +tp6223 +a(g822 +V +p6224 +tp6225 +a(g32 +V05 +p6226 +tp6227 +a(g781 +VLINE +p6228 +tp6229 +a(g822 +g958 +tp6230 +a(g32 +V12 +p6231 +tp6232 +a(g781 +VCOL +p6233 +tp6234 +a(g822 +g958 +tp6235 +a(g32 +V09 +p6236 +tp6237 +a(g781 +VVALUE +p6238 +tp6239 +a(g225 +V'Execute if compile OK ' +p6240 +tp6241 +a(g701 +g965 +tp6242 +a(g822 +V\u000a +p6243 +tp6244 +a(g7 +V +p6245 +tp6246 +a(g822 +V +p6247 +tp6248 +a(g32 +V05 +p6249 +tp6250 +a(g781 +VLINE +p6251 +tp6252 +a(g822 +g958 +tp6253 +a(g32 +V13 +p6254 +tp6255 +a(g781 +VCOL +p6256 +tp6257 +a(g822 +g958 +tp6258 +a(g32 +V09 +p6259 +tp6260 +a(g781 +VVALUE +p6261 +tp6262 +a(g225 +V'No COMP/BINARY trunc ' +p6263 +tp6264 +a(g701 +g965 +tp6265 +a(g822 +V\u000a +p6266 +tp6267 +a(g7 +V +p6268 +tp6269 +a(g822 +V +p6270 +tp6271 +a(g32 +V05 +p6272 +tp6273 +a(g781 +VLINE +p6274 +tp6275 +a(g822 +g958 +tp6276 +a(g32 +V14 +p6277 +tp6278 +a(g781 +VCOL +p6279 +tp6280 +a(g822 +g958 +tp6281 +a(g32 +V09 +p6282 +tp6283 +a(g781 +VVALUE +p6284 +tp6285 +a(g225 +V'Trace procedures ' +p6286 +tp6287 +a(g701 +g965 +tp6288 +a(g822 +V\u000a +p6289 +tp6290 +a(g7 +V +p6291 +tp6292 +a(g822 +V +p6293 +tp6294 +a(g32 +V05 +p6295 +tp6296 +a(g781 +VLINE +p6297 +tp6298 +a(g822 +g958 +tp6299 +a(g32 +V15 +p6300 +tp6301 +a(g781 +VCOL +p6302 +tp6303 +a(g822 +g958 +tp6304 +a(g32 +V09 +p6305 +tp6306 +a(g781 +VVALUE +p6307 +tp6308 +a(g225 +V'Trace proc + stmnts ' +p6309 +tp6310 +a(g701 +g965 +tp6311 +a(g822 +V\u000a +p6312 +tp6313 +a(g7 +V +p6314 +tp6315 +a(g822 +V +p6316 +tp6317 +a(g32 +V05 +p6318 +tp6319 +a(g781 +VLINE +p6320 +tp6321 +a(g822 +g958 +tp6322 +a(g32 +V09 +p6323 +tp6324 +a(g781 +VCOL +p6325 +tp6326 +a(g822 +g958 +tp6327 +a(g32 +V37 +p6328 +tp6329 +a(g781 +VVALUE +p6330 +tp6331 +a(g225 +V'Produce source listing' +p6332 +tp6333 +a(g701 +g965 +tp6334 +a(g822 +V\u000a +p6335 +tp6336 +a(g7 +V +p6337 +tp6338 +a(g822 +V +p6339 +tp6340 +a(g32 +V05 +p6341 +tp6342 +a(g781 +VLINE +p6343 +tp6344 +a(g822 +g958 +tp6345 +a(g32 +V10 +p6346 +tp6347 +a(g781 +VCOL +p6348 +tp6349 +a(g822 +g958 +tp6350 +a(g32 +V37 +p6351 +tp6352 +a(g781 +VVALUE +p6353 +tp6354 +a(g225 +V'Produce xref listing ' +p6355 +tp6356 +a(g701 +g965 +tp6357 +a(g822 +V\u000a +p6358 +tp6359 +a(g7 +V *>\u000a +p6360 +tp6361 +a(g7 +V *> MIDDLE RIGHT SECTION F-KEYS\u000a +p6362 +tp6363 +a(g7 +V *>\u000a +p6364 +tp6365 +a(g7 +V +p6366 +tp6367 +a(g822 +V +p6368 +tp6369 +a(g32 +V03 +p6370 +tp6371 +a(g781 +VBACKGROUND-COLOR +p6372 +tp6373 +a(g822 +g958 +tp6374 +a(g436 +VCOB-COLOR-BLACK +p6375 +tp6376 +a(g822 +V\u000a +p6377 +tp6378 +a(g7 +V +p6379 +tp6380 +a(g822 +V +p6381 +tp6382 +a(g781 +VFOREGROUND-COLOR +p6383 +tp6384 +a(g822 +g958 +tp6385 +a(g436 +VCOB-COLOR-WHITE +p6386 +tp6387 +a(g822 +g958 +tp6388 +a(g781 +VHIGHLIGHT +p6389 +tp6390 +a(g701 +g965 +tp6391 +a(g822 +V\u000a +p6392 +tp6393 +a(g7 +V +p6394 +tp6395 +a(g822 +V +p6396 +tp6397 +a(g32 +V05 +p6398 +tp6399 +a(g781 +VLINE +p6400 +tp6401 +a(g822 +g958 +tp6402 +a(g32 +V09 +p6403 +tp6404 +a(g781 +VCOL +p6405 +tp6406 +a(g822 +g958 +tp6407 +a(g32 +V62 +p6408 +tp6409 +a(g781 +VVALUE +p6410 +tp6411 +a(g225 +V'S-F1' +p6412 +tp6413 +a(g701 +g965 +tp6414 +a(g822 +V\u000a +p6415 +tp6416 +a(g7 +V +p6417 +tp6418 +a(g822 +V +p6419 +tp6420 +a(g32 +V05 +p6421 +tp6422 +a(g781 +VLINE +p6423 +tp6424 +a(g822 +g958 +tp6425 +a(g32 +V10 +p6426 +tp6427 +a(g781 +VCOL +p6428 +tp6429 +a(g822 +g958 +tp6430 +a(g32 +V62 +p6431 +tp6432 +a(g781 +VVALUE +p6433 +tp6434 +a(g225 +V'S-F2' +p6435 +tp6436 +a(g701 +g965 +tp6437 +a(g822 +V\u000a +p6438 +tp6439 +a(g7 +V +p6440 +tp6441 +a(g822 +V +p6442 +tp6443 +a(g32 +V05 +p6444 +tp6445 +a(g781 +VLINE +p6446 +tp6447 +a(g822 +g958 +tp6448 +a(g32 +V11 +p6449 +tp6450 +a(g781 +VCOL +p6451 +tp6452 +a(g822 +g958 +tp6453 +a(g32 +V62 +p6454 +tp6455 +a(g781 +VVALUE +p6456 +tp6457 +a(g225 +V'S-F3' +p6458 +tp6459 +a(g701 +g965 +tp6460 +a(g822 +V\u000a +p6461 +tp6462 +a(g7 +V +p6463 +tp6464 +a(g822 +V +p6465 +tp6466 +a(g32 +V05 +p6467 +tp6468 +a(g781 +VLINE +p6469 +tp6470 +a(g822 +g958 +tp6471 +a(g32 +V12 +p6472 +tp6473 +a(g781 +VCOL +p6474 +tp6475 +a(g822 +g958 +tp6476 +a(g32 +V62 +p6477 +tp6478 +a(g781 +VVALUE +p6479 +tp6480 +a(g225 +V'S-F4' +p6481 +tp6482 +a(g701 +g965 +tp6483 +a(g822 +V\u000a +p6484 +tp6485 +a(g7 +V +p6486 +tp6487 +a(g822 +V +p6488 +tp6489 +a(g32 +V05 +p6490 +tp6491 +a(g781 +VLINE +p6492 +tp6493 +a(g822 +g958 +tp6494 +a(g32 +V13 +p6495 +tp6496 +a(g781 +VCOL +p6497 +tp6498 +a(g822 +g958 +tp6499 +a(g32 +V62 +p6500 +tp6501 +a(g781 +VVALUE +p6502 +tp6503 +a(g225 +V'S-F5' +p6504 +tp6505 +a(g701 +g965 +tp6506 +a(g822 +V\u000a +p6507 +tp6508 +a(g7 +V +p6509 +tp6510 +a(g822 +V +p6511 +tp6512 +a(g32 +V05 +p6513 +tp6514 +a(g781 +VLINE +p6515 +tp6516 +a(g822 +g958 +tp6517 +a(g32 +V14 +p6518 +tp6519 +a(g781 +VCOL +p6520 +tp6521 +a(g822 +g958 +tp6522 +a(g32 +V62 +p6523 +tp6524 +a(g781 +VVALUE +p6525 +tp6526 +a(g225 +V'S-F6' +p6527 +tp6528 +a(g701 +g965 +tp6529 +a(g822 +V\u000a +p6530 +tp6531 +a(g7 +V +p6532 +tp6533 +a(g822 +V +p6534 +tp6535 +a(g32 +V05 +p6536 +tp6537 +a(g781 +VLINE +p6538 +tp6539 +a(g822 +g958 +tp6540 +a(g32 +V15 +p6541 +tp6542 +a(g781 +VCOL +p6543 +tp6544 +a(g822 +g958 +tp6545 +a(g32 +V62 +p6546 +tp6547 +a(g781 +VVALUE +p6548 +tp6549 +a(g225 +V'S-F7' +p6550 +tp6551 +a(g701 +g965 +tp6552 +a(g822 +V\u000a +p6553 +tp6554 +a(g7 +V *>\u000a +p6555 +tp6556 +a(g7 +V *> MIDDLE RIGHT SECTION SWITCHES\u000a +p6557 +tp6558 +a(g7 +V *>\u000a +p6559 +tp6560 +a(g7 +V +p6561 +tp6562 +a(g822 +V +p6563 +tp6564 +a(g32 +V03 +p6565 +tp6566 +a(g781 +VBACKGROUND-COLOR +p6567 +tp6568 +a(g822 +g958 +tp6569 +a(g436 +VCOB-COLOR-BLACK +p6570 +tp6571 +a(g822 +V\u000a +p6572 +tp6573 +a(g7 +V +p6574 +tp6575 +a(g822 +V +p6576 +tp6577 +a(g781 +VFOREGROUND-COLOR +p6578 +tp6579 +a(g822 +g958 +tp6580 +a(g436 +VCOB-COLOR-RED +p6581 +tp6582 +a(g822 +g958 +tp6583 +a(g781 +VHIGHLIGHT +p6584 +tp6585 +a(g701 +g965 +tp6586 +a(g822 +V\u000a +p6587 +tp6588 +a(g7 +V +p6589 +tp6590 +a(g822 +V +p6591 +tp6592 +a(g32 +V05 +p6593 +tp6594 +a(g781 +VLINE +p6595 +tp6596 +a(g822 +g958 +tp6597 +a(g32 +V09 +p6598 +tp6599 +a(g781 +VCOL +p6600 +tp6601 +a(g822 +g958 +tp6602 +a(g32 +V67 +p6603 +tp6604 +a(g744 +VPIC X(1) +p6605 +tp6606 +a(g822 +g958 +tp6607 +a(g781 +VFROM +p6608 +tp6609 +a(g822 +g958 +tp6610 +a(g436 +VS-Cfg-BS2000 +p6611 +tp6612 +a(g701 +g965 +tp6613 +a(g822 +V\u000a +p6614 +tp6615 +a(g7 +V +p6616 +tp6617 +a(g822 +V +p6618 +tp6619 +a(g32 +V05 +p6620 +tp6621 +a(g781 +VLINE +p6622 +tp6623 +a(g822 +g958 +tp6624 +a(g32 +V10 +p6625 +tp6626 +a(g781 +VCOL +p6627 +tp6628 +a(g822 +g958 +tp6629 +a(g32 +V67 +p6630 +tp6631 +a(g744 +VPIC X(1) +p6632 +tp6633 +a(g822 +g958 +tp6634 +a(g781 +VFROM +p6635 +tp6636 +a(g822 +g958 +tp6637 +a(g436 +VS-Cfg-COBOL85 +p6638 +tp6639 +a(g701 +g965 +tp6640 +a(g822 +V\u000a +p6641 +tp6642 +a(g7 +V +p6643 +tp6644 +a(g822 +V +p6645 +tp6646 +a(g32 +V05 +p6647 +tp6648 +a(g781 +VLINE +p6649 +tp6650 +a(g822 +g958 +tp6651 +a(g32 +V11 +p6652 +tp6653 +a(g781 +VCOL +p6654 +tp6655 +a(g822 +g958 +tp6656 +a(g32 +V67 +p6657 +tp6658 +a(g744 +VPIC X(1) +p6659 +tp6660 +a(g822 +g958 +tp6661 +a(g781 +VFROM +p6662 +tp6663 +a(g822 +g958 +tp6664 +a(g436 +VS-Cfg-COBOL2002 +p6665 +tp6666 +a(g701 +g965 +tp6667 +a(g822 +V\u000a +p6668 +tp6669 +a(g7 +V +p6670 +tp6671 +a(g822 +V +p6672 +tp6673 +a(g32 +V05 +p6674 +tp6675 +a(g781 +VLINE +p6676 +tp6677 +a(g822 +g958 +tp6678 +a(g32 +V12 +p6679 +tp6680 +a(g781 +VCOL +p6681 +tp6682 +a(g822 +g958 +tp6683 +a(g32 +V67 +p6684 +tp6685 +a(g744 +VPIC X(1) +p6686 +tp6687 +a(g822 +g958 +tp6688 +a(g781 +VFROM +p6689 +tp6690 +a(g822 +g958 +tp6691 +a(g436 +VS-Cfg-DEFAULT +p6692 +tp6693 +a(g701 +g965 +tp6694 +a(g822 +V\u000a +p6695 +tp6696 +a(g7 +V +p6697 +tp6698 +a(g822 +V +p6699 +tp6700 +a(g32 +V05 +p6701 +tp6702 +a(g781 +VLINE +p6703 +tp6704 +a(g822 +g958 +tp6705 +a(g32 +V13 +p6706 +tp6707 +a(g781 +VCOL +p6708 +tp6709 +a(g822 +g958 +tp6710 +a(g32 +V67 +p6711 +tp6712 +a(g744 +VPIC X(1) +p6713 +tp6714 +a(g822 +g958 +tp6715 +a(g781 +VFROM +p6716 +tp6717 +a(g822 +g958 +tp6718 +a(g436 +VS-Cfg-IBM +p6719 +tp6720 +a(g701 +g965 +tp6721 +a(g822 +V\u000a +p6722 +tp6723 +a(g7 +V +p6724 +tp6725 +a(g822 +V +p6726 +tp6727 +a(g32 +V05 +p6728 +tp6729 +a(g781 +VLINE +p6730 +tp6731 +a(g822 +g958 +tp6732 +a(g32 +V14 +p6733 +tp6734 +a(g781 +VCOL +p6735 +tp6736 +a(g822 +g958 +tp6737 +a(g32 +V67 +p6738 +tp6739 +a(g744 +VPIC X(1) +p6740 +tp6741 +a(g822 +g958 +tp6742 +a(g781 +VFROM +p6743 +tp6744 +a(g822 +g958 +tp6745 +a(g436 +VS-Cfg-MF +p6746 +tp6747 +a(g701 +g965 +tp6748 +a(g822 +V\u000a +p6749 +tp6750 +a(g7 +V +p6751 +tp6752 +a(g822 +V +p6753 +tp6754 +a(g32 +V05 +p6755 +tp6756 +a(g781 +VLINE +p6757 +tp6758 +a(g822 +g958 +tp6759 +a(g32 +V15 +p6760 +tp6761 +a(g781 +VCOL +p6762 +tp6763 +a(g822 +g958 +tp6764 +a(g32 +V67 +p6765 +tp6766 +a(g744 +VPIC X(1) +p6767 +tp6768 +a(g822 +g958 +tp6769 +a(g781 +VFROM +p6770 +tp6771 +a(g822 +g958 +tp6772 +a(g436 +VS-Cfg-MVS +p6773 +tp6774 +a(g701 +g965 +tp6775 +a(g822 +V\u000a +p6776 +tp6777 +a(g7 +V *>\u000a +p6778 +tp6779 +a(g7 +V *> MIDDLE RIGHT SECTION BACKGROUND\u000a +p6780 +tp6781 +a(g7 +V *>\u000a +p6782 +tp6783 +a(g7 +V +p6784 +tp6785 +a(g822 +V +p6786 +tp6787 +a(g32 +V03 +p6788 +tp6789 +a(g781 +VBACKGROUND-COLOR +p6790 +tp6791 +a(g822 +g958 +tp6792 +a(g436 +VCOB-COLOR-BLACK +p6793 +tp6794 +a(g822 +V\u000a +p6795 +tp6796 +a(g7 +V +p6797 +tp6798 +a(g822 +V +p6799 +tp6800 +a(g781 +VFOREGROUND-COLOR +p6801 +tp6802 +a(g822 +g958 +tp6803 +a(g436 +VCOB-COLOR-CYAN +p6804 +tp6805 +a(g822 +g958 +tp6806 +a(g781 +VLOWLIGHT +p6807 +tp6808 +a(g701 +g965 +tp6809 +a(g822 +V\u000a +p6810 +tp6811 +a(g7 +V +p6812 +tp6813 +a(g822 +V +p6814 +tp6815 +a(g32 +V05 +p6816 +tp6817 +a(g781 +VLINE +p6818 +tp6819 +a(g822 +g958 +tp6820 +a(g32 +V09 +p6821 +tp6822 +a(g781 +VCOL +p6823 +tp6824 +a(g822 +g958 +tp6825 +a(g32 +V69 +p6826 +tp6827 +a(g781 +VVALUE +p6828 +tp6829 +a(g225 +V'BS2000 ' +p6830 +tp6831 +a(g701 +g965 +tp6832 +a(g822 +V\u000a +p6833 +tp6834 +a(g7 +V +p6835 +tp6836 +a(g822 +V +p6837 +tp6838 +a(g32 +V05 +p6839 +tp6840 +a(g781 +VLINE +p6841 +tp6842 +a(g822 +g958 +tp6843 +a(g32 +V10 +p6844 +tp6845 +a(g781 +VCOL +p6846 +tp6847 +a(g822 +g958 +tp6848 +a(g32 +V69 +p6849 +tp6850 +a(g781 +VVALUE +p6851 +tp6852 +a(g225 +V'COBOL85 ' +p6853 +tp6854 +a(g701 +g965 +tp6855 +a(g822 +V\u000a +p6856 +tp6857 +a(g7 +V +p6858 +tp6859 +a(g822 +V +p6860 +tp6861 +a(g32 +V05 +p6862 +tp6863 +a(g781 +VLINE +p6864 +tp6865 +a(g822 +g958 +tp6866 +a(g32 +V11 +p6867 +tp6868 +a(g781 +VCOL +p6869 +tp6870 +a(g822 +g958 +tp6871 +a(g32 +V69 +p6872 +tp6873 +a(g781 +VVALUE +p6874 +tp6875 +a(g225 +V'COBOL2002 ' +p6876 +tp6877 +a(g701 +g965 +tp6878 +a(g822 +V\u000a +p6879 +tp6880 +a(g7 +V +p6881 +tp6882 +a(g822 +V +p6883 +tp6884 +a(g32 +V05 +p6885 +tp6886 +a(g781 +VLINE +p6887 +tp6888 +a(g822 +g958 +tp6889 +a(g32 +V12 +p6890 +tp6891 +a(g781 +VCOL +p6892 +tp6893 +a(g822 +g958 +tp6894 +a(g32 +V69 +p6895 +tp6896 +a(g781 +VVALUE +p6897 +tp6898 +a(g225 +V'Default ' +p6899 +tp6900 +a(g701 +g965 +tp6901 +a(g822 +V\u000a +p6902 +tp6903 +a(g7 +V +p6904 +tp6905 +a(g822 +V +p6906 +tp6907 +a(g32 +V05 +p6908 +tp6909 +a(g781 +VLINE +p6910 +tp6911 +a(g822 +g958 +tp6912 +a(g32 +V13 +p6913 +tp6914 +a(g781 +VCOL +p6915 +tp6916 +a(g822 +g958 +tp6917 +a(g32 +V69 +p6918 +tp6919 +a(g781 +VVALUE +p6920 +tp6921 +a(g225 +V'IBM ' +p6922 +tp6923 +a(g701 +g965 +tp6924 +a(g822 +V\u000a +p6925 +tp6926 +a(g7 +V +p6927 +tp6928 +a(g822 +V +p6929 +tp6930 +a(g32 +V05 +p6931 +tp6932 +a(g781 +VLINE +p6933 +tp6934 +a(g822 +g958 +tp6935 +a(g32 +V14 +p6936 +tp6937 +a(g781 +VCOL +p6938 +tp6939 +a(g822 +g958 +tp6940 +a(g32 +V69 +p6941 +tp6942 +a(g781 +VVALUE +p6943 +tp6944 +a(g225 +V'MicroFocus' +p6945 +tp6946 +a(g701 +g965 +tp6947 +a(g822 +V\u000a +p6948 +tp6949 +a(g7 +V +p6950 +tp6951 +a(g822 +V +p6952 +tp6953 +a(g32 +V05 +p6954 +tp6955 +a(g781 +VLINE +p6956 +tp6957 +a(g822 +g958 +tp6958 +a(g32 +V15 +p6959 +tp6960 +a(g781 +VCOL +p6961 +tp6962 +a(g822 +g958 +tp6963 +a(g32 +V69 +p6964 +tp6965 +a(g781 +VVALUE +p6966 +tp6967 +a(g225 +V'MVS ' +p6968 +tp6969 +a(g701 +g965 +tp6970 +a(g822 +V\u000a +p6971 +tp6972 +a(g7 +V *>\u000a +p6973 +tp6974 +a(g7 +V *> FREE-FORM OPTIONS FIELDS\u000a +p6975 +tp6976 +a(g7 +V *>\u000a +p6977 +tp6978 +a(g7 +V +p6979 +tp6980 +a(g822 +V +p6981 +tp6982 +a(g32 +V03 +p6983 +tp6984 +a(g781 +VBACKGROUND-COLOR +p6985 +tp6986 +a(g822 +g958 +tp6987 +a(g436 +VCOB-COLOR-BLACK +p6988 +tp6989 +a(g822 +V\u000a +p6990 +tp6991 +a(g7 +V +p6992 +tp6993 +a(g822 +V +p6994 +tp6995 +a(g781 +VFOREGROUND-COLOR +p6996 +tp6997 +a(g822 +g958 +tp6998 +a(g436 +VCOB-COLOR-WHITE +p6999 +tp7000 +a(g822 +g958 +tp7001 +a(g781 +VHIGHLIGHT +p7002 +tp7003 +a(g701 +g965 +tp7004 +a(g822 +V\u000a +p7005 +tp7006 +a(g7 +V +p7007 +tp7008 +a(g822 +V +p7009 +tp7010 +a(g32 +V05 +p7011 +tp7012 +a(g781 +VLINE +p7013 +tp7014 +a(g822 +g958 +tp7015 +a(g32 +V19 +p7016 +tp7017 +a(g781 +VCOL +p7018 +tp7019 +a(g822 +g958 +tp7020 +a(g32 +V04 +p7021 +tp7022 +a(g744 +VPIC X(75) +p7023 +tp7024 +a(g822 +g958 +tp7025 +a(g781 +VUSING +p7026 +tp7027 +a(g822 +g958 +tp7028 +a(g436 +VS-EXTRA +p7029 +tp7030 +a(g701 +g965 +tp7031 +a(g822 +V\u000a +p7032 +tp7033 +a(g7 +V +p7034 +tp7035 +a(g822 +V +p7036 +tp7037 +a(g32 +V05 +p7038 +tp7039 +a(g781 +VLINE +p7040 +tp7041 +a(g822 +g958 +tp7042 +a(g32 +V23 +p7043 +tp7044 +a(g781 +VCOL +p7045 +tp7046 +a(g822 +g958 +tp7047 +a(g32 +V04 +p7048 +tp7049 +a(g744 +VPIC X(75) +p7050 +tp7051 +a(g822 +g958 +tp7052 +a(g781 +VUSING +p7053 +tp7054 +a(g822 +g958 +tp7055 +a(g436 +VS-ARGS +p7056 +tp7057 +a(g701 +g965 +tp7058 +a(g822 +V\u000a +p7059 +tp7060 +a(g7 +V /\u000a +p7061 +tp7062 +a(g7 +V +p7063 +tp7064 +a(g822 +g958 +tp7065 +a(g789 +VPROCEDURE +p7066 +tp7067 +a(g822 +g958 +tp7068 +a(g789 +VDIVISION +p7069 +tp7070 +a(g701 +g965 +tp7071 +a(g822 +V\u000a +p7072 +tp7073 +a(g7 +V *****************************************************************\u000a +p7074 +tp7075 +a(g7 +V ** Legend to procedure names: **\u000a +p7076 +tp7077 +a(g7 +V ** **\u000a +p7078 +tp7079 +a(g7 +V ** 00x-xxx All MAIN driver procedures **\u000a +p7080 +tp7081 +a(g7 +V ** 0xx-xxx All GLOBAL UTILITY procedures **\u000a +p7082 +tp7083 +a(g7 +V ** 1xx-xxx All INITIALIZATION procedures **\u000a +p7084 +tp7085 +a(g7 +V ** 2xx-xxx All CORE PROCESSING procedures **\u000a +p7086 +tp7087 +a(g7 +V ** 9xx-xxx All TERMINATION procedures **\u000a +p7088 +tp7089 +a(g7 +V *****************************************************************\u000a +p7090 +tp7091 +a(g7 +V +p7092 +tp7093 +a(g822 +g958 +tp7094 +a(g781 +VDECLARATIVES +p7095 +tp7096 +a(g701 +g965 +tp7097 +a(g822 +V\u000a +p7098 +tp7099 +a(g7 +V +p7100 +tp7101 +a(g822 +g958 +tp7102 +a(g32 +V000 +p7103 +tp7104 +a(g408 +g1832 +tp7105 +a(g436 +VFile-Error +p7106 +tp7107 +a(g822 +g958 +tp7108 +a(g789 +VSECTION +p7109 +tp7110 +a(g701 +g965 +tp7111 +a(g822 +V\u000a +p7112 +tp7113 +a(g7 +V +p7114 +tp7115 +a(g822 +V +p7116 +tp7117 +a(g789 +VUSE +p7118 +tp7119 +a(g822 +g958 +tp7120 +a(g781 +VAFTER +p7121 +tp7122 +a(g822 +g958 +tp7123 +a(g781 +VSTANDARD +p7124 +tp7125 +a(g822 +g958 +tp7126 +a(g781 +VERROR +p7127 +tp7128 +a(g822 +g958 +tp7129 +a(g789 +VPROCEDURE +p7130 +tp7131 +a(g822 +g958 +tp7132 +a(g781 +VON +p7133 +tp7134 +a(g822 +g958 +tp7135 +a(g436 +VSource-Code +p7136 +tp7137 +a(g701 +g965 +tp7138 +a(g822 +V\u000a +p7139 +tp7140 +a(g7 +V +p7141 +tp7142 +a(g822 +g958 +tp7143 +a(g32 +V000 +p7144 +tp7145 +a(g408 +g1832 +tp7146 +a(g436 +VHandle-Error +p7147 +tp7148 +a(g701 +g965 +tp7149 +a(g822 +V\u000a +p7150 +tp7151 +a(g7 +V +p7152 +tp7153 +a(g822 +V +p7154 +tp7155 +a(g781 +VCOPY +p7156 +tp7157 +a(g822 +g958 +tp7158 +a(g436 +VFileStat-Msgs +p7159 +tp7160 +a(g822 +V\u000a +p7161 +tp7162 +a(g7 +V +p7163 +tp7164 +a(g822 +V +p7165 +tp7166 +a(g781 +VREPLACING +p7167 +tp7168 +a(g822 +g958 +tp7169 +a(g781 +VSTATUS +p7170 +tp7171 +a(g822 +g958 +tp7172 +a(g781 +VBY +p7173 +tp7174 +a(g822 +g958 +tp7175 +a(g436 +VFSM-Status +p7176 +tp7177 +a(g822 +V\u000a +p7178 +tp7179 +a(g7 +V +p7180 +tp7181 +a(g822 +V +p7182 +tp7183 +a(g436 +VMSG +p7184 +tp7185 +a(g822 +V +p7186 +tp7187 +a(g781 +VBY +p7188 +tp7189 +a(g822 +g958 +tp7190 +a(g436 +VFSM-Msg +p7191 +tp7192 +a(g701 +g965 +tp7193 +a(g822 +V\u000a +p7194 +tp7195 +a(g7 +V +p7196 +tp7197 +a(g822 +V +p7198 +tp7199 +a(g789 +VMOVE +p7200 +tp7201 +a(g822 +g958 +tp7202 +a(g561 +VSPACES +p7203 +tp7204 +a(g822 +g958 +tp7205 +a(g781 +VTO +p7206 +tp7207 +a(g822 +g958 +tp7208 +a(g436 +VOutput-Message +p7209 +tp7210 +a(g822 +V\u000a +p7211 +tp7212 +a(g7 +V +p7213 +tp7214 +a(g822 +V +p7215 +tp7216 +a(g789 +VIF +p7217 +tp7218 +a(g822 +g958 +tp7219 +a(g436 +VFSM-Status +p7220 +tp7221 +a(g822 +g958 +tp7222 +a(g408 +V= +p7223 +tp7224 +a(g822 +g958 +tp7225 +a(g32 +V35\u000a +p7226 +tp7227 +a(g789 +VDISPLAY\u000a +p7228 +tp7229 +a(g225 +V'File not found: "' +p7230 +tp7231 +a(g822 +V\u000a +p7232 +tp7233 +a(g7 +V +p7234 +tp7235 +a(g822 +V +p7236 +tp7237 +a(g569 +VTRIM +p7238 +tp7239 +a(g701 +V( +p7240 +tp7241 +a(g436 +VFile-Name +p7242 +tp7243 +a(g701 +g2705 +tp7244 +a(g781 +VTRAILING +p7245 +tp7246 +a(g701 +V) +p7247 +tp7248 +a(g822 +V\u000a +p7249 +tp7250 +a(g7 +V +p7251 +tp7252 +a(g822 +V +p7253 +tp7254 +a(g225 +V'"' +p7255 +tp7256 +a(g822 +V\u000a +p7257 +tp7258 +a(g7 +V +p7259 +tp7260 +a(g822 +V +p7261 +tp7262 +a(g789 +VEND-DISPLAY\u000a +p7263 +tp7264 +a(g822 +g958 +tp7265 +a(g789 +VELSE\u000a +p7266 +tp7267 +a(g822 +g958 +tp7268 +a(g789 +VDISPLAY\u000a +p7269 +tp7270 +a(g225 +V'Error accessing file: "' +p7271 +tp7272 +a(g822 +V\u000a +p7273 +tp7274 +a(g7 +V +p7275 +tp7276 +a(g822 +V +p7277 +tp7278 +a(g569 +VTRIM +p7279 +tp7280 +a(g701 +g7240 +tp7281 +a(g436 +VFile-Name +p7282 +tp7283 +a(g701 +g2705 +tp7284 +a(g781 +VTRAILING +p7285 +tp7286 +a(g701 +g7247 +tp7287 +a(g822 +V\u000a +p7288 +tp7289 +a(g7 +V +p7290 +tp7291 +a(g822 +V +p7292 +tp7293 +a(g225 +V'"' +p7294 +tp7295 +a(g822 +V\u000a +p7296 +tp7297 +a(g7 +V +p7298 +tp7299 +a(g822 +V +p7300 +tp7301 +a(g789 +VEND-DISPLAY\u000a +p7302 +tp7303 +a(g822 +g958 +tp7304 +a(g789 +VEND-IF\u000a +p7305 +tp7306 +a(g822 +g958 +tp7307 +a(g789 +VGOBACK\u000a +p7308 +tp7309 +a(g701 +g965 +tp7310 +a(g822 +V\u000a +p7311 +tp7312 +a(g7 +V +p7313 +tp7314 +a(g822 +g958 +tp7315 +a(g789 +VEND +p7316 +tp7317 +a(g822 +g958 +tp7318 +a(g781 +VDECLARATIVES +p7319 +tp7320 +a(g701 +g965 +tp7321 +a(g822 +V\u000a +p7322 +tp7323 +a(g7 +V /\u000a +p7324 +tp7325 +a(g7 +V +p7326 +tp7327 +a(g822 +g958 +tp7328 +a(g32 +V000 +p7329 +tp7330 +a(g408 +g1832 +tp7331 +a(g436 +VMain +p7332 +tp7333 +a(g822 +g958 +tp7334 +a(g789 +VSECTION +p7335 +tp7336 +a(g701 +g965 +tp7337 +a(g822 +V\u000a +p7338 +tp7339 +a(g822 +V\u000a +p7340 +tp7341 +a(g7 +V +p7342 +tp7343 +a(g822 +V +p7344 +tp7345 +a(g789 +VPERFORM +p7346 +tp7347 +a(g822 +g958 +tp7348 +a(g32 +V100 +p7349 +tp7350 +a(g408 +g1832 +tp7351 +a(g436 +VInitialization +p7352 +tp7353 +a(g822 +V\u000a +p7354 +tp7355 +a(g7 +VGC0609 +p7356 +tp7357 +a(g822 +V +p7358 +tp7359 +a(g789 +VSET +p7360 +tp7361 +a(g822 +g958 +tp7362 +a(g32 +V88 +p7363 +tp7364 +a(g408 +g1832 +tp7365 +a(g436 +VNot-Complete +p7366 +tp7367 +a(g822 +g958 +tp7368 +a(g781 +VTO +p7369 +tp7370 +a(g822 +g958 +tp7371 +a(g677 +VTRUE +p7372 +tp7373 +a(g822 +V\u000a +p7374 +tp7375 +a(g7 +VGC0609 +p7376 +tp7377 +a(g822 +V +p7378 +tp7379 +a(g789 +VPERFORM +p7380 +tp7381 +a(g822 +g958 +tp7382 +a(g781 +VUNTIL +p7383 +tp7384 +a(g822 +g958 +tp7385 +a(g32 +V88 +p7386 +tp7387 +a(g408 +g1832 +tp7388 +a(g436 +VComplete +p7389 +tp7390 +a(g822 +V\u000a +p7391 +tp7392 +a(g7 +VGC0609 +p7393 +tp7394 +a(g822 +V +p7395 +tp7396 +a(g789 +VPERFORM +p7397 +tp7398 +a(g822 +g958 +tp7399 +a(g32 +V200 +p7400 +tp7401 +a(g408 +g1832 +tp7402 +a(g436 +VLet-User-Set-Switches +p7403 +tp7404 +a(g822 +V\u000a +p7405 +tp7406 +a(g7 +VGC0609 +p7407 +tp7408 +a(g822 +V +p7409 +tp7410 +a(g789 +VPERFORM +p7411 +tp7412 +a(g822 +g958 +tp7413 +a(g32 +V210 +p7414 +tp7415 +a(g408 +g1832 +tp7416 +a(g436 +VRun-Compiler +p7417 +tp7418 +a(g822 +V\u000a +p7419 +tp7420 +a(g7 +VGC0410 +p7421 +tp7422 +a(g822 +V +p7423 +tp7424 +a(g789 +VIF +p7425 +tp7426 +a(g701 +g7240 +tp7427 +a(g32 +V88 +p7428 +tp7429 +a(g408 +g1832 +tp7430 +a(g436 +VCompile-OK +p7431 +tp7432 +a(g822 +g958 +tp7433 +a(g422 +VOR +p7434 +tp7435 +a(g822 +g958 +tp7436 +a(g32 +V88 +p7437 +tp7438 +a(g408 +g1832 +tp7439 +a(g436 +VCompile-OK-Warn +p7440 +tp7441 +a(g701 +g7247 +tp7442 +a(g822 +V\u000a +p7443 +tp7444 +a(g7 +VGC0410 +p7445 +tp7446 +a(g822 +V +p7447 +tp7448 +a(g422 +VAND +p7449 +tp7450 +a(g701 +g7240 +tp7451 +a(g436 +VS-XREF +p7452 +tp7453 +a(g822 +g958 +tp7454 +a(g422 +VNOT +p7455 +tp7456 +a(g408 +g7223 +tp7457 +a(g822 +g958 +tp7458 +a(g561 +VSPACE +p7459 +tp7460 +a(g822 +g958 +tp7461 +a(g422 +VOR +p7462 +tp7463 +a(g822 +g958 +tp7464 +a(g436 +VS-SOURCE +p7465 +tp7466 +a(g822 +g958 +tp7467 +a(g422 +VNOT +p7468 +tp7469 +a(g408 +g7223 +tp7470 +a(g822 +g958 +tp7471 +a(g561 +VSPACE +p7472 +tp7473 +a(g701 +g7247 +tp7474 +a(g822 +V\u000a +p7475 +tp7476 +a(g7 +VGC0410 +p7477 +tp7478 +a(g822 +V +p7479 +tp7480 +a(g789 +VPERFORM +p7481 +tp7482 +a(g822 +g958 +tp7483 +a(g32 +V220 +p7484 +tp7485 +a(g408 +g1832 +tp7486 +a(g436 +VMake-Listing +p7487 +tp7488 +a(g822 +V\u000a +p7489 +tp7490 +a(g7 +VGC0410 +p7491 +tp7492 +a(g822 +V +p7493 +tp7494 +a(g789 +VEND-IF +p7495 +tp7496 +a(g822 +V\u000a +p7497 +tp7498 +a(g7 +VGC0709 +p7499 +tp7500 +a(g822 +V +p7501 +tp7502 +a(g789 +VIF +p7503 +tp7504 +a(g701 +g7240 +tp7505 +a(g436 +VS-EXECUTE +p7506 +tp7507 +a(g822 +g958 +tp7508 +a(g422 +VNOT +p7509 +tp7510 +a(g408 +g7223 +tp7511 +a(g822 +g958 +tp7512 +a(g561 +VSPACES +p7513 +tp7514 +a(g701 +g7247 +tp7515 +a(g822 +V\u000a +p7516 +tp7517 +a(g7 +VGC0709 +p7518 +tp7519 +a(g822 +V +p7520 +tp7521 +a(g422 +VAND +p7522 +tp7523 +a(g701 +g7240 +tp7524 +a(g32 +V88 +p7525 +tp7526 +a(g408 +g1832 +tp7527 +a(g436 +VOutput-File-Avail +p7528 +tp7529 +a(g701 +g7247 +tp7530 +a(g822 +V\u000a +p7531 +tp7532 +a(g7 +VGC0609 +p7533 +tp7534 +a(g822 +V +p7535 +tp7536 +a(g789 +VPERFORM +p7537 +tp7538 +a(g822 +g958 +tp7539 +a(g32 +V230 +p7540 +tp7541 +a(g408 +g1832 +tp7542 +a(g436 +VRun-Program +p7543 +tp7544 +a(g822 +V\u000a +p7545 +tp7546 +a(g7 +VGC0609 +p7547 +tp7548 +a(g822 +V +p7549 +tp7550 +a(g789 +VEND-IF +p7551 +tp7552 +a(g822 +V\u000a +p7553 +tp7554 +a(g7 +VGC0609 +p7555 +tp7556 +a(g822 +V +p7557 +tp7558 +a(g789 +VEND-PERFORM\u000a +p7559 +tp7560 +a(g701 +g965 +tp7561 +a(g822 +V\u000a +p7562 +tp7563 +a(g822 +V\u000a +p7564 +tp7565 +a(g7 +V +p7566 +tp7567 +a(g822 +g958 +tp7568 +a(g32 +V009 +p7569 +tp7570 +a(g408 +g1832 +tp7571 +a(g436 +VDone +p7572 +tp7573 +a(g701 +g965 +tp7574 +a(g822 +V\u000a +p7575 +tp7576 +a(g7 +V +p7577 +tp7578 +a(g822 +V +p7579 +tp7580 +a(g789 +VPERFORM +p7581 +tp7582 +a(g822 +g958 +tp7583 +a(g32 +V900 +p7584 +tp7585 +a(g408 +g1832 +tp7586 +a(g436 +VTerminate +p7587 +tp7588 +a(g822 +V\u000a +p7589 +tp7590 +a(g7 +V +p7591 +tp7592 +a(g822 +V +p7593 +tp7594 +a(g701 +g965 +tp7595 +a(g822 +V\u000a +p7596 +tp7597 +a(g7 +V * -- Control will NOT return\u000a +p7598 +tp7599 +a(g7 +V /\u000a +p7600 +tp7601 +a(g7 +V +p7602 +tp7603 +a(g822 +g958 +tp7604 +a(g32 +V010 +p7605 +tp7606 +a(g408 +g1832 +tp7607 +a(g436 +VParse-Args +p7608 +tp7609 +a(g822 +g958 +tp7610 +a(g789 +VSECTION +p7611 +tp7612 +a(g701 +g965 +tp7613 +a(g822 +V\u000a +p7614 +tp7615 +a(g7 +V *****************************************************************\u000a +p7616 +tp7617 +a(g7 +V ** Process a sequence of KEYWORD=VALUE items. These are items **\u000a +p7618 +tp7619 +a(g7 +V ** specified on the command-line to provide the initial **\u000a +p7620 +tp7621 +a(g7 +V ** options shown selected on the screen. When integrating **\u000a +p7622 +tp7623 +a(g7 +V ** OCic into an edirot or framework, include these switches on **\u000a +p7624 +tp7625 +a(g7 +V ** the ocic.exe command the editor/framework executes. Any **\u000a +p7626 +tp7627 +a(g7 +V ** underlined choice is the default value for that switch. **\u000a +p7628 +tp7629 +a(g7 +V ** **\u000a +p7630 +tp7631 +a(g7 +V ** @CONFIG=BS2000|COBOL85|COBOL2002|DEFAULT|IBM|MF|MVS **\u000a +p7632 +tp7633 +a(g7 +V ** ======= **\u000a +p7634 +tp7635 +a(g7 +V ** This switch specifies the default cobc compiler configura- **\u000a +p7636 +tp7637 +a(g7 +V ** tion file to be used **\u000a +p7638 +tp7639 +a(g7 +V ** **\u000a +p7640 +tp7641 +a(g7 +V ** @DEBUG=YES|NO **\u000a +p7642 +tp7643 +a(g7 +V ** == **\u000a +p7644 +tp7645 +a(g7 +V ** This switch specifies whether (YES) or not (NO) debugging **\u000a +p7646 +tp7647 +a(g7 +V ** lines (those with a "D" in column 7) will be compiled. **\u000a +p7648 +tp7649 +a(g7 +V ** **\u000a +p7650 +tp7651 +a(g7 +V ** @DLL=YES|NO **\u000a +p7652 +tp7653 +a(g7 +V ** == **\u000a +p7654 +tp7655 +a(g7 +V ** Use this switch to force ALL compiled programs to be built **\u000a +p7656 +tp7657 +a(g7 +V ** as DLLs ("@DLL=YES"). When main programs are built as DLLs **\u000a +p7658 +tp7659 +a(g7 +V ** they must be executed using the cobcrun utility. When **\u000a +p7660 +tp7661 +a(g7 +V ** "@DLL=NO" is in effect, main programs are generated as **\u000a +p7662 +tp7663 +a(g7 +V ** actual "exe" files and only subprograms will be generated **\u000a +p7664 +tp7665 +a(g7 +V ** as DLLs. **\u000a +p7666 +tp7667 +a(g7 +V ** **\u000a +p7668 +tp7669 +a(g7 +V ** @EXECUTE=YES|NO **\u000a +p7670 +tp7671 +a(g7 +V ** == **\u000a +p7672 +tp7673 +a(g7 +V ** This switch specifies whether ("@EXECUTE=YES") or not **\u000a +p7674 +tp7675 +a(g7 +V ** ("@EXECUTE=NO") the program will be executed after it is **\u000a +p7676 +tp7677 +a(g7 +V ** successfully compiled. **\u000a +p7678 +tp7679 +a(g7 +V ** **\u000a +p7680 +tp7681 +a(g7 +V ** @EXTRA=extra cobc argument(s) **\u000a +p7682 +tp7683 +a(g7 +V ** **\u000a +p7684 +tp7685 +a(g7 +V ** This switch allows you to specify additional cobc arguments **\u000a +p7686 +tp7687 +a(g7 +V ** that aren't managed by the other OC switches. If used, **\u000a +p7688 +tp7689 +a(g7 +V ** this must be the last switch specified on the command line, **\u000a +p7690 +tp7691 +a(g7 +V ** as everything that follows the "=" will be placed on the **\u000a +p7692 +tp7693 +a(g7 +V ** cobc command generated by OC. **\u000a +p7694 +tp7695 +a(g7 +V ** **\u000a +p7696 +tp7697 +a(g7 +V ** @NOTRUNC=YES|NO **\u000a +p7698 +tp7699 +a(g7 +V ** === **\u000a +p7700 +tp7701 +a(g7 +V ** This switch specifies whether (YES) or not (NO) the sup- **\u000a +p7702 +tp7703 +a(g7 +V ** pression of binary field truncation will occur. If a PIC **\u000a +p7704 +tp7705 +a(g7 +V ** 99 COMP field (one byte of storage), for example, is given **\u000a +p7706 +tp7707 +a(g7 +V ** the value 123, it may have its value truncated to 23 when **\u000a +p7708 +tp7709 +a(g7 +V ** DISPLAYed. Regardless of the NOTRUNC setting, internally **\u000a +p7710 +tp7711 +a(g7 +V ** the full precision of the field (allowing a maximum value **\u000a +p7712 +tp7713 +a(g7 +V ** of 255) will be preserved. Even though truncation - if it **\u000a +p7714 +tp7715 +a(g7 +V ** does occur - would appear to have a minimal disruption on **\u000a +p7716 +tp7717 +a(g7 +V ** program operation, it has a significant effect on program **\u000a +p7718 +tp7719 +a(g7 +V ** run-time speed. **\u000a +p7720 +tp7721 +a(g7 +V ** **\u000a +p7722 +tp7723 +a(g7 +V ** @TRACE=YES|NO|ALL **\u000a +p7724 +tp7725 +a(g7 +V ** == **\u000a +p7726 +tp7727 +a(g7 +V ** This switch controls whether or not code will be added to **\u000a +p7728 +tp7729 +a(g7 +V ** the object program to produce execution-time logic traces. **\u000a +p7730 +tp7731 +a(g7 +V ** A specification of "@TRACE=NO" means no such code will be **\u000a +p7732 +tp7733 +a(g7 +V ** produced. By specifying "@TRACE=YES", code will be genera- **\u000a +p7734 +tp7735 +a(g7 +V ** ted to display procedure names as they are entered. A **\u000a +p7736 +tp7737 +a(g7 +V ** "@TRACE=ALL" specification will generate not only procedure **\u000a +p7738 +tp7739 +a(g7 +V ** traces (as "@TRACE=YES" would) but also statement-level **\u000a +p7740 +tp7741 +a(g7 +V ** traces too! All trace output is written to STDERR, so **\u000a +p7742 +tp7743 +a(g7 +V ** adding a "2>file" to the execution of the program will pipe **\u000a +p7744 +tp7745 +a(g7 +V ** the trace output to a file. You may find it valuable to **\u000a +p7746 +tp7747 +a(g7 +V ** add your own DISPLAY statements to the debugging output via **\u000a +p7748 +tp7749 +a(g7 +V ** "DISPLAY xx UPON SYSERR" The SYSERR device corresponds to **\u000a +p7750 +tp7751 +a(g7 +V ** the Windows or UNIX STDERR device and will therefore honor **\u000a +p7752 +tp7753 +a(g7 +V ** any "2>file" placed at the end of your program's execution. **\u000a +p7754 +tp7755 +a(g7 +V ** Add a "D" in column 7 and you can control the generation or **\u000a +p7756 +tp7757 +a(g7 +V ** ignoring of these DISPLAY statements via the "@DEBUG" **\u000a +p7758 +tp7759 +a(g7 +V ** switch. **\u000a +p7760 +tp7761 +a(g7 +V ** **\u000a +p7762 +tp7763 +a(g7 +VGC0410** @SOURCE=YES|NO **\u000a +p7764 +tp7765 +a(g7 +VGC0410** == **\u000a +p7766 +tp7767 +a(g7 +VGC0410** Use this switch to produce a source listing of the program, **\u000a +p7768 +tp7769 +a(g7 +VGC0410** PROVIDED it compiles without errors. **\u000a +p7770 +tp7771 +a(g7 +V ** **\u000a +p7772 +tp7773 +a(g7 +VGC0410** @XREF=YES|NO **\u000a +p7774 +tp7775 +a(g7 +VGC0410** == **\u000a +p7776 +tp7777 +a(g7 +VGC0410** Use this switch to produce a cross-reference listing of the **\u000a +p7778 +tp7779 +a(g7 +VGC0410** program, PROVIDED it compiles without errors. **\u000a +p7780 +tp7781 +a(g7 +V *****************************************************************\u000a +p7782 +tp7783 +a(g822 +V\u000a +p7784 +tp7785 +a(g7 +V +p7786 +tp7787 +a(g822 +g958 +tp7788 +a(g32 +V011 +p7789 +tp7790 +a(g408 +g1832 +tp7791 +a(g436 +VInit +p7792 +tp7793 +a(g701 +g965 +tp7794 +a(g822 +V\u000a +p7795 +tp7796 +a(g7 +V +p7797 +tp7798 +a(g822 +V +p7799 +tp7800 +a(g789 +VMOVE +p7801 +tp7802 +a(g822 +g958 +tp7803 +a(g32 +V1 +p7804 +tp7805 +a(g781 +VTO +p7806 +tp7807 +a(g822 +g958 +tp7808 +a(g436 +g2314 +tp7809 +a(g822 +V\u000a +p7810 +tp7811 +a(g7 +V +p7812 +tp7813 +a(g822 +V +p7814 +tp7815 +a(g701 +g965 +tp7816 +a(g822 +V\u000a +p7817 +tp7818 +a(g822 +V\u000a +p7819 +tp7820 +a(g7 +V +p7821 +tp7822 +a(g822 +g958 +tp7823 +a(g32 +V012 +p7824 +tp7825 +a(g408 +g1832 +tp7826 +a(g436 +VExtract-Kwd-And-Value +p7827 +tp7828 +a(g701 +g965 +tp7829 +a(g822 +V\u000a +p7830 +tp7831 +a(g7 +V +p7832 +tp7833 +a(g822 +V +p7834 +tp7835 +a(g789 +VPERFORM +p7836 +tp7837 +a(g822 +g958 +tp7838 +a(g781 +VUNTIL +p7839 +tp7840 +a(g822 +g958 +tp7841 +a(g436 +g2314 +tp7842 +a(g822 +g958 +tp7843 +a(g422 +VNOT +p7844 +tp7845 +a(g408 +V< +p7846 +tp7847 +a(g822 +g958 +tp7848 +a(g781 +VLENGTH +p7849 +tp7850 +a(g701 +g7240 +tp7851 +a(g436 +VCommand-Line-Args +p7852 +tp7853 +a(g701 +g7247 +tp7854 +a(g822 +V\u000a +p7855 +tp7856 +a(g7 +V +p7857 +tp7858 +a(g822 +V +p7859 +tp7860 +a(g789 +VMOVE +p7861 +tp7862 +a(g822 +g958 +tp7863 +a(g436 +g2314 +tp7864 +a(g822 +g958 +tp7865 +a(g781 +VTO +p7866 +tp7867 +a(g822 +g958 +tp7868 +a(g436 +g2333 +tp7869 +a(g822 +V\u000a +p7870 +tp7871 +a(g7 +V +p7872 +tp7873 +a(g822 +V +p7874 +tp7875 +a(g789 +VUNSTRING +p7876 +tp7877 +a(g822 +g958 +tp7878 +a(g436 +VCommand-Line-Args +p7879 +tp7880 +a(g822 +V\u000a +p7881 +tp7882 +a(g7 +V +p7883 +tp7884 +a(g822 +V +p7885 +tp7886 +a(g781 +VDELIMITED +p7887 +tp7888 +a(g822 +g958 +tp7889 +a(g781 +VBY +p7890 +tp7891 +a(g822 +g958 +tp7892 +a(g561 +VALL SPACES\u000a +p7893 +tp7894 +a(g822 +g958 +tp7895 +a(g781 +VINTO +p7896 +tp7897 +a(g822 +g958 +tp7898 +a(g436 +VSwitch-Keyword-And-Value +p7899 +tp7900 +a(g822 +V\u000a +p7901 +tp7902 +a(g7 +V +p7903 +tp7904 +a(g822 +V +p7905 +tp7906 +a(g781 +VWITH +p7907 +tp7908 +a(g822 +g958 +tp7909 +a(g781 +VPOINTER +p7910 +tp7911 +a(g822 +g958 +tp7912 +a(g436 +g2314 +tp7913 +a(g822 +V\u000a +p7914 +tp7915 +a(g7 +V +p7916 +tp7917 +a(g822 +V +p7918 +tp7919 +a(g789 +VEND-UNSTRING\u000a +p7920 +tp7921 +a(g822 +g958 +tp7922 +a(g789 +VIF +p7923 +tp7924 +a(g822 +g958 +tp7925 +a(g436 +VSwitch-Keyword-And-Value +p7926 +tp7927 +a(g822 +g958 +tp7928 +a(g422 +VNOT +p7929 +tp7930 +a(g408 +g7223 +tp7931 +a(g822 +g958 +tp7932 +a(g561 +VSPACES\u000a +p7933 +tp7934 +a(g822 +g958 +tp7935 +a(g789 +VUNSTRING +p7936 +tp7937 +a(g822 +g958 +tp7938 +a(g436 +VSwitch-Keyword-And-Value +p7939 +tp7940 +a(g822 +V\u000a +p7941 +tp7942 +a(g7 +V +p7943 +tp7944 +a(g822 +V +p7945 +tp7946 +a(g781 +VDELIMITED +p7947 +tp7948 +a(g822 +g958 +tp7949 +a(g781 +VBY +p7950 +tp7951 +a(g225 +V'=' +p7952 +tp7953 +a(g822 +V\u000a +p7954 +tp7955 +a(g7 +V +p7956 +tp7957 +a(g822 +V +p7958 +tp7959 +a(g781 +VINTO +p7960 +tp7961 +a(g822 +g958 +tp7962 +a(g436 +VSwitch-Keyword +p7963 +tp7964 +a(g701 +g2705 +tp7965 +a(g822 +g958 +tp7966 +a(g436 +VSwitch-Value +p7967 +tp7968 +a(g822 +V\u000a +p7969 +tp7970 +a(g7 +V +p7971 +tp7972 +a(g822 +V +p7973 +tp7974 +a(g789 +VEND-UNSTRING\u000a +p7975 +tp7976 +a(g822 +g958 +tp7977 +a(g789 +VPERFORM +p7978 +tp7979 +a(g822 +g958 +tp7980 +a(g32 +V030 +p7981 +tp7982 +a(g408 +g1832 +tp7983 +a(g436 +VProcess-Keyword +p7984 +tp7985 +a(g822 +V\u000a +p7986 +tp7987 +a(g7 +V +p7988 +tp7989 +a(g822 +V +p7990 +tp7991 +a(g789 +VEND-IF\u000a +p7992 +tp7993 +a(g822 +g958 +tp7994 +a(g789 +VEND-PERFORM\u000a +p7995 +tp7996 +a(g701 +g965 +tp7997 +a(g822 +V\u000a +p7998 +tp7999 +a(g822 +V\u000a +p8000 +tp8001 +a(g7 +V +p8002 +tp8003 +a(g822 +g958 +tp8004 +a(g32 +V019 +p8005 +tp8006 +a(g408 +g1832 +tp8007 +a(g436 +VDone +p8008 +tp8009 +a(g701 +g965 +tp8010 +a(g822 +V\u000a +p8011 +tp8012 +a(g7 +V +p8013 +tp8014 +a(g822 +V +p8015 +tp8016 +a(g789 +VEXIT +p8017 +tp8018 +a(g701 +g965 +tp8019 +a(g822 +V\u000a +p8020 +tp8021 +a(g822 +V\u000a +p8022 +tp8023 +a(g7 +V *****************************************************************\u000a +p8024 +tp8025 +a(g7 +V ** Since this program uses the SCREEN SECTION, it cannot do **\u000a +p8026 +tp8027 +a(g7 +V ** conventional console DISPLAY operations. This routine **\u000a +p8028 +tp8029 +a(g7 +V ** (which, I admit, is like using an H-bomb to hunt rabbits) **\u000a +p8030 +tp8031 +a(g7 +V ** will submit an "ECHO" command to the system to simulate a **\u000a +p8032 +tp8033 +a(g7 +V ** DISPLAY. **\u000a +p8034 +tp8035 +a(g7 +V *****************************************************************\u000a +p8036 +tp8037 +a(g7 +V +p8038 +tp8039 +a(g822 +g958 +tp8040 +a(g32 +V021 +p8041 +tp8042 +a(g408 +g1832 +tp8043 +a(g436 +VBuild-And-Issue-Command +p8044 +tp8045 +a(g701 +g965 +tp8046 +a(g822 +V\u000a +p8047 +tp8048 +a(g7 +V +p8049 +tp8050 +a(g822 +V +p8051 +tp8052 +a(g789 +VDISPLAY\u000a +p8053 +tp8054 +a(g822 +g958 +tp8055 +a(g436 +VOutput-Message +p8056 +tp8057 +a(g822 +V\u000a +p8058 +tp8059 +a(g7 +V +p8060 +tp8061 +a(g822 +V +p8062 +tp8063 +a(g789 +VEND-DISPLAY\u000a +p8064 +tp8065 +a(g701 +g965 +tp8066 +a(g822 +V\u000a +p8067 +tp8068 +a(g822 +V\u000a +p8069 +tp8070 +a(g7 +V +p8071 +tp8072 +a(g822 +g958 +tp8073 +a(g32 +V029 +p8074 +tp8075 +a(g408 +g1832 +tp8076 +a(g436 +VDone +p8077 +tp8078 +a(g701 +g965 +tp8079 +a(g822 +V\u000a +p8080 +tp8081 +a(g7 +V +p8082 +tp8083 +a(g822 +V +p8084 +tp8085 +a(g789 +VEXIT +p8086 +tp8087 +a(g701 +g965 +tp8088 +a(g822 +V\u000a +p8089 +tp8090 +a(g7 +V /\u000a +p8091 +tp8092 +a(g7 +V +p8093 +tp8094 +a(g822 +g958 +tp8095 +a(g32 +V030 +p8096 +tp8097 +a(g408 +g1832 +tp8098 +a(g436 +VProcess-Keyword +p8099 +tp8100 +a(g822 +g958 +tp8101 +a(g789 +VSECTION +p8102 +tp8103 +a(g701 +g965 +tp8104 +a(g822 +V\u000a +p8105 +tp8106 +a(g7 +V *****************************************************************\u000a +p8107 +tp8108 +a(g7 +V ** Process a single KEYWORD=VALUE item. **\u000a +p8109 +tp8110 +a(g7 +V *****************************************************************\u000a +p8111 +tp8112 +a(g822 +V\u000a +p8113 +tp8114 +a(g7 +V +p8115 +tp8116 +a(g822 +g958 +tp8117 +a(g32 +V031 +p8118 +tp8119 +a(g408 +g1832 +tp8120 +a(g436 +VInit +p8121 +tp8122 +a(g701 +g965 +tp8123 +a(g822 +V\u000a +p8124 +tp8125 +a(g7 +V +p8126 +tp8127 +a(g822 +V +p8128 +tp8129 +a(g789 +VMOVE +p8130 +tp8131 +a(g822 +g958 +tp8132 +a(g569 +VUPPER-CASE +p8133 +tp8134 +a(g701 +g7240 +tp8135 +a(g436 +VSwitch-Keyword +p8136 +tp8137 +a(g701 +g7247 +tp8138 +a(g822 +g958 +tp8139 +a(g781 +VTO +p8140 +tp8141 +a(g822 +g958 +tp8142 +a(g436 +VSwitch-Keyword +p8143 +tp8144 +a(g822 +V\u000a +p8145 +tp8146 +a(g7 +V +p8147 +tp8148 +a(g822 +V +p8149 +tp8150 +a(g789 +VSET +p8151 +tp8152 +a(g822 +g958 +tp8153 +a(g32 +V88 +p8154 +tp8155 +a(g408 +g1832 +tp8156 +a(g436 +VSwitch-Is-Good +p8157 +tp8158 +a(g822 +g958 +tp8159 +a(g781 +VTO +p8160 +tp8161 +a(g822 +g958 +tp8162 +a(g677 +VTRUE\u000a +p8163 +tp8164 +a(g701 +g965 +tp8165 +a(g822 +V\u000a +p8166 +tp8167 +a(g822 +V\u000a +p8168 +tp8169 +a(g7 +V +p8170 +tp8171 +a(g822 +g958 +tp8172 +a(g32 +V032 +p8173 +tp8174 +a(g408 +g1832 +tp8175 +a(g436 +VProcess +p8176 +tp8177 +a(g701 +g965 +tp8178 +a(g822 +V\u000a +p8179 +tp8180 +a(g7 +V +p8181 +tp8182 +a(g822 +V +p8183 +tp8184 +a(g789 +VEVALUATE +p8185 +tp8186 +a(g822 +g958 +tp8187 +a(g677 +VTRUE\u000a +p8188 +tp8189 +a(g822 +g958 +tp8190 +a(g781 +VWHEN +p8191 +tp8192 +a(g822 +g958 +tp8193 +a(g436 +VSwitch-Is-EXTRA +p8194 +tp8195 +a(g822 +V\u000a +p8196 +tp8197 +a(g7 +VGC0410 +p8198 +tp8199 +a(g822 +V +p8200 +tp8201 +a(g789 +VMOVE +p8202 +tp8203 +a(g822 +g958 +tp8204 +a(g436 +g2333 +tp8205 +a(g822 +g958 +tp8206 +a(g781 +VTO +p8207 +tp8208 +a(g822 +g958 +tp8209 +a(g436 +g2314 +tp8210 +a(g822 +V\u000a +p8211 +tp8212 +a(g7 +V +p8213 +tp8214 +a(g822 +V +p8215 +tp8216 +a(g789 +VUNSTRING +p8217 +tp8218 +a(g822 +g958 +tp8219 +a(g436 +VCommand-Line-Args +p8220 +tp8221 +a(g822 +g958 +tp8222 +a(g781 +VDELIMITED +p8223 +tp8224 +a(g822 +g958 +tp8225 +a(g781 +VBY +p8226 +tp8227 +a(g225 +V'=' +p8228 +tp8229 +a(g822 +V\u000a +p8230 +tp8231 +a(g7 +V +p8232 +tp8233 +a(g822 +V +p8234 +tp8235 +a(g781 +VINTO +p8236 +tp8237 +a(g822 +g958 +tp8238 +a(g436 +VDummy +p8239 +tp8240 +a(g701 +g2705 +tp8241 +a(g822 +g958 +tp8242 +a(g436 +VS-EXTRA +p8243 +tp8244 +a(g822 +V\u000a +p8245 +tp8246 +a(g7 +VGC0410 +p8247 +tp8248 +a(g822 +V +p8249 +tp8250 +a(g781 +VWITH +p8251 +tp8252 +a(g822 +g958 +tp8253 +a(g781 +VPOINTER +p8254 +tp8255 +a(g822 +g958 +tp8256 +a(g436 +g2314 +tp8257 +a(g822 +V\u000a +p8258 +tp8259 +a(g7 +VGC0410 +p8260 +tp8261 +a(g822 +V +p8262 +tp8263 +a(g789 +VEND-UNSTRING\u000a +p8264 +tp8265 +a(g822 +g958 +tp8266 +a(g789 +VMOVE +p8267 +tp8268 +a(g822 +g958 +tp8269 +a(g781 +VLENGTH +p8270 +tp8271 +a(g701 +g7240 +tp8272 +a(g436 +VCommand-Line-Args +p8273 +tp8274 +a(g701 +g7247 +tp8275 +a(g822 +g958 +tp8276 +a(g781 +VTO +p8277 +tp8278 +a(g822 +g958 +tp8279 +a(g436 +g2314 +tp8280 +a(g822 +V\u000a +p8281 +tp8282 +a(g7 +V +p8283 +tp8284 +a(g822 +V +p8285 +tp8286 +a(g781 +VWHEN +p8287 +tp8288 +a(g822 +g958 +tp8289 +a(g436 +VSwitch-Is-CONFIG +p8290 +tp8291 +a(g822 +V\u000a +p8292 +tp8293 +a(g7 +V +p8294 +tp8295 +a(g822 +V +p8296 +tp8297 +a(g789 +VMOVE +p8298 +tp8299 +a(g225 +V'CONFIG' +p8300 +tp8301 +a(g822 +g958 +tp8302 +a(g781 +VTO +p8303 +tp8304 +a(g822 +g958 +tp8305 +a(g436 +VSwitch-Keyword +p8306 +tp8307 +a(g822 +V\u000a +p8308 +tp8309 +a(g7 +V +p8310 +tp8311 +a(g822 +V +p8312 +tp8313 +a(g789 +VMOVE +p8314 +tp8315 +a(g822 +g958 +tp8316 +a(g569 +VUPPER-CASE +p8317 +tp8318 +a(g701 +g7240 +tp8319 +a(g436 +VSwitch-Value +p8320 +tp8321 +a(g701 +g7247 +tp8322 +a(g822 +V\u000a +p8323 +tp8324 +a(g7 +V +p8325 +tp8326 +a(g822 +V +p8327 +tp8328 +a(g781 +VTO +p8329 +tp8330 +a(g822 +g958 +tp8331 +a(g436 +VSwitch-Value +p8332 +tp8333 +a(g822 +V\u000a +p8334 +tp8335 +a(g7 +V +p8336 +tp8337 +a(g822 +V +p8338 +tp8339 +a(g789 +VEVALUATE +p8340 +tp8341 +a(g822 +g958 +tp8342 +a(g436 +VSwitch-Value +p8343 +tp8344 +a(g822 +V\u000a +p8345 +tp8346 +a(g7 +V +p8347 +tp8348 +a(g822 +V +p8349 +tp8350 +a(g781 +VWHEN +p8351 +tp8352 +a(g225 +V'BS2000' +p8353 +tp8354 +a(g822 +V\u000a +p8355 +tp8356 +a(g7 +V +p8357 +tp8358 +a(g822 +V +p8359 +tp8360 +a(g789 +VMOVE +p8361 +tp8362 +a(g822 +g958 +tp8363 +a(g561 +VSPACES +p8364 +tp8365 +a(g822 +g958 +tp8366 +a(g781 +VTO +p8367 +tp8368 +a(g822 +g958 +tp8369 +a(g436 +VS-CfgS +p8370 +tp8371 +a(g822 +V\u000a +p8372 +tp8373 +a(g7 +V +p8374 +tp8375 +a(g822 +V +p8376 +tp8377 +a(g789 +VMOVE +p8378 +tp8379 +a(g822 +g958 +tp8380 +a(g436 +VSelection-Char +p8381 +tp8382 +a(g822 +V +p8383 +tp8384 +a(g781 +VTO +p8385 +tp8386 +a(g822 +g958 +tp8387 +a(g436 +VS-Cfg-BS2000 +p8388 +tp8389 +a(g822 +V\u000a +p8390 +tp8391 +a(g7 +V +p8392 +tp8393 +a(g822 +V +p8394 +tp8395 +a(g781 +VWHEN +p8396 +tp8397 +a(g225 +V'COBOL85' +p8398 +tp8399 +a(g822 +V\u000a +p8400 +tp8401 +a(g7 +V +p8402 +tp8403 +a(g822 +V +p8404 +tp8405 +a(g789 +VMOVE +p8406 +tp8407 +a(g822 +g958 +tp8408 +a(g561 +VSPACES +p8409 +tp8410 +a(g822 +g958 +tp8411 +a(g781 +VTO +p8412 +tp8413 +a(g822 +g958 +tp8414 +a(g436 +VS-CfgS +p8415 +tp8416 +a(g822 +V\u000a +p8417 +tp8418 +a(g7 +V +p8419 +tp8420 +a(g822 +V +p8421 +tp8422 +a(g789 +VMOVE +p8423 +tp8424 +a(g822 +g958 +tp8425 +a(g436 +VSelection-Char +p8426 +tp8427 +a(g822 +V +p8428 +tp8429 +a(g781 +VTO +p8430 +tp8431 +a(g822 +g958 +tp8432 +a(g436 +VS-Cfg-COBOL85 +p8433 +tp8434 +a(g822 +V\u000a +p8435 +tp8436 +a(g7 +V +p8437 +tp8438 +a(g822 +V +p8439 +tp8440 +a(g781 +VWHEN +p8441 +tp8442 +a(g225 +V'COBOL2002' +p8443 +tp8444 +a(g822 +V\u000a +p8445 +tp8446 +a(g7 +V +p8447 +tp8448 +a(g822 +V +p8449 +tp8450 +a(g789 +VMOVE +p8451 +tp8452 +a(g822 +g958 +tp8453 +a(g561 +VSPACES +p8454 +tp8455 +a(g822 +g958 +tp8456 +a(g781 +VTO +p8457 +tp8458 +a(g822 +g958 +tp8459 +a(g436 +VS-CfgS +p8460 +tp8461 +a(g822 +V\u000a +p8462 +tp8463 +a(g7 +V +p8464 +tp8465 +a(g822 +V +p8466 +tp8467 +a(g789 +VMOVE +p8468 +tp8469 +a(g822 +g958 +tp8470 +a(g436 +VSelection-Char +p8471 +tp8472 +a(g822 +V +p8473 +tp8474 +a(g781 +VTO +p8475 +tp8476 +a(g822 +g958 +tp8477 +a(g436 +VS-Cfg-COBOL2002 +p8478 +tp8479 +a(g822 +V\u000a +p8480 +tp8481 +a(g7 +V +p8482 +tp8483 +a(g822 +V +p8484 +tp8485 +a(g781 +VWHEN +p8486 +tp8487 +a(g225 +V'DEFAULT' +p8488 +tp8489 +a(g822 +V\u000a +p8490 +tp8491 +a(g7 +V +p8492 +tp8493 +a(g822 +V +p8494 +tp8495 +a(g789 +VMOVE +p8496 +tp8497 +a(g822 +g958 +tp8498 +a(g561 +VSPACES +p8499 +tp8500 +a(g822 +g958 +tp8501 +a(g781 +VTO +p8502 +tp8503 +a(g822 +g958 +tp8504 +a(g436 +VS-CfgS +p8505 +tp8506 +a(g822 +V\u000a +p8507 +tp8508 +a(g7 +V +p8509 +tp8510 +a(g822 +V +p8511 +tp8512 +a(g789 +VMOVE +p8513 +tp8514 +a(g822 +g958 +tp8515 +a(g436 +VSelection-Char +p8516 +tp8517 +a(g822 +V +p8518 +tp8519 +a(g781 +VTO +p8520 +tp8521 +a(g822 +g958 +tp8522 +a(g436 +VS-Cfg-DEFAULT +p8523 +tp8524 +a(g822 +V\u000a +p8525 +tp8526 +a(g7 +V +p8527 +tp8528 +a(g822 +V +p8529 +tp8530 +a(g781 +VWHEN +p8531 +tp8532 +a(g225 +V'IBM' +p8533 +tp8534 +a(g822 +V\u000a +p8535 +tp8536 +a(g7 +V +p8537 +tp8538 +a(g822 +V +p8539 +tp8540 +a(g789 +VMOVE +p8541 +tp8542 +a(g822 +g958 +tp8543 +a(g561 +VSPACES +p8544 +tp8545 +a(g822 +g958 +tp8546 +a(g781 +VTO +p8547 +tp8548 +a(g822 +g958 +tp8549 +a(g436 +VS-CfgS +p8550 +tp8551 +a(g822 +V\u000a +p8552 +tp8553 +a(g7 +V +p8554 +tp8555 +a(g822 +V +p8556 +tp8557 +a(g789 +VMOVE +p8558 +tp8559 +a(g822 +g958 +tp8560 +a(g436 +VSelection-Char +p8561 +tp8562 +a(g822 +V +p8563 +tp8564 +a(g781 +VTO +p8565 +tp8566 +a(g822 +g958 +tp8567 +a(g436 +VS-Cfg-IBM +p8568 +tp8569 +a(g822 +V\u000a +p8570 +tp8571 +a(g7 +V +p8572 +tp8573 +a(g822 +V +p8574 +tp8575 +a(g781 +VWHEN +p8576 +tp8577 +a(g225 +V'MF' +p8578 +tp8579 +a(g822 +V\u000a +p8580 +tp8581 +a(g7 +V +p8582 +tp8583 +a(g822 +V +p8584 +tp8585 +a(g789 +VMOVE +p8586 +tp8587 +a(g822 +g958 +tp8588 +a(g561 +VSPACES +p8589 +tp8590 +a(g822 +g958 +tp8591 +a(g781 +VTO +p8592 +tp8593 +a(g822 +g958 +tp8594 +a(g436 +VS-CfgS +p8595 +tp8596 +a(g822 +V\u000a +p8597 +tp8598 +a(g7 +V +p8599 +tp8600 +a(g822 +V +p8601 +tp8602 +a(g789 +VMOVE +p8603 +tp8604 +a(g822 +g958 +tp8605 +a(g436 +VSelection-Char +p8606 +tp8607 +a(g822 +V +p8608 +tp8609 +a(g781 +VTO +p8610 +tp8611 +a(g822 +g958 +tp8612 +a(g436 +VS-Cfg-MF +p8613 +tp8614 +a(g822 +V\u000a +p8615 +tp8616 +a(g7 +V +p8617 +tp8618 +a(g822 +V +p8619 +tp8620 +a(g781 +VWHEN +p8621 +tp8622 +a(g225 +V'MVS' +p8623 +tp8624 +a(g822 +V\u000a +p8625 +tp8626 +a(g7 +V +p8627 +tp8628 +a(g822 +V +p8629 +tp8630 +a(g789 +VMOVE +p8631 +tp8632 +a(g822 +g958 +tp8633 +a(g561 +VSPACES +p8634 +tp8635 +a(g822 +g958 +tp8636 +a(g781 +VTO +p8637 +tp8638 +a(g822 +g958 +tp8639 +a(g436 +VS-CfgS +p8640 +tp8641 +a(g822 +V\u000a +p8642 +tp8643 +a(g7 +V +p8644 +tp8645 +a(g822 +V +p8646 +tp8647 +a(g789 +VMOVE +p8648 +tp8649 +a(g822 +g958 +tp8650 +a(g436 +VSelection-Char +p8651 +tp8652 +a(g822 +V +p8653 +tp8654 +a(g781 +VTO +p8655 +tp8656 +a(g822 +g958 +tp8657 +a(g436 +VS-Cfg-MVS +p8658 +tp8659 +a(g822 +V\u000a +p8660 +tp8661 +a(g7 +V +p8662 +tp8663 +a(g822 +V +p8664 +tp8665 +a(g781 +VWHEN +p8666 +tp8667 +a(g822 +g958 +tp8668 +a(g781 +VOTHER\u000a +p8669 +tp8670 +a(g822 +g958 +tp8671 +a(g789 +VMOVE +p8672 +tp8673 +a(g225 +V'An invalid /CONFIG switch value ' +p8674 +tp8675 +a(g822 +g958 +tp8676 +a(g701 +g5134 +tp8677 +a(g822 +V\u000a +p8678 +tp8679 +a(g7 +V +p8680 +tp8681 +a(g822 +V +p8682 +tp8683 +a(g225 +V'was specified on the command line ' +p8684 +tp8685 +a(g822 +g958 +tp8686 +a(g701 +g5134 +tp8687 +a(g822 +V\u000a +p8688 +tp8689 +a(g7 +V +p8690 +tp8691 +a(g822 +V +p8692 +tp8693 +a(g225 +V'- ignored' +p8694 +tp8695 +a(g822 +V\u000a +p8696 +tp8697 +a(g7 +V +p8698 +tp8699 +a(g822 +V +p8700 +tp8701 +a(g781 +VTO +p8702 +tp8703 +a(g822 +g958 +tp8704 +a(g436 +VOutput-Message +p8705 +tp8706 +a(g822 +V\u000a +p8707 +tp8708 +a(g7 +V +p8709 +tp8710 +a(g822 +V +p8711 +tp8712 +a(g789 +VEND-EVALUATE\u000a +p8713 +tp8714 +a(g822 +g958 +tp8715 +a(g781 +VWHEN +p8716 +tp8717 +a(g822 +g958 +tp8718 +a(g436 +VSwitch-Is-DEBUG +p8719 +tp8720 +a(g822 +V\u000a +p8721 +tp8722 +a(g7 +V +p8723 +tp8724 +a(g822 +V +p8725 +tp8726 +a(g789 +VMOVE +p8727 +tp8728 +a(g225 +V'DEBUG' +p8729 +tp8730 +a(g822 +g958 +tp8731 +a(g781 +VTO +p8732 +tp8733 +a(g822 +g958 +tp8734 +a(g436 +VSwitch-Keyword +p8735 +tp8736 +a(g822 +V\u000a +p8737 +tp8738 +a(g7 +V +p8739 +tp8740 +a(g822 +V +p8741 +tp8742 +a(g789 +VMOVE +p8743 +tp8744 +a(g822 +g958 +tp8745 +a(g569 +VUPPER-CASE +p8746 +tp8747 +a(g701 +g7240 +tp8748 +a(g436 +VSwitch-Value +p8749 +tp8750 +a(g701 +g7247 +tp8751 +a(g822 +V\u000a +p8752 +tp8753 +a(g7 +V +p8754 +tp8755 +a(g822 +V +p8756 +tp8757 +a(g781 +VTO +p8758 +tp8759 +a(g822 +g958 +tp8760 +a(g436 +VSwitch-Value +p8761 +tp8762 +a(g822 +V\u000a +p8763 +tp8764 +a(g7 +V +p8765 +tp8766 +a(g822 +V +p8767 +tp8768 +a(g789 +VPERFORM +p8769 +tp8770 +a(g822 +g958 +tp8771 +a(g32 +V040 +p8772 +tp8773 +a(g408 +g1832 +tp8774 +a(g436 +VProcess-Yes-No-Value +p8775 +tp8776 +a(g822 +V\u000a +p8777 +tp8778 +a(g7 +V +p8779 +tp8780 +a(g822 +V +p8781 +tp8782 +a(g789 +VIF +p8783 +tp8784 +a(g822 +g958 +tp8785 +a(g32 +V88 +p8786 +tp8787 +a(g408 +g1832 +tp8788 +a(g436 +VSwitch-Is-Good +p8789 +tp8790 +a(g822 +V\u000a +p8791 +tp8792 +a(g7 +V +p8793 +tp8794 +a(g822 +V +p8795 +tp8796 +a(g789 +VMOVE +p8797 +tp8798 +a(g822 +g958 +tp8799 +a(g436 +VSV-1 +p8800 +tp8801 +a(g822 +g958 +tp8802 +a(g781 +VTO +p8803 +tp8804 +a(g822 +g958 +tp8805 +a(g436 +VS-DEBUG +p8806 +tp8807 +a(g822 +V\u000a +p8808 +tp8809 +a(g7 +V +p8810 +tp8811 +a(g822 +V +p8812 +tp8813 +a(g789 +VEND-IF +p8814 +tp8815 +a(g822 +V\u000a +p8816 +tp8817 +a(g7 +VGC0410 +p8818 +tp8819 +a(g822 +V +p8820 +tp8821 +a(g781 +VWHEN +p8822 +tp8823 +a(g822 +g958 +tp8824 +a(g436 +VSwitch-Is-DLL +p8825 +tp8826 +a(g822 +V\u000a +p8827 +tp8828 +a(g7 +VGC0410 +p8829 +tp8830 +a(g822 +V +p8831 +tp8832 +a(g789 +VMOVE +p8833 +tp8834 +a(g225 +V'DLL' +p8835 +tp8836 +a(g822 +g958 +tp8837 +a(g781 +VTO +p8838 +tp8839 +a(g822 +g958 +tp8840 +a(g436 +VSwitch-Keyword +p8841 +tp8842 +a(g822 +V\u000a +p8843 +tp8844 +a(g7 +VGC0410 +p8845 +tp8846 +a(g822 +V +p8847 +tp8848 +a(g789 +VMOVE +p8849 +tp8850 +a(g822 +g958 +tp8851 +a(g569 +VUPPER-CASE +p8852 +tp8853 +a(g701 +g7240 +tp8854 +a(g436 +VSwitch-Value +p8855 +tp8856 +a(g701 +g7247 +tp8857 +a(g822 +V\u000a +p8858 +tp8859 +a(g7 +VGC0410 +p8860 +tp8861 +a(g822 +V +p8862 +tp8863 +a(g781 +VTO +p8864 +tp8865 +a(g822 +g958 +tp8866 +a(g436 +VSwitch-Value +p8867 +tp8868 +a(g822 +V\u000a +p8869 +tp8870 +a(g7 +VGC0410 +p8871 +tp8872 +a(g822 +V +p8873 +tp8874 +a(g789 +VPERFORM +p8875 +tp8876 +a(g822 +g958 +tp8877 +a(g32 +V040 +p8878 +tp8879 +a(g408 +g1832 +tp8880 +a(g436 +VProcess-Yes-No-Value +p8881 +tp8882 +a(g822 +V\u000a +p8883 +tp8884 +a(g7 +VGC0410 +p8885 +tp8886 +a(g822 +V +p8887 +tp8888 +a(g789 +VIF +p8889 +tp8890 +a(g822 +g958 +tp8891 +a(g32 +V88 +p8892 +tp8893 +a(g408 +g1832 +tp8894 +a(g436 +VSwitch-Is-Good +p8895 +tp8896 +a(g822 +V\u000a +p8897 +tp8898 +a(g7 +VGC0410 +p8899 +tp8900 +a(g822 +V +p8901 +tp8902 +a(g789 +VMOVE +p8903 +tp8904 +a(g822 +g958 +tp8905 +a(g436 +VSV-1 +p8906 +tp8907 +a(g822 +g958 +tp8908 +a(g781 +VTO +p8909 +tp8910 +a(g822 +g958 +tp8911 +a(g436 +VS-DLL +p8912 +tp8913 +a(g822 +V\u000a +p8914 +tp8915 +a(g7 +VGC0410 +p8916 +tp8917 +a(g822 +V +p8918 +tp8919 +a(g789 +VEND-IF\u000a +p8920 +tp8921 +a(g822 +g958 +tp8922 +a(g781 +VWHEN +p8923 +tp8924 +a(g822 +g958 +tp8925 +a(g436 +VSwitch-Is-EXECUTE +p8926 +tp8927 +a(g822 +V\u000a +p8928 +tp8929 +a(g7 +V +p8930 +tp8931 +a(g822 +V +p8932 +tp8933 +a(g789 +VMOVE +p8934 +tp8935 +a(g225 +V'EXECUTE' +p8936 +tp8937 +a(g822 +g958 +tp8938 +a(g781 +VTO +p8939 +tp8940 +a(g822 +g958 +tp8941 +a(g436 +VSwitch-Keyword +p8942 +tp8943 +a(g822 +V\u000a +p8944 +tp8945 +a(g7 +V +p8946 +tp8947 +a(g822 +V +p8948 +tp8949 +a(g789 +VMOVE +p8950 +tp8951 +a(g822 +g958 +tp8952 +a(g569 +VUPPER-CASE +p8953 +tp8954 +a(g701 +g7240 +tp8955 +a(g436 +VSwitch-Value +p8956 +tp8957 +a(g701 +g7247 +tp8958 +a(g822 +V\u000a +p8959 +tp8960 +a(g7 +V +p8961 +tp8962 +a(g822 +V +p8963 +tp8964 +a(g781 +VTO +p8965 +tp8966 +a(g822 +g958 +tp8967 +a(g436 +VSwitch-Value +p8968 +tp8969 +a(g822 +V\u000a +p8970 +tp8971 +a(g7 +V +p8972 +tp8973 +a(g822 +V +p8974 +tp8975 +a(g789 +VPERFORM +p8976 +tp8977 +a(g822 +g958 +tp8978 +a(g32 +V040 +p8979 +tp8980 +a(g408 +g1832 +tp8981 +a(g436 +VProcess-Yes-No-Value +p8982 +tp8983 +a(g822 +V\u000a +p8984 +tp8985 +a(g7 +V +p8986 +tp8987 +a(g822 +V +p8988 +tp8989 +a(g789 +VIF +p8990 +tp8991 +a(g822 +g958 +tp8992 +a(g32 +V88 +p8993 +tp8994 +a(g408 +g1832 +tp8995 +a(g436 +VSwitch-Is-Good +p8996 +tp8997 +a(g822 +V\u000a +p8998 +tp8999 +a(g7 +V +p9000 +tp9001 +a(g822 +V +p9002 +tp9003 +a(g789 +VMOVE +p9004 +tp9005 +a(g822 +g958 +tp9006 +a(g436 +VSV-1 +p9007 +tp9008 +a(g822 +g958 +tp9009 +a(g781 +VTO +p9010 +tp9011 +a(g822 +g958 +tp9012 +a(g436 +VS-EXECUTE +p9013 +tp9014 +a(g822 +V\u000a +p9015 +tp9016 +a(g7 +V +p9017 +tp9018 +a(g822 +V +p9019 +tp9020 +a(g789 +VEND-IF\u000a +p9021 +tp9022 +a(g822 +g958 +tp9023 +a(g781 +VWHEN +p9024 +tp9025 +a(g822 +g958 +tp9026 +a(g436 +VSwitch-Is-NOTRUNC +p9027 +tp9028 +a(g822 +V\u000a +p9029 +tp9030 +a(g7 +V +p9031 +tp9032 +a(g822 +V +p9033 +tp9034 +a(g789 +VMOVE +p9035 +tp9036 +a(g225 +V'NOTRUNC' +p9037 +tp9038 +a(g822 +g958 +tp9039 +a(g781 +VTO +p9040 +tp9041 +a(g822 +g958 +tp9042 +a(g436 +VSwitch-Keyword +p9043 +tp9044 +a(g822 +V\u000a +p9045 +tp9046 +a(g7 +V +p9047 +tp9048 +a(g822 +V +p9049 +tp9050 +a(g789 +VMOVE +p9051 +tp9052 +a(g822 +g958 +tp9053 +a(g569 +VUPPER-CASE +p9054 +tp9055 +a(g701 +g7240 +tp9056 +a(g436 +VSwitch-Value +p9057 +tp9058 +a(g701 +g7247 +tp9059 +a(g822 +V\u000a +p9060 +tp9061 +a(g7 +V +p9062 +tp9063 +a(g822 +V +p9064 +tp9065 +a(g781 +VTO +p9066 +tp9067 +a(g822 +g958 +tp9068 +a(g436 +VSwitch-Value +p9069 +tp9070 +a(g822 +V\u000a +p9071 +tp9072 +a(g7 +V +p9073 +tp9074 +a(g822 +V +p9075 +tp9076 +a(g789 +VPERFORM +p9077 +tp9078 +a(g822 +g958 +tp9079 +a(g32 +V040 +p9080 +tp9081 +a(g408 +g1832 +tp9082 +a(g436 +VProcess-Yes-No-Value +p9083 +tp9084 +a(g822 +V\u000a +p9085 +tp9086 +a(g7 +V +p9087 +tp9088 +a(g822 +V +p9089 +tp9090 +a(g789 +VIF +p9091 +tp9092 +a(g822 +g958 +tp9093 +a(g32 +V88 +p9094 +tp9095 +a(g408 +g1832 +tp9096 +a(g436 +VSwitch-Is-Good +p9097 +tp9098 +a(g822 +V\u000a +p9099 +tp9100 +a(g7 +V +p9101 +tp9102 +a(g822 +V +p9103 +tp9104 +a(g789 +VMOVE +p9105 +tp9106 +a(g822 +g958 +tp9107 +a(g436 +VSV-1 +p9108 +tp9109 +a(g822 +g958 +tp9110 +a(g781 +VTO +p9111 +tp9112 +a(g822 +g958 +tp9113 +a(g436 +VS-NOTRUNC +p9114 +tp9115 +a(g822 +V\u000a +p9116 +tp9117 +a(g7 +V +p9118 +tp9119 +a(g822 +V +p9120 +tp9121 +a(g789 +VEND-IF +p9122 +tp9123 +a(g822 +V\u000a +p9124 +tp9125 +a(g7 +VGC0410 +p9126 +tp9127 +a(g822 +V +p9128 +tp9129 +a(g781 +VWHEN +p9130 +tp9131 +a(g822 +g958 +tp9132 +a(g436 +VSwitch-Is-SOURCE +p9133 +tp9134 +a(g822 +V\u000a +p9135 +tp9136 +a(g7 +VGC0410 +p9137 +tp9138 +a(g822 +V +p9139 +tp9140 +a(g789 +VMOVE +p9141 +tp9142 +a(g225 +V'SOURCE' +p9143 +tp9144 +a(g822 +g958 +tp9145 +a(g781 +VTO +p9146 +tp9147 +a(g822 +g958 +tp9148 +a(g436 +VSwitch-Keyword +p9149 +tp9150 +a(g822 +V\u000a +p9151 +tp9152 +a(g7 +VGC0410 +p9153 +tp9154 +a(g822 +V +p9155 +tp9156 +a(g789 +VMOVE +p9157 +tp9158 +a(g822 +g958 +tp9159 +a(g569 +VUPPER-CASE +p9160 +tp9161 +a(g701 +g7240 +tp9162 +a(g436 +VSwitch-Value +p9163 +tp9164 +a(g701 +g7247 +tp9165 +a(g822 +V\u000a +p9166 +tp9167 +a(g7 +VGC0410 +p9168 +tp9169 +a(g822 +V +p9170 +tp9171 +a(g781 +VTO +p9172 +tp9173 +a(g822 +g958 +tp9174 +a(g436 +VSwitch-Value +p9175 +tp9176 +a(g822 +V\u000a +p9177 +tp9178 +a(g7 +VGC0410 +p9179 +tp9180 +a(g822 +V +p9181 +tp9182 +a(g789 +VPERFORM +p9183 +tp9184 +a(g822 +g958 +tp9185 +a(g32 +V050 +p9186 +tp9187 +a(g408 +g1832 +tp9188 +a(g436 +VProcess-Yes-No-All +p9189 +tp9190 +a(g822 +V\u000a +p9191 +tp9192 +a(g7 +VGC0410 +p9193 +tp9194 +a(g822 +V +p9195 +tp9196 +a(g789 +VIF +p9197 +tp9198 +a(g822 +g958 +tp9199 +a(g32 +V88 +p9200 +tp9201 +a(g408 +g1832 +tp9202 +a(g436 +VSwitch-Is-Good +p9203 +tp9204 +a(g822 +V\u000a +p9205 +tp9206 +a(g7 +VGC0410 +p9207 +tp9208 +a(g822 +V +p9209 +tp9210 +a(g789 +VMOVE +p9211 +tp9212 +a(g822 +g958 +tp9213 +a(g436 +VSV-1 +p9214 +tp9215 +a(g822 +g958 +tp9216 +a(g781 +VTO +p9217 +tp9218 +a(g822 +g958 +tp9219 +a(g436 +VS-SOURCE +p9220 +tp9221 +a(g822 +V\u000a +p9222 +tp9223 +a(g7 +VGC0410 +p9224 +tp9225 +a(g822 +V +p9226 +tp9227 +a(g789 +VEND-IF\u000a +p9228 +tp9229 +a(g822 +g958 +tp9230 +a(g781 +VWHEN +p9231 +tp9232 +a(g822 +g958 +tp9233 +a(g436 +VSwitch-Is-TRACE +p9234 +tp9235 +a(g822 +V\u000a +p9236 +tp9237 +a(g7 +V +p9238 +tp9239 +a(g822 +V +p9240 +tp9241 +a(g789 +VMOVE +p9242 +tp9243 +a(g225 +V'TRACE' +p9244 +tp9245 +a(g822 +g958 +tp9246 +a(g781 +VTO +p9247 +tp9248 +a(g822 +g958 +tp9249 +a(g436 +VSwitch-Keyword +p9250 +tp9251 +a(g822 +V\u000a +p9252 +tp9253 +a(g7 +V +p9254 +tp9255 +a(g822 +V +p9256 +tp9257 +a(g789 +VMOVE +p9258 +tp9259 +a(g822 +g958 +tp9260 +a(g569 +VUPPER-CASE +p9261 +tp9262 +a(g701 +g7240 +tp9263 +a(g436 +VSwitch-Value +p9264 +tp9265 +a(g701 +g7247 +tp9266 +a(g822 +V\u000a +p9267 +tp9268 +a(g7 +V +p9269 +tp9270 +a(g822 +V +p9271 +tp9272 +a(g781 +VTO +p9273 +tp9274 +a(g822 +g958 +tp9275 +a(g436 +VSwitch-Value +p9276 +tp9277 +a(g822 +V\u000a +p9278 +tp9279 +a(g7 +V +p9280 +tp9281 +a(g822 +V +p9282 +tp9283 +a(g789 +VPERFORM +p9284 +tp9285 +a(g822 +g958 +tp9286 +a(g32 +V050 +p9287 +tp9288 +a(g408 +g1832 +tp9289 +a(g436 +VProcess-Yes-No-All +p9290 +tp9291 +a(g822 +V\u000a +p9292 +tp9293 +a(g7 +V +p9294 +tp9295 +a(g822 +V +p9296 +tp9297 +a(g789 +VIF +p9298 +tp9299 +a(g822 +g958 +tp9300 +a(g32 +V88 +p9301 +tp9302 +a(g408 +g1832 +tp9303 +a(g436 +VSwitch-Is-Good +p9304 +tp9305 +a(g822 +V\u000a +p9306 +tp9307 +a(g7 +V +p9308 +tp9309 +a(g822 +V +p9310 +tp9311 +a(g789 +VMOVE +p9312 +tp9313 +a(g822 +g958 +tp9314 +a(g436 +VSV-1 +p9315 +tp9316 +a(g822 +g958 +tp9317 +a(g781 +VTO +p9318 +tp9319 +a(g822 +g958 +tp9320 +a(g436 +VS-TRACE +p9321 +tp9322 +a(g822 +V\u000a +p9323 +tp9324 +a(g7 +V +p9325 +tp9326 +a(g822 +V +p9327 +tp9328 +a(g789 +VEND-IF +p9329 +tp9330 +a(g822 +V\u000a +p9331 +tp9332 +a(g7 +VGC0410 +p9333 +tp9334 +a(g822 +V +p9335 +tp9336 +a(g781 +VWHEN +p9337 +tp9338 +a(g822 +g958 +tp9339 +a(g436 +VSwitch-Is-XREF +p9340 +tp9341 +a(g822 +V\u000a +p9342 +tp9343 +a(g7 +VGC0410 +p9344 +tp9345 +a(g822 +V +p9346 +tp9347 +a(g789 +VMOVE +p9348 +tp9349 +a(g225 +V'XREF' +p9350 +tp9351 +a(g822 +g958 +tp9352 +a(g781 +VTO +p9353 +tp9354 +a(g822 +g958 +tp9355 +a(g436 +VSwitch-Keyword +p9356 +tp9357 +a(g822 +V\u000a +p9358 +tp9359 +a(g7 +VGC0410 +p9360 +tp9361 +a(g822 +V +p9362 +tp9363 +a(g789 +VMOVE +p9364 +tp9365 +a(g822 +g958 +tp9366 +a(g569 +VUPPER-CASE +p9367 +tp9368 +a(g701 +g7240 +tp9369 +a(g436 +VSwitch-Value +p9370 +tp9371 +a(g701 +g7247 +tp9372 +a(g822 +V\u000a +p9373 +tp9374 +a(g7 +VGC0410 +p9375 +tp9376 +a(g822 +V +p9377 +tp9378 +a(g781 +VTO +p9379 +tp9380 +a(g822 +g958 +tp9381 +a(g436 +VSwitch-Value +p9382 +tp9383 +a(g822 +V\u000a +p9384 +tp9385 +a(g7 +VGC0410 +p9386 +tp9387 +a(g822 +V +p9388 +tp9389 +a(g789 +VPERFORM +p9390 +tp9391 +a(g822 +g958 +tp9392 +a(g32 +V050 +p9393 +tp9394 +a(g408 +g1832 +tp9395 +a(g436 +VProcess-Yes-No-All +p9396 +tp9397 +a(g822 +V\u000a +p9398 +tp9399 +a(g7 +VGC0410 +p9400 +tp9401 +a(g822 +V +p9402 +tp9403 +a(g789 +VIF +p9404 +tp9405 +a(g822 +g958 +tp9406 +a(g32 +V88 +p9407 +tp9408 +a(g408 +g1832 +tp9409 +a(g436 +VSwitch-Is-Good +p9410 +tp9411 +a(g822 +V\u000a +p9412 +tp9413 +a(g7 +VGC0410 +p9414 +tp9415 +a(g822 +V +p9416 +tp9417 +a(g789 +VMOVE +p9418 +tp9419 +a(g822 +g958 +tp9420 +a(g436 +VSV-1 +p9421 +tp9422 +a(g822 +g958 +tp9423 +a(g781 +VTO +p9424 +tp9425 +a(g822 +g958 +tp9426 +a(g436 +VS-XREF +p9427 +tp9428 +a(g822 +V\u000a +p9429 +tp9430 +a(g7 +VGC0410 +p9431 +tp9432 +a(g822 +V +p9433 +tp9434 +a(g789 +VEND-IF\u000a +p9435 +tp9436 +a(g822 +g958 +tp9437 +a(g781 +VWHEN +p9438 +tp9439 +a(g822 +g958 +tp9440 +a(g781 +VOTHER\u000a +p9441 +tp9442 +a(g822 +g958 +tp9443 +a(g789 +VMOVE +p9444 +tp9445 +a(g822 +g958 +tp9446 +a(g561 +VSPACES +p9447 +tp9448 +a(g822 +g958 +tp9449 +a(g781 +VTO +p9450 +tp9451 +a(g822 +g958 +tp9452 +a(g436 +VOutput-Message +p9453 +tp9454 +a(g822 +V\u000a +p9455 +tp9456 +a(g7 +V +p9457 +tp9458 +a(g822 +V +p9459 +tp9460 +a(g789 +VSTRING +p9461 +tp9462 +a(g225 +V'"' +p9463 +tp9464 +a(g822 +V\u000a +p9465 +tp9466 +a(g7 +V +p9467 +tp9468 +a(g822 +V +p9469 +tp9470 +a(g569 +VTRIM +p9471 +tp9472 +a(g701 +g7240 +tp9473 +a(g436 +VSwitch-Keyword +p9474 +tp9475 +a(g701 +g7247 +tp9476 +a(g822 +V\u000a +p9477 +tp9478 +a(g7 +V +p9479 +tp9480 +a(g822 +V +p9481 +tp9482 +a(g225 +V'" is not a valid switch ' +p9483 +tp9484 +a(g822 +g958 +tp9485 +a(g701 +g5134 +tp9486 +a(g822 +V\u000a +p9487 +tp9488 +a(g7 +V +p9489 +tp9490 +a(g822 +V +p9491 +tp9492 +a(g225 +V'- ignored' +p9493 +tp9494 +a(g822 +V\u000a +p9495 +tp9496 +a(g7 +V +p9497 +tp9498 +a(g822 +V +p9499 +tp9500 +a(g781 +VDELIMITED +p9501 +tp9502 +a(g822 +g958 +tp9503 +a(g781 +VSIZE\u000a +p9504 +tp9505 +a(g822 +g958 +tp9506 +a(g781 +VINTO +p9507 +tp9508 +a(g822 +g958 +tp9509 +a(g436 +VOutput-Message +p9510 +tp9511 +a(g822 +V\u000a +p9512 +tp9513 +a(g7 +V +p9514 +tp9515 +a(g822 +V +p9516 +tp9517 +a(g789 +VEND-STRING\u000a +p9518 +tp9519 +a(g822 +g958 +tp9520 +a(g789 +VSET +p9521 +tp9522 +a(g822 +g958 +tp9523 +a(g32 +V88 +p9524 +tp9525 +a(g408 +g1832 +tp9526 +a(g436 +VSwitch-Is-Bad +p9527 +tp9528 +a(g822 +g958 +tp9529 +a(g781 +VTO +p9530 +tp9531 +a(g822 +g958 +tp9532 +a(g677 +VTRUE\u000a +p9533 +tp9534 +a(g822 +g958 +tp9535 +a(g789 +VEND-EVALUATE\u000a +p9536 +tp9537 +a(g701 +g965 +tp9538 +a(g822 +V\u000a +p9539 +tp9540 +a(g822 +V\u000a +p9541 +tp9542 +a(g7 +V +p9543 +tp9544 +a(g822 +g958 +tp9545 +a(g32 +V039 +p9546 +tp9547 +a(g408 +g1832 +tp9548 +a(g436 +VDone +p9549 +tp9550 +a(g701 +g965 +tp9551 +a(g822 +V\u000a +p9552 +tp9553 +a(g7 +V +p9554 +tp9555 +a(g822 +V +p9556 +tp9557 +a(g789 +VEXIT +p9558 +tp9559 +a(g701 +g965 +tp9560 +a(g822 +V\u000a +p9561 +tp9562 +a(g7 +V /\u000a +p9563 +tp9564 +a(g7 +V +p9565 +tp9566 +a(g822 +g958 +tp9567 +a(g32 +V040 +p9568 +tp9569 +a(g408 +g1832 +tp9570 +a(g436 +VProcess-Yes-No-Value +p9571 +tp9572 +a(g822 +g958 +tp9573 +a(g789 +VSECTION +p9574 +tp9575 +a(g701 +g965 +tp9576 +a(g822 +V\u000a +p9577 +tp9578 +a(g7 +V *****************************************************************\u000a +p9579 +tp9580 +a(g7 +V ** Process a switch value of YES or NO **\u000a +p9581 +tp9582 +a(g7 +V *****************************************************************\u000a +p9583 +tp9584 +a(g822 +V\u000a +p9585 +tp9586 +a(g7 +V +p9587 +tp9588 +a(g822 +g958 +tp9589 +a(g32 +V042 +p9590 +tp9591 +a(g408 +g1832 +tp9592 +a(g436 +VProcess +p9593 +tp9594 +a(g701 +g965 +tp9595 +a(g822 +V\u000a +p9596 +tp9597 +a(g7 +V +p9598 +tp9599 +a(g822 +V +p9600 +tp9601 +a(g789 +VEVALUATE +p9602 +tp9603 +a(g822 +g958 +tp9604 +a(g436 +VSV-1 +p9605 +tp9606 +a(g822 +V\u000a +p9607 +tp9608 +a(g7 +V +p9609 +tp9610 +a(g822 +V +p9611 +tp9612 +a(g781 +VWHEN +p9613 +tp9614 +a(g225 +V'Y' +p9615 +tp9616 +a(g822 +V\u000a +p9617 +tp9618 +a(g7 +V +p9619 +tp9620 +a(g822 +V +p9621 +tp9622 +a(g789 +VMOVE +p9623 +tp9624 +a(g225 +V'YES' +p9625 +tp9626 +a(g822 +g958 +tp9627 +a(g781 +VTO +p9628 +tp9629 +a(g822 +g958 +tp9630 +a(g436 +VSwitch-Value +p9631 +tp9632 +a(g822 +V\u000a +p9633 +tp9634 +a(g7 +V +p9635 +tp9636 +a(g822 +V +p9637 +tp9638 +a(g781 +VWHEN +p9639 +tp9640 +a(g225 +V'N' +p9641 +tp9642 +a(g822 +V\u000a +p9643 +tp9644 +a(g7 +V +p9645 +tp9646 +a(g822 +V +p9647 +tp9648 +a(g789 +VMOVE +p9649 +tp9650 +a(g225 +V'NO' +p9651 +tp9652 +a(g822 +V +p9653 +tp9654 +a(g781 +VTo +p9655 +tp9656 +a(g822 +g958 +tp9657 +a(g436 +VSwitch-Value +p9658 +tp9659 +a(g822 +V\u000a +p9660 +tp9661 +a(g7 +V +p9662 +tp9663 +a(g822 +V +p9664 +tp9665 +a(g781 +VWHEN +p9666 +tp9667 +a(g822 +g958 +tp9668 +a(g781 +VOTHER\u000a +p9669 +tp9670 +a(g822 +g958 +tp9671 +a(g789 +VMOVE +p9672 +tp9673 +a(g822 +g958 +tp9674 +a(g561 +VSPACES +p9675 +tp9676 +a(g822 +g958 +tp9677 +a(g781 +VTO +p9678 +tp9679 +a(g822 +g958 +tp9680 +a(g436 +VOutput-Message +p9681 +tp9682 +a(g822 +V\u000a +p9683 +tp9684 +a(g7 +V +p9685 +tp9686 +a(g822 +V +p9687 +tp9688 +a(g789 +VSTRING +p9689 +tp9690 +a(g225 +V'*ERROR: "' +p9691 +tp9692 +a(g822 +g958 +tp9693 +a(g569 +VTRIM +p9694 +tp9695 +a(g701 +g7240 +tp9696 +a(g436 +VSwitch-Value +p9697 +tp9698 +a(g701 +g7247 +tp9699 +a(g822 +V\u000a +p9700 +tp9701 +a(g7 +V +p9702 +tp9703 +a(g822 +V +p9704 +tp9705 +a(g225 +V'" is not a valid value for the "' +p9706 +tp9707 +a(g822 +V\u000a +p9708 +tp9709 +a(g7 +V +p9710 +tp9711 +a(g822 +V +p9712 +tp9713 +a(g569 +VTRIM +p9714 +tp9715 +a(g701 +g7240 +tp9716 +a(g436 +VSwitch-Keyword +p9717 +tp9718 +a(g701 +g7247 +tp9719 +a(g822 +g958 +tp9720 +a(g225 +V'" switch' +p9721 +tp9722 +a(g822 +V\u000a +p9723 +tp9724 +a(g7 +V +p9725 +tp9726 +a(g822 +V +p9727 +tp9728 +a(g781 +VDELIMITED +p9729 +tp9730 +a(g822 +g958 +tp9731 +a(g561 +VSPACES\u000a +p9732 +tp9733 +a(g822 +g958 +tp9734 +a(g781 +VINTO +p9735 +tp9736 +a(g822 +g958 +tp9737 +a(g436 +VOutput-Message +p9738 +tp9739 +a(g822 +V\u000a +p9740 +tp9741 +a(g7 +V +p9742 +tp9743 +a(g822 +V +p9744 +tp9745 +a(g789 +VEND-STRING\u000a +p9746 +tp9747 +a(g822 +g958 +tp9748 +a(g789 +VSET +p9749 +tp9750 +a(g822 +g958 +tp9751 +a(g32 +V88 +p9752 +tp9753 +a(g408 +g1832 +tp9754 +a(g436 +VSwitch-Is-Bad +p9755 +tp9756 +a(g822 +g958 +tp9757 +a(g781 +VTO +p9758 +tp9759 +a(g822 +g958 +tp9760 +a(g677 +VTRUE\u000a +p9761 +tp9762 +a(g822 +g958 +tp9763 +a(g789 +VEND-EVALUATE\u000a +p9764 +tp9765 +a(g701 +g965 +tp9766 +a(g822 +V\u000a +p9767 +tp9768 +a(g822 +V\u000a +p9769 +tp9770 +a(g7 +V +p9771 +tp9772 +a(g822 +g958 +tp9773 +a(g32 +V049 +p9774 +tp9775 +a(g408 +g1832 +tp9776 +a(g436 +VDone +p9777 +tp9778 +a(g701 +g965 +tp9779 +a(g822 +V\u000a +p9780 +tp9781 +a(g7 +V +p9782 +tp9783 +a(g822 +V +p9784 +tp9785 +a(g789 +VEXIT +p9786 +tp9787 +a(g701 +g965 +tp9788 +a(g822 +V\u000a +p9789 +tp9790 +a(g7 +V /\u000a +p9791 +tp9792 +a(g7 +V +p9793 +tp9794 +a(g822 +g958 +tp9795 +a(g32 +V050 +p9796 +tp9797 +a(g408 +g1832 +tp9798 +a(g436 +VProcess-Yes-No-All +p9799 +tp9800 +a(g822 +g958 +tp9801 +a(g789 +VSECTION +p9802 +tp9803 +a(g701 +g965 +tp9804 +a(g822 +V\u000a +p9805 +tp9806 +a(g7 +V *****************************************************************\u000a +p9807 +tp9808 +a(g7 +V ** Process a switch value of YES, NO or ALL **\u000a +p9809 +tp9810 +a(g7 +V *****************************************************************\u000a +p9811 +tp9812 +a(g822 +V\u000a +p9813 +tp9814 +a(g7 +V +p9815 +tp9816 +a(g822 +g958 +tp9817 +a(g32 +V052 +p9818 +tp9819 +a(g408 +g1832 +tp9820 +a(g436 +VProcess +p9821 +tp9822 +a(g701 +g965 +tp9823 +a(g822 +V\u000a +p9824 +tp9825 +a(g7 +V +p9826 +tp9827 +a(g822 +V +p9828 +tp9829 +a(g789 +VIF +p9830 +tp9831 +a(g822 +g958 +tp9832 +a(g436 +VSV-1 +p9833 +tp9834 +a(g822 +g958 +tp9835 +a(g408 +g7223 +tp9836 +a(g822 +g958 +tp9837 +a(g225 +V'A' +p9838 +tp9839 +a(g822 +V\u000a +p9840 +tp9841 +a(g7 +V +p9842 +tp9843 +a(g822 +V +p9844 +tp9845 +a(g789 +VMOVE +p9846 +tp9847 +a(g225 +V'ALL' +p9848 +tp9849 +a(g822 +g958 +tp9850 +a(g781 +VTO +p9851 +tp9852 +a(g822 +g958 +tp9853 +a(g436 +VSwitch-Value +p9854 +tp9855 +a(g822 +V\u000a +p9856 +tp9857 +a(g7 +V +p9858 +tp9859 +a(g822 +V +p9860 +tp9861 +a(g789 +VELSE\u000a +p9862 +tp9863 +a(g822 +g958 +tp9864 +a(g789 +VPERFORM +p9865 +tp9866 +a(g822 +g958 +tp9867 +a(g32 +V040 +p9868 +tp9869 +a(g408 +g1832 +tp9870 +a(g436 +VProcess-Yes-No-Value +p9871 +tp9872 +a(g822 +V\u000a +p9873 +tp9874 +a(g7 +V +p9875 +tp9876 +a(g822 +V +p9877 +tp9878 +a(g789 +VEND-IF\u000a +p9879 +tp9880 +a(g701 +g965 +tp9881 +a(g822 +V\u000a +p9882 +tp9883 +a(g822 +V\u000a +p9884 +tp9885 +a(g7 +V +p9886 +tp9887 +a(g822 +g958 +tp9888 +a(g32 +V059 +p9889 +tp9890 +a(g408 +g1832 +tp9891 +a(g436 +VDone +p9892 +tp9893 +a(g701 +g965 +tp9894 +a(g822 +V\u000a +p9895 +tp9896 +a(g7 +V +p9897 +tp9898 +a(g822 +V +p9899 +tp9900 +a(g789 +VEXIT +p9901 +tp9902 +a(g701 +g965 +tp9903 +a(g822 +V\u000a +p9904 +tp9905 +a(g7 +V /\u000a +p9906 +tp9907 +a(g7 +V +p9908 +tp9909 +a(g822 +g958 +tp9910 +a(g32 +V060 +p9911 +tp9912 +a(g408 +g1832 +tp9913 +a(g436 +VProcess-Yes-No-Auto +p9914 +tp9915 +a(g822 +g958 +tp9916 +a(g789 +VSECTION +p9917 +tp9918 +a(g701 +g965 +tp9919 +a(g822 +V\u000a +p9920 +tp9921 +a(g7 +V *****************************************************************\u000a +p9922 +tp9923 +a(g7 +V ** Process a switch value of YES, NO or AUTO **\u000a +p9924 +tp9925 +a(g7 +V *****************************************************************\u000a +p9926 +tp9927 +a(g822 +V\u000a +p9928 +tp9929 +a(g7 +V +p9930 +tp9931 +a(g822 +g958 +tp9932 +a(g32 +V061 +p9933 +tp9934 +a(g408 +g1832 +tp9935 +a(g436 +VInit +p9936 +tp9937 +a(g701 +g965 +tp9938 +a(g822 +V\u000a +p9939 +tp9940 +a(g7 +V +p9941 +tp9942 +a(g822 +V +p9943 +tp9944 +a(g789 +VIF +p9945 +tp9946 +a(g822 +g958 +tp9947 +a(g436 +VSV-1 +p9948 +tp9949 +a(g822 +g958 +tp9950 +a(g408 +g7223 +tp9951 +a(g822 +g958 +tp9952 +a(g225 +V'A' +p9953 +tp9954 +a(g822 +V\u000a +p9955 +tp9956 +a(g7 +V +p9957 +tp9958 +a(g822 +V +p9959 +tp9960 +a(g789 +VPERFORM +p9961 +tp9962 +a(g822 +g958 +tp9963 +a(g32 +V070 +p9964 +tp9965 +a(g408 +g1832 +tp9966 +a(g436 +VFind-LINKAGE-SECTION +p9967 +tp9968 +a(g822 +V\u000a +p9969 +tp9970 +a(g7 +V +p9971 +tp9972 +a(g822 +V +p9973 +tp9974 +a(g789 +VIF +p9975 +tp9976 +a(g822 +g958 +tp9977 +a(g32 +V88 +p9978 +tp9979 +a(g408 +g1832 +tp9980 +a(g436 +VCompile-As-Subpgm +p9981 +tp9982 +a(g822 +V\u000a +p9983 +tp9984 +a(g7 +V +p9985 +tp9986 +a(g822 +V +p9987 +tp9988 +a(g789 +VMOVE +p9989 +tp9990 +a(g225 +V'Y' +p9991 +tp9992 +a(g822 +g958 +tp9993 +a(g781 +VTO +p9994 +tp9995 +a(g822 +g958 +tp9996 +a(g436 +VSwitch-Value +p9997 +tp9998 +a(g822 +V\u000a +p9999 +tp10000 +a(g7 +V +p10001 +tp10002 +a(g822 +V +p10003 +tp10004 +a(g789 +VELSE\u000a +p10005 +tp10006 +a(g822 +g958 +tp10007 +a(g789 +VMOVE +p10008 +tp10009 +a(g225 +V'N' +p10010 +tp10011 +a(g822 +g958 +tp10012 +a(g781 +VTO +p10013 +tp10014 +a(g822 +g958 +tp10015 +a(g436 +VSwitch-Value +p10016 +tp10017 +a(g822 +V\u000a +p10018 +tp10019 +a(g7 +V +p10020 +tp10021 +a(g822 +V +p10022 +tp10023 +a(g789 +VEND-IF\u000a +p10024 +tp10025 +a(g822 +g958 +tp10026 +a(g789 +VELSE\u000a +p10027 +tp10028 +a(g822 +g958 +tp10029 +a(g789 +VPERFORM +p10030 +tp10031 +a(g822 +g958 +tp10032 +a(g32 +V040 +p10033 +tp10034 +a(g408 +g1832 +tp10035 +a(g436 +VProcess-Yes-No-Value +p10036 +tp10037 +a(g822 +V\u000a +p10038 +tp10039 +a(g7 +V +p10040 +tp10041 +a(g822 +V +p10042 +tp10043 +a(g789 +VEND-IF\u000a +p10044 +tp10045 +a(g701 +g965 +tp10046 +a(g822 +V\u000a +p10047 +tp10048 +a(g7 +V /\u000a +p10049 +tp10050 +a(g7 +V +p10051 +tp10052 +a(g822 +g958 +tp10053 +a(g32 +V070 +p10054 +tp10055 +a(g408 +g1832 +tp10056 +a(g436 +VFind-LINKAGE-SECTION +p10057 +tp10058 +a(g822 +g958 +tp10059 +a(g789 +VSECTION +p10060 +tp10061 +a(g701 +g965 +tp10062 +a(g822 +V\u000a +p10063 +tp10064 +a(g7 +V *****************************************************************\u000a +p10065 +tp10066 +a(g7 +V ** Determine if the program being compiled is a MAIN program **\u000a +p10067 +tp10068 +a(g7 +V *****************************************************************\u000a +p10069 +tp10070 +a(g822 +V\u000a +p10071 +tp10072 +a(g7 +V +p10073 +tp10074 +a(g822 +g958 +tp10075 +a(g32 +V071 +p10076 +tp10077 +a(g408 +g1832 +tp10078 +a(g436 +VInit +p10079 +tp10080 +a(g701 +g965 +tp10081 +a(g822 +V\u000a +p10082 +tp10083 +a(g7 +V +p10084 +tp10085 +a(g822 +V +p10086 +tp10087 +a(g789 +VOPEN +p10088 +tp10089 +a(g822 +g958 +tp10090 +a(g781 +VINPUT +p10091 +tp10092 +a(g822 +g958 +tp10093 +a(g436 +VSource-Code +p10094 +tp10095 +a(g822 +V\u000a +p10096 +tp10097 +a(g7 +V +p10098 +tp10099 +a(g822 +V +p10100 +tp10101 +a(g789 +VSET +p10102 +tp10103 +a(g822 +g958 +tp10104 +a(g32 +V88 +p10105 +tp10106 +a(g408 +g1832 +tp10107 +a(g436 +VCompile-As-Mainpgm +p10108 +tp10109 +a(g822 +g958 +tp10110 +a(g781 +VTO +p10111 +tp10112 +a(g822 +g958 +tp10113 +a(g677 +VTRUE\u000a +p10114 +tp10115 +a(g822 +g958 +tp10116 +a(g789 +VSET +p10117 +tp10118 +a(g822 +g958 +tp10119 +a(g32 +V88 +p10120 +tp10121 +a(g408 +g1832 +tp10122 +a(g436 +VMore-To-1st-Prog +p10123 +tp10124 +a(g822 +V +p10125 +tp10126 +a(g781 +VTO +p10127 +tp10128 +a(g822 +g958 +tp10129 +a(g677 +VTRUE\u000a +p10130 +tp10131 +a(g822 +g958 +tp10132 +a(g789 +VPERFORM +p10133 +tp10134 +a(g822 +g958 +tp10135 +a(g781 +VUNTIL +p10136 +tp10137 +a(g822 +g958 +tp10138 +a(g32 +V88 +p10139 +tp10140 +a(g408 +g1832 +tp10141 +a(g32 +g1964 +tp10142 +a(g436 +Vst-Prog-Complete +p10143 +tp10144 +a(g822 +V\u000a +p10145 +tp10146 +a(g7 +V +p10147 +tp10148 +a(g822 +V +p10149 +tp10150 +a(g789 +VREAD +p10151 +tp10152 +a(g822 +g958 +tp10153 +a(g436 +VSource-Code +p10154 +tp10155 +a(g822 +g958 +tp10156 +a(g781 +VAT +p10157 +tp10158 +a(g822 +g958 +tp10159 +a(g789 +VEND\u000a +p10160 +tp10161 +a(g822 +g958 +tp10162 +a(g789 +VCLOSE +p10163 +tp10164 +a(g822 +g958 +tp10165 +a(g436 +VSource-Code +p10166 +tp10167 +a(g822 +V\u000a +p10168 +tp10169 +a(g7 +V +p10170 +tp10171 +a(g822 +V +p10172 +tp10173 +a(g789 +VEXIT +p10174 +tp10175 +a(g822 +g958 +tp10176 +a(g789 +VSECTION\u000a +p10177 +tp10178 +a(g822 +g958 +tp10179 +a(g789 +VEND-READ\u000a +p10180 +tp10181 +a(g822 +g958 +tp10182 +a(g789 +VCALL +p10183 +tp10184 +a(g225 +V'CHECKSOURCE' +p10185 +tp10186 +a(g822 +g958 +tp10187 +a(g781 +VUSING +p10188 +tp10189 +a(g822 +g958 +tp10190 +a(g436 +VSource-Code-Record +p10191 +tp10192 +a(g822 +V\u000a +p10193 +tp10194 +a(g7 +V +p10195 +tp10196 +a(g822 +V +p10197 +tp10198 +a(g436 +VF-Source-Record-Type +p10199 +tp10200 +a(g822 +V\u000a +p10201 +tp10202 +a(g7 +V +p10203 +tp10204 +a(g822 +V +p10205 +tp10206 +a(g789 +VEND-CALL\u000a +p10207 +tp10208 +a(g822 +g958 +tp10209 +a(g789 +VIF +p10210 +tp10211 +a(g822 +g958 +tp10212 +a(g32 +V88 +p10213 +tp10214 +a(g408 +g1832 +tp10215 +a(g436 +VSource-Rec-Ident +p10216 +tp10217 +a(g822 +V\u000a +p10218 +tp10219 +a(g7 +V +p10220 +tp10221 +a(g822 +V +p10222 +tp10223 +a(g789 +VSET +p10224 +tp10225 +a(g822 +g958 +tp10226 +a(g32 +V88 +p10227 +tp10228 +a(g408 +g1832 +tp10229 +a(g32 +g1964 +tp10230 +a(g436 +Vst-Prog-Complete +p10231 +tp10232 +a(g822 +g958 +tp10233 +a(g781 +VTO +p10234 +tp10235 +a(g822 +g958 +tp10236 +a(g677 +VTRUE\u000a +p10237 +tp10238 +a(g822 +g958 +tp10239 +a(g789 +VEND-IF\u000a +p10240 +tp10241 +a(g822 +g958 +tp10242 +a(g789 +VEND-PERFORM\u000a +p10243 +tp10244 +a(g701 +g965 +tp10245 +a(g822 +V\u000a +p10246 +tp10247 +a(g822 +V\u000a +p10248 +tp10249 +a(g7 +V +p10250 +tp10251 +a(g822 +g958 +tp10252 +a(g32 +V072 +p10253 +tp10254 +a(g408 +g1832 +tp10255 +a(g436 +VProcess-Source +p10256 +tp10257 +a(g701 +g965 +tp10258 +a(g822 +V\u000a +p10259 +tp10260 +a(g7 +V +p10261 +tp10262 +a(g822 +V +p10263 +tp10264 +a(g789 +VSET +p10265 +tp10266 +a(g822 +g958 +tp10267 +a(g32 +V88 +p10268 +tp10269 +a(g408 +g1832 +tp10270 +a(g436 +VSource-Rec-IgnoCOB-COLOR-RED +p10271 +tp10272 +a(g822 +g958 +tp10273 +a(g781 +VTO +p10274 +tp10275 +a(g822 +g958 +tp10276 +a(g677 +VTRUE\u000a +p10277 +tp10278 +a(g822 +g958 +tp10279 +a(g789 +VPERFORM +p10280 +tp10281 +a(g822 +g958 +tp10282 +a(g781 +VUNTIL +p10283 +tp10284 +a(g822 +g958 +tp10285 +a(g32 +V88 +p10286 +tp10287 +a(g408 +g1832 +tp10288 +a(g436 +VSource-Rec-Linkage +p10289 +tp10290 +a(g822 +V\u000a +p10291 +tp10292 +a(g7 +V +p10293 +tp10294 +a(g822 +V +p10295 +tp10296 +a(g422 +VOR +p10297 +tp10298 +a(g822 +g958 +tp10299 +a(g32 +V88 +p10300 +tp10301 +a(g408 +g1832 +tp10302 +a(g436 +VSource-Rec-Ident +p10303 +tp10304 +a(g822 +V\u000a +p10305 +tp10306 +a(g7 +V +p10307 +tp10308 +a(g822 +V +p10309 +tp10310 +a(g789 +VREAD +p10311 +tp10312 +a(g822 +g958 +tp10313 +a(g436 +VSource-Code +p10314 +tp10315 +a(g822 +g958 +tp10316 +a(g781 +VAT +p10317 +tp10318 +a(g822 +g958 +tp10319 +a(g789 +VEND\u000a +p10320 +tp10321 +a(g822 +g958 +tp10322 +a(g789 +VCLOSE +p10323 +tp10324 +a(g822 +g958 +tp10325 +a(g436 +VSource-Code +p10326 +tp10327 +a(g822 +V\u000a +p10328 +tp10329 +a(g7 +V +p10330 +tp10331 +a(g822 +V +p10332 +tp10333 +a(g789 +VEXIT +p10334 +tp10335 +a(g822 +g958 +tp10336 +a(g789 +VSECTION\u000a +p10337 +tp10338 +a(g822 +g958 +tp10339 +a(g789 +VEND-READ\u000a +p10340 +tp10341 +a(g822 +g958 +tp10342 +a(g789 +VCALL +p10343 +tp10344 +a(g225 +V'CHECKSOURCE' +p10345 +tp10346 +a(g822 +g958 +tp10347 +a(g781 +VUSING +p10348 +tp10349 +a(g822 +g958 +tp10350 +a(g436 +VSource-Code-Record +p10351 +tp10352 +a(g822 +V\u000a +p10353 +tp10354 +a(g7 +V +p10355 +tp10356 +a(g822 +V +p10357 +tp10358 +a(g436 +VF-Source-Record-Type +p10359 +tp10360 +a(g822 +V\u000a +p10361 +tp10362 +a(g7 +V +p10363 +tp10364 +a(g822 +V +p10365 +tp10366 +a(g789 +VEND-CALL\u000a +p10367 +tp10368 +a(g822 +g958 +tp10369 +a(g789 +VEND-PERFORM\u000a +p10370 +tp10371 +a(g822 +g958 +tp10372 +a(g789 +VCLOSE +p10373 +tp10374 +a(g822 +g958 +tp10375 +a(g436 +VSource-Code +p10376 +tp10377 +a(g822 +V\u000a +p10378 +tp10379 +a(g7 +V +p10380 +tp10381 +a(g822 +V +p10382 +tp10383 +a(g789 +VIF +p10384 +tp10385 +a(g822 +g958 +tp10386 +a(g32 +V88 +p10387 +tp10388 +a(g408 +g1832 +tp10389 +a(g436 +VSource-Rec-Linkage +p10390 +tp10391 +a(g822 +V\u000a +p10392 +tp10393 +a(g7 +V +p10394 +tp10395 +a(g822 +V +p10396 +tp10397 +a(g789 +VSET +p10398 +tp10399 +a(g822 +g958 +tp10400 +a(g32 +V88 +p10401 +tp10402 +a(g408 +g1832 +tp10403 +a(g436 +VCompile-As-Subpgm +p10404 +tp10405 +a(g822 +g958 +tp10406 +a(g781 +VTO +p10407 +tp10408 +a(g822 +g958 +tp10409 +a(g677 +VTRUE\u000a +p10410 +tp10411 +a(g822 +g958 +tp10412 +a(g789 +VEND-IF\u000a +p10413 +tp10414 +a(g701 +g965 +tp10415 +a(g822 +V\u000a +p10416 +tp10417 +a(g822 +V\u000a +p10418 +tp10419 +a(g7 +V +p10420 +tp10421 +a(g822 +g958 +tp10422 +a(g32 +V079 +p10423 +tp10424 +a(g408 +g1832 +tp10425 +a(g436 +VDone +p10426 +tp10427 +a(g701 +g965 +tp10428 +a(g822 +V\u000a +p10429 +tp10430 +a(g7 +V +p10431 +tp10432 +a(g822 +V +p10433 +tp10434 +a(g789 +VEXIT +p10435 +tp10436 +a(g701 +g965 +tp10437 +a(g822 +V\u000a +p10438 +tp10439 +a(g7 +V /\u000a +p10440 +tp10441 +a(g7 +V +p10442 +tp10443 +a(g822 +g958 +tp10444 +a(g32 +V100 +p10445 +tp10446 +a(g408 +g1832 +tp10447 +a(g436 +VInitialization +p10448 +tp10449 +a(g822 +g958 +tp10450 +a(g789 +VSECTION +p10451 +tp10452 +a(g701 +g965 +tp10453 +a(g822 +V\u000a +p10454 +tp10455 +a(g7 +V *****************************************************************\u000a +p10456 +tp10457 +a(g7 +V ** Perform all program-wide initialization operations **\u000a +p10458 +tp10459 +a(g7 +V *****************************************************************\u000a +p10460 +tp10461 +a(g822 +V\u000a +p10462 +tp10463 +a(g822 +V\u000a +p10464 +tp10465 +a(g7 +VGC0909 +p10466 +tp10467 +a(g822 +g958 +tp10468 +a(g32 +V101 +p10469 +tp10470 +a(g408 +g1832 +tp10471 +a(g436 +VDetermine-OS-Type +p10472 +tp10473 +a(g701 +g965 +tp10474 +a(g822 +V\u000a +p10475 +tp10476 +a(g7 +VGC0909 +p10477 +tp10478 +a(g822 +V +p10479 +tp10480 +a(g789 +VCALL +p10481 +tp10482 +a(g225 +V'GETOSTYPE' +p10483 +tp10484 +a(g822 +V\u000a +p10485 +tp10486 +a(g7 +VGC0909 +p10487 +tp10488 +a(g822 +V +p10489 +tp10490 +a(g789 +VEND-CALL +p10491 +tp10492 +a(g822 +V\u000a +p10493 +tp10494 +a(g7 +VGC0909 +p10495 +tp10496 +a(g822 +V +p10497 +tp10498 +a(g789 +VMOVE +p10499 +tp10500 +a(g822 +g958 +tp10501 +a(g436 +VRETURN-CODE +p10502 +tp10503 +a(g822 +g958 +tp10504 +a(g781 +VTO +p10505 +tp10506 +a(g822 +g958 +tp10507 +a(g436 +VOS-Type +p10508 +tp10509 +a(g822 +V\u000a +p10510 +tp10511 +a(g7 +VGC0909 +p10512 +tp10513 +a(g822 +V +p10514 +tp10515 +a(g789 +VEVALUATE +p10516 +tp10517 +a(g822 +g958 +tp10518 +a(g677 +VTRUE +p10519 +tp10520 +a(g822 +V\u000a +p10521 +tp10522 +a(g7 +VGC0909 +p10523 +tp10524 +a(g822 +V +p10525 +tp10526 +a(g781 +VWHEN +p10527 +tp10528 +a(g822 +g958 +tp10529 +a(g436 +VOS-Unknown +p10530 +tp10531 +a(g822 +V\u000a +p10532 +tp10533 +a(g7 +VGC0909 +p10534 +tp10535 +a(g822 +V +p10536 +tp10537 +a(g789 +VMOVE +p10538 +tp10539 +a(g225 +V'\u005c' +p10540 +tp10541 +a(g822 +V +p10542 +tp10543 +a(g781 +VTO +p10544 +tp10545 +a(g822 +g958 +tp10546 +a(g436 +VDir-Char +p10547 +tp10548 +a(g822 +V\u000a +p10549 +tp10550 +a(g7 +VGC0909 +p10551 +tp10552 +a(g822 +V +p10553 +tp10554 +a(g789 +VMOVE +p10555 +tp10556 +a(g225 +V'Unknown' +p10557 +tp10558 +a(g822 +V +p10559 +tp10560 +a(g781 +VTO +p10561 +tp10562 +a(g822 +g958 +tp10563 +a(g436 +VOS-Type-Literal +p10564 +tp10565 +a(g822 +V\u000a +p10566 +tp10567 +a(g7 +VGC0310 +p10568 +tp10569 +a(g822 +V +p10570 +tp10571 +a(g789 +VMOVE +p10572 +tp10573 +a(g822 +g958 +tp10574 +a(g436 +VCOB-SCR-F11 +p10575 +tp10576 +a(g822 +g958 +tp10577 +a(g781 +VTO +p10578 +tp10579 +a(g822 +g958 +tp10580 +a(g436 +VCK-S-F1 +p10581 +tp10582 +a(g822 +V\u000a +p10583 +tp10584 +a(g7 +VGC0310 +p10585 +tp10586 +a(g822 +V +p10587 +tp10588 +a(g789 +VMOVE +p10589 +tp10590 +a(g822 +g958 +tp10591 +a(g436 +VCOB-SCR-F12 +p10592 +tp10593 +a(g822 +g958 +tp10594 +a(g781 +VTO +p10595 +tp10596 +a(g822 +g958 +tp10597 +a(g436 +VCK-S-F2 +p10598 +tp10599 +a(g822 +V\u000a +p10600 +tp10601 +a(g7 +VGC0310 +p10602 +tp10603 +a(g822 +V +p10604 +tp10605 +a(g789 +VMOVE +p10606 +tp10607 +a(g822 +g958 +tp10608 +a(g436 +VCOB-SCR-F13 +p10609 +tp10610 +a(g822 +g958 +tp10611 +a(g781 +VTO +p10612 +tp10613 +a(g822 +g958 +tp10614 +a(g436 +VCK-S-F3 +p10615 +tp10616 +a(g822 +V\u000a +p10617 +tp10618 +a(g7 +VGC0310 +p10619 +tp10620 +a(g822 +V +p10621 +tp10622 +a(g789 +VMOVE +p10623 +tp10624 +a(g822 +g958 +tp10625 +a(g436 +VCOB-SCR-F14 +p10626 +tp10627 +a(g822 +g958 +tp10628 +a(g781 +VTO +p10629 +tp10630 +a(g822 +g958 +tp10631 +a(g436 +VCK-S-F4 +p10632 +tp10633 +a(g822 +V\u000a +p10634 +tp10635 +a(g7 +VGC0310 +p10636 +tp10637 +a(g822 +V +p10638 +tp10639 +a(g789 +VMOVE +p10640 +tp10641 +a(g822 +g958 +tp10642 +a(g436 +VCOB-SCR-F15 +p10643 +tp10644 +a(g822 +g958 +tp10645 +a(g781 +VTO +p10646 +tp10647 +a(g822 +g958 +tp10648 +a(g436 +VCK-S-F5 +p10649 +tp10650 +a(g822 +V\u000a +p10651 +tp10652 +a(g7 +VGC0310 +p10653 +tp10654 +a(g822 +V +p10655 +tp10656 +a(g789 +VMOVE +p10657 +tp10658 +a(g822 +g958 +tp10659 +a(g436 +VCOB-SCR-F16 +p10660 +tp10661 +a(g822 +g958 +tp10662 +a(g781 +VTO +p10663 +tp10664 +a(g822 +g958 +tp10665 +a(g436 +VCK-S-F6 +p10666 +tp10667 +a(g822 +V\u000a +p10668 +tp10669 +a(g7 +VGC0310 +p10670 +tp10671 +a(g822 +V +p10672 +tp10673 +a(g789 +VMOVE +p10674 +tp10675 +a(g822 +g958 +tp10676 +a(g436 +VCOB-SCR-F17 +p10677 +tp10678 +a(g822 +g958 +tp10679 +a(g781 +VTO +p10680 +tp10681 +a(g822 +g958 +tp10682 +a(g436 +VCK-S-F7 +p10683 +tp10684 +a(g822 +V\u000a +p10685 +tp10686 +a(g7 +VGC0909 +p10687 +tp10688 +a(g822 +V +p10689 +tp10690 +a(g781 +VWHEN +p10691 +tp10692 +a(g822 +g958 +tp10693 +a(g436 +VOS-Windows +p10694 +tp10695 +a(g822 +V\u000a +p10696 +tp10697 +a(g7 +VGC0909 +p10698 +tp10699 +a(g822 +V +p10700 +tp10701 +a(g789 +VMOVE +p10702 +tp10703 +a(g225 +V'\u005c' +p10704 +tp10705 +a(g822 +V +p10706 +tp10707 +a(g781 +VTO +p10708 +tp10709 +a(g822 +g958 +tp10710 +a(g436 +VDir-Char +p10711 +tp10712 +a(g822 +V\u000a +p10713 +tp10714 +a(g7 +VGC0909 +p10715 +tp10716 +a(g822 +V +p10717 +tp10718 +a(g789 +VMOVE +p10719 +tp10720 +a(g225 +V'Windows' +p10721 +tp10722 +a(g822 +V +p10723 +tp10724 +a(g781 +VTO +p10725 +tp10726 +a(g822 +g958 +tp10727 +a(g436 +VOS-Type-Literal +p10728 +tp10729 +a(g822 +V\u000a +p10730 +tp10731 +a(g7 +VGC0310 +p10732 +tp10733 +a(g822 +V +p10734 +tp10735 +a(g789 +VMOVE +p10736 +tp10737 +a(g822 +g958 +tp10738 +a(g436 +VCOB-SCR-F13 +p10739 +tp10740 +a(g822 +g958 +tp10741 +a(g781 +VTO +p10742 +tp10743 +a(g822 +g958 +tp10744 +a(g436 +VCK-S-F1 +p10745 +tp10746 +a(g822 +V\u000a +p10747 +tp10748 +a(g7 +VGC0310 +p10749 +tp10750 +a(g822 +V +p10751 +tp10752 +a(g789 +VMOVE +p10753 +tp10754 +a(g822 +g958 +tp10755 +a(g436 +VCOB-SCR-F14 +p10756 +tp10757 +a(g822 +g958 +tp10758 +a(g781 +VTO +p10759 +tp10760 +a(g822 +g958 +tp10761 +a(g436 +VCK-S-F2 +p10762 +tp10763 +a(g822 +V\u000a +p10764 +tp10765 +a(g7 +VGC0310 +p10766 +tp10767 +a(g822 +V +p10768 +tp10769 +a(g789 +VMOVE +p10770 +tp10771 +a(g822 +g958 +tp10772 +a(g436 +VCOB-SCR-F15 +p10773 +tp10774 +a(g822 +g958 +tp10775 +a(g781 +VTO +p10776 +tp10777 +a(g822 +g958 +tp10778 +a(g436 +VCK-S-F3 +p10779 +tp10780 +a(g822 +V\u000a +p10781 +tp10782 +a(g7 +VGC0310 +p10783 +tp10784 +a(g822 +V +p10785 +tp10786 +a(g789 +VMOVE +p10787 +tp10788 +a(g822 +g958 +tp10789 +a(g436 +VCOB-SCR-F16 +p10790 +tp10791 +a(g822 +g958 +tp10792 +a(g781 +VTO +p10793 +tp10794 +a(g822 +g958 +tp10795 +a(g436 +VCK-S-F4 +p10796 +tp10797 +a(g822 +V\u000a +p10798 +tp10799 +a(g7 +VGC0310 +p10800 +tp10801 +a(g822 +V +p10802 +tp10803 +a(g789 +VMOVE +p10804 +tp10805 +a(g822 +g958 +tp10806 +a(g436 +VCOB-SCR-F17 +p10807 +tp10808 +a(g822 +g958 +tp10809 +a(g781 +VTO +p10810 +tp10811 +a(g822 +g958 +tp10812 +a(g436 +VCK-S-F5 +p10813 +tp10814 +a(g822 +V\u000a +p10815 +tp10816 +a(g7 +VGC0310 +p10817 +tp10818 +a(g822 +V +p10819 +tp10820 +a(g789 +VMOVE +p10821 +tp10822 +a(g822 +g958 +tp10823 +a(g436 +VCOB-SCR-F18 +p10824 +tp10825 +a(g822 +g958 +tp10826 +a(g781 +VTO +p10827 +tp10828 +a(g822 +g958 +tp10829 +a(g436 +VCK-S-F6 +p10830 +tp10831 +a(g822 +V\u000a +p10832 +tp10833 +a(g7 +VGC0310 +p10834 +tp10835 +a(g822 +V +p10836 +tp10837 +a(g789 +VMOVE +p10838 +tp10839 +a(g822 +g958 +tp10840 +a(g436 +VCOB-SCR-F19 +p10841 +tp10842 +a(g822 +g958 +tp10843 +a(g781 +VTO +p10844 +tp10845 +a(g822 +g958 +tp10846 +a(g436 +VCK-S-F7 +p10847 +tp10848 +a(g822 +V\u000a +p10849 +tp10850 +a(g7 +VGC0909 +p10851 +tp10852 +a(g822 +V +p10853 +tp10854 +a(g781 +VWHEN +p10855 +tp10856 +a(g822 +g958 +tp10857 +a(g436 +VOS-Cygwin +p10858 +tp10859 +a(g822 +V\u000a +p10860 +tp10861 +a(g7 +VGC0909 +p10862 +tp10863 +a(g822 +V +p10864 +tp10865 +a(g789 +VMOVE +p10866 +tp10867 +a(g225 +V'/' +p10868 +tp10869 +a(g822 +V +p10870 +tp10871 +a(g781 +VTO +p10872 +tp10873 +a(g822 +g958 +tp10874 +a(g436 +VDir-Char +p10875 +tp10876 +a(g822 +V\u000a +p10877 +tp10878 +a(g7 +VGC0410 +p10879 +tp10880 +a(g822 +V +p10881 +tp10882 +a(g789 +VMOVE +p10883 +tp10884 +a(g225 +V'Cygwin' +p10885 +tp10886 +a(g822 +V +p10887 +tp10888 +a(g781 +VTO +p10889 +tp10890 +a(g822 +g958 +tp10891 +a(g436 +VOS-Type-Literal +p10892 +tp10893 +a(g822 +V\u000a +p10894 +tp10895 +a(g7 +VGC0310 +p10896 +tp10897 +a(g822 +V +p10898 +tp10899 +a(g789 +VMOVE +p10900 +tp10901 +a(g822 +g958 +tp10902 +a(g436 +VCOB-SCR-F11 +p10903 +tp10904 +a(g822 +g958 +tp10905 +a(g781 +VTO +p10906 +tp10907 +a(g822 +g958 +tp10908 +a(g436 +VCK-S-F1 +p10909 +tp10910 +a(g822 +V\u000a +p10911 +tp10912 +a(g7 +VGC0310 +p10913 +tp10914 +a(g822 +V +p10915 +tp10916 +a(g789 +VMOVE +p10917 +tp10918 +a(g822 +g958 +tp10919 +a(g436 +VCOB-SCR-F12 +p10920 +tp10921 +a(g822 +g958 +tp10922 +a(g781 +VTO +p10923 +tp10924 +a(g822 +g958 +tp10925 +a(g436 +VCK-S-F2 +p10926 +tp10927 +a(g822 +V\u000a +p10928 +tp10929 +a(g7 +VGC0310 +p10930 +tp10931 +a(g822 +V +p10932 +tp10933 +a(g789 +VMOVE +p10934 +tp10935 +a(g822 +g958 +tp10936 +a(g436 +VCOB-SCR-F13 +p10937 +tp10938 +a(g822 +g958 +tp10939 +a(g781 +VTO +p10940 +tp10941 +a(g822 +g958 +tp10942 +a(g436 +VCK-S-F3 +p10943 +tp10944 +a(g822 +V\u000a +p10945 +tp10946 +a(g7 +VGC0310 +p10947 +tp10948 +a(g822 +V +p10949 +tp10950 +a(g789 +VMOVE +p10951 +tp10952 +a(g822 +g958 +tp10953 +a(g436 +VCOB-SCR-F14 +p10954 +tp10955 +a(g822 +g958 +tp10956 +a(g781 +VTO +p10957 +tp10958 +a(g822 +g958 +tp10959 +a(g436 +VCK-S-F4 +p10960 +tp10961 +a(g822 +V\u000a +p10962 +tp10963 +a(g7 +VGC0310 +p10964 +tp10965 +a(g822 +V +p10966 +tp10967 +a(g789 +VMOVE +p10968 +tp10969 +a(g822 +g958 +tp10970 +a(g436 +VCOB-SCR-F15 +p10971 +tp10972 +a(g822 +g958 +tp10973 +a(g781 +VTO +p10974 +tp10975 +a(g822 +g958 +tp10976 +a(g436 +VCK-S-F5 +p10977 +tp10978 +a(g822 +V\u000a +p10979 +tp10980 +a(g7 +VGC0310 +p10981 +tp10982 +a(g822 +V +p10983 +tp10984 +a(g789 +VMOVE +p10985 +tp10986 +a(g822 +g958 +tp10987 +a(g436 +VCOB-SCR-F16 +p10988 +tp10989 +a(g822 +g958 +tp10990 +a(g781 +VTO +p10991 +tp10992 +a(g822 +g958 +tp10993 +a(g436 +VCK-S-F6 +p10994 +tp10995 +a(g822 +V\u000a +p10996 +tp10997 +a(g7 +VGC0310 +p10998 +tp10999 +a(g822 +V +p11000 +tp11001 +a(g789 +VMOVE +p11002 +tp11003 +a(g822 +g958 +tp11004 +a(g436 +VCOB-SCR-F17 +p11005 +tp11006 +a(g822 +g958 +tp11007 +a(g781 +VTO +p11008 +tp11009 +a(g822 +g958 +tp11010 +a(g436 +VCK-S-F7 +p11011 +tp11012 +a(g822 +V\u000a +p11013 +tp11014 +a(g7 +VGC0909 +p11015 +tp11016 +a(g822 +V +p11017 +tp11018 +a(g781 +VWHEN +p11019 +tp11020 +a(g822 +g958 +tp11021 +a(g436 +VOS-UNIX +p11022 +tp11023 +a(g822 +V\u000a +p11024 +tp11025 +a(g7 +VGC0909 +p11026 +tp11027 +a(g822 +V +p11028 +tp11029 +a(g789 +VMOVE +p11030 +tp11031 +a(g225 +V'/' +p11032 +tp11033 +a(g822 +V +p11034 +tp11035 +a(g781 +VTO +p11036 +tp11037 +a(g822 +g958 +tp11038 +a(g436 +VDir-Char +p11039 +tp11040 +a(g822 +V\u000a +p11041 +tp11042 +a(g7 +VGC0410 +p11043 +tp11044 +a(g822 +V +p11045 +tp11046 +a(g789 +VMOVE +p11047 +tp11048 +a(g225 +V'UNIX ' +p11049 +tp11050 +a(g822 +V +p11051 +tp11052 +a(g781 +VTO +p11053 +tp11054 +a(g822 +g958 +tp11055 +a(g436 +VOS-Type-Literal +p11056 +tp11057 +a(g822 +V\u000a +p11058 +tp11059 +a(g7 +VGC0310 +p11060 +tp11061 +a(g822 +V +p11062 +tp11063 +a(g789 +VMOVE +p11064 +tp11065 +a(g822 +g958 +tp11066 +a(g436 +VCOB-SCR-F11 +p11067 +tp11068 +a(g822 +g958 +tp11069 +a(g781 +VTO +p11070 +tp11071 +a(g822 +g958 +tp11072 +a(g436 +VCK-S-F1 +p11073 +tp11074 +a(g822 +V\u000a +p11075 +tp11076 +a(g7 +VGC0310 +p11077 +tp11078 +a(g822 +V +p11079 +tp11080 +a(g789 +VMOVE +p11081 +tp11082 +a(g822 +g958 +tp11083 +a(g436 +VCOB-SCR-F12 +p11084 +tp11085 +a(g822 +g958 +tp11086 +a(g781 +VTO +p11087 +tp11088 +a(g822 +g958 +tp11089 +a(g436 +VCK-S-F2 +p11090 +tp11091 +a(g822 +V\u000a +p11092 +tp11093 +a(g7 +VGC0310 +p11094 +tp11095 +a(g822 +V +p11096 +tp11097 +a(g789 +VMOVE +p11098 +tp11099 +a(g822 +g958 +tp11100 +a(g436 +VCOB-SCR-F13 +p11101 +tp11102 +a(g822 +g958 +tp11103 +a(g781 +VTO +p11104 +tp11105 +a(g822 +g958 +tp11106 +a(g436 +VCK-S-F3 +p11107 +tp11108 +a(g822 +V\u000a +p11109 +tp11110 +a(g7 +VGC0310 +p11111 +tp11112 +a(g822 +V +p11113 +tp11114 +a(g789 +VMOVE +p11115 +tp11116 +a(g822 +g958 +tp11117 +a(g436 +VCOB-SCR-F14 +p11118 +tp11119 +a(g822 +g958 +tp11120 +a(g781 +VTO +p11121 +tp11122 +a(g822 +g958 +tp11123 +a(g436 +VCK-S-F4 +p11124 +tp11125 +a(g822 +V\u000a +p11126 +tp11127 +a(g7 +VGC0310 +p11128 +tp11129 +a(g822 +V +p11130 +tp11131 +a(g789 +VMOVE +p11132 +tp11133 +a(g822 +g958 +tp11134 +a(g436 +VCOB-SCR-F15 +p11135 +tp11136 +a(g822 +g958 +tp11137 +a(g781 +VTO +p11138 +tp11139 +a(g822 +g958 +tp11140 +a(g436 +VCK-S-F5 +p11141 +tp11142 +a(g822 +V\u000a +p11143 +tp11144 +a(g7 +VGC0310 +p11145 +tp11146 +a(g822 +V +p11147 +tp11148 +a(g789 +VMOVE +p11149 +tp11150 +a(g822 +g958 +tp11151 +a(g436 +VCOB-SCR-F16 +p11152 +tp11153 +a(g822 +g958 +tp11154 +a(g781 +VTO +p11155 +tp11156 +a(g822 +g958 +tp11157 +a(g436 +VCK-S-F6 +p11158 +tp11159 +a(g822 +V\u000a +p11160 +tp11161 +a(g7 +VGC0310 +p11162 +tp11163 +a(g822 +V +p11164 +tp11165 +a(g789 +VMOVE +p11166 +tp11167 +a(g822 +g958 +tp11168 +a(g436 +VCOB-SCR-F17 +p11169 +tp11170 +a(g822 +g958 +tp11171 +a(g781 +VTO +p11172 +tp11173 +a(g822 +g958 +tp11174 +a(g436 +VCK-S-F7 +p11175 +tp11176 +a(g822 +V\u000a +p11177 +tp11178 +a(g7 +VGC0909 +p11179 +tp11180 +a(g822 +V +p11181 +tp11182 +a(g789 +VEND-EVALUATE +p11183 +tp11184 +a(g822 +V\u000a +p11185 +tp11186 +a(g7 +VGC0909 +p11187 +tp11188 +a(g822 +V +p11189 +tp11190 +a(g701 +g965 +tp11191 +a(g822 +V\u000a +p11192 +tp11193 +a(g822 +V\u000a +p11194 +tp11195 +a(g7 +V +p11196 +tp11197 +a(g822 +g958 +tp11198 +a(g32 +V102 +p11199 +tp11200 +a(g408 +g1832 +tp11201 +a(g436 +VSet-Environment-Vars +p11202 +tp11203 +a(g701 +g965 +tp11204 +a(g822 +V\u000a +p11205 +tp11206 +a(g7 +V +p11207 +tp11208 +a(g822 +V +p11209 +tp11210 +a(g789 +VSET +p11211 +tp11212 +a(g822 +g958 +tp11213 +a(g789 +VENVIRONMENT +p11214 +tp11215 +a(g225 +V'COB_SCREEN_EXCEPTIONS' +p11216 +tp11217 +a(g822 +g958 +tp11218 +a(g781 +VTO +p11219 +tp11220 +a(g225 +V'Y' +p11221 +tp11222 +a(g822 +V\u000a +p11223 +tp11224 +a(g7 +V +p11225 +tp11226 +a(g822 +V +p11227 +tp11228 +a(g789 +VSET +p11229 +tp11230 +a(g822 +g958 +tp11231 +a(g789 +VENVIRONMENT +p11232 +tp11233 +a(g225 +V'COB_SCREEN_ESC' +p11234 +tp11235 +a(g822 +V +p11236 +tp11237 +a(g781 +VTO +p11238 +tp11239 +a(g225 +V'Y' +p11240 +tp11241 +a(g822 +V\u000a +p11242 +tp11243 +a(g7 +V +p11244 +tp11245 +a(g822 +V +p11246 +tp11247 +a(g701 +g965 +tp11248 +a(g822 +V\u000a +p11249 +tp11250 +a(g822 +V\u000a +p11251 +tp11252 +a(g7 +V +p11253 +tp11254 +a(g822 +g958 +tp11255 +a(g32 +V103 +p11256 +tp11257 +a(g408 +g1832 +tp11258 +a(g436 +VGenerate-Cobc-Output-Fn +p11259 +tp11260 +a(g701 +g965 +tp11261 +a(g822 +V\u000a +p11262 +tp11263 +a(g7 +V +p11264 +tp11265 +a(g822 +V +p11266 +tp11267 +a(g789 +VACCEPT +p11268 +tp11269 +a(g822 +g958 +tp11270 +a(g436 +VEnv-TEMP +p11271 +tp11272 +a(g822 +V\u000a +p11273 +tp11274 +a(g7 +V +p11275 +tp11276 +a(g822 +V +p11277 +tp11278 +a(g781 +VFROM +p11279 +tp11280 +a(g822 +g958 +tp11281 +a(g789 +VENVIRONMENT +p11282 +tp11283 +a(g152 +V"TEMP" +p11284 +tp11285 +a(g822 +V\u000a +p11286 +tp11287 +a(g7 +V +p11288 +tp11289 +a(g822 +V +p11290 +tp11291 +a(g789 +VEND-ACCEPT\u000a +p11292 +tp11293 +a(g822 +g958 +tp11294 +a(g789 +VMOVE +p11295 +tp11296 +a(g822 +g958 +tp11297 +a(g561 +VSPACES +p11298 +tp11299 +a(g822 +g958 +tp11300 +a(g781 +VTO +p11301 +tp11302 +a(g822 +g958 +tp11303 +a(g436 +VCobc-Output-File +p11304 +tp11305 +a(g822 +V\u000a +p11306 +tp11307 +a(g7 +V +p11308 +tp11309 +a(g822 +V +p11310 +tp11311 +a(g789 +VSTRING +p11312 +tp11313 +a(g822 +g958 +tp11314 +a(g569 +VTRIM +p11315 +tp11316 +a(g701 +g7240 +tp11317 +a(g436 +VEnv-TEMP +p11318 +tp11319 +a(g701 +g2705 +tp11320 +a(g781 +VTRAILING +p11321 +tp11322 +a(g701 +g7247 +tp11323 +a(g822 +V\u000a +p11324 +tp11325 +a(g7 +VGC0909 +p11326 +tp11327 +a(g822 +V +p11328 +tp11329 +a(g436 +VDir-Char +p11330 +tp11331 +a(g822 +V\u000a +p11332 +tp11333 +a(g7 +VGC0909 +p11334 +tp11335 +a(g822 +V +p11336 +tp11337 +a(g225 +V'OC-Messages.TXT' +p11338 +tp11339 +a(g822 +V\u000a +p11340 +tp11341 +a(g7 +V +p11342 +tp11343 +a(g822 +V +p11344 +tp11345 +a(g781 +VDELIMITED +p11346 +tp11347 +a(g822 +g958 +tp11348 +a(g781 +VSIZE\u000a +p11349 +tp11350 +a(g822 +g958 +tp11351 +a(g781 +VINTO +p11352 +tp11353 +a(g822 +g958 +tp11354 +a(g436 +VCobc-Output-File +p11355 +tp11356 +a(g822 +V\u000a +p11357 +tp11358 +a(g7 +V +p11359 +tp11360 +a(g822 +V +p11361 +tp11362 +a(g789 +VEND-STRING\u000a +p11363 +tp11364 +a(g701 +g965 +tp11365 +a(g822 +V\u000a +p11366 +tp11367 +a(g822 +V\u000a +p11368 +tp11369 +a(g7 +V +p11370 +tp11371 +a(g822 +g958 +tp11372 +a(g32 +V104 +p11373 +tp11374 +a(g408 +g1832 +tp11375 +a(g436 +VGenerate-Banner-Line-Info +p11376 +tp11377 +a(g701 +g965 +tp11378 +a(g822 +V\u000a +p11379 +tp11380 +a(g7 +V +p11381 +tp11382 +a(g822 +V +p11383 +tp11384 +a(g789 +VMOVE +p11385 +tp11386 +a(g822 +g958 +tp11387 +a(g569 +VWHEN-COMPILED +p11388 +tp11389 +a(g701 +g7240 +tp11390 +a(g32 +g1964 +tp11391 +a(g701 +V: +p11392 +tp11393 +a(g32 +V12 +p11394 +tp11395 +a(g701 +g7247 +tp11396 +a(g822 +g958 +tp11397 +a(g781 +VTO +p11398 +tp11399 +a(g822 +g958 +tp11400 +a(g436 +VOC-Compiled +p11401 +tp11402 +a(g822 +V\u000a +p11403 +tp11404 +a(g7 +V +p11405 +tp11406 +a(g822 +V +p11407 +tp11408 +a(g789 +VINSPECT +p11409 +tp11410 +a(g822 +g958 +tp11411 +a(g436 +VOC-Compiled +p11412 +tp11413 +a(g822 +V\u000a +p11414 +tp11415 +a(g7 +V +p11416 +tp11417 +a(g822 +V +p11418 +tp11419 +a(g781 +VREPLACING +p11420 +tp11421 +a(g822 +g958 +tp11422 +a(g781 +VALL +p11423 +tp11424 +a(g225 +V'/' +p11425 +tp11426 +a(g822 +g958 +tp11427 +a(g781 +VBY +p11428 +tp11429 +a(g225 +V':' +p11430 +tp11431 +a(g822 +V\u000a +p11432 +tp11433 +a(g7 +V +p11434 +tp11435 +a(g822 +V +p11436 +tp11437 +a(g781 +VAFTER +p11438 +tp11439 +a(g822 +g958 +tp11440 +a(g781 +VINITIAL +p11441 +tp11442 +a(g822 +g958 +tp11443 +a(g561 +VSPACE\u000a +p11444 +tp11445 +a(g701 +g965 +tp11446 +a(g822 +V\u000a +p11447 +tp11448 +a(g822 +V\u000a +p11449 +tp11450 +a(g7 +V +p11451 +tp11452 +a(g822 +g958 +tp11453 +a(g32 +V105 +p11454 +tp11455 +a(g408 +g1832 +tp11456 +a(g436 +VEstablish-Switch-Settings +p11457 +tp11458 +a(g701 +g965 +tp11459 +a(g822 +V\u000a +p11460 +tp11461 +a(g7 +V +p11462 +tp11463 +a(g822 +V +p11464 +tp11465 +a(g789 +VACCEPT +p11466 +tp11467 +a(g822 +g958 +tp11468 +a(g436 +VCommand-Line-Args +p11469 +tp11470 +a(g822 +V\u000a +p11471 +tp11472 +a(g7 +V +p11473 +tp11474 +a(g822 +V +p11475 +tp11476 +a(g781 +VFROM +p11477 +tp11478 +a(g822 +g958 +tp11479 +a(g781 +VCOMMAND-LINE\u000a +p11480 +tp11481 +a(g822 +g958 +tp11482 +a(g789 +VEND-ACCEPT\u000a +p11483 +tp11484 +a(g822 +g958 +tp11485 +a(g789 +VMOVE +p11486 +tp11487 +a(g822 +g958 +tp11488 +a(g569 +VTRIM +p11489 +tp11490 +a(g701 +g7240 +tp11491 +a(g436 +VCommand-Line-Args +p11492 +tp11493 +a(g701 +g2705 +tp11494 +a(g822 +g958 +tp11495 +a(g781 +VLeading +p11496 +tp11497 +a(g701 +g7247 +tp11498 +a(g822 +V\u000a +p11499 +tp11500 +a(g7 +V +p11501 +tp11502 +a(g822 +V +p11503 +tp11504 +a(g781 +VTO +p11505 +tp11506 +a(g822 +g958 +tp11507 +a(g436 +VCommand-Line-Args +p11508 +tp11509 +a(g822 +V\u000a +p11510 +tp11511 +a(g7 +V +p11512 +tp11513 +a(g822 +V +p11514 +tp11515 +a(g789 +VMOVE +p11516 +tp11517 +a(g822 +g958 +tp11518 +a(g32 +V0 +p11519 +tp11520 +a(g781 +VTO +p11521 +tp11522 +a(g822 +g958 +tp11523 +a(g436 +VTally +p11524 +tp11525 +a(g822 +V\u000a +p11526 +tp11527 +a(g7 +VGC0410 +p11528 +tp11529 +a(g822 +V +p11530 +tp11531 +a(g789 +VINSPECT +p11532 +tp11533 +a(g822 +g958 +tp11534 +a(g436 +VCommand-Line-Args +p11535 +tp11536 +a(g822 +g958 +tp11537 +a(g781 +VTALLYING +p11538 +tp11539 +a(g822 +g958 +tp11540 +a(g436 +VTally +p11541 +tp11542 +a(g822 +g958 +tp11543 +a(g781 +VFOR +p11544 +tp11545 +a(g822 +g958 +tp11546 +a(g781 +VALL +p11547 +tp11548 +a(g225 +V'@' +p11549 +tp11550 +a(g822 +V\u000a +p11551 +tp11552 +a(g7 +V +p11553 +tp11554 +a(g822 +V +p11555 +tp11556 +a(g789 +VIF +p11557 +tp11558 +a(g822 +g958 +tp11559 +a(g436 +VTally +p11560 +tp11561 +a(g822 +g958 +tp11562 +a(g408 +g7223 +tp11563 +a(g822 +g958 +tp11564 +a(g32 +V0\u000a +p11565 +tp11566 +a(g789 +VMOVE +p11567 +tp11568 +a(g822 +g958 +tp11569 +a(g436 +VCommand-Line-Args +p11570 +tp11571 +a(g822 +g958 +tp11572 +a(g781 +VTO +p11573 +tp11574 +a(g822 +g958 +tp11575 +a(g436 +VFile-Name +p11576 +tp11577 +a(g822 +V\u000a +p11578 +tp11579 +a(g7 +V +p11580 +tp11581 +a(g822 +V +p11582 +tp11583 +a(g789 +VMOVE +p11584 +tp11585 +a(g822 +g958 +tp11586 +a(g561 +VSPACES +p11587 +tp11588 +a(g822 +g958 +tp11589 +a(g781 +VTO +p11590 +tp11591 +a(g822 +g958 +tp11592 +a(g436 +VCommand-Line-Args +p11593 +tp11594 +a(g822 +V\u000a +p11595 +tp11596 +a(g7 +V +p11597 +tp11598 +a(g822 +V +p11599 +tp11600 +a(g789 +VELSE +p11601 +tp11602 +a(g822 +V\u000a +p11603 +tp11604 +a(g7 +VGC0410 +p11605 +tp11606 +a(g822 +V +p11607 +tp11608 +a(g789 +VUNSTRING +p11609 +tp11610 +a(g822 +g958 +tp11611 +a(g436 +VCommand-Line-Args +p11612 +tp11613 +a(g822 +g958 +tp11614 +a(g781 +VDELIMITED +p11615 +tp11616 +a(g822 +g958 +tp11617 +a(g781 +VBY +p11618 +tp11619 +a(g225 +V'@' +p11620 +tp11621 +a(g822 +V\u000a +p11622 +tp11623 +a(g7 +V +p11624 +tp11625 +a(g822 +V +p11626 +tp11627 +a(g781 +VINTO +p11628 +tp11629 +a(g822 +g958 +tp11630 +a(g436 +VFile-Name +p11631 +tp11632 +a(g701 +g2705 +tp11633 +a(g822 +g958 +tp11634 +a(g436 +VDummy +p11635 +tp11636 +a(g822 +V\u000a +p11637 +tp11638 +a(g7 +V +p11639 +tp11640 +a(g822 +V +p11641 +tp11642 +a(g789 +VEND-UNSTRING\u000a +p11643 +tp11644 +a(g822 +g958 +tp11645 +a(g789 +VINSPECT +p11646 +tp11647 +a(g822 +g958 +tp11648 +a(g436 +VCommand-Line-Args +p11649 +tp11650 +a(g822 +V\u000a +p11651 +tp11652 +a(g7 +VGC0410 +p11653 +tp11654 +a(g822 +V +p11655 +tp11656 +a(g781 +VREPLACING +p11657 +tp11658 +a(g822 +g958 +tp11659 +a(g781 +VFIRST +p11660 +tp11661 +a(g225 +V'@' +p11662 +tp11663 +a(g822 +g958 +tp11664 +a(g781 +VBY +p11665 +tp11666 +a(g822 +g958 +tp11667 +a(g561 +VLOW-VALUES\u000a +p11668 +tp11669 +a(g822 +g958 +tp11670 +a(g789 +VUNSTRING +p11671 +tp11672 +a(g822 +g958 +tp11673 +a(g436 +VCommand-Line-Args +p11674 +tp11675 +a(g822 +V\u000a +p11676 +tp11677 +a(g7 +V +p11678 +tp11679 +a(g822 +V +p11680 +tp11681 +a(g781 +VDELIMITED +p11682 +tp11683 +a(g822 +g958 +tp11684 +a(g781 +VBY +p11685 +tp11686 +a(g822 +g958 +tp11687 +a(g561 +VLOW-VALUES\u000a +p11688 +tp11689 +a(g822 +g958 +tp11690 +a(g781 +VINTO +p11691 +tp11692 +a(g822 +g958 +tp11693 +a(g436 +VDummy +p11694 +tp11695 +a(g701 +g2705 +tp11696 +a(g822 +g958 +tp11697 +a(g436 +VCmd +p11698 +tp11699 +a(g822 +V\u000a +p11700 +tp11701 +a(g7 +V +p11702 +tp11703 +a(g822 +V +p11704 +tp11705 +a(g789 +VEND-UNSTRING\u000a +p11706 +tp11707 +a(g822 +g958 +tp11708 +a(g789 +VMOVE +p11709 +tp11710 +a(g822 +g958 +tp11711 +a(g561 +VSPACES +p11712 +tp11713 +a(g822 +g958 +tp11714 +a(g781 +VTO +p11715 +tp11716 +a(g822 +g958 +tp11717 +a(g436 +VCommand-Line-Args +p11718 +tp11719 +a(g822 +V\u000a +p11720 +tp11721 +a(g7 +VGC0410 +p11722 +tp11723 +a(g822 +V +p11724 +tp11725 +a(g789 +VSTRING +p11726 +tp11727 +a(g225 +V'@' +p11728 +tp11729 +a(g822 +g958 +tp11730 +a(g436 +VCmd +p11731 +tp11732 +a(g822 +g958 +tp11733 +a(g781 +VDELIMITED +p11734 +tp11735 +a(g822 +g958 +tp11736 +a(g781 +VSIZE\u000a +p11737 +tp11738 +a(g822 +g958 +tp11739 +a(g781 +VINTO +p11740 +tp11741 +a(g822 +g958 +tp11742 +a(g436 +VCommand-Line-Args +p11743 +tp11744 +a(g822 +V\u000a +p11745 +tp11746 +a(g7 +V +p11747 +tp11748 +a(g822 +V +p11749 +tp11750 +a(g789 +VEND-STRING\u000a +p11751 +tp11752 +a(g822 +g958 +tp11753 +a(g789 +VEND-IF\u000a +p11754 +tp11755 +a(g822 +g958 +tp11756 +a(g789 +VIF +p11757 +tp11758 +a(g822 +g958 +tp11759 +a(g436 +VFile-Name +p11760 +tp11761 +a(g822 +g958 +tp11762 +a(g408 +g7223 +tp11763 +a(g822 +g958 +tp11764 +a(g561 +VSPACES\u000a +p11765 +tp11766 +a(g822 +g958 +tp11767 +a(g789 +VDISPLAY\u000a +p11768 +tp11769 +a(g225 +V'No program filename was specified' +p11770 +tp11771 +a(g822 +V\u000a +p11772 +tp11773 +a(g7 +V +p11774 +tp11775 +a(g822 +V +p11776 +tp11777 +a(g789 +VEND-DISPLAY\u000a +p11778 +tp11779 +a(g822 +g958 +tp11780 +a(g789 +VPERFORM +p11781 +tp11782 +a(g822 +g958 +tp11783 +a(g32 +V900 +p11784 +tp11785 +a(g408 +g1832 +tp11786 +a(g436 +VTerminate +p11787 +tp11788 +a(g822 +V\u000a +p11789 +tp11790 +a(g7 +V +p11791 +tp11792 +a(g822 +V +p11793 +tp11794 +a(g789 +VEND-IF\u000a +p11795 +tp11796 +a(g822 +g958 +tp11797 +a(g789 +VPERFORM +p11798 +tp11799 +a(g822 +g958 +tp11800 +a(g32 +V010 +p11801 +tp11802 +a(g408 +g1832 +tp11803 +a(g436 +VParse-Args +p11804 +tp11805 +a(g822 +V\u000a +p11806 +tp11807 +a(g7 +V +p11808 +tp11809 +a(g822 +V +p11810 +tp11811 +a(g789 +VIF +p11812 +tp11813 +a(g822 +g958 +tp11814 +a(g436 +VS-SUBROUTINE +p11815 +tp11816 +a(g822 +g958 +tp11817 +a(g408 +g7223 +tp11818 +a(g822 +g958 +tp11819 +a(g225 +V'A' +p11820 +tp11821 +a(g822 +V\u000a +p11822 +tp11823 +a(g7 +V +p11824 +tp11825 +a(g822 +V +p11826 +tp11827 +a(g789 +VMOVE +p11828 +tp11829 +a(g225 +V'S' +p11830 +tp11831 +a(g822 +g958 +tp11832 +a(g781 +VTO +p11833 +tp11834 +a(g822 +g958 +tp11835 +a(g436 +VSwitch-Keyword +p11836 +tp11837 +a(g822 +V\u000a +p11838 +tp11839 +a(g7 +V +p11840 +tp11841 +a(g822 +V +p11842 +tp11843 +a(g789 +VMOVE +p11844 +tp11845 +a(g225 +V'A' +p11846 +tp11847 +a(g822 +g958 +tp11848 +a(g781 +VTO +p11849 +tp11850 +a(g822 +g958 +tp11851 +a(g436 +VSwitch-Value +p11852 +tp11853 +a(g822 +V\u000a +p11854 +tp11855 +a(g7 +V +p11856 +tp11857 +a(g822 +V +p11858 +tp11859 +a(g789 +VPERFORM +p11860 +tp11861 +a(g822 +g958 +tp11862 +a(g32 +V070 +p11863 +tp11864 +a(g408 +g1832 +tp11865 +a(g436 +VFind-LINKAGE-SECTION +p11866 +tp11867 +a(g822 +V\u000a +p11868 +tp11869 +a(g7 +V +p11870 +tp11871 +a(g822 +V +p11872 +tp11873 +a(g789 +VIF +p11874 +tp11875 +a(g822 +g958 +tp11876 +a(g32 +V88 +p11877 +tp11878 +a(g408 +g1832 +tp11879 +a(g436 +VCompile-As-Subpgm +p11880 +tp11881 +a(g822 +V\u000a +p11882 +tp11883 +a(g7 +V +p11884 +tp11885 +a(g822 +V +p11886 +tp11887 +a(g789 +VMOVE +p11888 +tp11889 +a(g225 +V'Y' +p11890 +tp11891 +a(g822 +g958 +tp11892 +a(g781 +VTO +p11893 +tp11894 +a(g822 +g958 +tp11895 +a(g436 +VS-SUBROUTINE +p11896 +tp11897 +a(g822 +V\u000a +p11898 +tp11899 +a(g7 +V +p11900 +tp11901 +a(g822 +V +p11902 +tp11903 +a(g789 +VELSE\u000a +p11904 +tp11905 +a(g822 +g958 +tp11906 +a(g789 +VMOVE +p11907 +tp11908 +a(g225 +V'N' +p11909 +tp11910 +a(g822 +g958 +tp11911 +a(g781 +VTO +p11912 +tp11913 +a(g822 +g958 +tp11914 +a(g436 +VS-SUBROUTINE +p11915 +tp11916 +a(g822 +V\u000a +p11917 +tp11918 +a(g7 +V +p11919 +tp11920 +a(g822 +V +p11921 +tp11922 +a(g789 +VEND-IF\u000a +p11923 +tp11924 +a(g822 +g958 +tp11925 +a(g789 +VEND-IF\u000a +p11926 +tp11927 +a(g822 +g958 +tp11928 +a(g789 +VINSPECT +p11929 +tp11930 +a(g822 +g958 +tp11931 +a(g436 +VS-Yes-No-Switches +p11932 +tp11933 +a(g822 +g958 +tp11934 +a(g781 +VREPLACING +p11935 +tp11936 +a(g822 +g958 +tp11937 +a(g781 +VALL +p11938 +tp11939 +a(g225 +V'Y' +p11940 +tp11941 +a(g822 +g958 +tp11942 +a(g781 +VBY +p11943 +tp11944 +a(g822 +g958 +tp11945 +a(g436 +VSelection-Char +p11946 +tp11947 +a(g822 +V\u000a +p11948 +tp11949 +a(g7 +V +p11950 +tp11951 +a(g822 +V +p11952 +tp11953 +a(g789 +VINSPECT +p11954 +tp11955 +a(g822 +g958 +tp11956 +a(g436 +VS-Yes-No-Switches +p11957 +tp11958 +a(g822 +g958 +tp11959 +a(g781 +VREPLACING +p11960 +tp11961 +a(g822 +g958 +tp11962 +a(g781 +VALL +p11963 +tp11964 +a(g225 +V'N' +p11965 +tp11966 +a(g822 +g958 +tp11967 +a(g781 +VBY +p11968 +tp11969 +a(g225 +V' ' +p11970 +tp11971 +a(g822 +V\u000a +p11972 +tp11973 +a(g7 +V +p11974 +tp11975 +a(g822 +V +p11976 +tp11977 +a(g701 +g965 +tp11978 +a(g822 +V\u000a +p11979 +tp11980 +a(g822 +V\u000a +p11981 +tp11982 +a(g7 +V +p11983 +tp11984 +a(g822 +g958 +tp11985 +a(g32 +V106 +p11986 +tp11987 +a(g408 +g1832 +tp11988 +a(g436 +VDetermine-Folder-Path +p11989 +tp11990 +a(g701 +g965 +tp11991 +a(g822 +V\u000a +p11992 +tp11993 +a(g7 +V +p11994 +tp11995 +a(g822 +V +p11996 +tp11997 +a(g789 +VMove +p11998 +tp11999 +a(g822 +g958 +tp12000 +a(g32 +V256 +p12001 +tp12002 +a(g781 +VTO +p12003 +tp12004 +a(g822 +g958 +tp12005 +a(g436 +g2314 +tp12006 +a(g822 +V\u000a +p12007 +tp12008 +a(g7 +VGC0909 +p12009 +tp12010 +a(g822 +V +p12011 +tp12012 +a(g789 +VIF +p12013 +tp12014 +a(g822 +g958 +tp12015 +a(g436 +VOS-Cygwin +p12016 +tp12017 +a(g822 +g958 +tp12018 +a(g422 +VAND +p12019 +tp12020 +a(g822 +g958 +tp12021 +a(g436 +VFile-Name +p12022 +tp12023 +a(g822 +g958 +tp12024 +a(g701 +g7240 +tp12025 +a(g32 +g2466 +tp12026 +a(g701 +g11392 +tp12027 +a(g32 +g1964 +tp12028 +a(g701 +g7247 +tp12029 +a(g822 +g958 +tp12030 +a(g408 +g7223 +tp12031 +a(g822 +g958 +tp12032 +a(g225 +V':' +p12033 +tp12034 +a(g822 +V\u000a +p12035 +tp12036 +a(g7 +VGC0909 +p12037 +tp12038 +a(g822 +V +p12039 +tp12040 +a(g789 +VMOVE +p12041 +tp12042 +a(g225 +V'\u005c' +p12043 +tp12044 +a(g822 +g958 +tp12045 +a(g781 +VTO +p12046 +tp12047 +a(g822 +g958 +tp12048 +a(g436 +VDir-Char +p12049 +tp12050 +a(g822 +V\u000a +p12051 +tp12052 +a(g7 +VGC0909 +p12053 +tp12054 +a(g822 +V +p12055 +tp12056 +a(g789 +VEND-IF\u000a +p12057 +tp12058 +a(g822 +g958 +tp12059 +a(g789 +VPERFORM +p12060 +tp12061 +a(g822 +g958 +tp12062 +a(g781 +VUNTIL +p12063 +tp12064 +a(g822 +g958 +tp12065 +a(g436 +g2314 +tp12066 +a(g822 +g958 +tp12067 +a(g408 +g7223 +tp12068 +a(g822 +g958 +tp12069 +a(g32 +V0 +p12070 +tp12071 +a(g422 +VOR +p12072 +tp12073 +a(g822 +g958 +tp12074 +a(g436 +VFN-Char +p12075 +tp12076 +a(g822 +g958 +tp12077 +a(g701 +g7240 +tp12078 +a(g436 +g2314 +tp12079 +a(g701 +g7247 +tp12080 +a(g822 +g958 +tp12081 +a(g408 +g7223 +tp12082 +a(g822 +g958 +tp12083 +a(g436 +VDir-Char +p12084 +tp12085 +a(g822 +V\u000a +p12086 +tp12087 +a(g7 +V +p12088 +tp12089 +a(g822 +V +p12090 +tp12091 +a(g789 +VSUBTRACT +p12092 +tp12093 +a(g822 +g958 +tp12094 +a(g32 +V1 +p12095 +tp12096 +a(g781 +VFROM +p12097 +tp12098 +a(g822 +g958 +tp12099 +a(g436 +g2314 +tp12100 +a(g822 +V\u000a +p12101 +tp12102 +a(g7 +V +p12103 +tp12104 +a(g822 +V +p12105 +tp12106 +a(g789 +VEND-PERFORM\u000a +p12107 +tp12108 +a(g822 +g958 +tp12109 +a(g789 +VIF +p12110 +tp12111 +a(g822 +g958 +tp12112 +a(g436 +g2314 +tp12113 +a(g822 +g958 +tp12114 +a(g408 +g7223 +tp12115 +a(g822 +g958 +tp12116 +a(g32 +V0\u000a +p12117 +tp12118 +a(g789 +VMOVE +p12119 +tp12120 +a(g822 +g958 +tp12121 +a(g561 +VSPACES +p12122 +tp12123 +a(g822 +g958 +tp12124 +a(g781 +VTO +p12125 +tp12126 +a(g822 +g958 +tp12127 +a(g436 +VProg-Folder +p12128 +tp12129 +a(g822 +V\u000a +p12130 +tp12131 +a(g7 +V +p12132 +tp12133 +a(g822 +V +p12134 +tp12135 +a(g789 +VMOVE +p12136 +tp12137 +a(g822 +g958 +tp12138 +a(g436 +VFile-Name +p12139 +tp12140 +a(g822 +g958 +tp12141 +a(g781 +VTO +p12142 +tp12143 +a(g822 +g958 +tp12144 +a(g436 +VProg-File-Name +p12145 +tp12146 +a(g822 +V\u000a +p12147 +tp12148 +a(g7 +V +p12149 +tp12150 +a(g822 +V +p12151 +tp12152 +a(g789 +VELSE\u000a +p12153 +tp12154 +a(g822 +g958 +tp12155 +a(g789 +VMOVE +p12156 +tp12157 +a(g225 +V'*' +p12158 +tp12159 +a(g822 +g958 +tp12160 +a(g781 +VTO +p12161 +tp12162 +a(g822 +g958 +tp12163 +a(g436 +VFN-Char +p12164 +tp12165 +a(g822 +g958 +tp12166 +a(g701 +g7240 +tp12167 +a(g436 +g2314 +tp12168 +a(g701 +g7247 +tp12169 +a(g822 +V\u000a +p12170 +tp12171 +a(g7 +V +p12172 +tp12173 +a(g822 +V +p12174 +tp12175 +a(g789 +VUNSTRING +p12176 +tp12177 +a(g822 +g958 +tp12178 +a(g436 +VFile-Name +p12179 +tp12180 +a(g822 +g958 +tp12181 +a(g781 +VDELIMITED +p12182 +tp12183 +a(g822 +g958 +tp12184 +a(g781 +VBY +p12185 +tp12186 +a(g225 +V'*' +p12187 +tp12188 +a(g822 +V\u000a +p12189 +tp12190 +a(g7 +V +p12191 +tp12192 +a(g822 +V +p12193 +tp12194 +a(g781 +VINTO +p12195 +tp12196 +a(g822 +g958 +tp12197 +a(g436 +VProg-Folder +p12198 +tp12199 +a(g822 +V\u000a +p12200 +tp12201 +a(g7 +V +p12202 +tp12203 +a(g822 +V +p12204 +tp12205 +a(g436 +VProg-File-Name +p12206 +tp12207 +a(g822 +V\u000a +p12208 +tp12209 +a(g7 +V +p12210 +tp12211 +a(g822 +V +p12212 +tp12213 +a(g789 +VEND-UNSTRING\u000a +p12214 +tp12215 +a(g822 +g958 +tp12216 +a(g789 +VMOVE +p12217 +tp12218 +a(g822 +g958 +tp12219 +a(g436 +VDir-Char +p12220 +tp12221 +a(g822 +g958 +tp12222 +a(g781 +VTO +p12223 +tp12224 +a(g822 +g958 +tp12225 +a(g436 +VFN-Char +p12226 +tp12227 +a(g822 +g958 +tp12228 +a(g701 +g7240 +tp12229 +a(g436 +g2314 +tp12230 +a(g701 +g7247 +tp12231 +a(g822 +V\u000a +p12232 +tp12233 +a(g7 +V +p12234 +tp12235 +a(g822 +V +p12236 +tp12237 +a(g789 +VEND-IF\u000a +p12238 +tp12239 +a(g822 +g958 +tp12240 +a(g789 +VUNSTRING +p12241 +tp12242 +a(g822 +g958 +tp12243 +a(g436 +VProg-File-Name +p12244 +tp12245 +a(g822 +g958 +tp12246 +a(g781 +VDELIMITED +p12247 +tp12248 +a(g822 +g958 +tp12249 +a(g781 +VBY +p12250 +tp12251 +a(g225 +V'.' +p12252 +tp12253 +a(g822 +V\u000a +p12254 +tp12255 +a(g7 +V +p12256 +tp12257 +a(g822 +V +p12258 +tp12259 +a(g781 +VINTO +p12260 +tp12261 +a(g822 +g958 +tp12262 +a(g436 +VProg-Name +p12263 +tp12264 +a(g701 +g2705 +tp12265 +a(g822 +g958 +tp12266 +a(g436 +VProg-Extension +p12267 +tp12268 +a(g822 +V\u000a +p12269 +tp12270 +a(g7 +V +p12271 +tp12272 +a(g822 +V +p12273 +tp12274 +a(g789 +VEND-UNSTRING\u000a +p12275 +tp12276 +a(g822 +g958 +tp12277 +a(g789 +VIF +p12278 +tp12279 +a(g822 +g958 +tp12280 +a(g436 +VProg-Folder +p12281 +tp12282 +a(g822 +g958 +tp12283 +a(g408 +g7223 +tp12284 +a(g822 +g958 +tp12285 +a(g561 +VSPACES\u000a +p12286 +tp12287 +a(g822 +g958 +tp12288 +a(g789 +VACCEPT +p12289 +tp12290 +a(g822 +g958 +tp12291 +a(g436 +VProg-Folder +p12292 +tp12293 +a(g822 +V\u000a +p12294 +tp12295 +a(g7 +V +p12296 +tp12297 +a(g822 +V +p12298 +tp12299 +a(g781 +VFROM +p12300 +tp12301 +a(g822 +g958 +tp12302 +a(g789 +VENVIRONMENT +p12303 +tp12304 +a(g225 +V'CD' +p12305 +tp12306 +a(g822 +V\u000a +p12307 +tp12308 +a(g7 +V +p12309 +tp12310 +a(g822 +V +p12311 +tp12312 +a(g789 +VEND-ACCEPT +p12313 +tp12314 +a(g822 +V\u000a +p12315 +tp12316 +a(g7 +VGC0909 +p12317 +tp12318 +a(g822 +V +p12319 +tp12320 +a(g789 +VELSE +p12321 +tp12322 +a(g822 +V\u000a +p12323 +tp12324 +a(g7 +VGC0909 +p12325 +tp12326 +a(g822 +V +p12327 +tp12328 +a(g789 +VCALL +p12329 +tp12330 +a(g152 +V"CBL_CHANGE_DIR" +p12331 +tp12332 +a(g822 +V\u000a +p12333 +tp12334 +a(g7 +VGC0909 +p12335 +tp12336 +a(g822 +V +p12337 +tp12338 +a(g781 +VUSING +p12339 +tp12340 +a(g822 +g958 +tp12341 +a(g569 +VTRIM +p12342 +tp12343 +a(g701 +g7240 +tp12344 +a(g436 +VProg-Folder +p12345 +tp12346 +a(g701 +g2705 +tp12347 +a(g781 +VTRAILING +p12348 +tp12349 +a(g701 +g7247 +tp12350 +a(g822 +V\u000a +p12351 +tp12352 +a(g7 +VGC0909 +p12353 +tp12354 +a(g822 +V +p12355 +tp12356 +a(g789 +VEND-CALL\u000a +p12357 +tp12358 +a(g822 +g958 +tp12359 +a(g789 +VEND-IF +p12360 +tp12361 +a(g822 +V\u000a +p12362 +tp12363 +a(g7 +VGC0909 +p12364 +tp12365 +a(g822 +V +p12366 +tp12367 +a(g789 +VIF +p12368 +tp12369 +a(g822 +g958 +tp12370 +a(g436 +VOS-Cygwin +p12371 +tp12372 +a(g822 +g958 +tp12373 +a(g422 +VAND +p12374 +tp12375 +a(g822 +g958 +tp12376 +a(g436 +VFile-Name +p12377 +tp12378 +a(g822 +g958 +tp12379 +a(g701 +g7240 +tp12380 +a(g32 +g2466 +tp12381 +a(g701 +g11392 +tp12382 +a(g32 +g1964 +tp12383 +a(g701 +g7247 +tp12384 +a(g822 +g958 +tp12385 +a(g408 +g7223 +tp12386 +a(g822 +g958 +tp12387 +a(g225 +V':' +p12388 +tp12389 +a(g822 +V\u000a +p12390 +tp12391 +a(g7 +VGC0909 +p12392 +tp12393 +a(g822 +V +p12394 +tp12395 +a(g789 +VMOVE +p12396 +tp12397 +a(g225 +V'/' +p12398 +tp12399 +a(g822 +g958 +tp12400 +a(g781 +VTO +p12401 +tp12402 +a(g822 +g958 +tp12403 +a(g436 +VDir-Char +p12404 +tp12405 +a(g822 +V\u000a +p12406 +tp12407 +a(g7 +VGC0909 +p12408 +tp12409 +a(g822 +V +p12410 +tp12411 +a(g789 +VEND-IF\u000a +p12412 +tp12413 +a(g701 +g965 +tp12414 +a(g822 +V\u000a +p12415 +tp12416 +a(g822 +V\u000a +p12417 +tp12418 +a(g7 +VGC0909 +p12419 +tp12420 +a(g822 +g958 +tp12421 +a(g32 +V107 +p12422 +tp12423 +a(g408 +g1832 +tp12424 +a(g436 +VOther +p12425 +tp12426 +a(g701 +g965 +tp12427 +a(g822 +V\u000a +p12428 +tp12429 +a(g7 +VGC0909 +p12430 +tp12431 +a(g822 +V +p12432 +tp12433 +a(g789 +VMOVE +p12434 +tp12435 +a(g822 +g958 +tp12436 +a(g781 +VALL +p12437 +tp12438 +a(g822 +g958 +tp12439 +a(g436 +VLD-Horiz-Line +p12440 +tp12441 +a(g822 +g958 +tp12442 +a(g781 +VTO +p12443 +tp12444 +a(g822 +g958 +tp12445 +a(g436 +VHorizontal-Line +p12446 +tp12447 +a(g701 +g965 +tp12448 +a(g822 +V\u000a +p12449 +tp12450 +a(g7 +VGC0410 +p12451 +tp12452 +a(g822 +V +p12453 +tp12454 +a(g789 +VMOVE +p12455 +tp12456 +a(g822 +g958 +tp12457 +a(g569 +VCONCATENATE +p12458 +tp12459 +a(g701 +g7240 +tp12460 +a(g225 +V' OCic for ' +p12461 +tp12462 +a(g701 +g2705 +tp12463 +a(g822 +V\u000a +p12464 +tp12465 +a(g7 +VGC0410 +p12466 +tp12467 +a(g822 +V +p12468 +tp12469 +a(g569 +VTRIM +p12470 +tp12471 +a(g701 +g7240 +tp12472 +a(g436 +VOS-Type-Literal +p12473 +tp12474 +a(g701 +g2705 +tp12475 +a(g781 +VTrailing +p12476 +tp12477 +a(g701 +g7247 +tp12478 +a(g701 +g2705 +tp12479 +a(g822 +V\u000a +p12480 +tp12481 +a(g7 +VGC0410 +p12482 +tp12483 +a(g822 +V +p12484 +tp12485 +a(g225 +V' Copyright (C) 2009-2010, Gary L. Cutler,' +p12486 +tp12487 +a(g701 +g2705 +tp12488 +a(g822 +V\u000a +p12489 +tp12490 +a(g7 +VGC0410 +p12491 +tp12492 +a(g822 +V +p12493 +tp12494 +a(g225 +V' GPL' +p12495 +tp12496 +a(g701 +g7247 +tp12497 +a(g822 +V\u000a +p12498 +tp12499 +a(g7 +VGC0410 +p12500 +tp12501 +a(g822 +V +p12502 +tp12503 +a(g781 +VTO +p12504 +tp12505 +a(g822 +g958 +tp12506 +a(g436 +VOutput-Message +p12507 +tp12508 +a(g701 +g965 +tp12509 +a(g822 +V\u000a +p12510 +tp12511 +a(g7 +VGC0909 +p12512 +tp12513 +a(g822 +V +p12514 +tp12515 +a(g701 +g965 +tp12516 +a(g822 +V\u000a +p12517 +tp12518 +a(g7 +VGC0909 +p12519 +tp12520 +a(g822 +V\u000a +p12521 +tp12522 +a(g7 +V +p12523 +tp12524 +a(g822 +g958 +tp12525 +a(g32 +V109 +p12526 +tp12527 +a(g408 +g1832 +tp12528 +a(g436 +VDone +p12529 +tp12530 +a(g701 +g965 +tp12531 +a(g822 +V\u000a +p12532 +tp12533 +a(g7 +V +p12534 +tp12535 +a(g822 +V +p12536 +tp12537 +a(g789 +VEXIT +p12538 +tp12539 +a(g701 +g965 +tp12540 +a(g822 +V\u000a +p12541 +tp12542 +a(g7 +V /\u000a +p12543 +tp12544 +a(g7 +V +p12545 +tp12546 +a(g822 +g958 +tp12547 +a(g32 +V200 +p12548 +tp12549 +a(g408 +g1832 +tp12550 +a(g436 +VLet-User-Set-Switches +p12551 +tp12552 +a(g822 +g958 +tp12553 +a(g789 +VSECTION +p12554 +tp12555 +a(g701 +g965 +tp12556 +a(g822 +V\u000a +p12557 +tp12558 +a(g7 +V *****************************************************************\u000a +p12559 +tp12560 +a(g7 +V ** Show the user the current switch settings and allow them to **\u000a +p12561 +tp12562 +a(g7 +V ** be changed. **\u000a +p12563 +tp12564 +a(g7 +V *****************************************************************\u000a +p12565 +tp12566 +a(g822 +V\u000a +p12567 +tp12568 +a(g7 +V +p12569 +tp12570 +a(g822 +g958 +tp12571 +a(g32 +V201 +p12572 +tp12573 +a(g408 +g1832 +tp12574 +a(g436 +VInit +p12575 +tp12576 +a(g701 +g965 +tp12577 +a(g822 +V\u000a +p12578 +tp12579 +a(g7 +V +p12580 +tp12581 +a(g822 +V +p12582 +tp12583 +a(g789 +VSET +p12584 +tp12585 +a(g822 +g958 +tp12586 +a(g32 +V88 +p12587 +tp12588 +a(g408 +g1832 +tp12589 +a(g436 +VSwitch-Changes +p12590 +tp12591 +a(g822 +g958 +tp12592 +a(g781 +VTO +p12593 +tp12594 +a(g822 +g958 +tp12595 +a(g677 +VTRUE\u000a +p12596 +tp12597 +a(g701 +g965 +tp12598 +a(g822 +V\u000a +p12599 +tp12600 +a(g822 +V\u000a +p12601 +tp12602 +a(g7 +V +p12603 +tp12604 +a(g822 +g958 +tp12605 +a(g32 +V202 +p12606 +tp12607 +a(g408 +g1832 +tp12608 +a(g436 +VShow-And-Change-Switches +p12609 +tp12610 +a(g701 +g965 +tp12611 +a(g822 +V\u000a +p12612 +tp12613 +a(g7 +V +p12614 +tp12615 +a(g822 +V +p12616 +tp12617 +a(g789 +VPERFORM +p12618 +tp12619 +a(g822 +g958 +tp12620 +a(g781 +VUNTIL +p12621 +tp12622 +a(g822 +g958 +tp12623 +a(g32 +V88 +p12624 +tp12625 +a(g408 +g1832 +tp12626 +a(g436 +VNo-Switch-Changes +p12627 +tp12628 +a(g822 +V\u000a +p12629 +tp12630 +a(g7 +V +p12631 +tp12632 +a(g822 +V +p12633 +tp12634 +a(g789 +VACCEPT\u000a +p12635 +tp12636 +a(g822 +g958 +tp12637 +a(g436 +VSwitches-Screen +p12638 +tp12639 +a(g822 +V\u000a +p12640 +tp12641 +a(g7 +V +p12642 +tp12643 +a(g822 +V +p12644 +tp12645 +a(g789 +VEND-ACCEPT\u000a +p12646 +tp12647 +a(g822 +g958 +tp12648 +a(g789 +VIF +p12649 +tp12650 +a(g822 +g958 +tp12651 +a(g436 +VCOB-CRT-STATUS +p12652 +tp12653 +a(g822 +g958 +tp12654 +a(g408 +V> +p12655 +tp12656 +a(g822 +g958 +tp12657 +a(g32 +V0\u000a +p12658 +tp12659 +a(g789 +VEVALUATE +p12660 +tp12661 +a(g822 +g958 +tp12662 +a(g436 +VCOB-CRT-STATUS +p12663 +tp12664 +a(g822 +V\u000a +p12665 +tp12666 +a(g7 +V +p12667 +tp12668 +a(g822 +V +p12669 +tp12670 +a(g781 +VWHEN +p12671 +tp12672 +a(g822 +g958 +tp12673 +a(g436 +VCOB-SCR-F1 +p12674 +tp12675 +a(g822 +V\u000a +p12676 +tp12677 +a(g7 +V +p12678 +tp12679 +a(g822 +V +p12680 +tp12681 +a(g789 +VIF +p12682 +tp12683 +a(g822 +g958 +tp12684 +a(g436 +VS-DEBUG +p12685 +tp12686 +a(g822 +g958 +tp12687 +a(g408 +g7223 +tp12688 +a(g822 +g958 +tp12689 +a(g561 +VSPACE\u000a +p12690 +tp12691 +a(g822 +g958 +tp12692 +a(g789 +VMOVE +p12693 +tp12694 +a(g822 +g958 +tp12695 +a(g436 +VSelection-Char +p12696 +tp12697 +a(g822 +g958 +tp12698 +a(g781 +VTO +p12699 +tp12700 +a(g822 +g958 +tp12701 +a(g436 +VS-DEBUG +p12702 +tp12703 +a(g822 +V\u000a +p12704 +tp12705 +a(g7 +V +p12706 +tp12707 +a(g822 +V +p12708 +tp12709 +a(g789 +VELSE\u000a +p12710 +tp12711 +a(g822 +g958 +tp12712 +a(g789 +VMOVE +p12713 +tp12714 +a(g225 +V' ' +p12715 +tp12716 +a(g822 +g958 +tp12717 +a(g781 +VTO +p12718 +tp12719 +a(g822 +g958 +tp12720 +a(g436 +VS-DEBUG +p12721 +tp12722 +a(g822 +V\u000a +p12723 +tp12724 +a(g7 +V +p12725 +tp12726 +a(g822 +V +p12727 +tp12728 +a(g789 +VEND-IF\u000a +p12729 +tp12730 +a(g822 +g958 +tp12731 +a(g781 +VWHEN +p12732 +tp12733 +a(g822 +g958 +tp12734 +a(g436 +VCOB-SCR-F2 +p12735 +tp12736 +a(g822 +V\u000a +p12737 +tp12738 +a(g7 +V +p12739 +tp12740 +a(g822 +V +p12741 +tp12742 +a(g789 +VIF +p12743 +tp12744 +a(g822 +g958 +tp12745 +a(g436 +VS-DLL +p12746 +tp12747 +a(g822 +g958 +tp12748 +a(g408 +g7223 +tp12749 +a(g822 +g958 +tp12750 +a(g561 +VSPACE\u000a +p12751 +tp12752 +a(g822 +g958 +tp12753 +a(g789 +VMOVE +p12754 +tp12755 +a(g822 +g958 +tp12756 +a(g436 +VSelection-Char +p12757 +tp12758 +a(g822 +g958 +tp12759 +a(g781 +VTO +p12760 +tp12761 +a(g822 +g958 +tp12762 +a(g436 +VS-DLL +p12763 +tp12764 +a(g822 +V\u000a +p12765 +tp12766 +a(g7 +V +p12767 +tp12768 +a(g822 +V +p12769 +tp12770 +a(g789 +VELSE\u000a +p12771 +tp12772 +a(g822 +g958 +tp12773 +a(g789 +VMOVE +p12774 +tp12775 +a(g225 +V' ' +p12776 +tp12777 +a(g822 +g958 +tp12778 +a(g781 +VTO +p12779 +tp12780 +a(g822 +g958 +tp12781 +a(g436 +VS-DLL +p12782 +tp12783 +a(g822 +V\u000a +p12784 +tp12785 +a(g7 +V +p12786 +tp12787 +a(g822 +V +p12788 +tp12789 +a(g789 +VEND-IF\u000a +p12790 +tp12791 +a(g822 +g958 +tp12792 +a(g781 +VWHEN +p12793 +tp12794 +a(g822 +g958 +tp12795 +a(g436 +VCOB-SCR-F3 +p12796 +tp12797 +a(g822 +V\u000a +p12798 +tp12799 +a(g7 +V +p12800 +tp12801 +a(g822 +V +p12802 +tp12803 +a(g789 +VIF +p12804 +tp12805 +a(g822 +g958 +tp12806 +a(g436 +VS-SUBROUTINE +p12807 +tp12808 +a(g822 +g958 +tp12809 +a(g408 +g7223 +tp12810 +a(g822 +g958 +tp12811 +a(g561 +VSPACE\u000a +p12812 +tp12813 +a(g822 +g958 +tp12814 +a(g789 +VMOVE +p12815 +tp12816 +a(g822 +g958 +tp12817 +a(g436 +VSelection-Char +p12818 +tp12819 +a(g822 +g958 +tp12820 +a(g781 +VTO +p12821 +tp12822 +a(g822 +g958 +tp12823 +a(g436 +VS-SUBROUTINE +p12824 +tp12825 +a(g822 +V\u000a +p12826 +tp12827 +a(g7 +V +p12828 +tp12829 +a(g822 +V +p12830 +tp12831 +a(g789 +VMOVE +p12832 +tp12833 +a(g225 +V' ' +p12834 +tp12835 +a(g822 +g958 +tp12836 +a(g781 +VTO +p12837 +tp12838 +a(g822 +g958 +tp12839 +a(g436 +VS-EXECUTE +p12840 +tp12841 +a(g822 +V\u000a +p12842 +tp12843 +a(g7 +V +p12844 +tp12845 +a(g822 +V +p12846 +tp12847 +a(g789 +VELSE\u000a +p12848 +tp12849 +a(g822 +g958 +tp12850 +a(g789 +VMOVE +p12851 +tp12852 +a(g225 +V' ' +p12853 +tp12854 +a(g822 +g958 +tp12855 +a(g781 +VTO +p12856 +tp12857 +a(g822 +g958 +tp12858 +a(g436 +VS-SUBROUTINE +p12859 +tp12860 +a(g822 +V\u000a +p12861 +tp12862 +a(g7 +V +p12863 +tp12864 +a(g822 +V +p12865 +tp12866 +a(g789 +VEND-IF\u000a +p12867 +tp12868 +a(g822 +g958 +tp12869 +a(g781 +VWHEN +p12870 +tp12871 +a(g822 +g958 +tp12872 +a(g436 +VCOB-SCR-F4 +p12873 +tp12874 +a(g822 +V\u000a +p12875 +tp12876 +a(g7 +V +p12877 +tp12878 +a(g822 +V +p12879 +tp12880 +a(g789 +VIF +p12881 +tp12882 +a(g822 +g958 +tp12883 +a(g436 +VS-EXECUTE +p12884 +tp12885 +a(g822 +g958 +tp12886 +a(g408 +g7223 +tp12887 +a(g822 +g958 +tp12888 +a(g561 +VSPACE\u000a +p12889 +tp12890 +a(g822 +g958 +tp12891 +a(g422 +VAND +p12892 +tp12893 +a(g822 +g958 +tp12894 +a(g436 +VS-SUBROUTINE +p12895 +tp12896 +a(g822 +g958 +tp12897 +a(g408 +g7223 +tp12898 +a(g822 +g958 +tp12899 +a(g561 +VSPACE\u000a +p12900 +tp12901 +a(g822 +g958 +tp12902 +a(g789 +VMOVE +p12903 +tp12904 +a(g822 +g958 +tp12905 +a(g436 +VSelection-Char +p12906 +tp12907 +a(g822 +g958 +tp12908 +a(g781 +VTO +p12909 +tp12910 +a(g822 +g958 +tp12911 +a(g436 +VS-EXECUTE +p12912 +tp12913 +a(g822 +V\u000a +p12914 +tp12915 +a(g7 +V +p12916 +tp12917 +a(g822 +V +p12918 +tp12919 +a(g789 +VELSE\u000a +p12920 +tp12921 +a(g822 +g958 +tp12922 +a(g789 +VMOVE +p12923 +tp12924 +a(g225 +V' ' +p12925 +tp12926 +a(g822 +g958 +tp12927 +a(g781 +VTO +p12928 +tp12929 +a(g822 +g958 +tp12930 +a(g436 +VS-EXECUTE +p12931 +tp12932 +a(g822 +V\u000a +p12933 +tp12934 +a(g7 +V +p12935 +tp12936 +a(g822 +V +p12937 +tp12938 +a(g789 +VEND-IF\u000a +p12939 +tp12940 +a(g822 +g958 +tp12941 +a(g781 +VWHEN +p12942 +tp12943 +a(g822 +g958 +tp12944 +a(g436 +VCOB-SCR-F5 +p12945 +tp12946 +a(g822 +V\u000a +p12947 +tp12948 +a(g7 +V +p12949 +tp12950 +a(g822 +V +p12951 +tp12952 +a(g789 +VIF +p12953 +tp12954 +a(g822 +g958 +tp12955 +a(g436 +VS-NOTRUNC +p12956 +tp12957 +a(g822 +g958 +tp12958 +a(g408 +g7223 +tp12959 +a(g822 +g958 +tp12960 +a(g561 +VSPACE\u000a +p12961 +tp12962 +a(g822 +g958 +tp12963 +a(g789 +VMOVE +p12964 +tp12965 +a(g822 +g958 +tp12966 +a(g436 +VSelection-Char +p12967 +tp12968 +a(g822 +g958 +tp12969 +a(g781 +VTO +p12970 +tp12971 +a(g822 +g958 +tp12972 +a(g436 +VS-NOTRUNC +p12973 +tp12974 +a(g822 +V\u000a +p12975 +tp12976 +a(g7 +V +p12977 +tp12978 +a(g822 +V +p12979 +tp12980 +a(g789 +VELSE\u000a +p12981 +tp12982 +a(g822 +g958 +tp12983 +a(g789 +VMOVE +p12984 +tp12985 +a(g225 +V' ' +p12986 +tp12987 +a(g822 +g958 +tp12988 +a(g781 +VTO +p12989 +tp12990 +a(g822 +g958 +tp12991 +a(g436 +VS-NOTRUNC +p12992 +tp12993 +a(g822 +V\u000a +p12994 +tp12995 +a(g7 +V +p12996 +tp12997 +a(g822 +V +p12998 +tp12999 +a(g789 +VEND-IF\u000a +p13000 +tp13001 +a(g822 +g958 +tp13002 +a(g781 +VWHEN +p13003 +tp13004 +a(g822 +g958 +tp13005 +a(g436 +VCOB-SCR-F6 +p13006 +tp13007 +a(g822 +V\u000a +p13008 +tp13009 +a(g7 +V +p13010 +tp13011 +a(g822 +V +p13012 +tp13013 +a(g789 +VIF +p13014 +tp13015 +a(g822 +g958 +tp13016 +a(g436 +VS-TRACE +p13017 +tp13018 +a(g822 +g958 +tp13019 +a(g408 +g7223 +tp13020 +a(g822 +g958 +tp13021 +a(g561 +VSPACE\u000a +p13022 +tp13023 +a(g822 +g958 +tp13024 +a(g789 +VMOVE +p13025 +tp13026 +a(g822 +g958 +tp13027 +a(g436 +VSelection-Char +p13028 +tp13029 +a(g822 +g958 +tp13030 +a(g781 +VTO +p13031 +tp13032 +a(g822 +g958 +tp13033 +a(g436 +VS-TRACE +p13034 +tp13035 +a(g822 +V\u000a +p13036 +tp13037 +a(g7 +V +p13038 +tp13039 +a(g822 +V +p13040 +tp13041 +a(g789 +VMOVE +p13042 +tp13043 +a(g225 +V' ' +p13044 +tp13045 +a(g822 +g958 +tp13046 +a(g781 +VTO +p13047 +tp13048 +a(g822 +g958 +tp13049 +a(g436 +VS-TRACEALL +p13050 +tp13051 +a(g822 +V\u000a +p13052 +tp13053 +a(g7 +V +p13054 +tp13055 +a(g822 +V +p13056 +tp13057 +a(g789 +VELSE\u000a +p13058 +tp13059 +a(g822 +g958 +tp13060 +a(g789 +VMOVE +p13061 +tp13062 +a(g225 +V' ' +p13063 +tp13064 +a(g822 +g958 +tp13065 +a(g781 +VTO +p13066 +tp13067 +a(g822 +g958 +tp13068 +a(g436 +VS-TRACE +p13069 +tp13070 +a(g822 +V\u000a +p13071 +tp13072 +a(g7 +V +p13073 +tp13074 +a(g822 +V +p13075 +tp13076 +a(g789 +VEND-IF\u000a +p13077 +tp13078 +a(g822 +g958 +tp13079 +a(g781 +VWHEN +p13080 +tp13081 +a(g822 +g958 +tp13082 +a(g436 +VCOB-SCR-F7 +p13083 +tp13084 +a(g822 +V\u000a +p13085 +tp13086 +a(g7 +V +p13087 +tp13088 +a(g822 +V +p13089 +tp13090 +a(g789 +VIF +p13091 +tp13092 +a(g822 +g958 +tp13093 +a(g436 +VS-TRACEALL +p13094 +tp13095 +a(g822 +g958 +tp13096 +a(g408 +g7223 +tp13097 +a(g822 +g958 +tp13098 +a(g561 +VSPACE\u000a +p13099 +tp13100 +a(g822 +g958 +tp13101 +a(g789 +VMOVE +p13102 +tp13103 +a(g822 +g958 +tp13104 +a(g436 +VSelection-Char +p13105 +tp13106 +a(g822 +g958 +tp13107 +a(g781 +VTO +p13108 +tp13109 +a(g822 +g958 +tp13110 +a(g436 +VS-TRACEALL +p13111 +tp13112 +a(g822 +V\u000a +p13113 +tp13114 +a(g7 +V +p13115 +tp13116 +a(g822 +V +p13117 +tp13118 +a(g789 +VMOVE +p13119 +tp13120 +a(g225 +V' ' +p13121 +tp13122 +a(g822 +g958 +tp13123 +a(g781 +VTO +p13124 +tp13125 +a(g822 +g958 +tp13126 +a(g436 +VS-TRACE +p13127 +tp13128 +a(g822 +V\u000a +p13129 +tp13130 +a(g7 +V +p13131 +tp13132 +a(g822 +V +p13133 +tp13134 +a(g789 +VELSE\u000a +p13135 +tp13136 +a(g822 +g958 +tp13137 +a(g789 +VMOVE +p13138 +tp13139 +a(g225 +V' ' +p13140 +tp13141 +a(g822 +g958 +tp13142 +a(g781 +VTO +p13143 +tp13144 +a(g822 +g958 +tp13145 +a(g436 +VS-TRACEALL +p13146 +tp13147 +a(g822 +V\u000a +p13148 +tp13149 +a(g7 +V +p13150 +tp13151 +a(g822 +V +p13152 +tp13153 +a(g789 +VEND-IF +p13154 +tp13155 +a(g822 +V\u000a +p13156 +tp13157 +a(g7 +VGC0410 +p13158 +tp13159 +a(g822 +V +p13160 +tp13161 +a(g781 +VWHEN +p13162 +tp13163 +a(g822 +g958 +tp13164 +a(g436 +VCOB-SCR-F8 +p13165 +tp13166 +a(g822 +V\u000a +p13167 +tp13168 +a(g7 +VGC0410 +p13169 +tp13170 +a(g822 +V +p13171 +tp13172 +a(g789 +VIF +p13173 +tp13174 +a(g822 +g958 +tp13175 +a(g436 +VS-SOURCE +p13176 +tp13177 +a(g822 +g958 +tp13178 +a(g408 +g7223 +tp13179 +a(g822 +g958 +tp13180 +a(g561 +VSPACE +p13181 +tp13182 +a(g822 +V\u000a +p13183 +tp13184 +a(g7 +VGC0410 +p13185 +tp13186 +a(g822 +V +p13187 +tp13188 +a(g789 +VMOVE +p13189 +tp13190 +a(g822 +g958 +tp13191 +a(g436 +VSelection-Char +p13192 +tp13193 +a(g822 +g958 +tp13194 +a(g781 +VTO +p13195 +tp13196 +a(g822 +g958 +tp13197 +a(g436 +VS-SOURCE +p13198 +tp13199 +a(g822 +V\u000a +p13200 +tp13201 +a(g7 +VGC0410 +p13202 +tp13203 +a(g822 +V +p13204 +tp13205 +a(g789 +VELSE +p13206 +tp13207 +a(g822 +V\u000a +p13208 +tp13209 +a(g7 +VGC0410 +p13210 +tp13211 +a(g822 +V +p13212 +tp13213 +a(g789 +VMOVE +p13214 +tp13215 +a(g225 +V' ' +p13216 +tp13217 +a(g822 +g958 +tp13218 +a(g781 +VTO +p13219 +tp13220 +a(g822 +g958 +tp13221 +a(g436 +VS-SOURCE +p13222 +tp13223 +a(g822 +V\u000a +p13224 +tp13225 +a(g7 +VGC0410 +p13226 +tp13227 +a(g822 +V +p13228 +tp13229 +a(g789 +VEND-IF +p13230 +tp13231 +a(g822 +V\u000a +p13232 +tp13233 +a(g7 +VGC0410 +p13234 +tp13235 +a(g822 +V +p13236 +tp13237 +a(g781 +VWHEN +p13238 +tp13239 +a(g822 +g958 +tp13240 +a(g436 +VCOB-SCR-F9 +p13241 +tp13242 +a(g822 +V\u000a +p13243 +tp13244 +a(g7 +VGC0410 +p13245 +tp13246 +a(g822 +V +p13247 +tp13248 +a(g789 +VIF +p13249 +tp13250 +a(g822 +g958 +tp13251 +a(g436 +VS-XREF +p13252 +tp13253 +a(g822 +g958 +tp13254 +a(g408 +g7223 +tp13255 +a(g822 +g958 +tp13256 +a(g561 +VSPACE +p13257 +tp13258 +a(g822 +V\u000a +p13259 +tp13260 +a(g7 +VGC0410 +p13261 +tp13262 +a(g822 +V +p13263 +tp13264 +a(g789 +VMOVE +p13265 +tp13266 +a(g822 +g958 +tp13267 +a(g436 +VSelection-Char +p13268 +tp13269 +a(g822 +g958 +tp13270 +a(g781 +VTO +p13271 +tp13272 +a(g822 +g958 +tp13273 +a(g436 +VS-XREF +p13274 +tp13275 +a(g822 +V\u000a +p13276 +tp13277 +a(g7 +VGC0410 +p13278 +tp13279 +a(g822 +V +p13280 +tp13281 +a(g789 +VELSE +p13282 +tp13283 +a(g822 +V\u000a +p13284 +tp13285 +a(g7 +VGC0410 +p13286 +tp13287 +a(g822 +V +p13288 +tp13289 +a(g789 +VMOVE +p13290 +tp13291 +a(g225 +V' ' +p13292 +tp13293 +a(g822 +g958 +tp13294 +a(g781 +VTO +p13295 +tp13296 +a(g822 +g958 +tp13297 +a(g436 +VS-XREF +p13298 +tp13299 +a(g822 +V\u000a +p13300 +tp13301 +a(g7 +VGC0410 +p13302 +tp13303 +a(g822 +V +p13304 +tp13305 +a(g789 +VEND-IF\u000a +p13306 +tp13307 +a(g822 +g958 +tp13308 +a(g781 +VWHEN +p13309 +tp13310 +a(g822 +g958 +tp13311 +a(g436 +VCOB-SCR-ESC +p13312 +tp13313 +a(g822 +V\u000a +p13314 +tp13315 +a(g7 +V +p13316 +tp13317 +a(g822 +V +p13318 +tp13319 +a(g789 +VPERFORM +p13320 +tp13321 +a(g822 +g958 +tp13322 +a(g32 +V900 +p13323 +tp13324 +a(g408 +g1832 +tp13325 +a(g436 +VTerminate +p13326 +tp13327 +a(g822 +V\u000a +p13328 +tp13329 +a(g7 +VGC0310 +p13330 +tp13331 +a(g822 +V +p13332 +tp13333 +a(g781 +VWHEN +p13334 +tp13335 +a(g822 +g958 +tp13336 +a(g436 +VCK-S-F1 +p13337 +tp13338 +a(g822 +V\u000a +p13339 +tp13340 +a(g7 +V +p13341 +tp13342 +a(g822 +V +p13343 +tp13344 +a(g789 +VMOVE +p13345 +tp13346 +a(g822 +g958 +tp13347 +a(g561 +VSPACES +p13348 +tp13349 +a(g822 +g958 +tp13350 +a(g781 +VTO +p13351 +tp13352 +a(g822 +g958 +tp13353 +a(g436 +VS-CfgS +p13354 +tp13355 +a(g822 +V\u000a +p13356 +tp13357 +a(g7 +V +p13358 +tp13359 +a(g822 +V +p13360 +tp13361 +a(g789 +VMOVE +p13362 +tp13363 +a(g822 +g958 +tp13364 +a(g436 +VSelection-Char +p13365 +tp13366 +a(g822 +g958 +tp13367 +a(g781 +VTO +p13368 +tp13369 +a(g822 +g958 +tp13370 +a(g436 +VS-Cfg-BS2000 +p13371 +tp13372 +a(g822 +V\u000a +p13373 +tp13374 +a(g7 +VGC0310 +p13375 +tp13376 +a(g822 +V +p13377 +tp13378 +a(g781 +VWHEN +p13379 +tp13380 +a(g822 +g958 +tp13381 +a(g436 +VCK-S-F2 +p13382 +tp13383 +a(g822 +V\u000a +p13384 +tp13385 +a(g7 +V +p13386 +tp13387 +a(g822 +V +p13388 +tp13389 +a(g789 +VMOVE +p13390 +tp13391 +a(g822 +g958 +tp13392 +a(g561 +VSPACES +p13393 +tp13394 +a(g822 +g958 +tp13395 +a(g781 +VTO +p13396 +tp13397 +a(g822 +g958 +tp13398 +a(g436 +VS-CfgS +p13399 +tp13400 +a(g822 +V\u000a +p13401 +tp13402 +a(g7 +V +p13403 +tp13404 +a(g822 +V +p13405 +tp13406 +a(g789 +VMOVE +p13407 +tp13408 +a(g822 +g958 +tp13409 +a(g436 +VSelection-Char +p13410 +tp13411 +a(g822 +g958 +tp13412 +a(g781 +VTO +p13413 +tp13414 +a(g822 +g958 +tp13415 +a(g436 +VS-Cfg-COBOL85 +p13416 +tp13417 +a(g822 +V\u000a +p13418 +tp13419 +a(g7 +VGC0310 +p13420 +tp13421 +a(g822 +V +p13422 +tp13423 +a(g781 +VWHEN +p13424 +tp13425 +a(g822 +g958 +tp13426 +a(g436 +VCK-S-F3 +p13427 +tp13428 +a(g822 +V\u000a +p13429 +tp13430 +a(g7 +V +p13431 +tp13432 +a(g822 +V +p13433 +tp13434 +a(g789 +VMOVE +p13435 +tp13436 +a(g822 +g958 +tp13437 +a(g561 +VSPACES +p13438 +tp13439 +a(g822 +g958 +tp13440 +a(g781 +VTO +p13441 +tp13442 +a(g822 +g958 +tp13443 +a(g436 +VS-CfgS +p13444 +tp13445 +a(g822 +V\u000a +p13446 +tp13447 +a(g7 +V +p13448 +tp13449 +a(g822 +V +p13450 +tp13451 +a(g789 +VMOVE +p13452 +tp13453 +a(g822 +g958 +tp13454 +a(g436 +VSelection-Char +p13455 +tp13456 +a(g822 +g958 +tp13457 +a(g781 +VTO +p13458 +tp13459 +a(g822 +g958 +tp13460 +a(g436 +VS-Cfg-COBOL2002 +p13461 +tp13462 +a(g822 +V\u000a +p13463 +tp13464 +a(g7 +VGC0310 +p13465 +tp13466 +a(g822 +V +p13467 +tp13468 +a(g781 +VWHEN +p13469 +tp13470 +a(g822 +g958 +tp13471 +a(g436 +VCK-S-F4 +p13472 +tp13473 +a(g822 +V\u000a +p13474 +tp13475 +a(g7 +V +p13476 +tp13477 +a(g822 +V +p13478 +tp13479 +a(g789 +VMOVE +p13480 +tp13481 +a(g822 +g958 +tp13482 +a(g561 +VSPACES +p13483 +tp13484 +a(g822 +g958 +tp13485 +a(g781 +VTO +p13486 +tp13487 +a(g822 +g958 +tp13488 +a(g436 +VS-CfgS +p13489 +tp13490 +a(g822 +V\u000a +p13491 +tp13492 +a(g7 +V +p13493 +tp13494 +a(g822 +V +p13495 +tp13496 +a(g789 +VMOVE +p13497 +tp13498 +a(g822 +g958 +tp13499 +a(g436 +VSelection-Char +p13500 +tp13501 +a(g822 +g958 +tp13502 +a(g781 +VTO +p13503 +tp13504 +a(g822 +g958 +tp13505 +a(g436 +VS-Cfg-DEFAULT +p13506 +tp13507 +a(g822 +V\u000a +p13508 +tp13509 +a(g7 +VGC0310 +p13510 +tp13511 +a(g822 +V +p13512 +tp13513 +a(g781 +VWHEN +p13514 +tp13515 +a(g822 +g958 +tp13516 +a(g436 +VCK-S-F5 +p13517 +tp13518 +a(g822 +V\u000a +p13519 +tp13520 +a(g7 +V +p13521 +tp13522 +a(g822 +V +p13523 +tp13524 +a(g789 +VMOVE +p13525 +tp13526 +a(g822 +g958 +tp13527 +a(g561 +VSPACES +p13528 +tp13529 +a(g822 +g958 +tp13530 +a(g781 +VTO +p13531 +tp13532 +a(g822 +g958 +tp13533 +a(g436 +VS-CfgS +p13534 +tp13535 +a(g822 +V\u000a +p13536 +tp13537 +a(g7 +V +p13538 +tp13539 +a(g822 +V +p13540 +tp13541 +a(g789 +VMOVE +p13542 +tp13543 +a(g822 +g958 +tp13544 +a(g436 +VSelection-Char +p13545 +tp13546 +a(g822 +g958 +tp13547 +a(g781 +VTO +p13548 +tp13549 +a(g822 +g958 +tp13550 +a(g436 +VS-Cfg-IBM +p13551 +tp13552 +a(g822 +V\u000a +p13553 +tp13554 +a(g7 +VGC0310 +p13555 +tp13556 +a(g822 +V +p13557 +tp13558 +a(g781 +VWHEN +p13559 +tp13560 +a(g822 +g958 +tp13561 +a(g436 +VCK-S-F6 +p13562 +tp13563 +a(g822 +V\u000a +p13564 +tp13565 +a(g7 +V +p13566 +tp13567 +a(g822 +V +p13568 +tp13569 +a(g789 +VMOVE +p13570 +tp13571 +a(g822 +g958 +tp13572 +a(g561 +VSPACES +p13573 +tp13574 +a(g822 +g958 +tp13575 +a(g781 +VTO +p13576 +tp13577 +a(g822 +g958 +tp13578 +a(g436 +VS-CfgS +p13579 +tp13580 +a(g822 +V\u000a +p13581 +tp13582 +a(g7 +V +p13583 +tp13584 +a(g822 +V +p13585 +tp13586 +a(g789 +VMOVE +p13587 +tp13588 +a(g822 +g958 +tp13589 +a(g436 +VSelection-Char +p13590 +tp13591 +a(g822 +g958 +tp13592 +a(g781 +VTO +p13593 +tp13594 +a(g822 +g958 +tp13595 +a(g436 +VS-Cfg-MF +p13596 +tp13597 +a(g822 +V\u000a +p13598 +tp13599 +a(g7 +VGC0310 +p13600 +tp13601 +a(g822 +V +p13602 +tp13603 +a(g781 +VWHEN +p13604 +tp13605 +a(g822 +g958 +tp13606 +a(g436 +VCK-S-F7 +p13607 +tp13608 +a(g822 +V\u000a +p13609 +tp13610 +a(g7 +V +p13611 +tp13612 +a(g822 +V +p13613 +tp13614 +a(g789 +VMOVE +p13615 +tp13616 +a(g822 +g958 +tp13617 +a(g561 +VSPACES +p13618 +tp13619 +a(g822 +g958 +tp13620 +a(g781 +VTO +p13621 +tp13622 +a(g822 +g958 +tp13623 +a(g436 +VS-CfgS +p13624 +tp13625 +a(g822 +V\u000a +p13626 +tp13627 +a(g7 +V +p13628 +tp13629 +a(g822 +V +p13630 +tp13631 +a(g789 +VMOVE +p13632 +tp13633 +a(g822 +g958 +tp13634 +a(g436 +VSelection-Char +p13635 +tp13636 +a(g822 +g958 +tp13637 +a(g781 +VTO +p13638 +tp13639 +a(g822 +g958 +tp13640 +a(g436 +VS-Cfg-MVS +p13641 +tp13642 +a(g822 +V\u000a +p13643 +tp13644 +a(g7 +V +p13645 +tp13646 +a(g822 +V +p13647 +tp13648 +a(g781 +VWHEN +p13649 +tp13650 +a(g822 +g958 +tp13651 +a(g781 +VOTHER\u000a +p13652 +tp13653 +a(g822 +g958 +tp13654 +a(g789 +VMOVE +p13655 +tp13656 +a(g225 +V'An unsupported key was pressed' +p13657 +tp13658 +a(g822 +V\u000a +p13659 +tp13660 +a(g7 +V +p13661 +tp13662 +a(g822 +V +p13663 +tp13664 +a(g781 +VTO +p13665 +tp13666 +a(g822 +g958 +tp13667 +a(g436 +VOutput-Message +p13668 +tp13669 +a(g822 +V\u000a +p13670 +tp13671 +a(g7 +V +p13672 +tp13673 +a(g822 +V +p13674 +tp13675 +a(g789 +VEND-EVALUATE\u000a +p13676 +tp13677 +a(g822 +g958 +tp13678 +a(g789 +VELSE\u000a +p13679 +tp13680 +a(g822 +g958 +tp13681 +a(g789 +VSET +p13682 +tp13683 +a(g822 +g958 +tp13684 +a(g32 +V88 +p13685 +tp13686 +a(g408 +g1832 +tp13687 +a(g436 +VNo-Switch-Changes +p13688 +tp13689 +a(g822 +g958 +tp13690 +a(g781 +VTO +p13691 +tp13692 +a(g822 +g958 +tp13693 +a(g677 +VTRUE\u000a +p13694 +tp13695 +a(g822 +g958 +tp13696 +a(g789 +VEND-IF\u000a +p13697 +tp13698 +a(g822 +g958 +tp13699 +a(g789 +VEND-PERFORM\u000a +p13700 +tp13701 +a(g701 +g965 +tp13702 +a(g822 +V\u000a +p13703 +tp13704 +a(g822 +V\u000a +p13705 +tp13706 +a(g7 +V +p13707 +tp13708 +a(g822 +g958 +tp13709 +a(g32 +V209 +p13710 +tp13711 +a(g408 +g1832 +tp13712 +a(g436 +VDone +p13713 +tp13714 +a(g701 +g965 +tp13715 +a(g822 +V\u000a +p13716 +tp13717 +a(g7 +V +p13718 +tp13719 +a(g822 +V +p13720 +tp13721 +a(g789 +VEXIT +p13722 +tp13723 +a(g701 +g965 +tp13724 +a(g822 +V\u000a +p13725 +tp13726 +a(g7 +V /\u000a +p13727 +tp13728 +a(g7 +V +p13729 +tp13730 +a(g822 +g958 +tp13731 +a(g32 +V210 +p13732 +tp13733 +a(g408 +g1832 +tp13734 +a(g436 +VRun-Compiler +p13735 +tp13736 +a(g822 +g958 +tp13737 +a(g789 +VSECTION +p13738 +tp13739 +a(g701 +g965 +tp13740 +a(g822 +V\u000a +p13741 +tp13742 +a(g7 +V *****************************************************************\u000a +p13743 +tp13744 +a(g7 +V ** Run the compiler using the switch settings we've prepared. **\u000a +p13745 +tp13746 +a(g7 +V *****************************************************************\u000a +p13747 +tp13748 +a(g822 +V\u000a +p13749 +tp13750 +a(g7 +V +p13751 +tp13752 +a(g822 +g958 +tp13753 +a(g32 +V211 +p13754 +tp13755 +a(g408 +g1832 +tp13756 +a(g436 +VInit +p13757 +tp13758 +a(g701 +g965 +tp13759 +a(g822 +V\u000a +p13760 +tp13761 +a(g7 +V +p13762 +tp13763 +a(g822 +V +p13764 +tp13765 +a(g789 +VMOVE +p13766 +tp13767 +a(g822 +g958 +tp13768 +a(g561 +VSPACES +p13769 +tp13770 +a(g822 +g958 +tp13771 +a(g781 +VTO +p13772 +tp13773 +a(g822 +g958 +tp13774 +a(g436 +VCmd +p13775 +tp13776 +a(g822 +V\u000a +p13777 +tp13778 +a(g7 +V +p13779 +tp13780 +a(g822 +V +p13781 +tp13782 +a(g436 +VCobc-Cmd +p13783 +tp13784 +a(g822 +V\u000a +p13785 +tp13786 +a(g7 +V +p13787 +tp13788 +a(g822 +V +p13789 +tp13790 +a(g436 +VOutput-Message +p13791 +tp13792 +a(g822 +V\u000a +p13793 +tp13794 +a(g7 +V +p13795 +tp13796 +a(g822 +V +p13797 +tp13798 +a(g789 +VDISPLAY\u000a +p13799 +tp13800 +a(g822 +g958 +tp13801 +a(g436 +VSwitches-Screen +p13802 +tp13803 +a(g822 +V\u000a +p13804 +tp13805 +a(g7 +V +p13806 +tp13807 +a(g822 +V +p13808 +tp13809 +a(g789 +VEND-DISPLAY\u000a +p13810 +tp13811 +a(g822 +g958 +tp13812 +a(g789 +VMOVE +p13813 +tp13814 +a(g822 +g958 +tp13815 +a(g32 +V1 +p13816 +tp13817 +a(g781 +VTO +p13818 +tp13819 +a(g822 +g958 +tp13820 +a(g436 +g2314 +tp13821 +a(g822 +V\u000a +p13822 +tp13823 +a(g7 +V +p13824 +tp13825 +a(g822 +V +p13826 +tp13827 +a(g789 +VEVALUATE +p13828 +tp13829 +a(g822 +g958 +tp13830 +a(g677 +VTRUE\u000a +p13831 +tp13832 +a(g822 +g958 +tp13833 +a(g781 +VWHEN +p13834 +tp13835 +a(g822 +g958 +tp13836 +a(g436 +VS-Cfg-BS2000 +p13837 +tp13838 +a(g822 +g958 +tp13839 +a(g422 +VNOT +p13840 +tp13841 +a(g408 +g7223 +tp13842 +a(g822 +g958 +tp13843 +a(g561 +VSPACES\u000a +p13844 +tp13845 +a(g822 +g958 +tp13846 +a(g789 +VMOVE +p13847 +tp13848 +a(g225 +V'bs2000' +p13849 +tp13850 +a(g822 +g958 +tp13851 +a(g781 +VTO +p13852 +tp13853 +a(g822 +g958 +tp13854 +a(g436 +VConfig-File +p13855 +tp13856 +a(g822 +V\u000a +p13857 +tp13858 +a(g7 +V +p13859 +tp13860 +a(g822 +V +p13861 +tp13862 +a(g781 +VWHEN +p13863 +tp13864 +a(g822 +g958 +tp13865 +a(g436 +VS-Cfg-COBOL85 +p13866 +tp13867 +a(g822 +V +p13868 +tp13869 +a(g422 +VNOT +p13870 +tp13871 +a(g408 +g7223 +tp13872 +a(g822 +g958 +tp13873 +a(g561 +VSPACES\u000a +p13874 +tp13875 +a(g822 +g958 +tp13876 +a(g789 +VMOVE +p13877 +tp13878 +a(g225 +V'cobol85' +p13879 +tp13880 +a(g822 +g958 +tp13881 +a(g781 +VTO +p13882 +tp13883 +a(g822 +g958 +tp13884 +a(g436 +VConfig-File +p13885 +tp13886 +a(g822 +V\u000a +p13887 +tp13888 +a(g7 +V +p13889 +tp13890 +a(g822 +V +p13891 +tp13892 +a(g781 +VWHEN +p13893 +tp13894 +a(g822 +g958 +tp13895 +a(g436 +VS-Cfg-COBOL2002 +p13896 +tp13897 +a(g822 +V +p13898 +tp13899 +a(g422 +VNOT +p13900 +tp13901 +a(g408 +g7223 +tp13902 +a(g822 +g958 +tp13903 +a(g561 +VSPACES\u000a +p13904 +tp13905 +a(g822 +g958 +tp13906 +a(g789 +VMOVE +p13907 +tp13908 +a(g225 +V'cobol2002' +p13909 +tp13910 +a(g822 +g958 +tp13911 +a(g781 +VTO +p13912 +tp13913 +a(g822 +g958 +tp13914 +a(g436 +VConfig-File +p13915 +tp13916 +a(g822 +V\u000a +p13917 +tp13918 +a(g7 +V +p13919 +tp13920 +a(g822 +V +p13921 +tp13922 +a(g781 +VWHEN +p13923 +tp13924 +a(g822 +g958 +tp13925 +a(g436 +VS-Cfg-IBM +p13926 +tp13927 +a(g822 +V +p13928 +tp13929 +a(g422 +VNOT +p13930 +tp13931 +a(g408 +g7223 +tp13932 +a(g822 +g958 +tp13933 +a(g561 +VSPACES\u000a +p13934 +tp13935 +a(g822 +g958 +tp13936 +a(g789 +VMOVE +p13937 +tp13938 +a(g225 +V'ibm' +p13939 +tp13940 +a(g822 +g958 +tp13941 +a(g781 +VTO +p13942 +tp13943 +a(g822 +g958 +tp13944 +a(g436 +VConfig-File +p13945 +tp13946 +a(g822 +V\u000a +p13947 +tp13948 +a(g7 +V +p13949 +tp13950 +a(g822 +V +p13951 +tp13952 +a(g781 +VWHEN +p13953 +tp13954 +a(g822 +g958 +tp13955 +a(g436 +VS-Cfg-MF +p13956 +tp13957 +a(g822 +V +p13958 +tp13959 +a(g422 +VNOT +p13960 +tp13961 +a(g408 +g7223 +tp13962 +a(g822 +g958 +tp13963 +a(g561 +VSPACES\u000a +p13964 +tp13965 +a(g822 +g958 +tp13966 +a(g789 +VMOVE +p13967 +tp13968 +a(g225 +V'mf' +p13969 +tp13970 +a(g822 +g958 +tp13971 +a(g781 +VTO +p13972 +tp13973 +a(g822 +g958 +tp13974 +a(g436 +VConfig-File +p13975 +tp13976 +a(g822 +V\u000a +p13977 +tp13978 +a(g7 +V +p13979 +tp13980 +a(g822 +V +p13981 +tp13982 +a(g781 +VWHEN +p13983 +tp13984 +a(g822 +g958 +tp13985 +a(g436 +VS-Cfg-MVS +p13986 +tp13987 +a(g822 +V +p13988 +tp13989 +a(g422 +VNOT +p13990 +tp13991 +a(g408 +g7223 +tp13992 +a(g822 +g958 +tp13993 +a(g561 +VSPACES\u000a +p13994 +tp13995 +a(g822 +g958 +tp13996 +a(g789 +VMOVE +p13997 +tp13998 +a(g225 +V'mvs' +p13999 +tp14000 +a(g822 +g958 +tp14001 +a(g781 +VTO +p14002 +tp14003 +a(g822 +g958 +tp14004 +a(g436 +VConfig-File +p14005 +tp14006 +a(g822 +V\u000a +p14007 +tp14008 +a(g7 +V +p14009 +tp14010 +a(g822 +V +p14011 +tp14012 +a(g781 +VWHEN +p14013 +tp14014 +a(g822 +g958 +tp14015 +a(g781 +VOTHER\u000a +p14016 +tp14017 +a(g822 +g958 +tp14018 +a(g789 +VMOVE +p14019 +tp14020 +a(g225 +V'default' +p14021 +tp14022 +a(g822 +g958 +tp14023 +a(g781 +VTO +p14024 +tp14025 +a(g822 +g958 +tp14026 +a(g436 +VConfig-File +p14027 +tp14028 +a(g822 +V\u000a +p14029 +tp14030 +a(g7 +V +p14031 +tp14032 +a(g822 +V +p14033 +tp14034 +a(g789 +VEND-EVALUATE\u000a +p14035 +tp14036 +a(g701 +g965 +tp14037 +a(g822 +V\u000a +p14038 +tp14039 +a(g822 +V\u000a +p14040 +tp14041 +a(g7 +V +p14042 +tp14043 +a(g822 +g958 +tp14044 +a(g32 +V212 +p14045 +tp14046 +a(g408 +g1832 +tp14047 +a(g436 +VBuild-Compile-Command +p14048 +tp14049 +a(g701 +g965 +tp14050 +a(g822 +V\u000a +p14051 +tp14052 +a(g7 +VGC0909 +p14053 +tp14054 +a(g822 +V +p14055 +tp14056 +a(g789 +VMOVE +p14057 +tp14058 +a(g822 +g958 +tp14059 +a(g561 +VSPACES +p14060 +tp14061 +a(g822 +g958 +tp14062 +a(g781 +VTO +p14063 +tp14064 +a(g822 +g958 +tp14065 +a(g436 +VCobc-Cmd +p14066 +tp14067 +a(g822 +V\u000a +p14068 +tp14069 +a(g7 +VGC0909 +p14070 +tp14071 +a(g822 +V +p14072 +tp14073 +a(g789 +VSTRING +p14074 +tp14075 +a(g225 +V'cobc -std=' +p14076 +tp14077 +a(g822 +V\u000a +p14078 +tp14079 +a(g7 +VGC0909 +p14080 +tp14081 +a(g822 +V +p14082 +tp14083 +a(g569 +VTRIM +p14084 +tp14085 +a(g701 +g7240 +tp14086 +a(g436 +VConfig-File +p14087 +tp14088 +a(g701 +g2705 +tp14089 +a(g781 +VTRAILING +p14090 +tp14091 +a(g701 +g7247 +tp14092 +a(g822 +V\u000a +p14093 +tp14094 +a(g7 +VGC0909 +p14095 +tp14096 +a(g822 +V +p14097 +tp14098 +a(g225 +V' ' +p14099 +tp14100 +a(g822 +V\u000a +p14101 +tp14102 +a(g7 +VGC0909 +p14103 +tp14104 +a(g822 +V +p14105 +tp14106 +a(g781 +VINTO +p14107 +tp14108 +a(g822 +g958 +tp14109 +a(g436 +VCobc-Cmd +p14110 +tp14111 +a(g822 +V\u000a +p14112 +tp14113 +a(g7 +VGC0909 +p14114 +tp14115 +a(g822 +V +p14116 +tp14117 +a(g781 +VWITH +p14118 +tp14119 +a(g822 +g958 +tp14120 +a(g781 +VPOINTER +p14121 +tp14122 +a(g822 +g958 +tp14123 +a(g436 +g2314 +tp14124 +a(g822 +V\u000a +p14125 +tp14126 +a(g7 +VGC0909 +p14127 +tp14128 +a(g822 +V +p14129 +tp14130 +a(g789 +VEND-STRING\u000a +p14131 +tp14132 +a(g822 +g958 +tp14133 +a(g789 +VIF +p14134 +tp14135 +a(g822 +g958 +tp14136 +a(g436 +VS-SUBROUTINE +p14137 +tp14138 +a(g822 +g958 +tp14139 +a(g422 +VNOT +p14140 +tp14141 +a(g408 +g7223 +tp14142 +a(g822 +g958 +tp14143 +a(g225 +V' ' +p14144 +tp14145 +a(g822 +V\u000a +p14146 +tp14147 +a(g7 +V +p14148 +tp14149 +a(g822 +V +p14150 +tp14151 +a(g789 +VSTRING +p14152 +tp14153 +a(g225 +V'-m ' +p14154 +tp14155 +a(g822 +V\u000a +p14156 +tp14157 +a(g7 +V +p14158 +tp14159 +a(g822 +V +p14160 +tp14161 +a(g781 +VDELIMITED +p14162 +tp14163 +a(g822 +g958 +tp14164 +a(g781 +VSIZE +p14165 +tp14166 +a(g822 +g958 +tp14167 +a(g781 +VINTO +p14168 +tp14169 +a(g822 +g958 +tp14170 +a(g436 +VCobc-Cmd +p14171 +tp14172 +a(g822 +V\u000a +p14173 +tp14174 +a(g7 +V +p14175 +tp14176 +a(g822 +V +p14177 +tp14178 +a(g781 +VWITH +p14179 +tp14180 +a(g822 +g958 +tp14181 +a(g781 +VPOINTER +p14182 +tp14183 +a(g822 +g958 +tp14184 +a(g436 +g2314 +tp14185 +a(g822 +V\u000a +p14186 +tp14187 +a(g7 +V +p14188 +tp14189 +a(g822 +V +p14190 +tp14191 +a(g789 +VEND-STRING\u000a +p14192 +tp14193 +a(g822 +g958 +tp14194 +a(g789 +VELSE\u000a +p14195 +tp14196 +a(g822 +g958 +tp14197 +a(g789 +VSTRING +p14198 +tp14199 +a(g225 +V'-x ' +p14200 +tp14201 +a(g822 +V\u000a +p14202 +tp14203 +a(g7 +V +p14204 +tp14205 +a(g822 +V +p14206 +tp14207 +a(g781 +VDELIMITED +p14208 +tp14209 +a(g822 +g958 +tp14210 +a(g781 +VSIZE +p14211 +tp14212 +a(g822 +g958 +tp14213 +a(g781 +VINTO +p14214 +tp14215 +a(g822 +g958 +tp14216 +a(g436 +VCobc-Cmd +p14217 +tp14218 +a(g822 +V\u000a +p14219 +tp14220 +a(g7 +V +p14221 +tp14222 +a(g822 +V +p14223 +tp14224 +a(g781 +VWITH +p14225 +tp14226 +a(g822 +g958 +tp14227 +a(g781 +VPOINTER +p14228 +tp14229 +a(g822 +g958 +tp14230 +a(g436 +g2314 +tp14231 +a(g822 +V\u000a +p14232 +tp14233 +a(g7 +V +p14234 +tp14235 +a(g822 +V +p14236 +tp14237 +a(g789 +VEND-STRING\u000a +p14238 +tp14239 +a(g822 +g958 +tp14240 +a(g789 +VEND-IF\u000a +p14241 +tp14242 +a(g822 +g958 +tp14243 +a(g789 +VIF +p14244 +tp14245 +a(g822 +g958 +tp14246 +a(g436 +VS-DEBUG +p14247 +tp14248 +a(g822 +g958 +tp14249 +a(g422 +VNOT +p14250 +tp14251 +a(g408 +g7223 +tp14252 +a(g822 +g958 +tp14253 +a(g225 +V' ' +p14254 +tp14255 +a(g822 +V\u000a +p14256 +tp14257 +a(g7 +V +p14258 +tp14259 +a(g822 +V +p14260 +tp14261 +a(g789 +VSTRING +p14262 +tp14263 +a(g225 +V'-fdebugging-line ' +p14264 +tp14265 +a(g822 +V\u000a +p14266 +tp14267 +a(g7 +V +p14268 +tp14269 +a(g822 +V +p14270 +tp14271 +a(g781 +VDELIMITED +p14272 +tp14273 +a(g822 +g958 +tp14274 +a(g781 +VSIZE +p14275 +tp14276 +a(g822 +g958 +tp14277 +a(g781 +VINTO +p14278 +tp14279 +a(g822 +g958 +tp14280 +a(g436 +VCobc-Cmd +p14281 +tp14282 +a(g822 +V\u000a +p14283 +tp14284 +a(g7 +V +p14285 +tp14286 +a(g822 +V +p14287 +tp14288 +a(g781 +VWITH +p14289 +tp14290 +a(g822 +g958 +tp14291 +a(g781 +VPOINTER +p14292 +tp14293 +a(g822 +g958 +tp14294 +a(g436 +g2314 +tp14295 +a(g822 +V\u000a +p14296 +tp14297 +a(g7 +V +p14298 +tp14299 +a(g822 +V +p14300 +tp14301 +a(g789 +VEND-STRING\u000a +p14302 +tp14303 +a(g822 +g958 +tp14304 +a(g789 +VEND-IF\u000a +p14305 +tp14306 +a(g822 +g958 +tp14307 +a(g789 +VIF +p14308 +tp14309 +a(g822 +g958 +tp14310 +a(g436 +VS-NOTRUNC +p14311 +tp14312 +a(g822 +g958 +tp14313 +a(g422 +VNOT +p14314 +tp14315 +a(g408 +g7223 +tp14316 +a(g822 +g958 +tp14317 +a(g225 +V' ' +p14318 +tp14319 +a(g822 +V\u000a +p14320 +tp14321 +a(g7 +V +p14322 +tp14323 +a(g822 +V +p14324 +tp14325 +a(g789 +VSTRING +p14326 +tp14327 +a(g225 +V'-fnotrunc ' +p14328 +tp14329 +a(g822 +V\u000a +p14330 +tp14331 +a(g7 +V +p14332 +tp14333 +a(g822 +V +p14334 +tp14335 +a(g781 +VDELIMITED +p14336 +tp14337 +a(g822 +g958 +tp14338 +a(g781 +VSIZE +p14339 +tp14340 +a(g822 +g958 +tp14341 +a(g781 +VINTO +p14342 +tp14343 +a(g822 +g958 +tp14344 +a(g436 +VCobc-Cmd +p14345 +tp14346 +a(g822 +V\u000a +p14347 +tp14348 +a(g7 +V +p14349 +tp14350 +a(g822 +V +p14351 +tp14352 +a(g781 +VWITH +p14353 +tp14354 +a(g822 +g958 +tp14355 +a(g781 +VPOINTER +p14356 +tp14357 +a(g822 +g958 +tp14358 +a(g436 +g2314 +tp14359 +a(g822 +V\u000a +p14360 +tp14361 +a(g7 +V +p14362 +tp14363 +a(g822 +V +p14364 +tp14365 +a(g789 +VEND-STRING\u000a +p14366 +tp14367 +a(g822 +g958 +tp14368 +a(g789 +VEND-IF\u000a +p14369 +tp14370 +a(g822 +g958 +tp14371 +a(g789 +VIF +p14372 +tp14373 +a(g822 +g958 +tp14374 +a(g436 +VS-TRACEALL +p14375 +tp14376 +a(g822 +g958 +tp14377 +a(g422 +VNOT +p14378 +tp14379 +a(g408 +g7223 +tp14380 +a(g822 +g958 +tp14381 +a(g225 +V' ' +p14382 +tp14383 +a(g822 +V\u000a +p14384 +tp14385 +a(g7 +VGC0809 +p14386 +tp14387 +a(g822 +V +p14388 +tp14389 +a(g789 +VSTRING +p14390 +tp14391 +a(g225 +V'-ftraceall ' +p14392 +tp14393 +a(g822 +V\u000a +p14394 +tp14395 +a(g7 +V +p14396 +tp14397 +a(g822 +V +p14398 +tp14399 +a(g781 +VDELIMITED +p14400 +tp14401 +a(g822 +g958 +tp14402 +a(g781 +VSIZE +p14403 +tp14404 +a(g822 +g958 +tp14405 +a(g781 +VINTO +p14406 +tp14407 +a(g822 +g958 +tp14408 +a(g436 +VCobc-Cmd +p14409 +tp14410 +a(g822 +V\u000a +p14411 +tp14412 +a(g7 +V +p14413 +tp14414 +a(g822 +V +p14415 +tp14416 +a(g781 +VWITH +p14417 +tp14418 +a(g822 +g958 +tp14419 +a(g781 +VPOINTER +p14420 +tp14421 +a(g822 +g958 +tp14422 +a(g436 +g2314 +tp14423 +a(g822 +V\u000a +p14424 +tp14425 +a(g7 +V +p14426 +tp14427 +a(g822 +V +p14428 +tp14429 +a(g789 +VEND-STRING\u000a +p14430 +tp14431 +a(g822 +g958 +tp14432 +a(g789 +VEND-IF\u000a +p14433 +tp14434 +a(g822 +g958 +tp14435 +a(g789 +VIF +p14436 +tp14437 +a(g822 +g958 +tp14438 +a(g436 +VS-TRACE +p14439 +tp14440 +a(g822 +g958 +tp14441 +a(g422 +VNOT +p14442 +tp14443 +a(g408 +g7223 +tp14444 +a(g822 +g958 +tp14445 +a(g225 +V' ' +p14446 +tp14447 +a(g822 +V\u000a +p14448 +tp14449 +a(g7 +V +p14450 +tp14451 +a(g822 +V +p14452 +tp14453 +a(g789 +VSTRING +p14454 +tp14455 +a(g225 +V'-ftrace ' +p14456 +tp14457 +a(g822 +V\u000a +p14458 +tp14459 +a(g7 +V +p14460 +tp14461 +a(g822 +V +p14462 +tp14463 +a(g781 +VDELIMITED +p14464 +tp14465 +a(g822 +g958 +tp14466 +a(g781 +VSIZE +p14467 +tp14468 +a(g822 +g958 +tp14469 +a(g781 +VINTO +p14470 +tp14471 +a(g822 +g958 +tp14472 +a(g436 +VCobc-Cmd +p14473 +tp14474 +a(g822 +V\u000a +p14475 +tp14476 +a(g7 +V +p14477 +tp14478 +a(g822 +V +p14479 +tp14480 +a(g781 +VWITH +p14481 +tp14482 +a(g822 +g958 +tp14483 +a(g781 +VPOINTER +p14484 +tp14485 +a(g822 +g958 +tp14486 +a(g436 +g2314 +tp14487 +a(g822 +V\u000a +p14488 +tp14489 +a(g7 +V +p14490 +tp14491 +a(g822 +V +p14492 +tp14493 +a(g789 +VEND-STRING\u000a +p14494 +tp14495 +a(g822 +g958 +tp14496 +a(g789 +VEND-IF\u000a +p14497 +tp14498 +a(g822 +V\u000a +p14499 +tp14500 +a(g7 +VGC0709 +p14501 +tp14502 +a(g822 +V +p14503 +tp14504 +a(g789 +VIF +p14505 +tp14506 +a(g822 +g958 +tp14507 +a(g436 +VS-EXTRA +p14508 +tp14509 +a(g822 +g958 +tp14510 +a(g408 +g12655 +tp14511 +a(g822 +g958 +tp14512 +a(g561 +VSPACES +p14513 +tp14514 +a(g822 +V\u000a +p14515 +tp14516 +a(g7 +VGC0709 +p14517 +tp14518 +a(g822 +V +p14519 +tp14520 +a(g789 +VSTRING +p14521 +tp14522 +a(g225 +V' ' +p14523 +tp14524 +a(g822 +V\u000a +p14525 +tp14526 +a(g7 +VGC0709 +p14527 +tp14528 +a(g822 +V +p14529 +tp14530 +a(g569 +VTRIM +p14531 +tp14532 +a(g701 +g7240 +tp14533 +a(g436 +VS-Extra +p14534 +tp14535 +a(g701 +g2705 +tp14536 +a(g781 +VTRAILING +p14537 +tp14538 +a(g701 +g7247 +tp14539 +a(g822 +V\u000a +p14540 +tp14541 +a(g7 +VGC0709 +p14542 +tp14543 +a(g822 +V +p14544 +tp14545 +a(g225 +V' ' +p14546 +tp14547 +a(g822 +V\u000a +p14548 +tp14549 +a(g7 +VGC0709 +p14550 +tp14551 +a(g822 +V +p14552 +tp14553 +a(g781 +VDELIMITED +p14554 +tp14555 +a(g822 +g958 +tp14556 +a(g781 +VSIZE +p14557 +tp14558 +a(g822 +g958 +tp14559 +a(g781 +VINTO +p14560 +tp14561 +a(g822 +g958 +tp14562 +a(g436 +VCobc-Cmd +p14563 +tp14564 +a(g822 +V\u000a +p14565 +tp14566 +a(g7 +VGC0709 +p14567 +tp14568 +a(g822 +V +p14569 +tp14570 +a(g781 +VWITH +p14571 +tp14572 +a(g822 +g958 +tp14573 +a(g781 +VPOINTER +p14574 +tp14575 +a(g822 +g958 +tp14576 +a(g436 +g2314 +tp14577 +a(g822 +V\u000a +p14578 +tp14579 +a(g7 +VGC0709 +p14580 +tp14581 +a(g822 +V +p14582 +tp14583 +a(g789 +VEND-STRING +p14584 +tp14585 +a(g822 +V\u000a +p14586 +tp14587 +a(g7 +VGC0709 +p14588 +tp14589 +a(g822 +V +p14590 +tp14591 +a(g789 +VEND-IF +p14592 +tp14593 +a(g822 +V\u000a +p14594 +tp14595 +a(g7 +VGC0909 +p14596 +tp14597 +a(g822 +V +p14598 +tp14599 +a(g789 +VSTRING +p14600 +tp14601 +a(g822 +g958 +tp14602 +a(g569 +VTRIM +p14603 +tp14604 +a(g701 +g7240 +tp14605 +a(g436 +VProg-File-Name +p14606 +tp14607 +a(g701 +g2705 +tp14608 +a(g781 +VTRAILING +p14609 +tp14610 +a(g701 +g7247 +tp14611 +a(g822 +V\u000a +p14612 +tp14613 +a(g7 +VGC0909 +p14614 +tp14615 +a(g822 +V +p14616 +tp14617 +a(g781 +VDELIMITED +p14618 +tp14619 +a(g822 +g958 +tp14620 +a(g781 +VSIZE +p14621 +tp14622 +a(g822 +g958 +tp14623 +a(g781 +VINTO +p14624 +tp14625 +a(g822 +g958 +tp14626 +a(g436 +VCobc-Cmd +p14627 +tp14628 +a(g822 +V\u000a +p14629 +tp14630 +a(g7 +VGC0909 +p14631 +tp14632 +a(g822 +V +p14633 +tp14634 +a(g781 +VWITH +p14635 +tp14636 +a(g822 +g958 +tp14637 +a(g781 +VPOINTER +p14638 +tp14639 +a(g822 +g958 +tp14640 +a(g436 +g2314 +tp14641 +a(g822 +V\u000a +p14642 +tp14643 +a(g7 +VGC0909 +p14644 +tp14645 +a(g822 +V +p14646 +tp14647 +a(g789 +VEND-STRING\u000a +p14648 +tp14649 +a(g701 +g965 +tp14650 +a(g822 +V\u000a +p14651 +tp14652 +a(g822 +V\u000a +p14653 +tp14654 +a(g7 +V +p14655 +tp14656 +a(g822 +g958 +tp14657 +a(g32 +V213 +p14658 +tp14659 +a(g408 +g1832 +tp14660 +a(g436 +VRun-Compiler +p14661 +tp14662 +a(g701 +g965 +tp14663 +a(g822 +V\u000a +p14664 +tp14665 +a(g7 +VGC0410 +p14666 +tp14667 +a(g822 +V +p14668 +tp14669 +a(g789 +VMOVE +p14670 +tp14671 +a(g225 +V' Compiling...' +p14672 +tp14673 +a(g822 +g958 +tp14674 +a(g781 +VTO +p14675 +tp14676 +a(g822 +g958 +tp14677 +a(g436 +VOutput-Message +p14678 +tp14679 +a(g822 +V\u000a +p14680 +tp14681 +a(g7 +VGC0410 +p14682 +tp14683 +a(g822 +V +p14684 +tp14685 +a(g789 +VDISPLAY +p14686 +tp14687 +a(g822 +V\u000a +p14688 +tp14689 +a(g7 +VGC0410 +p14690 +tp14691 +a(g822 +V +p14692 +tp14693 +a(g436 +VSwitches-Screen +p14694 +tp14695 +a(g822 +V\u000a +p14696 +tp14697 +a(g7 +VGC0410 +p14698 +tp14699 +a(g822 +V +p14700 +tp14701 +a(g789 +VEND-DISPLAY +p14702 +tp14703 +a(g822 +V\u000a +p14704 +tp14705 +a(g7 +VGC0609 +p14706 +tp14707 +a(g822 +V +p14708 +tp14709 +a(g789 +VSET +p14710 +tp14711 +a(g822 +g958 +tp14712 +a(g32 +V88 +p14713 +tp14714 +a(g408 +g1832 +tp14715 +a(g436 +VOutput-File-Avail +p14716 +tp14717 +a(g822 +g958 +tp14718 +a(g781 +VTO +p14719 +tp14720 +a(g822 +g958 +tp14721 +a(g677 +VTRUE\u000a +p14722 +tp14723 +a(g822 +g958 +tp14724 +a(g789 +VMOVE +p14725 +tp14726 +a(g822 +g958 +tp14727 +a(g561 +VSPACES +p14728 +tp14729 +a(g822 +g958 +tp14730 +a(g781 +VTO +p14731 +tp14732 +a(g822 +g958 +tp14733 +a(g436 +VCmd +p14734 +tp14735 +a(g822 +V\u000a +p14736 +tp14737 +a(g7 +V +p14738 +tp14739 +a(g822 +V +p14740 +tp14741 +a(g789 +VSTRING +p14742 +tp14743 +a(g822 +g958 +tp14744 +a(g569 +VTRIM +p14745 +tp14746 +a(g701 +g7240 +tp14747 +a(g436 +VCobc-Cmd +p14748 +tp14749 +a(g701 +g2705 +tp14750 +a(g781 +VTRAILING +p14751 +tp14752 +a(g701 +g7247 +tp14753 +a(g822 +V\u000a +p14754 +tp14755 +a(g7 +V +p14756 +tp14757 +a(g822 +V +p14758 +tp14759 +a(g225 +V' 2>' +p14760 +tp14761 +a(g822 +V\u000a +p14762 +tp14763 +a(g7 +V +p14764 +tp14765 +a(g822 +V +p14766 +tp14767 +a(g569 +VTRIM +p14768 +tp14769 +a(g701 +g7240 +tp14770 +a(g436 +VCobc-Output-File +p14771 +tp14772 +a(g701 +g2705 +tp14773 +a(g781 +VTRAILING +p14774 +tp14775 +a(g701 +g7247 +tp14776 +a(g822 +V\u000a +p14777 +tp14778 +a(g7 +V +p14779 +tp14780 +a(g822 +V +p14781 +tp14782 +a(g781 +VDELIMITED +p14783 +tp14784 +a(g822 +g958 +tp14785 +a(g781 +VSIZE\u000a +p14786 +tp14787 +a(g822 +g958 +tp14788 +a(g781 +VINTO +p14789 +tp14790 +a(g822 +g958 +tp14791 +a(g436 +VCmd +p14792 +tp14793 +a(g822 +V\u000a +p14794 +tp14795 +a(g7 +V +p14796 +tp14797 +a(g822 +V +p14798 +tp14799 +a(g789 +VEND-STRING\u000a +p14800 +tp14801 +a(g822 +g958 +tp14802 +a(g789 +VCALL +p14803 +tp14804 +a(g225 +V'SYSTEM' +p14805 +tp14806 +a(g822 +V\u000a +p14807 +tp14808 +a(g7 +V +p14809 +tp14810 +a(g822 +V +p14811 +tp14812 +a(g781 +VUSING +p14813 +tp14814 +a(g822 +g958 +tp14815 +a(g569 +VTRIM +p14816 +tp14817 +a(g701 +g7240 +tp14818 +a(g436 +VCmd +p14819 +tp14820 +a(g701 +g2705 +tp14821 +a(g781 +VTRAILING +p14822 +tp14823 +a(g701 +g7247 +tp14824 +a(g822 +V\u000a +p14825 +tp14826 +a(g7 +V +p14827 +tp14828 +a(g822 +V +p14829 +tp14830 +a(g789 +VEND-CALL +p14831 +tp14832 +a(g822 +V\u000a +p14833 +tp14834 +a(g7 +VGC0909 +p14835 +tp14836 +a(g822 +V +p14837 +tp14838 +a(g789 +VIF +p14839 +tp14840 +a(g822 +g958 +tp14841 +a(g436 +VRETURN-CODE +p14842 +tp14843 +a(g822 +g958 +tp14844 +a(g408 +g7223 +tp14845 +a(g822 +g958 +tp14846 +a(g32 +V0\u000a +p14847 +tp14848 +a(g7 +VGC0909 +p14849 +tp14850 +a(g822 +V +p14851 +tp14852 +a(g789 +VSET +p14853 +tp14854 +a(g822 +g958 +tp14855 +a(g32 +V88 +p14856 +tp14857 +a(g408 +g1832 +tp14858 +a(g436 +VCompile-OK +p14859 +tp14860 +a(g822 +g958 +tp14861 +a(g781 +VTO +p14862 +tp14863 +a(g822 +g958 +tp14864 +a(g677 +VTRUE +p14865 +tp14866 +a(g822 +V\u000a +p14867 +tp14868 +a(g7 +VGC0909 +p14869 +tp14870 +a(g822 +V +p14871 +tp14872 +a(g789 +VELSE +p14873 +tp14874 +a(g822 +V\u000a +p14875 +tp14876 +a(g7 +VGC0909 +p14877 +tp14878 +a(g822 +V +p14879 +tp14880 +a(g789 +VSET +p14881 +tp14882 +a(g822 +g958 +tp14883 +a(g32 +V88 +p14884 +tp14885 +a(g408 +g1832 +tp14886 +a(g436 +VCompile-Failed +p14887 +tp14888 +a(g822 +g958 +tp14889 +a(g781 +VTO +p14890 +tp14891 +a(g822 +g958 +tp14892 +a(g677 +VTRUE +p14893 +tp14894 +a(g822 +V\u000a +p14895 +tp14896 +a(g7 +VGC0909 +p14897 +tp14898 +a(g822 +V +p14899 +tp14900 +a(g789 +VEND-IF +p14901 +tp14902 +a(g822 +V\u000a +p14903 +tp14904 +a(g7 +VGC0909 +p14905 +tp14906 +a(g822 +V +p14907 +tp14908 +a(g789 +VIF +p14909 +tp14910 +a(g822 +g958 +tp14911 +a(g32 +V88 +p14912 +tp14913 +a(g408 +g1832 +tp14914 +a(g436 +VCompile-OK +p14915 +tp14916 +a(g822 +V\u000a +p14917 +tp14918 +a(g7 +VGC0909 +p14919 +tp14920 +a(g822 +V +p14921 +tp14922 +a(g789 +VOPEN +p14923 +tp14924 +a(g822 +g958 +tp14925 +a(g781 +VINPUT +p14926 +tp14927 +a(g822 +g958 +tp14928 +a(g436 +VCobc-Output +p14929 +tp14930 +a(g822 +V\u000a +p14931 +tp14932 +a(g7 +VGC0909 +p14933 +tp14934 +a(g822 +V +p14935 +tp14936 +a(g789 +VREAD +p14937 +tp14938 +a(g822 +g958 +tp14939 +a(g436 +VCobc-Output +p14940 +tp14941 +a(g822 +V\u000a +p14942 +tp14943 +a(g7 +VGC0909 +p14944 +tp14945 +a(g822 +V +p14946 +tp14947 +a(g781 +VAT +p14948 +tp14949 +a(g822 +g958 +tp14950 +a(g789 +VEND +p14951 +tp14952 +a(g822 +V\u000a +p14953 +tp14954 +a(g7 +VGC0909 +p14955 +tp14956 +a(g822 +V +p14957 +tp14958 +a(g789 +VCONTINUE +p14959 +tp14960 +a(g822 +V\u000a +p14961 +tp14962 +a(g7 +VGC0909 +p14963 +tp14964 +a(g822 +V +p14965 +tp14966 +a(g422 +VNOT +p14967 +tp14968 +a(g822 +g958 +tp14969 +a(g781 +VAT +p14970 +tp14971 +a(g822 +g958 +tp14972 +a(g789 +VEND +p14973 +tp14974 +a(g822 +V\u000a +p14975 +tp14976 +a(g7 +VGC0909 +p14977 +tp14978 +a(g822 +V +p14979 +tp14980 +a(g789 +VSET +p14981 +tp14982 +a(g822 +g958 +tp14983 +a(g32 +V88 +p14984 +tp14985 +a(g408 +g1832 +tp14986 +a(g436 +VCompile-OK-Warn +p14987 +tp14988 +a(g822 +g958 +tp14989 +a(g781 +VTO +p14990 +tp14991 +a(g822 +g958 +tp14992 +a(g677 +VTRUE +p14993 +tp14994 +a(g822 +V\u000a +p14995 +tp14996 +a(g7 +VGC0909 +p14997 +tp14998 +a(g822 +V +p14999 +tp15000 +a(g789 +VEND-READ +p15001 +tp15002 +a(g822 +V\u000a +p15003 +tp15004 +a(g7 +VGC0909 +p15005 +tp15006 +a(g822 +V +p15007 +tp15008 +a(g789 +VCLOSE +p15009 +tp15010 +a(g822 +g958 +tp15011 +a(g436 +VCobc-Output +p15012 +tp15013 +a(g822 +V\u000a +p15014 +tp15015 +a(g7 +VGC0909 +p15016 +tp15017 +a(g822 +V +p15018 +tp15019 +a(g789 +VEND-IF +p15020 +tp15021 +a(g822 +V\u000a +p15022 +tp15023 +a(g7 +VGC0909 +p15024 +tp15025 +a(g822 +V +p15026 +tp15027 +a(g789 +VMOVE +p15028 +tp15029 +a(g822 +g958 +tp15030 +a(g561 +VSPACES +p15031 +tp15032 +a(g822 +g958 +tp15033 +a(g781 +VTO +p15034 +tp15035 +a(g822 +g958 +tp15036 +a(g436 +VOutput-Message +p15037 +tp15038 +a(g822 +V\u000a +p15039 +tp15040 +a(g7 +V +p15041 +tp15042 +a(g822 +V +p15043 +tp15044 +a(g789 +VIF +p15045 +tp15046 +a(g822 +g958 +tp15047 +a(g32 +V88 +p15048 +tp15049 +a(g408 +g1832 +tp15050 +a(g436 +VCompile-OK +p15051 +tp15052 +a(g822 +V\u000a +p15053 +tp15054 +a(g7 +VGC0909 +p15055 +tp15056 +a(g822 +V +p15057 +tp15058 +a(g789 +VMOVE +p15059 +tp15060 +a(g225 +V' Compilation Was Successful' +p15061 +tp15062 +a(g822 +g958 +tp15063 +a(g781 +VTO +p15064 +tp15065 +a(g822 +g958 +tp15066 +a(g436 +VOutput-Message +p15067 +tp15068 +a(g822 +V\u000a +p15069 +tp15070 +a(g7 +VGC0909 +p15071 +tp15072 +a(g822 +V +p15073 +tp15074 +a(g789 +VDISPLAY +p15075 +tp15076 +a(g822 +V\u000a +p15077 +tp15078 +a(g7 +VGC0909 +p15079 +tp15080 +a(g822 +V +p15081 +tp15082 +a(g436 +VSwitches-Screen +p15083 +tp15084 +a(g822 +V\u000a +p15085 +tp15086 +a(g7 +VGC0909 +p15087 +tp15088 +a(g822 +V +p15089 +tp15090 +a(g789 +VEND-DISPLAY +p15091 +tp15092 +a(g822 +V\u000a +p15093 +tp15094 +a(g7 +VGC0909 +p15095 +tp15096 +a(g822 +V +p15097 +tp15098 +a(g789 +VCALL +p15099 +tp15100 +a(g225 +V'C$SLEEP' +p15101 +tp15102 +a(g822 +V\u000a +p15103 +tp15104 +a(g7 +VGC0909 +p15105 +tp15106 +a(g822 +V +p15107 +tp15108 +a(g781 +VUSING +p15109 +tp15110 +a(g822 +g958 +tp15111 +a(g32 +V2\u000a +p15112 +tp15113 +a(g7 +VGC0909 +p15114 +tp15115 +a(g822 +V +p15116 +tp15117 +a(g789 +VEND-CALL +p15118 +tp15119 +a(g822 +V\u000a +p15120 +tp15121 +a(g7 +VGC0909 +p15122 +tp15123 +a(g822 +V +p15124 +tp15125 +a(g789 +VMOVE +p15126 +tp15127 +a(g822 +g958 +tp15128 +a(g561 +VSPACES +p15129 +tp15130 +a(g822 +g958 +tp15131 +a(g781 +VTO +p15132 +tp15133 +a(g822 +g958 +tp15134 +a(g436 +VOutput-Message +p15135 +tp15136 +a(g822 +V\u000a +p15137 +tp15138 +a(g7 +VGC0609 +p15139 +tp15140 +a(g822 +V +p15141 +tp15142 +a(g789 +VSET +p15143 +tp15144 +a(g822 +g958 +tp15145 +a(g32 +V88 +p15146 +tp15147 +a(g408 +g1832 +tp15148 +a(g436 +VComplete +p15149 +tp15150 +a(g822 +g958 +tp15151 +a(g781 +VTO +p15152 +tp15153 +a(g822 +g958 +tp15154 +a(g677 +VTRUE\u000a +p15155 +tp15156 +a(g822 +g958 +tp15157 +a(g789 +VELSE +p15158 +tp15159 +a(g822 +V\u000a +p15160 +tp15161 +a(g7 +VGC0909 +p15162 +tp15163 +a(g822 +V +p15164 +tp15165 +a(g789 +VDISPLAY +p15166 +tp15167 +a(g822 +V\u000a +p15168 +tp15169 +a(g7 +VGC0909 +p15170 +tp15171 +a(g822 +V +p15172 +tp15173 +a(g436 +VBlank-Screen +p15174 +tp15175 +a(g822 +V\u000a +p15176 +tp15177 +a(g7 +VGC0909 +p15178 +tp15179 +a(g822 +V +p15180 +tp15181 +a(g789 +VEND-DISPLAY +p15182 +tp15183 +a(g822 +V\u000a +p15184 +tp15185 +a(g7 +VGC0909 +p15186 +tp15187 +a(g822 +V +p15188 +tp15189 +a(g789 +VIF +p15190 +tp15191 +a(g822 +g958 +tp15192 +a(g32 +V88 +p15193 +tp15194 +a(g408 +g1832 +tp15195 +a(g436 +VCompile-OK-Warn +p15196 +tp15197 +a(g822 +V\u000a +p15198 +tp15199 +a(g7 +VGC0909 +p15200 +tp15201 +a(g822 +V +p15202 +tp15203 +a(g789 +VDISPLAY +p15204 +tp15205 +a(g225 +V' Compilation was successful, but ' +p15206 +tp15207 +a(g822 +g958 +tp15208 +a(g701 +g5134 +tp15209 +a(g822 +V\u000a +p15210 +tp15211 +a(g7 +VGC0909 +p15212 +tp15213 +a(g822 +V +p15214 +tp15215 +a(g225 +V'warnings were generated:' +p15216 +tp15217 +a(g822 +V\u000a +p15218 +tp15219 +a(g7 +VSCROLL* AT LINE 24 COLUMN 1\u000a +p15220 +tp15221 +a(g7 +VSCROLL* WITH SCROLL UP 1 LINE\u000a +p15222 +tp15223 +a(g7 +VGC0909 +p15224 +tp15225 +a(g822 +V +p15226 +tp15227 +a(g789 +VEND-DISPLAY +p15228 +tp15229 +a(g822 +V\u000a +p15230 +tp15231 +a(g7 +VGC0909 +p15232 +tp15233 +a(g822 +V +p15234 +tp15235 +a(g789 +VELSE +p15236 +tp15237 +a(g822 +V\u000a +p15238 +tp15239 +a(g7 +VGC0909 +p15240 +tp15241 +a(g822 +V +p15242 +tp15243 +a(g789 +VDISPLAY +p15244 +tp15245 +a(g225 +V'Compilation Failed:' +p15246 +tp15247 +a(g822 +V\u000a +p15248 +tp15249 +a(g7 +VSCROLL* AT LINE 24 COLUMN 1\u000a +p15250 +tp15251 +a(g7 +VSCROLL* WITH SCROLL UP 1 LINE\u000a +p15252 +tp15253 +a(g7 +VGC0909 +p15254 +tp15255 +a(g822 +V +p15256 +tp15257 +a(g789 +VEND-DISPLAY +p15258 +tp15259 +a(g822 +V\u000a +p15260 +tp15261 +a(g7 +VGC0909 +p15262 +tp15263 +a(g822 +V +p15264 +tp15265 +a(g789 +VEND-IF +p15266 +tp15267 +a(g822 +V\u000a +p15268 +tp15269 +a(g7 +VGC0609 +p15270 +tp15271 +a(g822 +V +p15272 +tp15273 +a(g789 +VSET +p15274 +tp15275 +a(g822 +g958 +tp15276 +a(g32 +V88 +p15277 +tp15278 +a(g408 +g1832 +tp15279 +a(g436 +VCompile-Failed +p15280 +tp15281 +a(g822 +g958 +tp15282 +a(g781 +VTO +p15283 +tp15284 +a(g822 +g958 +tp15285 +a(g677 +VTRUE +p15286 +tp15287 +a(g822 +V\u000a +p15288 +tp15289 +a(g7 +VGC0609 +p15290 +tp15291 +a(g822 +V +p15292 +tp15293 +a(g789 +VSET +p15294 +tp15295 +a(g822 +g958 +tp15296 +a(g32 +V88 +p15297 +tp15298 +a(g408 +g1832 +tp15299 +a(g436 +VComplete +p15300 +tp15301 +a(g822 +g958 +tp15302 +a(g781 +VTO +p15303 +tp15304 +a(g822 +g958 +tp15305 +a(g677 +VTRUE +p15306 +tp15307 +a(g822 +V\u000a +p15308 +tp15309 +a(g7 +VGC0909 +p15310 +tp15311 +a(g822 +V +p15312 +tp15313 +a(g789 +VDISPLAY +p15314 +tp15315 +a(g225 +V' ' +p15316 +tp15317 +a(g822 +V\u000a +p15318 +tp15319 +a(g7 +VSCROLL* AT LINE 24 COLUMN 1\u000a +p15320 +tp15321 +a(g7 +VSCROLL* WITH SCROLL UP 1 LINE\u000a +p15322 +tp15323 +a(g7 +VGC0909 +p15324 +tp15325 +a(g822 +V +p15326 +tp15327 +a(g789 +VEND-DISPLAY +p15328 +tp15329 +a(g822 +V\u000a +p15330 +tp15331 +a(g7 +VGC0909 +p15332 +tp15333 +a(g822 +V +p15334 +tp15335 +a(g789 +VOPEN +p15336 +tp15337 +a(g822 +g958 +tp15338 +a(g781 +VINPUT +p15339 +tp15340 +a(g822 +g958 +tp15341 +a(g436 +VCobc-Output +p15342 +tp15343 +a(g822 +V\u000a +p15344 +tp15345 +a(g7 +VGC0909 +p15346 +tp15347 +a(g822 +V +p15348 +tp15349 +a(g789 +VPERFORM +p15350 +tp15351 +a(g822 +g958 +tp15352 +a(g789 +VFOREVER +p15353 +tp15354 +a(g822 +V\u000a +p15355 +tp15356 +a(g7 +VGC0909 +p15357 +tp15358 +a(g822 +V +p15359 +tp15360 +a(g789 +VREAD +p15361 +tp15362 +a(g822 +g958 +tp15363 +a(g436 +VCobc-Output +p15364 +tp15365 +a(g822 +g958 +tp15366 +a(g781 +VAT +p15367 +tp15368 +a(g822 +g958 +tp15369 +a(g789 +VEND +p15370 +tp15371 +a(g822 +V\u000a +p15372 +tp15373 +a(g7 +VGC0909 +p15374 +tp15375 +a(g822 +V +p15376 +tp15377 +a(g789 +VEXIT +p15378 +tp15379 +a(g822 +g958 +tp15380 +a(g789 +VPERFORM +p15381 +tp15382 +a(g822 +V\u000a +p15383 +tp15384 +a(g7 +VGC0909 +p15385 +tp15386 +a(g822 +V +p15387 +tp15388 +a(g789 +VEND-READ +p15389 +tp15390 +a(g822 +V\u000a +p15391 +tp15392 +a(g7 +VGC0909 +p15393 +tp15394 +a(g822 +V +p15395 +tp15396 +a(g789 +VDISPLAY +p15397 +tp15398 +a(g822 +g958 +tp15399 +a(g569 +VTRIM +p15400 +tp15401 +a(g701 +g7240 +tp15402 +a(g436 +VCobc-Output-Rec +p15403 +tp15404 +a(g701 +g2705 +tp15405 +a(g781 +VTRAILING +p15406 +tp15407 +a(g701 +g7247 +tp15408 +a(g822 +V\u000a +p15409 +tp15410 +a(g7 +VSCROLL* AT LINE 24 COLUMN 1\u000a +p15411 +tp15412 +a(g7 +VSCROLL* WITH SCROLL UP 1 LINE\u000a +p15413 +tp15414 +a(g7 +VGC0909 +p15415 +tp15416 +a(g822 +V +p15417 +tp15418 +a(g789 +VEND-DISPLAY +p15419 +tp15420 +a(g822 +V\u000a +p15421 +tp15422 +a(g7 +VGC0909 +p15423 +tp15424 +a(g822 +V +p15425 +tp15426 +a(g789 +VEND-PERFORM +p15427 +tp15428 +a(g822 +V\u000a +p15429 +tp15430 +a(g7 +VGC0909 +p15431 +tp15432 +a(g822 +V +p15433 +tp15434 +a(g789 +VCLOSE +p15435 +tp15436 +a(g822 +g958 +tp15437 +a(g436 +VCobc-Output +p15438 +tp15439 +a(g822 +V\u000a +p15440 +tp15441 +a(g7 +VGC0909 +p15442 +tp15443 +a(g822 +V +p15444 +tp15445 +a(g789 +VDISPLAY +p15446 +tp15447 +a(g225 +V' ' +p15448 +tp15449 +a(g822 +V\u000a +p15450 +tp15451 +a(g7 +VSCROLL* AT LINE 24 COLUMN 1\u000a +p15452 +tp15453 +a(g7 +VSCROLL* WITH SCROLL UP 2 LINES\u000a +p15454 +tp15455 +a(g7 +VGC0909 +p15456 +tp15457 +a(g822 +V +p15458 +tp15459 +a(g789 +VEND-DISPLAY +p15460 +tp15461 +a(g822 +V\u000a +p15462 +tp15463 +a(g7 +VGC0909 +p15464 +tp15465 +a(g822 +V +p15466 +tp15467 +a(g789 +VDISPLAY +p15468 +tp15469 +a(g225 +V'Press ENTER to close:' +p15470 +tp15471 +a(g822 +V\u000a +p15472 +tp15473 +a(g7 +VSCROLL* AT LINE 24 COLUMN 1\u000a +p15474 +tp15475 +a(g7 +VSCROLL* WITH SCROLL UP 1 LINE\u000a +p15476 +tp15477 +a(g7 +VGC0909 +p15478 +tp15479 +a(g822 +V +p15480 +tp15481 +a(g789 +VEND-DISPLAY +p15482 +tp15483 +a(g822 +V\u000a +p15484 +tp15485 +a(g7 +VGC0909 +p15486 +tp15487 +a(g822 +V +p15488 +tp15489 +a(g789 +VACCEPT +p15490 +tp15491 +a(g822 +g958 +tp15492 +a(g436 +VDummy +p15493 +tp15494 +a(g822 +V\u000a +p15495 +tp15496 +a(g7 +VGC0909 +p15497 +tp15498 +a(g822 +V +p15499 +tp15500 +a(g781 +VFROM +p15501 +tp15502 +a(g822 +g958 +tp15503 +a(g436 +VCONSOLE +p15504 +tp15505 +a(g822 +V\u000a +p15506 +tp15507 +a(g7 +VGC0909 +p15508 +tp15509 +a(g822 +V +p15510 +tp15511 +a(g789 +VEND-ACCEPT +p15512 +tp15513 +a(g822 +V\u000a +p15514 +tp15515 +a(g7 +VGC0909 +p15516 +tp15517 +a(g822 +V +p15518 +tp15519 +a(g789 +VDISPLAY +p15520 +tp15521 +a(g822 +V\u000a +p15522 +tp15523 +a(g7 +VGC0909 +p15524 +tp15525 +a(g822 +V +p15526 +tp15527 +a(g436 +VBlank-Screen +p15528 +tp15529 +a(g822 +V\u000a +p15530 +tp15531 +a(g7 +VGC0909 +p15532 +tp15533 +a(g822 +V +p15534 +tp15535 +a(g789 +VEND-DISPLAY\u000a +p15536 +tp15537 +a(g822 +g958 +tp15538 +a(g789 +VEND-IF\u000a +p15539 +tp15540 +a(g701 +g965 +tp15541 +a(g822 +V\u000a +p15542 +tp15543 +a(g822 +V\u000a +p15544 +tp15545 +a(g7 +V +p15546 +tp15547 +a(g822 +g958 +tp15548 +a(g32 +V219 +p15549 +tp15550 +a(g408 +g1832 +tp15551 +a(g436 +VDone +p15552 +tp15553 +a(g701 +g965 +tp15554 +a(g822 +V\u000a +p15555 +tp15556 +a(g7 +V +p15557 +tp15558 +a(g822 +V +p15559 +tp15560 +a(g789 +VIF +p15561 +tp15562 +a(g822 +g958 +tp15563 +a(g32 +V88 +p15564 +tp15565 +a(g408 +g1832 +tp15566 +a(g436 +VCompile-Failed +p15567 +tp15568 +a(g822 +V\u000a +p15569 +tp15570 +a(g7 +V +p15571 +tp15572 +a(g822 +V +p15573 +tp15574 +a(g789 +VPERFORM +p15575 +tp15576 +a(g822 +g958 +tp15577 +a(g32 +V900 +p15578 +tp15579 +a(g408 +g1832 +tp15580 +a(g436 +VTerminate +p15581 +tp15582 +a(g822 +V\u000a +p15583 +tp15584 +a(g7 +V +p15585 +tp15586 +a(g822 +V +p15587 +tp15588 +a(g789 +VEND-IF\u000a +p15589 +tp15590 +a(g701 +g965 +tp15591 +a(g822 +V\u000a +p15592 +tp15593 +a(g7 +V /\u000a +p15594 +tp15595 +a(g7 +VGC0410 +p15596 +tp15597 +a(g822 +g958 +tp15598 +a(g32 +V220 +p15599 +tp15600 +a(g408 +g1832 +tp15601 +a(g436 +VMake-Listing +p15602 +tp15603 +a(g822 +g958 +tp15604 +a(g789 +VSECTION +p15605 +tp15606 +a(g701 +g965 +tp15607 +a(g822 +V\u000a +p15608 +tp15609 +a(g7 +VGC0410*****************************************************************\u000a +p15610 +tp15611 +a(g7 +VGC0410** Generate a source and/or xref listing using XREF **\u000a +p15612 +tp15613 +a(g7 +VGC0410*****************************************************************\u000a +p15614 +tp15615 +a(g7 +VGC0410 +p15616 +tp15617 +a(g822 +V\u000a +p15618 +tp15619 +a(g7 +VGC0410 +p15620 +tp15621 +a(g822 +g958 +tp15622 +a(g32 +V221 +p15623 +tp15624 +a(g408 +g1832 +tp15625 +a(g436 +VInit +p15626 +tp15627 +a(g701 +g965 +tp15628 +a(g822 +V\u000a +p15629 +tp15630 +a(g7 +VGC0410 +p15631 +tp15632 +a(g822 +V +p15633 +tp15634 +a(g789 +VMOVE +p15635 +tp15636 +a(g225 +V' Generating cross-reference listing...' +p15637 +tp15638 +a(g822 +V\u000a +p15639 +tp15640 +a(g7 +VGC0410 +p15641 +tp15642 +a(g822 +V +p15643 +tp15644 +a(g781 +VTO +p15645 +tp15646 +a(g822 +g958 +tp15647 +a(g436 +VOutput-Message +p15648 +tp15649 +a(g822 +V\u000a +p15650 +tp15651 +a(g7 +VGC0410 +p15652 +tp15653 +a(g822 +V +p15654 +tp15655 +a(g789 +VDISPLAY +p15656 +tp15657 +a(g822 +V\u000a +p15658 +tp15659 +a(g7 +VGC0410 +p15660 +tp15661 +a(g822 +V +p15662 +tp15663 +a(g436 +VSwitches-Screen +p15664 +tp15665 +a(g822 +V\u000a +p15666 +tp15667 +a(g7 +VGC0410 +p15668 +tp15669 +a(g822 +V +p15670 +tp15671 +a(g789 +VEND-DISPLAY +p15672 +tp15673 +a(g822 +V\u000a +p15674 +tp15675 +a(g7 +VGC0410 +p15676 +tp15677 +a(g822 +V +p15678 +tp15679 +a(g789 +VCALL +p15680 +tp15681 +a(g152 +V"CBL_DELETE_FILE" +p15682 +tp15683 +a(g822 +V\u000a +p15684 +tp15685 +a(g7 +VGC0410 +p15686 +tp15687 +a(g822 +V +p15688 +tp15689 +a(g781 +VUSING +p15690 +tp15691 +a(g822 +g958 +tp15692 +a(g569 +VCONCATENATE +p15693 +tp15694 +a(g701 +g7240 +tp15695 +a(g569 +VTRIM +p15696 +tp15697 +a(g701 +g7240 +tp15698 +a(g436 +VProg-Name +p15699 +tp15700 +a(g701 +g2705 +tp15701 +a(g781 +VTrailing +p15702 +tp15703 +a(g701 +g7247 +tp15704 +a(g701 +g2705 +tp15705 +a(g152 +V".lst" +p15706 +tp15707 +a(g701 +g7247 +tp15708 +a(g822 +V\u000a +p15709 +tp15710 +a(g7 +VGC0410 +p15711 +tp15712 +a(g822 +V +p15713 +tp15714 +a(g789 +VEND-CALL +p15715 +tp15716 +a(g822 +V\u000a +p15717 +tp15718 +a(g7 +VGC0410 +p15719 +tp15720 +a(g822 +V +p15721 +tp15722 +a(g789 +VMOVE +p15723 +tp15724 +a(g822 +g958 +tp15725 +a(g32 +V0 +p15726 +tp15727 +a(g781 +VTO +p15728 +tp15729 +a(g822 +g958 +tp15730 +a(g436 +VRETURN-CODE +p15731 +tp15732 +a(g822 +V\u000a +p15733 +tp15734 +a(g7 +VGC0410 +p15735 +tp15736 +a(g822 +V +p15737 +tp15738 +a(g701 +g965 +tp15739 +a(g822 +V\u000a +p15740 +tp15741 +a(g7 +VGC0410 +p15742 +tp15743 +a(g822 +V\u000a +p15744 +tp15745 +a(g7 +VGC0410 +p15746 +tp15747 +a(g822 +g958 +tp15748 +a(g32 +V213 +p15749 +tp15750 +a(g408 +g1832 +tp15751 +a(g436 +VRun-OCXref +p15752 +tp15753 +a(g701 +g965 +tp15754 +a(g822 +V\u000a +p15755 +tp15756 +a(g7 +VGC0410 +p15757 +tp15758 +a(g822 +V +p15759 +tp15760 +a(g789 +VMOVE +p15761 +tp15762 +a(g822 +g958 +tp15763 +a(g561 +VSPACES +p15764 +tp15765 +a(g822 +g958 +tp15766 +a(g781 +VTO +p15767 +tp15768 +a(g822 +g958 +tp15769 +a(g436 +VOutput-Message +p15770 +tp15771 +a(g822 +V\u000a +p15772 +tp15773 +a(g7 +VGC0410 +p15774 +tp15775 +a(g822 +V +p15776 +tp15777 +a(g789 +VCALL +p15778 +tp15779 +a(g225 +V'LISTING' +p15780 +tp15781 +a(g822 +V\u000a +p15782 +tp15783 +a(g7 +VGC0410 +p15784 +tp15785 +a(g822 +V +p15786 +tp15787 +a(g781 +VUSING +p15788 +tp15789 +a(g822 +g958 +tp15790 +a(g436 +VS-SOURCE +p15791 +tp15792 +a(g822 +V\u000a +p15793 +tp15794 +a(g7 +VGC0410 +p15795 +tp15796 +a(g822 +V +p15797 +tp15798 +a(g436 +VS-XREF +p15799 +tp15800 +a(g822 +V\u000a +p15801 +tp15802 +a(g7 +VGC0410 +p15803 +tp15804 +a(g822 +V +p15805 +tp15806 +a(g436 +VFile-Name +p15807 +tp15808 +a(g822 +V\u000a +p15809 +tp15810 +a(g7 +VGC0410 +p15811 +tp15812 +a(g822 +V +p15813 +tp15814 +a(g781 +VON +p15815 +tp15816 +a(g822 +g958 +tp15817 +a(g781 +VEXCEPTION +p15818 +tp15819 +a(g822 +V\u000a +p15820 +tp15821 +a(g7 +VGC0410 +p15822 +tp15823 +a(g822 +V +p15824 +tp15825 +a(g789 +VMOVE +p15826 +tp15827 +a(g225 +V' LISTING module is not available' +p15828 +tp15829 +a(g822 +V\u000a +p15830 +tp15831 +a(g7 +VGC0410 +p15832 +tp15833 +a(g822 +V +p15834 +tp15835 +a(g781 +VTO +p15836 +tp15837 +a(g822 +g958 +tp15838 +a(g436 +VOutput-Message +p15839 +tp15840 +a(g822 +V\u000a +p15841 +tp15842 +a(g7 +VGC0410 +p15843 +tp15844 +a(g822 +V +p15845 +tp15846 +a(g789 +VMOVE +p15847 +tp15848 +a(g822 +g958 +tp15849 +a(g32 +V1 +p15850 +tp15851 +a(g781 +VTO +p15852 +tp15853 +a(g822 +g958 +tp15854 +a(g436 +VRETURN-CODE +p15855 +tp15856 +a(g822 +V\u000a +p15857 +tp15858 +a(g7 +VGC0410 +p15859 +tp15860 +a(g822 +V +p15861 +tp15862 +a(g789 +VEND-CALL +p15863 +tp15864 +a(g822 +V\u000a +p15865 +tp15866 +a(g7 +VGC0410 +p15867 +tp15868 +a(g822 +V +p15869 +tp15870 +a(g789 +VIF +p15871 +tp15872 +a(g822 +g958 +tp15873 +a(g436 +VRETURN-CODE +p15874 +tp15875 +a(g822 +g958 +tp15876 +a(g408 +g7223 +tp15877 +a(g822 +g958 +tp15878 +a(g32 +V0\u000a +p15879 +tp15880 +a(g7 +VGC0410 +p15881 +tp15882 +a(g822 +V +p15883 +tp15884 +a(g789 +VMOVE +p15885 +tp15886 +a(g225 +V' Listing generated' +p15887 +tp15888 +a(g822 +V\u000a +p15889 +tp15890 +a(g7 +VGC0410 +p15891 +tp15892 +a(g822 +V +p15893 +tp15894 +a(g781 +VTO +p15895 +tp15896 +a(g822 +g958 +tp15897 +a(g436 +VOutput-Message +p15898 +tp15899 +a(g822 +V\u000a +p15900 +tp15901 +a(g7 +VGC0410 +p15902 +tp15903 +a(g822 +V +p15904 +tp15905 +a(g789 +VIF +p15906 +tp15907 +a(g822 +g958 +tp15908 +a(g436 +VOS-Windows +p15909 +tp15910 +a(g822 +g958 +tp15911 +a(g422 +VOR +p15912 +tp15913 +a(g822 +g958 +tp15914 +a(g436 +VOS-Cygwin +p15915 +tp15916 +a(g822 +V\u000a +p15917 +tp15918 +a(g7 +VGC0410 +p15919 +tp15920 +a(g822 +V +p15921 +tp15922 +a(g789 +VMOVE +p15923 +tp15924 +a(g822 +g958 +tp15925 +a(g561 +VSPACES +p15926 +tp15927 +a(g822 +g958 +tp15928 +a(g781 +VTO +p15929 +tp15930 +a(g822 +g958 +tp15931 +a(g436 +VCmd +p15932 +tp15933 +a(g822 +V\u000a +p15934 +tp15935 +a(g7 +VGC0410 +p15936 +tp15937 +a(g822 +V +p15938 +tp15939 +a(g789 +VSTRING +p15940 +tp15941 +a(g822 +V\u000a +p15942 +tp15943 +a(g7 +VGC0410 +p15944 +tp15945 +a(g822 +V +p15946 +tp15947 +a(g225 +V'cmd /c ' +p15948 +tp15949 +a(g822 +V\u000a +p15950 +tp15951 +a(g7 +VGC0410 +p15952 +tp15953 +a(g822 +V +p15954 +tp15955 +a(g569 +VTRIM +p15956 +tp15957 +a(g701 +g7240 +tp15958 +a(g436 +VProg-Name +p15959 +tp15960 +a(g701 +g2705 +tp15961 +a(g781 +VTRAILING +p15962 +tp15963 +a(g701 +g7247 +tp15964 +a(g822 +V\u000a +p15965 +tp15966 +a(g7 +VGC0410 +p15967 +tp15968 +a(g822 +V +p15969 +tp15970 +a(g225 +V'.lst' +p15971 +tp15972 +a(g822 +V\u000a +p15973 +tp15974 +a(g7 +VGC0410 +p15975 +tp15976 +a(g822 +V +p15977 +tp15978 +a(g781 +VDELIMITED +p15979 +tp15980 +a(g822 +g958 +tp15981 +a(g781 +VSIZE +p15982 +tp15983 +a(g822 +g958 +tp15984 +a(g781 +VINTO +p15985 +tp15986 +a(g822 +g958 +tp15987 +a(g436 +VCmd +p15988 +tp15989 +a(g822 +V\u000a +p15990 +tp15991 +a(g7 +VGC0410 +p15992 +tp15993 +a(g822 +V +p15994 +tp15995 +a(g789 +VEND-STRING +p15996 +tp15997 +a(g822 +V\u000a +p15998 +tp15999 +a(g7 +VGC0410 +p16000 +tp16001 +a(g822 +V +p16002 +tp16003 +a(g789 +VCALL +p16004 +tp16005 +a(g225 +V'SYSTEM' +p16006 +tp16007 +a(g822 +V\u000a +p16008 +tp16009 +a(g7 +VGC0410 +p16010 +tp16011 +a(g822 +V +p16012 +tp16013 +a(g781 +VUSING +p16014 +tp16015 +a(g822 +g958 +tp16016 +a(g569 +VTRIM +p16017 +tp16018 +a(g701 +g7240 +tp16019 +a(g436 +VCmd +p16020 +tp16021 +a(g701 +g2705 +tp16022 +a(g781 +VTRAILING +p16023 +tp16024 +a(g701 +g7247 +tp16025 +a(g822 +V\u000a +p16026 +tp16027 +a(g7 +VGC0410 +p16028 +tp16029 +a(g822 +V +p16030 +tp16031 +a(g789 +VEND-CALL +p16032 +tp16033 +a(g822 +V\u000a +p16034 +tp16035 +a(g7 +VGC0410 +p16036 +tp16037 +a(g822 +V +p16038 +tp16039 +a(g789 +VEND-IF +p16040 +tp16041 +a(g822 +V\u000a +p16042 +tp16043 +a(g7 +VGC0410 +p16044 +tp16045 +a(g822 +V +p16046 +tp16047 +a(g789 +VELSE +p16048 +tp16049 +a(g822 +V\u000a +p16050 +tp16051 +a(g7 +VGC0410 +p16052 +tp16053 +a(g822 +V +p16054 +tp16055 +a(g789 +VIF +p16056 +tp16057 +a(g822 +g958 +tp16058 +a(g436 +VOutput-Message +p16059 +tp16060 +a(g822 +g958 +tp16061 +a(g408 +g7223 +tp16062 +a(g822 +g958 +tp16063 +a(g561 +VSPACES +p16064 +tp16065 +a(g822 +V\u000a +p16066 +tp16067 +a(g7 +VGC0410 +p16068 +tp16069 +a(g822 +V +p16070 +tp16071 +a(g789 +VMOVE +p16072 +tp16073 +a(g225 +V' Listing generation failed' +p16074 +tp16075 +a(g822 +V\u000a +p16076 +tp16077 +a(g7 +VGC0410 +p16078 +tp16079 +a(g822 +V +p16080 +tp16081 +a(g781 +VTO +p16082 +tp16083 +a(g822 +g958 +tp16084 +a(g436 +VOutput-Message +p16085 +tp16086 +a(g822 +V\u000a +p16087 +tp16088 +a(g7 +VGC0410 +p16089 +tp16090 +a(g822 +V +p16091 +tp16092 +a(g789 +VEND-IF +p16093 +tp16094 +a(g822 +V\u000a +p16095 +tp16096 +a(g7 +VGC0410 +p16097 +tp16098 +a(g822 +V +p16099 +tp16100 +a(g789 +VEND-IF +p16101 +tp16102 +a(g822 +V\u000a +p16103 +tp16104 +a(g7 +VGC0410 +p16105 +tp16106 +a(g822 +V +p16107 +tp16108 +a(g789 +VDISPLAY +p16109 +tp16110 +a(g822 +V\u000a +p16111 +tp16112 +a(g7 +VGC0410 +p16113 +tp16114 +a(g822 +V +p16115 +tp16116 +a(g436 +VSwitches-Screen +p16117 +tp16118 +a(g822 +V\u000a +p16119 +tp16120 +a(g7 +VGC0410 +p16121 +tp16122 +a(g822 +V +p16123 +tp16124 +a(g789 +VEND-DISPLAY +p16125 +tp16126 +a(g822 +V\u000a +p16127 +tp16128 +a(g7 +VGC0410 +p16129 +tp16130 +a(g822 +V +p16131 +tp16132 +a(g789 +VCALL +p16133 +tp16134 +a(g225 +V'C$SLEEP' +p16135 +tp16136 +a(g822 +V\u000a +p16137 +tp16138 +a(g7 +VGC0410 +p16139 +tp16140 +a(g822 +V +p16141 +tp16142 +a(g781 +VUSING +p16143 +tp16144 +a(g822 +g958 +tp16145 +a(g32 +V2\u000a +p16146 +tp16147 +a(g7 +VGC0410 +p16148 +tp16149 +a(g822 +V +p16150 +tp16151 +a(g789 +VEND-CALL +p16152 +tp16153 +a(g822 +V\u000a +p16154 +tp16155 +a(g7 +VGC0410 +p16156 +tp16157 +a(g822 +V +p16158 +tp16159 +a(g701 +g965 +tp16160 +a(g822 +V\u000a +p16161 +tp16162 +a(g7 +V /\u000a +p16163 +tp16164 +a(g7 +V +p16165 +tp16166 +a(g822 +g958 +tp16167 +a(g32 +V230 +p16168 +tp16169 +a(g408 +g1832 +tp16170 +a(g436 +VRun-Program +p16171 +tp16172 +a(g822 +g958 +tp16173 +a(g789 +VSECTION +p16174 +tp16175 +a(g701 +g965 +tp16176 +a(g822 +V\u000a +p16177 +tp16178 +a(g7 +V *****************************************************************\u000a +p16179 +tp16180 +a(g7 +V ** Run the compiled program **\u000a +p16181 +tp16182 +a(g7 +V *****************************************************************\u000a +p16183 +tp16184 +a(g822 +V\u000a +p16185 +tp16186 +a(g7 +V +p16187 +tp16188 +a(g822 +g958 +tp16189 +a(g32 +V232 +p16190 +tp16191 +a(g408 +g1832 +tp16192 +a(g436 +VBuild-Command +p16193 +tp16194 +a(g701 +g965 +tp16195 +a(g822 +V\u000a +p16196 +tp16197 +a(g7 +VGC0909 +p16198 +tp16199 +a(g822 +V +p16200 +tp16201 +a(g789 +VMOVE +p16202 +tp16203 +a(g822 +g958 +tp16204 +a(g561 +VSPACES +p16205 +tp16206 +a(g822 +g958 +tp16207 +a(g781 +VTO +p16208 +tp16209 +a(g822 +g958 +tp16210 +a(g436 +VCmd +p16211 +tp16212 +a(g822 +V\u000a +p16213 +tp16214 +a(g7 +VGC0909 +p16215 +tp16216 +a(g822 +V +p16217 +tp16218 +a(g789 +VMOVE +p16219 +tp16220 +a(g822 +g958 +tp16221 +a(g32 +V1 +p16222 +tp16223 +a(g781 +VTO +p16224 +tp16225 +a(g822 +g958 +tp16226 +a(g436 +g2314 +tp16227 +a(g822 +V\u000a +p16228 +tp16229 +a(g7 +V +p16230 +tp16231 +a(g822 +V +p16232 +tp16233 +a(g789 +VIF +p16234 +tp16235 +a(g822 +g958 +tp16236 +a(g436 +VS-SUBROUTINE +p16237 +tp16238 +a(g822 +g958 +tp16239 +a(g422 +VNOT +p16240 +tp16241 +a(g408 +g7223 +tp16242 +a(g822 +g958 +tp16243 +a(g225 +V' ' +p16244 +tp16245 +a(g822 +V\u000a +p16246 +tp16247 +a(g7 +V +p16248 +tp16249 +a(g822 +V +p16250 +tp16251 +a(g422 +VOR +p16252 +tp16253 +a(g822 +g958 +tp16254 +a(g436 +VS-DLL +p16255 +tp16256 +a(g822 +g958 +tp16257 +a(g422 +VNOT +p16258 +tp16259 +a(g408 +g7223 +tp16260 +a(g822 +g958 +tp16261 +a(g225 +V' ' +p16262 +tp16263 +a(g822 +V\u000a +p16264 +tp16265 +a(g7 +V +p16266 +tp16267 +a(g822 +V +p16268 +tp16269 +a(g789 +VSTRING +p16270 +tp16271 +a(g225 +V'cobcrun ' +p16272 +tp16273 +a(g822 +g958 +tp16274 +a(g781 +VDELIMITED +p16275 +tp16276 +a(g822 +g958 +tp16277 +a(g781 +VSIZE\u000a +p16278 +tp16279 +a(g822 +g958 +tp16280 +a(g781 +VINTO +p16281 +tp16282 +a(g822 +g958 +tp16283 +a(g436 +VCmd +p16284 +tp16285 +a(g822 +V\u000a +p16286 +tp16287 +a(g7 +V +p16288 +tp16289 +a(g822 +V +p16290 +tp16291 +a(g781 +VWITH +p16292 +tp16293 +a(g822 +g958 +tp16294 +a(g781 +VPOINTER +p16295 +tp16296 +a(g822 +g958 +tp16297 +a(g436 +g2314 +tp16298 +a(g822 +V\u000a +p16299 +tp16300 +a(g7 +V +p16301 +tp16302 +a(g822 +V +p16303 +tp16304 +a(g789 +VEND-STRING\u000a +p16305 +tp16306 +a(g822 +g958 +tp16307 +a(g789 +VEND-IF\u000a +p16308 +tp16309 +a(g822 +g958 +tp16310 +a(g789 +VIF +p16311 +tp16312 +a(g822 +g958 +tp16313 +a(g436 +VProg-Folder +p16314 +tp16315 +a(g822 +g958 +tp16316 +a(g422 +VNOT +p16317 +tp16318 +a(g408 +g7223 +tp16319 +a(g822 +g958 +tp16320 +a(g561 +VSPACES +p16321 +tp16322 +a(g822 +V\u000a +p16323 +tp16324 +a(g7 +VGC0909 +p16325 +tp16326 +a(g822 +V +p16327 +tp16328 +a(g789 +VIF +p16329 +tp16330 +a(g822 +g958 +tp16331 +a(g436 +VOS-Cygwin +p16332 +tp16333 +a(g822 +g958 +tp16334 +a(g422 +VAND +p16335 +tp16336 +a(g822 +g958 +tp16337 +a(g436 +VProg-Folder +p16338 +tp16339 +a(g822 +g958 +tp16340 +a(g701 +g7240 +tp16341 +a(g32 +g2466 +tp16342 +a(g701 +g11392 +tp16343 +a(g32 +g1964 +tp16344 +a(g701 +g7247 +tp16345 +a(g822 +g958 +tp16346 +a(g408 +g7223 +tp16347 +a(g822 +g958 +tp16348 +a(g225 +V':' +p16349 +tp16350 +a(g822 +V\u000a +p16351 +tp16352 +a(g7 +VGC0909 +p16353 +tp16354 +a(g822 +V +p16355 +tp16356 +a(g789 +VSTRING +p16357 +tp16358 +a(g225 +V'/cygdrive/' +p16359 +tp16360 +a(g822 +V\u000a +p16361 +tp16362 +a(g7 +VGC0909 +p16363 +tp16364 +a(g822 +V +p16365 +tp16366 +a(g781 +VINTO +p16367 +tp16368 +a(g822 +g958 +tp16369 +a(g436 +VCmd +p16370 +tp16371 +a(g822 +V\u000a +p16372 +tp16373 +a(g7 +VGC0909 +p16374 +tp16375 +a(g822 +V +p16376 +tp16377 +a(g781 +VWITH +p16378 +tp16379 +a(g822 +g958 +tp16380 +a(g781 +VPOINTER +p16381 +tp16382 +a(g822 +g958 +tp16383 +a(g436 +g2314 +tp16384 +a(g822 +V\u000a +p16385 +tp16386 +a(g7 +VGC0909 +p16387 +tp16388 +a(g822 +V +p16389 +tp16390 +a(g789 +VEND-STRING +p16391 +tp16392 +a(g822 +V\u000a +p16393 +tp16394 +a(g7 +VGC0909 +p16395 +tp16396 +a(g822 +V +p16397 +tp16398 +a(g789 +VSTRING +p16399 +tp16400 +a(g822 +g958 +tp16401 +a(g569 +VLOWER-CASE +p16402 +tp16403 +a(g701 +g7240 +tp16404 +a(g436 +VProg-Folder +p16405 +tp16406 +a(g822 +g958 +tp16407 +a(g701 +g7240 +tp16408 +a(g32 +g1964 +tp16409 +a(g701 +g11392 +tp16410 +a(g32 +g1964 +tp16411 +a(g701 +g7247 +tp16412 +a(g701 +g7247 +tp16413 +a(g822 +V\u000a +p16414 +tp16415 +a(g7 +VGC0909 +p16416 +tp16417 +a(g822 +V +p16418 +tp16419 +a(g781 +VINTO +p16420 +tp16421 +a(g822 +g958 +tp16422 +a(g436 +VCmd +p16423 +tp16424 +a(g822 +V\u000a +p16425 +tp16426 +a(g7 +VGC0909 +p16427 +tp16428 +a(g822 +V +p16429 +tp16430 +a(g781 +VWITH +p16431 +tp16432 +a(g822 +g958 +tp16433 +a(g781 +VPOINTER +p16434 +tp16435 +a(g822 +g958 +tp16436 +a(g436 +g2314 +tp16437 +a(g822 +V\u000a +p16438 +tp16439 +a(g7 +VGC0909 +p16440 +tp16441 +a(g822 +V +p16442 +tp16443 +a(g789 +VEND-STRING +p16444 +tp16445 +a(g822 +V\u000a +p16446 +tp16447 +a(g7 +VGC0909 +p16448 +tp16449 +a(g822 +V +p16450 +tp16451 +a(g789 +VPERFORM +p16452 +tp16453 +a(g822 +g958 +tp16454 +a(g781 +VVARYING +p16455 +tp16456 +a(g822 +g958 +tp16457 +a(g436 +g2333 +tp16458 +a(g822 +g958 +tp16459 +a(g781 +VFROM +p16460 +tp16461 +a(g822 +g958 +tp16462 +a(g32 +V3 +p16463 +tp16464 +a(g781 +VBY +p16465 +tp16466 +a(g822 +g958 +tp16467 +a(g32 +V1\u000a +p16468 +tp16469 +a(g7 +VGC0909 +p16470 +tp16471 +a(g822 +V +p16472 +tp16473 +a(g781 +VUNTIL +p16474 +tp16475 +a(g822 +g958 +tp16476 +a(g436 +g2333 +tp16477 +a(g822 +g958 +tp16478 +a(g408 +g12655 +tp16479 +a(g822 +g958 +tp16480 +a(g781 +VLENGTH +p16481 +tp16482 +a(g701 +g7240 +tp16483 +a(g569 +VTRIM +p16484 +tp16485 +a(g701 +g7240 +tp16486 +a(g436 +VProg-Folder +p16487 +tp16488 +a(g701 +g7247 +tp16489 +a(g701 +g7247 +tp16490 +a(g822 +V\u000a +p16491 +tp16492 +a(g7 +VGC0909 +p16493 +tp16494 +a(g822 +V +p16495 +tp16496 +a(g789 +VIF +p16497 +tp16498 +a(g822 +g958 +tp16499 +a(g436 +VProg-Folder +p16500 +tp16501 +a(g822 +g958 +tp16502 +a(g701 +g7240 +tp16503 +a(g436 +g2333 +tp16504 +a(g701 +g11392 +tp16505 +a(g32 +g1964 +tp16506 +a(g701 +g7247 +tp16507 +a(g822 +g958 +tp16508 +a(g408 +g7223 +tp16509 +a(g822 +g958 +tp16510 +a(g225 +V'\u005c' +p16511 +tp16512 +a(g822 +V\u000a +p16513 +tp16514 +a(g7 +VGC0909 +p16515 +tp16516 +a(g822 +V +p16517 +tp16518 +a(g789 +VSTRING +p16519 +tp16520 +a(g225 +V'/' +p16521 +tp16522 +a(g822 +V\u000a +p16523 +tp16524 +a(g7 +VGC0909 +p16525 +tp16526 +a(g822 +V +p16527 +tp16528 +a(g781 +VINTO +p16529 +tp16530 +a(g822 +g958 +tp16531 +a(g436 +VCmd +p16532 +tp16533 +a(g822 +V\u000a +p16534 +tp16535 +a(g7 +VGC0909 +p16536 +tp16537 +a(g822 +V +p16538 +tp16539 +a(g781 +VWITH +p16540 +tp16541 +a(g822 +g958 +tp16542 +a(g781 +VPOINTER +p16543 +tp16544 +a(g822 +g958 +tp16545 +a(g436 +g2314 +tp16546 +a(g822 +V\u000a +p16547 +tp16548 +a(g7 +VGC0909 +p16549 +tp16550 +a(g822 +V +p16551 +tp16552 +a(g789 +VEND-STRING +p16553 +tp16554 +a(g822 +V\u000a +p16555 +tp16556 +a(g7 +VGC0909 +p16557 +tp16558 +a(g822 +V +p16559 +tp16560 +a(g789 +VELSE +p16561 +tp16562 +a(g822 +V\u000a +p16563 +tp16564 +a(g7 +VGC0909 +p16565 +tp16566 +a(g822 +V +p16567 +tp16568 +a(g789 +VSTRING +p16569 +tp16570 +a(g822 +g958 +tp16571 +a(g436 +VProg-Folder +p16572 +tp16573 +a(g822 +g958 +tp16574 +a(g701 +g7240 +tp16575 +a(g436 +g2333 +tp16576 +a(g701 +g11392 +tp16577 +a(g32 +g1964 +tp16578 +a(g701 +g7247 +tp16579 +a(g822 +V\u000a +p16580 +tp16581 +a(g7 +VGC0909 +p16582 +tp16583 +a(g822 +V +p16584 +tp16585 +a(g781 +VINTO +p16586 +tp16587 +a(g822 +g958 +tp16588 +a(g436 +VCmd +p16589 +tp16590 +a(g822 +V\u000a +p16591 +tp16592 +a(g7 +VGC0909 +p16593 +tp16594 +a(g822 +V +p16595 +tp16596 +a(g781 +VWITH +p16597 +tp16598 +a(g822 +g958 +tp16599 +a(g781 +VPOINTER +p16600 +tp16601 +a(g822 +g958 +tp16602 +a(g436 +g2314 +tp16603 +a(g822 +V\u000a +p16604 +tp16605 +a(g7 +VGC0909 +p16606 +tp16607 +a(g822 +V +p16608 +tp16609 +a(g789 +VEND-STRING +p16610 +tp16611 +a(g822 +V\u000a +p16612 +tp16613 +a(g7 +VGC0909 +p16614 +tp16615 +a(g822 +V +p16616 +tp16617 +a(g789 +VEND-IF +p16618 +tp16619 +a(g822 +V\u000a +p16620 +tp16621 +a(g7 +VGC0909 +p16622 +tp16623 +a(g822 +V +p16624 +tp16625 +a(g789 +VEND-PERFORM +p16626 +tp16627 +a(g822 +V\u000a +p16628 +tp16629 +a(g7 +VGC0909 +p16630 +tp16631 +a(g822 +V +p16632 +tp16633 +a(g789 +VELSE +p16634 +tp16635 +a(g822 +V\u000a +p16636 +tp16637 +a(g7 +VGC0410 +p16638 +tp16639 +a(g822 +V +p16640 +tp16641 +a(g789 +VSTRING +p16642 +tp16643 +a(g225 +V'"' +p16644 +tp16645 +a(g822 +g958 +tp16646 +a(g569 +VTRIM +p16647 +tp16648 +a(g701 +g7240 +tp16649 +a(g436 +VProg-Folder +p16650 +tp16651 +a(g701 +g2705 +tp16652 +a(g781 +VTRAILING +p16653 +tp16654 +a(g701 +g7247 +tp16655 +a(g822 +V\u000a +p16656 +tp16657 +a(g7 +VGC0909 +p16658 +tp16659 +a(g822 +V +p16660 +tp16661 +a(g781 +VINTO +p16662 +tp16663 +a(g822 +g958 +tp16664 +a(g436 +VCmd +p16665 +tp16666 +a(g822 +V\u000a +p16667 +tp16668 +a(g7 +VGC0909 +p16669 +tp16670 +a(g822 +V +p16671 +tp16672 +a(g781 +VWITH +p16673 +tp16674 +a(g822 +g958 +tp16675 +a(g781 +VPOINTER +p16676 +tp16677 +a(g822 +g958 +tp16678 +a(g436 +g2314 +tp16679 +a(g822 +V\u000a +p16680 +tp16681 +a(g7 +VGC0909 +p16682 +tp16683 +a(g822 +V +p16684 +tp16685 +a(g789 +VEND-STRING +p16686 +tp16687 +a(g822 +V\u000a +p16688 +tp16689 +a(g7 +VGC0909 +p16690 +tp16691 +a(g822 +V +p16692 +tp16693 +a(g789 +VEND-IF +p16694 +tp16695 +a(g822 +V\u000a +p16696 +tp16697 +a(g7 +VGC0909 +p16698 +tp16699 +a(g822 +V +p16700 +tp16701 +a(g789 +VSTRING +p16702 +tp16703 +a(g822 +g958 +tp16704 +a(g436 +VDir-Char +p16705 +tp16706 +a(g822 +V\u000a +p16707 +tp16708 +a(g7 +VGC0909 +p16709 +tp16710 +a(g822 +V +p16711 +tp16712 +a(g781 +VINTO +p16713 +tp16714 +a(g822 +g958 +tp16715 +a(g436 +VCmd +p16716 +tp16717 +a(g822 +V\u000a +p16718 +tp16719 +a(g7 +VGC0909 +p16720 +tp16721 +a(g822 +V +p16722 +tp16723 +a(g781 +VWITH +p16724 +tp16725 +a(g822 +g958 +tp16726 +a(g781 +VPOINTER +p16727 +tp16728 +a(g822 +g958 +tp16729 +a(g436 +g2314 +tp16730 +a(g822 +V\u000a +p16731 +tp16732 +a(g7 +VGC0909 +p16733 +tp16734 +a(g822 +V +p16735 +tp16736 +a(g789 +VEND-STRING +p16737 +tp16738 +a(g822 +V\u000a +p16739 +tp16740 +a(g7 +VGC0909 +p16741 +tp16742 +a(g822 +V +p16743 +tp16744 +a(g789 +VELSE +p16745 +tp16746 +a(g822 +V\u000a +p16747 +tp16748 +a(g7 +VGC0909 +p16749 +tp16750 +a(g822 +V +p16751 +tp16752 +a(g789 +VIF +p16753 +tp16754 +a(g822 +g958 +tp16755 +a(g436 +VOS-Cygwin +p16756 +tp16757 +a(g822 +g958 +tp16758 +a(g422 +VOR +p16759 +tp16760 +a(g822 +g958 +tp16761 +a(g436 +VOS-UNIX +p16762 +tp16763 +a(g822 +V\u000a +p16764 +tp16765 +a(g7 +VGC0909 +p16766 +tp16767 +a(g822 +V +p16768 +tp16769 +a(g789 +VSTRING +p16770 +tp16771 +a(g225 +V'./' +p16772 +tp16773 +a(g822 +V\u000a +p16774 +tp16775 +a(g7 +VGC0909 +p16776 +tp16777 +a(g822 +V +p16778 +tp16779 +a(g781 +VINTO +p16780 +tp16781 +a(g822 +g958 +tp16782 +a(g436 +VCmd +p16783 +tp16784 +a(g822 +V\u000a +p16785 +tp16786 +a(g7 +VGC0909 +p16787 +tp16788 +a(g822 +V +p16789 +tp16790 +a(g781 +VWITH +p16791 +tp16792 +a(g822 +g958 +tp16793 +a(g781 +VPOINTER +p16794 +tp16795 +a(g822 +g958 +tp16796 +a(g436 +g2314 +tp16797 +a(g822 +V\u000a +p16798 +tp16799 +a(g7 +VGC0909 +p16800 +tp16801 +a(g822 +V +p16802 +tp16803 +a(g789 +VEND-STRING +p16804 +tp16805 +a(g822 +V\u000a +p16806 +tp16807 +a(g7 +VGC0909 +p16808 +tp16809 +a(g822 +V +p16810 +tp16811 +a(g789 +VEND-IF\u000a +p16812 +tp16813 +a(g822 +g958 +tp16814 +a(g789 +VEND-IF +p16815 +tp16816 +a(g822 +V\u000a +p16817 +tp16818 +a(g7 +VGC0909 +p16819 +tp16820 +a(g822 +V +p16821 +tp16822 +a(g789 +VSTRING +p16823 +tp16824 +a(g822 +g958 +tp16825 +a(g569 +VTRIM +p16826 +tp16827 +a(g701 +g7240 +tp16828 +a(g436 +VProg-Name +p16829 +tp16830 +a(g701 +g2705 +tp16831 +a(g781 +VTRAILING +p16832 +tp16833 +a(g701 +g7247 +tp16834 +a(g822 +V\u000a +p16835 +tp16836 +a(g7 +VGC0909 +p16837 +tp16838 +a(g822 +V +p16839 +tp16840 +a(g781 +VINTO +p16841 +tp16842 +a(g822 +g958 +tp16843 +a(g436 +VCmd +p16844 +tp16845 +a(g822 +V\u000a +p16846 +tp16847 +a(g7 +VGC0909 +p16848 +tp16849 +a(g822 +V +p16850 +tp16851 +a(g781 +VWITH +p16852 +tp16853 +a(g822 +g958 +tp16854 +a(g781 +VPOINTER +p16855 +tp16856 +a(g822 +g958 +tp16857 +a(g436 +g2314 +tp16858 +a(g822 +V\u000a +p16859 +tp16860 +a(g7 +VGC0909 +p16861 +tp16862 +a(g822 +V +p16863 +tp16864 +a(g789 +VEND-STRING +p16865 +tp16866 +a(g822 +V\u000a +p16867 +tp16868 +a(g7 +VGC0909 +p16869 +tp16870 +a(g822 +V +p16871 +tp16872 +a(g789 +VIF +p16873 +tp16874 +a(g822 +g958 +tp16875 +a(g436 +VS-SUBROUTINE +p16876 +tp16877 +a(g822 +g958 +tp16878 +a(g408 +g7223 +tp16879 +a(g822 +g958 +tp16880 +a(g225 +V' ' +p16881 +tp16882 +a(g822 +V\u000a +p16883 +tp16884 +a(g7 +VGC0909 +p16885 +tp16886 +a(g822 +V +p16887 +tp16888 +a(g422 +VAND +p16889 +tp16890 +a(g822 +g958 +tp16891 +a(g436 +VS-DLL +p16892 +tp16893 +a(g822 +g958 +tp16894 +a(g422 +VNOT +p16895 +tp16896 +a(g408 +g7223 +tp16897 +a(g822 +g958 +tp16898 +a(g225 +V' ' +p16899 +tp16900 +a(g822 +V\u000a +p16901 +tp16902 +a(g7 +VGC0909 +p16903 +tp16904 +a(g822 +V +p16905 +tp16906 +a(g789 +VSTRING +p16907 +tp16908 +a(g225 +V'.exe' +p16909 +tp16910 +a(g822 +g958 +tp16911 +a(g781 +VDELIMITED +p16912 +tp16913 +a(g822 +g958 +tp16914 +a(g781 +VSIZE\u000a +p16915 +tp16916 +a(g822 +g958 +tp16917 +a(g781 +VINTO +p16918 +tp16919 +a(g822 +g958 +tp16920 +a(g436 +VCmd +p16921 +tp16922 +a(g822 +V\u000a +p16923 +tp16924 +a(g7 +V +p16925 +tp16926 +a(g822 +V +p16927 +tp16928 +a(g781 +VWITH +p16929 +tp16930 +a(g822 +g958 +tp16931 +a(g781 +VPOINTER +p16932 +tp16933 +a(g822 +g958 +tp16934 +a(g436 +g2314 +tp16935 +a(g822 +V\u000a +p16936 +tp16937 +a(g7 +V +p16938 +tp16939 +a(g822 +V +p16940 +tp16941 +a(g789 +VEND-STRING\u000a +p16942 +tp16943 +a(g822 +g958 +tp16944 +a(g789 +VEND-IF\u000a +p16945 +tp16946 +a(g822 +g958 +tp16947 +a(g789 +VIF +p16948 +tp16949 +a(g822 +g958 +tp16950 +a(g436 +VS-ARGS +p16951 +tp16952 +a(g822 +g958 +tp16953 +a(g422 +VNOT +p16954 +tp16955 +a(g408 +g7223 +tp16956 +a(g822 +g958 +tp16957 +a(g561 +VSPACES +p16958 +tp16959 +a(g822 +V\u000a +p16960 +tp16961 +a(g7 +VGC0809 +p16962 +tp16963 +a(g822 +V +p16964 +tp16965 +a(g789 +VSTRING +p16966 +tp16967 +a(g225 +V' ' +p16968 +tp16969 +a(g822 +g958 +tp16970 +a(g569 +VTRIM +p16971 +tp16972 +a(g701 +g7240 +tp16973 +a(g436 +VS-ARGS +p16974 +tp16975 +a(g701 +g2705 +tp16976 +a(g781 +VTRAILING +p16977 +tp16978 +a(g701 +g7247 +tp16979 +a(g822 +V\u000a +p16980 +tp16981 +a(g7 +V +p16982 +tp16983 +a(g822 +V +p16984 +tp16985 +a(g781 +VINTO +p16986 +tp16987 +a(g822 +g958 +tp16988 +a(g436 +VCmd +p16989 +tp16990 +a(g822 +V\u000a +p16991 +tp16992 +a(g7 +V +p16993 +tp16994 +a(g822 +V +p16995 +tp16996 +a(g781 +VWITH +p16997 +tp16998 +a(g822 +g958 +tp16999 +a(g781 +VPOINTER +p17000 +tp17001 +a(g822 +g958 +tp17002 +a(g436 +g2314 +tp17003 +a(g822 +V\u000a +p17004 +tp17005 +a(g7 +V +p17006 +tp17007 +a(g822 +V +p17008 +tp17009 +a(g789 +VEND-STRING\u000a +p17010 +tp17011 +a(g822 +g958 +tp17012 +a(g789 +VEND-IF\u000a +p17013 +tp17014 +a(g822 +g958 +tp17015 +a(g789 +VIF +p17016 +tp17017 +a(g822 +g958 +tp17018 +a(g436 +VOS-Unknown +p17019 +tp17020 +a(g822 +g958 +tp17021 +a(g422 +VOR +p17022 +tp17023 +a(g822 +g958 +tp17024 +a(g436 +VOS-Windows +p17025 +tp17026 +a(g822 +V\u000a +p17027 +tp17028 +a(g7 +VGC0410 +p17029 +tp17030 +a(g822 +V +p17031 +tp17032 +a(g789 +VSTRING +p17033 +tp17034 +a(g225 +V'"&&pause' +p17035 +tp17036 +a(g822 +V\u000a +p17037 +tp17038 +a(g7 +V +p17039 +tp17040 +a(g822 +V +p17041 +tp17042 +a(g781 +VINTO +p17043 +tp17044 +a(g822 +g958 +tp17045 +a(g436 +VCmd +p17046 +tp17047 +a(g822 +V\u000a +p17048 +tp17049 +a(g7 +V +p17050 +tp17051 +a(g822 +V +p17052 +tp17053 +a(g781 +VWITH +p17054 +tp17055 +a(g822 +g958 +tp17056 +a(g781 +VPOINTER +p17057 +tp17058 +a(g822 +g958 +tp17059 +a(g436 +g2314 +tp17060 +a(g822 +V\u000a +p17061 +tp17062 +a(g7 +V +p17063 +tp17064 +a(g822 +V +p17065 +tp17066 +a(g789 +VEND-STRING\u000a +p17067 +tp17068 +a(g822 +g958 +tp17069 +a(g789 +VELSE\u000a +p17070 +tp17071 +a(g822 +g958 +tp17072 +a(g789 +VSTRING +p17073 +tp17074 +a(g225 +V';echo "Press ENTER to close...";read' +p17075 +tp17076 +a(g822 +V\u000a +p17077 +tp17078 +a(g7 +V +p17079 +tp17080 +a(g822 +V +p17081 +tp17082 +a(g781 +VINTO +p17083 +tp17084 +a(g822 +g958 +tp17085 +a(g436 +VCmd +p17086 +tp17087 +a(g822 +V\u000a +p17088 +tp17089 +a(g7 +V +p17090 +tp17091 +a(g822 +V +p17092 +tp17093 +a(g781 +VWITH +p17094 +tp17095 +a(g822 +g958 +tp17096 +a(g781 +VPOINTER +p17097 +tp17098 +a(g822 +g958 +tp17099 +a(g436 +g2314 +tp17100 +a(g822 +V\u000a +p17101 +tp17102 +a(g7 +V +p17103 +tp17104 +a(g822 +V +p17105 +tp17106 +a(g789 +VEND-STRING\u000a +p17107 +tp17108 +a(g822 +g958 +tp17109 +a(g789 +VEND-IF\u000a +p17110 +tp17111 +a(g701 +g965 +tp17112 +a(g822 +V\u000a +p17113 +tp17114 +a(g822 +V\u000a +p17115 +tp17116 +a(g7 +V +p17117 +tp17118 +a(g822 +g958 +tp17119 +a(g32 +V233 +p17120 +tp17121 +a(g408 +g1832 +tp17122 +a(g436 +VRun-Program +p17123 +tp17124 +a(g701 +g965 +tp17125 +a(g822 +V\u000a +p17126 +tp17127 +a(g7 +VGC0909 +p17128 +tp17129 +a(g822 +V +p17130 +tp17131 +a(g789 +VDISPLAY +p17132 +tp17133 +a(g822 +V\u000a +p17134 +tp17135 +a(g7 +VGC0909 +p17136 +tp17137 +a(g822 +V +p17138 +tp17139 +a(g436 +VBlank-Screen +p17140 +tp17141 +a(g822 +V\u000a +p17142 +tp17143 +a(g7 +VGC0909 +p17144 +tp17145 +a(g822 +V +p17146 +tp17147 +a(g789 +VEND-DISPLAY\u000a\u000a +p17148 +tp17149 +a(g822 +g958 +tp17150 +a(g789 +VCALL +p17151 +tp17152 +a(g225 +V'SYSTEM' +p17153 +tp17154 +a(g822 +V\u000a +p17155 +tp17156 +a(g7 +V +p17157 +tp17158 +a(g822 +V +p17159 +tp17160 +a(g781 +VUSING +p17161 +tp17162 +a(g822 +g958 +tp17163 +a(g569 +VTRIM +p17164 +tp17165 +a(g701 +g7240 +tp17166 +a(g436 +VCmd +p17167 +tp17168 +a(g701 +g2705 +tp17169 +a(g781 +VTRAILING +p17170 +tp17171 +a(g701 +g7247 +tp17172 +a(g822 +V\u000a +p17173 +tp17174 +a(g7 +V +p17175 +tp17176 +a(g822 +V +p17177 +tp17178 +a(g789 +VEND-CALL\u000a +p17179 +tp17180 +a(g822 +g958 +tp17181 +a(g789 +VPERFORM +p17182 +tp17183 +a(g822 +g958 +tp17184 +a(g32 +V900 +p17185 +tp17186 +a(g408 +g1832 +tp17187 +a(g436 +VTerminate +p17188 +tp17189 +a(g822 +V\u000a +p17190 +tp17191 +a(g7 +V +p17192 +tp17193 +a(g822 +V +p17194 +tp17195 +a(g701 +g965 +tp17196 +a(g822 +V\u000a +p17197 +tp17198 +a(g822 +V\u000a +p17199 +tp17200 +a(g7 +V +p17201 +tp17202 +a(g822 +g958 +tp17203 +a(g32 +V239 +p17204 +tp17205 +a(g408 +g1832 +tp17206 +a(g436 +VDone +p17207 +tp17208 +a(g701 +g965 +tp17209 +a(g822 +V\u000a +p17210 +tp17211 +a(g7 +V +p17212 +tp17213 +a(g822 +V +p17214 +tp17215 +a(g789 +VEXIT +p17216 +tp17217 +a(g701 +g965 +tp17218 +a(g822 +V\u000a +p17219 +tp17220 +a(g7 +V /\u000a +p17221 +tp17222 +a(g7 +V +p17223 +tp17224 +a(g822 +g958 +tp17225 +a(g32 +V900 +p17226 +tp17227 +a(g408 +g1832 +tp17228 +a(g436 +VTerminate +p17229 +tp17230 +a(g822 +g958 +tp17231 +a(g789 +VSECTION +p17232 +tp17233 +a(g701 +g965 +tp17234 +a(g822 +V\u000a +p17235 +tp17236 +a(g7 +V *****************************************************************\u000a +p17237 +tp17238 +a(g7 +V ** Display a message and halt the program **\u000a +p17239 +tp17240 +a(g7 +V *****************************************************************\u000a +p17241 +tp17242 +a(g822 +V\u000a +p17243 +tp17244 +a(g7 +V +p17245 +tp17246 +a(g822 +g958 +tp17247 +a(g32 +V901 +p17248 +tp17249 +a(g408 +g1832 +tp17250 +a(g436 +VDisplay-Message +p17251 +tp17252 +a(g701 +g965 +tp17253 +a(g822 +V\u000a +p17254 +tp17255 +a(g7 +VGC0909 +p17256 +tp17257 +a(g822 +V +p17258 +tp17259 +a(g789 +VIF +p17260 +tp17261 +a(g822 +g958 +tp17262 +a(g436 +VOutput-Message +p17263 +tp17264 +a(g822 +g958 +tp17265 +a(g408 +g12655 +tp17266 +a(g822 +g958 +tp17267 +a(g561 +VSPACES +p17268 +tp17269 +a(g822 +V\u000a +p17270 +tp17271 +a(g7 +VGC0909 +p17272 +tp17273 +a(g822 +V +p17274 +tp17275 +a(g789 +VDISPLAY +p17276 +tp17277 +a(g822 +V\u000a +p17278 +tp17279 +a(g7 +VGC0909 +p17280 +tp17281 +a(g822 +V +p17282 +tp17283 +a(g436 +VSwitches-Screen +p17284 +tp17285 +a(g822 +V\u000a +p17286 +tp17287 +a(g7 +VGC0909 +p17288 +tp17289 +a(g822 +V +p17290 +tp17291 +a(g789 +VEND-DISPLAY +p17292 +tp17293 +a(g822 +V\u000a +p17294 +tp17295 +a(g7 +VGC0909 +p17296 +tp17297 +a(g822 +V +p17298 +tp17299 +a(g789 +VCALL +p17300 +tp17301 +a(g225 +V'C$SLEEP' +p17302 +tp17303 +a(g822 +V\u000a +p17304 +tp17305 +a(g7 +VGC0909 +p17306 +tp17307 +a(g822 +V +p17308 +tp17309 +a(g781 +VUSING +p17310 +tp17311 +a(g822 +g958 +tp17312 +a(g32 +V2\u000a +p17313 +tp17314 +a(g7 +VGC0909 +p17315 +tp17316 +a(g822 +V +p17317 +tp17318 +a(g789 +VEND-CALL +p17319 +tp17320 +a(g822 +V\u000a +p17321 +tp17322 +a(g7 +VGC0909 +p17323 +tp17324 +a(g822 +V +p17325 +tp17326 +a(g789 +VEND-IF\u000a +p17327 +tp17328 +a(g822 +g958 +tp17329 +a(g789 +VDISPLAY\u000a +p17330 +tp17331 +a(g822 +g958 +tp17332 +a(g436 +VBlank-Screen +p17333 +tp17334 +a(g822 +V\u000a +p17335 +tp17336 +a(g7 +V +p17337 +tp17338 +a(g822 +V +p17339 +tp17340 +a(g789 +VEND-DISPLAY\u000a +p17341 +tp17342 +a(g701 +g965 +tp17343 +a(g822 +V\u000a +p17344 +tp17345 +a(g822 +V\u000a +p17346 +tp17347 +a(g7 +V +p17348 +tp17349 +a(g822 +g958 +tp17350 +a(g32 +V909 +p17351 +tp17352 +a(g408 +g1832 +tp17353 +a(g436 +VDone +p17354 +tp17355 +a(g701 +g965 +tp17356 +a(g822 +V\u000a +p17357 +tp17358 +a(g7 +V +p17359 +tp17360 +a(g822 +V +p17361 +tp17362 +a(g789 +VGOBACK\u000a +p17363 +tp17364 +a(g701 +g965 +tp17365 +a(g822 +V\u000a +p17366 +tp17367 +a(g822 +V\u000a +p17368 +tp17369 +a(g7 +V +p17370 +tp17371 +a(g822 +g958 +tp17372 +a(g789 +VEND +p17373 +tp17374 +a(g822 +g958 +tp17375 +a(g781 +VPROGRAM +p17376 +tp17377 +a(g822 +g958 +tp17378 +a(g436 +VOCic +p17379 +tp17380 +a(g701 +g965 +tp17381 +a(g822 +V\u000a +p17382 +tp17383 +a(g822 +V\u000a +p17384 +tp17385 +a(g7 +V +p17386 +tp17387 +a(g822 +g958 +tp17388 +a(g789 +VIDENTIFICATION +p17389 +tp17390 +a(g822 +g958 +tp17391 +a(g789 +VDIVISION +p17392 +tp17393 +a(g701 +g965 +tp17394 +a(g822 +V\u000a +p17395 +tp17396 +a(g7 +V +p17397 +tp17398 +a(g822 +g958 +tp17399 +a(g789 +VPROGRAM-ID +p17400 +tp17401 +a(g701 +g965 +tp17402 +a(g822 +V +p17403 +tp17404 +a(g436 +VGETOSTYPE +p17405 +tp17406 +a(g701 +g965 +tp17407 +a(g822 +V\u000a +p17408 +tp17409 +a(g7 +V *****************************************************************\u000a +p17410 +tp17411 +a(g7 +V ** This subprogram determine the OS type the program is run- **\u000a +p17412 +tp17413 +a(g7 +V ** ning under, passing that result back in RETURN-CODE as fol- **\u000a +p17414 +tp17415 +a(g7 +V ** lows: **\u000a +p17416 +tp17417 +a(g7 +V ** **\u000a +p17418 +tp17419 +a(g7 +V ** 0: Cannot be determined **\u000a +p17420 +tp17421 +a(g7 +V ** 1: Native Windows or Windows/MinGW **\u000a +p17422 +tp17423 +a(g7 +V ** 2: Cygwin **\u000a +p17424 +tp17425 +a(g7 +V ** 3: UNIX/Linux/MacOS **\u000a +p17426 +tp17427 +a(g7 +V *****************************************************************\u000a +p17428 +tp17429 +a(g7 +V ** DATE CHANGE DESCRIPTION **\u000a +p17430 +tp17431 +a(g7 +V ** ====== ==================================================== **\u000a +p17432 +tp17433 +a(g7 +V ** GC0909 Initial coding. **\u000a +p17434 +tp17435 +a(g7 +V *****************************************************************\u000a +p17436 +tp17437 +a(g7 +V +p17438 +tp17439 +a(g822 +g958 +tp17440 +a(g789 +VENVIRONMENT +p17441 +tp17442 +a(g822 +g958 +tp17443 +a(g789 +VDIVISION +p17444 +tp17445 +a(g701 +g965 +tp17446 +a(g822 +V\u000a +p17447 +tp17448 +a(g7 +V +p17449 +tp17450 +a(g822 +g958 +tp17451 +a(g789 +VCONFIGURATION +p17452 +tp17453 +a(g822 +g958 +tp17454 +a(g789 +VSECTION +p17455 +tp17456 +a(g701 +g965 +tp17457 +a(g822 +V\u000a +p17458 +tp17459 +a(g7 +V +p17460 +tp17461 +a(g822 +g958 +tp17462 +a(g781 +VREPOSITORY +p17463 +tp17464 +a(g701 +g965 +tp17465 +a(g822 +V\u000a +p17466 +tp17467 +a(g7 +V +p17468 +tp17469 +a(g822 +V +p17470 +tp17471 +a(g781 +VFUNCTION +p17472 +tp17473 +a(g822 +g958 +tp17474 +a(g781 +VALL +p17475 +tp17476 +a(g822 +g958 +tp17477 +a(g781 +VINTRINSIC +p17478 +tp17479 +a(g701 +g965 +tp17480 +a(g822 +V\u000a +p17481 +tp17482 +a(g7 +V +p17483 +tp17484 +a(g822 +g958 +tp17485 +a(g789 +VDATA +p17486 +tp17487 +a(g822 +g958 +tp17488 +a(g789 +VDIVISION +p17489 +tp17490 +a(g701 +g965 +tp17491 +a(g822 +V\u000a +p17492 +tp17493 +a(g7 +V +p17494 +tp17495 +a(g822 +g958 +tp17496 +a(g789 +VWORKING-STORAGE +p17497 +tp17498 +a(g822 +g958 +tp17499 +a(g789 +VSECTION +p17500 +tp17501 +a(g701 +g965 +tp17502 +a(g822 +V\u000a +p17503 +tp17504 +a(g7 +V +p17505 +tp17506 +a(g822 +g958 +tp17507 +a(g32 +V01 +p17508 +tp17509 +a(g436 +VEnv-Path +p17510 +tp17511 +a(g822 +V +p17512 +tp17513 +a(g744 +VPIC X(1024) +p17514 +tp17515 +a(g701 +g965 +tp17516 +a(g822 +V\u000a +p17517 +tp17518 +a(g7 +V +p17519 +tp17520 +a(g822 +g958 +tp17521 +a(g32 +V01 +p17522 +tp17523 +a(g436 +VTally +p17524 +tp17525 +a(g822 +V +p17526 +tp17527 +a(g781 +VUSAGE +p17528 +tp17529 +a(g822 +g958 +tp17530 +a(g744 +VBINARY-LONG +p17531 +tp17532 +a(g701 +g965 +tp17533 +a(g822 +V\u000a +p17534 +tp17535 +a(g7 +V +p17536 +tp17537 +a(g822 +g958 +tp17538 +a(g789 +VPROCEDURE +p17539 +tp17540 +a(g822 +g958 +tp17541 +a(g789 +VDIVISION +p17542 +tp17543 +a(g701 +g965 +tp17544 +a(g822 +V\u000a +p17545 +tp17546 +a(g7 +V +p17547 +tp17548 +a(g822 +g958 +tp17549 +a(g32 +V000 +p17550 +tp17551 +a(g408 +g1832 +tp17552 +a(g436 +VMain +p17553 +tp17554 +a(g822 +g958 +tp17555 +a(g789 +VSECTION +p17556 +tp17557 +a(g701 +g965 +tp17558 +a(g822 +V\u000a +p17559 +tp17560 +a(g7 +V +p17561 +tp17562 +a(g822 +g958 +tp17563 +a(g32 +V010 +p17564 +tp17565 +a(g408 +g1832 +tp17566 +a(g436 +VGet-TEMP-Var +p17567 +tp17568 +a(g701 +g965 +tp17569 +a(g822 +V\u000a +p17570 +tp17571 +a(g7 +V +p17572 +tp17573 +a(g822 +V +p17574 +tp17575 +a(g789 +VMOVE +p17576 +tp17577 +a(g822 +g958 +tp17578 +a(g561 +VSPACES +p17579 +tp17580 +a(g822 +g958 +tp17581 +a(g781 +VTO +p17582 +tp17583 +a(g822 +g958 +tp17584 +a(g436 +VEnv-Path +p17585 +tp17586 +a(g822 +V\u000a +p17587 +tp17588 +a(g7 +V +p17589 +tp17590 +a(g822 +V +p17591 +tp17592 +a(g789 +VACCEPT +p17593 +tp17594 +a(g822 +g958 +tp17595 +a(g436 +VEnv-Path +p17596 +tp17597 +a(g822 +V\u000a +p17598 +tp17599 +a(g7 +V +p17600 +tp17601 +a(g822 +V +p17602 +tp17603 +a(g781 +VFROM +p17604 +tp17605 +a(g822 +g958 +tp17606 +a(g789 +VENVIRONMENT +p17607 +tp17608 +a(g152 +V"PATH" +p17609 +tp17610 +a(g822 +V\u000a +p17611 +tp17612 +a(g7 +V +p17613 +tp17614 +a(g822 +V +p17615 +tp17616 +a(g781 +VON +p17617 +tp17618 +a(g822 +g958 +tp17619 +a(g781 +VEXCEPTION\u000a +p17620 +tp17621 +a(g822 +g958 +tp17622 +a(g789 +VMOVE +p17623 +tp17624 +a(g822 +g958 +tp17625 +a(g32 +V0 +p17626 +tp17627 +a(g781 +VTO +p17628 +tp17629 +a(g822 +g958 +tp17630 +a(g436 +VRETURN-CODE +p17631 +tp17632 +a(g822 +V\u000a +p17633 +tp17634 +a(g7 +V +p17635 +tp17636 +a(g822 +V +p17637 +tp17638 +a(g789 +VGOBACK\u000a +p17639 +tp17640 +a(g822 +g958 +tp17641 +a(g789 +VEND-ACCEPT\u000a +p17642 +tp17643 +a(g822 +g958 +tp17644 +a(g789 +VIF +p17645 +tp17646 +a(g822 +g958 +tp17647 +a(g436 +VEnv-Path +p17648 +tp17649 +a(g822 +g958 +tp17650 +a(g408 +g7223 +tp17651 +a(g822 +g958 +tp17652 +a(g561 +VSPACES\u000a +p17653 +tp17654 +a(g822 +g958 +tp17655 +a(g789 +VMOVE +p17656 +tp17657 +a(g822 +g958 +tp17658 +a(g32 +V0 +p17659 +tp17660 +a(g781 +VTO +p17661 +tp17662 +a(g822 +g958 +tp17663 +a(g436 +VRETURN-CODE +p17664 +tp17665 +a(g822 +V\u000a +p17666 +tp17667 +a(g7 +V +p17668 +tp17669 +a(g822 +V +p17670 +tp17671 +a(g789 +VELSE\u000a +p17672 +tp17673 +a(g822 +g958 +tp17674 +a(g789 +VMOVE +p17675 +tp17676 +a(g822 +g958 +tp17677 +a(g32 +V0 +p17678 +tp17679 +a(g781 +VTO +p17680 +tp17681 +a(g822 +g958 +tp17682 +a(g436 +VTally +p17683 +tp17684 +a(g822 +V\u000a +p17685 +tp17686 +a(g7 +V +p17687 +tp17688 +a(g822 +V +p17689 +tp17690 +a(g789 +VINSPECT +p17691 +tp17692 +a(g822 +g958 +tp17693 +a(g436 +VEnv-Path +p17694 +tp17695 +a(g822 +V\u000a +p17696 +tp17697 +a(g7 +V +p17698 +tp17699 +a(g822 +V +p17700 +tp17701 +a(g781 +VTALLYING +p17702 +tp17703 +a(g822 +g958 +tp17704 +a(g436 +VTally +p17705 +tp17706 +a(g822 +g958 +tp17707 +a(g781 +VFOR +p17708 +tp17709 +a(g822 +g958 +tp17710 +a(g781 +VALL +p17711 +tp17712 +a(g152 +V";" +p17713 +tp17714 +a(g822 +V\u000a +p17715 +tp17716 +a(g7 +V +p17717 +tp17718 +a(g822 +V +p17719 +tp17720 +a(g789 +VIF +p17721 +tp17722 +a(g822 +g958 +tp17723 +a(g436 +VTally +p17724 +tp17725 +a(g822 +g958 +tp17726 +a(g408 +g7223 +tp17727 +a(g822 +g958 +tp17728 +a(g32 +V0 +p17729 +tp17730 +a(g7 +V*> Must be some form of UNIX\u000a +p17731 +tp17732 +a(g7 +V +p17733 +tp17734 +a(g822 +V +p17735 +tp17736 +a(g789 +VMOVE +p17737 +tp17738 +a(g822 +g958 +tp17739 +a(g32 +V0 +p17740 +tp17741 +a(g781 +VTO +p17742 +tp17743 +a(g822 +g958 +tp17744 +a(g436 +VTally +p17745 +tp17746 +a(g822 +V\u000a +p17747 +tp17748 +a(g7 +V +p17749 +tp17750 +a(g822 +V +p17751 +tp17752 +a(g789 +VINSPECT +p17753 +tp17754 +a(g822 +g958 +tp17755 +a(g436 +VEnv-Path +p17756 +tp17757 +a(g822 +V\u000a +p17758 +tp17759 +a(g7 +V +p17760 +tp17761 +a(g822 +V +p17762 +tp17763 +a(g781 +VTALLYING +p17764 +tp17765 +a(g822 +g958 +tp17766 +a(g436 +VTALLY +p17767 +tp17768 +a(g822 +g958 +tp17769 +a(g781 +VFOR +p17770 +tp17771 +a(g822 +g958 +tp17772 +a(g781 +VALL +p17773 +tp17774 +a(g152 +V"/cygdrive/" +p17775 +tp17776 +a(g822 +V\u000a +p17777 +tp17778 +a(g7 +V +p17779 +tp17780 +a(g822 +V +p17781 +tp17782 +a(g789 +VIF +p17783 +tp17784 +a(g822 +g958 +tp17785 +a(g436 +VTally +p17786 +tp17787 +a(g822 +g958 +tp17788 +a(g408 +g7223 +tp17789 +a(g822 +g958 +tp17790 +a(g32 +V0 +p17791 +tp17792 +a(g7 +V*> UNIX/MacOS\u000a +p17793 +tp17794 +a(g7 +V +p17795 +tp17796 +a(g822 +V +p17797 +tp17798 +a(g789 +VMOVE +p17799 +tp17800 +a(g822 +g958 +tp17801 +a(g32 +V3 +p17802 +tp17803 +a(g781 +VTO +p17804 +tp17805 +a(g822 +g958 +tp17806 +a(g436 +VRETURN-CODE +p17807 +tp17808 +a(g822 +V\u000a +p17809 +tp17810 +a(g7 +V +p17811 +tp17812 +a(g822 +V +p17813 +tp17814 +a(g789 +VELSE +p17815 +tp17816 +a(g7 +V*> Cygwin\u000a +p17817 +tp17818 +a(g7 +V +p17819 +tp17820 +a(g822 +V +p17821 +tp17822 +a(g789 +VMOVE +p17823 +tp17824 +a(g822 +g958 +tp17825 +a(g32 +V2 +p17826 +tp17827 +a(g781 +VTO +p17828 +tp17829 +a(g822 +g958 +tp17830 +a(g436 +VRETURN-CODE +p17831 +tp17832 +a(g822 +V\u000a +p17833 +tp17834 +a(g7 +V +p17835 +tp17836 +a(g822 +V +p17837 +tp17838 +a(g789 +VEND-IF\u000a +p17839 +tp17840 +a(g822 +g958 +tp17841 +a(g789 +VELSE +p17842 +tp17843 +a(g7 +V*> Assume Windows[/MinGW]\u000a +p17844 +tp17845 +a(g7 +V +p17846 +tp17847 +a(g822 +V +p17848 +tp17849 +a(g789 +VMOVE +p17850 +tp17851 +a(g822 +g958 +tp17852 +a(g32 +V1 +p17853 +tp17854 +a(g781 +VTO +p17855 +tp17856 +a(g822 +g958 +tp17857 +a(g436 +VRETURN-CODE +p17858 +tp17859 +a(g822 +V\u000a +p17860 +tp17861 +a(g7 +V +p17862 +tp17863 +a(g822 +V +p17864 +tp17865 +a(g789 +VEND-IF\u000a +p17866 +tp17867 +a(g822 +g958 +tp17868 +a(g789 +VEND-IF\u000a +p17869 +tp17870 +a(g822 +g958 +tp17871 +a(g789 +VGOBACK\u000a +p17872 +tp17873 +a(g701 +g965 +tp17874 +a(g822 +V\u000a +p17875 +tp17876 +a(g7 +V +p17877 +tp17878 +a(g822 +g958 +tp17879 +a(g789 +VEND +p17880 +tp17881 +a(g822 +g958 +tp17882 +a(g781 +VPROGRAM +p17883 +tp17884 +a(g822 +g958 +tp17885 +a(g436 +VGETOSTYPE +p17886 +tp17887 +a(g701 +g965 +tp17888 +a(g822 +V\u000a +p17889 +tp17890 +a(g822 +V\u000a +p17891 +tp17892 +a(g7 +V +p17893 +tp17894 +a(g822 +g958 +tp17895 +a(g789 +VIDENTIFICATION +p17896 +tp17897 +a(g822 +g958 +tp17898 +a(g789 +VDIVISION +p17899 +tp17900 +a(g701 +g965 +tp17901 +a(g822 +V\u000a +p17902 +tp17903 +a(g7 +V +p17904 +tp17905 +a(g822 +g958 +tp17906 +a(g789 +VPROGRAM-ID +p17907 +tp17908 +a(g701 +g965 +tp17909 +a(g822 +V +p17910 +tp17911 +a(g436 +VCHECKSOURCE +p17912 +tp17913 +a(g701 +g965 +tp17914 +a(g822 +V\u000a +p17915 +tp17916 +a(g7 +V *****************************************************************\u000a +p17917 +tp17918 +a(g7 +V ** This subprogram will scan a line of source code it is given **\u000a +p17919 +tp17920 +a(g7 +V ** looking for "LINKAGE SECTION" or "IDENTIFICATION DIVISION". **\u000a +p17921 +tp17922 +a(g7 +V ** **\u000a +p17923 +tp17924 +a(g7 +V ** ****NOTE**** ****NOTE**** ****NOTE**** ****NOTE*** **\u000a +p17925 +tp17926 +a(g7 +V ** **\u000a +p17927 +tp17928 +a(g7 +V ** These two strings must be found IN THEIR ENTIRETY within **\u000a +p17929 +tp17930 +a(g7 +V ** the 1st 80 columns of program source records, and cannot **\u000a +p17931 +tp17932 +a(g7 +V ** follow either a "*>" sequence OR a "*" in col 7. **\u000a +p17933 +tp17934 +a(g7 +V *****************************************************************\u000a +p17935 +tp17936 +a(g7 +V ** DATE CHANGE DESCRIPTION **\u000a +p17937 +tp17938 +a(g7 +V ** ====== ==================================================== **\u000a +p17939 +tp17940 +a(g7 +V ** GC0809 Initial coding. **\u000a +p17941 +tp17942 +a(g7 +V *****************************************************************\u000a +p17943 +tp17944 +a(g7 +V +p17945 +tp17946 +a(g822 +g958 +tp17947 +a(g789 +VENVIRONMENT +p17948 +tp17949 +a(g822 +g958 +tp17950 +a(g789 +VDIVISION +p17951 +tp17952 +a(g701 +g965 +tp17953 +a(g822 +V\u000a +p17954 +tp17955 +a(g7 +V +p17956 +tp17957 +a(g822 +g958 +tp17958 +a(g789 +VCONFIGURATION +p17959 +tp17960 +a(g822 +g958 +tp17961 +a(g789 +VSECTION +p17962 +tp17963 +a(g701 +g965 +tp17964 +a(g822 +V\u000a +p17965 +tp17966 +a(g7 +V +p17967 +tp17968 +a(g822 +g958 +tp17969 +a(g781 +VREPOSITORY +p17970 +tp17971 +a(g701 +g965 +tp17972 +a(g822 +V\u000a +p17973 +tp17974 +a(g7 +V +p17975 +tp17976 +a(g822 +V +p17977 +tp17978 +a(g781 +VFUNCTION +p17979 +tp17980 +a(g822 +g958 +tp17981 +a(g781 +VALL +p17982 +tp17983 +a(g822 +g958 +tp17984 +a(g781 +VINTRINSIC +p17985 +tp17986 +a(g701 +g965 +tp17987 +a(g822 +V\u000a +p17988 +tp17989 +a(g7 +V +p17990 +tp17991 +a(g822 +g958 +tp17992 +a(g789 +VDATA +p17993 +tp17994 +a(g822 +g958 +tp17995 +a(g789 +VDIVISION +p17996 +tp17997 +a(g701 +g965 +tp17998 +a(g822 +V\u000a +p17999 +tp18000 +a(g7 +V +p18001 +tp18002 +a(g822 +g958 +tp18003 +a(g789 +VWORKING-STORAGE +p18004 +tp18005 +a(g822 +g958 +tp18006 +a(g789 +VSECTION +p18007 +tp18008 +a(g701 +g965 +tp18009 +a(g822 +V\u000a +p18010 +tp18011 +a(g7 +V +p18012 +tp18013 +a(g822 +g958 +tp18014 +a(g32 +V01 +p18015 +tp18016 +a(g436 +VCompressed-Src +p18017 +tp18018 +a(g701 +g965 +tp18019 +a(g822 +V\u000a +p18020 +tp18021 +a(g7 +V +p18022 +tp18023 +a(g822 +V +p18024 +tp18025 +a(g32 +V05 +p18026 +tp18027 +a(g436 +VCS-Char +p18028 +tp18029 +a(g822 +V +p18030 +tp18031 +a(g781 +VOCCURS +p18032 +tp18033 +a(g822 +g958 +tp18034 +a(g32 +V80 +p18035 +tp18036 +a(g781 +VTIMES +p18037 +tp18038 +a(g822 +g958 +tp18039 +a(g744 +VPIC X(1) +p18040 +tp18041 +a(g701 +g965 +tp18042 +a(g822 +V\u000a +p18043 +tp18044 +a(g822 +V\u000a +p18045 +tp18046 +a(g7 +V +p18047 +tp18048 +a(g822 +g958 +tp18049 +a(g32 +V01 +p18050 +tp18051 +a(g436 +VFlags +p18052 +tp18053 +a(g701 +g965 +tp18054 +a(g822 +V\u000a +p18055 +tp18056 +a(g7 +V +p18057 +tp18058 +a(g822 +V +p18059 +tp18060 +a(g32 +V05 +p18061 +tp18062 +a(g436 +VF-Found-SPACE +p18063 +tp18064 +a(g822 +V +p18065 +tp18066 +a(g744 +VPIC X(1) +p18067 +tp18068 +a(g701 +g965 +tp18069 +a(g822 +V\u000a +p18070 +tp18071 +a(g7 +V +p18072 +tp18073 +a(g822 +V +p18074 +tp18075 +a(g32 +V88 +p18076 +tp18077 +a(g32 +V88 +p18078 +tp18079 +a(g408 +g1832 +tp18080 +a(g436 +VSkipping-SPACE +p18081 +tp18082 +a(g822 +V +p18083 +tp18084 +a(g781 +VVALUE +p18085 +tp18086 +a(g225 +V'Y' +p18087 +tp18088 +a(g701 +g965 +tp18089 +a(g822 +V\u000a +p18090 +tp18091 +a(g7 +V +p18092 +tp18093 +a(g822 +V +p18094 +tp18095 +a(g32 +V88 +p18096 +tp18097 +a(g32 +V88 +p18098 +tp18099 +a(g408 +g1832 +tp18100 +a(g436 +VNot-Skipping-SPACE +p18101 +tp18102 +a(g822 +g958 +tp18103 +a(g781 +VVALUE +p18104 +tp18105 +a(g225 +V'N' +p18106 +tp18107 +a(g701 +g965 +tp18108 +a(g822 +V\u000a +p18109 +tp18110 +a(g822 +V\u000a +p18111 +tp18112 +a(g7 +V +p18113 +tp18114 +a(g822 +g958 +tp18115 +a(g32 +V01 +p18116 +tp18117 +a(g436 +g2314 +tp18118 +a(g822 +V +p18119 +tp18120 +a(g781 +VUSAGE +p18121 +tp18122 +a(g822 +g958 +tp18123 +a(g744 +VBINARY-CHAR +p18124 +tp18125 +a(g701 +g965 +tp18126 +a(g822 +V\u000a +p18127 +tp18128 +a(g822 +V\u000a +p18129 +tp18130 +a(g7 +V +p18131 +tp18132 +a(g822 +g958 +tp18133 +a(g32 +V01 +p18134 +tp18135 +a(g436 +g2333 +tp18136 +a(g822 +V +p18137 +tp18138 +a(g781 +VUSAGE +p18139 +tp18140 +a(g822 +g958 +tp18141 +a(g744 +VBINARY-CHAR +p18142 +tp18143 +a(g701 +g965 +tp18144 +a(g822 +V\u000a +p18145 +tp18146 +a(g7 +V +p18147 +tp18148 +a(g822 +g958 +tp18149 +a(g789 +VLINKAGE +p18150 +tp18151 +a(g822 +g958 +tp18152 +a(g789 +VSECTION +p18153 +tp18154 +a(g701 +g965 +tp18155 +a(g822 +V\u000a +p18156 +tp18157 +a(g7 +V +p18158 +tp18159 +a(g822 +g958 +tp18160 +a(g32 +V01 +p18161 +tp18162 +a(g436 +VArgument-1 +p18163 +tp18164 +a(g701 +g965 +tp18165 +a(g822 +V\u000a +p18166 +tp18167 +a(g7 +V +p18168 +tp18169 +a(g822 +V +p18170 +tp18171 +a(g32 +V02 +p18172 +tp18173 +a(g436 +VA1-Char +p18174 +tp18175 +a(g822 +V +p18176 +tp18177 +a(g781 +VOCCURS +p18178 +tp18179 +a(g822 +g958 +tp18180 +a(g32 +V80 +p18181 +tp18182 +a(g781 +VTIMES +p18183 +tp18184 +a(g822 +g958 +tp18185 +a(g744 +VPIC X(1) +p18186 +tp18187 +a(g701 +g965 +tp18188 +a(g822 +V\u000a +p18189 +tp18190 +a(g822 +V\u000a +p18191 +tp18192 +a(g7 +V +p18193 +tp18194 +a(g822 +g958 +tp18195 +a(g32 +V01 +p18196 +tp18197 +a(g436 +VArgument-2 +p18198 +tp18199 +a(g822 +V +p18200 +tp18201 +a(g744 +VPIC X(1) +p18202 +tp18203 +a(g701 +g965 +tp18204 +a(g822 +V\u000a +p18205 +tp18206 +a(g7 +V +p18207 +tp18208 +a(g822 +V +p18209 +tp18210 +a(g32 +V88 +p18211 +tp18212 +a(g32 +V88 +p18213 +tp18214 +a(g408 +g1832 +tp18215 +a(g436 +VA2-LINKAGE-SECTION +p18216 +tp18217 +a(g822 +V +p18218 +tp18219 +a(g781 +VVALUE +p18220 +tp18221 +a(g225 +V'L' +p18222 +tp18223 +a(g701 +g965 +tp18224 +a(g822 +V\u000a +p18225 +tp18226 +a(g7 +V +p18227 +tp18228 +a(g822 +V +p18229 +tp18230 +a(g32 +V88 +p18231 +tp18232 +a(g32 +V88 +p18233 +tp18234 +a(g408 +g1832 +tp18235 +a(g436 +VA2-IDENTIFICATION-DIVISION +p18236 +tp18237 +a(g822 +g958 +tp18238 +a(g781 +VVALUE +p18239 +tp18240 +a(g225 +V'I' +p18241 +tp18242 +a(g701 +g965 +tp18243 +a(g822 +V\u000a +p18244 +tp18245 +a(g7 +V +p18246 +tp18247 +a(g822 +V +p18248 +tp18249 +a(g32 +V88 +p18250 +tp18251 +a(g32 +V88 +p18252 +tp18253 +a(g408 +g1832 +tp18254 +a(g436 +VA2-Nothing-Special +p18255 +tp18256 +a(g822 +V +p18257 +tp18258 +a(g781 +VVALUE +p18259 +tp18260 +a(g225 +V' ' +p18261 +tp18262 +a(g701 +g965 +tp18263 +a(g822 +V\u000a +p18264 +tp18265 +a(g7 +V +p18266 +tp18267 +a(g822 +g958 +tp18268 +a(g789 +VPROCEDURE +p18269 +tp18270 +a(g822 +g958 +tp18271 +a(g789 +VDIVISION +p18272 +tp18273 +a(g822 +g958 +tp18274 +a(g781 +VUSING +p18275 +tp18276 +a(g822 +g958 +tp18277 +a(g436 +VArgument-1 +p18278 +tp18279 +a(g701 +g2705 +tp18280 +a(g822 +g958 +tp18281 +a(g436 +VArgument-2 +p18282 +tp18283 +a(g701 +g965 +tp18284 +a(g822 +V\u000a +p18285 +tp18286 +a(g7 +V +p18287 +tp18288 +a(g822 +g958 +tp18289 +a(g32 +V000 +p18290 +tp18291 +a(g408 +g1832 +tp18292 +a(g436 +VMain +p18293 +tp18294 +a(g822 +g958 +tp18295 +a(g789 +VSECTION +p18296 +tp18297 +a(g701 +g965 +tp18298 +a(g822 +V\u000a +p18299 +tp18300 +a(g822 +V\u000a +p18301 +tp18302 +a(g7 +V +p18303 +tp18304 +a(g822 +g958 +tp18305 +a(g32 +V010 +p18306 +tp18307 +a(g408 +g1832 +tp18308 +a(g436 +VInitialize +p18309 +tp18310 +a(g701 +g965 +tp18311 +a(g822 +V\u000a +p18312 +tp18313 +a(g7 +V +p18314 +tp18315 +a(g822 +V +p18316 +tp18317 +a(g789 +VSET +p18318 +tp18319 +a(g822 +g958 +tp18320 +a(g32 +V88 +p18321 +tp18322 +a(g408 +g1832 +tp18323 +a(g436 +VA2-Nothing-Special +p18324 +tp18325 +a(g822 +g958 +tp18326 +a(g781 +VTO +p18327 +tp18328 +a(g822 +g958 +tp18329 +a(g677 +VTRUE\u000a +p18330 +tp18331 +a(g822 +g958 +tp18332 +a(g789 +VIF +p18333 +tp18334 +a(g822 +g958 +tp18335 +a(g436 +VA1-Char +p18336 +tp18337 +a(g822 +g958 +tp18338 +a(g701 +g7240 +tp18339 +a(g32 +V7 +p18340 +tp18341 +a(g701 +g7247 +tp18342 +a(g822 +g958 +tp18343 +a(g408 +g7223 +tp18344 +a(g822 +g958 +tp18345 +a(g225 +V'*' +p18346 +tp18347 +a(g822 +V\u000a +p18348 +tp18349 +a(g7 +V +p18350 +tp18351 +a(g822 +V +p18352 +tp18353 +a(g789 +VGOBACK\u000a +p18354 +tp18355 +a(g822 +g958 +tp18356 +a(g789 +VEND-IF\u000a +p18357 +tp18358 +a(g701 +g965 +tp18359 +a(g822 +V\u000a +p18360 +tp18361 +a(g822 +V\u000a +p18362 +tp18363 +a(g7 +V +p18364 +tp18365 +a(g822 +g958 +tp18366 +a(g32 +V020 +p18367 +tp18368 +a(g408 +g1832 +tp18369 +a(g436 +VCompress-Multiple-SPACES +p18370 +tp18371 +a(g701 +g965 +tp18372 +a(g822 +V\u000a +p18373 +tp18374 +a(g7 +V +p18375 +tp18376 +a(g822 +V +p18377 +tp18378 +a(g789 +VSET +p18379 +tp18380 +a(g822 +g958 +tp18381 +a(g32 +V88 +p18382 +tp18383 +a(g408 +g1832 +tp18384 +a(g436 +VNot-Skipping-SPACE +p18385 +tp18386 +a(g822 +g958 +tp18387 +a(g781 +VTO +p18388 +tp18389 +a(g822 +g958 +tp18390 +a(g677 +VTRUE\u000a +p18391 +tp18392 +a(g822 +g958 +tp18393 +a(g789 +VMOVE +p18394 +tp18395 +a(g822 +g958 +tp18396 +a(g32 +V0 +p18397 +tp18398 +a(g781 +VTO +p18399 +tp18400 +a(g822 +g958 +tp18401 +a(g436 +g2333 +tp18402 +a(g822 +V\u000a +p18403 +tp18404 +a(g7 +V +p18405 +tp18406 +a(g822 +V +p18407 +tp18408 +a(g789 +VMOVE +p18409 +tp18410 +a(g822 +g958 +tp18411 +a(g561 +VSPACES +p18412 +tp18413 +a(g822 +g958 +tp18414 +a(g781 +VTO +p18415 +tp18416 +a(g822 +g958 +tp18417 +a(g436 +VCompressed-Src +p18418 +tp18419 +a(g822 +V\u000a +p18420 +tp18421 +a(g7 +V +p18422 +tp18423 +a(g822 +V +p18424 +tp18425 +a(g789 +VPERFORM +p18426 +tp18427 +a(g822 +g958 +tp18428 +a(g781 +VVARYING +p18429 +tp18430 +a(g822 +g958 +tp18431 +a(g436 +g2314 +tp18432 +a(g822 +g958 +tp18433 +a(g781 +VFROM +p18434 +tp18435 +a(g822 +g958 +tp18436 +a(g32 +V1 +p18437 +tp18438 +a(g781 +VBY +p18439 +tp18440 +a(g822 +g958 +tp18441 +a(g32 +V1\u000a +p18442 +tp18443 +a(g781 +VUNTIL +p18444 +tp18445 +a(g822 +g958 +tp18446 +a(g436 +g2314 +tp18447 +a(g822 +g958 +tp18448 +a(g408 +g12655 +tp18449 +a(g822 +g958 +tp18450 +a(g32 +V80\u000a +p18451 +tp18452 +a(g789 +VIF +p18453 +tp18454 +a(g822 +g958 +tp18455 +a(g436 +VA1-Char +p18456 +tp18457 +a(g822 +g958 +tp18458 +a(g701 +g7240 +tp18459 +a(g436 +g2314 +tp18460 +a(g701 +g7247 +tp18461 +a(g822 +g958 +tp18462 +a(g408 +g7223 +tp18463 +a(g822 +g958 +tp18464 +a(g561 +VSPACE\u000a +p18465 +tp18466 +a(g822 +g958 +tp18467 +a(g789 +VIF +p18468 +tp18469 +a(g822 +g958 +tp18470 +a(g32 +V88 +p18471 +tp18472 +a(g408 +g1832 +tp18473 +a(g436 +VNot-Skipping-SPACE +p18474 +tp18475 +a(g822 +V\u000a +p18476 +tp18477 +a(g7 +V +p18478 +tp18479 +a(g822 +V +p18480 +tp18481 +a(g789 +VADD +p18482 +tp18483 +a(g822 +g958 +tp18484 +a(g32 +V1 +p18485 +tp18486 +a(g781 +VTO +p18487 +tp18488 +a(g822 +g958 +tp18489 +a(g436 +g2333 +tp18490 +a(g822 +V\u000a +p18491 +tp18492 +a(g7 +V +p18493 +tp18494 +a(g822 +V +p18495 +tp18496 +a(g789 +VMOVE +p18497 +tp18498 +a(g822 +g958 +tp18499 +a(g569 +VUPPER-CASE +p18500 +tp18501 +a(g701 +g7240 +tp18502 +a(g436 +VA1-Char +p18503 +tp18504 +a(g822 +g958 +tp18505 +a(g701 +g7240 +tp18506 +a(g436 +g2314 +tp18507 +a(g701 +g7247 +tp18508 +a(g701 +g7247 +tp18509 +a(g822 +g958 +tp18510 +a(g781 +VTO +p18511 +tp18512 +a(g822 +g958 +tp18513 +a(g436 +VCS-Char +p18514 +tp18515 +a(g822 +g958 +tp18516 +a(g701 +g7240 +tp18517 +a(g436 +g2333 +tp18518 +a(g701 +g7247 +tp18519 +a(g822 +V\u000a +p18520 +tp18521 +a(g7 +V +p18522 +tp18523 +a(g822 +V +p18524 +tp18525 +a(g789 +VSET +p18526 +tp18527 +a(g822 +g958 +tp18528 +a(g32 +V88 +p18529 +tp18530 +a(g408 +g1832 +tp18531 +a(g436 +VSkipping-SPACE +p18532 +tp18533 +a(g822 +g958 +tp18534 +a(g781 +VTO +p18535 +tp18536 +a(g822 +g958 +tp18537 +a(g677 +VTRUE\u000a +p18538 +tp18539 +a(g822 +g958 +tp18540 +a(g789 +VEND-IF\u000a +p18541 +tp18542 +a(g822 +g958 +tp18543 +a(g789 +VELSE\u000a +p18544 +tp18545 +a(g822 +g958 +tp18546 +a(g789 +VSET +p18547 +tp18548 +a(g822 +g958 +tp18549 +a(g32 +V88 +p18550 +tp18551 +a(g408 +g1832 +tp18552 +a(g436 +VNot-Skipping-SPACE +p18553 +tp18554 +a(g822 +g958 +tp18555 +a(g781 +VTO +p18556 +tp18557 +a(g822 +g958 +tp18558 +a(g677 +VTRUE\u000a +p18559 +tp18560 +a(g822 +g958 +tp18561 +a(g789 +VADD +p18562 +tp18563 +a(g822 +g958 +tp18564 +a(g32 +V1 +p18565 +tp18566 +a(g781 +VTO +p18567 +tp18568 +a(g822 +g958 +tp18569 +a(g436 +g2333 +tp18570 +a(g822 +V\u000a +p18571 +tp18572 +a(g7 +V +p18573 +tp18574 +a(g822 +V +p18575 +tp18576 +a(g789 +VMOVE +p18577 +tp18578 +a(g822 +g958 +tp18579 +a(g436 +VA1-Char +p18580 +tp18581 +a(g822 +g958 +tp18582 +a(g701 +g7240 +tp18583 +a(g436 +g2314 +tp18584 +a(g701 +g7247 +tp18585 +a(g822 +g958 +tp18586 +a(g781 +VTO +p18587 +tp18588 +a(g822 +g958 +tp18589 +a(g436 +VCS-Char +p18590 +tp18591 +a(g822 +g958 +tp18592 +a(g701 +g7240 +tp18593 +a(g436 +g2333 +tp18594 +a(g701 +g7247 +tp18595 +a(g822 +V\u000a +p18596 +tp18597 +a(g7 +V +p18598 +tp18599 +a(g822 +V +p18600 +tp18601 +a(g789 +VEND-IF\u000a +p18602 +tp18603 +a(g822 +g958 +tp18604 +a(g789 +VEND-PERFORM\u000a +p18605 +tp18606 +a(g701 +g965 +tp18607 +a(g822 +V\u000a +p18608 +tp18609 +a(g822 +V\u000a +p18610 +tp18611 +a(g7 +V +p18612 +tp18613 +a(g822 +g958 +tp18614 +a(g32 +V030 +p18615 +tp18616 +a(g408 +g1832 +tp18617 +a(g436 +VScan-Compressed-Src +p18618 +tp18619 +a(g701 +g965 +tp18620 +a(g822 +V\u000a +p18621 +tp18622 +a(g7 +V +p18623 +tp18624 +a(g822 +V +p18625 +tp18626 +a(g789 +VPERFORM +p18627 +tp18628 +a(g822 +g958 +tp18629 +a(g781 +VVARYING +p18630 +tp18631 +a(g822 +g958 +tp18632 +a(g436 +g2314 +tp18633 +a(g822 +g958 +tp18634 +a(g781 +VFROM +p18635 +tp18636 +a(g822 +g958 +tp18637 +a(g32 +V1 +p18638 +tp18639 +a(g781 +VBY +p18640 +tp18641 +a(g822 +g958 +tp18642 +a(g32 +V1\u000a +p18643 +tp18644 +a(g781 +VUNTIL +p18645 +tp18646 +a(g822 +g958 +tp18647 +a(g436 +g2314 +tp18648 +a(g822 +g958 +tp18649 +a(g408 +g12655 +tp18650 +a(g822 +g958 +tp18651 +a(g32 +V66\u000a +p18652 +tp18653 +a(g789 +VEVALUATE +p18654 +tp18655 +a(g822 +g958 +tp18656 +a(g677 +VTRUE\u000a +p18657 +tp18658 +a(g822 +g958 +tp18659 +a(g781 +VWHEN +p18660 +tp18661 +a(g822 +g958 +tp18662 +a(g436 +VCS-Char +p18663 +tp18664 +a(g822 +g958 +tp18665 +a(g701 +g7240 +tp18666 +a(g436 +g2314 +tp18667 +a(g701 +g7247 +tp18668 +a(g822 +g958 +tp18669 +a(g408 +g7223 +tp18670 +a(g822 +g958 +tp18671 +a(g225 +V'*' +p18672 +tp18673 +a(g822 +V\u000a +p18674 +tp18675 +a(g7 +V +p18676 +tp18677 +a(g822 +V +p18678 +tp18679 +a(g789 +VIF +p18680 +tp18681 +a(g822 +g958 +tp18682 +a(g436 +VCompressed-Src +p18683 +tp18684 +a(g822 +g958 +tp18685 +a(g701 +g7240 +tp18686 +a(g436 +g2314 +tp18687 +a(g822 +g958 +tp18688 +a(g701 +g11392 +tp18689 +a(g822 +g958 +tp18690 +a(g32 +g2466 +tp18691 +a(g701 +g7247 +tp18692 +a(g822 +g958 +tp18693 +a(g408 +g7223 +tp18694 +a(g822 +g958 +tp18695 +a(g225 +V'*>' +p18696 +tp18697 +a(g822 +V\u000a +p18698 +tp18699 +a(g7 +V +p18700 +tp18701 +a(g822 +V +p18702 +tp18703 +a(g789 +VGOBACK\u000a +p18704 +tp18705 +a(g822 +g958 +tp18706 +a(g789 +VEND-IF\u000a +p18707 +tp18708 +a(g822 +g958 +tp18709 +a(g781 +VWHEN +p18710 +tp18711 +a(g701 +g7240 +tp18712 +a(g436 +VCS-Char +p18713 +tp18714 +a(g822 +g958 +tp18715 +a(g701 +g7240 +tp18716 +a(g436 +g2314 +tp18717 +a(g701 +g7247 +tp18718 +a(g822 +g958 +tp18719 +a(g408 +g7223 +tp18720 +a(g822 +g958 +tp18721 +a(g225 +V'L' +p18722 +tp18723 +a(g701 +g7247 +tp18724 +a(g822 +g958 +tp18725 +a(g422 +VAND +p18726 +tp18727 +a(g701 +g7240 +tp18728 +a(g436 +g2314 +tp18729 +a(g822 +g958 +tp18730 +a(g408 +g7846 +tp18731 +a(g822 +g958 +tp18732 +a(g32 +V66 +p18733 +tp18734 +a(g701 +g7247 +tp18735 +a(g822 +V\u000a +p18736 +tp18737 +a(g7 +V +p18738 +tp18739 +a(g822 +V +p18740 +tp18741 +a(g789 +VIF +p18742 +tp18743 +a(g822 +g958 +tp18744 +a(g436 +VCompressed-Src +p18745 +tp18746 +a(g822 +g958 +tp18747 +a(g701 +g7240 +tp18748 +a(g436 +g2314 +tp18749 +a(g822 +g958 +tp18750 +a(g701 +g11392 +tp18751 +a(g822 +g958 +tp18752 +a(g32 +V15 +p18753 +tp18754 +a(g701 +g7247 +tp18755 +a(g822 +g958 +tp18756 +a(g408 +g7223 +tp18757 +a(g822 +g958 +tp18758 +a(g225 +V'LINKAGE SECTION' +p18759 +tp18760 +a(g822 +V\u000a +p18761 +tp18762 +a(g7 +V +p18763 +tp18764 +a(g822 +V +p18765 +tp18766 +a(g789 +VSET +p18767 +tp18768 +a(g822 +g958 +tp18769 +a(g32 +V88 +p18770 +tp18771 +a(g408 +g1832 +tp18772 +a(g436 +VA2-LINKAGE-SECTION +p18773 +tp18774 +a(g822 +g958 +tp18775 +a(g781 +VTO +p18776 +tp18777 +a(g822 +g958 +tp18778 +a(g677 +VTRUE\u000a +p18779 +tp18780 +a(g822 +g958 +tp18781 +a(g789 +VGOBACK\u000a +p18782 +tp18783 +a(g822 +g958 +tp18784 +a(g789 +VEND-IF\u000a +p18785 +tp18786 +a(g822 +g958 +tp18787 +a(g781 +VWHEN +p18788 +tp18789 +a(g701 +g7240 +tp18790 +a(g436 +VCS-Char +p18791 +tp18792 +a(g822 +g958 +tp18793 +a(g701 +g7240 +tp18794 +a(g436 +g2314 +tp18795 +a(g701 +g7247 +tp18796 +a(g822 +g958 +tp18797 +a(g408 +g7223 +tp18798 +a(g822 +g958 +tp18799 +a(g225 +V'I' +p18800 +tp18801 +a(g701 +g7247 +tp18802 +a(g822 +g958 +tp18803 +a(g422 +VAND +p18804 +tp18805 +a(g701 +g7240 +tp18806 +a(g436 +g2314 +tp18807 +a(g822 +g958 +tp18808 +a(g408 +g7846 +tp18809 +a(g822 +g958 +tp18810 +a(g32 +V58 +p18811 +tp18812 +a(g701 +g7247 +tp18813 +a(g822 +V\u000a +p18814 +tp18815 +a(g7 +V +p18816 +tp18817 +a(g822 +V +p18818 +tp18819 +a(g789 +VIF +p18820 +tp18821 +a(g822 +g958 +tp18822 +a(g436 +VCompressed-Src +p18823 +tp18824 +a(g822 +g958 +tp18825 +a(g701 +g7240 +tp18826 +a(g436 +g2314 +tp18827 +a(g822 +g958 +tp18828 +a(g701 +g11392 +tp18829 +a(g822 +g958 +tp18830 +a(g32 +V23 +p18831 +tp18832 +a(g701 +g7247 +tp18833 +a(g822 +g958 +tp18834 +a(g408 +g7223 +tp18835 +a(g822 +g958 +tp18836 +a(g225 +V'IDENTIFICATION ' +p18837 +tp18838 +a(g822 +g958 +tp18839 +a(g701 +g5134 +tp18840 +a(g822 +V\u000a +p18841 +tp18842 +a(g7 +V +p18843 +tp18844 +a(g822 +V +p18845 +tp18846 +a(g225 +V'DIVISION' +p18847 +tp18848 +a(g822 +V\u000a +p18849 +tp18850 +a(g7 +V +p18851 +tp18852 +a(g822 +V +p18853 +tp18854 +a(g789 +VSET +p18855 +tp18856 +a(g822 +g958 +tp18857 +a(g32 +V88 +p18858 +tp18859 +a(g408 +g1832 +tp18860 +a(g436 +VA2-IDENTIFICATION-DIVISION +p18861 +tp18862 +a(g822 +g958 +tp18863 +a(g781 +VTO +p18864 +tp18865 +a(g822 +g958 +tp18866 +a(g677 +VTRUE\u000a +p18867 +tp18868 +a(g822 +g958 +tp18869 +a(g789 +VGOBACK\u000a +p18870 +tp18871 +a(g822 +g958 +tp18872 +a(g789 +VEND-IF\u000a +p18873 +tp18874 +a(g822 +g958 +tp18875 +a(g789 +VEND-EVALUATE\u000a +p18876 +tp18877 +a(g822 +g958 +tp18878 +a(g789 +VEND-PERFORM\u000a +p18879 +tp18880 +a(g701 +g965 +tp18881 +a(g822 +V\u000a +p18882 +tp18883 +a(g822 +V\u000a +p18884 +tp18885 +a(g7 +V +p18886 +tp18887 +a(g822 +g958 +tp18888 +a(g32 +V099 +p18889 +tp18890 +a(g408 +g1832 +tp18891 +a(g436 +VNever-Found-Either-One +p18892 +tp18893 +a(g701 +g965 +tp18894 +a(g822 +V\u000a +p18895 +tp18896 +a(g7 +V +p18897 +tp18898 +a(g822 +V +p18899 +tp18900 +a(g789 +VGOBACK\u000a +p18901 +tp18902 +a(g701 +g965 +tp18903 +a(g822 +V\u000a +p18904 +tp18905 +a(g7 +V +p18906 +tp18907 +a(g822 +g958 +tp18908 +a(g789 +VEND +p18909 +tp18910 +a(g822 +g958 +tp18911 +a(g781 +VPROGRAM +p18912 +tp18913 +a(g822 +g958 +tp18914 +a(g436 +VCHECKSOURCE +p18915 +tp18916 +a(g701 +g965 +tp18917 +a(g822 +V\u000a +p18918 +tp18919 +a(g822 +V\u000a +p18920 +tp18921 +a(g7 +V +p18922 +tp18923 +a(g822 +g958 +tp18924 +a(g789 +VIDENTIFICATION +p18925 +tp18926 +a(g822 +g958 +tp18927 +a(g789 +VDIVISION +p18928 +tp18929 +a(g701 +g965 +tp18930 +a(g822 +V\u000a +p18931 +tp18932 +a(g7 +V +p18933 +tp18934 +a(g822 +g958 +tp18935 +a(g789 +VPROGRAM-ID +p18936 +tp18937 +a(g701 +g965 +tp18938 +a(g822 +V +p18939 +tp18940 +a(g436 +VLISTING +p18941 +tp18942 +a(g701 +g965 +tp18943 +a(g822 +V\u000a +p18944 +tp18945 +a(g7 +V *****************************************************************\u000a +p18946 +tp18947 +a(g7 +V ** This subprogram generates a cross-reference listing of an **\u000a +p18948 +tp18949 +a(g7 +V ** OpenCOBOL program. **\u000a +p18950 +tp18951 +a(g7 +V ** **\u000a +p18952 +tp18953 +a(g7 +V ** Linkage: CALL "LISTING" USING **\u000a +p18954 +tp18955 +a(g7 +V ** **\u000a +p18956 +tp18957 +a(g7 +V ** **\u000a +p18958 +tp18959 +a(g7 +V ** **\u000a +p18960 +tp18961 +a(g7 +V ** Where: **\u000a +p18962 +tp18963 +a(g7 +V ** is a PIC X(1) flag indicating **\u000a +p18964 +tp18965 +a(g7 +V ** whether or not a source listing **\u000a +p18966 +tp18967 +a(g7 +V ** should be produced (space=NO, **\u000a +p18968 +tp18969 +a(g7 +V ** non-space=yes) **\u000a +p18970 +tp18971 +a(g7 +V ** is a PIC X(1) flag indicating **\u000a +p18972 +tp18973 +a(g7 +V ** whether or not an xref listing **\u000a +p18974 +tp18975 +a(g7 +V ** should be produced (space=NO, **\u000a +p18976 +tp18977 +a(g7 +V ** non-space=yes) **\u000a +p18978 +tp18979 +a(g7 +V ** is the [path]filename of the **\u000a +p18980 +tp18981 +a(g7 +V ** program being listed and/or **\u000a +p18982 +tp18983 +a(g7 +V ** xreffed in a PIC X(256) form. **\u000a +p18984 +tp18985 +a(g7 +V *****************************************************************\u000a +p18986 +tp18987 +a(g7 +V ** **\u000a +p18988 +tp18989 +a(g7 +V ** AUTHOR: GARY L. CUTLER **\u000a +p18990 +tp18991 +a(g7 +V ** CutlerGL@gmail.com **\u000a +p18992 +tp18993 +a(g7 +V ** Copyright (C) 2010, Gary L. Cutler, GPL **\u000a +p18994 +tp18995 +a(g7 +V ** **\u000a +p18996 +tp18997 +a(g7 +V ** DATE-WRITTEN: April 1, 2010 **\u000a +p18998 +tp18999 +a(g7 +V ** **\u000a +p19000 +tp19001 +a(g7 +V *****************************************************************\u000a +p19002 +tp19003 +a(g7 +V ** DATE CHANGE DESCRIPTION **\u000a +p19004 +tp19005 +a(g7 +V ** ====== ==================================================== **\u000a +p19006 +tp19007 +a(g7 +V ** GC0410 Initial coding **\u000a +p19008 +tp19009 +a(g7 +V ** GC0710 Handle duplicate data names (i.e. "CORRESPONDING" or **\u000a +p19010 +tp19011 +a(g7 +V ** qualified items) better; ignore "END PROGRAM" recs **\u000a +p19012 +tp19013 +a(g7 +V ** so program name doesn't appear in listing. **\u000a +p19014 +tp19015 +a(g7 +V *****************************************************************\u000a +p19016 +tp19017 +a(g7 +V +p19018 +tp19019 +a(g822 +g958 +tp19020 +a(g789 +VENVIRONMENT +p19021 +tp19022 +a(g822 +g958 +tp19023 +a(g789 +VDIVISION +p19024 +tp19025 +a(g701 +g965 +tp19026 +a(g822 +V\u000a +p19027 +tp19028 +a(g7 +V +p19029 +tp19030 +a(g822 +g958 +tp19031 +a(g789 +VCONFIGURATION +p19032 +tp19033 +a(g822 +g958 +tp19034 +a(g789 +VSECTION +p19035 +tp19036 +a(g701 +g965 +tp19037 +a(g822 +V\u000a +p19038 +tp19039 +a(g7 +V +p19040 +tp19041 +a(g822 +g958 +tp19042 +a(g781 +VREPOSITORY +p19043 +tp19044 +a(g701 +g965 +tp19045 +a(g822 +V\u000a +p19046 +tp19047 +a(g7 +V +p19048 +tp19049 +a(g822 +V +p19050 +tp19051 +a(g781 +VFUNCTION +p19052 +tp19053 +a(g822 +g958 +tp19054 +a(g781 +VALL +p19055 +tp19056 +a(g822 +g958 +tp19057 +a(g781 +VINTRINSIC +p19058 +tp19059 +a(g701 +g965 +tp19060 +a(g822 +V\u000a +p19061 +tp19062 +a(g7 +V +p19063 +tp19064 +a(g822 +g958 +tp19065 +a(g789 +VINPUT-OUTPUT +p19066 +tp19067 +a(g822 +g958 +tp19068 +a(g789 +VSECTION +p19069 +tp19070 +a(g701 +g965 +tp19071 +a(g822 +V\u000a +p19072 +tp19073 +a(g7 +V +p19074 +tp19075 +a(g822 +g958 +tp19076 +a(g789 +VFILE-CONTROL +p19077 +tp19078 +a(g701 +g965 +tp19079 +a(g822 +V\u000a +p19080 +tp19081 +a(g7 +V +p19082 +tp19083 +a(g822 +V +p19084 +tp19085 +a(g781 +VSELECT +p19086 +tp19087 +a(g822 +g958 +tp19088 +a(g436 +VExpand-Code +p19089 +tp19090 +a(g822 +V +p19091 +tp19092 +a(g781 +VASSIGN +p19093 +tp19094 +a(g822 +g958 +tp19095 +a(g781 +VTO +p19096 +tp19097 +a(g822 +g958 +tp19098 +a(g436 +VExpanded-Src-Filename +p19099 +tp19100 +a(g822 +V\u000a +p19101 +tp19102 +a(g7 +V +p19103 +tp19104 +a(g822 +V +p19105 +tp19106 +a(g781 +VORGANIZATION +p19107 +tp19108 +a(g822 +g958 +tp19109 +a(g781 +VIS +p19110 +tp19111 +a(g822 +g958 +tp19112 +a(g781 +VLINE +p19113 +tp19114 +a(g822 +g958 +tp19115 +a(g781 +VSEQUENTIAL +p19116 +tp19117 +a(g701 +g965 +tp19118 +a(g822 +V\u000a +p19119 +tp19120 +a(g7 +V +p19121 +tp19122 +a(g822 +V +p19123 +tp19124 +a(g781 +VSELECT +p19125 +tp19126 +a(g822 +g958 +tp19127 +a(g436 +VReport-File +p19128 +tp19129 +a(g822 +V +p19130 +tp19131 +a(g781 +VASSIGN +p19132 +tp19133 +a(g822 +g958 +tp19134 +a(g781 +VTO +p19135 +tp19136 +a(g822 +g958 +tp19137 +a(g436 +VReport-Filename +p19138 +tp19139 +a(g822 +V\u000a +p19140 +tp19141 +a(g7 +V +p19142 +tp19143 +a(g822 +V +p19144 +tp19145 +a(g781 +VORGANIZATION +p19146 +tp19147 +a(g822 +g958 +tp19148 +a(g781 +VIS +p19149 +tp19150 +a(g822 +g958 +tp19151 +a(g781 +VLINE +p19152 +tp19153 +a(g822 +g958 +tp19154 +a(g781 +VSEQUENTIAL +p19155 +tp19156 +a(g701 +g965 +tp19157 +a(g822 +V\u000a +p19158 +tp19159 +a(g7 +V +p19160 +tp19161 +a(g822 +V +p19162 +tp19163 +a(g781 +VSELECT +p19164 +tp19165 +a(g822 +g958 +tp19166 +a(g436 +VSort-File +p19167 +tp19168 +a(g822 +V +p19169 +tp19170 +a(g781 +VASSIGN +p19171 +tp19172 +a(g822 +g958 +tp19173 +a(g781 +VTO +p19174 +tp19175 +a(g822 +g958 +tp19176 +a(g781 +VDISK +p19177 +tp19178 +a(g701 +g965 +tp19179 +a(g822 +V\u000a +p19180 +tp19181 +a(g7 +V +p19182 +tp19183 +a(g822 +V +p19184 +tp19185 +a(g781 +VSELECT +p19186 +tp19187 +a(g822 +g958 +tp19188 +a(g436 +VSource-Code +p19189 +tp19190 +a(g822 +V +p19191 +tp19192 +a(g781 +VASSIGN +p19193 +tp19194 +a(g822 +g958 +tp19195 +a(g781 +VTO +p19196 +tp19197 +a(g822 +g958 +tp19198 +a(g436 +VSrc-Filename +p19199 +tp19200 +a(g822 +V\u000a +p19201 +tp19202 +a(g7 +V +p19203 +tp19204 +a(g822 +V +p19205 +tp19206 +a(g781 +VORGANIZATION +p19207 +tp19208 +a(g822 +g958 +tp19209 +a(g781 +VIS +p19210 +tp19211 +a(g822 +g958 +tp19212 +a(g781 +VLINE +p19213 +tp19214 +a(g822 +g958 +tp19215 +a(g781 +VSEQUENTIAL +p19216 +tp19217 +a(g701 +g965 +tp19218 +a(g822 +V\u000a +p19219 +tp19220 +a(g7 +V +p19221 +tp19222 +a(g822 +g958 +tp19223 +a(g789 +VDATA +p19224 +tp19225 +a(g822 +g958 +tp19226 +a(g789 +VDIVISION +p19227 +tp19228 +a(g701 +g965 +tp19229 +a(g822 +V\u000a +p19230 +tp19231 +a(g7 +V +p19232 +tp19233 +a(g822 +g958 +tp19234 +a(g789 +VFILE +p19235 +tp19236 +a(g822 +g958 +tp19237 +a(g789 +VSECTION +p19238 +tp19239 +a(g701 +g965 +tp19240 +a(g822 +V\u000a +p19241 +tp19242 +a(g7 +V +p19243 +tp19244 +a(g822 +g958 +tp19245 +a(g789 +VFD +p19246 +tp19247 +a(g822 +g958 +tp19248 +a(g436 +VExpand-Code +p19249 +tp19250 +a(g701 +g965 +tp19251 +a(g822 +V\u000a +p19252 +tp19253 +a(g7 +V +p19254 +tp19255 +a(g822 +g958 +tp19256 +a(g32 +V01 +p19257 +tp19258 +a(g436 +VExpand-Code-Rec +p19259 +tp19260 +a(g701 +g965 +tp19261 +a(g822 +V\u000a +p19262 +tp19263 +a(g7 +V +p19264 +tp19265 +a(g822 +V +p19266 +tp19267 +a(g32 +V05 +p19268 +tp19269 +a(g436 +VECR-1 +p19270 +tp19271 +a(g822 +V +p19272 +tp19273 +a(g744 +VPIC X +p19274 +tp19275 +a(g701 +g965 +tp19276 +a(g822 +V\u000a +p19277 +tp19278 +a(g7 +V +p19279 +tp19280 +a(g822 +V +p19281 +tp19282 +a(g32 +V05 +p19283 +tp19284 +a(g436 +VECR-2-256 +p19285 +tp19286 +a(g822 +V +p19287 +tp19288 +a(g744 +VPIC X(256) +p19289 +tp19290 +a(g701 +g965 +tp19291 +a(g822 +V\u000a +p19292 +tp19293 +a(g7 +V +p19294 +tp19295 +a(g822 +g958 +tp19296 +a(g32 +V01 +p19297 +tp19298 +a(g436 +VExpand-Code-Rec-Alt +p19299 +tp19300 +a(g701 +g965 +tp19301 +a(g822 +V\u000a +p19302 +tp19303 +a(g7 +V +p19304 +tp19305 +a(g822 +V +p19306 +tp19307 +a(g32 +V05 +p19308 +tp19309 +a(g436 +VECR-1-128 +p19310 +tp19311 +a(g822 +V +p19312 +tp19313 +a(g744 +VPIC X(128) +p19314 +tp19315 +a(g701 +g965 +tp19316 +a(g822 +V\u000a +p19317 +tp19318 +a(g7 +V +p19319 +tp19320 +a(g822 +V +p19321 +tp19322 +a(g32 +V05 +p19323 +tp19324 +a(g436 +VECR-129-256 +p19325 +tp19326 +a(g822 +V +p19327 +tp19328 +a(g744 +VPIC X(128) +p19329 +tp19330 +a(g701 +g965 +tp19331 +a(g822 +V\u000a +p19332 +tp19333 +a(g822 +V\u000a +p19334 +tp19335 +a(g7 +V +p19336 +tp19337 +a(g822 +g958 +tp19338 +a(g789 +VFD +p19339 +tp19340 +a(g822 +g958 +tp19341 +a(g436 +VReport-File +p19342 +tp19343 +a(g701 +g965 +tp19344 +a(g822 +V\u000a +p19345 +tp19346 +a(g7 +V +p19347 +tp19348 +a(g822 +g958 +tp19349 +a(g32 +V01 +p19350 +tp19351 +a(g436 +VReport-Rec +p19352 +tp19353 +a(g822 +V +p19354 +tp19355 +a(g744 +VPIC X(135) +p19356 +tp19357 +a(g701 +g965 +tp19358 +a(g822 +V\u000a +p19359 +tp19360 +a(g822 +V\u000a +p19361 +tp19362 +a(g7 +V +p19363 +tp19364 +a(g822 +g958 +tp19365 +a(g789 +VSD +p19366 +tp19367 +a(g822 +g958 +tp19368 +a(g436 +VSort-File +p19369 +tp19370 +a(g701 +g965 +tp19371 +a(g822 +V\u000a +p19372 +tp19373 +a(g7 +V +p19374 +tp19375 +a(g822 +g958 +tp19376 +a(g32 +V01 +p19377 +tp19378 +a(g436 +VSort-Rec +p19379 +tp19380 +a(g701 +g965 +tp19381 +a(g822 +V\u000a +p19382 +tp19383 +a(g7 +V +p19384 +tp19385 +a(g822 +V +p19386 +tp19387 +a(g32 +V05 +p19388 +tp19389 +a(g436 +VSR-Prog-ID +p19390 +tp19391 +a(g822 +V +p19392 +tp19393 +a(g744 +VPIC X(15) +p19394 +tp19395 +a(g701 +g965 +tp19396 +a(g822 +V\u000a +p19397 +tp19398 +a(g7 +V +p19399 +tp19400 +a(g822 +V +p19401 +tp19402 +a(g32 +V05 +p19403 +tp19404 +a(g436 +VSR-Token-UC +p19405 +tp19406 +a(g822 +V +p19407 +tp19408 +a(g744 +VPIC X(32) +p19409 +tp19410 +a(g701 +g965 +tp19411 +a(g822 +V\u000a +p19412 +tp19413 +a(g7 +V +p19414 +tp19415 +a(g822 +V +p19416 +tp19417 +a(g32 +V05 +p19418 +tp19419 +a(g436 +VSR-Token +p19420 +tp19421 +a(g822 +V +p19422 +tp19423 +a(g744 +VPIC X(32) +p19424 +tp19425 +a(g701 +g965 +tp19426 +a(g822 +V\u000a +p19427 +tp19428 +a(g7 +V +p19429 +tp19430 +a(g822 +V +p19431 +tp19432 +a(g32 +V05 +p19433 +tp19434 +a(g436 +VSR-Section +p19435 +tp19436 +a(g822 +V +p19437 +tp19438 +a(g744 +VPIC X(15) +p19439 +tp19440 +a(g701 +g965 +tp19441 +a(g822 +V\u000a +p19442 +tp19443 +a(g7 +V +p19444 +tp19445 +a(g822 +V +p19446 +tp19447 +a(g32 +V05 +p19448 +tp19449 +a(g436 +VSR-Line-No-Def +p19450 +tp19451 +a(g822 +V +p19452 +tp19453 +a(g744 +VPIC 9(6) +p19454 +tp19455 +a(g701 +g965 +tp19456 +a(g822 +V\u000a +p19457 +tp19458 +a(g7 +V +p19459 +tp19460 +a(g822 +V +p19461 +tp19462 +a(g32 +V05 +p19463 +tp19464 +a(g436 +VSR-Reference +p19465 +tp19466 +a(g701 +g965 +tp19467 +a(g822 +V\u000a +p19468 +tp19469 +a(g7 +V +p19470 +tp19471 +a(g822 +V +p19472 +tp19473 +a(g32 +V10 +p19474 +tp19475 +a(g436 +VSR-Line-No-Ref +p19476 +tp19477 +a(g822 +V +p19478 +tp19479 +a(g744 +VPIC 9(6) +p19480 +tp19481 +a(g701 +g965 +tp19482 +a(g822 +V\u000a +p19483 +tp19484 +a(g7 +V +p19485 +tp19486 +a(g822 +V +p19487 +tp19488 +a(g32 +V10 +p19489 +tp19490 +a(g436 +VSR-Ref-Flag +p19491 +tp19492 +a(g822 +V +p19493 +tp19494 +a(g744 +VPIC X(1) +p19495 +tp19496 +a(g701 +g965 +tp19497 +a(g822 +V\u000a +p19498 +tp19499 +a(g822 +V\u000a +p19500 +tp19501 +a(g7 +V +p19502 +tp19503 +a(g822 +g958 +tp19504 +a(g789 +VFD +p19505 +tp19506 +a(g822 +g958 +tp19507 +a(g436 +VSource-Code +p19508 +tp19509 +a(g701 +g965 +tp19510 +a(g822 +V\u000a +p19511 +tp19512 +a(g7 +V +p19513 +tp19514 +a(g822 +g958 +tp19515 +a(g32 +V01 +p19516 +tp19517 +a(g436 +VSource-Code-Rec +p19518 +tp19519 +a(g701 +g965 +tp19520 +a(g822 +V\u000a +p19521 +tp19522 +a(g7 +VGC0410 +p19523 +tp19524 +a(g822 +V +p19525 +tp19526 +a(g32 +V05 +p19527 +tp19528 +a(g436 +VSCR-1-128 +p19529 +tp19530 +a(g701 +g965 +tp19531 +a(g822 +V\u000a +p19532 +tp19533 +a(g7 +VGC0410 +p19534 +tp19535 +a(g822 +V +p19536 +tp19537 +a(g32 +V10 +p19538 +tp19539 +a(g781 +VFILLER +p19540 +tp19541 +a(g822 +g958 +tp19542 +a(g744 +VPIC X(6) +p19543 +tp19544 +a(g701 +g965 +tp19545 +a(g822 +V\u000a +p19546 +tp19547 +a(g7 +VGC0410 +p19548 +tp19549 +a(g822 +V +p19550 +tp19551 +a(g32 +V10 +p19552 +tp19553 +a(g436 +VSCR-7 +p19554 +tp19555 +a(g822 +V +p19556 +tp19557 +a(g744 +VPIC X(1) +p19558 +tp19559 +a(g701 +g965 +tp19560 +a(g822 +V\u000a +p19561 +tp19562 +a(g7 +VGC0410 +p19563 +tp19564 +a(g822 +V +p19565 +tp19566 +a(g32 +V10 +p19567 +tp19568 +a(g781 +VFILLER +p19569 +tp19570 +a(g822 +g958 +tp19571 +a(g744 +VPIC X(121) +p19572 +tp19573 +a(g701 +g965 +tp19574 +a(g822 +V\u000a +p19575 +tp19576 +a(g7 +V +p19577 +tp19578 +a(g822 +V +p19579 +tp19580 +a(g32 +V05 +p19581 +tp19582 +a(g436 +VSCR-129-256 +p19583 +tp19584 +a(g822 +V +p19585 +tp19586 +a(g744 +VPIC X(128) +p19587 +tp19588 +a(g701 +g965 +tp19589 +a(g822 +V\u000a +p19590 +tp19591 +a(g822 +V\u000a +p19592 +tp19593 +a(g7 +V +p19594 +tp19595 +a(g822 +g958 +tp19596 +a(g789 +VWORKING-STORAGE +p19597 +tp19598 +a(g822 +g958 +tp19599 +a(g789 +VSECTION +p19600 +tp19601 +a(g701 +g965 +tp19602 +a(g822 +V\u000a +p19603 +tp19604 +a(g7 +V +p19605 +tp19606 +a(g822 +g958 +tp19607 +a(g32 +V78 +p19608 +tp19609 +a(g436 +VLine-Nos-Per-Rec +p19610 +tp19611 +a(g822 +V +p19612 +tp19613 +a(g781 +VVALUE +p19614 +tp19615 +a(g822 +g958 +tp19616 +a(g32 +V8 +p19617 +tp19618 +a(g701 +g965 +tp19619 +a(g822 +V\u000a +p19620 +tp19621 +a(g822 +V\u000a +p19622 +tp19623 +a(g7 +V +p19624 +tp19625 +a(g822 +g958 +tp19626 +a(g32 +V01 +p19627 +tp19628 +a(g436 +VCmd +p19629 +tp19630 +a(g822 +V +p19631 +tp19632 +a(g744 +VPIC X(256) +p19633 +tp19634 +a(g701 +g965 +tp19635 +a(g822 +V\u000a +p19636 +tp19637 +a(g822 +V\u000a +p19638 +tp19639 +a(g7 +V +p19640 +tp19641 +a(g822 +g958 +tp19642 +a(g32 +V01 +p19643 +tp19644 +a(g436 +VDelim +p19645 +tp19646 +a(g822 +V +p19647 +tp19648 +a(g744 +VPIC X(2) +p19649 +tp19650 +a(g701 +g965 +tp19651 +a(g822 +V\u000a +p19652 +tp19653 +a(g822 +V\u000a +p19654 +tp19655 +a(g7 +V +p19656 +tp19657 +a(g822 +g958 +tp19658 +a(g32 +V01 +p19659 +tp19660 +a(g436 +VDetail-Line-S +p19661 +tp19662 +a(g701 +g965 +tp19663 +a(g822 +V\u000a +p19664 +tp19665 +a(g7 +V +p19666 +tp19667 +a(g822 +V +p19668 +tp19669 +a(g32 +V05 +p19670 +tp19671 +a(g436 +VDLS-Line-No +p19672 +tp19673 +a(g822 +V +p19674 +tp19675 +a(g744 +VPIC ZZZZZ9 +p19676 +tp19677 +a(g701 +g965 +tp19678 +a(g822 +V\u000a +p19679 +tp19680 +a(g7 +V +p19681 +tp19682 +a(g822 +V +p19683 +tp19684 +a(g32 +V05 +p19685 +tp19686 +a(g781 +VFILLER +p19687 +tp19688 +a(g822 +g958 +tp19689 +a(g744 +VPIC X(1) +p19690 +tp19691 +a(g701 +g965 +tp19692 +a(g822 +V\u000a +p19693 +tp19694 +a(g7 +V +p19695 +tp19696 +a(g822 +V +p19697 +tp19698 +a(g32 +V05 +p19699 +tp19700 +a(g436 +VDLS-Statement +p19701 +tp19702 +a(g822 +V +p19703 +tp19704 +a(g744 +VPIC X(128) +p19705 +tp19706 +a(g701 +g965 +tp19707 +a(g822 +V\u000a +p19708 +tp19709 +a(g822 +V\u000a +p19710 +tp19711 +a(g7 +V +p19712 +tp19713 +a(g822 +g958 +tp19714 +a(g32 +V01 +p19715 +tp19716 +a(g436 +VDetail-Line-X +p19717 +tp19718 +a(g701 +g965 +tp19719 +a(g822 +V\u000a +p19720 +tp19721 +a(g7 +V +p19722 +tp19723 +a(g822 +V +p19724 +tp19725 +a(g32 +V05 +p19726 +tp19727 +a(g436 +VDLX-Prog-ID +p19728 +tp19729 +a(g822 +V +p19730 +tp19731 +a(g744 +VPIC X(15) +p19732 +tp19733 +a(g701 +g965 +tp19734 +a(g822 +V\u000a +p19735 +tp19736 +a(g7 +V +p19737 +tp19738 +a(g822 +V +p19739 +tp19740 +a(g32 +V05 +p19741 +tp19742 +a(g781 +VFILLER +p19743 +tp19744 +a(g822 +g958 +tp19745 +a(g744 +VPIC X(1) +p19746 +tp19747 +a(g701 +g965 +tp19748 +a(g822 +V\u000a +p19749 +tp19750 +a(g7 +V +p19751 +tp19752 +a(g822 +V +p19753 +tp19754 +a(g32 +V05 +p19755 +tp19756 +a(g436 +VDLX-Token +p19757 +tp19758 +a(g822 +V +p19759 +tp19760 +a(g744 +VPIC X(32) +p19761 +tp19762 +a(g701 +g965 +tp19763 +a(g822 +V\u000a +p19764 +tp19765 +a(g7 +V +p19766 +tp19767 +a(g822 +V +p19768 +tp19769 +a(g32 +V05 +p19770 +tp19771 +a(g781 +VFILLER +p19772 +tp19773 +a(g822 +g958 +tp19774 +a(g744 +VPIC X(1) +p19775 +tp19776 +a(g701 +g965 +tp19777 +a(g822 +V\u000a +p19778 +tp19779 +a(g7 +V +p19780 +tp19781 +a(g822 +V +p19782 +tp19783 +a(g32 +V05 +p19784 +tp19785 +a(g436 +VDLX-Line-No-Def +p19786 +tp19787 +a(g822 +V +p19788 +tp19789 +a(g744 +VPIC ZZZZZ9 +p19790 +tp19791 +a(g701 +g965 +tp19792 +a(g822 +V\u000a +p19793 +tp19794 +a(g7 +V +p19795 +tp19796 +a(g822 +V +p19797 +tp19798 +a(g32 +V05 +p19799 +tp19800 +a(g781 +VFILLER +p19801 +tp19802 +a(g822 +g958 +tp19803 +a(g744 +VPIC X(1) +p19804 +tp19805 +a(g701 +g965 +tp19806 +a(g822 +V\u000a +p19807 +tp19808 +a(g7 +V +p19809 +tp19810 +a(g822 +V +p19811 +tp19812 +a(g32 +V05 +p19813 +tp19814 +a(g436 +VDLX-Section +p19815 +tp19816 +a(g822 +V +p19817 +tp19818 +a(g744 +VPIC X(15) +p19819 +tp19820 +a(g701 +g965 +tp19821 +a(g822 +V\u000a +p19822 +tp19823 +a(g7 +V +p19824 +tp19825 +a(g822 +V +p19826 +tp19827 +a(g32 +V05 +p19828 +tp19829 +a(g781 +VFILLER +p19830 +tp19831 +a(g822 +g958 +tp19832 +a(g744 +VPIC X(1) +p19833 +tp19834 +a(g701 +g965 +tp19835 +a(g822 +V\u000a +p19836 +tp19837 +a(g7 +V +p19838 +tp19839 +a(g822 +V +p19840 +tp19841 +a(g32 +V05 +p19842 +tp19843 +a(g436 +VDLX-Reference +p19844 +tp19845 +a(g822 +V +p19846 +tp19847 +a(g781 +VOCCURS +p19848 +tp19849 +a(g822 +g958 +tp19850 +a(g436 +VLine-Nos-Per-Rec +p19851 +tp19852 +a(g822 +g958 +tp19853 +a(g781 +VTIMES +p19854 +tp19855 +a(g701 +g965 +tp19856 +a(g822 +V\u000a +p19857 +tp19858 +a(g7 +V +p19859 +tp19860 +a(g822 +V +p19861 +tp19862 +a(g32 +V10 +p19863 +tp19864 +a(g436 +VDLX-Line-No-Ref +p19865 +tp19866 +a(g822 +V +p19867 +tp19868 +a(g744 +VPIC ZZZZZ9 +p19869 +tp19870 +a(g701 +g965 +tp19871 +a(g822 +V\u000a +p19872 +tp19873 +a(g7 +V +p19874 +tp19875 +a(g822 +V +p19876 +tp19877 +a(g32 +V10 +p19878 +tp19879 +a(g436 +VDLX-Ref-Flag +p19880 +tp19881 +a(g822 +V +p19882 +tp19883 +a(g744 +VPIC X(1) +p19884 +tp19885 +a(g701 +g965 +tp19886 +a(g822 +V\u000a +p19887 +tp19888 +a(g7 +V +p19889 +tp19890 +a(g822 +V +p19891 +tp19892 +a(g32 +V10 +p19893 +tp19894 +a(g781 +VFILLER +p19895 +tp19896 +a(g822 +g958 +tp19897 +a(g744 +VPIC X(1) +p19898 +tp19899 +a(g701 +g965 +tp19900 +a(g822 +V\u000a +p19901 +tp19902 +a(g822 +V\u000a +p19903 +tp19904 +a(g7 +V +p19905 +tp19906 +a(g822 +g958 +tp19907 +a(g32 +V01 +p19908 +tp19909 +a(g436 +VDummy +p19910 +tp19911 +a(g822 +V +p19912 +tp19913 +a(g744 +VPIC X(1) +p19914 +tp19915 +a(g701 +g965 +tp19916 +a(g822 +V\u000a +p19917 +tp19918 +a(g822 +V\u000a +p19919 +tp19920 +a(g7 +V +p19921 +tp19922 +a(g822 +g958 +tp19923 +a(g32 +V01 +p19924 +tp19925 +a(g436 +VEnv-TEMP +p19926 +tp19927 +a(g822 +V +p19928 +tp19929 +a(g744 +VPIC X(256) +p19930 +tp19931 +a(g701 +g965 +tp19932 +a(g822 +V\u000a +p19933 +tp19934 +a(g822 +V\u000a +p19935 +tp19936 +a(g7 +V +p19937 +tp19938 +a(g822 +g958 +tp19939 +a(g32 +V01 +p19940 +tp19941 +a(g436 +VExpanded-Src-Filename +p19942 +tp19943 +a(g822 +V +p19944 +tp19945 +a(g744 +VPIC X(256) +p19946 +tp19947 +a(g701 +g965 +tp19948 +a(g822 +V\u000a +p19949 +tp19950 +a(g822 +V\u000a +p19951 +tp19952 +a(g7 +V +p19953 +tp19954 +a(g822 +g958 +tp19955 +a(g32 +V01 +p19956 +tp19957 +a(g436 +VFilename +p19958 +tp19959 +a(g822 +V +p19960 +tp19961 +a(g744 +VPIC X(256) +p19962 +tp19963 +a(g701 +g965 +tp19964 +a(g822 +V\u000a +p19965 +tp19966 +a(g822 +V\u000a +p19967 +tp19968 +a(g7 +V +p19969 +tp19970 +a(g822 +g958 +tp19971 +a(g32 +V01 +p19972 +tp19973 +a(g436 +VFlags +p19974 +tp19975 +a(g701 +g965 +tp19976 +a(g822 +V\u000a +p19977 +tp19978 +a(g7 +VGC0710 +p19979 +tp19980 +a(g822 +V +p19981 +tp19982 +a(g32 +V05 +p19983 +tp19984 +a(g436 +VF-Duplicate +p19985 +tp19986 +a(g822 +V +p19987 +tp19988 +a(g744 +VPIC X(1) +p19989 +tp19990 +a(g701 +g965 +tp19991 +a(g822 +V\u000a +p19992 +tp19993 +a(g7 +V +p19994 +tp19995 +a(g822 +V +p19996 +tp19997 +a(g32 +V05 +p19998 +tp19999 +a(g436 +VF-First-Record +p20000 +tp20001 +a(g822 +V +p20002 +tp20003 +a(g744 +VPIC X(1) +p20004 +tp20005 +a(g701 +g965 +tp20006 +a(g822 +V\u000a +p20007 +tp20008 +a(g7 +V +p20009 +tp20010 +a(g822 +V +p20011 +tp20012 +a(g32 +V05 +p20013 +tp20014 +a(g436 +VF-In-Which-Pgm +p20015 +tp20016 +a(g822 +V +p20017 +tp20018 +a(g744 +VPIC X(1) +p20019 +tp20020 +a(g701 +g965 +tp20021 +a(g822 +V\u000a +p20022 +tp20023 +a(g7 +V +p20024 +tp20025 +a(g822 +V +p20026 +tp20027 +a(g32 +V88 +p20028 +tp20029 +a(g436 +VIn-Main-Module +p20030 +tp20031 +a(g822 +V +p20032 +tp20033 +a(g781 +VVALUE +p20034 +tp20035 +a(g225 +V'M' +p20036 +tp20037 +a(g701 +g965 +tp20038 +a(g822 +V\u000a +p20039 +tp20040 +a(g7 +V +p20041 +tp20042 +a(g822 +V +p20043 +tp20044 +a(g32 +V88 +p20045 +tp20046 +a(g436 +VIn-Copybook +p20047 +tp20048 +a(g822 +V +p20049 +tp20050 +a(g781 +VVALUE +p20051 +tp20052 +a(g225 +V'C' +p20053 +tp20054 +a(g701 +g965 +tp20055 +a(g822 +V\u000a +p20056 +tp20057 +a(g7 +V +p20058 +tp20059 +a(g822 +V +p20060 +tp20061 +a(g32 +V05 +p20062 +tp20063 +a(g436 +VF-Last-Token-Ended-Sent +p20064 +tp20065 +a(g822 +V +p20066 +tp20067 +a(g744 +VPIC X(1) +p20068 +tp20069 +a(g701 +g965 +tp20070 +a(g822 +V\u000a +p20071 +tp20072 +a(g7 +V +p20073 +tp20074 +a(g822 +V +p20075 +tp20076 +a(g32 +V05 +p20077 +tp20078 +a(g436 +VF-Processing-PICTURE +p20079 +tp20080 +a(g822 +V +p20081 +tp20082 +a(g744 +VPIC X(1) +p20083 +tp20084 +a(g701 +g965 +tp20085 +a(g822 +V\u000a +p20086 +tp20087 +a(g7 +V +p20088 +tp20089 +a(g822 +V +p20090 +tp20091 +a(g32 +V05 +p20092 +tp20093 +a(g436 +VF-Token-Ended-Sentence +p20094 +tp20095 +a(g822 +V +p20096 +tp20097 +a(g744 +VPIC X(1) +p20098 +tp20099 +a(g701 +g965 +tp20100 +a(g822 +V\u000a +p20101 +tp20102 +a(g7 +VGC0710 +p20103 +tp20104 +a(g822 +V +p20105 +tp20106 +a(g32 +V05 +p20107 +tp20108 +a(g436 +VF-Verb-Has-Been-Found +p20109 +tp20110 +a(g822 +V +p20111 +tp20112 +a(g744 +VPIC X(1) +p20113 +tp20114 +a(g701 +g965 +tp20115 +a(g822 +V\u000a +p20116 +tp20117 +a(g822 +V\u000a +p20118 +tp20119 +a(g7 +V +p20120 +tp20121 +a(g822 +g958 +tp20122 +a(g32 +V01 +p20123 +tp20124 +a(g436 +VGroup-Indicators +p20125 +tp20126 +a(g701 +g965 +tp20127 +a(g822 +V\u000a +p20128 +tp20129 +a(g7 +V +p20130 +tp20131 +a(g822 +V +p20132 +tp20133 +a(g32 +V05 +p20134 +tp20135 +a(g436 +VGI-Prog-ID +p20136 +tp20137 +a(g822 +V +p20138 +tp20139 +a(g744 +VPIC X(15) +p20140 +tp20141 +a(g701 +g965 +tp20142 +a(g822 +V\u000a +p20143 +tp20144 +a(g7 +V +p20145 +tp20146 +a(g822 +V +p20147 +tp20148 +a(g32 +V05 +p20149 +tp20150 +a(g436 +VGI-Token +p20151 +tp20152 +a(g822 +V +p20153 +tp20154 +a(g744 +VPIC X(32) +p20155 +tp20156 +a(g701 +g965 +tp20157 +a(g822 +V\u000a +p20158 +tp20159 +a(g822 +V\u000a +p20160 +tp20161 +a(g7 +V +p20162 +tp20163 +a(g822 +g958 +tp20164 +a(g32 +V01 +p20165 +tp20166 +a(g436 +VHeading-1S +p20167 +tp20168 +a(g701 +g965 +tp20169 +a(g822 +V\u000a +p20170 +tp20171 +a(g7 +V +p20172 +tp20173 +a(g822 +V +p20174 +tp20175 +a(g32 +V05 +p20176 +tp20177 +a(g781 +VFILLER +p20178 +tp20179 +a(g822 +g958 +tp20180 +a(g744 +VPIC X(125) +p20181 +tp20182 +a(g822 +g958 +tp20183 +a(g781 +VVALUE\u000a +p20184 +tp20185 +a(g152 +V"OpenCOBOL 1.1 06FEB2009 Source Listing - " +p20186 +tp20187 +a(g822 +g958 +tp20188 +a(g701 +g5134 +tp20189 +a(g822 +V\u000a +p20190 +tp20191 +a(g7 +V +p20192 +tp20193 +a(g822 +V +p20194 +tp20195 +a(g152 +V"OCic Copyright (C) 2009-2010, Gary L. Cutler, GPL" +p20196 +tp20197 +a(g701 +g965 +tp20198 +a(g822 +V\u000a +p20199 +tp20200 +a(g7 +V +p20201 +tp20202 +a(g822 +V +p20203 +tp20204 +a(g32 +V05 +p20205 +tp20206 +a(g436 +VH1S-Date +p20207 +tp20208 +a(g822 +V +p20209 +tp20210 +a(g744 +VPIC 9999/99/99 +p20211 +tp20212 +a(g701 +g965 +tp20213 +a(g822 +V\u000a +p20214 +tp20215 +a(g822 +V\u000a +p20216 +tp20217 +a(g7 +V +p20218 +tp20219 +a(g822 +g958 +tp20220 +a(g32 +V01 +p20221 +tp20222 +a(g436 +VHeading-1X +p20223 +tp20224 +a(g701 +g965 +tp20225 +a(g822 +V\u000a +p20226 +tp20227 +a(g7 +V +p20228 +tp20229 +a(g822 +V +p20230 +tp20231 +a(g32 +V05 +p20232 +tp20233 +a(g781 +VFILLER +p20234 +tp20235 +a(g822 +g958 +tp20236 +a(g744 +VPIC X(125) +p20237 +tp20238 +a(g822 +g958 +tp20239 +a(g781 +VVALUE\u000a +p20240 +tp20241 +a(g152 +V"OpenCOBOL 1.1 06FEB2009 Cross-Reference Listing - " +p20242 +tp20243 +a(g822 +g958 +tp20244 +a(g701 +g5134 +tp20245 +a(g822 +V\u000a +p20246 +tp20247 +a(g7 +V +p20248 +tp20249 +a(g822 +V +p20250 +tp20251 +a(g152 +V"OCic Copyright (C) 2009-2010, Gary L. Cutler, GPL" +p20252 +tp20253 +a(g701 +g965 +tp20254 +a(g822 +V\u000a +p20255 +tp20256 +a(g7 +V +p20257 +tp20258 +a(g822 +V +p20259 +tp20260 +a(g32 +V05 +p20261 +tp20262 +a(g436 +VH1X-Date +p20263 +tp20264 +a(g822 +V +p20265 +tp20266 +a(g744 +VPIC 9999/99/99 +p20267 +tp20268 +a(g701 +g965 +tp20269 +a(g822 +V\u000a +p20270 +tp20271 +a(g822 +V\u000a +p20272 +tp20273 +a(g7 +V +p20274 +tp20275 +a(g822 +g958 +tp20276 +a(g32 +V01 +p20277 +tp20278 +a(g436 +VHeading-2 +p20279 +tp20280 +a(g822 +V +p20281 +tp20282 +a(g744 +VPIC X(135) +p20283 +tp20284 +a(g701 +g965 +tp20285 +a(g822 +V\u000a +p20286 +tp20287 +a(g822 +V\u000a +p20288 +tp20289 +a(g7 +V +p20290 +tp20291 +a(g822 +g958 +tp20292 +a(g32 +V01 +p20293 +tp20294 +a(g436 +VHeading-4S +p20295 +tp20296 +a(g822 +V +p20297 +tp20298 +a(g744 +VPIC X(16) +p20299 +tp20300 +a(g822 +g958 +tp20301 +a(g781 +VVALUE\u000a +p20302 +tp20303 +a(g152 +V"Line Statement" +p20304 +tp20305 +a(g701 +g965 +tp20306 +a(g822 +V\u000a +p20307 +tp20308 +a(g822 +V\u000a +p20309 +tp20310 +a(g7 +V +p20311 +tp20312 +a(g822 +g958 +tp20313 +a(g32 +V01 +p20314 +tp20315 +a(g436 +VHeading-4X +p20316 +tp20317 +a(g822 +V +p20318 +tp20319 +a(g744 +VPIC X(96) +p20320 +tp20321 +a(g822 +g958 +tp20322 +a(g781 +VVALUE\u000a +p20323 +tp20324 +a(g152 +V"PROGRAM-ID Identifier/Register/Function Defn Wher\u000a +p20325 +tp20326 +a(g7 +V +p20327 +tp20328 +a(g408 +g1832 +tp20329 +a(g822 +V +p20330 +tp20331 +a(g152 +V"e Defined References (* = Updated)" +p20332 +tp20333 +a(g701 +g965 +tp20334 +a(g822 +V\u000a +p20335 +tp20336 +a(g822 +V\u000a +p20337 +tp20338 +a(g7 +V +p20339 +tp20340 +a(g822 +g958 +tp20341 +a(g32 +V01 +p20342 +tp20343 +a(g436 +VHeading-5S +p20344 +tp20345 +a(g822 +V +p20346 +tp20347 +a(g744 +VPIC X(135) +p20348 +tp20349 +a(g822 +g958 +tp20350 +a(g781 +VVALUE\u000a +p20351 +tp20352 +a(g152 +V"====== =====================================================\u000a +p20353 +tp20354 +a(g7 +V +p20355 +tp20356 +a(g408 +g1832 +tp20357 +a(g822 +V +p20358 +tp20359 +a(g152 +V"============================================================\u000a +p20360 +tp20361 +a(g7 +V +p20362 +tp20363 +a(g408 +g1832 +tp20364 +a(g822 +V +p20365 +tp20366 +a(g152 +V"===============" +p20367 +tp20368 +a(g701 +g965 +tp20369 +a(g822 +V\u000a +p20370 +tp20371 +a(g822 +V\u000a +p20372 +tp20373 +a(g7 +V +p20374 +tp20375 +a(g822 +g958 +tp20376 +a(g32 +V01 +p20377 +tp20378 +a(g436 +VHeading-5X +p20379 +tp20380 +a(g822 +V +p20381 +tp20382 +a(g744 +VPIC X(135) +p20383 +tp20384 +a(g822 +g958 +tp20385 +a(g781 +VVALUE\u000a +p20386 +tp20387 +a(g152 +V"=============== ================================ ====== ====\u000a +p20388 +tp20389 +a(g7 +V +p20390 +tp20391 +a(g408 +g1832 +tp20392 +a(g822 +V +p20393 +tp20394 +a(g152 +V"=========== ================================================\u000a +p20395 +tp20396 +a(g7 +V +p20397 +tp20398 +a(g408 +g1832 +tp20399 +a(g822 +V +p20400 +tp20401 +a(g152 +V"===============" +p20402 +tp20403 +a(g701 +g965 +tp20404 +a(g822 +V\u000a +p20405 +tp20406 +a(g822 +V\u000a +p20407 +tp20408 +a(g7 +V +p20409 +tp20410 +a(g822 +g958 +tp20411 +a(g32 +V01 +p20412 +tp20413 +a(g436 +VHeld-Reference +p20414 +tp20415 +a(g822 +V +p20416 +tp20417 +a(g744 +VPIC X(100) +p20418 +tp20419 +a(g701 +g965 +tp20420 +a(g822 +V\u000a +p20421 +tp20422 +a(g822 +V\u000a +p20423 +tp20424 +a(g7 +V +p20425 +tp20426 +a(g822 +g958 +tp20427 +a(g32 +V01 +p20428 +tp20429 +a(g436 +g2314 +tp20430 +a(g822 +V +p20431 +tp20432 +a(g781 +VUSAGE +p20433 +tp20434 +a(g822 +g958 +tp20435 +a(g744 +VBINARY-LONG +p20436 +tp20437 +a(g701 +g965 +tp20438 +a(g822 +V\u000a +p20439 +tp20440 +a(g822 +V\u000a +p20441 +tp20442 +a(g7 +V +p20443 +tp20444 +a(g822 +g958 +tp20445 +a(g32 +V01 +p20446 +tp20447 +a(g436 +g2333 +tp20448 +a(g822 +V +p20449 +tp20450 +a(g781 +VUSAGE +p20451 +tp20452 +a(g822 +g958 +tp20453 +a(g744 +VBINARY-LONG +p20454 +tp20455 +a(g701 +g965 +tp20456 +a(g822 +V\u000a +p20457 +tp20458 +a(g822 +V\u000a +p20459 +tp20460 +a(g7 +V +p20461 +tp20462 +a(g822 +g958 +tp20463 +a(g32 +V01 +p20464 +tp20465 +a(g436 +VLines-Left +p20466 +tp20467 +a(g822 +V +p20468 +tp20469 +a(g781 +VUSAGE +p20470 +tp20471 +a(g822 +g958 +tp20472 +a(g744 +VBINARY-LONG +p20473 +tp20474 +a(g701 +g965 +tp20475 +a(g822 +V\u000a +p20476 +tp20477 +a(g822 +V\u000a +p20478 +tp20479 +a(g7 +V +p20480 +tp20481 +a(g822 +g958 +tp20482 +a(g32 +V01 +p20483 +tp20484 +a(g436 +VLines-Per-Page +p20485 +tp20486 +a(g822 +V +p20487 +tp20488 +a(g781 +VUSAGE +p20489 +tp20490 +a(g822 +g958 +tp20491 +a(g744 +VBINARY-LONG +p20492 +tp20493 +a(g701 +g965 +tp20494 +a(g822 +V\u000a +p20495 +tp20496 +a(g822 +V\u000a +p20497 +tp20498 +a(g7 +V +p20499 +tp20500 +a(g822 +g958 +tp20501 +a(g32 +V01 +p20502 +tp20503 +a(g436 +VLines-Per-Page-ENV +p20504 +tp20505 +a(g822 +V +p20506 +tp20507 +a(g744 +VPIC X(256) +p20508 +tp20509 +a(g701 +g965 +tp20510 +a(g822 +V\u000a +p20511 +tp20512 +a(g822 +V\u000a +p20513 +tp20514 +a(g7 +V +p20515 +tp20516 +a(g822 +g958 +tp20517 +a(g32 +V01 +p20518 +tp20519 +a(g436 +VNum-UserNames +p20520 +tp20521 +a(g822 +V +p20522 +tp20523 +a(g781 +VUSAGE +p20524 +tp20525 +a(g822 +g958 +tp20526 +a(g744 +VBINARY-LONG +p20527 +tp20528 +a(g701 +g965 +tp20529 +a(g822 +V\u000a +p20530 +tp20531 +a(g822 +V\u000a +p20532 +tp20533 +a(g7 +V +p20534 +tp20535 +a(g822 +g958 +tp20536 +a(g32 +V01 +p20537 +tp20538 +a(g436 +VPIC-X10 +p20539 +tp20540 +a(g822 +V +p20541 +tp20542 +a(g744 +VPIC X(10) +p20543 +tp20544 +a(g701 +g965 +tp20545 +a(g822 +V\u000a +p20546 +tp20547 +a(g822 +V\u000a +p20548 +tp20549 +a(g7 +V +p20550 +tp20551 +a(g822 +g958 +tp20552 +a(g32 +V01 +p20553 +tp20554 +a(g436 +VPIC-X32 +p20555 +tp20556 +a(g822 +V +p20557 +tp20558 +a(g744 +VPIC X(32) +p20559 +tp20560 +a(g701 +g965 +tp20561 +a(g822 +V\u000a +p20562 +tp20563 +a(g822 +V\u000a +p20564 +tp20565 +a(g7 +V +p20566 +tp20567 +a(g822 +g958 +tp20568 +a(g32 +V01 +p20569 +tp20570 +a(g436 +VPIC-X256 +p20571 +tp20572 +a(g822 +V +p20573 +tp20574 +a(g744 +VPIC X(256) +p20575 +tp20576 +a(g701 +g965 +tp20577 +a(g822 +V\u000a +p20578 +tp20579 +a(g822 +V\u000a +p20580 +tp20581 +a(g7 +V +p20582 +tp20583 +a(g822 +g958 +tp20584 +a(g32 +V01 +p20585 +tp20586 +a(g436 +VProgram-Path +p20587 +tp20588 +a(g822 +V +p20589 +tp20590 +a(g744 +VPIC X(256) +p20591 +tp20592 +a(g701 +g965 +tp20593 +a(g822 +V\u000a +p20594 +tp20595 +a(g822 +V\u000a +p20596 +tp20597 +a(g7 +V +p20598 +tp20599 +a(g822 +g958 +tp20600 +a(g32 +V01 +p20601 +tp20602 +a(g436 +VReport-Filename +p20603 +tp20604 +a(g822 +V +p20605 +tp20606 +a(g744 +VPIC X(256) +p20607 +tp20608 +a(g701 +g965 +tp20609 +a(g822 +V\u000a +p20610 +tp20611 +a(g822 +V\u000a +p20612 +tp20613 +a(g7 +V +p20614 +tp20615 +a(g822 +g958 +tp20616 +a(g32 +V01 +p20617 +tp20618 +a(g436 +VReserved-Words +p20619 +tp20620 +a(g701 +g965 +tp20621 +a(g822 +V\u000a +p20622 +tp20623 +a(g7 +V +p20624 +tp20625 +a(g822 +V +p20626 +tp20627 +a(g32 +V05 +p20628 +tp20629 +a(g781 +VFILLER +p20630 +tp20631 +a(g822 +g958 +tp20632 +a(g744 +VPIC X(33) +p20633 +tp20634 +a(g822 +g958 +tp20635 +a(g781 +VVALUE +p20636 +tp20637 +a(g152 +V"IABS" +p20638 +tp20639 +a(g701 +g965 +tp20640 +a(g822 +V\u000a +p20641 +tp20642 +a(g7 +V +p20643 +tp20644 +a(g822 +V +p20645 +tp20646 +a(g32 +V05 +p20647 +tp20648 +a(g781 +VFILLER +p20649 +tp20650 +a(g822 +g958 +tp20651 +a(g744 +VPIC X(33) +p20652 +tp20653 +a(g822 +g958 +tp20654 +a(g781 +VVALUE +p20655 +tp20656 +a(g152 +V"VACCEPT" +p20657 +tp20658 +a(g701 +g965 +tp20659 +a(g822 +V\u000a +p20660 +tp20661 +a(g7 +V +p20662 +tp20663 +a(g822 +V +p20664 +tp20665 +a(g32 +V05 +p20666 +tp20667 +a(g781 +VFILLER +p20668 +tp20669 +a(g822 +g958 +tp20670 +a(g744 +VPIC X(33) +p20671 +tp20672 +a(g822 +g958 +tp20673 +a(g781 +VVALUE +p20674 +tp20675 +a(g152 +V" ACCESS" +p20676 +tp20677 +a(g701 +g965 +tp20678 +a(g822 +V\u000a +p20679 +tp20680 +a(g7 +V +p20681 +tp20682 +a(g822 +V +p20683 +tp20684 +a(g32 +V05 +p20685 +tp20686 +a(g781 +VFILLER +p20687 +tp20688 +a(g822 +g958 +tp20689 +a(g744 +VPIC X(33) +p20690 +tp20691 +a(g822 +g958 +tp20692 +a(g781 +VVALUE +p20693 +tp20694 +a(g152 +V"IACOS" +p20695 +tp20696 +a(g701 +g965 +tp20697 +a(g822 +V\u000a +p20698 +tp20699 +a(g7 +V +p20700 +tp20701 +a(g822 +V +p20702 +tp20703 +a(g32 +V05 +p20704 +tp20705 +a(g781 +VFILLER +p20706 +tp20707 +a(g822 +g958 +tp20708 +a(g744 +VPIC X(33) +p20709 +tp20710 +a(g822 +g958 +tp20711 +a(g781 +VVALUE +p20712 +tp20713 +a(g152 +V" ACTIVE-CLASS" +p20714 +tp20715 +a(g701 +g965 +tp20716 +a(g822 +V\u000a +p20717 +tp20718 +a(g7 +V +p20719 +tp20720 +a(g822 +V +p20721 +tp20722 +a(g32 +V05 +p20723 +tp20724 +a(g781 +VFILLER +p20725 +tp20726 +a(g822 +g958 +tp20727 +a(g744 +VPIC X(33) +p20728 +tp20729 +a(g822 +g958 +tp20730 +a(g781 +VVALUE +p20731 +tp20732 +a(g152 +V"VADD" +p20733 +tp20734 +a(g701 +g965 +tp20735 +a(g822 +V\u000a +p20736 +tp20737 +a(g7 +V +p20738 +tp20739 +a(g822 +V +p20740 +tp20741 +a(g32 +V05 +p20742 +tp20743 +a(g781 +VFILLER +p20744 +tp20745 +a(g822 +g958 +tp20746 +a(g744 +VPIC X(33) +p20747 +tp20748 +a(g822 +g958 +tp20749 +a(g781 +VVALUE +p20750 +tp20751 +a(g152 +V" ADDRESS" +p20752 +tp20753 +a(g701 +g965 +tp20754 +a(g822 +V\u000a +p20755 +tp20756 +a(g7 +V +p20757 +tp20758 +a(g822 +V +p20759 +tp20760 +a(g32 +V05 +p20761 +tp20762 +a(g781 +VFILLER +p20763 +tp20764 +a(g822 +g958 +tp20765 +a(g744 +VPIC X(33) +p20766 +tp20767 +a(g822 +g958 +tp20768 +a(g781 +VVALUE +p20769 +tp20770 +a(g152 +V" ADVANCING" +p20771 +tp20772 +a(g701 +g965 +tp20773 +a(g822 +V\u000a +p20774 +tp20775 +a(g7 +V +p20776 +tp20777 +a(g822 +V +p20778 +tp20779 +a(g32 +V05 +p20780 +tp20781 +a(g781 +VFILLER +p20782 +tp20783 +a(g822 +g958 +tp20784 +a(g744 +VPIC X(33) +p20785 +tp20786 +a(g822 +g958 +tp20787 +a(g781 +VVALUE +p20788 +tp20789 +a(g152 +V"KAFTER" +p20790 +tp20791 +a(g701 +g965 +tp20792 +a(g822 +V\u000a +p20793 +tp20794 +a(g7 +V +p20795 +tp20796 +a(g822 +V +p20797 +tp20798 +a(g32 +V05 +p20799 +tp20800 +a(g781 +VFILLER +p20801 +tp20802 +a(g822 +g958 +tp20803 +a(g744 +VPIC X(33) +p20804 +tp20805 +a(g822 +g958 +tp20806 +a(g781 +VVALUE +p20807 +tp20808 +a(g152 +V" ALIGNED" +p20809 +tp20810 +a(g701 +g965 +tp20811 +a(g822 +V\u000a +p20812 +tp20813 +a(g7 +V +p20814 +tp20815 +a(g822 +V +p20816 +tp20817 +a(g32 +V05 +p20818 +tp20819 +a(g781 +VFILLER +p20820 +tp20821 +a(g822 +g958 +tp20822 +a(g744 +VPIC X(33) +p20823 +tp20824 +a(g822 +g958 +tp20825 +a(g781 +VVALUE +p20826 +tp20827 +a(g152 +V" ALL" +p20828 +tp20829 +a(g701 +g965 +tp20830 +a(g822 +V\u000a +p20831 +tp20832 +a(g7 +V +p20833 +tp20834 +a(g822 +V +p20835 +tp20836 +a(g32 +V05 +p20837 +tp20838 +a(g781 +VFILLER +p20839 +tp20840 +a(g822 +g958 +tp20841 +a(g744 +VPIC X(33) +p20842 +tp20843 +a(g822 +g958 +tp20844 +a(g781 +VVALUE +p20845 +tp20846 +a(g152 +V"VALLOCATE" +p20847 +tp20848 +a(g701 +g965 +tp20849 +a(g822 +V\u000a +p20850 +tp20851 +a(g7 +V +p20852 +tp20853 +a(g822 +V +p20854 +tp20855 +a(g32 +V05 +p20856 +tp20857 +a(g781 +VFILLER +p20858 +tp20859 +a(g822 +g958 +tp20860 +a(g744 +VPIC X(33) +p20861 +tp20862 +a(g822 +g958 +tp20863 +a(g781 +VVALUE +p20864 +tp20865 +a(g152 +V" ALPHABET" +p20866 +tp20867 +a(g701 +g965 +tp20868 +a(g822 +V\u000a +p20869 +tp20870 +a(g7 +V +p20871 +tp20872 +a(g822 +V +p20873 +tp20874 +a(g32 +V05 +p20875 +tp20876 +a(g781 +VFILLER +p20877 +tp20878 +a(g822 +g958 +tp20879 +a(g744 +VPIC X(33) +p20880 +tp20881 +a(g822 +g958 +tp20882 +a(g781 +VVALUE +p20883 +tp20884 +a(g152 +V" ALPHABETIC" +p20885 +tp20886 +a(g701 +g965 +tp20887 +a(g822 +V\u000a +p20888 +tp20889 +a(g7 +V +p20890 +tp20891 +a(g822 +V +p20892 +tp20893 +a(g32 +V05 +p20894 +tp20895 +a(g781 +VFILLER +p20896 +tp20897 +a(g822 +g958 +tp20898 +a(g744 +VPIC X(33) +p20899 +tp20900 +a(g822 +g958 +tp20901 +a(g781 +VVALUE +p20902 +tp20903 +a(g152 +V" ALPHABETIC-LOWER" +p20904 +tp20905 +a(g701 +g965 +tp20906 +a(g822 +V\u000a +p20907 +tp20908 +a(g7 +V +p20909 +tp20910 +a(g822 +V +p20911 +tp20912 +a(g32 +V05 +p20913 +tp20914 +a(g781 +VFILLER +p20915 +tp20916 +a(g822 +g958 +tp20917 +a(g744 +VPIC X(33) +p20918 +tp20919 +a(g822 +g958 +tp20920 +a(g781 +VVALUE +p20921 +tp20922 +a(g152 +V" ALPHABETIC-UPPER" +p20923 +tp20924 +a(g701 +g965 +tp20925 +a(g822 +V\u000a +p20926 +tp20927 +a(g7 +V +p20928 +tp20929 +a(g822 +V +p20930 +tp20931 +a(g32 +V05 +p20932 +tp20933 +a(g781 +VFILLER +p20934 +tp20935 +a(g822 +g958 +tp20936 +a(g744 +VPIC X(33) +p20937 +tp20938 +a(g822 +g958 +tp20939 +a(g781 +VVALUE +p20940 +tp20941 +a(g152 +V" ALPHANUMERIC" +p20942 +tp20943 +a(g701 +g965 +tp20944 +a(g822 +V\u000a +p20945 +tp20946 +a(g7 +V +p20947 +tp20948 +a(g822 +V +p20949 +tp20950 +a(g32 +V05 +p20951 +tp20952 +a(g781 +VFILLER +p20953 +tp20954 +a(g822 +g958 +tp20955 +a(g744 +VPIC X(33) +p20956 +tp20957 +a(g822 +g958 +tp20958 +a(g781 +VVALUE +p20959 +tp20960 +a(g152 +V" ALPHANUMERIC-EDITED" +p20961 +tp20962 +a(g701 +g965 +tp20963 +a(g822 +V\u000a +p20964 +tp20965 +a(g7 +V +p20966 +tp20967 +a(g822 +V +p20968 +tp20969 +a(g32 +V05 +p20970 +tp20971 +a(g781 +VFILLER +p20972 +tp20973 +a(g822 +g958 +tp20974 +a(g744 +VPIC X(33) +p20975 +tp20976 +a(g822 +g958 +tp20977 +a(g781 +VVALUE +p20978 +tp20979 +a(g152 +V" ALSO" +p20980 +tp20981 +a(g701 +g965 +tp20982 +a(g822 +V\u000a +p20983 +tp20984 +a(g7 +V +p20985 +tp20986 +a(g822 +V +p20987 +tp20988 +a(g32 +V05 +p20989 +tp20990 +a(g781 +VFILLER +p20991 +tp20992 +a(g822 +g958 +tp20993 +a(g744 +VPIC X(33) +p20994 +tp20995 +a(g822 +g958 +tp20996 +a(g781 +VVALUE +p20997 +tp20998 +a(g152 +V"VALTER" +p20999 +tp21000 +a(g701 +g965 +tp21001 +a(g822 +V\u000a +p21002 +tp21003 +a(g7 +V +p21004 +tp21005 +a(g822 +V +p21006 +tp21007 +a(g32 +V05 +p21008 +tp21009 +a(g781 +VFILLER +p21010 +tp21011 +a(g822 +g958 +tp21012 +a(g744 +VPIC X(33) +p21013 +tp21014 +a(g822 +g958 +tp21015 +a(g781 +VVALUE +p21016 +tp21017 +a(g152 +V" ALTERNATE" +p21018 +tp21019 +a(g701 +g965 +tp21020 +a(g822 +V\u000a +p21021 +tp21022 +a(g7 +V +p21023 +tp21024 +a(g822 +V +p21025 +tp21026 +a(g32 +V05 +p21027 +tp21028 +a(g781 +VFILLER +p21029 +tp21030 +a(g822 +g958 +tp21031 +a(g744 +VPIC X(33) +p21032 +tp21033 +a(g822 +g958 +tp21034 +a(g781 +VVALUE +p21035 +tp21036 +a(g152 +V" AND" +p21037 +tp21038 +a(g701 +g965 +tp21039 +a(g822 +V\u000a +p21040 +tp21041 +a(g7 +V +p21042 +tp21043 +a(g822 +V +p21044 +tp21045 +a(g32 +V05 +p21046 +tp21047 +a(g781 +VFILLER +p21048 +tp21049 +a(g822 +g958 +tp21050 +a(g744 +VPIC X(33) +p21051 +tp21052 +a(g822 +g958 +tp21053 +a(g781 +VVALUE +p21054 +tp21055 +a(g152 +V"IANNUITY" +p21056 +tp21057 +a(g701 +g965 +tp21058 +a(g822 +V\u000a +p21059 +tp21060 +a(g7 +V +p21061 +tp21062 +a(g822 +V +p21063 +tp21064 +a(g32 +V05 +p21065 +tp21066 +a(g781 +VFILLER +p21067 +tp21068 +a(g822 +g958 +tp21069 +a(g744 +VPIC X(33) +p21070 +tp21071 +a(g822 +g958 +tp21072 +a(g781 +VVALUE +p21073 +tp21074 +a(g152 +V" ANY" +p21075 +tp21076 +a(g701 +g965 +tp21077 +a(g822 +V\u000a +p21078 +tp21079 +a(g7 +V +p21080 +tp21081 +a(g822 +V +p21082 +tp21083 +a(g32 +V05 +p21084 +tp21085 +a(g781 +VFILLER +p21086 +tp21087 +a(g822 +g958 +tp21088 +a(g744 +VPIC X(33) +p21089 +tp21090 +a(g822 +g958 +tp21091 +a(g781 +VVALUE +p21092 +tp21093 +a(g152 +V" ANYCASE" +p21094 +tp21095 +a(g701 +g965 +tp21096 +a(g822 +V\u000a +p21097 +tp21098 +a(g7 +V +p21099 +tp21100 +a(g822 +V +p21101 +tp21102 +a(g32 +V05 +p21103 +tp21104 +a(g781 +VFILLER +p21105 +tp21106 +a(g822 +g958 +tp21107 +a(g744 +VPIC X(33) +p21108 +tp21109 +a(g822 +g958 +tp21110 +a(g781 +VVALUE +p21111 +tp21112 +a(g152 +V" ARE" +p21113 +tp21114 +a(g701 +g965 +tp21115 +a(g822 +V\u000a +p21116 +tp21117 +a(g7 +V +p21118 +tp21119 +a(g822 +V +p21120 +tp21121 +a(g32 +V05 +p21122 +tp21123 +a(g781 +VFILLER +p21124 +tp21125 +a(g822 +g958 +tp21126 +a(g744 +VPIC X(33) +p21127 +tp21128 +a(g822 +g958 +tp21129 +a(g781 +VVALUE +p21130 +tp21131 +a(g152 +V" AREA" +p21132 +tp21133 +a(g701 +g965 +tp21134 +a(g822 +V\u000a +p21135 +tp21136 +a(g7 +V +p21137 +tp21138 +a(g822 +V +p21139 +tp21140 +a(g32 +V05 +p21141 +tp21142 +a(g781 +VFILLER +p21143 +tp21144 +a(g822 +g958 +tp21145 +a(g744 +VPIC X(33) +p21146 +tp21147 +a(g822 +g958 +tp21148 +a(g781 +VVALUE +p21149 +tp21150 +a(g152 +V" AREAS" +p21151 +tp21152 +a(g701 +g965 +tp21153 +a(g822 +V\u000a +p21154 +tp21155 +a(g7 +V +p21156 +tp21157 +a(g822 +V +p21158 +tp21159 +a(g32 +V05 +p21160 +tp21161 +a(g781 +VFILLER +p21162 +tp21163 +a(g822 +g958 +tp21164 +a(g744 +VPIC X(33) +p21165 +tp21166 +a(g822 +g958 +tp21167 +a(g781 +VVALUE +p21168 +tp21169 +a(g152 +V" ARGUMENT-NUMBER" +p21170 +tp21171 +a(g701 +g965 +tp21172 +a(g822 +V\u000a +p21173 +tp21174 +a(g7 +V +p21175 +tp21176 +a(g822 +V +p21177 +tp21178 +a(g32 +V05 +p21179 +tp21180 +a(g781 +VFILLER +p21181 +tp21182 +a(g822 +g958 +tp21183 +a(g744 +VPIC X(33) +p21184 +tp21185 +a(g822 +g958 +tp21186 +a(g781 +VVALUE +p21187 +tp21188 +a(g152 +V" ARGUMENT-VALUE" +p21189 +tp21190 +a(g701 +g965 +tp21191 +a(g822 +V\u000a +p21192 +tp21193 +a(g7 +V +p21194 +tp21195 +a(g822 +V +p21196 +tp21197 +a(g32 +V05 +p21198 +tp21199 +a(g781 +VFILLER +p21200 +tp21201 +a(g822 +g958 +tp21202 +a(g744 +VPIC X(33) +p21203 +tp21204 +a(g822 +g958 +tp21205 +a(g781 +VVALUE +p21206 +tp21207 +a(g152 +V" AS" +p21208 +tp21209 +a(g701 +g965 +tp21210 +a(g822 +V\u000a +p21211 +tp21212 +a(g7 +V +p21213 +tp21214 +a(g822 +V +p21215 +tp21216 +a(g32 +V05 +p21217 +tp21218 +a(g781 +VFILLER +p21219 +tp21220 +a(g822 +g958 +tp21221 +a(g744 +VPIC X(33) +p21222 +tp21223 +a(g822 +g958 +tp21224 +a(g781 +VVALUE +p21225 +tp21226 +a(g152 +V" ASCENDING" +p21227 +tp21228 +a(g701 +g965 +tp21229 +a(g822 +V\u000a +p21230 +tp21231 +a(g7 +V +p21232 +tp21233 +a(g822 +V +p21234 +tp21235 +a(g32 +V05 +p21236 +tp21237 +a(g781 +VFILLER +p21238 +tp21239 +a(g822 +g958 +tp21240 +a(g744 +VPIC X(33) +p21241 +tp21242 +a(g822 +g958 +tp21243 +a(g781 +VVALUE +p21244 +tp21245 +a(g152 +V"IASIN" +p21246 +tp21247 +a(g701 +g965 +tp21248 +a(g822 +V\u000a +p21249 +tp21250 +a(g7 +V +p21251 +tp21252 +a(g822 +V +p21253 +tp21254 +a(g32 +V05 +p21255 +tp21256 +a(g781 +VFILLER +p21257 +tp21258 +a(g822 +g958 +tp21259 +a(g744 +VPIC X(33) +p21260 +tp21261 +a(g822 +g958 +tp21262 +a(g781 +VVALUE +p21263 +tp21264 +a(g152 +V" ASSIGN" +p21265 +tp21266 +a(g701 +g965 +tp21267 +a(g822 +V\u000a +p21268 +tp21269 +a(g7 +V +p21270 +tp21271 +a(g822 +V +p21272 +tp21273 +a(g32 +V05 +p21274 +tp21275 +a(g781 +VFILLER +p21276 +tp21277 +a(g822 +g958 +tp21278 +a(g744 +VPIC X(33) +p21279 +tp21280 +a(g822 +g958 +tp21281 +a(g781 +VVALUE +p21282 +tp21283 +a(g152 +V" AT" +p21284 +tp21285 +a(g701 +g965 +tp21286 +a(g822 +V\u000a +p21287 +tp21288 +a(g7 +V +p21289 +tp21290 +a(g822 +V +p21291 +tp21292 +a(g32 +V05 +p21293 +tp21294 +a(g781 +VFILLER +p21295 +tp21296 +a(g822 +g958 +tp21297 +a(g744 +VPIC X(33) +p21298 +tp21299 +a(g822 +g958 +tp21300 +a(g781 +VVALUE +p21301 +tp21302 +a(g152 +V"IATAN" +p21303 +tp21304 +a(g701 +g965 +tp21305 +a(g822 +V\u000a +p21306 +tp21307 +a(g7 +V +p21308 +tp21309 +a(g822 +V +p21310 +tp21311 +a(g32 +V05 +p21312 +tp21313 +a(g781 +VFILLER +p21314 +tp21315 +a(g822 +g958 +tp21316 +a(g744 +VPIC X(33) +p21317 +tp21318 +a(g822 +g958 +tp21319 +a(g781 +VVALUE +p21320 +tp21321 +a(g152 +V" AUTHOR" +p21322 +tp21323 +a(g701 +g965 +tp21324 +a(g822 +V\u000a +p21325 +tp21326 +a(g7 +V +p21327 +tp21328 +a(g822 +V +p21329 +tp21330 +a(g32 +V05 +p21331 +tp21332 +a(g781 +VFILLER +p21333 +tp21334 +a(g822 +g958 +tp21335 +a(g744 +VPIC X(33) +p21336 +tp21337 +a(g822 +g958 +tp21338 +a(g781 +VVALUE +p21339 +tp21340 +a(g152 +V" AUTO" +p21341 +tp21342 +a(g701 +g965 +tp21343 +a(g822 +V\u000a +p21344 +tp21345 +a(g7 +V +p21346 +tp21347 +a(g822 +V +p21348 +tp21349 +a(g32 +V05 +p21350 +tp21351 +a(g781 +VFILLER +p21352 +tp21353 +a(g822 +g958 +tp21354 +a(g744 +VPIC X(33) +p21355 +tp21356 +a(g822 +g958 +tp21357 +a(g781 +VVALUE +p21358 +tp21359 +a(g152 +V" AUTO-SKIP" +p21360 +tp21361 +a(g701 +g965 +tp21362 +a(g822 +V\u000a +p21363 +tp21364 +a(g7 +V +p21365 +tp21366 +a(g822 +V +p21367 +tp21368 +a(g32 +V05 +p21369 +tp21370 +a(g781 +VFILLER +p21371 +tp21372 +a(g822 +g958 +tp21373 +a(g744 +VPIC X(33) +p21374 +tp21375 +a(g822 +g958 +tp21376 +a(g781 +VVALUE +p21377 +tp21378 +a(g152 +V" AUTOMATIC" +p21379 +tp21380 +a(g701 +g965 +tp21381 +a(g822 +V\u000a +p21382 +tp21383 +a(g7 +V +p21384 +tp21385 +a(g822 +V +p21386 +tp21387 +a(g32 +V05 +p21388 +tp21389 +a(g781 +VFILLER +p21390 +tp21391 +a(g822 +g958 +tp21392 +a(g744 +VPIC X(33) +p21393 +tp21394 +a(g822 +g958 +tp21395 +a(g781 +VVALUE +p21396 +tp21397 +a(g152 +V" AUTOTERMINATE" +p21398 +tp21399 +a(g701 +g965 +tp21400 +a(g822 +V\u000a +p21401 +tp21402 +a(g7 +V +p21403 +tp21404 +a(g822 +V +p21405 +tp21406 +a(g32 +V05 +p21407 +tp21408 +a(g781 +VFILLER +p21409 +tp21410 +a(g822 +g958 +tp21411 +a(g744 +VPIC X(33) +p21412 +tp21413 +a(g822 +g958 +tp21414 +a(g781 +VVALUE +p21415 +tp21416 +a(g152 +V" BACKGROUND-COLOR" +p21417 +tp21418 +a(g701 +g965 +tp21419 +a(g822 +V\u000a +p21420 +tp21421 +a(g7 +V +p21422 +tp21423 +a(g822 +V +p21424 +tp21425 +a(g32 +V05 +p21426 +tp21427 +a(g781 +VFILLER +p21428 +tp21429 +a(g822 +g958 +tp21430 +a(g744 +VPIC X(33) +p21431 +tp21432 +a(g822 +g958 +tp21433 +a(g781 +VVALUE +p21434 +tp21435 +a(g152 +V" BASED" +p21436 +tp21437 +a(g701 +g965 +tp21438 +a(g822 +V\u000a +p21439 +tp21440 +a(g7 +V +p21441 +tp21442 +a(g822 +V +p21443 +tp21444 +a(g32 +V05 +p21445 +tp21446 +a(g781 +VFILLER +p21447 +tp21448 +a(g822 +g958 +tp21449 +a(g744 +VPIC X(33) +p21450 +tp21451 +a(g822 +g958 +tp21452 +a(g781 +VVALUE +p21453 +tp21454 +a(g152 +V" BEEP" +p21455 +tp21456 +a(g701 +g965 +tp21457 +a(g822 +V\u000a +p21458 +tp21459 +a(g7 +V +p21460 +tp21461 +a(g822 +V +p21462 +tp21463 +a(g32 +V05 +p21464 +tp21465 +a(g781 +VFILLER +p21466 +tp21467 +a(g822 +g958 +tp21468 +a(g744 +VPIC X(33) +p21469 +tp21470 +a(g822 +g958 +tp21471 +a(g781 +VVALUE +p21472 +tp21473 +a(g152 +V" BEFORE" +p21474 +tp21475 +a(g701 +g965 +tp21476 +a(g822 +V\u000a +p21477 +tp21478 +a(g7 +V +p21479 +tp21480 +a(g822 +V +p21481 +tp21482 +a(g32 +V05 +p21483 +tp21484 +a(g781 +VFILLER +p21485 +tp21486 +a(g822 +g958 +tp21487 +a(g744 +VPIC X(33) +p21488 +tp21489 +a(g822 +g958 +tp21490 +a(g781 +VVALUE +p21491 +tp21492 +a(g152 +V" BELL" +p21493 +tp21494 +a(g701 +g965 +tp21495 +a(g822 +V\u000a +p21496 +tp21497 +a(g7 +V +p21498 +tp21499 +a(g822 +V +p21500 +tp21501 +a(g32 +V05 +p21502 +tp21503 +a(g781 +VFILLER +p21504 +tp21505 +a(g822 +g958 +tp21506 +a(g744 +VPIC X(33) +p21507 +tp21508 +a(g822 +g958 +tp21509 +a(g781 +VVALUE +p21510 +tp21511 +a(g152 +V" BINARY" +p21512 +tp21513 +a(g701 +g965 +tp21514 +a(g822 +V\u000a +p21515 +tp21516 +a(g7 +V +p21517 +tp21518 +a(g822 +V +p21519 +tp21520 +a(g32 +V05 +p21521 +tp21522 +a(g781 +VFILLER +p21523 +tp21524 +a(g822 +g958 +tp21525 +a(g744 +VPIC X(33) +p21526 +tp21527 +a(g822 +g958 +tp21528 +a(g781 +VVALUE +p21529 +tp21530 +a(g152 +V" BINARY-C-LONG" +p21531 +tp21532 +a(g701 +g965 +tp21533 +a(g822 +V\u000a +p21534 +tp21535 +a(g7 +V +p21536 +tp21537 +a(g822 +V +p21538 +tp21539 +a(g32 +V05 +p21540 +tp21541 +a(g781 +VFILLER +p21542 +tp21543 +a(g822 +g958 +tp21544 +a(g744 +VPIC X(33) +p21545 +tp21546 +a(g822 +g958 +tp21547 +a(g781 +VVALUE +p21548 +tp21549 +a(g152 +V" BINARY-CHAR" +p21550 +tp21551 +a(g701 +g965 +tp21552 +a(g822 +V\u000a +p21553 +tp21554 +a(g7 +V +p21555 +tp21556 +a(g822 +V +p21557 +tp21558 +a(g32 +V05 +p21559 +tp21560 +a(g781 +VFILLER +p21561 +tp21562 +a(g822 +g958 +tp21563 +a(g744 +VPIC X(33) +p21564 +tp21565 +a(g822 +g958 +tp21566 +a(g781 +VVALUE +p21567 +tp21568 +a(g152 +V" BINARY-DOUBLE" +p21569 +tp21570 +a(g701 +g965 +tp21571 +a(g822 +V\u000a +p21572 +tp21573 +a(g7 +V +p21574 +tp21575 +a(g822 +V +p21576 +tp21577 +a(g32 +V05 +p21578 +tp21579 +a(g781 +VFILLER +p21580 +tp21581 +a(g822 +g958 +tp21582 +a(g744 +VPIC X(33) +p21583 +tp21584 +a(g822 +g958 +tp21585 +a(g781 +VVALUE +p21586 +tp21587 +a(g152 +V" BINARY-LONG" +p21588 +tp21589 +a(g701 +g965 +tp21590 +a(g822 +V\u000a +p21591 +tp21592 +a(g7 +V +p21593 +tp21594 +a(g822 +V +p21595 +tp21596 +a(g32 +V05 +p21597 +tp21598 +a(g781 +VFILLER +p21599 +tp21600 +a(g822 +g958 +tp21601 +a(g744 +VPIC X(33) +p21602 +tp21603 +a(g822 +g958 +tp21604 +a(g781 +VVALUE +p21605 +tp21606 +a(g152 +V" BINARY-SHORT" +p21607 +tp21608 +a(g701 +g965 +tp21609 +a(g822 +V\u000a +p21610 +tp21611 +a(g7 +V +p21612 +tp21613 +a(g822 +V +p21614 +tp21615 +a(g32 +V05 +p21616 +tp21617 +a(g781 +VFILLER +p21618 +tp21619 +a(g822 +g958 +tp21620 +a(g744 +VPIC X(33) +p21621 +tp21622 +a(g822 +g958 +tp21623 +a(g781 +VVALUE +p21624 +tp21625 +a(g152 +V" BIT" +p21626 +tp21627 +a(g701 +g965 +tp21628 +a(g822 +V\u000a +p21629 +tp21630 +a(g7 +V +p21631 +tp21632 +a(g822 +V +p21633 +tp21634 +a(g32 +V05 +p21635 +tp21636 +a(g781 +VFILLER +p21637 +tp21638 +a(g822 +g958 +tp21639 +a(g744 +VPIC X(33) +p21640 +tp21641 +a(g822 +g958 +tp21642 +a(g781 +VVALUE +p21643 +tp21644 +a(g152 +V" BLANK" +p21645 +tp21646 +a(g701 +g965 +tp21647 +a(g822 +V\u000a +p21648 +tp21649 +a(g7 +V +p21650 +tp21651 +a(g822 +V +p21652 +tp21653 +a(g32 +V05 +p21654 +tp21655 +a(g781 +VFILLER +p21656 +tp21657 +a(g822 +g958 +tp21658 +a(g744 +VPIC X(33) +p21659 +tp21660 +a(g822 +g958 +tp21661 +a(g781 +VVALUE +p21662 +tp21663 +a(g152 +V" BLINK" +p21664 +tp21665 +a(g701 +g965 +tp21666 +a(g822 +V\u000a +p21667 +tp21668 +a(g7 +V +p21669 +tp21670 +a(g822 +V +p21671 +tp21672 +a(g32 +V05 +p21673 +tp21674 +a(g781 +VFILLER +p21675 +tp21676 +a(g822 +g958 +tp21677 +a(g744 +VPIC X(33) +p21678 +tp21679 +a(g822 +g958 +tp21680 +a(g781 +VVALUE +p21681 +tp21682 +a(g152 +V" BLOCK" +p21683 +tp21684 +a(g701 +g965 +tp21685 +a(g822 +V\u000a +p21686 +tp21687 +a(g7 +V +p21688 +tp21689 +a(g822 +V +p21690 +tp21691 +a(g32 +V05 +p21692 +tp21693 +a(g781 +VFILLER +p21694 +tp21695 +a(g822 +g958 +tp21696 +a(g744 +VPIC X(33) +p21697 +tp21698 +a(g822 +g958 +tp21699 +a(g781 +VVALUE +p21700 +tp21701 +a(g152 +V" BOOLEAN" +p21702 +tp21703 +a(g701 +g965 +tp21704 +a(g822 +V\u000a +p21705 +tp21706 +a(g7 +V +p21707 +tp21708 +a(g822 +V +p21709 +tp21710 +a(g32 +V05 +p21711 +tp21712 +a(g781 +VFILLER +p21713 +tp21714 +a(g822 +g958 +tp21715 +a(g744 +VPIC X(33) +p21716 +tp21717 +a(g822 +g958 +tp21718 +a(g781 +VVALUE +p21719 +tp21720 +a(g152 +V" BOTTOM" +p21721 +tp21722 +a(g701 +g965 +tp21723 +a(g822 +V\u000a +p21724 +tp21725 +a(g7 +V +p21726 +tp21727 +a(g822 +V +p21728 +tp21729 +a(g32 +V05 +p21730 +tp21731 +a(g781 +VFILLER +p21732 +tp21733 +a(g822 +g958 +tp21734 +a(g744 +VPIC X(33) +p21735 +tp21736 +a(g822 +g958 +tp21737 +a(g781 +VVALUE +p21738 +tp21739 +a(g152 +V"YBY" +p21740 +tp21741 +a(g701 +g965 +tp21742 +a(g822 +V\u000a +p21743 +tp21744 +a(g7 +V +p21745 +tp21746 +a(g822 +V +p21747 +tp21748 +a(g32 +V05 +p21749 +tp21750 +a(g781 +VFILLER +p21751 +tp21752 +a(g822 +g958 +tp21753 +a(g744 +VPIC X(33) +p21754 +tp21755 +a(g822 +g958 +tp21756 +a(g781 +VVALUE +p21757 +tp21758 +a(g152 +V"IBYTE-LENGTH" +p21759 +tp21760 +a(g701 +g965 +tp21761 +a(g822 +V\u000a +p21762 +tp21763 +a(g7 +V +p21764 +tp21765 +a(g822 +V +p21766 +tp21767 +a(g32 +V05 +p21768 +tp21769 +a(g781 +VFILLER +p21770 +tp21771 +a(g822 +g958 +tp21772 +a(g744 +VPIC X(33) +p21773 +tp21774 +a(g822 +g958 +tp21775 +a(g781 +VVALUE +p21776 +tp21777 +a(g152 +V"MC01" +p21778 +tp21779 +a(g701 +g965 +tp21780 +a(g822 +V\u000a +p21781 +tp21782 +a(g7 +V +p21783 +tp21784 +a(g822 +V +p21785 +tp21786 +a(g32 +V05 +p21787 +tp21788 +a(g781 +VFILLER +p21789 +tp21790 +a(g822 +g958 +tp21791 +a(g744 +VPIC X(33) +p21792 +tp21793 +a(g822 +g958 +tp21794 +a(g781 +VVALUE +p21795 +tp21796 +a(g152 +V"MC02" +p21797 +tp21798 +a(g701 +g965 +tp21799 +a(g822 +V\u000a +p21800 +tp21801 +a(g7 +V +p21802 +tp21803 +a(g822 +V +p21804 +tp21805 +a(g32 +V05 +p21806 +tp21807 +a(g781 +VFILLER +p21808 +tp21809 +a(g822 +g958 +tp21810 +a(g744 +VPIC X(33) +p21811 +tp21812 +a(g822 +g958 +tp21813 +a(g781 +VVALUE +p21814 +tp21815 +a(g152 +V"MC03" +p21816 +tp21817 +a(g701 +g965 +tp21818 +a(g822 +V\u000a +p21819 +tp21820 +a(g7 +V +p21821 +tp21822 +a(g822 +V +p21823 +tp21824 +a(g32 +V05 +p21825 +tp21826 +a(g781 +VFILLER +p21827 +tp21828 +a(g822 +g958 +tp21829 +a(g744 +VPIC X(33) +p21830 +tp21831 +a(g822 +g958 +tp21832 +a(g781 +VVALUE +p21833 +tp21834 +a(g152 +V"MC04" +p21835 +tp21836 +a(g701 +g965 +tp21837 +a(g822 +V\u000a +p21838 +tp21839 +a(g7 +V +p21840 +tp21841 +a(g822 +V +p21842 +tp21843 +a(g32 +V05 +p21844 +tp21845 +a(g781 +VFILLER +p21846 +tp21847 +a(g822 +g958 +tp21848 +a(g744 +VPIC X(33) +p21849 +tp21850 +a(g822 +g958 +tp21851 +a(g781 +VVALUE +p21852 +tp21853 +a(g152 +V"MC05" +p21854 +tp21855 +a(g701 +g965 +tp21856 +a(g822 +V\u000a +p21857 +tp21858 +a(g7 +V +p21859 +tp21860 +a(g822 +V +p21861 +tp21862 +a(g32 +V05 +p21863 +tp21864 +a(g781 +VFILLER +p21865 +tp21866 +a(g822 +g958 +tp21867 +a(g744 +VPIC X(33) +p21868 +tp21869 +a(g822 +g958 +tp21870 +a(g781 +VVALUE +p21871 +tp21872 +a(g152 +V"MC06" +p21873 +tp21874 +a(g701 +g965 +tp21875 +a(g822 +V\u000a +p21876 +tp21877 +a(g7 +V +p21878 +tp21879 +a(g822 +V +p21880 +tp21881 +a(g32 +V05 +p21882 +tp21883 +a(g781 +VFILLER +p21884 +tp21885 +a(g822 +g958 +tp21886 +a(g744 +VPIC X(33) +p21887 +tp21888 +a(g822 +g958 +tp21889 +a(g781 +VVALUE +p21890 +tp21891 +a(g152 +V"MC07" +p21892 +tp21893 +a(g701 +g965 +tp21894 +a(g822 +V\u000a +p21895 +tp21896 +a(g7 +V +p21897 +tp21898 +a(g822 +V +p21899 +tp21900 +a(g32 +V05 +p21901 +tp21902 +a(g781 +VFILLER +p21903 +tp21904 +a(g822 +g958 +tp21905 +a(g744 +VPIC X(33) +p21906 +tp21907 +a(g822 +g958 +tp21908 +a(g781 +VVALUE +p21909 +tp21910 +a(g152 +V"MC08" +p21911 +tp21912 +a(g701 +g965 +tp21913 +a(g822 +V\u000a +p21914 +tp21915 +a(g7 +V +p21916 +tp21917 +a(g822 +V +p21918 +tp21919 +a(g32 +V05 +p21920 +tp21921 +a(g781 +VFILLER +p21922 +tp21923 +a(g822 +g958 +tp21924 +a(g744 +VPIC X(33) +p21925 +tp21926 +a(g822 +g958 +tp21927 +a(g781 +VVALUE +p21928 +tp21929 +a(g152 +V"MC09" +p21930 +tp21931 +a(g701 +g965 +tp21932 +a(g822 +V\u000a +p21933 +tp21934 +a(g7 +V +p21935 +tp21936 +a(g822 +V +p21937 +tp21938 +a(g32 +V05 +p21939 +tp21940 +a(g781 +VFILLER +p21941 +tp21942 +a(g822 +g958 +tp21943 +a(g744 +VPIC X(33) +p21944 +tp21945 +a(g822 +g958 +tp21946 +a(g781 +VVALUE +p21947 +tp21948 +a(g152 +V"MC10" +p21949 +tp21950 +a(g701 +g965 +tp21951 +a(g822 +V\u000a +p21952 +tp21953 +a(g7 +V +p21954 +tp21955 +a(g822 +V +p21956 +tp21957 +a(g32 +V05 +p21958 +tp21959 +a(g781 +VFILLER +p21960 +tp21961 +a(g822 +g958 +tp21962 +a(g744 +VPIC X(33) +p21963 +tp21964 +a(g822 +g958 +tp21965 +a(g781 +VVALUE +p21966 +tp21967 +a(g152 +V"MC11" +p21968 +tp21969 +a(g701 +g965 +tp21970 +a(g822 +V\u000a +p21971 +tp21972 +a(g7 +V +p21973 +tp21974 +a(g822 +V +p21975 +tp21976 +a(g32 +V05 +p21977 +tp21978 +a(g781 +VFILLER +p21979 +tp21980 +a(g822 +g958 +tp21981 +a(g744 +VPIC X(33) +p21982 +tp21983 +a(g822 +g958 +tp21984 +a(g781 +VVALUE +p21985 +tp21986 +a(g152 +V"MC12" +p21987 +tp21988 +a(g701 +g965 +tp21989 +a(g822 +V\u000a +p21990 +tp21991 +a(g7 +V +p21992 +tp21993 +a(g822 +V +p21994 +tp21995 +a(g32 +V05 +p21996 +tp21997 +a(g781 +VFILLER +p21998 +tp21999 +a(g822 +g958 +tp22000 +a(g744 +VPIC X(33) +p22001 +tp22002 +a(g822 +g958 +tp22003 +a(g781 +VVALUE +p22004 +tp22005 +a(g152 +V"VCALL" +p22006 +tp22007 +a(g701 +g965 +tp22008 +a(g822 +V\u000a +p22009 +tp22010 +a(g7 +V +p22011 +tp22012 +a(g822 +V +p22013 +tp22014 +a(g32 +V05 +p22015 +tp22016 +a(g781 +VFILLER +p22017 +tp22018 +a(g822 +g958 +tp22019 +a(g744 +VPIC X(33) +p22020 +tp22021 +a(g822 +g958 +tp22022 +a(g781 +VVALUE +p22023 +tp22024 +a(g152 +V"VCANCEL" +p22025 +tp22026 +a(g701 +g965 +tp22027 +a(g822 +V\u000a +p22028 +tp22029 +a(g7 +V +p22030 +tp22031 +a(g822 +V +p22032 +tp22033 +a(g32 +V05 +p22034 +tp22035 +a(g781 +VFILLER +p22036 +tp22037 +a(g822 +g958 +tp22038 +a(g744 +VPIC X(33) +p22039 +tp22040 +a(g822 +g958 +tp22041 +a(g781 +VVALUE +p22042 +tp22043 +a(g152 +V" CF" +p22044 +tp22045 +a(g701 +g965 +tp22046 +a(g822 +V\u000a +p22047 +tp22048 +a(g7 +V +p22049 +tp22050 +a(g822 +V +p22051 +tp22052 +a(g32 +V05 +p22053 +tp22054 +a(g781 +VFILLER +p22055 +tp22056 +a(g822 +g958 +tp22057 +a(g744 +VPIC X(33) +p22058 +tp22059 +a(g822 +g958 +tp22060 +a(g781 +VVALUE +p22061 +tp22062 +a(g152 +V" CH" +p22063 +tp22064 +a(g701 +g965 +tp22065 +a(g822 +V\u000a +p22066 +tp22067 +a(g7 +V +p22068 +tp22069 +a(g822 +V +p22070 +tp22071 +a(g32 +V05 +p22072 +tp22073 +a(g781 +VFILLER +p22074 +tp22075 +a(g822 +g958 +tp22076 +a(g744 +VPIC X(33) +p22077 +tp22078 +a(g822 +g958 +tp22079 +a(g781 +VVALUE +p22080 +tp22081 +a(g152 +V" CHAINING" +p22082 +tp22083 +a(g701 +g965 +tp22084 +a(g822 +V\u000a +p22085 +tp22086 +a(g7 +V +p22087 +tp22088 +a(g822 +V +p22089 +tp22090 +a(g32 +V05 +p22091 +tp22092 +a(g781 +VFILLER +p22093 +tp22094 +a(g822 +g958 +tp22095 +a(g744 +VPIC X(33) +p22096 +tp22097 +a(g822 +g958 +tp22098 +a(g781 +VVALUE +p22099 +tp22100 +a(g152 +V"ICHAR" +p22101 +tp22102 +a(g701 +g965 +tp22103 +a(g822 +V\u000a +p22104 +tp22105 +a(g7 +V +p22106 +tp22107 +a(g822 +V +p22108 +tp22109 +a(g32 +V05 +p22110 +tp22111 +a(g781 +VFILLER +p22112 +tp22113 +a(g822 +g958 +tp22114 +a(g744 +VPIC X(33) +p22115 +tp22116 +a(g822 +g958 +tp22117 +a(g781 +VVALUE +p22118 +tp22119 +a(g152 +V" CHARACTER" +p22120 +tp22121 +a(g701 +g965 +tp22122 +a(g822 +V\u000a +p22123 +tp22124 +a(g7 +V +p22125 +tp22126 +a(g822 +V +p22127 +tp22128 +a(g32 +V05 +p22129 +tp22130 +a(g781 +VFILLER +p22131 +tp22132 +a(g822 +g958 +tp22133 +a(g744 +VPIC X(33) +p22134 +tp22135 +a(g822 +g958 +tp22136 +a(g781 +VVALUE +p22137 +tp22138 +a(g152 +V" CHARACTERS" +p22139 +tp22140 +a(g701 +g965 +tp22141 +a(g822 +V\u000a +p22142 +tp22143 +a(g7 +V +p22144 +tp22145 +a(g822 +V +p22146 +tp22147 +a(g32 +V05 +p22148 +tp22149 +a(g781 +VFILLER +p22150 +tp22151 +a(g822 +g958 +tp22152 +a(g744 +VPIC X(33) +p22153 +tp22154 +a(g822 +g958 +tp22155 +a(g781 +VVALUE +p22156 +tp22157 +a(g152 +V" CLASS" +p22158 +tp22159 +a(g701 +g965 +tp22160 +a(g822 +V\u000a +p22161 +tp22162 +a(g7 +V +p22163 +tp22164 +a(g822 +V +p22165 +tp22166 +a(g32 +V05 +p22167 +tp22168 +a(g781 +VFILLER +p22169 +tp22170 +a(g822 +g958 +tp22171 +a(g744 +VPIC X(33) +p22172 +tp22173 +a(g822 +g958 +tp22174 +a(g781 +VVALUE +p22175 +tp22176 +a(g152 +V" CLASS-ID" +p22177 +tp22178 +a(g701 +g965 +tp22179 +a(g822 +V\u000a +p22180 +tp22181 +a(g7 +V +p22182 +tp22183 +a(g822 +V +p22184 +tp22185 +a(g32 +V05 +p22186 +tp22187 +a(g781 +VFILLER +p22188 +tp22189 +a(g822 +g958 +tp22190 +a(g744 +VPIC X(33) +p22191 +tp22192 +a(g822 +g958 +tp22193 +a(g781 +VVALUE +p22194 +tp22195 +a(g152 +V"VCLOSE" +p22196 +tp22197 +a(g701 +g965 +tp22198 +a(g822 +V\u000a +p22199 +tp22200 +a(g7 +V +p22201 +tp22202 +a(g822 +V +p22203 +tp22204 +a(g32 +V05 +p22205 +tp22206 +a(g781 +VFILLER +p22207 +tp22208 +a(g822 +g958 +tp22209 +a(g744 +VPIC X(33) +p22210 +tp22211 +a(g822 +g958 +tp22212 +a(g781 +VVALUE +p22213 +tp22214 +a(g152 +V"ICOB-CRT-STATUS" +p22215 +tp22216 +a(g701 +g965 +tp22217 +a(g822 +V\u000a +p22218 +tp22219 +a(g7 +V +p22220 +tp22221 +a(g822 +V +p22222 +tp22223 +a(g32 +V05 +p22224 +tp22225 +a(g781 +VFILLER +p22226 +tp22227 +a(g822 +g958 +tp22228 +a(g744 +VPIC X(33) +p22229 +tp22230 +a(g822 +g958 +tp22231 +a(g781 +VVALUE +p22232 +tp22233 +a(g152 +V" CODE" +p22234 +tp22235 +a(g701 +g965 +tp22236 +a(g822 +V\u000a +p22237 +tp22238 +a(g7 +V +p22239 +tp22240 +a(g822 +V +p22241 +tp22242 +a(g32 +V05 +p22243 +tp22244 +a(g781 +VFILLER +p22245 +tp22246 +a(g822 +g958 +tp22247 +a(g744 +VPIC X(33) +p22248 +tp22249 +a(g822 +g958 +tp22250 +a(g781 +VVALUE +p22251 +tp22252 +a(g152 +V" CODE-SET" +p22253 +tp22254 +a(g701 +g965 +tp22255 +a(g822 +V\u000a +p22256 +tp22257 +a(g7 +V +p22258 +tp22259 +a(g822 +V +p22260 +tp22261 +a(g32 +V05 +p22262 +tp22263 +a(g781 +VFILLER +p22264 +tp22265 +a(g822 +g958 +tp22266 +a(g744 +VPIC X(33) +p22267 +tp22268 +a(g822 +g958 +tp22269 +a(g781 +VVALUE +p22270 +tp22271 +a(g152 +V" COL" +p22272 +tp22273 +a(g701 +g965 +tp22274 +a(g822 +V\u000a +p22275 +tp22276 +a(g7 +V +p22277 +tp22278 +a(g822 +V +p22279 +tp22280 +a(g32 +V05 +p22281 +tp22282 +a(g781 +VFILLER +p22283 +tp22284 +a(g822 +g958 +tp22285 +a(g744 +VPIC X(33) +p22286 +tp22287 +a(g822 +g958 +tp22288 +a(g781 +VVALUE +p22289 +tp22290 +a(g152 +V" COLLATING" +p22291 +tp22292 +a(g701 +g965 +tp22293 +a(g822 +V\u000a +p22294 +tp22295 +a(g7 +V +p22296 +tp22297 +a(g822 +V +p22298 +tp22299 +a(g32 +V05 +p22300 +tp22301 +a(g781 +VFILLER +p22302 +tp22303 +a(g822 +g958 +tp22304 +a(g744 +VPIC X(33) +p22305 +tp22306 +a(g822 +g958 +tp22307 +a(g781 +VVALUE +p22308 +tp22309 +a(g152 +V" COLS" +p22310 +tp22311 +a(g701 +g965 +tp22312 +a(g822 +V\u000a +p22313 +tp22314 +a(g7 +V +p22315 +tp22316 +a(g822 +V +p22317 +tp22318 +a(g32 +V05 +p22319 +tp22320 +a(g781 +VFILLER +p22321 +tp22322 +a(g822 +g958 +tp22323 +a(g744 +VPIC X(33) +p22324 +tp22325 +a(g822 +g958 +tp22326 +a(g781 +VVALUE +p22327 +tp22328 +a(g152 +V" COLUMN" +p22329 +tp22330 +a(g701 +g965 +tp22331 +a(g822 +V\u000a +p22332 +tp22333 +a(g7 +V +p22334 +tp22335 +a(g822 +V +p22336 +tp22337 +a(g32 +V05 +p22338 +tp22339 +a(g781 +VFILLER +p22340 +tp22341 +a(g822 +g958 +tp22342 +a(g744 +VPIC X(33) +p22343 +tp22344 +a(g822 +g958 +tp22345 +a(g781 +VVALUE +p22346 +tp22347 +a(g152 +V" COLUMNS" +p22348 +tp22349 +a(g701 +g965 +tp22350 +a(g822 +V\u000a +p22351 +tp22352 +a(g7 +V +p22353 +tp22354 +a(g822 +V +p22355 +tp22356 +a(g32 +V05 +p22357 +tp22358 +a(g781 +VFILLER +p22359 +tp22360 +a(g822 +g958 +tp22361 +a(g744 +VPIC X(33) +p22362 +tp22363 +a(g822 +g958 +tp22364 +a(g781 +VVALUE +p22365 +tp22366 +a(g152 +V"ICOMBINED-DATETIME" +p22367 +tp22368 +a(g701 +g965 +tp22369 +a(g822 +V\u000a +p22370 +tp22371 +a(g7 +V +p22372 +tp22373 +a(g822 +V +p22374 +tp22375 +a(g32 +V05 +p22376 +tp22377 +a(g781 +VFILLER +p22378 +tp22379 +a(g822 +g958 +tp22380 +a(g744 +VPIC X(33) +p22381 +tp22382 +a(g822 +g958 +tp22383 +a(g781 +VVALUE +p22384 +tp22385 +a(g152 +V" COMMA" +p22386 +tp22387 +a(g701 +g965 +tp22388 +a(g822 +V\u000a +p22389 +tp22390 +a(g7 +V +p22391 +tp22392 +a(g822 +V +p22393 +tp22394 +a(g32 +V05 +p22395 +tp22396 +a(g781 +VFILLER +p22397 +tp22398 +a(g822 +g958 +tp22399 +a(g744 +VPIC X(33) +p22400 +tp22401 +a(g822 +g958 +tp22402 +a(g781 +VVALUE +p22403 +tp22404 +a(g152 +V" COMMAND-LINE" +p22405 +tp22406 +a(g701 +g965 +tp22407 +a(g822 +V\u000a +p22408 +tp22409 +a(g7 +V +p22410 +tp22411 +a(g822 +V +p22412 +tp22413 +a(g32 +V05 +p22414 +tp22415 +a(g781 +VFILLER +p22416 +tp22417 +a(g822 +g958 +tp22418 +a(g744 +VPIC X(33) +p22419 +tp22420 +a(g822 +g958 +tp22421 +a(g781 +VVALUE +p22422 +tp22423 +a(g152 +V"VCOMMIT" +p22424 +tp22425 +a(g701 +g965 +tp22426 +a(g822 +V\u000a +p22427 +tp22428 +a(g7 +V +p22429 +tp22430 +a(g822 +V +p22431 +tp22432 +a(g32 +V05 +p22433 +tp22434 +a(g781 +VFILLER +p22435 +tp22436 +a(g822 +g958 +tp22437 +a(g744 +VPIC X(33) +p22438 +tp22439 +a(g822 +g958 +tp22440 +a(g781 +VVALUE +p22441 +tp22442 +a(g152 +V" COMMON" +p22443 +tp22444 +a(g701 +g965 +tp22445 +a(g822 +V\u000a +p22446 +tp22447 +a(g7 +V +p22448 +tp22449 +a(g822 +V +p22450 +tp22451 +a(g32 +V05 +p22452 +tp22453 +a(g781 +VFILLER +p22454 +tp22455 +a(g822 +g958 +tp22456 +a(g744 +VPIC X(33) +p22457 +tp22458 +a(g822 +g958 +tp22459 +a(g781 +VVALUE +p22460 +tp22461 +a(g152 +V" COMP" +p22462 +tp22463 +a(g701 +g965 +tp22464 +a(g822 +V\u000a +p22465 +tp22466 +a(g7 +V +p22467 +tp22468 +a(g822 +V +p22469 +tp22470 +a(g32 +V05 +p22471 +tp22472 +a(g781 +VFILLER +p22473 +tp22474 +a(g822 +g958 +tp22475 +a(g744 +VPIC X(33) +p22476 +tp22477 +a(g822 +g958 +tp22478 +a(g781 +VVALUE +p22479 +tp22480 +a(g152 +V" COMP-1" +p22481 +tp22482 +a(g701 +g965 +tp22483 +a(g822 +V\u000a +p22484 +tp22485 +a(g7 +V +p22486 +tp22487 +a(g822 +V +p22488 +tp22489 +a(g32 +V05 +p22490 +tp22491 +a(g781 +VFILLER +p22492 +tp22493 +a(g822 +g958 +tp22494 +a(g744 +VPIC X(33) +p22495 +tp22496 +a(g822 +g958 +tp22497 +a(g781 +VVALUE +p22498 +tp22499 +a(g152 +V" COMP-2" +p22500 +tp22501 +a(g701 +g965 +tp22502 +a(g822 +V\u000a +p22503 +tp22504 +a(g7 +V +p22505 +tp22506 +a(g822 +V +p22507 +tp22508 +a(g32 +V05 +p22509 +tp22510 +a(g781 +VFILLER +p22511 +tp22512 +a(g822 +g958 +tp22513 +a(g744 +VPIC X(33) +p22514 +tp22515 +a(g822 +g958 +tp22516 +a(g781 +VVALUE +p22517 +tp22518 +a(g152 +V" COMP-3" +p22519 +tp22520 +a(g701 +g965 +tp22521 +a(g822 +V\u000a +p22522 +tp22523 +a(g7 +V +p22524 +tp22525 +a(g822 +V +p22526 +tp22527 +a(g32 +V05 +p22528 +tp22529 +a(g781 +VFILLER +p22530 +tp22531 +a(g822 +g958 +tp22532 +a(g744 +VPIC X(33) +p22533 +tp22534 +a(g822 +g958 +tp22535 +a(g781 +VVALUE +p22536 +tp22537 +a(g152 +V" COMP-4" +p22538 +tp22539 +a(g701 +g965 +tp22540 +a(g822 +V\u000a +p22541 +tp22542 +a(g7 +V +p22543 +tp22544 +a(g822 +V +p22545 +tp22546 +a(g32 +V05 +p22547 +tp22548 +a(g781 +VFILLER +p22549 +tp22550 +a(g822 +g958 +tp22551 +a(g744 +VPIC X(33) +p22552 +tp22553 +a(g822 +g958 +tp22554 +a(g781 +VVALUE +p22555 +tp22556 +a(g152 +V" COMP-5" +p22557 +tp22558 +a(g701 +g965 +tp22559 +a(g822 +V\u000a +p22560 +tp22561 +a(g7 +V +p22562 +tp22563 +a(g822 +V +p22564 +tp22565 +a(g32 +V05 +p22566 +tp22567 +a(g781 +VFILLER +p22568 +tp22569 +a(g822 +g958 +tp22570 +a(g744 +VPIC X(33) +p22571 +tp22572 +a(g822 +g958 +tp22573 +a(g781 +VVALUE +p22574 +tp22575 +a(g152 +V" COMP-X" +p22576 +tp22577 +a(g701 +g965 +tp22578 +a(g822 +V\u000a +p22579 +tp22580 +a(g7 +V +p22581 +tp22582 +a(g822 +V +p22583 +tp22584 +a(g32 +V05 +p22585 +tp22586 +a(g781 +VFILLER +p22587 +tp22588 +a(g822 +g958 +tp22589 +a(g744 +VPIC X(33) +p22590 +tp22591 +a(g822 +g958 +tp22592 +a(g781 +VVALUE +p22593 +tp22594 +a(g152 +V" COMPUTATIONAL" +p22595 +tp22596 +a(g701 +g965 +tp22597 +a(g822 +V\u000a +p22598 +tp22599 +a(g7 +V +p22600 +tp22601 +a(g822 +V +p22602 +tp22603 +a(g32 +V05 +p22604 +tp22605 +a(g781 +VFILLER +p22606 +tp22607 +a(g822 +g958 +tp22608 +a(g744 +VPIC X(33) +p22609 +tp22610 +a(g822 +g958 +tp22611 +a(g781 +VVALUE +p22612 +tp22613 +a(g152 +V" COMPUTATIONAL-1" +p22614 +tp22615 +a(g701 +g965 +tp22616 +a(g822 +V\u000a +p22617 +tp22618 +a(g7 +V +p22619 +tp22620 +a(g822 +V +p22621 +tp22622 +a(g32 +V05 +p22623 +tp22624 +a(g781 +VFILLER +p22625 +tp22626 +a(g822 +g958 +tp22627 +a(g744 +VPIC X(33) +p22628 +tp22629 +a(g822 +g958 +tp22630 +a(g781 +VVALUE +p22631 +tp22632 +a(g152 +V" COMPUTATIONAL-2" +p22633 +tp22634 +a(g701 +g965 +tp22635 +a(g822 +V\u000a +p22636 +tp22637 +a(g7 +V +p22638 +tp22639 +a(g822 +V +p22640 +tp22641 +a(g32 +V05 +p22642 +tp22643 +a(g781 +VFILLER +p22644 +tp22645 +a(g822 +g958 +tp22646 +a(g744 +VPIC X(33) +p22647 +tp22648 +a(g822 +g958 +tp22649 +a(g781 +VVALUE +p22650 +tp22651 +a(g152 +V" COMPUTATIONAL-3" +p22652 +tp22653 +a(g701 +g965 +tp22654 +a(g822 +V\u000a +p22655 +tp22656 +a(g7 +V +p22657 +tp22658 +a(g822 +V +p22659 +tp22660 +a(g32 +V05 +p22661 +tp22662 +a(g781 +VFILLER +p22663 +tp22664 +a(g822 +g958 +tp22665 +a(g744 +VPIC X(33) +p22666 +tp22667 +a(g822 +g958 +tp22668 +a(g781 +VVALUE +p22669 +tp22670 +a(g152 +V" COMPUTATIONAL-4" +p22671 +tp22672 +a(g701 +g965 +tp22673 +a(g822 +V\u000a +p22674 +tp22675 +a(g7 +V +p22676 +tp22677 +a(g822 +V +p22678 +tp22679 +a(g32 +V05 +p22680 +tp22681 +a(g781 +VFILLER +p22682 +tp22683 +a(g822 +g958 +tp22684 +a(g744 +VPIC X(33) +p22685 +tp22686 +a(g822 +g958 +tp22687 +a(g781 +VVALUE +p22688 +tp22689 +a(g152 +V" COMPUTATIONAL-5" +p22690 +tp22691 +a(g701 +g965 +tp22692 +a(g822 +V\u000a +p22693 +tp22694 +a(g7 +V +p22695 +tp22696 +a(g822 +V +p22697 +tp22698 +a(g32 +V05 +p22699 +tp22700 +a(g781 +VFILLER +p22701 +tp22702 +a(g822 +g958 +tp22703 +a(g744 +VPIC X(33) +p22704 +tp22705 +a(g822 +g958 +tp22706 +a(g781 +VVALUE +p22707 +tp22708 +a(g152 +V" COMPUTATIONAL-X" +p22709 +tp22710 +a(g701 +g965 +tp22711 +a(g822 +V\u000a +p22712 +tp22713 +a(g7 +V +p22714 +tp22715 +a(g822 +V +p22716 +tp22717 +a(g32 +V05 +p22718 +tp22719 +a(g781 +VFILLER +p22720 +tp22721 +a(g822 +g958 +tp22722 +a(g744 +VPIC X(33) +p22723 +tp22724 +a(g822 +g958 +tp22725 +a(g781 +VVALUE +p22726 +tp22727 +a(g152 +V"VCOMPUTE" +p22728 +tp22729 +a(g701 +g965 +tp22730 +a(g822 +V\u000a +p22731 +tp22732 +a(g7 +V +p22733 +tp22734 +a(g822 +V +p22735 +tp22736 +a(g32 +V05 +p22737 +tp22738 +a(g781 +VFILLER +p22739 +tp22740 +a(g822 +g958 +tp22741 +a(g744 +VPIC X(33) +p22742 +tp22743 +a(g822 +g958 +tp22744 +a(g781 +VVALUE +p22745 +tp22746 +a(g152 +V"ICONCATENATE" +p22747 +tp22748 +a(g701 +g965 +tp22749 +a(g822 +V\u000a +p22750 +tp22751 +a(g7 +V +p22752 +tp22753 +a(g822 +V +p22754 +tp22755 +a(g32 +V05 +p22756 +tp22757 +a(g781 +VFILLER +p22758 +tp22759 +a(g822 +g958 +tp22760 +a(g744 +VPIC X(33) +p22761 +tp22762 +a(g822 +g958 +tp22763 +a(g781 +VVALUE +p22764 +tp22765 +a(g152 +V" CONDITION" +p22766 +tp22767 +a(g701 +g965 +tp22768 +a(g822 +V\u000a +p22769 +tp22770 +a(g7 +V +p22771 +tp22772 +a(g822 +V +p22773 +tp22774 +a(g32 +V05 +p22775 +tp22776 +a(g781 +VFILLER +p22777 +tp22778 +a(g822 +g958 +tp22779 +a(g744 +VPIC X(33) +p22780 +tp22781 +a(g822 +g958 +tp22782 +a(g781 +VVALUE +p22783 +tp22784 +a(g152 +V"KCONFIGURATION" +p22785 +tp22786 +a(g701 +g965 +tp22787 +a(g822 +V\u000a +p22788 +tp22789 +a(g7 +V +p22790 +tp22791 +a(g822 +V +p22792 +tp22793 +a(g32 +V05 +p22794 +tp22795 +a(g781 +VFILLER +p22796 +tp22797 +a(g822 +g958 +tp22798 +a(g744 +VPIC X(33) +p22799 +tp22800 +a(g822 +g958 +tp22801 +a(g781 +VVALUE +p22802 +tp22803 +a(g152 +V"MCONSOLE" +p22804 +tp22805 +a(g701 +g965 +tp22806 +a(g822 +V\u000a +p22807 +tp22808 +a(g7 +V +p22809 +tp22810 +a(g822 +V +p22811 +tp22812 +a(g32 +V05 +p22813 +tp22814 +a(g781 +VFILLER +p22815 +tp22816 +a(g822 +g958 +tp22817 +a(g744 +VPIC X(33) +p22818 +tp22819 +a(g822 +g958 +tp22820 +a(g781 +VVALUE +p22821 +tp22822 +a(g152 +V" CONSTANT" +p22823 +tp22824 +a(g701 +g965 +tp22825 +a(g822 +V\u000a +p22826 +tp22827 +a(g7 +V +p22828 +tp22829 +a(g822 +V +p22830 +tp22831 +a(g32 +V05 +p22832 +tp22833 +a(g781 +VFILLER +p22834 +tp22835 +a(g822 +g958 +tp22836 +a(g744 +VPIC X(33) +p22837 +tp22838 +a(g822 +g958 +tp22839 +a(g781 +VVALUE +p22840 +tp22841 +a(g152 +V" CONTAINS" +p22842 +tp22843 +a(g701 +g965 +tp22844 +a(g822 +V\u000a +p22845 +tp22846 +a(g7 +V +p22847 +tp22848 +a(g822 +V +p22849 +tp22850 +a(g32 +V05 +p22851 +tp22852 +a(g781 +VFILLER +p22853 +tp22854 +a(g822 +g958 +tp22855 +a(g744 +VPIC X(33) +p22856 +tp22857 +a(g822 +g958 +tp22858 +a(g781 +VVALUE +p22859 +tp22860 +a(g152 +V" CONTENT" +p22861 +tp22862 +a(g701 +g965 +tp22863 +a(g822 +V\u000a +p22864 +tp22865 +a(g7 +V +p22866 +tp22867 +a(g822 +V +p22868 +tp22869 +a(g32 +V05 +p22870 +tp22871 +a(g781 +VFILLER +p22872 +tp22873 +a(g822 +g958 +tp22874 +a(g744 +VPIC X(33) +p22875 +tp22876 +a(g822 +g958 +tp22877 +a(g781 +VVALUE +p22878 +tp22879 +a(g152 +V"VCONTINUE" +p22880 +tp22881 +a(g701 +g965 +tp22882 +a(g822 +V\u000a +p22883 +tp22884 +a(g7 +V +p22885 +tp22886 +a(g822 +V +p22887 +tp22888 +a(g32 +V05 +p22889 +tp22890 +a(g781 +VFILLER +p22891 +tp22892 +a(g822 +g958 +tp22893 +a(g744 +VPIC X(33) +p22894 +tp22895 +a(g822 +g958 +tp22896 +a(g781 +VVALUE +p22897 +tp22898 +a(g152 +V" CONTROL" +p22899 +tp22900 +a(g701 +g965 +tp22901 +a(g822 +V\u000a +p22902 +tp22903 +a(g7 +V +p22904 +tp22905 +a(g822 +V +p22906 +tp22907 +a(g32 +V05 +p22908 +tp22909 +a(g781 +VFILLER +p22910 +tp22911 +a(g822 +g958 +tp22912 +a(g744 +VPIC X(33) +p22913 +tp22914 +a(g822 +g958 +tp22915 +a(g781 +VVALUE +p22916 +tp22917 +a(g152 +V" CONTROLS" +p22918 +tp22919 +a(g701 +g965 +tp22920 +a(g822 +V\u000a +p22921 +tp22922 +a(g7 +V +p22923 +tp22924 +a(g822 +V +p22925 +tp22926 +a(g32 +V05 +p22927 +tp22928 +a(g781 +VFILLER +p22929 +tp22930 +a(g822 +g958 +tp22931 +a(g744 +VPIC X(33) +p22932 +tp22933 +a(g822 +g958 +tp22934 +a(g781 +VVALUE +p22935 +tp22936 +a(g152 +V"KCONVERTING" +p22937 +tp22938 +a(g701 +g965 +tp22939 +a(g822 +V\u000a +p22940 +tp22941 +a(g7 +V +p22942 +tp22943 +a(g822 +V +p22944 +tp22945 +a(g32 +V05 +p22946 +tp22947 +a(g781 +VFILLER +p22948 +tp22949 +a(g822 +g958 +tp22950 +a(g744 +VPIC X(33) +p22951 +tp22952 +a(g822 +g958 +tp22953 +a(g781 +VVALUE +p22954 +tp22955 +a(g152 +V" COPY" +p22956 +tp22957 +a(g701 +g965 +tp22958 +a(g822 +V\u000a +p22959 +tp22960 +a(g7 +V +p22961 +tp22962 +a(g822 +V +p22963 +tp22964 +a(g32 +V05 +p22965 +tp22966 +a(g781 +VFILLER +p22967 +tp22968 +a(g822 +g958 +tp22969 +a(g744 +VPIC X(33) +p22970 +tp22971 +a(g822 +g958 +tp22972 +a(g781 +VVALUE +p22973 +tp22974 +a(g152 +V" CORR" +p22975 +tp22976 +a(g701 +g965 +tp22977 +a(g822 +V\u000a +p22978 +tp22979 +a(g7 +V +p22980 +tp22981 +a(g822 +V +p22982 +tp22983 +a(g32 +V05 +p22984 +tp22985 +a(g781 +VFILLER +p22986 +tp22987 +a(g822 +g958 +tp22988 +a(g744 +VPIC X(33) +p22989 +tp22990 +a(g822 +g958 +tp22991 +a(g781 +VVALUE +p22992 +tp22993 +a(g152 +V" CORRESPONDING" +p22994 +tp22995 +a(g701 +g965 +tp22996 +a(g822 +V\u000a +p22997 +tp22998 +a(g7 +V +p22999 +tp23000 +a(g822 +V +p23001 +tp23002 +a(g32 +V05 +p23003 +tp23004 +a(g781 +VFILLER +p23005 +tp23006 +a(g822 +g958 +tp23007 +a(g744 +VPIC X(33) +p23008 +tp23009 +a(g822 +g958 +tp23010 +a(g781 +VVALUE +p23011 +tp23012 +a(g152 +V"ICOS" +p23013 +tp23014 +a(g701 +g965 +tp23015 +a(g822 +V\u000a +p23016 +tp23017 +a(g7 +V +p23018 +tp23019 +a(g822 +V +p23020 +tp23021 +a(g32 +V05 +p23022 +tp23023 +a(g781 +VFILLER +p23024 +tp23025 +a(g822 +g958 +tp23026 +a(g744 +VPIC X(33) +p23027 +tp23028 +a(g822 +g958 +tp23029 +a(g781 +VVALUE +p23030 +tp23031 +a(g152 +V"KCOUNT" +p23032 +tp23033 +a(g701 +g965 +tp23034 +a(g822 +V\u000a +p23035 +tp23036 +a(g7 +V +p23037 +tp23038 +a(g822 +V +p23039 +tp23040 +a(g32 +V05 +p23041 +tp23042 +a(g781 +VFILLER +p23043 +tp23044 +a(g822 +g958 +tp23045 +a(g744 +VPIC X(33) +p23046 +tp23047 +a(g822 +g958 +tp23048 +a(g781 +VVALUE +p23049 +tp23050 +a(g152 +V" CRT" +p23051 +tp23052 +a(g701 +g965 +tp23053 +a(g822 +V\u000a +p23054 +tp23055 +a(g7 +V +p23056 +tp23057 +a(g822 +V +p23058 +tp23059 +a(g32 +V05 +p23060 +tp23061 +a(g781 +VFILLER +p23062 +tp23063 +a(g822 +g958 +tp23064 +a(g744 +VPIC X(33) +p23065 +tp23066 +a(g822 +g958 +tp23067 +a(g781 +VVALUE +p23068 +tp23069 +a(g152 +V" CURRENCY" +p23070 +tp23071 +a(g701 +g965 +tp23072 +a(g822 +V\u000a +p23073 +tp23074 +a(g7 +V +p23075 +tp23076 +a(g822 +V +p23077 +tp23078 +a(g32 +V05 +p23079 +tp23080 +a(g781 +VFILLER +p23081 +tp23082 +a(g822 +g958 +tp23083 +a(g744 +VPIC X(33) +p23084 +tp23085 +a(g822 +g958 +tp23086 +a(g781 +VVALUE +p23087 +tp23088 +a(g152 +V"ICURRENT-DATE" +p23089 +tp23090 +a(g701 +g965 +tp23091 +a(g822 +V\u000a +p23092 +tp23093 +a(g7 +V +p23094 +tp23095 +a(g822 +V +p23096 +tp23097 +a(g32 +V05 +p23098 +tp23099 +a(g781 +VFILLER +p23100 +tp23101 +a(g822 +g958 +tp23102 +a(g744 +VPIC X(33) +p23103 +tp23104 +a(g822 +g958 +tp23105 +a(g781 +VVALUE +p23106 +tp23107 +a(g152 +V" CURSOR" +p23108 +tp23109 +a(g701 +g965 +tp23110 +a(g822 +V\u000a +p23111 +tp23112 +a(g7 +V +p23113 +tp23114 +a(g822 +V +p23115 +tp23116 +a(g32 +V05 +p23117 +tp23118 +a(g781 +VFILLER +p23119 +tp23120 +a(g822 +g958 +tp23121 +a(g744 +VPIC X(33) +p23122 +tp23123 +a(g822 +g958 +tp23124 +a(g781 +VVALUE +p23125 +tp23126 +a(g152 +V" CYCLE" +p23127 +tp23128 +a(g701 +g965 +tp23129 +a(g822 +V\u000a +p23130 +tp23131 +a(g7 +V +p23132 +tp23133 +a(g822 +V +p23134 +tp23135 +a(g32 +V05 +p23136 +tp23137 +a(g781 +VFILLER +p23138 +tp23139 +a(g822 +g958 +tp23140 +a(g744 +VPIC X(33) +p23141 +tp23142 +a(g822 +g958 +tp23143 +a(g781 +VVALUE +p23144 +tp23145 +a(g152 +V"KDATA" +p23146 +tp23147 +a(g701 +g965 +tp23148 +a(g822 +V\u000a +p23149 +tp23150 +a(g7 +V +p23151 +tp23152 +a(g822 +V +p23153 +tp23154 +a(g32 +V05 +p23155 +tp23156 +a(g781 +VFILLER +p23157 +tp23158 +a(g822 +g958 +tp23159 +a(g744 +VPIC X(33) +p23160 +tp23161 +a(g822 +g958 +tp23162 +a(g781 +VVALUE +p23163 +tp23164 +a(g152 +V" DATA-POINTER" +p23165 +tp23166 +a(g701 +g965 +tp23167 +a(g822 +V\u000a +p23168 +tp23169 +a(g7 +V +p23170 +tp23171 +a(g822 +V +p23172 +tp23173 +a(g32 +V05 +p23174 +tp23175 +a(g781 +VFILLER +p23176 +tp23177 +a(g822 +g958 +tp23178 +a(g744 +VPIC X(33) +p23179 +tp23180 +a(g822 +g958 +tp23181 +a(g781 +VVALUE +p23182 +tp23183 +a(g152 +V" DATE" +p23184 +tp23185 +a(g701 +g965 +tp23186 +a(g822 +V\u000a +p23187 +tp23188 +a(g7 +V +p23189 +tp23190 +a(g822 +V +p23191 +tp23192 +a(g32 +V05 +p23193 +tp23194 +a(g781 +VFILLER +p23195 +tp23196 +a(g822 +g958 +tp23197 +a(g744 +VPIC X(33) +p23198 +tp23199 +a(g822 +g958 +tp23200 +a(g781 +VVALUE +p23201 +tp23202 +a(g152 +V" DATE-COMPILED" +p23203 +tp23204 +a(g701 +g965 +tp23205 +a(g822 +V\u000a +p23206 +tp23207 +a(g7 +V +p23208 +tp23209 +a(g822 +V +p23210 +tp23211 +a(g32 +V05 +p23212 +tp23213 +a(g781 +VFILLER +p23214 +tp23215 +a(g822 +g958 +tp23216 +a(g744 +VPIC X(33) +p23217 +tp23218 +a(g822 +g958 +tp23219 +a(g781 +VVALUE +p23220 +tp23221 +a(g152 +V" DATE-MODIFIED" +p23222 +tp23223 +a(g701 +g965 +tp23224 +a(g822 +V\u000a +p23225 +tp23226 +a(g7 +V +p23227 +tp23228 +a(g822 +V +p23229 +tp23230 +a(g32 +V05 +p23231 +tp23232 +a(g781 +VFILLER +p23233 +tp23234 +a(g822 +g958 +tp23235 +a(g744 +VPIC X(33) +p23236 +tp23237 +a(g822 +g958 +tp23238 +a(g781 +VVALUE +p23239 +tp23240 +a(g152 +V"IDATE-OF-INTEGER" +p23241 +tp23242 +a(g701 +g965 +tp23243 +a(g822 +V\u000a +p23244 +tp23245 +a(g7 +V +p23246 +tp23247 +a(g822 +V +p23248 +tp23249 +a(g32 +V05 +p23250 +tp23251 +a(g781 +VFILLER +p23252 +tp23253 +a(g822 +g958 +tp23254 +a(g744 +VPIC X(33) +p23255 +tp23256 +a(g822 +g958 +tp23257 +a(g781 +VVALUE +p23258 +tp23259 +a(g152 +V"IDATE-TO-YYYYMMDD" +p23260 +tp23261 +a(g701 +g965 +tp23262 +a(g822 +V\u000a +p23263 +tp23264 +a(g7 +V +p23265 +tp23266 +a(g822 +V +p23267 +tp23268 +a(g32 +V05 +p23269 +tp23270 +a(g781 +VFILLER +p23271 +tp23272 +a(g822 +g958 +tp23273 +a(g744 +VPIC X(33) +p23274 +tp23275 +a(g822 +g958 +tp23276 +a(g781 +VVALUE +p23277 +tp23278 +a(g152 +V" DATE-WRITTEN" +p23279 +tp23280 +a(g701 +g965 +tp23281 +a(g822 +V\u000a +p23282 +tp23283 +a(g7 +V +p23284 +tp23285 +a(g822 +V +p23286 +tp23287 +a(g32 +V05 +p23288 +tp23289 +a(g781 +VFILLER +p23290 +tp23291 +a(g822 +g958 +tp23292 +a(g744 +VPIC X(33) +p23293 +tp23294 +a(g822 +g958 +tp23295 +a(g781 +VVALUE +p23296 +tp23297 +a(g152 +V" DAY" +p23298 +tp23299 +a(g701 +g965 +tp23300 +a(g822 +V\u000a +p23301 +tp23302 +a(g7 +V +p23303 +tp23304 +a(g822 +V +p23305 +tp23306 +a(g32 +V05 +p23307 +tp23308 +a(g781 +VFILLER +p23309 +tp23310 +a(g822 +g958 +tp23311 +a(g744 +VPIC X(33) +p23312 +tp23313 +a(g822 +g958 +tp23314 +a(g781 +VVALUE +p23315 +tp23316 +a(g152 +V"IDAY-OF-INTEGER" +p23317 +tp23318 +a(g701 +g965 +tp23319 +a(g822 +V\u000a +p23320 +tp23321 +a(g7 +V +p23322 +tp23323 +a(g822 +V +p23324 +tp23325 +a(g32 +V05 +p23326 +tp23327 +a(g781 +VFILLER +p23328 +tp23329 +a(g822 +g958 +tp23330 +a(g744 +VPIC X(33) +p23331 +tp23332 +a(g822 +g958 +tp23333 +a(g781 +VVALUE +p23334 +tp23335 +a(g152 +V" DAY-OF-WEEK" +p23336 +tp23337 +a(g701 +g965 +tp23338 +a(g822 +V\u000a +p23339 +tp23340 +a(g7 +V +p23341 +tp23342 +a(g822 +V +p23343 +tp23344 +a(g32 +V05 +p23345 +tp23346 +a(g781 +VFILLER +p23347 +tp23348 +a(g822 +g958 +tp23349 +a(g744 +VPIC X(33) +p23350 +tp23351 +a(g822 +g958 +tp23352 +a(g781 +VVALUE +p23353 +tp23354 +a(g152 +V"IDAY-TO-YYYYDDD" +p23355 +tp23356 +a(g701 +g965 +tp23357 +a(g822 +V\u000a +p23358 +tp23359 +a(g7 +V +p23360 +tp23361 +a(g822 +V +p23362 +tp23363 +a(g32 +V05 +p23364 +tp23365 +a(g781 +VFILLER +p23366 +tp23367 +a(g822 +g958 +tp23368 +a(g744 +VPIC X(33) +p23369 +tp23370 +a(g822 +g958 +tp23371 +a(g781 +VVALUE +p23372 +tp23373 +a(g152 +V" DE" +p23374 +tp23375 +a(g701 +g965 +tp23376 +a(g822 +V\u000a +p23377 +tp23378 +a(g7 +V +p23379 +tp23380 +a(g822 +V +p23381 +tp23382 +a(g32 +V05 +p23383 +tp23384 +a(g781 +VFILLER +p23385 +tp23386 +a(g822 +g958 +tp23387 +a(g744 +VPIC X(33) +p23388 +tp23389 +a(g822 +g958 +tp23390 +a(g781 +VVALUE +p23391 +tp23392 +a(g152 +V" DEBUGGING" +p23393 +tp23394 +a(g701 +g965 +tp23395 +a(g822 +V\u000a +p23396 +tp23397 +a(g7 +V +p23398 +tp23399 +a(g822 +V +p23400 +tp23401 +a(g32 +V05 +p23402 +tp23403 +a(g781 +VFILLER +p23404 +tp23405 +a(g822 +g958 +tp23406 +a(g744 +VPIC X(33) +p23407 +tp23408 +a(g822 +g958 +tp23409 +a(g781 +VVALUE +p23410 +tp23411 +a(g152 +V" DECIMAL-POINT" +p23412 +tp23413 +a(g701 +g965 +tp23414 +a(g822 +V\u000a +p23415 +tp23416 +a(g7 +V +p23417 +tp23418 +a(g822 +V +p23419 +tp23420 +a(g32 +V05 +p23421 +tp23422 +a(g781 +VFILLER +p23423 +tp23424 +a(g822 +g958 +tp23425 +a(g744 +VPIC X(33) +p23426 +tp23427 +a(g822 +g958 +tp23428 +a(g781 +VVALUE +p23429 +tp23430 +a(g152 +V" DECLARATIVES" +p23431 +tp23432 +a(g701 +g965 +tp23433 +a(g822 +V\u000a +p23434 +tp23435 +a(g7 +V +p23436 +tp23437 +a(g822 +V +p23438 +tp23439 +a(g32 +V05 +p23440 +tp23441 +a(g781 +VFILLER +p23442 +tp23443 +a(g822 +g958 +tp23444 +a(g744 +VPIC X(33) +p23445 +tp23446 +a(g822 +g958 +tp23447 +a(g781 +VVALUE +p23448 +tp23449 +a(g152 +V" DEFAULT" +p23450 +tp23451 +a(g701 +g965 +tp23452 +a(g822 +V\u000a +p23453 +tp23454 +a(g7 +V +p23455 +tp23456 +a(g822 +V +p23457 +tp23458 +a(g32 +V05 +p23459 +tp23460 +a(g781 +VFILLER +p23461 +tp23462 +a(g822 +g958 +tp23463 +a(g744 +VPIC X(33) +p23464 +tp23465 +a(g822 +g958 +tp23466 +a(g781 +VVALUE +p23467 +tp23468 +a(g152 +V"VDELETE" +p23469 +tp23470 +a(g701 +g965 +tp23471 +a(g822 +V\u000a +p23472 +tp23473 +a(g7 +V +p23474 +tp23475 +a(g822 +V +p23476 +tp23477 +a(g32 +V05 +p23478 +tp23479 +a(g781 +VFILLER +p23480 +tp23481 +a(g822 +g958 +tp23482 +a(g744 +VPIC X(33) +p23483 +tp23484 +a(g822 +g958 +tp23485 +a(g781 +VVALUE +p23486 +tp23487 +a(g152 +V" DELIMITED" +p23488 +tp23489 +a(g701 +g965 +tp23490 +a(g822 +V\u000a +p23491 +tp23492 +a(g7 +V +p23493 +tp23494 +a(g822 +V +p23495 +tp23496 +a(g32 +V05 +p23497 +tp23498 +a(g781 +VFILLER +p23499 +tp23500 +a(g822 +g958 +tp23501 +a(g744 +VPIC X(33) +p23502 +tp23503 +a(g822 +g958 +tp23504 +a(g781 +VVALUE +p23505 +tp23506 +a(g152 +V"KDELIMITER" +p23507 +tp23508 +a(g701 +g965 +tp23509 +a(g822 +V\u000a +p23510 +tp23511 +a(g7 +V +p23512 +tp23513 +a(g822 +V +p23514 +tp23515 +a(g32 +V05 +p23516 +tp23517 +a(g781 +VFILLER +p23518 +tp23519 +a(g822 +g958 +tp23520 +a(g744 +VPIC X(33) +p23521 +tp23522 +a(g822 +g958 +tp23523 +a(g781 +VVALUE +p23524 +tp23525 +a(g152 +V" DEPENDING" +p23526 +tp23527 +a(g701 +g965 +tp23528 +a(g822 +V\u000a +p23529 +tp23530 +a(g7 +V +p23531 +tp23532 +a(g822 +V +p23533 +tp23534 +a(g32 +V05 +p23535 +tp23536 +a(g781 +VFILLER +p23537 +tp23538 +a(g822 +g958 +tp23539 +a(g744 +VPIC X(33) +p23540 +tp23541 +a(g822 +g958 +tp23542 +a(g781 +VVALUE +p23543 +tp23544 +a(g152 +V" DESCENDING" +p23545 +tp23546 +a(g701 +g965 +tp23547 +a(g822 +V\u000a +p23548 +tp23549 +a(g7 +V +p23550 +tp23551 +a(g822 +V +p23552 +tp23553 +a(g32 +V05 +p23554 +tp23555 +a(g781 +VFILLER +p23556 +tp23557 +a(g822 +g958 +tp23558 +a(g744 +VPIC X(33) +p23559 +tp23560 +a(g822 +g958 +tp23561 +a(g781 +VVALUE +p23562 +tp23563 +a(g152 +V" DESTINATION" +p23564 +tp23565 +a(g701 +g965 +tp23566 +a(g822 +V\u000a +p23567 +tp23568 +a(g7 +V +p23569 +tp23570 +a(g822 +V +p23571 +tp23572 +a(g32 +V05 +p23573 +tp23574 +a(g781 +VFILLER +p23575 +tp23576 +a(g822 +g958 +tp23577 +a(g744 +VPIC X(33) +p23578 +tp23579 +a(g822 +g958 +tp23580 +a(g781 +VVALUE +p23581 +tp23582 +a(g152 +V" DETAIL" +p23583 +tp23584 +a(g701 +g965 +tp23585 +a(g822 +V\u000a +p23586 +tp23587 +a(g7 +V +p23588 +tp23589 +a(g822 +V +p23590 +tp23591 +a(g32 +V05 +p23592 +tp23593 +a(g781 +VFILLER +p23594 +tp23595 +a(g822 +g958 +tp23596 +a(g744 +VPIC X(33) +p23597 +tp23598 +a(g822 +g958 +tp23599 +a(g781 +VVALUE +p23600 +tp23601 +a(g152 +V" DISABLE" +p23602 +tp23603 +a(g701 +g965 +tp23604 +a(g822 +V\u000a +p23605 +tp23606 +a(g7 +V +p23607 +tp23608 +a(g822 +V +p23609 +tp23610 +a(g32 +V05 +p23611 +tp23612 +a(g781 +VFILLER +p23613 +tp23614 +a(g822 +g958 +tp23615 +a(g744 +VPIC X(33) +p23616 +tp23617 +a(g822 +g958 +tp23618 +a(g781 +VVALUE +p23619 +tp23620 +a(g152 +V" DISK" +p23621 +tp23622 +a(g701 +g965 +tp23623 +a(g822 +V\u000a +p23624 +tp23625 +a(g7 +V +p23626 +tp23627 +a(g822 +V +p23628 +tp23629 +a(g32 +V05 +p23630 +tp23631 +a(g781 +VFILLER +p23632 +tp23633 +a(g822 +g958 +tp23634 +a(g744 +VPIC X(33) +p23635 +tp23636 +a(g822 +g958 +tp23637 +a(g781 +VVALUE +p23638 +tp23639 +a(g152 +V"VDISPLAY" +p23640 +tp23641 +a(g701 +g965 +tp23642 +a(g822 +V\u000a +p23643 +tp23644 +a(g7 +V +p23645 +tp23646 +a(g822 +V +p23647 +tp23648 +a(g32 +V05 +p23649 +tp23650 +a(g781 +VFILLER +p23651 +tp23652 +a(g822 +g958 +tp23653 +a(g744 +VPIC X(33) +p23654 +tp23655 +a(g822 +g958 +tp23656 +a(g781 +VVALUE +p23657 +tp23658 +a(g152 +V"VDIVIDE" +p23659 +tp23660 +a(g701 +g965 +tp23661 +a(g822 +V\u000a +p23662 +tp23663 +a(g7 +V +p23664 +tp23665 +a(g822 +V +p23666 +tp23667 +a(g32 +V05 +p23668 +tp23669 +a(g781 +VFILLER +p23670 +tp23671 +a(g822 +g958 +tp23672 +a(g744 +VPIC X(33) +p23673 +tp23674 +a(g822 +g958 +tp23675 +a(g781 +VVALUE +p23676 +tp23677 +a(g152 +V"KDIVISION" +p23678 +tp23679 +a(g701 +g965 +tp23680 +a(g822 +V\u000a +p23681 +tp23682 +a(g7 +V +p23683 +tp23684 +a(g822 +V +p23685 +tp23686 +a(g32 +V05 +p23687 +tp23688 +a(g781 +VFILLER +p23689 +tp23690 +a(g822 +g958 +tp23691 +a(g744 +VPIC X(33) +p23692 +tp23693 +a(g822 +g958 +tp23694 +a(g781 +VVALUE +p23695 +tp23696 +a(g152 +V"KDOWN" +p23697 +tp23698 +a(g701 +g965 +tp23699 +a(g822 +V\u000a +p23700 +tp23701 +a(g7 +V +p23702 +tp23703 +a(g822 +V +p23704 +tp23705 +a(g32 +V05 +p23706 +tp23707 +a(g781 +VFILLER +p23708 +tp23709 +a(g822 +g958 +tp23710 +a(g744 +VPIC X(33) +p23711 +tp23712 +a(g822 +g958 +tp23713 +a(g781 +VVALUE +p23714 +tp23715 +a(g152 +V" DUPLICATES" +p23716 +tp23717 +a(g701 +g965 +tp23718 +a(g822 +V\u000a +p23719 +tp23720 +a(g7 +V +p23721 +tp23722 +a(g822 +V +p23723 +tp23724 +a(g32 +V05 +p23725 +tp23726 +a(g781 +VFILLER +p23727 +tp23728 +a(g822 +g958 +tp23729 +a(g744 +VPIC X(33) +p23730 +tp23731 +a(g822 +g958 +tp23732 +a(g781 +VVALUE +p23733 +tp23734 +a(g152 +V" DYNAMIC" +p23735 +tp23736 +a(g701 +g965 +tp23737 +a(g822 +V\u000a +p23738 +tp23739 +a(g7 +V +p23740 +tp23741 +a(g822 +V +p23742 +tp23743 +a(g32 +V05 +p23744 +tp23745 +a(g781 +VFILLER +p23746 +tp23747 +a(g822 +g958 +tp23748 +a(g744 +VPIC X(33) +p23749 +tp23750 +a(g822 +g958 +tp23751 +a(g781 +VVALUE +p23752 +tp23753 +a(g152 +V"IE" +p23754 +tp23755 +a(g701 +g965 +tp23756 +a(g822 +V\u000a +p23757 +tp23758 +a(g7 +V +p23759 +tp23760 +a(g822 +V +p23761 +tp23762 +a(g32 +V05 +p23763 +tp23764 +a(g781 +VFILLER +p23765 +tp23766 +a(g822 +g958 +tp23767 +a(g744 +VPIC X(33) +p23768 +tp23769 +a(g822 +g958 +tp23770 +a(g781 +VVALUE +p23771 +tp23772 +a(g152 +V" EBCDIC" +p23773 +tp23774 +a(g701 +g965 +tp23775 +a(g822 +V\u000a +p23776 +tp23777 +a(g7 +V +p23778 +tp23779 +a(g822 +V +p23780 +tp23781 +a(g32 +V05 +p23782 +tp23783 +a(g781 +VFILLER +p23784 +tp23785 +a(g822 +g958 +tp23786 +a(g744 +VPIC X(33) +p23787 +tp23788 +a(g822 +g958 +tp23789 +a(g781 +VVALUE +p23790 +tp23791 +a(g152 +V" EC" +p23792 +tp23793 +a(g701 +g965 +tp23794 +a(g822 +V\u000a +p23795 +tp23796 +a(g7 +V +p23797 +tp23798 +a(g822 +V +p23799 +tp23800 +a(g32 +V05 +p23801 +tp23802 +a(g781 +VFILLER +p23803 +tp23804 +a(g822 +g958 +tp23805 +a(g744 +VPIC X(33) +p23806 +tp23807 +a(g822 +g958 +tp23808 +a(g781 +VVALUE +p23809 +tp23810 +a(g152 +V"VELSE" +p23811 +tp23812 +a(g701 +g965 +tp23813 +a(g822 +V\u000a +p23814 +tp23815 +a(g7 +VGC0710 +p23816 +tp23817 +a(g822 +V +p23818 +tp23819 +a(g32 +V05 +p23820 +tp23821 +a(g781 +VFILLER +p23822 +tp23823 +a(g822 +g958 +tp23824 +a(g744 +VPIC X(33) +p23825 +tp23826 +a(g822 +g958 +tp23827 +a(g781 +VVALUE +p23828 +tp23829 +a(g152 +V"KEND" +p23830 +tp23831 +a(g701 +g965 +tp23832 +a(g822 +V\u000a +p23833 +tp23834 +a(g7 +V +p23835 +tp23836 +a(g822 +V +p23837 +tp23838 +a(g32 +V05 +p23839 +tp23840 +a(g781 +VFILLER +p23841 +tp23842 +a(g822 +g958 +tp23843 +a(g744 +VPIC X(33) +p23844 +tp23845 +a(g822 +g958 +tp23846 +a(g781 +VVALUE +p23847 +tp23848 +a(g152 +V" END-ACCEPT" +p23849 +tp23850 +a(g701 +g965 +tp23851 +a(g822 +V\u000a +p23852 +tp23853 +a(g7 +V +p23854 +tp23855 +a(g822 +V +p23856 +tp23857 +a(g32 +V05 +p23858 +tp23859 +a(g781 +VFILLER +p23860 +tp23861 +a(g822 +g958 +tp23862 +a(g744 +VPIC X(33) +p23863 +tp23864 +a(g822 +g958 +tp23865 +a(g781 +VVALUE +p23866 +tp23867 +a(g152 +V" END-ADD" +p23868 +tp23869 +a(g701 +g965 +tp23870 +a(g822 +V\u000a +p23871 +tp23872 +a(g7 +V +p23873 +tp23874 +a(g822 +V +p23875 +tp23876 +a(g32 +V05 +p23877 +tp23878 +a(g781 +VFILLER +p23879 +tp23880 +a(g822 +g958 +tp23881 +a(g744 +VPIC X(33) +p23882 +tp23883 +a(g822 +g958 +tp23884 +a(g781 +VVALUE +p23885 +tp23886 +a(g152 +V" END-CALL" +p23887 +tp23888 +a(g701 +g965 +tp23889 +a(g822 +V\u000a +p23890 +tp23891 +a(g7 +V +p23892 +tp23893 +a(g822 +V +p23894 +tp23895 +a(g32 +V05 +p23896 +tp23897 +a(g781 +VFILLER +p23898 +tp23899 +a(g822 +g958 +tp23900 +a(g744 +VPIC X(33) +p23901 +tp23902 +a(g822 +g958 +tp23903 +a(g781 +VVALUE +p23904 +tp23905 +a(g152 +V" END-COMPUTE" +p23906 +tp23907 +a(g701 +g965 +tp23908 +a(g822 +V\u000a +p23909 +tp23910 +a(g7 +V +p23911 +tp23912 +a(g822 +V +p23913 +tp23914 +a(g32 +V05 +p23915 +tp23916 +a(g781 +VFILLER +p23917 +tp23918 +a(g822 +g958 +tp23919 +a(g744 +VPIC X(33) +p23920 +tp23921 +a(g822 +g958 +tp23922 +a(g781 +VVALUE +p23923 +tp23924 +a(g152 +V" END-DELETE" +p23925 +tp23926 +a(g701 +g965 +tp23927 +a(g822 +V\u000a +p23928 +tp23929 +a(g7 +V +p23930 +tp23931 +a(g822 +V +p23932 +tp23933 +a(g32 +V05 +p23934 +tp23935 +a(g781 +VFILLER +p23936 +tp23937 +a(g822 +g958 +tp23938 +a(g744 +VPIC X(33) +p23939 +tp23940 +a(g822 +g958 +tp23941 +a(g781 +VVALUE +p23942 +tp23943 +a(g152 +V" END-DISPLAY" +p23944 +tp23945 +a(g701 +g965 +tp23946 +a(g822 +V\u000a +p23947 +tp23948 +a(g7 +V +p23949 +tp23950 +a(g822 +V +p23951 +tp23952 +a(g32 +V05 +p23953 +tp23954 +a(g781 +VFILLER +p23955 +tp23956 +a(g822 +g958 +tp23957 +a(g744 +VPIC X(33) +p23958 +tp23959 +a(g822 +g958 +tp23960 +a(g781 +VVALUE +p23961 +tp23962 +a(g152 +V" END-DIVIDE" +p23963 +tp23964 +a(g701 +g965 +tp23965 +a(g822 +V\u000a +p23966 +tp23967 +a(g7 +V +p23968 +tp23969 +a(g822 +V +p23970 +tp23971 +a(g32 +V05 +p23972 +tp23973 +a(g781 +VFILLER +p23974 +tp23975 +a(g822 +g958 +tp23976 +a(g744 +VPIC X(33) +p23977 +tp23978 +a(g822 +g958 +tp23979 +a(g781 +VVALUE +p23980 +tp23981 +a(g152 +V" END-EVALUATE" +p23982 +tp23983 +a(g701 +g965 +tp23984 +a(g822 +V\u000a +p23985 +tp23986 +a(g7 +V +p23987 +tp23988 +a(g822 +V +p23989 +tp23990 +a(g32 +V05 +p23991 +tp23992 +a(g781 +VFILLER +p23993 +tp23994 +a(g822 +g958 +tp23995 +a(g744 +VPIC X(33) +p23996 +tp23997 +a(g822 +g958 +tp23998 +a(g781 +VVALUE +p23999 +tp24000 +a(g152 +V" END-IF" +p24001 +tp24002 +a(g701 +g965 +tp24003 +a(g822 +V\u000a +p24004 +tp24005 +a(g7 +V +p24006 +tp24007 +a(g822 +V +p24008 +tp24009 +a(g32 +V05 +p24010 +tp24011 +a(g781 +VFILLER +p24012 +tp24013 +a(g822 +g958 +tp24014 +a(g744 +VPIC X(33) +p24015 +tp24016 +a(g822 +g958 +tp24017 +a(g781 +VVALUE +p24018 +tp24019 +a(g152 +V" END-MULTIPLY" +p24020 +tp24021 +a(g701 +g965 +tp24022 +a(g822 +V\u000a +p24023 +tp24024 +a(g7 +V +p24025 +tp24026 +a(g822 +V +p24027 +tp24028 +a(g32 +V05 +p24029 +tp24030 +a(g781 +VFILLER +p24031 +tp24032 +a(g822 +g958 +tp24033 +a(g744 +VPIC X(33) +p24034 +tp24035 +a(g822 +g958 +tp24036 +a(g781 +VVALUE +p24037 +tp24038 +a(g152 +V" END-OF-PAGE" +p24039 +tp24040 +a(g701 +g965 +tp24041 +a(g822 +V\u000a +p24042 +tp24043 +a(g7 +V +p24044 +tp24045 +a(g822 +V +p24046 +tp24047 +a(g32 +V05 +p24048 +tp24049 +a(g781 +VFILLER +p24050 +tp24051 +a(g822 +g958 +tp24052 +a(g744 +VPIC X(33) +p24053 +tp24054 +a(g822 +g958 +tp24055 +a(g781 +VVALUE +p24056 +tp24057 +a(g152 +V" END-PERFORM" +p24058 +tp24059 +a(g701 +g965 +tp24060 +a(g822 +V\u000a +p24061 +tp24062 +a(g7 +V +p24063 +tp24064 +a(g822 +V +p24065 +tp24066 +a(g32 +V05 +p24067 +tp24068 +a(g781 +VFILLER +p24069 +tp24070 +a(g822 +g958 +tp24071 +a(g744 +VPIC X(33) +p24072 +tp24073 +a(g822 +g958 +tp24074 +a(g781 +VVALUE +p24075 +tp24076 +a(g152 +V" END-READ" +p24077 +tp24078 +a(g701 +g965 +tp24079 +a(g822 +V\u000a +p24080 +tp24081 +a(g7 +V +p24082 +tp24083 +a(g822 +V +p24084 +tp24085 +a(g32 +V05 +p24086 +tp24087 +a(g781 +VFILLER +p24088 +tp24089 +a(g822 +g958 +tp24090 +a(g744 +VPIC X(33) +p24091 +tp24092 +a(g822 +g958 +tp24093 +a(g781 +VVALUE +p24094 +tp24095 +a(g152 +V" END-RETURN" +p24096 +tp24097 +a(g701 +g965 +tp24098 +a(g822 +V\u000a +p24099 +tp24100 +a(g7 +V +p24101 +tp24102 +a(g822 +V +p24103 +tp24104 +a(g32 +V05 +p24105 +tp24106 +a(g781 +VFILLER +p24107 +tp24108 +a(g822 +g958 +tp24109 +a(g744 +VPIC X(33) +p24110 +tp24111 +a(g822 +g958 +tp24112 +a(g781 +VVALUE +p24113 +tp24114 +a(g152 +V" END-REWRITE" +p24115 +tp24116 +a(g701 +g965 +tp24117 +a(g822 +V\u000a +p24118 +tp24119 +a(g7 +V +p24120 +tp24121 +a(g822 +V +p24122 +tp24123 +a(g32 +V05 +p24124 +tp24125 +a(g781 +VFILLER +p24126 +tp24127 +a(g822 +g958 +tp24128 +a(g744 +VPIC X(33) +p24129 +tp24130 +a(g822 +g958 +tp24131 +a(g781 +VVALUE +p24132 +tp24133 +a(g152 +V" END-SEARCH" +p24134 +tp24135 +a(g701 +g965 +tp24136 +a(g822 +V\u000a +p24137 +tp24138 +a(g7 +V +p24139 +tp24140 +a(g822 +V +p24141 +tp24142 +a(g32 +V05 +p24143 +tp24144 +a(g781 +VFILLER +p24145 +tp24146 +a(g822 +g958 +tp24147 +a(g744 +VPIC X(33) +p24148 +tp24149 +a(g822 +g958 +tp24150 +a(g781 +VVALUE +p24151 +tp24152 +a(g152 +V" END-START" +p24153 +tp24154 +a(g701 +g965 +tp24155 +a(g822 +V\u000a +p24156 +tp24157 +a(g7 +V +p24158 +tp24159 +a(g822 +V +p24160 +tp24161 +a(g32 +V05 +p24162 +tp24163 +a(g781 +VFILLER +p24164 +tp24165 +a(g822 +g958 +tp24166 +a(g744 +VPIC X(33) +p24167 +tp24168 +a(g822 +g958 +tp24169 +a(g781 +VVALUE +p24170 +tp24171 +a(g152 +V" END-STRING" +p24172 +tp24173 +a(g701 +g965 +tp24174 +a(g822 +V\u000a +p24175 +tp24176 +a(g7 +V +p24177 +tp24178 +a(g822 +V +p24179 +tp24180 +a(g32 +V05 +p24181 +tp24182 +a(g781 +VFILLER +p24183 +tp24184 +a(g822 +g958 +tp24185 +a(g744 +VPIC X(33) +p24186 +tp24187 +a(g822 +g958 +tp24188 +a(g781 +VVALUE +p24189 +tp24190 +a(g152 +V" END-SUBTRACT" +p24191 +tp24192 +a(g701 +g965 +tp24193 +a(g822 +V\u000a +p24194 +tp24195 +a(g7 +V +p24196 +tp24197 +a(g822 +V +p24198 +tp24199 +a(g32 +V05 +p24200 +tp24201 +a(g781 +VFILLER +p24202 +tp24203 +a(g822 +g958 +tp24204 +a(g744 +VPIC X(33) +p24205 +tp24206 +a(g822 +g958 +tp24207 +a(g781 +VVALUE +p24208 +tp24209 +a(g152 +V" END-UNSTRING" +p24210 +tp24211 +a(g701 +g965 +tp24212 +a(g822 +V\u000a +p24213 +tp24214 +a(g7 +V +p24215 +tp24216 +a(g822 +V +p24217 +tp24218 +a(g32 +V05 +p24219 +tp24220 +a(g781 +VFILLER +p24221 +tp24222 +a(g822 +g958 +tp24223 +a(g744 +VPIC X(33) +p24224 +tp24225 +a(g822 +g958 +tp24226 +a(g781 +VVALUE +p24227 +tp24228 +a(g152 +V" END-WRITE" +p24229 +tp24230 +a(g701 +g965 +tp24231 +a(g822 +V\u000a +p24232 +tp24233 +a(g7 +V +p24234 +tp24235 +a(g822 +V +p24236 +tp24237 +a(g32 +V05 +p24238 +tp24239 +a(g781 +VFILLER +p24240 +tp24241 +a(g822 +g958 +tp24242 +a(g744 +VPIC X(33) +p24243 +tp24244 +a(g822 +g958 +tp24245 +a(g781 +VVALUE +p24246 +tp24247 +a(g152 +V"VENTRY" +p24248 +tp24249 +a(g701 +g965 +tp24250 +a(g822 +V\u000a +p24251 +tp24252 +a(g7 +V +p24253 +tp24254 +a(g822 +V +p24255 +tp24256 +a(g32 +V05 +p24257 +tp24258 +a(g781 +VFILLER +p24259 +tp24260 +a(g822 +g958 +tp24261 +a(g744 +VPIC X(33) +p24262 +tp24263 +a(g822 +g958 +tp24264 +a(g781 +VVALUE +p24265 +tp24266 +a(g152 +V"KENVIRONMENT" +p24267 +tp24268 +a(g701 +g965 +tp24269 +a(g822 +V\u000a +p24270 +tp24271 +a(g7 +V +p24272 +tp24273 +a(g822 +V +p24274 +tp24275 +a(g32 +V05 +p24276 +tp24277 +a(g781 +VFILLER +p24278 +tp24279 +a(g822 +g958 +tp24280 +a(g744 +VPIC X(33) +p24281 +tp24282 +a(g822 +g958 +tp24283 +a(g781 +VVALUE +p24284 +tp24285 +a(g152 +V" ENVIRONMENT-NAME" +p24286 +tp24287 +a(g701 +g965 +tp24288 +a(g822 +V\u000a +p24289 +tp24290 +a(g7 +V +p24291 +tp24292 +a(g822 +V +p24293 +tp24294 +a(g32 +V05 +p24295 +tp24296 +a(g781 +VFILLER +p24297 +tp24298 +a(g822 +g958 +tp24299 +a(g744 +VPIC X(33) +p24300 +tp24301 +a(g822 +g958 +tp24302 +a(g781 +VVALUE +p24303 +tp24304 +a(g152 +V" ENVIRONMENT-VALUE" +p24305 +tp24306 +a(g701 +g965 +tp24307 +a(g822 +V\u000a +p24308 +tp24309 +a(g7 +V +p24310 +tp24311 +a(g822 +V +p24312 +tp24313 +a(g32 +V05 +p24314 +tp24315 +a(g781 +VFILLER +p24316 +tp24317 +a(g822 +g958 +tp24318 +a(g744 +VPIC X(33) +p24319 +tp24320 +a(g822 +g958 +tp24321 +a(g781 +VVALUE +p24322 +tp24323 +a(g152 +V" EO" +p24324 +tp24325 +a(g701 +g965 +tp24326 +a(g822 +V\u000a +p24327 +tp24328 +a(g7 +V +p24329 +tp24330 +a(g822 +V +p24331 +tp24332 +a(g32 +V05 +p24333 +tp24334 +a(g781 +VFILLER +p24335 +tp24336 +a(g822 +g958 +tp24337 +a(g744 +VPIC X(33) +p24338 +tp24339 +a(g822 +g958 +tp24340 +a(g781 +VVALUE +p24341 +tp24342 +a(g152 +V" EOL" +p24343 +tp24344 +a(g701 +g965 +tp24345 +a(g822 +V\u000a +p24346 +tp24347 +a(g7 +V +p24348 +tp24349 +a(g822 +V +p24350 +tp24351 +a(g32 +V05 +p24352 +tp24353 +a(g781 +VFILLER +p24354 +tp24355 +a(g822 +g958 +tp24356 +a(g744 +VPIC X(33) +p24357 +tp24358 +a(g822 +g958 +tp24359 +a(g781 +VVALUE +p24360 +tp24361 +a(g152 +V" EOP" +p24362 +tp24363 +a(g701 +g965 +tp24364 +a(g822 +V\u000a +p24365 +tp24366 +a(g7 +V +p24367 +tp24368 +a(g822 +V +p24369 +tp24370 +a(g32 +V05 +p24371 +tp24372 +a(g781 +VFILLER +p24373 +tp24374 +a(g822 +g958 +tp24375 +a(g744 +VPIC X(33) +p24376 +tp24377 +a(g822 +g958 +tp24378 +a(g781 +VVALUE +p24379 +tp24380 +a(g152 +V" EOS" +p24381 +tp24382 +a(g701 +g965 +tp24383 +a(g822 +V\u000a +p24384 +tp24385 +a(g7 +V +p24386 +tp24387 +a(g822 +V +p24388 +tp24389 +a(g32 +V05 +p24390 +tp24391 +a(g781 +VFILLER +p24392 +tp24393 +a(g822 +g958 +tp24394 +a(g744 +VPIC X(33) +p24395 +tp24396 +a(g822 +g958 +tp24397 +a(g781 +VVALUE +p24398 +tp24399 +a(g152 +V" EQUAL" +p24400 +tp24401 +a(g701 +g965 +tp24402 +a(g822 +V\u000a +p24403 +tp24404 +a(g7 +V +p24405 +tp24406 +a(g822 +V +p24407 +tp24408 +a(g32 +V05 +p24409 +tp24410 +a(g781 +VFILLER +p24411 +tp24412 +a(g822 +g958 +tp24413 +a(g744 +VPIC X(33) +p24414 +tp24415 +a(g822 +g958 +tp24416 +a(g781 +VVALUE +p24417 +tp24418 +a(g152 +V"KEQUALS" +p24419 +tp24420 +a(g701 +g965 +tp24421 +a(g822 +V\u000a +p24422 +tp24423 +a(g7 +V +p24424 +tp24425 +a(g822 +V +p24426 +tp24427 +a(g32 +V05 +p24428 +tp24429 +a(g781 +VFILLER +p24430 +tp24431 +a(g822 +g958 +tp24432 +a(g744 +VPIC X(33) +p24433 +tp24434 +a(g822 +g958 +tp24435 +a(g781 +VVALUE +p24436 +tp24437 +a(g152 +V" ERASE" +p24438 +tp24439 +a(g701 +g965 +tp24440 +a(g822 +V\u000a +p24441 +tp24442 +a(g7 +V +p24443 +tp24444 +a(g822 +V +p24445 +tp24446 +a(g32 +V05 +p24447 +tp24448 +a(g781 +VFILLER +p24449 +tp24450 +a(g822 +g958 +tp24451 +a(g744 +VPIC X(33) +p24452 +tp24453 +a(g822 +g958 +tp24454 +a(g781 +VVALUE +p24455 +tp24456 +a(g152 +V" ERROR" +p24457 +tp24458 +a(g701 +g965 +tp24459 +a(g822 +V\u000a +p24460 +tp24461 +a(g7 +V +p24462 +tp24463 +a(g822 +V +p24464 +tp24465 +a(g32 +V05 +p24466 +tp24467 +a(g781 +VFILLER +p24468 +tp24469 +a(g822 +g958 +tp24470 +a(g744 +VPIC X(33) +p24471 +tp24472 +a(g822 +g958 +tp24473 +a(g781 +VVALUE +p24474 +tp24475 +a(g152 +V" ESCAPE" +p24476 +tp24477 +a(g701 +g965 +tp24478 +a(g822 +V\u000a +p24479 +tp24480 +a(g7 +V +p24481 +tp24482 +a(g822 +V +p24483 +tp24484 +a(g32 +V05 +p24485 +tp24486 +a(g781 +VFILLER +p24487 +tp24488 +a(g822 +g958 +tp24489 +a(g744 +VPIC X(33) +p24490 +tp24491 +a(g822 +g958 +tp24492 +a(g781 +VVALUE +p24493 +tp24494 +a(g152 +V"VEVALUATE" +p24495 +tp24496 +a(g701 +g965 +tp24497 +a(g822 +V\u000a +p24498 +tp24499 +a(g7 +V +p24500 +tp24501 +a(g822 +V +p24502 +tp24503 +a(g32 +V05 +p24504 +tp24505 +a(g781 +VFILLER +p24506 +tp24507 +a(g822 +g958 +tp24508 +a(g744 +VPIC X(33) +p24509 +tp24510 +a(g822 +g958 +tp24511 +a(g781 +VVALUE +p24512 +tp24513 +a(g152 +V" EXCEPTION" +p24514 +tp24515 +a(g701 +g965 +tp24516 +a(g822 +V\u000a +p24517 +tp24518 +a(g7 +V +p24519 +tp24520 +a(g822 +V +p24521 +tp24522 +a(g32 +V05 +p24523 +tp24524 +a(g781 +VFILLER +p24525 +tp24526 +a(g822 +g958 +tp24527 +a(g744 +VPIC X(33) +p24528 +tp24529 +a(g822 +g958 +tp24530 +a(g781 +VVALUE +p24531 +tp24532 +a(g152 +V"IEXCEPTION-FILE" +p24533 +tp24534 +a(g701 +g965 +tp24535 +a(g822 +V\u000a +p24536 +tp24537 +a(g7 +V +p24538 +tp24539 +a(g822 +V +p24540 +tp24541 +a(g32 +V05 +p24542 +tp24543 +a(g781 +VFILLER +p24544 +tp24545 +a(g822 +g958 +tp24546 +a(g744 +VPIC X(33) +p24547 +tp24548 +a(g822 +g958 +tp24549 +a(g781 +VVALUE +p24550 +tp24551 +a(g152 +V"IEXCEPTION-LOCATION" +p24552 +tp24553 +a(g701 +g965 +tp24554 +a(g822 +V\u000a +p24555 +tp24556 +a(g7 +V +p24557 +tp24558 +a(g822 +V +p24559 +tp24560 +a(g32 +V05 +p24561 +tp24562 +a(g781 +VFILLER +p24563 +tp24564 +a(g822 +g958 +tp24565 +a(g744 +VPIC X(33) +p24566 +tp24567 +a(g822 +g958 +tp24568 +a(g781 +VVALUE +p24569 +tp24570 +a(g152 +V" EXCEPTION-OBJECT" +p24571 +tp24572 +a(g701 +g965 +tp24573 +a(g822 +V\u000a +p24574 +tp24575 +a(g7 +V +p24576 +tp24577 +a(g822 +V +p24578 +tp24579 +a(g32 +V05 +p24580 +tp24581 +a(g781 +VFILLER +p24582 +tp24583 +a(g822 +g958 +tp24584 +a(g744 +VPIC X(33) +p24585 +tp24586 +a(g822 +g958 +tp24587 +a(g781 +VVALUE +p24588 +tp24589 +a(g152 +V"IEXCEPTION-STATEMENT" +p24590 +tp24591 +a(g701 +g965 +tp24592 +a(g822 +V\u000a +p24593 +tp24594 +a(g7 +V +p24595 +tp24596 +a(g822 +V +p24597 +tp24598 +a(g32 +V05 +p24599 +tp24600 +a(g781 +VFILLER +p24601 +tp24602 +a(g822 +g958 +tp24603 +a(g744 +VPIC X(33) +p24604 +tp24605 +a(g822 +g958 +tp24606 +a(g781 +VVALUE +p24607 +tp24608 +a(g152 +V"IEXCEPTION-STATUS" +p24609 +tp24610 +a(g701 +g965 +tp24611 +a(g822 +V\u000a +p24612 +tp24613 +a(g7 +V +p24614 +tp24615 +a(g822 +V +p24616 +tp24617 +a(g32 +V05 +p24618 +tp24619 +a(g781 +VFILLER +p24620 +tp24621 +a(g822 +g958 +tp24622 +a(g744 +VPIC X(33) +p24623 +tp24624 +a(g822 +g958 +tp24625 +a(g781 +VVALUE +p24626 +tp24627 +a(g152 +V" EXCLUSIVE" +p24628 +tp24629 +a(g701 +g965 +tp24630 +a(g822 +V\u000a +p24631 +tp24632 +a(g7 +V +p24633 +tp24634 +a(g822 +V +p24635 +tp24636 +a(g32 +V05 +p24637 +tp24638 +a(g781 +VFILLER +p24639 +tp24640 +a(g822 +g958 +tp24641 +a(g744 +VPIC X(33) +p24642 +tp24643 +a(g822 +g958 +tp24644 +a(g781 +VVALUE +p24645 +tp24646 +a(g152 +V"VEXIT" +p24647 +tp24648 +a(g701 +g965 +tp24649 +a(g822 +V\u000a +p24650 +tp24651 +a(g7 +V +p24652 +tp24653 +a(g822 +V +p24654 +tp24655 +a(g32 +V05 +p24656 +tp24657 +a(g781 +VFILLER +p24658 +tp24659 +a(g822 +g958 +tp24660 +a(g744 +VPIC X(33) +p24661 +tp24662 +a(g822 +g958 +tp24663 +a(g781 +VVALUE +p24664 +tp24665 +a(g152 +V"IEXP" +p24666 +tp24667 +a(g701 +g965 +tp24668 +a(g822 +V\u000a +p24669 +tp24670 +a(g7 +V +p24671 +tp24672 +a(g822 +V +p24673 +tp24674 +a(g32 +V05 +p24675 +tp24676 +a(g781 +VFILLER +p24677 +tp24678 +a(g822 +g958 +tp24679 +a(g744 +VPIC X(33) +p24680 +tp24681 +a(g822 +g958 +tp24682 +a(g781 +VVALUE +p24683 +tp24684 +a(g152 +V"IEXP10" +p24685 +tp24686 +a(g701 +g965 +tp24687 +a(g822 +V\u000a +p24688 +tp24689 +a(g7 +V +p24690 +tp24691 +a(g822 +V +p24692 +tp24693 +a(g32 +V05 +p24694 +tp24695 +a(g781 +VFILLER +p24696 +tp24697 +a(g822 +g958 +tp24698 +a(g744 +VPIC X(33) +p24699 +tp24700 +a(g822 +g958 +tp24701 +a(g781 +VVALUE +p24702 +tp24703 +a(g152 +V" EXTEND" +p24704 +tp24705 +a(g701 +g965 +tp24706 +a(g822 +V\u000a +p24707 +tp24708 +a(g7 +V +p24709 +tp24710 +a(g822 +V +p24711 +tp24712 +a(g32 +V05 +p24713 +tp24714 +a(g781 +VFILLER +p24715 +tp24716 +a(g822 +g958 +tp24717 +a(g744 +VPIC X(33) +p24718 +tp24719 +a(g822 +g958 +tp24720 +a(g781 +VVALUE +p24721 +tp24722 +a(g152 +V" EXTERNAL" +p24723 +tp24724 +a(g701 +g965 +tp24725 +a(g822 +V\u000a +p24726 +tp24727 +a(g7 +V +p24728 +tp24729 +a(g822 +V +p24730 +tp24731 +a(g32 +V05 +p24732 +tp24733 +a(g781 +VFILLER +p24734 +tp24735 +a(g822 +g958 +tp24736 +a(g744 +VPIC X(33) +p24737 +tp24738 +a(g822 +g958 +tp24739 +a(g781 +VVALUE +p24740 +tp24741 +a(g152 +V"IFACTORIAL" +p24742 +tp24743 +a(g701 +g965 +tp24744 +a(g822 +V\u000a +p24745 +tp24746 +a(g7 +V +p24747 +tp24748 +a(g822 +V +p24749 +tp24750 +a(g32 +V05 +p24751 +tp24752 +a(g781 +VFILLER +p24753 +tp24754 +a(g822 +g958 +tp24755 +a(g744 +VPIC X(33) +p24756 +tp24757 +a(g822 +g958 +tp24758 +a(g781 +VVALUE +p24759 +tp24760 +a(g152 +V" FACTORY" +p24761 +tp24762 +a(g701 +g965 +tp24763 +a(g822 +V\u000a +p24764 +tp24765 +a(g7 +V +p24766 +tp24767 +a(g822 +V +p24768 +tp24769 +a(g32 +V05 +p24770 +tp24771 +a(g781 +VFILLER +p24772 +tp24773 +a(g822 +g958 +tp24774 +a(g744 +VPIC X(33) +p24775 +tp24776 +a(g822 +g958 +tp24777 +a(g781 +VVALUE +p24778 +tp24779 +a(g152 +V" FALSE" +p24780 +tp24781 +a(g701 +g965 +tp24782 +a(g822 +V\u000a +p24783 +tp24784 +a(g7 +V +p24785 +tp24786 +a(g822 +V +p24787 +tp24788 +a(g32 +V05 +p24789 +tp24790 +a(g781 +VFILLER +p24791 +tp24792 +a(g822 +g958 +tp24793 +a(g744 +VPIC X(33) +p24794 +tp24795 +a(g822 +g958 +tp24796 +a(g781 +VVALUE +p24797 +tp24798 +a(g152 +V"KFD" +p24799 +tp24800 +a(g701 +g965 +tp24801 +a(g822 +V\u000a +p24802 +tp24803 +a(g7 +V +p24804 +tp24805 +a(g822 +V +p24806 +tp24807 +a(g32 +V05 +p24808 +tp24809 +a(g781 +VFILLER +p24810 +tp24811 +a(g822 +g958 +tp24812 +a(g744 +VPIC X(33) +p24813 +tp24814 +a(g822 +g958 +tp24815 +a(g781 +VVALUE +p24816 +tp24817 +a(g152 +V"KFILE" +p24818 +tp24819 +a(g701 +g965 +tp24820 +a(g822 +V\u000a +p24821 +tp24822 +a(g7 +V +p24823 +tp24824 +a(g822 +V +p24825 +tp24826 +a(g32 +V05 +p24827 +tp24828 +a(g781 +VFILLER +p24829 +tp24830 +a(g822 +g958 +tp24831 +a(g744 +VPIC X(33) +p24832 +tp24833 +a(g822 +g958 +tp24834 +a(g781 +VVALUE +p24835 +tp24836 +a(g152 +V" FILE-CONTROL" +p24837 +tp24838 +a(g701 +g965 +tp24839 +a(g822 +V\u000a +p24840 +tp24841 +a(g7 +V +p24842 +tp24843 +a(g822 +V +p24844 +tp24845 +a(g32 +V05 +p24846 +tp24847 +a(g781 +VFILLER +p24848 +tp24849 +a(g822 +g958 +tp24850 +a(g744 +VPIC X(33) +p24851 +tp24852 +a(g822 +g958 +tp24853 +a(g781 +VVALUE +p24854 +tp24855 +a(g152 +V" FILE-ID" +p24856 +tp24857 +a(g701 +g965 +tp24858 +a(g822 +V\u000a +p24859 +tp24860 +a(g7 +V +p24861 +tp24862 +a(g822 +V +p24863 +tp24864 +a(g32 +V05 +p24865 +tp24866 +a(g781 +VFILLER +p24867 +tp24868 +a(g822 +g958 +tp24869 +a(g744 +VPIC X(33) +p24870 +tp24871 +a(g822 +g958 +tp24872 +a(g781 +VVALUE +p24873 +tp24874 +a(g152 +V" FILLER" +p24875 +tp24876 +a(g701 +g965 +tp24877 +a(g822 +V\u000a +p24878 +tp24879 +a(g7 +V +p24880 +tp24881 +a(g822 +V +p24882 +tp24883 +a(g32 +V05 +p24884 +tp24885 +a(g781 +VFILLER +p24886 +tp24887 +a(g822 +g958 +tp24888 +a(g744 +VPIC X(33) +p24889 +tp24890 +a(g822 +g958 +tp24891 +a(g781 +VVALUE +p24892 +tp24893 +a(g152 +V" FINAL" +p24894 +tp24895 +a(g701 +g965 +tp24896 +a(g822 +V\u000a +p24897 +tp24898 +a(g7 +V +p24899 +tp24900 +a(g822 +V +p24901 +tp24902 +a(g32 +V05 +p24903 +tp24904 +a(g781 +VFILLER +p24905 +tp24906 +a(g822 +g958 +tp24907 +a(g744 +VPIC X(33) +p24908 +tp24909 +a(g822 +g958 +tp24910 +a(g781 +VVALUE +p24911 +tp24912 +a(g152 +V" FIRST" +p24913 +tp24914 +a(g701 +g965 +tp24915 +a(g822 +V\u000a +p24916 +tp24917 +a(g7 +V +p24918 +tp24919 +a(g822 +V +p24920 +tp24921 +a(g32 +V05 +p24922 +tp24923 +a(g781 +VFILLER +p24924 +tp24925 +a(g822 +g958 +tp24926 +a(g744 +VPIC X(33) +p24927 +tp24928 +a(g822 +g958 +tp24929 +a(g781 +VVALUE +p24930 +tp24931 +a(g152 +V" FLOAT-BINARY-16" +p24932 +tp24933 +a(g701 +g965 +tp24934 +a(g822 +V\u000a +p24935 +tp24936 +a(g7 +V +p24937 +tp24938 +a(g822 +V +p24939 +tp24940 +a(g32 +V05 +p24941 +tp24942 +a(g781 +VFILLER +p24943 +tp24944 +a(g822 +g958 +tp24945 +a(g744 +VPIC X(33) +p24946 +tp24947 +a(g822 +g958 +tp24948 +a(g781 +VVALUE +p24949 +tp24950 +a(g152 +V" FLOAT-BINARY-34" +p24951 +tp24952 +a(g701 +g965 +tp24953 +a(g822 +V\u000a +p24954 +tp24955 +a(g7 +V +p24956 +tp24957 +a(g822 +V +p24958 +tp24959 +a(g32 +V05 +p24960 +tp24961 +a(g781 +VFILLER +p24962 +tp24963 +a(g822 +g958 +tp24964 +a(g744 +VPIC X(33) +p24965 +tp24966 +a(g822 +g958 +tp24967 +a(g781 +VVALUE +p24968 +tp24969 +a(g152 +V" FLOAT-BINARY-7" +p24970 +tp24971 +a(g701 +g965 +tp24972 +a(g822 +V\u000a +p24973 +tp24974 +a(g7 +V +p24975 +tp24976 +a(g822 +V +p24977 +tp24978 +a(g32 +V05 +p24979 +tp24980 +a(g781 +VFILLER +p24981 +tp24982 +a(g822 +g958 +tp24983 +a(g744 +VPIC X(33) +p24984 +tp24985 +a(g822 +g958 +tp24986 +a(g781 +VVALUE +p24987 +tp24988 +a(g152 +V" FLOAT-DECIMAL-16" +p24989 +tp24990 +a(g701 +g965 +tp24991 +a(g822 +V\u000a +p24992 +tp24993 +a(g7 +V +p24994 +tp24995 +a(g822 +V +p24996 +tp24997 +a(g32 +V05 +p24998 +tp24999 +a(g781 +VFILLER +p25000 +tp25001 +a(g822 +g958 +tp25002 +a(g744 +VPIC X(33) +p25003 +tp25004 +a(g822 +g958 +tp25005 +a(g781 +VVALUE +p25006 +tp25007 +a(g152 +V" FLOAT-DECIMAL-34" +p25008 +tp25009 +a(g701 +g965 +tp25010 +a(g822 +V\u000a +p25011 +tp25012 +a(g7 +V +p25013 +tp25014 +a(g822 +V +p25015 +tp25016 +a(g32 +V05 +p25017 +tp25018 +a(g781 +VFILLER +p25019 +tp25020 +a(g822 +g958 +tp25021 +a(g744 +VPIC X(33) +p25022 +tp25023 +a(g822 +g958 +tp25024 +a(g781 +VVALUE +p25025 +tp25026 +a(g152 +V" FLOAT-EXTENDED" +p25027 +tp25028 +a(g701 +g965 +tp25029 +a(g822 +V\u000a +p25030 +tp25031 +a(g7 +V +p25032 +tp25033 +a(g822 +V +p25034 +tp25035 +a(g32 +V05 +p25036 +tp25037 +a(g781 +VFILLER +p25038 +tp25039 +a(g822 +g958 +tp25040 +a(g744 +VPIC X(33) +p25041 +tp25042 +a(g822 +g958 +tp25043 +a(g781 +VVALUE +p25044 +tp25045 +a(g152 +V" FLOAT-LONG" +p25046 +tp25047 +a(g701 +g965 +tp25048 +a(g822 +V\u000a +p25049 +tp25050 +a(g7 +V +p25051 +tp25052 +a(g822 +V +p25053 +tp25054 +a(g32 +V05 +p25055 +tp25056 +a(g781 +VFILLER +p25057 +tp25058 +a(g822 +g958 +tp25059 +a(g744 +VPIC X(33) +p25060 +tp25061 +a(g822 +g958 +tp25062 +a(g781 +VVALUE +p25063 +tp25064 +a(g152 +V" FLOAT-SHORT" +p25065 +tp25066 +a(g701 +g965 +tp25067 +a(g822 +V\u000a +p25068 +tp25069 +a(g7 +V +p25070 +tp25071 +a(g822 +V +p25072 +tp25073 +a(g32 +V05 +p25074 +tp25075 +a(g781 +VFILLER +p25076 +tp25077 +a(g822 +g958 +tp25078 +a(g744 +VPIC X(33) +p25079 +tp25080 +a(g822 +g958 +tp25081 +a(g781 +VVALUE +p25082 +tp25083 +a(g152 +V" FOOTING" +p25084 +tp25085 +a(g701 +g965 +tp25086 +a(g822 +V\u000a +p25087 +tp25088 +a(g7 +V +p25089 +tp25090 +a(g822 +V +p25091 +tp25092 +a(g32 +V05 +p25093 +tp25094 +a(g781 +VFILLER +p25095 +tp25096 +a(g822 +g958 +tp25097 +a(g744 +VPIC X(33) +p25098 +tp25099 +a(g822 +g958 +tp25100 +a(g781 +VVALUE +p25101 +tp25102 +a(g152 +V" FOR" +p25103 +tp25104 +a(g701 +g965 +tp25105 +a(g822 +V\u000a +p25106 +tp25107 +a(g7 +V +p25108 +tp25109 +a(g822 +V +p25110 +tp25111 +a(g32 +V05 +p25112 +tp25113 +a(g781 +VFILLER +p25114 +tp25115 +a(g822 +g958 +tp25116 +a(g744 +VPIC X(33) +p25117 +tp25118 +a(g822 +g958 +tp25119 +a(g781 +VVALUE +p25120 +tp25121 +a(g152 +V" FOREGROUND-COLOR" +p25122 +tp25123 +a(g701 +g965 +tp25124 +a(g822 +V\u000a +p25125 +tp25126 +a(g7 +V +p25127 +tp25128 +a(g822 +V +p25129 +tp25130 +a(g32 +V05 +p25131 +tp25132 +a(g781 +VFILLER +p25133 +tp25134 +a(g822 +g958 +tp25135 +a(g744 +VPIC X(33) +p25136 +tp25137 +a(g822 +g958 +tp25138 +a(g781 +VVALUE +p25139 +tp25140 +a(g152 +V" FOREVER" +p25141 +tp25142 +a(g701 +g965 +tp25143 +a(g822 +V\u000a +p25144 +tp25145 +a(g7 +V +p25146 +tp25147 +a(g822 +V +p25148 +tp25149 +a(g32 +V05 +p25150 +tp25151 +a(g781 +VFILLER +p25152 +tp25153 +a(g822 +g958 +tp25154 +a(g744 +VPIC X(33) +p25155 +tp25156 +a(g822 +g958 +tp25157 +a(g781 +VVALUE +p25158 +tp25159 +a(g152 +V" FORMAT" +p25160 +tp25161 +a(g701 +g965 +tp25162 +a(g822 +V\u000a +p25163 +tp25164 +a(g7 +V +p25165 +tp25166 +a(g822 +V +p25167 +tp25168 +a(g32 +V05 +p25169 +tp25170 +a(g781 +VFILLER +p25171 +tp25172 +a(g822 +g958 +tp25173 +a(g744 +VPIC X(33) +p25174 +tp25175 +a(g822 +g958 +tp25176 +a(g781 +VVALUE +p25177 +tp25178 +a(g152 +V"MFORMFEED" +p25179 +tp25180 +a(g701 +g965 +tp25181 +a(g822 +V\u000a +p25182 +tp25183 +a(g7 +V +p25184 +tp25185 +a(g822 +V +p25186 +tp25187 +a(g32 +V05 +p25188 +tp25189 +a(g781 +VFILLER +p25190 +tp25191 +a(g822 +g958 +tp25192 +a(g744 +VPIC X(33) +p25193 +tp25194 +a(g822 +g958 +tp25195 +a(g781 +VVALUE +p25196 +tp25197 +a(g152 +V"IFRACTION-PART" +p25198 +tp25199 +a(g701 +g965 +tp25200 +a(g822 +V\u000a +p25201 +tp25202 +a(g7 +V +p25203 +tp25204 +a(g822 +V +p25205 +tp25206 +a(g32 +V05 +p25207 +tp25208 +a(g781 +VFILLER +p25209 +tp25210 +a(g822 +g958 +tp25211 +a(g744 +VPIC X(33) +p25212 +tp25213 +a(g822 +g958 +tp25214 +a(g781 +VVALUE +p25215 +tp25216 +a(g152 +V"VFREE" +p25217 +tp25218 +a(g701 +g965 +tp25219 +a(g822 +V\u000a +p25220 +tp25221 +a(g7 +V +p25222 +tp25223 +a(g822 +V +p25224 +tp25225 +a(g32 +V05 +p25226 +tp25227 +a(g781 +VFILLER +p25228 +tp25229 +a(g822 +g958 +tp25230 +a(g744 +VPIC X(33) +p25231 +tp25232 +a(g822 +g958 +tp25233 +a(g781 +VVALUE +p25234 +tp25235 +a(g152 +V" FROM" +p25236 +tp25237 +a(g701 +g965 +tp25238 +a(g822 +V\u000a +p25239 +tp25240 +a(g7 +V +p25241 +tp25242 +a(g822 +V +p25243 +tp25244 +a(g32 +V05 +p25245 +tp25246 +a(g781 +VFILLER +p25247 +tp25248 +a(g822 +g958 +tp25249 +a(g744 +VPIC X(33) +p25250 +tp25251 +a(g822 +g958 +tp25252 +a(g781 +VVALUE +p25253 +tp25254 +a(g152 +V" FULL" +p25255 +tp25256 +a(g701 +g965 +tp25257 +a(g822 +V\u000a +p25258 +tp25259 +a(g7 +V +p25260 +tp25261 +a(g822 +V +p25262 +tp25263 +a(g32 +V05 +p25264 +tp25265 +a(g781 +VFILLER +p25266 +tp25267 +a(g822 +g958 +tp25268 +a(g744 +VPIC X(33) +p25269 +tp25270 +a(g822 +g958 +tp25271 +a(g781 +VVALUE +p25272 +tp25273 +a(g152 +V" FUNCTION" +p25274 +tp25275 +a(g701 +g965 +tp25276 +a(g822 +V\u000a +p25277 +tp25278 +a(g7 +V +p25279 +tp25280 +a(g822 +V +p25281 +tp25282 +a(g32 +V05 +p25283 +tp25284 +a(g781 +VFILLER +p25285 +tp25286 +a(g822 +g958 +tp25287 +a(g744 +VPIC X(33) +p25288 +tp25289 +a(g822 +g958 +tp25290 +a(g781 +VVALUE +p25291 +tp25292 +a(g152 +V" FUNCTION-ID" +p25293 +tp25294 +a(g701 +g965 +tp25295 +a(g822 +V\u000a +p25296 +tp25297 +a(g7 +V +p25298 +tp25299 +a(g822 +V +p25300 +tp25301 +a(g32 +V05 +p25302 +tp25303 +a(g781 +VFILLER +p25304 +tp25305 +a(g822 +g958 +tp25306 +a(g744 +VPIC X(33) +p25307 +tp25308 +a(g822 +g958 +tp25309 +a(g781 +VVALUE +p25310 +tp25311 +a(g152 +V" FUNCTION-POINTER" +p25312 +tp25313 +a(g701 +g965 +tp25314 +a(g822 +V\u000a +p25315 +tp25316 +a(g7 +V +p25317 +tp25318 +a(g822 +V +p25319 +tp25320 +a(g32 +V05 +p25321 +tp25322 +a(g781 +VFILLER +p25323 +tp25324 +a(g822 +g958 +tp25325 +a(g744 +VPIC X(33) +p25326 +tp25327 +a(g822 +g958 +tp25328 +a(g781 +VVALUE +p25329 +tp25330 +a(g152 +V"VGENERATE" +p25331 +tp25332 +a(g701 +g965 +tp25333 +a(g822 +V\u000a +p25334 +tp25335 +a(g7 +V +p25336 +tp25337 +a(g822 +V +p25338 +tp25339 +a(g32 +V05 +p25340 +tp25341 +a(g781 +VFILLER +p25342 +tp25343 +a(g822 +g958 +tp25344 +a(g744 +VPIC X(33) +p25345 +tp25346 +a(g822 +g958 +tp25347 +a(g781 +VVALUE +p25348 +tp25349 +a(g152 +V" GET" +p25350 +tp25351 +a(g701 +g965 +tp25352 +a(g822 +V\u000a +p25353 +tp25354 +a(g7 +V +p25355 +tp25356 +a(g822 +V +p25357 +tp25358 +a(g32 +V05 +p25359 +tp25360 +a(g781 +VFILLER +p25361 +tp25362 +a(g822 +g958 +tp25363 +a(g744 +VPIC X(33) +p25364 +tp25365 +a(g822 +g958 +tp25366 +a(g781 +VVALUE +p25367 +tp25368 +a(g152 +V"KGIVING" +p25369 +tp25370 +a(g701 +g965 +tp25371 +a(g822 +V\u000a +p25372 +tp25373 +a(g7 +V +p25374 +tp25375 +a(g822 +V +p25376 +tp25377 +a(g32 +V05 +p25378 +tp25379 +a(g781 +VFILLER +p25380 +tp25381 +a(g822 +g958 +tp25382 +a(g744 +VPIC X(33) +p25383 +tp25384 +a(g822 +g958 +tp25385 +a(g781 +VVALUE +p25386 +tp25387 +a(g152 +V" GLOBAL" +p25388 +tp25389 +a(g701 +g965 +tp25390 +a(g822 +V\u000a +p25391 +tp25392 +a(g7 +V +p25393 +tp25394 +a(g822 +V +p25395 +tp25396 +a(g32 +V05 +p25397 +tp25398 +a(g781 +VFILLER +p25399 +tp25400 +a(g822 +g958 +tp25401 +a(g744 +VPIC X(33) +p25402 +tp25403 +a(g822 +g958 +tp25404 +a(g781 +VVALUE +p25405 +tp25406 +a(g152 +V"VGO" +p25407 +tp25408 +a(g701 +g965 +tp25409 +a(g822 +V\u000a +p25410 +tp25411 +a(g7 +V +p25412 +tp25413 +a(g822 +V +p25414 +tp25415 +a(g32 +V05 +p25416 +tp25417 +a(g781 +VFILLER +p25418 +tp25419 +a(g822 +g958 +tp25420 +a(g744 +VPIC X(33) +p25421 +tp25422 +a(g822 +g958 +tp25423 +a(g781 +VVALUE +p25424 +tp25425 +a(g152 +V"VGOBACK" +p25426 +tp25427 +a(g701 +g965 +tp25428 +a(g822 +V\u000a +p25429 +tp25430 +a(g7 +V +p25431 +tp25432 +a(g822 +V +p25433 +tp25434 +a(g32 +V05 +p25435 +tp25436 +a(g781 +VFILLER +p25437 +tp25438 +a(g822 +g958 +tp25439 +a(g744 +VPIC X(33) +p25440 +tp25441 +a(g822 +g958 +tp25442 +a(g781 +VVALUE +p25443 +tp25444 +a(g152 +V" GREATER" +p25445 +tp25446 +a(g701 +g965 +tp25447 +a(g822 +V\u000a +p25448 +tp25449 +a(g7 +V +p25450 +tp25451 +a(g822 +V +p25452 +tp25453 +a(g32 +V05 +p25454 +tp25455 +a(g781 +VFILLER +p25456 +tp25457 +a(g822 +g958 +tp25458 +a(g744 +VPIC X(33) +p25459 +tp25460 +a(g822 +g958 +tp25461 +a(g781 +VVALUE +p25462 +tp25463 +a(g152 +V" GROUP" +p25464 +tp25465 +a(g701 +g965 +tp25466 +a(g822 +V\u000a +p25467 +tp25468 +a(g7 +V +p25469 +tp25470 +a(g822 +V +p25471 +tp25472 +a(g32 +V05 +p25473 +tp25474 +a(g781 +VFILLER +p25475 +tp25476 +a(g822 +g958 +tp25477 +a(g744 +VPIC X(33) +p25478 +tp25479 +a(g822 +g958 +tp25480 +a(g781 +VVALUE +p25481 +tp25482 +a(g152 +V" GROUP-USAGE" +p25483 +tp25484 +a(g701 +g965 +tp25485 +a(g822 +V\u000a +p25486 +tp25487 +a(g7 +V +p25488 +tp25489 +a(g822 +V +p25490 +tp25491 +a(g32 +V05 +p25492 +tp25493 +a(g781 +VFILLER +p25494 +tp25495 +a(g822 +g958 +tp25496 +a(g744 +VPIC X(33) +p25497 +tp25498 +a(g822 +g958 +tp25499 +a(g781 +VVALUE +p25500 +tp25501 +a(g152 +V" HEADING" +p25502 +tp25503 +a(g701 +g965 +tp25504 +a(g822 +V\u000a +p25505 +tp25506 +a(g7 +V +p25507 +tp25508 +a(g822 +V +p25509 +tp25510 +a(g32 +V05 +p25511 +tp25512 +a(g781 +VFILLER +p25513 +tp25514 +a(g822 +g958 +tp25515 +a(g744 +VPIC X(33) +p25516 +tp25517 +a(g822 +g958 +tp25518 +a(g781 +VVALUE +p25519 +tp25520 +a(g152 +V" HIGH-VALUE" +p25521 +tp25522 +a(g701 +g965 +tp25523 +a(g822 +V\u000a +p25524 +tp25525 +a(g7 +V +p25526 +tp25527 +a(g822 +V +p25528 +tp25529 +a(g32 +V05 +p25530 +tp25531 +a(g781 +VFILLER +p25532 +tp25533 +a(g822 +g958 +tp25534 +a(g744 +VPIC X(33) +p25535 +tp25536 +a(g822 +g958 +tp25537 +a(g781 +VVALUE +p25538 +tp25539 +a(g152 +V" HIGH-VALUES" +p25540 +tp25541 +a(g701 +g965 +tp25542 +a(g822 +V\u000a +p25543 +tp25544 +a(g7 +V +p25545 +tp25546 +a(g822 +V +p25547 +tp25548 +a(g32 +V05 +p25549 +tp25550 +a(g781 +VFILLER +p25551 +tp25552 +a(g822 +g958 +tp25553 +a(g744 +VPIC X(33) +p25554 +tp25555 +a(g822 +g958 +tp25556 +a(g781 +VVALUE +p25557 +tp25558 +a(g152 +V" HIGHLIGHT" +p25559 +tp25560 +a(g701 +g965 +tp25561 +a(g822 +V\u000a +p25562 +tp25563 +a(g7 +V +p25564 +tp25565 +a(g822 +V +p25566 +tp25567 +a(g32 +V05 +p25568 +tp25569 +a(g781 +VFILLER +p25570 +tp25571 +a(g822 +g958 +tp25572 +a(g744 +VPIC X(33) +p25573 +tp25574 +a(g822 +g958 +tp25575 +a(g781 +VVALUE +p25576 +tp25577 +a(g152 +V" I-O" +p25578 +tp25579 +a(g701 +g965 +tp25580 +a(g822 +V\u000a +p25581 +tp25582 +a(g7 +V +p25583 +tp25584 +a(g822 +V +p25585 +tp25586 +a(g32 +V05 +p25587 +tp25588 +a(g781 +VFILLER +p25589 +tp25590 +a(g822 +g958 +tp25591 +a(g744 +VPIC X(33) +p25592 +tp25593 +a(g822 +g958 +tp25594 +a(g781 +VVALUE +p25595 +tp25596 +a(g152 +V" I-O-CONTROL" +p25597 +tp25598 +a(g701 +g965 +tp25599 +a(g822 +V\u000a +p25600 +tp25601 +a(g7 +V +p25602 +tp25603 +a(g822 +V +p25604 +tp25605 +a(g32 +V05 +p25606 +tp25607 +a(g781 +VFILLER +p25608 +tp25609 +a(g822 +g958 +tp25610 +a(g744 +VPIC X(33) +p25611 +tp25612 +a(g822 +g958 +tp25613 +a(g781 +VVALUE +p25614 +tp25615 +a(g152 +V"KID" +p25616 +tp25617 +a(g701 +g965 +tp25618 +a(g822 +V\u000a +p25619 +tp25620 +a(g7 +V +p25621 +tp25622 +a(g822 +V +p25623 +tp25624 +a(g32 +V05 +p25625 +tp25626 +a(g781 +VFILLER +p25627 +tp25628 +a(g822 +g958 +tp25629 +a(g744 +VPIC X(33) +p25630 +tp25631 +a(g822 +g958 +tp25632 +a(g781 +VVALUE +p25633 +tp25634 +a(g152 +V"KIDENTIFICATION" +p25635 +tp25636 +a(g701 +g965 +tp25637 +a(g822 +V\u000a +p25638 +tp25639 +a(g7 +V +p25640 +tp25641 +a(g822 +V +p25642 +tp25643 +a(g32 +V05 +p25644 +tp25645 +a(g781 +VFILLER +p25646 +tp25647 +a(g822 +g958 +tp25648 +a(g744 +VPIC X(33) +p25649 +tp25650 +a(g822 +g958 +tp25651 +a(g781 +VVALUE +p25652 +tp25653 +a(g152 +V"VIF" +p25654 +tp25655 +a(g701 +g965 +tp25656 +a(g822 +V\u000a +p25657 +tp25658 +a(g7 +V +p25659 +tp25660 +a(g822 +V +p25661 +tp25662 +a(g32 +V05 +p25663 +tp25664 +a(g781 +VFILLER +p25665 +tp25666 +a(g822 +g958 +tp25667 +a(g744 +VPIC X(33) +p25668 +tp25669 +a(g822 +g958 +tp25670 +a(g781 +VVALUE +p25671 +tp25672 +a(g152 +V" IGNORE" +p25673 +tp25674 +a(g701 +g965 +tp25675 +a(g822 +V\u000a +p25676 +tp25677 +a(g7 +V +p25678 +tp25679 +a(g822 +V +p25680 +tp25681 +a(g32 +V05 +p25682 +tp25683 +a(g781 +VFILLER +p25684 +tp25685 +a(g822 +g958 +tp25686 +a(g744 +VPIC X(33) +p25687 +tp25688 +a(g822 +g958 +tp25689 +a(g781 +VVALUE +p25690 +tp25691 +a(g152 +V" IGNORING" +p25692 +tp25693 +a(g701 +g965 +tp25694 +a(g822 +V\u000a +p25695 +tp25696 +a(g7 +V +p25697 +tp25698 +a(g822 +V +p25699 +tp25700 +a(g32 +V05 +p25701 +tp25702 +a(g781 +VFILLER +p25703 +tp25704 +a(g822 +g958 +tp25705 +a(g744 +VPIC X(33) +p25706 +tp25707 +a(g822 +g958 +tp25708 +a(g781 +VVALUE +p25709 +tp25710 +a(g152 +V" IN" +p25711 +tp25712 +a(g701 +g965 +tp25713 +a(g822 +V\u000a +p25714 +tp25715 +a(g7 +V +p25716 +tp25717 +a(g822 +V +p25718 +tp25719 +a(g32 +V05 +p25720 +tp25721 +a(g781 +VFILLER +p25722 +tp25723 +a(g822 +g958 +tp25724 +a(g744 +VPIC X(33) +p25725 +tp25726 +a(g822 +g958 +tp25727 +a(g781 +VVALUE +p25728 +tp25729 +a(g152 +V" INDEX" +p25730 +tp25731 +a(g701 +g965 +tp25732 +a(g822 +V\u000a +p25733 +tp25734 +a(g7 +V +p25735 +tp25736 +a(g822 +V +p25737 +tp25738 +a(g32 +V05 +p25739 +tp25740 +a(g781 +VFILLER +p25741 +tp25742 +a(g822 +g958 +tp25743 +a(g744 +VPIC X(33) +p25744 +tp25745 +a(g822 +g958 +tp25746 +a(g781 +VVALUE +p25747 +tp25748 +a(g152 +V"KINDEXED" +p25749 +tp25750 +a(g701 +g965 +tp25751 +a(g822 +V\u000a +p25752 +tp25753 +a(g7 +V +p25754 +tp25755 +a(g822 +V +p25756 +tp25757 +a(g32 +V05 +p25758 +tp25759 +a(g781 +VFILLER +p25760 +tp25761 +a(g822 +g958 +tp25762 +a(g744 +VPIC X(33) +p25763 +tp25764 +a(g822 +g958 +tp25765 +a(g781 +VVALUE +p25766 +tp25767 +a(g152 +V" INDICATE" +p25768 +tp25769 +a(g701 +g965 +tp25770 +a(g822 +V\u000a +p25771 +tp25772 +a(g7 +V +p25773 +tp25774 +a(g822 +V +p25775 +tp25776 +a(g32 +V05 +p25777 +tp25778 +a(g781 +VFILLER +p25779 +tp25780 +a(g822 +g958 +tp25781 +a(g744 +VPIC X(33) +p25782 +tp25783 +a(g822 +g958 +tp25784 +a(g781 +VVALUE +p25785 +tp25786 +a(g152 +V" INFINITY" +p25787 +tp25788 +a(g701 +g965 +tp25789 +a(g822 +V\u000a +p25790 +tp25791 +a(g7 +V +p25792 +tp25793 +a(g822 +V +p25794 +tp25795 +a(g32 +V05 +p25796 +tp25797 +a(g781 +VFILLER +p25798 +tp25799 +a(g822 +g958 +tp25800 +a(g744 +VPIC X(33) +p25801 +tp25802 +a(g822 +g958 +tp25803 +a(g781 +VVALUE +p25804 +tp25805 +a(g152 +V" INHERITS" +p25806 +tp25807 +a(g701 +g965 +tp25808 +a(g822 +V\u000a +p25809 +tp25810 +a(g7 +V +p25811 +tp25812 +a(g822 +V +p25813 +tp25814 +a(g32 +V05 +p25815 +tp25816 +a(g781 +VFILLER +p25817 +tp25818 +a(g822 +g958 +tp25819 +a(g744 +VPIC X(33) +p25820 +tp25821 +a(g822 +g958 +tp25822 +a(g781 +VVALUE +p25823 +tp25824 +a(g152 +V" INITIAL" +p25825 +tp25826 +a(g701 +g965 +tp25827 +a(g822 +V\u000a +p25828 +tp25829 +a(g7 +V +p25830 +tp25831 +a(g822 +V +p25832 +tp25833 +a(g32 +V05 +p25834 +tp25835 +a(g781 +VFILLER +p25836 +tp25837 +a(g822 +g958 +tp25838 +a(g744 +VPIC X(33) +p25839 +tp25840 +a(g822 +g958 +tp25841 +a(g781 +VVALUE +p25842 +tp25843 +a(g152 +V" INITIALISED" +p25844 +tp25845 +a(g701 +g965 +tp25846 +a(g822 +V\u000a +p25847 +tp25848 +a(g7 +V +p25849 +tp25850 +a(g822 +V +p25851 +tp25852 +a(g32 +V05 +p25853 +tp25854 +a(g781 +VFILLER +p25855 +tp25856 +a(g822 +g958 +tp25857 +a(g744 +VPIC X(33) +p25858 +tp25859 +a(g822 +g958 +tp25860 +a(g781 +VVALUE +p25861 +tp25862 +a(g152 +V"VINITIALIZE" +p25863 +tp25864 +a(g701 +g965 +tp25865 +a(g822 +V\u000a +p25866 +tp25867 +a(g7 +V +p25868 +tp25869 +a(g822 +V +p25870 +tp25871 +a(g32 +V05 +p25872 +tp25873 +a(g781 +VFILLER +p25874 +tp25875 +a(g822 +g958 +tp25876 +a(g744 +VPIC X(33) +p25877 +tp25878 +a(g822 +g958 +tp25879 +a(g781 +VVALUE +p25880 +tp25881 +a(g152 +V" INITIALIZED" +p25882 +tp25883 +a(g701 +g965 +tp25884 +a(g822 +V\u000a +p25885 +tp25886 +a(g7 +V +p25887 +tp25888 +a(g822 +V +p25889 +tp25890 +a(g32 +V05 +p25891 +tp25892 +a(g781 +VFILLER +p25893 +tp25894 +a(g822 +g958 +tp25895 +a(g744 +VPIC X(33) +p25896 +tp25897 +a(g822 +g958 +tp25898 +a(g781 +VVALUE +p25899 +tp25900 +a(g152 +V"VINITIATE" +p25901 +tp25902 +a(g701 +g965 +tp25903 +a(g822 +V\u000a +p25904 +tp25905 +a(g7 +V +p25906 +tp25907 +a(g822 +V +p25908 +tp25909 +a(g32 +V05 +p25910 +tp25911 +a(g781 +VFILLER +p25912 +tp25913 +a(g822 +g958 +tp25914 +a(g744 +VPIC X(33) +p25915 +tp25916 +a(g822 +g958 +tp25917 +a(g781 +VVALUE +p25918 +tp25919 +a(g152 +V" INPUT" +p25920 +tp25921 +a(g701 +g965 +tp25922 +a(g822 +V\u000a +p25923 +tp25924 +a(g7 +V +p25925 +tp25926 +a(g822 +V +p25927 +tp25928 +a(g32 +V05 +p25929 +tp25930 +a(g781 +VFILLER +p25931 +tp25932 +a(g822 +g958 +tp25933 +a(g744 +VPIC X(33) +p25934 +tp25935 +a(g822 +g958 +tp25936 +a(g781 +VVALUE +p25937 +tp25938 +a(g152 +V"KINPUT-OUTPUT" +p25939 +tp25940 +a(g701 +g965 +tp25941 +a(g822 +V\u000a +p25942 +tp25943 +a(g7 +V +p25944 +tp25945 +a(g822 +V +p25946 +tp25947 +a(g32 +V05 +p25948 +tp25949 +a(g781 +VFILLER +p25950 +tp25951 +a(g822 +g958 +tp25952 +a(g744 +VPIC X(33) +p25953 +tp25954 +a(g822 +g958 +tp25955 +a(g781 +VVALUE +p25956 +tp25957 +a(g152 +V"VINSPECT" +p25958 +tp25959 +a(g701 +g965 +tp25960 +a(g822 +V\u000a +p25961 +tp25962 +a(g7 +V +p25963 +tp25964 +a(g822 +V +p25965 +tp25966 +a(g32 +V05 +p25967 +tp25968 +a(g781 +VFILLER +p25969 +tp25970 +a(g822 +g958 +tp25971 +a(g744 +VPIC X(33) +p25972 +tp25973 +a(g822 +g958 +tp25974 +a(g781 +VVALUE +p25975 +tp25976 +a(g152 +V" INSTALLATION" +p25977 +tp25978 +a(g701 +g965 +tp25979 +a(g822 +V\u000a +p25980 +tp25981 +a(g7 +V +p25982 +tp25983 +a(g822 +V +p25984 +tp25985 +a(g32 +V05 +p25986 +tp25987 +a(g781 +VFILLER +p25988 +tp25989 +a(g822 +g958 +tp25990 +a(g744 +VPIC X(33) +p25991 +tp25992 +a(g822 +g958 +tp25993 +a(g781 +VVALUE +p25994 +tp25995 +a(g152 +V"IINTEGER" +p25996 +tp25997 +a(g701 +g965 +tp25998 +a(g822 +V\u000a +p25999 +tp26000 +a(g7 +V +p26001 +tp26002 +a(g822 +V +p26003 +tp26004 +a(g32 +V05 +p26005 +tp26006 +a(g781 +VFILLER +p26007 +tp26008 +a(g822 +g958 +tp26009 +a(g744 +VPIC X(33) +p26010 +tp26011 +a(g822 +g958 +tp26012 +a(g781 +VVALUE +p26013 +tp26014 +a(g152 +V"IINTEGER-OF-DATE" +p26015 +tp26016 +a(g701 +g965 +tp26017 +a(g822 +V\u000a +p26018 +tp26019 +a(g7 +V +p26020 +tp26021 +a(g822 +V +p26022 +tp26023 +a(g32 +V05 +p26024 +tp26025 +a(g781 +VFILLER +p26026 +tp26027 +a(g822 +g958 +tp26028 +a(g744 +VPIC X(33) +p26029 +tp26030 +a(g822 +g958 +tp26031 +a(g781 +VVALUE +p26032 +tp26033 +a(g152 +V"IINTEGER-OF-DAY" +p26034 +tp26035 +a(g701 +g965 +tp26036 +a(g822 +V\u000a +p26037 +tp26038 +a(g7 +V +p26039 +tp26040 +a(g822 +V +p26041 +tp26042 +a(g32 +V05 +p26043 +tp26044 +a(g781 +VFILLER +p26045 +tp26046 +a(g822 +g958 +tp26047 +a(g744 +VPIC X(33) +p26048 +tp26049 +a(g822 +g958 +tp26050 +a(g781 +VVALUE +p26051 +tp26052 +a(g152 +V"IINTEGER-PART" +p26053 +tp26054 +a(g701 +g965 +tp26055 +a(g822 +V\u000a +p26056 +tp26057 +a(g7 +V +p26058 +tp26059 +a(g822 +V +p26060 +tp26061 +a(g32 +V05 +p26062 +tp26063 +a(g781 +VFILLER +p26064 +tp26065 +a(g822 +g958 +tp26066 +a(g744 +VPIC X(33) +p26067 +tp26068 +a(g822 +g958 +tp26069 +a(g781 +VVALUE +p26070 +tp26071 +a(g152 +V" INTERFACE" +p26072 +tp26073 +a(g701 +g965 +tp26074 +a(g822 +V\u000a +p26075 +tp26076 +a(g7 +V +p26077 +tp26078 +a(g822 +V +p26079 +tp26080 +a(g32 +V05 +p26081 +tp26082 +a(g781 +VFILLER +p26083 +tp26084 +a(g822 +g958 +tp26085 +a(g744 +VPIC X(33) +p26086 +tp26087 +a(g822 +g958 +tp26088 +a(g781 +VVALUE +p26089 +tp26090 +a(g152 +V" INTERFACE-ID" +p26091 +tp26092 +a(g701 +g965 +tp26093 +a(g822 +V\u000a +p26094 +tp26095 +a(g7 +V +p26096 +tp26097 +a(g822 +V +p26098 +tp26099 +a(g32 +V05 +p26100 +tp26101 +a(g781 +VFILLER +p26102 +tp26103 +a(g822 +g958 +tp26104 +a(g744 +VPIC X(33) +p26105 +tp26106 +a(g822 +g958 +tp26107 +a(g781 +VVALUE +p26108 +tp26109 +a(g152 +V"KINTO" +p26110 +tp26111 +a(g701 +g965 +tp26112 +a(g822 +V\u000a +p26113 +tp26114 +a(g7 +V +p26115 +tp26116 +a(g822 +V +p26117 +tp26118 +a(g32 +V05 +p26119 +tp26120 +a(g781 +VFILLER +p26121 +tp26122 +a(g822 +g958 +tp26123 +a(g744 +VPIC X(33) +p26124 +tp26125 +a(g822 +g958 +tp26126 +a(g781 +VVALUE +p26127 +tp26128 +a(g152 +V" INTRINSIC" +p26129 +tp26130 +a(g701 +g965 +tp26131 +a(g822 +V\u000a +p26132 +tp26133 +a(g7 +V +p26134 +tp26135 +a(g822 +V +p26136 +tp26137 +a(g32 +V05 +p26138 +tp26139 +a(g781 +VFILLER +p26140 +tp26141 +a(g822 +g958 +tp26142 +a(g744 +VPIC X(33) +p26143 +tp26144 +a(g822 +g958 +tp26145 +a(g781 +VVALUE +p26146 +tp26147 +a(g152 +V" INVALID" +p26148 +tp26149 +a(g701 +g965 +tp26150 +a(g822 +V\u000a +p26151 +tp26152 +a(g7 +V +p26153 +tp26154 +a(g822 +V +p26155 +tp26156 +a(g32 +V05 +p26157 +tp26158 +a(g781 +VFILLER +p26159 +tp26160 +a(g822 +g958 +tp26161 +a(g744 +VPIC X(33) +p26162 +tp26163 +a(g822 +g958 +tp26164 +a(g781 +VVALUE +p26165 +tp26166 +a(g152 +V" INVOKE" +p26167 +tp26168 +a(g701 +g965 +tp26169 +a(g822 +V\u000a +p26170 +tp26171 +a(g7 +V +p26172 +tp26173 +a(g822 +V +p26174 +tp26175 +a(g32 +V05 +p26176 +tp26177 +a(g781 +VFILLER +p26178 +tp26179 +a(g822 +g958 +tp26180 +a(g744 +VPIC X(33) +p26181 +tp26182 +a(g822 +g958 +tp26183 +a(g781 +VVALUE +p26184 +tp26185 +a(g152 +V" IS" +p26186 +tp26187 +a(g701 +g965 +tp26188 +a(g822 +V\u000a +p26189 +tp26190 +a(g7 +V +p26191 +tp26192 +a(g822 +V +p26193 +tp26194 +a(g32 +V05 +p26195 +tp26196 +a(g781 +VFILLER +p26197 +tp26198 +a(g822 +g958 +tp26199 +a(g744 +VPIC X(33) +p26200 +tp26201 +a(g822 +g958 +tp26202 +a(g781 +VVALUE +p26203 +tp26204 +a(g152 +V" JUST" +p26205 +tp26206 +a(g701 +g965 +tp26207 +a(g822 +V\u000a +p26208 +tp26209 +a(g7 +V +p26210 +tp26211 +a(g822 +V +p26212 +tp26213 +a(g32 +V05 +p26214 +tp26215 +a(g781 +VFILLER +p26216 +tp26217 +a(g822 +g958 +tp26218 +a(g744 +VPIC X(33) +p26219 +tp26220 +a(g822 +g958 +tp26221 +a(g781 +VVALUE +p26222 +tp26223 +a(g152 +V" JUSTIFIED" +p26224 +tp26225 +a(g701 +g965 +tp26226 +a(g822 +V\u000a +p26227 +tp26228 +a(g7 +V +p26229 +tp26230 +a(g822 +V +p26231 +tp26232 +a(g32 +V05 +p26233 +tp26234 +a(g781 +VFILLER +p26235 +tp26236 +a(g822 +g958 +tp26237 +a(g744 +VPIC X(33) +p26238 +tp26239 +a(g822 +g958 +tp26240 +a(g781 +VVALUE +p26241 +tp26242 +a(g152 +V" KEY" +p26243 +tp26244 +a(g701 +g965 +tp26245 +a(g822 +V\u000a +p26246 +tp26247 +a(g7 +V +p26248 +tp26249 +a(g822 +V +p26250 +tp26251 +a(g32 +V05 +p26252 +tp26253 +a(g781 +VFILLER +p26254 +tp26255 +a(g822 +g958 +tp26256 +a(g744 +VPIC X(33) +p26257 +tp26258 +a(g822 +g958 +tp26259 +a(g781 +VVALUE +p26260 +tp26261 +a(g152 +V" LABEL" +p26262 +tp26263 +a(g701 +g965 +tp26264 +a(g822 +V\u000a +p26265 +tp26266 +a(g7 +V +p26267 +tp26268 +a(g822 +V +p26269 +tp26270 +a(g32 +V05 +p26271 +tp26272 +a(g781 +VFILLER +p26273 +tp26274 +a(g822 +g958 +tp26275 +a(g744 +VPIC X(33) +p26276 +tp26277 +a(g822 +g958 +tp26278 +a(g781 +VVALUE +p26279 +tp26280 +a(g152 +V" LAST" +p26281 +tp26282 +a(g701 +g965 +tp26283 +a(g822 +V\u000a +p26284 +tp26285 +a(g7 +V +p26286 +tp26287 +a(g822 +V +p26288 +tp26289 +a(g32 +V05 +p26290 +tp26291 +a(g781 +VFILLER +p26292 +tp26293 +a(g822 +g958 +tp26294 +a(g744 +VPIC X(33) +p26295 +tp26296 +a(g822 +g958 +tp26297 +a(g781 +VVALUE +p26298 +tp26299 +a(g152 +V" LEADING" +p26300 +tp26301 +a(g701 +g965 +tp26302 +a(g822 +V\u000a +p26303 +tp26304 +a(g7 +V +p26305 +tp26306 +a(g822 +V +p26307 +tp26308 +a(g32 +V05 +p26309 +tp26310 +a(g781 +VFILLER +p26311 +tp26312 +a(g822 +g958 +tp26313 +a(g744 +VPIC X(33) +p26314 +tp26315 +a(g822 +g958 +tp26316 +a(g781 +VVALUE +p26317 +tp26318 +a(g152 +V" LEFT" +p26319 +tp26320 +a(g701 +g965 +tp26321 +a(g822 +V\u000a +p26322 +tp26323 +a(g7 +V +p26324 +tp26325 +a(g822 +V +p26326 +tp26327 +a(g32 +V05 +p26328 +tp26329 +a(g781 +VFILLER +p26330 +tp26331 +a(g822 +g958 +tp26332 +a(g744 +VPIC X(33) +p26333 +tp26334 +a(g822 +g958 +tp26335 +a(g781 +VVALUE +p26336 +tp26337 +a(g152 +V" LEFT-JUSTIFY" +p26338 +tp26339 +a(g701 +g965 +tp26340 +a(g822 +V\u000a +p26341 +tp26342 +a(g7 +V +p26343 +tp26344 +a(g822 +V +p26345 +tp26346 +a(g32 +V05 +p26347 +tp26348 +a(g781 +VFILLER +p26349 +tp26350 +a(g822 +g958 +tp26351 +a(g744 +VPIC X(33) +p26352 +tp26353 +a(g822 +g958 +tp26354 +a(g781 +VVALUE +p26355 +tp26356 +a(g152 +V"ILENGTH" +p26357 +tp26358 +a(g701 +g965 +tp26359 +a(g822 +V\u000a +p26360 +tp26361 +a(g7 +V +p26362 +tp26363 +a(g822 +V +p26364 +tp26365 +a(g32 +V05 +p26366 +tp26367 +a(g781 +VFILLER +p26368 +tp26369 +a(g822 +g958 +tp26370 +a(g744 +VPIC X(33) +p26371 +tp26372 +a(g822 +g958 +tp26373 +a(g781 +VVALUE +p26374 +tp26375 +a(g152 +V" LESS" +p26376 +tp26377 +a(g701 +g965 +tp26378 +a(g822 +V\u000a +p26379 +tp26380 +a(g7 +V +p26381 +tp26382 +a(g822 +V +p26383 +tp26384 +a(g32 +V05 +p26385 +tp26386 +a(g781 +VFILLER +p26387 +tp26388 +a(g822 +g958 +tp26389 +a(g744 +VPIC X(33) +p26390 +tp26391 +a(g822 +g958 +tp26392 +a(g781 +VVALUE +p26393 +tp26394 +a(g152 +V" LIMIT" +p26395 +tp26396 +a(g701 +g965 +tp26397 +a(g822 +V\u000a +p26398 +tp26399 +a(g7 +V +p26400 +tp26401 +a(g822 +V +p26402 +tp26403 +a(g32 +V05 +p26404 +tp26405 +a(g781 +VFILLER +p26406 +tp26407 +a(g822 +g958 +tp26408 +a(g744 +VPIC X(33) +p26409 +tp26410 +a(g822 +g958 +tp26411 +a(g781 +VVALUE +p26412 +tp26413 +a(g152 +V" LIMITS" +p26414 +tp26415 +a(g701 +g965 +tp26416 +a(g822 +V\u000a +p26417 +tp26418 +a(g7 +V +p26419 +tp26420 +a(g822 +V +p26421 +tp26422 +a(g32 +V05 +p26423 +tp26424 +a(g781 +VFILLER +p26425 +tp26426 +a(g822 +g958 +tp26427 +a(g744 +VPIC X(33) +p26428 +tp26429 +a(g822 +g958 +tp26430 +a(g781 +VVALUE +p26431 +tp26432 +a(g152 +V" LINAGE" +p26433 +tp26434 +a(g701 +g965 +tp26435 +a(g822 +V\u000a +p26436 +tp26437 +a(g7 +V +p26438 +tp26439 +a(g822 +V +p26440 +tp26441 +a(g32 +V05 +p26442 +tp26443 +a(g781 +VFILLER +p26444 +tp26445 +a(g822 +g958 +tp26446 +a(g744 +VPIC X(33) +p26447 +tp26448 +a(g822 +g958 +tp26449 +a(g781 +VVALUE +p26450 +tp26451 +a(g152 +V"ILINAGE-COUNTER" +p26452 +tp26453 +a(g701 +g965 +tp26454 +a(g822 +V\u000a +p26455 +tp26456 +a(g7 +V +p26457 +tp26458 +a(g822 +V +p26459 +tp26460 +a(g32 +V05 +p26461 +tp26462 +a(g781 +VFILLER +p26463 +tp26464 +a(g822 +g958 +tp26465 +a(g744 +VPIC X(33) +p26466 +tp26467 +a(g822 +g958 +tp26468 +a(g781 +VVALUE +p26469 +tp26470 +a(g152 +V" LINE" +p26471 +tp26472 +a(g701 +g965 +tp26473 +a(g822 +V\u000a +p26474 +tp26475 +a(g7 +V +p26476 +tp26477 +a(g822 +V +p26478 +tp26479 +a(g32 +V05 +p26480 +tp26481 +a(g781 +VFILLER +p26482 +tp26483 +a(g822 +g958 +tp26484 +a(g744 +VPIC X(33) +p26485 +tp26486 +a(g822 +g958 +tp26487 +a(g781 +VVALUE +p26488 +tp26489 +a(g152 +V" LINE-COUNTER" +p26490 +tp26491 +a(g701 +g965 +tp26492 +a(g822 +V\u000a +p26493 +tp26494 +a(g7 +V +p26495 +tp26496 +a(g822 +V +p26497 +tp26498 +a(g32 +V05 +p26499 +tp26500 +a(g781 +VFILLER +p26501 +tp26502 +a(g822 +g958 +tp26503 +a(g744 +VPIC X(33) +p26504 +tp26505 +a(g822 +g958 +tp26506 +a(g781 +VVALUE +p26507 +tp26508 +a(g152 +V" LINES" +p26509 +tp26510 +a(g701 +g965 +tp26511 +a(g822 +V\u000a +p26512 +tp26513 +a(g7 +V +p26514 +tp26515 +a(g822 +V +p26516 +tp26517 +a(g32 +V05 +p26518 +tp26519 +a(g781 +VFILLER +p26520 +tp26521 +a(g822 +g958 +tp26522 +a(g744 +VPIC X(33) +p26523 +tp26524 +a(g822 +g958 +tp26525 +a(g781 +VVALUE +p26526 +tp26527 +a(g152 +V"KLINKAGE" +p26528 +tp26529 +a(g701 +g965 +tp26530 +a(g822 +V\u000a +p26531 +tp26532 +a(g7 +V +p26533 +tp26534 +a(g822 +V +p26535 +tp26536 +a(g32 +V05 +p26537 +tp26538 +a(g781 +VFILLER +p26539 +tp26540 +a(g822 +g958 +tp26541 +a(g744 +VPIC X(33) +p26542 +tp26543 +a(g822 +g958 +tp26544 +a(g781 +VVALUE +p26545 +tp26546 +a(g152 +V"KLOCAL-STORAGE" +p26547 +tp26548 +a(g701 +g965 +tp26549 +a(g822 +V\u000a +p26550 +tp26551 +a(g7 +V +p26552 +tp26553 +a(g822 +V +p26554 +tp26555 +a(g32 +V05 +p26556 +tp26557 +a(g781 +VFILLER +p26558 +tp26559 +a(g822 +g958 +tp26560 +a(g744 +VPIC X(33) +p26561 +tp26562 +a(g822 +g958 +tp26563 +a(g781 +VVALUE +p26564 +tp26565 +a(g152 +V" LOCALE" +p26566 +tp26567 +a(g701 +g965 +tp26568 +a(g822 +V\u000a +p26569 +tp26570 +a(g7 +V +p26571 +tp26572 +a(g822 +V +p26573 +tp26574 +a(g32 +V05 +p26575 +tp26576 +a(g781 +VFILLER +p26577 +tp26578 +a(g822 +g958 +tp26579 +a(g744 +VPIC X(33) +p26580 +tp26581 +a(g822 +g958 +tp26582 +a(g781 +VVALUE +p26583 +tp26584 +a(g152 +V"ILOCALE-DATE" +p26585 +tp26586 +a(g701 +g965 +tp26587 +a(g822 +V\u000a +p26588 +tp26589 +a(g7 +V +p26590 +tp26591 +a(g822 +V +p26592 +tp26593 +a(g32 +V05 +p26594 +tp26595 +a(g781 +VFILLER +p26596 +tp26597 +a(g822 +g958 +tp26598 +a(g744 +VPIC X(33) +p26599 +tp26600 +a(g822 +g958 +tp26601 +a(g781 +VVALUE +p26602 +tp26603 +a(g152 +V"ILOCALE-TIME" +p26604 +tp26605 +a(g701 +g965 +tp26606 +a(g822 +V\u000a +p26607 +tp26608 +a(g7 +V +p26609 +tp26610 +a(g822 +V +p26611 +tp26612 +a(g32 +V05 +p26613 +tp26614 +a(g781 +VFILLER +p26615 +tp26616 +a(g822 +g958 +tp26617 +a(g744 +VPIC X(33) +p26618 +tp26619 +a(g822 +g958 +tp26620 +a(g781 +VVALUE +p26621 +tp26622 +a(g152 +V"ILOCALE-TIME-FROM-SECONDS" +p26623 +tp26624 +a(g701 +g965 +tp26625 +a(g822 +V\u000a +p26626 +tp26627 +a(g7 +V +p26628 +tp26629 +a(g822 +V +p26630 +tp26631 +a(g32 +V05 +p26632 +tp26633 +a(g781 +VFILLER +p26634 +tp26635 +a(g822 +g958 +tp26636 +a(g744 +VPIC X(33) +p26637 +tp26638 +a(g822 +g958 +tp26639 +a(g781 +VVALUE +p26640 +tp26641 +a(g152 +V" LOCK" +p26642 +tp26643 +a(g701 +g965 +tp26644 +a(g822 +V\u000a +p26645 +tp26646 +a(g7 +V +p26647 +tp26648 +a(g822 +V +p26649 +tp26650 +a(g32 +V05 +p26651 +tp26652 +a(g781 +VFILLER +p26653 +tp26654 +a(g822 +g958 +tp26655 +a(g744 +VPIC X(33) +p26656 +tp26657 +a(g822 +g958 +tp26658 +a(g781 +VVALUE +p26659 +tp26660 +a(g152 +V"ILOG" +p26661 +tp26662 +a(g701 +g965 +tp26663 +a(g822 +V\u000a +p26664 +tp26665 +a(g7 +V +p26666 +tp26667 +a(g822 +V +p26668 +tp26669 +a(g32 +V05 +p26670 +tp26671 +a(g781 +VFILLER +p26672 +tp26673 +a(g822 +g958 +tp26674 +a(g744 +VPIC X(33) +p26675 +tp26676 +a(g822 +g958 +tp26677 +a(g781 +VVALUE +p26678 +tp26679 +a(g152 +V"ILOG10" +p26680 +tp26681 +a(g701 +g965 +tp26682 +a(g822 +V\u000a +p26683 +tp26684 +a(g7 +V +p26685 +tp26686 +a(g822 +V +p26687 +tp26688 +a(g32 +V05 +p26689 +tp26690 +a(g781 +VFILLER +p26691 +tp26692 +a(g822 +g958 +tp26693 +a(g744 +VPIC X(33) +p26694 +tp26695 +a(g822 +g958 +tp26696 +a(g781 +VVALUE +p26697 +tp26698 +a(g152 +V" LOW-VALUE" +p26699 +tp26700 +a(g701 +g965 +tp26701 +a(g822 +V\u000a +p26702 +tp26703 +a(g7 +V +p26704 +tp26705 +a(g822 +V +p26706 +tp26707 +a(g32 +V05 +p26708 +tp26709 +a(g781 +VFILLER +p26710 +tp26711 +a(g822 +g958 +tp26712 +a(g744 +VPIC X(33) +p26713 +tp26714 +a(g822 +g958 +tp26715 +a(g781 +VVALUE +p26716 +tp26717 +a(g152 +V" LOW-VALUES" +p26718 +tp26719 +a(g701 +g965 +tp26720 +a(g822 +V\u000a +p26721 +tp26722 +a(g7 +V +p26723 +tp26724 +a(g822 +V +p26725 +tp26726 +a(g32 +V05 +p26727 +tp26728 +a(g781 +VFILLER +p26729 +tp26730 +a(g822 +g958 +tp26731 +a(g744 +VPIC X(33) +p26732 +tp26733 +a(g822 +g958 +tp26734 +a(g781 +VVALUE +p26735 +tp26736 +a(g152 +V" LOWER" +p26737 +tp26738 +a(g701 +g965 +tp26739 +a(g822 +V\u000a +p26740 +tp26741 +a(g7 +V +p26742 +tp26743 +a(g822 +V +p26744 +tp26745 +a(g32 +V05 +p26746 +tp26747 +a(g781 +VFILLER +p26748 +tp26749 +a(g822 +g958 +tp26750 +a(g744 +VPIC X(33) +p26751 +tp26752 +a(g822 +g958 +tp26753 +a(g781 +VVALUE +p26754 +tp26755 +a(g152 +V"ILOWER-CASE" +p26756 +tp26757 +a(g701 +g965 +tp26758 +a(g822 +V\u000a +p26759 +tp26760 +a(g7 +V +p26761 +tp26762 +a(g822 +V +p26763 +tp26764 +a(g32 +V05 +p26765 +tp26766 +a(g781 +VFILLER +p26767 +tp26768 +a(g822 +g958 +tp26769 +a(g744 +VPIC X(33) +p26770 +tp26771 +a(g822 +g958 +tp26772 +a(g781 +VVALUE +p26773 +tp26774 +a(g152 +V" LOWLIGHT" +p26775 +tp26776 +a(g701 +g965 +tp26777 +a(g822 +V\u000a +p26778 +tp26779 +a(g7 +V +p26780 +tp26781 +a(g822 +V +p26782 +tp26783 +a(g32 +V05 +p26784 +tp26785 +a(g781 +VFILLER +p26786 +tp26787 +a(g822 +g958 +tp26788 +a(g744 +VPIC X(33) +p26789 +tp26790 +a(g822 +g958 +tp26791 +a(g781 +VVALUE +p26792 +tp26793 +a(g152 +V" MANUAL" +p26794 +tp26795 +a(g701 +g965 +tp26796 +a(g822 +V\u000a +p26797 +tp26798 +a(g7 +V +p26799 +tp26800 +a(g822 +V +p26801 +tp26802 +a(g32 +V05 +p26803 +tp26804 +a(g781 +VFILLER +p26805 +tp26806 +a(g822 +g958 +tp26807 +a(g744 +VPIC X(33) +p26808 +tp26809 +a(g822 +g958 +tp26810 +a(g781 +VVALUE +p26811 +tp26812 +a(g152 +V"IMAX" +p26813 +tp26814 +a(g701 +g965 +tp26815 +a(g822 +V\u000a +p26816 +tp26817 +a(g7 +V +p26818 +tp26819 +a(g822 +V +p26820 +tp26821 +a(g32 +V05 +p26822 +tp26823 +a(g781 +VFILLER +p26824 +tp26825 +a(g822 +g958 +tp26826 +a(g744 +VPIC X(33) +p26827 +tp26828 +a(g822 +g958 +tp26829 +a(g781 +VVALUE +p26830 +tp26831 +a(g152 +V"IMEAN" +p26832 +tp26833 +a(g701 +g965 +tp26834 +a(g822 +V\u000a +p26835 +tp26836 +a(g7 +V +p26837 +tp26838 +a(g822 +V +p26839 +tp26840 +a(g32 +V05 +p26841 +tp26842 +a(g781 +VFILLER +p26843 +tp26844 +a(g822 +g958 +tp26845 +a(g744 +VPIC X(33) +p26846 +tp26847 +a(g822 +g958 +tp26848 +a(g781 +VVALUE +p26849 +tp26850 +a(g152 +V"IMEDIAN" +p26851 +tp26852 +a(g701 +g965 +tp26853 +a(g822 +V\u000a +p26854 +tp26855 +a(g7 +V +p26856 +tp26857 +a(g822 +V +p26858 +tp26859 +a(g32 +V05 +p26860 +tp26861 +a(g781 +VFILLER +p26862 +tp26863 +a(g822 +g958 +tp26864 +a(g744 +VPIC X(33) +p26865 +tp26866 +a(g822 +g958 +tp26867 +a(g781 +VVALUE +p26868 +tp26869 +a(g152 +V" MEMORY" +p26870 +tp26871 +a(g701 +g965 +tp26872 +a(g822 +V\u000a +p26873 +tp26874 +a(g7 +V +p26875 +tp26876 +a(g822 +V +p26877 +tp26878 +a(g32 +V05 +p26879 +tp26880 +a(g781 +VFILLER +p26881 +tp26882 +a(g822 +g958 +tp26883 +a(g744 +VPIC X(33) +p26884 +tp26885 +a(g822 +g958 +tp26886 +a(g781 +VVALUE +p26887 +tp26888 +a(g152 +V"VMERGE" +p26889 +tp26890 +a(g701 +g965 +tp26891 +a(g822 +V\u000a +p26892 +tp26893 +a(g7 +V +p26894 +tp26895 +a(g822 +V +p26896 +tp26897 +a(g32 +V05 +p26898 +tp26899 +a(g781 +VFILLER +p26900 +tp26901 +a(g822 +g958 +tp26902 +a(g744 +VPIC X(33) +p26903 +tp26904 +a(g822 +g958 +tp26905 +a(g781 +VVALUE +p26906 +tp26907 +a(g152 +V" METHOD" +p26908 +tp26909 +a(g701 +g965 +tp26910 +a(g822 +V\u000a +p26911 +tp26912 +a(g7 +V +p26913 +tp26914 +a(g822 +V +p26915 +tp26916 +a(g32 +V05 +p26917 +tp26918 +a(g781 +VFILLER +p26919 +tp26920 +a(g822 +g958 +tp26921 +a(g744 +VPIC X(33) +p26922 +tp26923 +a(g822 +g958 +tp26924 +a(g781 +VVALUE +p26925 +tp26926 +a(g152 +V" METHOD-ID" +p26927 +tp26928 +a(g701 +g965 +tp26929 +a(g822 +V\u000a +p26930 +tp26931 +a(g7 +V +p26932 +tp26933 +a(g822 +V +p26934 +tp26935 +a(g32 +V05 +p26936 +tp26937 +a(g781 +VFILLER +p26938 +tp26939 +a(g822 +g958 +tp26940 +a(g744 +VPIC X(33) +p26941 +tp26942 +a(g822 +g958 +tp26943 +a(g781 +VVALUE +p26944 +tp26945 +a(g152 +V"IMIDRANGE" +p26946 +tp26947 +a(g701 +g965 +tp26948 +a(g822 +V\u000a +p26949 +tp26950 +a(g7 +V +p26951 +tp26952 +a(g822 +V +p26953 +tp26954 +a(g32 +V05 +p26955 +tp26956 +a(g781 +VFILLER +p26957 +tp26958 +a(g822 +g958 +tp26959 +a(g744 +VPIC X(33) +p26960 +tp26961 +a(g822 +g958 +tp26962 +a(g781 +VVALUE +p26963 +tp26964 +a(g152 +V"IMIN" +p26965 +tp26966 +a(g701 +g965 +tp26967 +a(g822 +V\u000a +p26968 +tp26969 +a(g7 +V +p26970 +tp26971 +a(g822 +V +p26972 +tp26973 +a(g32 +V05 +p26974 +tp26975 +a(g781 +VFILLER +p26976 +tp26977 +a(g822 +g958 +tp26978 +a(g744 +VPIC X(33) +p26979 +tp26980 +a(g822 +g958 +tp26981 +a(g781 +VVALUE +p26982 +tp26983 +a(g152 +V" MINUS" +p26984 +tp26985 +a(g701 +g965 +tp26986 +a(g822 +V\u000a +p26987 +tp26988 +a(g7 +V +p26989 +tp26990 +a(g822 +V +p26991 +tp26992 +a(g32 +V05 +p26993 +tp26994 +a(g781 +VFILLER +p26995 +tp26996 +a(g822 +g958 +tp26997 +a(g744 +VPIC X(33) +p26998 +tp26999 +a(g822 +g958 +tp27000 +a(g781 +VVALUE +p27001 +tp27002 +a(g152 +V"IMOD" +p27003 +tp27004 +a(g701 +g965 +tp27005 +a(g822 +V\u000a +p27006 +tp27007 +a(g7 +V +p27008 +tp27009 +a(g822 +V +p27010 +tp27011 +a(g32 +V05 +p27012 +tp27013 +a(g781 +VFILLER +p27014 +tp27015 +a(g822 +g958 +tp27016 +a(g744 +VPIC X(33) +p27017 +tp27018 +a(g822 +g958 +tp27019 +a(g781 +VVALUE +p27020 +tp27021 +a(g152 +V" MODE" +p27022 +tp27023 +a(g701 +g965 +tp27024 +a(g822 +V\u000a +p27025 +tp27026 +a(g7 +V +p27027 +tp27028 +a(g822 +V +p27029 +tp27030 +a(g32 +V05 +p27031 +tp27032 +a(g781 +VFILLER +p27033 +tp27034 +a(g822 +g958 +tp27035 +a(g744 +VPIC X(33) +p27036 +tp27037 +a(g822 +g958 +tp27038 +a(g781 +VVALUE +p27039 +tp27040 +a(g152 +V"VMOVE" +p27041 +tp27042 +a(g701 +g965 +tp27043 +a(g822 +V\u000a +p27044 +tp27045 +a(g7 +V +p27046 +tp27047 +a(g822 +V +p27048 +tp27049 +a(g32 +V05 +p27050 +tp27051 +a(g781 +VFILLER +p27052 +tp27053 +a(g822 +g958 +tp27054 +a(g744 +VPIC X(33) +p27055 +tp27056 +a(g822 +g958 +tp27057 +a(g781 +VVALUE +p27058 +tp27059 +a(g152 +V" MULTIPLE" +p27060 +tp27061 +a(g701 +g965 +tp27062 +a(g822 +V\u000a +p27063 +tp27064 +a(g7 +V +p27065 +tp27066 +a(g822 +V +p27067 +tp27068 +a(g32 +V05 +p27069 +tp27070 +a(g781 +VFILLER +p27071 +tp27072 +a(g822 +g958 +tp27073 +a(g744 +VPIC X(33) +p27074 +tp27075 +a(g822 +g958 +tp27076 +a(g781 +VVALUE +p27077 +tp27078 +a(g152 +V"VMULTIPLY" +p27079 +tp27080 +a(g701 +g965 +tp27081 +a(g822 +V\u000a +p27082 +tp27083 +a(g7 +V +p27084 +tp27085 +a(g822 +V +p27086 +tp27087 +a(g32 +V05 +p27088 +tp27089 +a(g781 +VFILLER +p27090 +tp27091 +a(g822 +g958 +tp27092 +a(g744 +VPIC X(33) +p27093 +tp27094 +a(g822 +g958 +tp27095 +a(g781 +VVALUE +p27096 +tp27097 +a(g152 +V" NATIONAL" +p27098 +tp27099 +a(g701 +g965 +tp27100 +a(g822 +V\u000a +p27101 +tp27102 +a(g7 +V +p27103 +tp27104 +a(g822 +V +p27105 +tp27106 +a(g32 +V05 +p27107 +tp27108 +a(g781 +VFILLER +p27109 +tp27110 +a(g822 +g958 +tp27111 +a(g744 +VPIC X(33) +p27112 +tp27113 +a(g822 +g958 +tp27114 +a(g781 +VVALUE +p27115 +tp27116 +a(g152 +V" NATIONAL-EDITED" +p27117 +tp27118 +a(g701 +g965 +tp27119 +a(g822 +V\u000a +p27120 +tp27121 +a(g7 +V +p27122 +tp27123 +a(g822 +V +p27124 +tp27125 +a(g32 +V05 +p27126 +tp27127 +a(g781 +VFILLER +p27128 +tp27129 +a(g822 +g958 +tp27130 +a(g744 +VPIC X(33) +p27131 +tp27132 +a(g822 +g958 +tp27133 +a(g781 +VVALUE +p27134 +tp27135 +a(g152 +V" NATIVE" +p27136 +tp27137 +a(g701 +g965 +tp27138 +a(g822 +V\u000a +p27139 +tp27140 +a(g7 +V +p27141 +tp27142 +a(g822 +V +p27143 +tp27144 +a(g32 +V05 +p27145 +tp27146 +a(g781 +VFILLER +p27147 +tp27148 +a(g822 +g958 +tp27149 +a(g744 +VPIC X(33) +p27150 +tp27151 +a(g822 +g958 +tp27152 +a(g781 +VVALUE +p27153 +tp27154 +a(g152 +V" NEGATIVE" +p27155 +tp27156 +a(g701 +g965 +tp27157 +a(g822 +V\u000a +p27158 +tp27159 +a(g7 +V +p27160 +tp27161 +a(g822 +V +p27162 +tp27163 +a(g32 +V05 +p27164 +tp27165 +a(g781 +VFILLER +p27166 +tp27167 +a(g822 +g958 +tp27168 +a(g744 +VPIC X(33) +p27169 +tp27170 +a(g822 +g958 +tp27171 +a(g781 +VVALUE +p27172 +tp27173 +a(g152 +V" NESTED" +p27174 +tp27175 +a(g701 +g965 +tp27176 +a(g822 +V\u000a +p27177 +tp27178 +a(g7 +V +p27179 +tp27180 +a(g822 +V +p27181 +tp27182 +a(g32 +V05 +p27183 +tp27184 +a(g781 +VFILLER +p27185 +tp27186 +a(g822 +g958 +tp27187 +a(g744 +VPIC X(33) +p27188 +tp27189 +a(g822 +g958 +tp27190 +a(g781 +VVALUE +p27191 +tp27192 +a(g152 +V"VNEXT" +p27193 +tp27194 +a(g701 +g965 +tp27195 +a(g822 +V\u000a +p27196 +tp27197 +a(g7 +V +p27198 +tp27199 +a(g822 +V +p27200 +tp27201 +a(g32 +V05 +p27202 +tp27203 +a(g781 +VFILLER +p27204 +tp27205 +a(g822 +g958 +tp27206 +a(g744 +VPIC X(33) +p27207 +tp27208 +a(g822 +g958 +tp27209 +a(g781 +VVALUE +p27210 +tp27211 +a(g152 +V" NO" +p27212 +tp27213 +a(g701 +g965 +tp27214 +a(g822 +V\u000a +p27215 +tp27216 +a(g7 +V +p27217 +tp27218 +a(g822 +V +p27219 +tp27220 +a(g32 +V05 +p27221 +tp27222 +a(g781 +VFILLER +p27223 +tp27224 +a(g822 +g958 +tp27225 +a(g744 +VPIC X(33) +p27226 +tp27227 +a(g822 +g958 +tp27228 +a(g781 +VVALUE +p27229 +tp27230 +a(g152 +V" NOT" +p27231 +tp27232 +a(g701 +g965 +tp27233 +a(g822 +V\u000a +p27234 +tp27235 +a(g7 +V +p27236 +tp27237 +a(g822 +V +p27238 +tp27239 +a(g32 +V05 +p27240 +tp27241 +a(g781 +VFILLER +p27242 +tp27243 +a(g822 +g958 +tp27244 +a(g744 +VPIC X(33) +p27245 +tp27246 +a(g822 +g958 +tp27247 +a(g781 +VVALUE +p27248 +tp27249 +a(g152 +V" NULL" +p27250 +tp27251 +a(g701 +g965 +tp27252 +a(g822 +V\u000a +p27253 +tp27254 +a(g7 +V +p27255 +tp27256 +a(g822 +V +p27257 +tp27258 +a(g32 +V05 +p27259 +tp27260 +a(g781 +VFILLER +p27261 +tp27262 +a(g822 +g958 +tp27263 +a(g744 +VPIC X(33) +p27264 +tp27265 +a(g822 +g958 +tp27266 +a(g781 +VVALUE +p27267 +tp27268 +a(g152 +V" NULLS" +p27269 +tp27270 +a(g701 +g965 +tp27271 +a(g822 +V\u000a +p27272 +tp27273 +a(g7 +V +p27274 +tp27275 +a(g822 +V +p27276 +tp27277 +a(g32 +V05 +p27278 +tp27279 +a(g781 +VFILLER +p27280 +tp27281 +a(g822 +g958 +tp27282 +a(g744 +VPIC X(33) +p27283 +tp27284 +a(g822 +g958 +tp27285 +a(g781 +VVALUE +p27286 +tp27287 +a(g152 +V" NUMBER" +p27288 +tp27289 +a(g701 +g965 +tp27290 +a(g822 +V\u000a +p27291 +tp27292 +a(g7 +V +p27293 +tp27294 +a(g822 +V +p27295 +tp27296 +a(g32 +V05 +p27297 +tp27298 +a(g781 +VFILLER +p27299 +tp27300 +a(g822 +g958 +tp27301 +a(g744 +VPIC X(33) +p27302 +tp27303 +a(g822 +g958 +tp27304 +a(g781 +VVALUE +p27305 +tp27306 +a(g152 +V"INUMBER-OF-CALL-PARAMETERS" +p27307 +tp27308 +a(g701 +g965 +tp27309 +a(g822 +V\u000a +p27310 +tp27311 +a(g7 +V +p27312 +tp27313 +a(g822 +V +p27314 +tp27315 +a(g32 +V05 +p27316 +tp27317 +a(g781 +VFILLER +p27318 +tp27319 +a(g822 +g958 +tp27320 +a(g744 +VPIC X(33) +p27321 +tp27322 +a(g822 +g958 +tp27323 +a(g781 +VVALUE +p27324 +tp27325 +a(g152 +V" NUMBERS" +p27326 +tp27327 +a(g701 +g965 +tp27328 +a(g822 +V\u000a +p27329 +tp27330 +a(g7 +V +p27331 +tp27332 +a(g822 +V +p27333 +tp27334 +a(g32 +V05 +p27335 +tp27336 +a(g781 +VFILLER +p27337 +tp27338 +a(g822 +g958 +tp27339 +a(g744 +VPIC X(33) +p27340 +tp27341 +a(g822 +g958 +tp27342 +a(g781 +VVALUE +p27343 +tp27344 +a(g152 +V" NUMERIC" +p27345 +tp27346 +a(g701 +g965 +tp27347 +a(g822 +V\u000a +p27348 +tp27349 +a(g7 +V +p27350 +tp27351 +a(g822 +V +p27352 +tp27353 +a(g32 +V05 +p27354 +tp27355 +a(g781 +VFILLER +p27356 +tp27357 +a(g822 +g958 +tp27358 +a(g744 +VPIC X(33) +p27359 +tp27360 +a(g822 +g958 +tp27361 +a(g781 +VVALUE +p27362 +tp27363 +a(g152 +V" NUMERIC-EDITED" +p27364 +tp27365 +a(g701 +g965 +tp27366 +a(g822 +V\u000a +p27367 +tp27368 +a(g7 +V +p27369 +tp27370 +a(g822 +V +p27371 +tp27372 +a(g32 +V05 +p27373 +tp27374 +a(g781 +VFILLER +p27375 +tp27376 +a(g822 +g958 +tp27377 +a(g744 +VPIC X(33) +p27378 +tp27379 +a(g822 +g958 +tp27380 +a(g781 +VVALUE +p27381 +tp27382 +a(g152 +V"INUMVAL" +p27383 +tp27384 +a(g701 +g965 +tp27385 +a(g822 +V\u000a +p27386 +tp27387 +a(g7 +V +p27388 +tp27389 +a(g822 +V +p27390 +tp27391 +a(g32 +V05 +p27392 +tp27393 +a(g781 +VFILLER +p27394 +tp27395 +a(g822 +g958 +tp27396 +a(g744 +VPIC X(33) +p27397 +tp27398 +a(g822 +g958 +tp27399 +a(g781 +VVALUE +p27400 +tp27401 +a(g152 +V"INUMVAL-C" +p27402 +tp27403 +a(g701 +g965 +tp27404 +a(g822 +V\u000a +p27405 +tp27406 +a(g7 +V +p27407 +tp27408 +a(g822 +V +p27409 +tp27410 +a(g32 +V05 +p27411 +tp27412 +a(g781 +VFILLER +p27413 +tp27414 +a(g822 +g958 +tp27415 +a(g744 +VPIC X(33) +p27416 +tp27417 +a(g822 +g958 +tp27418 +a(g781 +VVALUE +p27419 +tp27420 +a(g152 +V" OBJECT" +p27421 +tp27422 +a(g701 +g965 +tp27423 +a(g822 +V\u000a +p27424 +tp27425 +a(g7 +V +p27426 +tp27427 +a(g822 +V +p27428 +tp27429 +a(g32 +V05 +p27430 +tp27431 +a(g781 +VFILLER +p27432 +tp27433 +a(g822 +g958 +tp27434 +a(g744 +VPIC X(33) +p27435 +tp27436 +a(g822 +g958 +tp27437 +a(g781 +VVALUE +p27438 +tp27439 +a(g152 +V" OBJECT-COMPUTER" +p27440 +tp27441 +a(g701 +g965 +tp27442 +a(g822 +V\u000a +p27443 +tp27444 +a(g7 +V +p27445 +tp27446 +a(g822 +V +p27447 +tp27448 +a(g32 +V05 +p27449 +tp27450 +a(g781 +VFILLER +p27451 +tp27452 +a(g822 +g958 +tp27453 +a(g744 +VPIC X(33) +p27454 +tp27455 +a(g822 +g958 +tp27456 +a(g781 +VVALUE +p27457 +tp27458 +a(g152 +V" OBJECT-REFERENCE" +p27459 +tp27460 +a(g701 +g965 +tp27461 +a(g822 +V\u000a +p27462 +tp27463 +a(g7 +V +p27464 +tp27465 +a(g822 +V +p27466 +tp27467 +a(g32 +V05 +p27468 +tp27469 +a(g781 +VFILLER +p27470 +tp27471 +a(g822 +g958 +tp27472 +a(g744 +VPIC X(33) +p27473 +tp27474 +a(g822 +g958 +tp27475 +a(g781 +VVALUE +p27476 +tp27477 +a(g152 +V" OCCURS" +p27478 +tp27479 +a(g701 +g965 +tp27480 +a(g822 +V\u000a +p27481 +tp27482 +a(g7 +V +p27483 +tp27484 +a(g822 +V +p27485 +tp27486 +a(g32 +V05 +p27487 +tp27488 +a(g781 +VFILLER +p27489 +tp27490 +a(g822 +g958 +tp27491 +a(g744 +VPIC X(33) +p27492 +tp27493 +a(g822 +g958 +tp27494 +a(g781 +VVALUE +p27495 +tp27496 +a(g152 +V" OF" +p27497 +tp27498 +a(g701 +g965 +tp27499 +a(g822 +V\u000a +p27500 +tp27501 +a(g7 +V +p27502 +tp27503 +a(g822 +V +p27504 +tp27505 +a(g32 +V05 +p27506 +tp27507 +a(g781 +VFILLER +p27508 +tp27509 +a(g822 +g958 +tp27510 +a(g744 +VPIC X(33) +p27511 +tp27512 +a(g822 +g958 +tp27513 +a(g781 +VVALUE +p27514 +tp27515 +a(g152 +V" OFF" +p27516 +tp27517 +a(g701 +g965 +tp27518 +a(g822 +V\u000a +p27519 +tp27520 +a(g7 +V +p27521 +tp27522 +a(g822 +V +p27523 +tp27524 +a(g32 +V05 +p27525 +tp27526 +a(g781 +VFILLER +p27527 +tp27528 +a(g822 +g958 +tp27529 +a(g744 +VPIC X(33) +p27530 +tp27531 +a(g822 +g958 +tp27532 +a(g781 +VVALUE +p27533 +tp27534 +a(g152 +V" OMITTED" +p27535 +tp27536 +a(g701 +g965 +tp27537 +a(g822 +V\u000a +p27538 +tp27539 +a(g7 +V +p27540 +tp27541 +a(g822 +V +p27542 +tp27543 +a(g32 +V05 +p27544 +tp27545 +a(g781 +VFILLER +p27546 +tp27547 +a(g822 +g958 +tp27548 +a(g744 +VPIC X(33) +p27549 +tp27550 +a(g822 +g958 +tp27551 +a(g781 +VVALUE +p27552 +tp27553 +a(g152 +V" ON" +p27554 +tp27555 +a(g701 +g965 +tp27556 +a(g822 +V\u000a +p27557 +tp27558 +a(g7 +V +p27559 +tp27560 +a(g822 +V +p27561 +tp27562 +a(g32 +V05 +p27563 +tp27564 +a(g781 +VFILLER +p27565 +tp27566 +a(g822 +g958 +tp27567 +a(g744 +VPIC X(33) +p27568 +tp27569 +a(g822 +g958 +tp27570 +a(g781 +VVALUE +p27571 +tp27572 +a(g152 +V" ONLY" +p27573 +tp27574 +a(g701 +g965 +tp27575 +a(g822 +V\u000a +p27576 +tp27577 +a(g7 +V +p27578 +tp27579 +a(g822 +V +p27580 +tp27581 +a(g32 +V05 +p27582 +tp27583 +a(g781 +VFILLER +p27584 +tp27585 +a(g822 +g958 +tp27586 +a(g744 +VPIC X(33) +p27587 +tp27588 +a(g822 +g958 +tp27589 +a(g781 +VVALUE +p27590 +tp27591 +a(g152 +V"VOPEN" +p27592 +tp27593 +a(g701 +g965 +tp27594 +a(g822 +V\u000a +p27595 +tp27596 +a(g7 +V +p27597 +tp27598 +a(g822 +V +p27599 +tp27600 +a(g32 +V05 +p27601 +tp27602 +a(g781 +VFILLER +p27603 +tp27604 +a(g822 +g958 +tp27605 +a(g744 +VPIC X(33) +p27606 +tp27607 +a(g822 +g958 +tp27608 +a(g781 +VVALUE +p27609 +tp27610 +a(g152 +V" OPTIONAL" +p27611 +tp27612 +a(g701 +g965 +tp27613 +a(g822 +V\u000a +p27614 +tp27615 +a(g7 +V +p27616 +tp27617 +a(g822 +V +p27618 +tp27619 +a(g32 +V05 +p27620 +tp27621 +a(g781 +VFILLER +p27622 +tp27623 +a(g822 +g958 +tp27624 +a(g744 +VPIC X(33) +p27625 +tp27626 +a(g822 +g958 +tp27627 +a(g781 +VVALUE +p27628 +tp27629 +a(g152 +V" OPTIONS" +p27630 +tp27631 +a(g701 +g965 +tp27632 +a(g822 +V\u000a +p27633 +tp27634 +a(g7 +V +p27635 +tp27636 +a(g822 +V +p27637 +tp27638 +a(g32 +V05 +p27639 +tp27640 +a(g781 +VFILLER +p27641 +tp27642 +a(g822 +g958 +tp27643 +a(g744 +VPIC X(33) +p27644 +tp27645 +a(g822 +g958 +tp27646 +a(g781 +VVALUE +p27647 +tp27648 +a(g152 +V" OR" +p27649 +tp27650 +a(g701 +g965 +tp27651 +a(g822 +V\u000a +p27652 +tp27653 +a(g7 +V +p27654 +tp27655 +a(g822 +V +p27656 +tp27657 +a(g32 +V05 +p27658 +tp27659 +a(g781 +VFILLER +p27660 +tp27661 +a(g822 +g958 +tp27662 +a(g744 +VPIC X(33) +p27663 +tp27664 +a(g822 +g958 +tp27665 +a(g781 +VVALUE +p27666 +tp27667 +a(g152 +V"IORD" +p27668 +tp27669 +a(g701 +g965 +tp27670 +a(g822 +V\u000a +p27671 +tp27672 +a(g7 +V +p27673 +tp27674 +a(g822 +V +p27675 +tp27676 +a(g32 +V05 +p27677 +tp27678 +a(g781 +VFILLER +p27679 +tp27680 +a(g822 +g958 +tp27681 +a(g744 +VPIC X(33) +p27682 +tp27683 +a(g822 +g958 +tp27684 +a(g781 +VVALUE +p27685 +tp27686 +a(g152 +V"IORD-MAX" +p27687 +tp27688 +a(g701 +g965 +tp27689 +a(g822 +V\u000a +p27690 +tp27691 +a(g7 +V +p27692 +tp27693 +a(g822 +V +p27694 +tp27695 +a(g32 +V05 +p27696 +tp27697 +a(g781 +VFILLER +p27698 +tp27699 +a(g822 +g958 +tp27700 +a(g744 +VPIC X(33) +p27701 +tp27702 +a(g822 +g958 +tp27703 +a(g781 +VVALUE +p27704 +tp27705 +a(g152 +V"IORD-MIN" +p27706 +tp27707 +a(g701 +g965 +tp27708 +a(g822 +V\u000a +p27709 +tp27710 +a(g7 +V +p27711 +tp27712 +a(g822 +V +p27713 +tp27714 +a(g32 +V05 +p27715 +tp27716 +a(g781 +VFILLER +p27717 +tp27718 +a(g822 +g958 +tp27719 +a(g744 +VPIC X(33) +p27720 +tp27721 +a(g822 +g958 +tp27722 +a(g781 +VVALUE +p27723 +tp27724 +a(g152 +V" ORDER" +p27725 +tp27726 +a(g701 +g965 +tp27727 +a(g822 +V\u000a +p27728 +tp27729 +a(g7 +V +p27730 +tp27731 +a(g822 +V +p27732 +tp27733 +a(g32 +V05 +p27734 +tp27735 +a(g781 +VFILLER +p27736 +tp27737 +a(g822 +g958 +tp27738 +a(g744 +VPIC X(33) +p27739 +tp27740 +a(g822 +g958 +tp27741 +a(g781 +VVALUE +p27742 +tp27743 +a(g152 +V" ORGANIZATION" +p27744 +tp27745 +a(g701 +g965 +tp27746 +a(g822 +V\u000a +p27747 +tp27748 +a(g7 +V +p27749 +tp27750 +a(g822 +V +p27751 +tp27752 +a(g32 +V05 +p27753 +tp27754 +a(g781 +VFILLER +p27755 +tp27756 +a(g822 +g958 +tp27757 +a(g744 +VPIC X(33) +p27758 +tp27759 +a(g822 +g958 +tp27760 +a(g781 +VVALUE +p27761 +tp27762 +a(g152 +V" OTHER" +p27763 +tp27764 +a(g701 +g965 +tp27765 +a(g822 +V\u000a +p27766 +tp27767 +a(g7 +V +p27768 +tp27769 +a(g822 +V +p27770 +tp27771 +a(g32 +V05 +p27772 +tp27773 +a(g781 +VFILLER +p27774 +tp27775 +a(g822 +g958 +tp27776 +a(g744 +VPIC X(33) +p27777 +tp27778 +a(g822 +g958 +tp27779 +a(g781 +VVALUE +p27780 +tp27781 +a(g152 +V" OUTPUT" +p27782 +tp27783 +a(g701 +g965 +tp27784 +a(g822 +V\u000a +p27785 +tp27786 +a(g7 +V +p27787 +tp27788 +a(g822 +V +p27789 +tp27790 +a(g32 +V05 +p27791 +tp27792 +a(g781 +VFILLER +p27793 +tp27794 +a(g822 +g958 +tp27795 +a(g744 +VPIC X(33) +p27796 +tp27797 +a(g822 +g958 +tp27798 +a(g781 +VVALUE +p27799 +tp27800 +a(g152 +V" OVERFLOW" +p27801 +tp27802 +a(g701 +g965 +tp27803 +a(g822 +V\u000a +p27804 +tp27805 +a(g7 +V +p27806 +tp27807 +a(g822 +V +p27808 +tp27809 +a(g32 +V05 +p27810 +tp27811 +a(g781 +VFILLER +p27812 +tp27813 +a(g822 +g958 +tp27814 +a(g744 +VPIC X(33) +p27815 +tp27816 +a(g822 +g958 +tp27817 +a(g781 +VVALUE +p27818 +tp27819 +a(g152 +V" OVERLINE" +p27820 +tp27821 +a(g701 +g965 +tp27822 +a(g822 +V\u000a +p27823 +tp27824 +a(g7 +V +p27825 +tp27826 +a(g822 +V +p27827 +tp27828 +a(g32 +V05 +p27829 +tp27830 +a(g781 +VFILLER +p27831 +tp27832 +a(g822 +g958 +tp27833 +a(g744 +VPIC X(33) +p27834 +tp27835 +a(g822 +g958 +tp27836 +a(g781 +VVALUE +p27837 +tp27838 +a(g152 +V" OVERRIDE" +p27839 +tp27840 +a(g701 +g965 +tp27841 +a(g822 +V\u000a +p27842 +tp27843 +a(g7 +V +p27844 +tp27845 +a(g822 +V +p27846 +tp27847 +a(g32 +V05 +p27848 +tp27849 +a(g781 +VFILLER +p27850 +tp27851 +a(g822 +g958 +tp27852 +a(g744 +VPIC X(33) +p27853 +tp27854 +a(g822 +g958 +tp27855 +a(g781 +VVALUE +p27856 +tp27857 +a(g152 +V" PACKED-DECIMAL" +p27858 +tp27859 +a(g701 +g965 +tp27860 +a(g822 +V\u000a +p27861 +tp27862 +a(g7 +V +p27863 +tp27864 +a(g822 +V +p27865 +tp27866 +a(g32 +V05 +p27867 +tp27868 +a(g781 +VFILLER +p27869 +tp27870 +a(g822 +g958 +tp27871 +a(g744 +VPIC X(33) +p27872 +tp27873 +a(g822 +g958 +tp27874 +a(g781 +VVALUE +p27875 +tp27876 +a(g152 +V" PADDING" +p27877 +tp27878 +a(g701 +g965 +tp27879 +a(g822 +V\u000a +p27880 +tp27881 +a(g7 +V +p27882 +tp27883 +a(g822 +V +p27884 +tp27885 +a(g32 +V05 +p27886 +tp27887 +a(g781 +VFILLER +p27888 +tp27889 +a(g822 +g958 +tp27890 +a(g744 +VPIC X(33) +p27891 +tp27892 +a(g822 +g958 +tp27893 +a(g781 +VVALUE +p27894 +tp27895 +a(g152 +V" PAGE" +p27896 +tp27897 +a(g701 +g965 +tp27898 +a(g822 +V\u000a +p27899 +tp27900 +a(g7 +V +p27901 +tp27902 +a(g822 +V +p27903 +tp27904 +a(g32 +V05 +p27905 +tp27906 +a(g781 +VFILLER +p27907 +tp27908 +a(g822 +g958 +tp27909 +a(g744 +VPIC X(33) +p27910 +tp27911 +a(g822 +g958 +tp27912 +a(g781 +VVALUE +p27913 +tp27914 +a(g152 +V" PAGE-COUNTER" +p27915 +tp27916 +a(g701 +g965 +tp27917 +a(g822 +V\u000a +p27918 +tp27919 +a(g7 +V +p27920 +tp27921 +a(g822 +V +p27922 +tp27923 +a(g32 +V05 +p27924 +tp27925 +a(g781 +VFILLER +p27926 +tp27927 +a(g822 +g958 +tp27928 +a(g744 +VPIC X(33) +p27929 +tp27930 +a(g822 +g958 +tp27931 +a(g781 +VVALUE +p27932 +tp27933 +a(g152 +V" PARAGRAPH" +p27934 +tp27935 +a(g701 +g965 +tp27936 +a(g822 +V\u000a +p27937 +tp27938 +a(g7 +V +p27939 +tp27940 +a(g822 +V +p27941 +tp27942 +a(g32 +V05 +p27943 +tp27944 +a(g781 +VFILLER +p27945 +tp27946 +a(g822 +g958 +tp27947 +a(g744 +VPIC X(33) +p27948 +tp27949 +a(g822 +g958 +tp27950 +a(g781 +VVALUE +p27951 +tp27952 +a(g152 +V"VPERFORM" +p27953 +tp27954 +a(g701 +g965 +tp27955 +a(g822 +V\u000a +p27956 +tp27957 +a(g7 +V +p27958 +tp27959 +a(g822 +V +p27960 +tp27961 +a(g32 +V05 +p27962 +tp27963 +a(g781 +VFILLER +p27964 +tp27965 +a(g822 +g958 +tp27966 +a(g744 +VPIC X(33) +p27967 +tp27968 +a(g822 +g958 +tp27969 +a(g781 +VVALUE +p27970 +tp27971 +a(g152 +V" PF" +p27972 +tp27973 +a(g701 +g965 +tp27974 +a(g822 +V\u000a +p27975 +tp27976 +a(g7 +V +p27977 +tp27978 +a(g822 +V +p27979 +tp27980 +a(g32 +V05 +p27981 +tp27982 +a(g781 +VFILLER +p27983 +tp27984 +a(g822 +g958 +tp27985 +a(g744 +VPIC X(33) +p27986 +tp27987 +a(g822 +g958 +tp27988 +a(g781 +VVALUE +p27989 +tp27990 +a(g152 +V" PH" +p27991 +tp27992 +a(g701 +g965 +tp27993 +a(g822 +V\u000a +p27994 +tp27995 +a(g7 +V +p27996 +tp27997 +a(g822 +V +p27998 +tp27999 +a(g32 +V05 +p28000 +tp28001 +a(g781 +VFILLER +p28002 +tp28003 +a(g822 +g958 +tp28004 +a(g744 +VPIC X(33) +p28005 +tp28006 +a(g822 +g958 +tp28007 +a(g781 +VVALUE +p28008 +tp28009 +a(g152 +V"IPI" +p28010 +tp28011 +a(g701 +g965 +tp28012 +a(g822 +V\u000a +p28013 +tp28014 +a(g7 +V +p28015 +tp28016 +a(g822 +V +p28017 +tp28018 +a(g32 +V05 +p28019 +tp28020 +a(g781 +VFILLER +p28021 +tp28022 +a(g822 +g958 +tp28023 +a(g744 +VPIC X(33) +p28024 +tp28025 +a(g822 +g958 +tp28026 +a(g781 +VVALUE +p28027 +tp28028 +a(g152 +V"KPIC" +p28029 +tp28030 +a(g701 +g965 +tp28031 +a(g822 +V\u000a +p28032 +tp28033 +a(g7 +V +p28034 +tp28035 +a(g822 +V +p28036 +tp28037 +a(g32 +V05 +p28038 +tp28039 +a(g781 +VFILLER +p28040 +tp28041 +a(g822 +g958 +tp28042 +a(g744 +VPIC X(33) +p28043 +tp28044 +a(g822 +g958 +tp28045 +a(g781 +VVALUE +p28046 +tp28047 +a(g152 +V"KPICTURE" +p28048 +tp28049 +a(g701 +g965 +tp28050 +a(g822 +V\u000a +p28051 +tp28052 +a(g7 +V +p28053 +tp28054 +a(g822 +V +p28055 +tp28056 +a(g32 +V05 +p28057 +tp28058 +a(g781 +VFILLER +p28059 +tp28060 +a(g822 +g958 +tp28061 +a(g744 +VPIC X(33) +p28062 +tp28063 +a(g822 +g958 +tp28064 +a(g781 +VVALUE +p28065 +tp28066 +a(g152 +V" PLUS" +p28067 +tp28068 +a(g701 +g965 +tp28069 +a(g822 +V\u000a +p28070 +tp28071 +a(g7 +V +p28072 +tp28073 +a(g822 +V +p28074 +tp28075 +a(g32 +V05 +p28076 +tp28077 +a(g781 +VFILLER +p28078 +tp28079 +a(g822 +g958 +tp28080 +a(g744 +VPIC X(33) +p28081 +tp28082 +a(g822 +g958 +tp28083 +a(g781 +VVALUE +p28084 +tp28085 +a(g152 +V"KPOINTER" +p28086 +tp28087 +a(g701 +g965 +tp28088 +a(g822 +V\u000a +p28089 +tp28090 +a(g7 +V +p28091 +tp28092 +a(g822 +V +p28093 +tp28094 +a(g32 +V05 +p28095 +tp28096 +a(g781 +VFILLER +p28097 +tp28098 +a(g822 +g958 +tp28099 +a(g744 +VPIC X(33) +p28100 +tp28101 +a(g822 +g958 +tp28102 +a(g781 +VVALUE +p28103 +tp28104 +a(g152 +V" POSITION" +p28105 +tp28106 +a(g701 +g965 +tp28107 +a(g822 +V\u000a +p28108 +tp28109 +a(g7 +V +p28110 +tp28111 +a(g822 +V +p28112 +tp28113 +a(g32 +V05 +p28114 +tp28115 +a(g781 +VFILLER +p28116 +tp28117 +a(g822 +g958 +tp28118 +a(g744 +VPIC X(33) +p28119 +tp28120 +a(g822 +g958 +tp28121 +a(g781 +VVALUE +p28122 +tp28123 +a(g152 +V" POSITIVE" +p28124 +tp28125 +a(g701 +g965 +tp28126 +a(g822 +V\u000a +p28127 +tp28128 +a(g7 +V +p28129 +tp28130 +a(g822 +V +p28131 +tp28132 +a(g32 +V05 +p28133 +tp28134 +a(g781 +VFILLER +p28135 +tp28136 +a(g822 +g958 +tp28137 +a(g744 +VPIC X(33) +p28138 +tp28139 +a(g822 +g958 +tp28140 +a(g781 +VVALUE +p28141 +tp28142 +a(g152 +V" PRESENT" +p28143 +tp28144 +a(g701 +g965 +tp28145 +a(g822 +V\u000a +p28146 +tp28147 +a(g7 +V +p28148 +tp28149 +a(g822 +V +p28150 +tp28151 +a(g32 +V05 +p28152 +tp28153 +a(g781 +VFILLER +p28154 +tp28155 +a(g822 +g958 +tp28156 +a(g744 +VPIC X(33) +p28157 +tp28158 +a(g822 +g958 +tp28159 +a(g781 +VVALUE +p28160 +tp28161 +a(g152 +V"IPRESENT-VALUE" +p28162 +tp28163 +a(g701 +g965 +tp28164 +a(g822 +V\u000a +p28165 +tp28166 +a(g7 +V +p28167 +tp28168 +a(g822 +V +p28169 +tp28170 +a(g32 +V05 +p28171 +tp28172 +a(g781 +VFILLER +p28173 +tp28174 +a(g822 +g958 +tp28175 +a(g744 +VPIC X(33) +p28176 +tp28177 +a(g822 +g958 +tp28178 +a(g781 +VVALUE +p28179 +tp28180 +a(g152 +V" PREVIOUS" +p28181 +tp28182 +a(g701 +g965 +tp28183 +a(g822 +V\u000a +p28184 +tp28185 +a(g7 +V +p28186 +tp28187 +a(g822 +V +p28188 +tp28189 +a(g32 +V05 +p28190 +tp28191 +a(g781 +VFILLER +p28192 +tp28193 +a(g822 +g958 +tp28194 +a(g744 +VPIC X(33) +p28195 +tp28196 +a(g822 +g958 +tp28197 +a(g781 +VVALUE +p28198 +tp28199 +a(g152 +V"MPRINTER" +p28200 +tp28201 +a(g701 +g965 +tp28202 +a(g822 +V\u000a +p28203 +tp28204 +a(g7 +V +p28205 +tp28206 +a(g822 +V +p28207 +tp28208 +a(g32 +V05 +p28209 +tp28210 +a(g781 +VFILLER +p28211 +tp28212 +a(g822 +g958 +tp28213 +a(g744 +VPIC X(33) +p28214 +tp28215 +a(g822 +g958 +tp28216 +a(g781 +VVALUE +p28217 +tp28218 +a(g152 +V" PRINTING" +p28219 +tp28220 +a(g701 +g965 +tp28221 +a(g822 +V\u000a +p28222 +tp28223 +a(g7 +V +p28224 +tp28225 +a(g822 +V +p28226 +tp28227 +a(g32 +V05 +p28228 +tp28229 +a(g781 +VFILLER +p28230 +tp28231 +a(g822 +g958 +tp28232 +a(g744 +VPIC X(33) +p28233 +tp28234 +a(g822 +g958 +tp28235 +a(g781 +VVALUE +p28236 +tp28237 +a(g152 +V"KPROCEDURE" +p28238 +tp28239 +a(g701 +g965 +tp28240 +a(g822 +V\u000a +p28241 +tp28242 +a(g7 +V +p28243 +tp28244 +a(g822 +V +p28245 +tp28246 +a(g32 +V05 +p28247 +tp28248 +a(g781 +VFILLER +p28249 +tp28250 +a(g822 +g958 +tp28251 +a(g744 +VPIC X(33) +p28252 +tp28253 +a(g822 +g958 +tp28254 +a(g781 +VVALUE +p28255 +tp28256 +a(g152 +V" PROCEDURE-POINTER" +p28257 +tp28258 +a(g701 +g965 +tp28259 +a(g822 +V\u000a +p28260 +tp28261 +a(g7 +V +p28262 +tp28263 +a(g822 +V +p28264 +tp28265 +a(g32 +V05 +p28266 +tp28267 +a(g781 +VFILLER +p28268 +tp28269 +a(g822 +g958 +tp28270 +a(g744 +VPIC X(33) +p28271 +tp28272 +a(g822 +g958 +tp28273 +a(g781 +VVALUE +p28274 +tp28275 +a(g152 +V" PROCEDURES" +p28276 +tp28277 +a(g701 +g965 +tp28278 +a(g822 +V\u000a +p28279 +tp28280 +a(g7 +V +p28281 +tp28282 +a(g822 +V +p28283 +tp28284 +a(g32 +V05 +p28285 +tp28286 +a(g781 +VFILLER +p28287 +tp28288 +a(g822 +g958 +tp28289 +a(g744 +VPIC X(33) +p28290 +tp28291 +a(g822 +g958 +tp28292 +a(g781 +VVALUE +p28293 +tp28294 +a(g152 +V" PROCEED" +p28295 +tp28296 +a(g701 +g965 +tp28297 +a(g822 +V\u000a +p28298 +tp28299 +a(g7 +V +p28300 +tp28301 +a(g822 +V +p28302 +tp28303 +a(g32 +V05 +p28304 +tp28305 +a(g781 +VFILLER +p28306 +tp28307 +a(g822 +g958 +tp28308 +a(g744 +VPIC X(33) +p28309 +tp28310 +a(g822 +g958 +tp28311 +a(g781 +VVALUE +p28312 +tp28313 +a(g152 +V" PROGRAM" +p28314 +tp28315 +a(g701 +g965 +tp28316 +a(g822 +V\u000a +p28317 +tp28318 +a(g7 +V +p28319 +tp28320 +a(g822 +V +p28321 +tp28322 +a(g32 +V05 +p28323 +tp28324 +a(g781 +VFILLER +p28325 +tp28326 +a(g822 +g958 +tp28327 +a(g744 +VPIC X(33) +p28328 +tp28329 +a(g822 +g958 +tp28330 +a(g781 +VVALUE +p28331 +tp28332 +a(g152 +V"KPROGRAM-ID" +p28333 +tp28334 +a(g701 +g965 +tp28335 +a(g822 +V\u000a +p28336 +tp28337 +a(g7 +V +p28338 +tp28339 +a(g822 +V +p28340 +tp28341 +a(g32 +V05 +p28342 +tp28343 +a(g781 +VFILLER +p28344 +tp28345 +a(g822 +g958 +tp28346 +a(g744 +VPIC X(33) +p28347 +tp28348 +a(g822 +g958 +tp28349 +a(g781 +VVALUE +p28350 +tp28351 +a(g152 +V" PROGRAM-POINTER" +p28352 +tp28353 +a(g701 +g965 +tp28354 +a(g822 +V\u000a +p28355 +tp28356 +a(g7 +V +p28357 +tp28358 +a(g822 +V +p28359 +tp28360 +a(g32 +V05 +p28361 +tp28362 +a(g781 +VFILLER +p28363 +tp28364 +a(g822 +g958 +tp28365 +a(g744 +VPIC X(33) +p28366 +tp28367 +a(g822 +g958 +tp28368 +a(g781 +VVALUE +p28369 +tp28370 +a(g152 +V" PROMPT" +p28371 +tp28372 +a(g701 +g965 +tp28373 +a(g822 +V\u000a +p28374 +tp28375 +a(g7 +V +p28376 +tp28377 +a(g822 +V +p28378 +tp28379 +a(g32 +V05 +p28380 +tp28381 +a(g781 +VFILLER +p28382 +tp28383 +a(g822 +g958 +tp28384 +a(g744 +VPIC X(33) +p28385 +tp28386 +a(g822 +g958 +tp28387 +a(g781 +VVALUE +p28388 +tp28389 +a(g152 +V" PROPERTY" +p28390 +tp28391 +a(g701 +g965 +tp28392 +a(g822 +V\u000a +p28393 +tp28394 +a(g7 +V +p28395 +tp28396 +a(g822 +V +p28397 +tp28398 +a(g32 +V05 +p28399 +tp28400 +a(g781 +VFILLER +p28401 +tp28402 +a(g822 +g958 +tp28403 +a(g744 +VPIC X(33) +p28404 +tp28405 +a(g822 +g958 +tp28406 +a(g781 +VVALUE +p28407 +tp28408 +a(g152 +V" PROTOTYPE" +p28409 +tp28410 +a(g701 +g965 +tp28411 +a(g822 +V\u000a +p28412 +tp28413 +a(g7 +V +p28414 +tp28415 +a(g822 +V +p28416 +tp28417 +a(g32 +V05 +p28418 +tp28419 +a(g781 +VFILLER +p28420 +tp28421 +a(g822 +g958 +tp28422 +a(g744 +VPIC X(33) +p28423 +tp28424 +a(g822 +g958 +tp28425 +a(g781 +VVALUE +p28426 +tp28427 +a(g152 +V" QUOTE" +p28428 +tp28429 +a(g701 +g965 +tp28430 +a(g822 +V\u000a +p28431 +tp28432 +a(g7 +V +p28433 +tp28434 +a(g822 +V +p28435 +tp28436 +a(g32 +V05 +p28437 +tp28438 +a(g781 +VFILLER +p28439 +tp28440 +a(g822 +g958 +tp28441 +a(g744 +VPIC X(33) +p28442 +tp28443 +a(g822 +g958 +tp28444 +a(g781 +VVALUE +p28445 +tp28446 +a(g152 +V" QUOTES" +p28447 +tp28448 +a(g701 +g965 +tp28449 +a(g822 +V\u000a +p28450 +tp28451 +a(g7 +V +p28452 +tp28453 +a(g822 +V +p28454 +tp28455 +a(g32 +V05 +p28456 +tp28457 +a(g781 +VFILLER +p28458 +tp28459 +a(g822 +g958 +tp28460 +a(g744 +VPIC X(33) +p28461 +tp28462 +a(g822 +g958 +tp28463 +a(g781 +VVALUE +p28464 +tp28465 +a(g152 +V" RAISE" +p28466 +tp28467 +a(g701 +g965 +tp28468 +a(g822 +V\u000a +p28469 +tp28470 +a(g7 +V +p28471 +tp28472 +a(g822 +V +p28473 +tp28474 +a(g32 +V05 +p28475 +tp28476 +a(g781 +VFILLER +p28477 +tp28478 +a(g822 +g958 +tp28479 +a(g744 +VPIC X(33) +p28480 +tp28481 +a(g822 +g958 +tp28482 +a(g781 +VVALUE +p28483 +tp28484 +a(g152 +V" RAISING" +p28485 +tp28486 +a(g701 +g965 +tp28487 +a(g822 +V\u000a +p28488 +tp28489 +a(g7 +V +p28490 +tp28491 +a(g822 +V +p28492 +tp28493 +a(g32 +V05 +p28494 +tp28495 +a(g781 +VFILLER +p28496 +tp28497 +a(g822 +g958 +tp28498 +a(g744 +VPIC X(33) +p28499 +tp28500 +a(g822 +g958 +tp28501 +a(g781 +VVALUE +p28502 +tp28503 +a(g152 +V"IRANDOM" +p28504 +tp28505 +a(g701 +g965 +tp28506 +a(g822 +V\u000a +p28507 +tp28508 +a(g7 +V +p28509 +tp28510 +a(g822 +V +p28511 +tp28512 +a(g32 +V05 +p28513 +tp28514 +a(g781 +VFILLER +p28515 +tp28516 +a(g822 +g958 +tp28517 +a(g744 +VPIC X(33) +p28518 +tp28519 +a(g822 +g958 +tp28520 +a(g781 +VVALUE +p28521 +tp28522 +a(g152 +V"IRANGE" +p28523 +tp28524 +a(g701 +g965 +tp28525 +a(g822 +V\u000a +p28526 +tp28527 +a(g7 +V +p28528 +tp28529 +a(g822 +V +p28530 +tp28531 +a(g32 +V05 +p28532 +tp28533 +a(g781 +VFILLER +p28534 +tp28535 +a(g822 +g958 +tp28536 +a(g744 +VPIC X(33) +p28537 +tp28538 +a(g822 +g958 +tp28539 +a(g781 +VVALUE +p28540 +tp28541 +a(g152 +V" RD" +p28542 +tp28543 +a(g701 +g965 +tp28544 +a(g822 +V\u000a +p28545 +tp28546 +a(g7 +V +p28547 +tp28548 +a(g822 +V +p28549 +tp28550 +a(g32 +V05 +p28551 +tp28552 +a(g781 +VFILLER +p28553 +tp28554 +a(g822 +g958 +tp28555 +a(g744 +VPIC X(33) +p28556 +tp28557 +a(g822 +g958 +tp28558 +a(g781 +VVALUE +p28559 +tp28560 +a(g152 +V"VREAD" +p28561 +tp28562 +a(g701 +g965 +tp28563 +a(g822 +V\u000a +p28564 +tp28565 +a(g7 +V +p28566 +tp28567 +a(g822 +V +p28568 +tp28569 +a(g32 +V05 +p28570 +tp28571 +a(g781 +VFILLER +p28572 +tp28573 +a(g822 +g958 +tp28574 +a(g744 +VPIC X(33) +p28575 +tp28576 +a(g822 +g958 +tp28577 +a(g781 +VVALUE +p28578 +tp28579 +a(g152 +V"VREADY" +p28580 +tp28581 +a(g701 +g965 +tp28582 +a(g822 +V\u000a +p28583 +tp28584 +a(g7 +V +p28585 +tp28586 +a(g822 +V +p28587 +tp28588 +a(g32 +V05 +p28589 +tp28590 +a(g781 +VFILLER +p28591 +tp28592 +a(g822 +g958 +tp28593 +a(g744 +VPIC X(33) +p28594 +tp28595 +a(g822 +g958 +tp28596 +a(g781 +VVALUE +p28597 +tp28598 +a(g152 +V" RECORD" +p28599 +tp28600 +a(g701 +g965 +tp28601 +a(g822 +V\u000a +p28602 +tp28603 +a(g7 +V +p28604 +tp28605 +a(g822 +V +p28606 +tp28607 +a(g32 +V05 +p28608 +tp28609 +a(g781 +VFILLER +p28610 +tp28611 +a(g822 +g958 +tp28612 +a(g744 +VPIC X(33) +p28613 +tp28614 +a(g822 +g958 +tp28615 +a(g781 +VVALUE +p28616 +tp28617 +a(g152 +V" RECORDING" +p28618 +tp28619 +a(g701 +g965 +tp28620 +a(g822 +V\u000a +p28621 +tp28622 +a(g7 +V +p28623 +tp28624 +a(g822 +V +p28625 +tp28626 +a(g32 +V05 +p28627 +tp28628 +a(g781 +VFILLER +p28629 +tp28630 +a(g822 +g958 +tp28631 +a(g744 +VPIC X(33) +p28632 +tp28633 +a(g822 +g958 +tp28634 +a(g781 +VVALUE +p28635 +tp28636 +a(g152 +V" RECORDS" +p28637 +tp28638 +a(g701 +g965 +tp28639 +a(g822 +V\u000a +p28640 +tp28641 +a(g7 +V +p28642 +tp28643 +a(g822 +V +p28644 +tp28645 +a(g32 +V05 +p28646 +tp28647 +a(g781 +VFILLER +p28648 +tp28649 +a(g822 +g958 +tp28650 +a(g744 +VPIC X(33) +p28651 +tp28652 +a(g822 +g958 +tp28653 +a(g781 +VVALUE +p28654 +tp28655 +a(g152 +V" RECURSIVE" +p28656 +tp28657 +a(g701 +g965 +tp28658 +a(g822 +V\u000a +p28659 +tp28660 +a(g7 +V +p28661 +tp28662 +a(g822 +V +p28663 +tp28664 +a(g32 +V05 +p28665 +tp28666 +a(g781 +VFILLER +p28667 +tp28668 +a(g822 +g958 +tp28669 +a(g744 +VPIC X(33) +p28670 +tp28671 +a(g822 +g958 +tp28672 +a(g781 +VVALUE +p28673 +tp28674 +a(g152 +V"KREDEFINES" +p28675 +tp28676 +a(g701 +g965 +tp28677 +a(g822 +V\u000a +p28678 +tp28679 +a(g7 +V +p28680 +tp28681 +a(g822 +V +p28682 +tp28683 +a(g32 +V05 +p28684 +tp28685 +a(g781 +VFILLER +p28686 +tp28687 +a(g822 +g958 +tp28688 +a(g744 +VPIC X(33) +p28689 +tp28690 +a(g822 +g958 +tp28691 +a(g781 +VVALUE +p28692 +tp28693 +a(g152 +V" REEL" +p28694 +tp28695 +a(g701 +g965 +tp28696 +a(g822 +V\u000a +p28697 +tp28698 +a(g7 +V +p28699 +tp28700 +a(g822 +V +p28701 +tp28702 +a(g32 +V05 +p28703 +tp28704 +a(g781 +VFILLER +p28705 +tp28706 +a(g822 +g958 +tp28707 +a(g744 +VPIC X(33) +p28708 +tp28709 +a(g822 +g958 +tp28710 +a(g781 +VVALUE +p28711 +tp28712 +a(g152 +V" REFERENCE" +p28713 +tp28714 +a(g701 +g965 +tp28715 +a(g822 +V\u000a +p28716 +tp28717 +a(g7 +V +p28718 +tp28719 +a(g822 +V +p28720 +tp28721 +a(g32 +V05 +p28722 +tp28723 +a(g781 +VFILLER +p28724 +tp28725 +a(g822 +g958 +tp28726 +a(g744 +VPIC X(33) +p28727 +tp28728 +a(g822 +g958 +tp28729 +a(g781 +VVALUE +p28730 +tp28731 +a(g152 +V" RELATIVE" +p28732 +tp28733 +a(g701 +g965 +tp28734 +a(g822 +V\u000a +p28735 +tp28736 +a(g7 +V +p28737 +tp28738 +a(g822 +V +p28739 +tp28740 +a(g32 +V05 +p28741 +tp28742 +a(g781 +VFILLER +p28743 +tp28744 +a(g822 +g958 +tp28745 +a(g744 +VPIC X(33) +p28746 +tp28747 +a(g822 +g958 +tp28748 +a(g781 +VVALUE +p28749 +tp28750 +a(g152 +V"VRELEASE" +p28751 +tp28752 +a(g701 +g965 +tp28753 +a(g822 +V\u000a +p28754 +tp28755 +a(g7 +V +p28756 +tp28757 +a(g822 +V +p28758 +tp28759 +a(g32 +V05 +p28760 +tp28761 +a(g781 +VFILLER +p28762 +tp28763 +a(g822 +g958 +tp28764 +a(g744 +VPIC X(33) +p28765 +tp28766 +a(g822 +g958 +tp28767 +a(g781 +VVALUE +p28768 +tp28769 +a(g152 +V"IREM" +p28770 +tp28771 +a(g701 +g965 +tp28772 +a(g822 +V\u000a +p28773 +tp28774 +a(g7 +V +p28775 +tp28776 +a(g822 +V +p28777 +tp28778 +a(g32 +V05 +p28779 +tp28780 +a(g781 +VFILLER +p28781 +tp28782 +a(g822 +g958 +tp28783 +a(g744 +VPIC X(33) +p28784 +tp28785 +a(g822 +g958 +tp28786 +a(g781 +VVALUE +p28787 +tp28788 +a(g152 +V" REMAINDER" +p28789 +tp28790 +a(g701 +g965 +tp28791 +a(g822 +V\u000a +p28792 +tp28793 +a(g7 +V +p28794 +tp28795 +a(g822 +V +p28796 +tp28797 +a(g32 +V05 +p28798 +tp28799 +a(g781 +VFILLER +p28800 +tp28801 +a(g822 +g958 +tp28802 +a(g744 +VPIC X(33) +p28803 +tp28804 +a(g822 +g958 +tp28805 +a(g781 +VVALUE +p28806 +tp28807 +a(g152 +V" REMARKS" +p28808 +tp28809 +a(g701 +g965 +tp28810 +a(g822 +V\u000a +p28811 +tp28812 +a(g7 +V +p28813 +tp28814 +a(g822 +V +p28815 +tp28816 +a(g32 +V05 +p28817 +tp28818 +a(g781 +VFILLER +p28819 +tp28820 +a(g822 +g958 +tp28821 +a(g744 +VPIC X(33) +p28822 +tp28823 +a(g822 +g958 +tp28824 +a(g781 +VVALUE +p28825 +tp28826 +a(g152 +V" REMOVAL" +p28827 +tp28828 +a(g701 +g965 +tp28829 +a(g822 +V\u000a +p28830 +tp28831 +a(g7 +V +p28832 +tp28833 +a(g822 +V +p28834 +tp28835 +a(g32 +V05 +p28836 +tp28837 +a(g781 +VFILLER +p28838 +tp28839 +a(g822 +g958 +tp28840 +a(g744 +VPIC X(33) +p28841 +tp28842 +a(g822 +g958 +tp28843 +a(g781 +VVALUE +p28844 +tp28845 +a(g152 +V"KRENAMES" +p28846 +tp28847 +a(g701 +g965 +tp28848 +a(g822 +V\u000a +p28849 +tp28850 +a(g7 +V +p28851 +tp28852 +a(g822 +V +p28853 +tp28854 +a(g32 +V05 +p28855 +tp28856 +a(g781 +VFILLER +p28857 +tp28858 +a(g822 +g958 +tp28859 +a(g744 +VPIC X(33) +p28860 +tp28861 +a(g822 +g958 +tp28862 +a(g781 +VVALUE +p28863 +tp28864 +a(g152 +V"KREPLACING" +p28865 +tp28866 +a(g701 +g965 +tp28867 +a(g822 +V\u000a +p28868 +tp28869 +a(g7 +V +p28870 +tp28871 +a(g822 +V +p28872 +tp28873 +a(g32 +V05 +p28874 +tp28875 +a(g781 +VFILLER +p28876 +tp28877 +a(g822 +g958 +tp28878 +a(g744 +VPIC X(33) +p28879 +tp28880 +a(g822 +g958 +tp28881 +a(g781 +VVALUE +p28882 +tp28883 +a(g152 +V"KREPORT" +p28884 +tp28885 +a(g701 +g965 +tp28886 +a(g822 +V\u000a +p28887 +tp28888 +a(g7 +V +p28889 +tp28890 +a(g822 +V +p28891 +tp28892 +a(g32 +V05 +p28893 +tp28894 +a(g781 +VFILLER +p28895 +tp28896 +a(g822 +g958 +tp28897 +a(g744 +VPIC X(33) +p28898 +tp28899 +a(g822 +g958 +tp28900 +a(g781 +VVALUE +p28901 +tp28902 +a(g152 +V" REPORTING" +p28903 +tp28904 +a(g701 +g965 +tp28905 +a(g822 +V\u000a +p28906 +tp28907 +a(g7 +V +p28908 +tp28909 +a(g822 +V +p28910 +tp28911 +a(g32 +V05 +p28912 +tp28913 +a(g781 +VFILLER +p28914 +tp28915 +a(g822 +g958 +tp28916 +a(g744 +VPIC X(33) +p28917 +tp28918 +a(g822 +g958 +tp28919 +a(g781 +VVALUE +p28920 +tp28921 +a(g152 +V" REPORTS" +p28922 +tp28923 +a(g701 +g965 +tp28924 +a(g822 +V\u000a +p28925 +tp28926 +a(g7 +V +p28927 +tp28928 +a(g822 +V +p28929 +tp28930 +a(g32 +V05 +p28931 +tp28932 +a(g781 +VFILLER +p28933 +tp28934 +a(g822 +g958 +tp28935 +a(g744 +VPIC X(33) +p28936 +tp28937 +a(g822 +g958 +tp28938 +a(g781 +VVALUE +p28939 +tp28940 +a(g152 +V" REPOSITORY" +p28941 +tp28942 +a(g701 +g965 +tp28943 +a(g822 +V\u000a +p28944 +tp28945 +a(g7 +V +p28946 +tp28947 +a(g822 +V +p28948 +tp28949 +a(g32 +V05 +p28950 +tp28951 +a(g781 +VFILLER +p28952 +tp28953 +a(g822 +g958 +tp28954 +a(g744 +VPIC X(33) +p28955 +tp28956 +a(g822 +g958 +tp28957 +a(g781 +VVALUE +p28958 +tp28959 +a(g152 +V" REPRESENTS-NOT-A-NUMBER" +p28960 +tp28961 +a(g701 +g965 +tp28962 +a(g822 +V\u000a +p28963 +tp28964 +a(g7 +V +p28965 +tp28966 +a(g822 +V +p28967 +tp28968 +a(g32 +V05 +p28969 +tp28970 +a(g781 +VFILLER +p28971 +tp28972 +a(g822 +g958 +tp28973 +a(g744 +VPIC X(33) +p28974 +tp28975 +a(g822 +g958 +tp28976 +a(g781 +VVALUE +p28977 +tp28978 +a(g152 +V" REQUIRED" +p28979 +tp28980 +a(g701 +g965 +tp28981 +a(g822 +V\u000a +p28982 +tp28983 +a(g7 +V +p28984 +tp28985 +a(g822 +V +p28986 +tp28987 +a(g32 +V05 +p28988 +tp28989 +a(g781 +VFILLER +p28990 +tp28991 +a(g822 +g958 +tp28992 +a(g744 +VPIC X(33) +p28993 +tp28994 +a(g822 +g958 +tp28995 +a(g781 +VVALUE +p28996 +tp28997 +a(g152 +V" RESERVE" +p28998 +tp28999 +a(g701 +g965 +tp29000 +a(g822 +V\u000a +p29001 +tp29002 +a(g7 +V +p29003 +tp29004 +a(g822 +V +p29005 +tp29006 +a(g32 +V05 +p29007 +tp29008 +a(g781 +VFILLER +p29009 +tp29010 +a(g822 +g958 +tp29011 +a(g744 +VPIC X(33) +p29012 +tp29013 +a(g822 +g958 +tp29014 +a(g781 +VVALUE +p29015 +tp29016 +a(g152 +V" RESUME" +p29017 +tp29018 +a(g701 +g965 +tp29019 +a(g822 +V\u000a +p29020 +tp29021 +a(g7 +V +p29022 +tp29023 +a(g822 +V +p29024 +tp29025 +a(g32 +V05 +p29026 +tp29027 +a(g781 +VFILLER +p29028 +tp29029 +a(g822 +g958 +tp29030 +a(g744 +VPIC X(33) +p29031 +tp29032 +a(g822 +g958 +tp29033 +a(g781 +VVALUE +p29034 +tp29035 +a(g152 +V" RETRY" +p29036 +tp29037 +a(g701 +g965 +tp29038 +a(g822 +V\u000a +p29039 +tp29040 +a(g7 +V +p29041 +tp29042 +a(g822 +V +p29043 +tp29044 +a(g32 +V05 +p29045 +tp29046 +a(g781 +VFILLER +p29047 +tp29048 +a(g822 +g958 +tp29049 +a(g744 +VPIC X(33) +p29050 +tp29051 +a(g822 +g958 +tp29052 +a(g781 +VVALUE +p29053 +tp29054 +a(g152 +V"VRETURN" +p29055 +tp29056 +a(g701 +g965 +tp29057 +a(g822 +V\u000a +p29058 +tp29059 +a(g7 +V +p29060 +tp29061 +a(g822 +V +p29062 +tp29063 +a(g32 +V05 +p29064 +tp29065 +a(g781 +VFILLER +p29066 +tp29067 +a(g822 +g958 +tp29068 +a(g744 +VPIC X(33) +p29069 +tp29070 +a(g822 +g958 +tp29071 +a(g781 +VVALUE +p29072 +tp29073 +a(g152 +V"IRETURN-CODE" +p29074 +tp29075 +a(g701 +g965 +tp29076 +a(g822 +V\u000a +p29077 +tp29078 +a(g7 +V +p29079 +tp29080 +a(g822 +V +p29081 +tp29082 +a(g32 +V05 +p29083 +tp29084 +a(g781 +VFILLER +p29085 +tp29086 +a(g822 +g958 +tp29087 +a(g744 +VPIC X(33) +p29088 +tp29089 +a(g822 +g958 +tp29090 +a(g781 +VVALUE +p29091 +tp29092 +a(g152 +V"KRETURNING" +p29093 +tp29094 +a(g701 +g965 +tp29095 +a(g822 +V\u000a +p29096 +tp29097 +a(g7 +V +p29098 +tp29099 +a(g822 +V +p29100 +tp29101 +a(g32 +V05 +p29102 +tp29103 +a(g781 +VFILLER +p29104 +tp29105 +a(g822 +g958 +tp29106 +a(g744 +VPIC X(33) +p29107 +tp29108 +a(g822 +g958 +tp29109 +a(g781 +VVALUE +p29110 +tp29111 +a(g152 +V"IREVERSE" +p29112 +tp29113 +a(g701 +g965 +tp29114 +a(g822 +V\u000a +p29115 +tp29116 +a(g7 +V +p29117 +tp29118 +a(g822 +V +p29119 +tp29120 +a(g32 +V05 +p29121 +tp29122 +a(g781 +VFILLER +p29123 +tp29124 +a(g822 +g958 +tp29125 +a(g744 +VPIC X(33) +p29126 +tp29127 +a(g822 +g958 +tp29128 +a(g781 +VVALUE +p29129 +tp29130 +a(g152 +V" REVERSE-VIDEO" +p29131 +tp29132 +a(g701 +g965 +tp29133 +a(g822 +V\u000a +p29134 +tp29135 +a(g7 +V +p29136 +tp29137 +a(g822 +V +p29138 +tp29139 +a(g32 +V05 +p29140 +tp29141 +a(g781 +VFILLER +p29142 +tp29143 +a(g822 +g958 +tp29144 +a(g744 +VPIC X(33) +p29145 +tp29146 +a(g822 +g958 +tp29147 +a(g781 +VVALUE +p29148 +tp29149 +a(g152 +V" REWIND" +p29150 +tp29151 +a(g701 +g965 +tp29152 +a(g822 +V\u000a +p29153 +tp29154 +a(g7 +V +p29155 +tp29156 +a(g822 +V +p29157 +tp29158 +a(g32 +V05 +p29159 +tp29160 +a(g781 +VFILLER +p29161 +tp29162 +a(g822 +g958 +tp29163 +a(g744 +VPIC X(33) +p29164 +tp29165 +a(g822 +g958 +tp29166 +a(g781 +VVALUE +p29167 +tp29168 +a(g152 +V"VREWRITE" +p29169 +tp29170 +a(g701 +g965 +tp29171 +a(g822 +V\u000a +p29172 +tp29173 +a(g7 +V +p29174 +tp29175 +a(g822 +V +p29176 +tp29177 +a(g32 +V05 +p29178 +tp29179 +a(g781 +VFILLER +p29180 +tp29181 +a(g822 +g958 +tp29182 +a(g744 +VPIC X(33) +p29183 +tp29184 +a(g822 +g958 +tp29185 +a(g781 +VVALUE +p29186 +tp29187 +a(g152 +V" RF" +p29188 +tp29189 +a(g701 +g965 +tp29190 +a(g822 +V\u000a +p29191 +tp29192 +a(g7 +V +p29193 +tp29194 +a(g822 +V +p29195 +tp29196 +a(g32 +V05 +p29197 +tp29198 +a(g781 +VFILLER +p29199 +tp29200 +a(g822 +g958 +tp29201 +a(g744 +VPIC X(33) +p29202 +tp29203 +a(g822 +g958 +tp29204 +a(g781 +VVALUE +p29205 +tp29206 +a(g152 +V" RH" +p29207 +tp29208 +a(g701 +g965 +tp29209 +a(g822 +V\u000a +p29210 +tp29211 +a(g7 +V +p29212 +tp29213 +a(g822 +V +p29214 +tp29215 +a(g32 +V05 +p29216 +tp29217 +a(g781 +VFILLER +p29218 +tp29219 +a(g822 +g958 +tp29220 +a(g744 +VPIC X(33) +p29221 +tp29222 +a(g822 +g958 +tp29223 +a(g781 +VVALUE +p29224 +tp29225 +a(g152 +V" RIGHT" +p29226 +tp29227 +a(g701 +g965 +tp29228 +a(g822 +V\u000a +p29229 +tp29230 +a(g7 +V +p29231 +tp29232 +a(g822 +V +p29233 +tp29234 +a(g32 +V05 +p29235 +tp29236 +a(g781 +VFILLER +p29237 +tp29238 +a(g822 +g958 +tp29239 +a(g744 +VPIC X(33) +p29240 +tp29241 +a(g822 +g958 +tp29242 +a(g781 +VVALUE +p29243 +tp29244 +a(g152 +V" RIGHT-JUSTIFY" +p29245 +tp29246 +a(g701 +g965 +tp29247 +a(g822 +V\u000a +p29248 +tp29249 +a(g7 +V +p29250 +tp29251 +a(g822 +V +p29252 +tp29253 +a(g32 +V05 +p29254 +tp29255 +a(g781 +VFILLER +p29256 +tp29257 +a(g822 +g958 +tp29258 +a(g744 +VPIC X(33) +p29259 +tp29260 +a(g822 +g958 +tp29261 +a(g781 +VVALUE +p29262 +tp29263 +a(g152 +V"VROLLBACK" +p29264 +tp29265 +a(g701 +g965 +tp29266 +a(g822 +V\u000a +p29267 +tp29268 +a(g7 +V +p29269 +tp29270 +a(g822 +V +p29271 +tp29272 +a(g32 +V05 +p29273 +tp29274 +a(g781 +VFILLER +p29275 +tp29276 +a(g822 +g958 +tp29277 +a(g744 +VPIC X(33) +p29278 +tp29279 +a(g822 +g958 +tp29280 +a(g781 +VVALUE +p29281 +tp29282 +a(g152 +V" ROUNDED" +p29283 +tp29284 +a(g701 +g965 +tp29285 +a(g822 +V\u000a +p29286 +tp29287 +a(g7 +V +p29288 +tp29289 +a(g822 +V +p29290 +tp29291 +a(g32 +V05 +p29292 +tp29293 +a(g781 +VFILLER +p29294 +tp29295 +a(g822 +g958 +tp29296 +a(g744 +VPIC X(33) +p29297 +tp29298 +a(g822 +g958 +tp29299 +a(g781 +VVALUE +p29300 +tp29301 +a(g152 +V" RUN" +p29302 +tp29303 +a(g701 +g965 +tp29304 +a(g822 +V\u000a +p29305 +tp29306 +a(g7 +V +p29307 +tp29308 +a(g822 +V +p29309 +tp29310 +a(g32 +V05 +p29311 +tp29312 +a(g781 +VFILLER +p29313 +tp29314 +a(g822 +g958 +tp29315 +a(g744 +VPIC X(33) +p29316 +tp29317 +a(g822 +g958 +tp29318 +a(g781 +VVALUE +p29319 +tp29320 +a(g152 +V" SAME" +p29321 +tp29322 +a(g701 +g965 +tp29323 +a(g822 +V\u000a +p29324 +tp29325 +a(g7 +V +p29326 +tp29327 +a(g822 +V +p29328 +tp29329 +a(g32 +V05 +p29330 +tp29331 +a(g781 +VFILLER +p29332 +tp29333 +a(g822 +g958 +tp29334 +a(g744 +VPIC X(33) +p29335 +tp29336 +a(g822 +g958 +tp29337 +a(g781 +VVALUE +p29338 +tp29339 +a(g152 +V"KSCREEN" +p29340 +tp29341 +a(g701 +g965 +tp29342 +a(g822 +V\u000a +p29343 +tp29344 +a(g7 +V +p29345 +tp29346 +a(g822 +V +p29347 +tp29348 +a(g32 +V05 +p29349 +tp29350 +a(g781 +VFILLER +p29351 +tp29352 +a(g822 +g958 +tp29353 +a(g744 +VPIC X(33) +p29354 +tp29355 +a(g822 +g958 +tp29356 +a(g781 +VVALUE +p29357 +tp29358 +a(g152 +V" SCROLL" +p29359 +tp29360 +a(g701 +g965 +tp29361 +a(g822 +V\u000a +p29362 +tp29363 +a(g7 +V +p29364 +tp29365 +a(g822 +V +p29366 +tp29367 +a(g32 +V05 +p29368 +tp29369 +a(g781 +VFILLER +p29370 +tp29371 +a(g822 +g958 +tp29372 +a(g744 +VPIC X(33) +p29373 +tp29374 +a(g822 +g958 +tp29375 +a(g781 +VVALUE +p29376 +tp29377 +a(g152 +V"KSD" +p29378 +tp29379 +a(g701 +g965 +tp29380 +a(g822 +V\u000a +p29381 +tp29382 +a(g7 +V +p29383 +tp29384 +a(g822 +V +p29385 +tp29386 +a(g32 +V05 +p29387 +tp29388 +a(g781 +VFILLER +p29389 +tp29390 +a(g822 +g958 +tp29391 +a(g744 +VPIC X(33) +p29392 +tp29393 +a(g822 +g958 +tp29394 +a(g781 +VVALUE +p29395 +tp29396 +a(g152 +V"VSEARCH" +p29397 +tp29398 +a(g701 +g965 +tp29399 +a(g822 +V\u000a +p29400 +tp29401 +a(g7 +V +p29402 +tp29403 +a(g822 +V +p29404 +tp29405 +a(g32 +V05 +p29406 +tp29407 +a(g781 +VFILLER +p29408 +tp29409 +a(g822 +g958 +tp29410 +a(g744 +VPIC X(33) +p29411 +tp29412 +a(g822 +g958 +tp29413 +a(g781 +VVALUE +p29414 +tp29415 +a(g152 +V"ISECONDS-FROM-FORMATTED-TIME" +p29416 +tp29417 +a(g701 +g965 +tp29418 +a(g822 +V\u000a +p29419 +tp29420 +a(g7 +V +p29421 +tp29422 +a(g822 +V +p29423 +tp29424 +a(g32 +V05 +p29425 +tp29426 +a(g781 +VFILLER +p29427 +tp29428 +a(g822 +g958 +tp29429 +a(g744 +VPIC X(33) +p29430 +tp29431 +a(g822 +g958 +tp29432 +a(g781 +VVALUE +p29433 +tp29434 +a(g152 +V"ISECONDS-PAST-MIDNIGHT" +p29435 +tp29436 +a(g701 +g965 +tp29437 +a(g822 +V\u000a +p29438 +tp29439 +a(g7 +V +p29440 +tp29441 +a(g822 +V +p29442 +tp29443 +a(g32 +V05 +p29444 +tp29445 +a(g781 +VFILLER +p29446 +tp29447 +a(g822 +g958 +tp29448 +a(g744 +VPIC X(33) +p29449 +tp29450 +a(g822 +g958 +tp29451 +a(g781 +VVALUE +p29452 +tp29453 +a(g152 +V"KSECTION" +p29454 +tp29455 +a(g701 +g965 +tp29456 +a(g822 +V\u000a +p29457 +tp29458 +a(g7 +V +p29459 +tp29460 +a(g822 +V +p29461 +tp29462 +a(g32 +V05 +p29463 +tp29464 +a(g781 +VFILLER +p29465 +tp29466 +a(g822 +g958 +tp29467 +a(g744 +VPIC X(33) +p29468 +tp29469 +a(g822 +g958 +tp29470 +a(g781 +VVALUE +p29471 +tp29472 +a(g152 +V" SECURE" +p29473 +tp29474 +a(g701 +g965 +tp29475 +a(g822 +V\u000a +p29476 +tp29477 +a(g7 +V +p29478 +tp29479 +a(g822 +V +p29480 +tp29481 +a(g32 +V05 +p29482 +tp29483 +a(g781 +VFILLER +p29484 +tp29485 +a(g822 +g958 +tp29486 +a(g744 +VPIC X(33) +p29487 +tp29488 +a(g822 +g958 +tp29489 +a(g781 +VVALUE +p29490 +tp29491 +a(g152 +V" SECURITY" +p29492 +tp29493 +a(g701 +g965 +tp29494 +a(g822 +V\u000a +p29495 +tp29496 +a(g7 +V +p29497 +tp29498 +a(g822 +V +p29499 +tp29500 +a(g32 +V05 +p29501 +tp29502 +a(g781 +VFILLER +p29503 +tp29504 +a(g822 +g958 +tp29505 +a(g744 +VPIC X(33) +p29506 +tp29507 +a(g822 +g958 +tp29508 +a(g781 +VVALUE +p29509 +tp29510 +a(g152 +V" SEGMENT-LIMIT" +p29511 +tp29512 +a(g701 +g965 +tp29513 +a(g822 +V\u000a +p29514 +tp29515 +a(g7 +V +p29516 +tp29517 +a(g822 +V +p29518 +tp29519 +a(g32 +V05 +p29520 +tp29521 +a(g781 +VFILLER +p29522 +tp29523 +a(g822 +g958 +tp29524 +a(g744 +VPIC X(33) +p29525 +tp29526 +a(g822 +g958 +tp29527 +a(g781 +VVALUE +p29528 +tp29529 +a(g152 +V" SELECT" +p29530 +tp29531 +a(g701 +g965 +tp29532 +a(g822 +V\u000a +p29533 +tp29534 +a(g7 +V +p29535 +tp29536 +a(g822 +V +p29537 +tp29538 +a(g32 +V05 +p29539 +tp29540 +a(g781 +VFILLER +p29541 +tp29542 +a(g822 +g958 +tp29543 +a(g744 +VPIC X(33) +p29544 +tp29545 +a(g822 +g958 +tp29546 +a(g781 +VVALUE +p29547 +tp29548 +a(g152 +V" SELF" +p29549 +tp29550 +a(g701 +g965 +tp29551 +a(g822 +V\u000a +p29552 +tp29553 +a(g7 +V +p29554 +tp29555 +a(g822 +V +p29556 +tp29557 +a(g32 +V05 +p29558 +tp29559 +a(g781 +VFILLER +p29560 +tp29561 +a(g822 +g958 +tp29562 +a(g744 +VPIC X(33) +p29563 +tp29564 +a(g822 +g958 +tp29565 +a(g781 +VVALUE +p29566 +tp29567 +a(g152 +V" SENTENCE" +p29568 +tp29569 +a(g701 +g965 +tp29570 +a(g822 +V\u000a +p29571 +tp29572 +a(g7 +V +p29573 +tp29574 +a(g822 +V +p29575 +tp29576 +a(g32 +V05 +p29577 +tp29578 +a(g781 +VFILLER +p29579 +tp29580 +a(g822 +g958 +tp29581 +a(g744 +VPIC X(33) +p29582 +tp29583 +a(g822 +g958 +tp29584 +a(g781 +VVALUE +p29585 +tp29586 +a(g152 +V" SEPARATE" +p29587 +tp29588 +a(g701 +g965 +tp29589 +a(g822 +V\u000a +p29590 +tp29591 +a(g7 +V +p29592 +tp29593 +a(g822 +V +p29594 +tp29595 +a(g32 +V05 +p29596 +tp29597 +a(g781 +VFILLER +p29598 +tp29599 +a(g822 +g958 +tp29600 +a(g744 +VPIC X(33) +p29601 +tp29602 +a(g822 +g958 +tp29603 +a(g781 +VVALUE +p29604 +tp29605 +a(g152 +V" SEQUENCE" +p29606 +tp29607 +a(g701 +g965 +tp29608 +a(g822 +V\u000a +p29609 +tp29610 +a(g7 +V +p29611 +tp29612 +a(g822 +V +p29613 +tp29614 +a(g32 +V05 +p29615 +tp29616 +a(g781 +VFILLER +p29617 +tp29618 +a(g822 +g958 +tp29619 +a(g744 +VPIC X(33) +p29620 +tp29621 +a(g822 +g958 +tp29622 +a(g781 +VVALUE +p29623 +tp29624 +a(g152 +V" SEQUENTIAL" +p29625 +tp29626 +a(g701 +g965 +tp29627 +a(g822 +V\u000a +p29628 +tp29629 +a(g7 +V +p29630 +tp29631 +a(g822 +V +p29632 +tp29633 +a(g32 +V05 +p29634 +tp29635 +a(g781 +VFILLER +p29636 +tp29637 +a(g822 +g958 +tp29638 +a(g744 +VPIC X(33) +p29639 +tp29640 +a(g822 +g958 +tp29641 +a(g781 +VVALUE +p29642 +tp29643 +a(g152 +V"VSET" +p29644 +tp29645 +a(g701 +g965 +tp29646 +a(g822 +V\u000a +p29647 +tp29648 +a(g7 +V +p29649 +tp29650 +a(g822 +V +p29651 +tp29652 +a(g32 +V05 +p29653 +tp29654 +a(g781 +VFILLER +p29655 +tp29656 +a(g822 +g958 +tp29657 +a(g744 +VPIC X(33) +p29658 +tp29659 +a(g822 +g958 +tp29660 +a(g781 +VVALUE +p29661 +tp29662 +a(g152 +V" SHARING" +p29663 +tp29664 +a(g701 +g965 +tp29665 +a(g822 +V\u000a +p29666 +tp29667 +a(g7 +V +p29668 +tp29669 +a(g822 +V +p29670 +tp29671 +a(g32 +V05 +p29672 +tp29673 +a(g781 +VFILLER +p29674 +tp29675 +a(g822 +g958 +tp29676 +a(g744 +VPIC X(33) +p29677 +tp29678 +a(g822 +g958 +tp29679 +a(g781 +VVALUE +p29680 +tp29681 +a(g152 +V"ISIGN" +p29682 +tp29683 +a(g701 +g965 +tp29684 +a(g822 +V\u000a +p29685 +tp29686 +a(g7 +V +p29687 +tp29688 +a(g822 +V +p29689 +tp29690 +a(g32 +V05 +p29691 +tp29692 +a(g781 +VFILLER +p29693 +tp29694 +a(g822 +g958 +tp29695 +a(g744 +VPIC X(33) +p29696 +tp29697 +a(g822 +g958 +tp29698 +a(g781 +VVALUE +p29699 +tp29700 +a(g152 +V" SIGNED" +p29701 +tp29702 +a(g701 +g965 +tp29703 +a(g822 +V\u000a +p29704 +tp29705 +a(g7 +V +p29706 +tp29707 +a(g822 +V +p29708 +tp29709 +a(g32 +V05 +p29710 +tp29711 +a(g781 +VFILLER +p29712 +tp29713 +a(g822 +g958 +tp29714 +a(g744 +VPIC X(33) +p29715 +tp29716 +a(g822 +g958 +tp29717 +a(g781 +VVALUE +p29718 +tp29719 +a(g152 +V" SIGNED-INT" +p29720 +tp29721 +a(g701 +g965 +tp29722 +a(g822 +V\u000a +p29723 +tp29724 +a(g7 +V +p29725 +tp29726 +a(g822 +V +p29727 +tp29728 +a(g32 +V05 +p29729 +tp29730 +a(g781 +VFILLER +p29731 +tp29732 +a(g822 +g958 +tp29733 +a(g744 +VPIC X(33) +p29734 +tp29735 +a(g822 +g958 +tp29736 +a(g781 +VVALUE +p29737 +tp29738 +a(g152 +V" SIGNED-LONG" +p29739 +tp29740 +a(g701 +g965 +tp29741 +a(g822 +V\u000a +p29742 +tp29743 +a(g7 +V +p29744 +tp29745 +a(g822 +V +p29746 +tp29747 +a(g32 +V05 +p29748 +tp29749 +a(g781 +VFILLER +p29750 +tp29751 +a(g822 +g958 +tp29752 +a(g744 +VPIC X(33) +p29753 +tp29754 +a(g822 +g958 +tp29755 +a(g781 +VVALUE +p29756 +tp29757 +a(g152 +V" SIGNED-SHORT" +p29758 +tp29759 +a(g701 +g965 +tp29760 +a(g822 +V\u000a +p29761 +tp29762 +a(g7 +V +p29763 +tp29764 +a(g822 +V +p29765 +tp29766 +a(g32 +V05 +p29767 +tp29768 +a(g781 +VFILLER +p29769 +tp29770 +a(g822 +g958 +tp29771 +a(g744 +VPIC X(33) +p29772 +tp29773 +a(g822 +g958 +tp29774 +a(g781 +VVALUE +p29775 +tp29776 +a(g152 +V"ISIN" +p29777 +tp29778 +a(g701 +g965 +tp29779 +a(g822 +V\u000a +p29780 +tp29781 +a(g7 +V +p29782 +tp29783 +a(g822 +V +p29784 +tp29785 +a(g32 +V05 +p29786 +tp29787 +a(g781 +VFILLER +p29788 +tp29789 +a(g822 +g958 +tp29790 +a(g744 +VPIC X(33) +p29791 +tp29792 +a(g822 +g958 +tp29793 +a(g781 +VVALUE +p29794 +tp29795 +a(g152 +V" SIZE" +p29796 +tp29797 +a(g701 +g965 +tp29798 +a(g822 +V\u000a +p29799 +tp29800 +a(g7 +V +p29801 +tp29802 +a(g822 +V +p29803 +tp29804 +a(g32 +V05 +p29805 +tp29806 +a(g781 +VFILLER +p29807 +tp29808 +a(g822 +g958 +tp29809 +a(g744 +VPIC X(33) +p29810 +tp29811 +a(g822 +g958 +tp29812 +a(g781 +VVALUE +p29813 +tp29814 +a(g152 +V"VSORT" +p29815 +tp29816 +a(g701 +g965 +tp29817 +a(g822 +V\u000a +p29818 +tp29819 +a(g7 +V +p29820 +tp29821 +a(g822 +V +p29822 +tp29823 +a(g32 +V05 +p29824 +tp29825 +a(g781 +VFILLER +p29826 +tp29827 +a(g822 +g958 +tp29828 +a(g744 +VPIC X(33) +p29829 +tp29830 +a(g822 +g958 +tp29831 +a(g781 +VVALUE +p29832 +tp29833 +a(g152 +V" SORT-MERGE" +p29834 +tp29835 +a(g701 +g965 +tp29836 +a(g822 +V\u000a +p29837 +tp29838 +a(g7 +V +p29839 +tp29840 +a(g822 +V +p29841 +tp29842 +a(g32 +V05 +p29843 +tp29844 +a(g781 +VFILLER +p29845 +tp29846 +a(g822 +g958 +tp29847 +a(g744 +VPIC X(33) +p29848 +tp29849 +a(g822 +g958 +tp29850 +a(g781 +VVALUE +p29851 +tp29852 +a(g152 +V"ISORT-RETURN" +p29853 +tp29854 +a(g701 +g965 +tp29855 +a(g822 +V\u000a +p29856 +tp29857 +a(g7 +V +p29858 +tp29859 +a(g822 +V +p29860 +tp29861 +a(g32 +V05 +p29862 +tp29863 +a(g781 +VFILLER +p29864 +tp29865 +a(g822 +g958 +tp29866 +a(g744 +VPIC X(33) +p29867 +tp29868 +a(g822 +g958 +tp29869 +a(g781 +VVALUE +p29870 +tp29871 +a(g152 +V" SOURCE" +p29872 +tp29873 +a(g701 +g965 +tp29874 +a(g822 +V\u000a +p29875 +tp29876 +a(g7 +V +p29877 +tp29878 +a(g822 +V +p29879 +tp29880 +a(g32 +V05 +p29881 +tp29882 +a(g781 +VFILLER +p29883 +tp29884 +a(g822 +g958 +tp29885 +a(g744 +VPIC X(33) +p29886 +tp29887 +a(g822 +g958 +tp29888 +a(g781 +VVALUE +p29889 +tp29890 +a(g152 +V" SOURCE-COMPUTER" +p29891 +tp29892 +a(g701 +g965 +tp29893 +a(g822 +V\u000a +p29894 +tp29895 +a(g7 +V +p29896 +tp29897 +a(g822 +V +p29898 +tp29899 +a(g32 +V05 +p29900 +tp29901 +a(g781 +VFILLER +p29902 +tp29903 +a(g822 +g958 +tp29904 +a(g744 +VPIC X(33) +p29905 +tp29906 +a(g822 +g958 +tp29907 +a(g781 +VVALUE +p29908 +tp29909 +a(g152 +V" SOURCES" +p29910 +tp29911 +a(g701 +g965 +tp29912 +a(g822 +V\u000a +p29913 +tp29914 +a(g7 +V +p29915 +tp29916 +a(g822 +V +p29917 +tp29918 +a(g32 +V05 +p29919 +tp29920 +a(g781 +VFILLER +p29921 +tp29922 +a(g822 +g958 +tp29923 +a(g744 +VPIC X(33) +p29924 +tp29925 +a(g822 +g958 +tp29926 +a(g781 +VVALUE +p29927 +tp29928 +a(g152 +V" SPACE" +p29929 +tp29930 +a(g701 +g965 +tp29931 +a(g822 +V\u000a +p29932 +tp29933 +a(g7 +V +p29934 +tp29935 +a(g822 +V +p29936 +tp29937 +a(g32 +V05 +p29938 +tp29939 +a(g781 +VFILLER +p29940 +tp29941 +a(g822 +g958 +tp29942 +a(g744 +VPIC X(33) +p29943 +tp29944 +a(g822 +g958 +tp29945 +a(g781 +VVALUE +p29946 +tp29947 +a(g152 +V" SPACE-FILL" +p29948 +tp29949 +a(g701 +g965 +tp29950 +a(g822 +V\u000a +p29951 +tp29952 +a(g7 +V +p29953 +tp29954 +a(g822 +V +p29955 +tp29956 +a(g32 +V05 +p29957 +tp29958 +a(g781 +VFILLER +p29959 +tp29960 +a(g822 +g958 +tp29961 +a(g744 +VPIC X(33) +p29962 +tp29963 +a(g822 +g958 +tp29964 +a(g781 +VVALUE +p29965 +tp29966 +a(g152 +V" SPACES" +p29967 +tp29968 +a(g701 +g965 +tp29969 +a(g822 +V\u000a +p29970 +tp29971 +a(g7 +V +p29972 +tp29973 +a(g822 +V +p29974 +tp29975 +a(g32 +V05 +p29976 +tp29977 +a(g781 +VFILLER +p29978 +tp29979 +a(g822 +g958 +tp29980 +a(g744 +VPIC X(33) +p29981 +tp29982 +a(g822 +g958 +tp29983 +a(g781 +VVALUE +p29984 +tp29985 +a(g152 +V" SPECIAL-NAMES" +p29986 +tp29987 +a(g701 +g965 +tp29988 +a(g822 +V\u000a +p29989 +tp29990 +a(g7 +V +p29991 +tp29992 +a(g822 +V +p29993 +tp29994 +a(g32 +V05 +p29995 +tp29996 +a(g781 +VFILLER +p29997 +tp29998 +a(g822 +g958 +tp29999 +a(g744 +VPIC X(33) +p30000 +tp30001 +a(g822 +g958 +tp30002 +a(g781 +VVALUE +p30003 +tp30004 +a(g152 +V"ISQRT" +p30005 +tp30006 +a(g701 +g965 +tp30007 +a(g822 +V\u000a +p30008 +tp30009 +a(g7 +V +p30010 +tp30011 +a(g822 +V +p30012 +tp30013 +a(g32 +V05 +p30014 +tp30015 +a(g781 +VFILLER +p30016 +tp30017 +a(g822 +g958 +tp30018 +a(g744 +VPIC X(33) +p30019 +tp30020 +a(g822 +g958 +tp30021 +a(g781 +VVALUE +p30022 +tp30023 +a(g152 +V" STANDARD" +p30024 +tp30025 +a(g701 +g965 +tp30026 +a(g822 +V\u000a +p30027 +tp30028 +a(g7 +V +p30029 +tp30030 +a(g822 +V +p30031 +tp30032 +a(g32 +V05 +p30033 +tp30034 +a(g781 +VFILLER +p30035 +tp30036 +a(g822 +g958 +tp30037 +a(g744 +VPIC X(33) +p30038 +tp30039 +a(g822 +g958 +tp30040 +a(g781 +VVALUE +p30041 +tp30042 +a(g152 +V" STANDARD-1" +p30043 +tp30044 +a(g701 +g965 +tp30045 +a(g822 +V\u000a +p30046 +tp30047 +a(g7 +V +p30048 +tp30049 +a(g822 +V +p30050 +tp30051 +a(g32 +V05 +p30052 +tp30053 +a(g781 +VFILLER +p30054 +tp30055 +a(g822 +g958 +tp30056 +a(g744 +VPIC X(33) +p30057 +tp30058 +a(g822 +g958 +tp30059 +a(g781 +VVALUE +p30060 +tp30061 +a(g152 +V" STANDARD-2" +p30062 +tp30063 +a(g701 +g965 +tp30064 +a(g822 +V\u000a +p30065 +tp30066 +a(g7 +V +p30067 +tp30068 +a(g822 +V +p30069 +tp30070 +a(g32 +V05 +p30071 +tp30072 +a(g781 +VFILLER +p30073 +tp30074 +a(g822 +g958 +tp30075 +a(g744 +VPIC X(33) +p30076 +tp30077 +a(g822 +g958 +tp30078 +a(g781 +VVALUE +p30079 +tp30080 +a(g152 +V"ISTANDARD-DEVIATION" +p30081 +tp30082 +a(g701 +g965 +tp30083 +a(g822 +V\u000a +p30084 +tp30085 +a(g7 +V +p30086 +tp30087 +a(g822 +V +p30088 +tp30089 +a(g32 +V05 +p30090 +tp30091 +a(g781 +VFILLER +p30092 +tp30093 +a(g822 +g958 +tp30094 +a(g744 +VPIC X(33) +p30095 +tp30096 +a(g822 +g958 +tp30097 +a(g781 +VVALUE +p30098 +tp30099 +a(g152 +V"VSTART" +p30100 +tp30101 +a(g701 +g965 +tp30102 +a(g822 +V\u000a +p30103 +tp30104 +a(g7 +V +p30105 +tp30106 +a(g822 +V +p30107 +tp30108 +a(g32 +V05 +p30109 +tp30110 +a(g781 +VFILLER +p30111 +tp30112 +a(g822 +g958 +tp30113 +a(g744 +VPIC X(33) +p30114 +tp30115 +a(g822 +g958 +tp30116 +a(g781 +VVALUE +p30117 +tp30118 +a(g152 +V" STATUS" +p30119 +tp30120 +a(g701 +g965 +tp30121 +a(g822 +V\u000a +p30122 +tp30123 +a(g7 +V +p30124 +tp30125 +a(g822 +V +p30126 +tp30127 +a(g32 +V05 +p30128 +tp30129 +a(g781 +VFILLER +p30130 +tp30131 +a(g822 +g958 +tp30132 +a(g744 +VPIC X(33) +p30133 +tp30134 +a(g822 +g958 +tp30135 +a(g781 +VVALUE +p30136 +tp30137 +a(g152 +V"VSTOP" +p30138 +tp30139 +a(g701 +g965 +tp30140 +a(g822 +V\u000a +p30141 +tp30142 +a(g7 +V +p30143 +tp30144 +a(g822 +V +p30145 +tp30146 +a(g32 +V05 +p30147 +tp30148 +a(g781 +VFILLER +p30149 +tp30150 +a(g822 +g958 +tp30151 +a(g744 +VPIC X(33) +p30152 +tp30153 +a(g822 +g958 +tp30154 +a(g781 +VVALUE +p30155 +tp30156 +a(g152 +V"ISTORED-CHAR-LENGTH" +p30157 +tp30158 +a(g701 +g965 +tp30159 +a(g822 +V\u000a +p30160 +tp30161 +a(g7 +V +p30162 +tp30163 +a(g822 +V +p30164 +tp30165 +a(g32 +V05 +p30166 +tp30167 +a(g781 +VFILLER +p30168 +tp30169 +a(g822 +g958 +tp30170 +a(g744 +VPIC X(33) +p30171 +tp30172 +a(g822 +g958 +tp30173 +a(g781 +VVALUE +p30174 +tp30175 +a(g152 +V"VSTRING" +p30176 +tp30177 +a(g701 +g965 +tp30178 +a(g822 +V\u000a +p30179 +tp30180 +a(g7 +V +p30181 +tp30182 +a(g822 +V +p30183 +tp30184 +a(g32 +V05 +p30185 +tp30186 +a(g781 +VFILLER +p30187 +tp30188 +a(g822 +g958 +tp30189 +a(g744 +VPIC X(33) +p30190 +tp30191 +a(g822 +g958 +tp30192 +a(g781 +VVALUE +p30193 +tp30194 +a(g152 +V"ISUBSTITUTE" +p30195 +tp30196 +a(g701 +g965 +tp30197 +a(g822 +V\u000a +p30198 +tp30199 +a(g7 +V +p30200 +tp30201 +a(g822 +V +p30202 +tp30203 +a(g32 +V05 +p30204 +tp30205 +a(g781 +VFILLER +p30206 +tp30207 +a(g822 +g958 +tp30208 +a(g744 +VPIC X(33) +p30209 +tp30210 +a(g822 +g958 +tp30211 +a(g781 +VVALUE +p30212 +tp30213 +a(g152 +V"ISUBSTITUTE-CASE" +p30214 +tp30215 +a(g701 +g965 +tp30216 +a(g822 +V\u000a +p30217 +tp30218 +a(g7 +V +p30219 +tp30220 +a(g822 +V +p30221 +tp30222 +a(g32 +V05 +p30223 +tp30224 +a(g781 +VFILLER +p30225 +tp30226 +a(g822 +g958 +tp30227 +a(g744 +VPIC X(33) +p30228 +tp30229 +a(g822 +g958 +tp30230 +a(g781 +VVALUE +p30231 +tp30232 +a(g152 +V"VSUBTRACT" +p30233 +tp30234 +a(g701 +g965 +tp30235 +a(g822 +V\u000a +p30236 +tp30237 +a(g7 +V +p30238 +tp30239 +a(g822 +V +p30240 +tp30241 +a(g32 +V05 +p30242 +tp30243 +a(g781 +VFILLER +p30244 +tp30245 +a(g822 +g958 +tp30246 +a(g744 +VPIC X(33) +p30247 +tp30248 +a(g822 +g958 +tp30249 +a(g781 +VVALUE +p30250 +tp30251 +a(g152 +V"ISUM" +p30252 +tp30253 +a(g701 +g965 +tp30254 +a(g822 +V\u000a +p30255 +tp30256 +a(g7 +V +p30257 +tp30258 +a(g822 +V +p30259 +tp30260 +a(g32 +V05 +p30261 +tp30262 +a(g781 +VFILLER +p30263 +tp30264 +a(g822 +g958 +tp30265 +a(g744 +VPIC X(33) +p30266 +tp30267 +a(g822 +g958 +tp30268 +a(g781 +VVALUE +p30269 +tp30270 +a(g152 +V" SUPER" +p30271 +tp30272 +a(g701 +g965 +tp30273 +a(g822 +V\u000a +p30274 +tp30275 +a(g7 +V +p30276 +tp30277 +a(g822 +V +p30278 +tp30279 +a(g32 +V05 +p30280 +tp30281 +a(g781 +VFILLER +p30282 +tp30283 +a(g822 +g958 +tp30284 +a(g744 +VPIC X(33) +p30285 +tp30286 +a(g822 +g958 +tp30287 +a(g781 +VVALUE +p30288 +tp30289 +a(g152 +V"VSUPPRESS" +p30290 +tp30291 +a(g701 +g965 +tp30292 +a(g822 +V\u000a +p30293 +tp30294 +a(g7 +V +p30295 +tp30296 +a(g822 +V +p30297 +tp30298 +a(g32 +V05 +p30299 +tp30300 +a(g781 +VFILLER +p30301 +tp30302 +a(g822 +g958 +tp30303 +a(g744 +VPIC X(33) +p30304 +tp30305 +a(g822 +g958 +tp30306 +a(g781 +VVALUE +p30307 +tp30308 +a(g152 +V"MSWITCH-1" +p30309 +tp30310 +a(g701 +g965 +tp30311 +a(g822 +V\u000a +p30312 +tp30313 +a(g7 +V +p30314 +tp30315 +a(g822 +V +p30316 +tp30317 +a(g32 +V05 +p30318 +tp30319 +a(g781 +VFILLER +p30320 +tp30321 +a(g822 +g958 +tp30322 +a(g744 +VPIC X(33) +p30323 +tp30324 +a(g822 +g958 +tp30325 +a(g781 +VVALUE +p30326 +tp30327 +a(g152 +V"MSWITCH-2" +p30328 +tp30329 +a(g701 +g965 +tp30330 +a(g822 +V\u000a +p30331 +tp30332 +a(g7 +V +p30333 +tp30334 +a(g822 +V +p30335 +tp30336 +a(g32 +V05 +p30337 +tp30338 +a(g781 +VFILLER +p30339 +tp30340 +a(g822 +g958 +tp30341 +a(g744 +VPIC X(33) +p30342 +tp30343 +a(g822 +g958 +tp30344 +a(g781 +VVALUE +p30345 +tp30346 +a(g152 +V"MSWITCH-3" +p30347 +tp30348 +a(g701 +g965 +tp30349 +a(g822 +V\u000a +p30350 +tp30351 +a(g7 +V +p30352 +tp30353 +a(g822 +V +p30354 +tp30355 +a(g32 +V05 +p30356 +tp30357 +a(g781 +VFILLER +p30358 +tp30359 +a(g822 +g958 +tp30360 +a(g744 +VPIC X(33) +p30361 +tp30362 +a(g822 +g958 +tp30363 +a(g781 +VVALUE +p30364 +tp30365 +a(g152 +V"MSWITCH-4" +p30366 +tp30367 +a(g701 +g965 +tp30368 +a(g822 +V\u000a +p30369 +tp30370 +a(g7 +V +p30371 +tp30372 +a(g822 +V +p30373 +tp30374 +a(g32 +V05 +p30375 +tp30376 +a(g781 +VFILLER +p30377 +tp30378 +a(g822 +g958 +tp30379 +a(g744 +VPIC X(33) +p30380 +tp30381 +a(g822 +g958 +tp30382 +a(g781 +VVALUE +p30383 +tp30384 +a(g152 +V"MSWITCH-5" +p30385 +tp30386 +a(g701 +g965 +tp30387 +a(g822 +V\u000a +p30388 +tp30389 +a(g7 +V +p30390 +tp30391 +a(g822 +V +p30392 +tp30393 +a(g32 +V05 +p30394 +tp30395 +a(g781 +VFILLER +p30396 +tp30397 +a(g822 +g958 +tp30398 +a(g744 +VPIC X(33) +p30399 +tp30400 +a(g822 +g958 +tp30401 +a(g781 +VVALUE +p30402 +tp30403 +a(g152 +V"MSWITCH-6" +p30404 +tp30405 +a(g701 +g965 +tp30406 +a(g822 +V\u000a +p30407 +tp30408 +a(g7 +V +p30409 +tp30410 +a(g822 +V +p30411 +tp30412 +a(g32 +V05 +p30413 +tp30414 +a(g781 +VFILLER +p30415 +tp30416 +a(g822 +g958 +tp30417 +a(g744 +VPIC X(33) +p30418 +tp30419 +a(g822 +g958 +tp30420 +a(g781 +VVALUE +p30421 +tp30422 +a(g152 +V"MSWITCH-7" +p30423 +tp30424 +a(g701 +g965 +tp30425 +a(g822 +V\u000a +p30426 +tp30427 +a(g7 +V +p30428 +tp30429 +a(g822 +V +p30430 +tp30431 +a(g32 +V05 +p30432 +tp30433 +a(g781 +VFILLER +p30434 +tp30435 +a(g822 +g958 +tp30436 +a(g744 +VPIC X(33) +p30437 +tp30438 +a(g822 +g958 +tp30439 +a(g781 +VVALUE +p30440 +tp30441 +a(g152 +V"MSWITCH-8" +p30442 +tp30443 +a(g701 +g965 +tp30444 +a(g822 +V\u000a +p30445 +tp30446 +a(g7 +V +p30447 +tp30448 +a(g822 +V +p30449 +tp30450 +a(g32 +V05 +p30451 +tp30452 +a(g781 +VFILLER +p30453 +tp30454 +a(g822 +g958 +tp30455 +a(g744 +VPIC X(33) +p30456 +tp30457 +a(g822 +g958 +tp30458 +a(g781 +VVALUE +p30459 +tp30460 +a(g152 +V" SYMBOLIC" +p30461 +tp30462 +a(g701 +g965 +tp30463 +a(g822 +V\u000a +p30464 +tp30465 +a(g7 +V +p30466 +tp30467 +a(g822 +V +p30468 +tp30469 +a(g32 +V05 +p30470 +tp30471 +a(g781 +VFILLER +p30472 +tp30473 +a(g822 +g958 +tp30474 +a(g744 +VPIC X(33) +p30475 +tp30476 +a(g822 +g958 +tp30477 +a(g781 +VVALUE +p30478 +tp30479 +a(g152 +V" SYNC" +p30480 +tp30481 +a(g701 +g965 +tp30482 +a(g822 +V\u000a +p30483 +tp30484 +a(g7 +V +p30485 +tp30486 +a(g822 +V +p30487 +tp30488 +a(g32 +V05 +p30489 +tp30490 +a(g781 +VFILLER +p30491 +tp30492 +a(g822 +g958 +tp30493 +a(g744 +VPIC X(33) +p30494 +tp30495 +a(g822 +g958 +tp30496 +a(g781 +VVALUE +p30497 +tp30498 +a(g152 +V" SYNCHRONIZED" +p30499 +tp30500 +a(g701 +g965 +tp30501 +a(g822 +V\u000a +p30502 +tp30503 +a(g7 +V +p30504 +tp30505 +a(g822 +V +p30506 +tp30507 +a(g32 +V05 +p30508 +tp30509 +a(g781 +VFILLER +p30510 +tp30511 +a(g822 +g958 +tp30512 +a(g744 +VPIC X(33) +p30513 +tp30514 +a(g822 +g958 +tp30515 +a(g781 +VVALUE +p30516 +tp30517 +a(g152 +V"MSYSERR" +p30518 +tp30519 +a(g701 +g965 +tp30520 +a(g822 +V\u000a +p30521 +tp30522 +a(g7 +V +p30523 +tp30524 +a(g822 +V +p30525 +tp30526 +a(g32 +V05 +p30527 +tp30528 +a(g781 +VFILLER +p30529 +tp30530 +a(g822 +g958 +tp30531 +a(g744 +VPIC X(33) +p30532 +tp30533 +a(g822 +g958 +tp30534 +a(g781 +VVALUE +p30535 +tp30536 +a(g152 +V"MSYSIN" +p30537 +tp30538 +a(g701 +g965 +tp30539 +a(g822 +V\u000a +p30540 +tp30541 +a(g7 +V +p30542 +tp30543 +a(g822 +V +p30544 +tp30545 +a(g32 +V05 +p30546 +tp30547 +a(g781 +VFILLER +p30548 +tp30549 +a(g822 +g958 +tp30550 +a(g744 +VPIC X(33) +p30551 +tp30552 +a(g822 +g958 +tp30553 +a(g781 +VVALUE +p30554 +tp30555 +a(g152 +V"MSYSIPT" +p30556 +tp30557 +a(g701 +g965 +tp30558 +a(g822 +V\u000a +p30559 +tp30560 +a(g7 +V +p30561 +tp30562 +a(g822 +V +p30563 +tp30564 +a(g32 +V05 +p30565 +tp30566 +a(g781 +VFILLER +p30567 +tp30568 +a(g822 +g958 +tp30569 +a(g744 +VPIC X(33) +p30570 +tp30571 +a(g822 +g958 +tp30572 +a(g781 +VVALUE +p30573 +tp30574 +a(g152 +V"MSYSLIST" +p30575 +tp30576 +a(g701 +g965 +tp30577 +a(g822 +V\u000a +p30578 +tp30579 +a(g7 +V +p30580 +tp30581 +a(g822 +V +p30582 +tp30583 +a(g32 +V05 +p30584 +tp30585 +a(g781 +VFILLER +p30586 +tp30587 +a(g822 +g958 +tp30588 +a(g744 +VPIC X(33) +p30589 +tp30590 +a(g822 +g958 +tp30591 +a(g781 +VVALUE +p30592 +tp30593 +a(g152 +V"MSYSLST" +p30594 +tp30595 +a(g701 +g965 +tp30596 +a(g822 +V\u000a +p30597 +tp30598 +a(g7 +V +p30599 +tp30600 +a(g822 +V +p30601 +tp30602 +a(g32 +V05 +p30603 +tp30604 +a(g781 +VFILLER +p30605 +tp30606 +a(g822 +g958 +tp30607 +a(g744 +VPIC X(33) +p30608 +tp30609 +a(g822 +g958 +tp30610 +a(g781 +VVALUE +p30611 +tp30612 +a(g152 +V"MSYSOUT" +p30613 +tp30614 +a(g701 +g965 +tp30615 +a(g822 +V\u000a +p30616 +tp30617 +a(g7 +V +p30618 +tp30619 +a(g822 +V +p30620 +tp30621 +a(g32 +V05 +p30622 +tp30623 +a(g781 +VFILLER +p30624 +tp30625 +a(g822 +g958 +tp30626 +a(g744 +VPIC X(33) +p30627 +tp30628 +a(g822 +g958 +tp30629 +a(g781 +VVALUE +p30630 +tp30631 +a(g152 +V" SYSTEM-DEFAULT" +p30632 +tp30633 +a(g701 +g965 +tp30634 +a(g822 +V\u000a +p30635 +tp30636 +a(g7 +V +p30637 +tp30638 +a(g822 +V +p30639 +tp30640 +a(g32 +V05 +p30641 +tp30642 +a(g781 +VFILLER +p30643 +tp30644 +a(g822 +g958 +tp30645 +a(g744 +VPIC X(33) +p30646 +tp30647 +a(g822 +g958 +tp30648 +a(g781 +VVALUE +p30649 +tp30650 +a(g152 +V" TABLE" +p30651 +tp30652 +a(g701 +g965 +tp30653 +a(g822 +V\u000a +p30654 +tp30655 +a(g7 +V +p30656 +tp30657 +a(g822 +V +p30658 +tp30659 +a(g32 +V05 +p30660 +tp30661 +a(g781 +VFILLER +p30662 +tp30663 +a(g822 +g958 +tp30664 +a(g744 +VPIC X(33) +p30665 +tp30666 +a(g822 +g958 +tp30667 +a(g781 +VVALUE +p30668 +tp30669 +a(g152 +V"KTALLYING" +p30670 +tp30671 +a(g701 +g965 +tp30672 +a(g822 +V\u000a +p30673 +tp30674 +a(g7 +V +p30675 +tp30676 +a(g822 +V +p30677 +tp30678 +a(g32 +V05 +p30679 +tp30680 +a(g781 +VFILLER +p30681 +tp30682 +a(g822 +g958 +tp30683 +a(g744 +VPIC X(33) +p30684 +tp30685 +a(g822 +g958 +tp30686 +a(g781 +VVALUE +p30687 +tp30688 +a(g152 +V"ITAN" +p30689 +tp30690 +a(g701 +g965 +tp30691 +a(g822 +V\u000a +p30692 +tp30693 +a(g7 +V +p30694 +tp30695 +a(g822 +V +p30696 +tp30697 +a(g32 +V05 +p30698 +tp30699 +a(g781 +VFILLER +p30700 +tp30701 +a(g822 +g958 +tp30702 +a(g744 +VPIC X(33) +p30703 +tp30704 +a(g822 +g958 +tp30705 +a(g781 +VVALUE +p30706 +tp30707 +a(g152 +V" TAPE" +p30708 +tp30709 +a(g701 +g965 +tp30710 +a(g822 +V\u000a +p30711 +tp30712 +a(g7 +V +p30713 +tp30714 +a(g822 +V +p30715 +tp30716 +a(g32 +V05 +p30717 +tp30718 +a(g781 +VFILLER +p30719 +tp30720 +a(g822 +g958 +tp30721 +a(g744 +VPIC X(33) +p30722 +tp30723 +a(g822 +g958 +tp30724 +a(g781 +VVALUE +p30725 +tp30726 +a(g152 +V"VTERMINATE" +p30727 +tp30728 +a(g701 +g965 +tp30729 +a(g822 +V\u000a +p30730 +tp30731 +a(g7 +V +p30732 +tp30733 +a(g822 +V +p30734 +tp30735 +a(g32 +V05 +p30736 +tp30737 +a(g781 +VFILLER +p30738 +tp30739 +a(g822 +g958 +tp30740 +a(g744 +VPIC X(33) +p30741 +tp30742 +a(g822 +g958 +tp30743 +a(g781 +VVALUE +p30744 +tp30745 +a(g152 +V" TEST" +p30746 +tp30747 +a(g701 +g965 +tp30748 +a(g822 +V\u000a +p30749 +tp30750 +a(g7 +V +p30751 +tp30752 +a(g822 +V +p30753 +tp30754 +a(g32 +V05 +p30755 +tp30756 +a(g781 +VFILLER +p30757 +tp30758 +a(g822 +g958 +tp30759 +a(g744 +VPIC X(33) +p30760 +tp30761 +a(g822 +g958 +tp30762 +a(g781 +VVALUE +p30763 +tp30764 +a(g152 +V"ITEST-DATE-YYYYMMDD" +p30765 +tp30766 +a(g701 +g965 +tp30767 +a(g822 +V\u000a +p30768 +tp30769 +a(g7 +V +p30770 +tp30771 +a(g822 +V +p30772 +tp30773 +a(g32 +V05 +p30774 +tp30775 +a(g781 +VFILLER +p30776 +tp30777 +a(g822 +g958 +tp30778 +a(g744 +VPIC X(33) +p30779 +tp30780 +a(g822 +g958 +tp30781 +a(g781 +VVALUE +p30782 +tp30783 +a(g152 +V"ITEST-DAY-YYYYDDD" +p30784 +tp30785 +a(g701 +g965 +tp30786 +a(g822 +V\u000a +p30787 +tp30788 +a(g7 +V +p30789 +tp30790 +a(g822 +V +p30791 +tp30792 +a(g32 +V05 +p30793 +tp30794 +a(g781 +VFILLER +p30795 +tp30796 +a(g822 +g958 +tp30797 +a(g744 +VPIC X(33) +p30798 +tp30799 +a(g822 +g958 +tp30800 +a(g781 +VVALUE +p30801 +tp30802 +a(g152 +V" THAN" +p30803 +tp30804 +a(g701 +g965 +tp30805 +a(g822 +V\u000a +p30806 +tp30807 +a(g7 +V +p30808 +tp30809 +a(g822 +V +p30810 +tp30811 +a(g32 +V05 +p30812 +tp30813 +a(g781 +VFILLER +p30814 +tp30815 +a(g822 +g958 +tp30816 +a(g744 +VPIC X(33) +p30817 +tp30818 +a(g822 +g958 +tp30819 +a(g781 +VVALUE +p30820 +tp30821 +a(g152 +V" THEN" +p30822 +tp30823 +a(g701 +g965 +tp30824 +a(g822 +V\u000a +p30825 +tp30826 +a(g7 +V +p30827 +tp30828 +a(g822 +V +p30829 +tp30830 +a(g32 +V05 +p30831 +tp30832 +a(g781 +VFILLER +p30833 +tp30834 +a(g822 +g958 +tp30835 +a(g744 +VPIC X(33) +p30836 +tp30837 +a(g822 +g958 +tp30838 +a(g781 +VVALUE +p30839 +tp30840 +a(g152 +V" THROUGH" +p30841 +tp30842 +a(g701 +g965 +tp30843 +a(g822 +V\u000a +p30844 +tp30845 +a(g7 +V +p30846 +tp30847 +a(g822 +V +p30848 +tp30849 +a(g32 +V05 +p30850 +tp30851 +a(g781 +VFILLER +p30852 +tp30853 +a(g822 +g958 +tp30854 +a(g744 +VPIC X(33) +p30855 +tp30856 +a(g822 +g958 +tp30857 +a(g781 +VVALUE +p30858 +tp30859 +a(g152 +V" THRU" +p30860 +tp30861 +a(g701 +g965 +tp30862 +a(g822 +V\u000a +p30863 +tp30864 +a(g7 +V +p30865 +tp30866 +a(g822 +V +p30867 +tp30868 +a(g32 +V05 +p30869 +tp30870 +a(g781 +VFILLER +p30871 +tp30872 +a(g822 +g958 +tp30873 +a(g744 +VPIC X(33) +p30874 +tp30875 +a(g822 +g958 +tp30876 +a(g781 +VVALUE +p30877 +tp30878 +a(g152 +V" TIME" +p30879 +tp30880 +a(g701 +g965 +tp30881 +a(g822 +V\u000a +p30882 +tp30883 +a(g7 +V +p30884 +tp30885 +a(g822 +V +p30886 +tp30887 +a(g32 +V05 +p30888 +tp30889 +a(g781 +VFILLER +p30890 +tp30891 +a(g822 +g958 +tp30892 +a(g744 +VPIC X(33) +p30893 +tp30894 +a(g822 +g958 +tp30895 +a(g781 +VVALUE +p30896 +tp30897 +a(g152 +V" TIMES" +p30898 +tp30899 +a(g701 +g965 +tp30900 +a(g822 +V\u000a +p30901 +tp30902 +a(g7 +V +p30903 +tp30904 +a(g822 +V +p30905 +tp30906 +a(g32 +V05 +p30907 +tp30908 +a(g781 +VFILLER +p30909 +tp30910 +a(g822 +g958 +tp30911 +a(g744 +VPIC X(33) +p30912 +tp30913 +a(g822 +g958 +tp30914 +a(g781 +VVALUE +p30915 +tp30916 +a(g152 +V"KTO" +p30917 +tp30918 +a(g701 +g965 +tp30919 +a(g822 +V\u000a +p30920 +tp30921 +a(g7 +V +p30922 +tp30923 +a(g822 +V +p30924 +tp30925 +a(g32 +V05 +p30926 +tp30927 +a(g781 +VFILLER +p30928 +tp30929 +a(g822 +g958 +tp30930 +a(g744 +VPIC X(33) +p30931 +tp30932 +a(g822 +g958 +tp30933 +a(g781 +VVALUE +p30934 +tp30935 +a(g152 +V" TOP" +p30936 +tp30937 +a(g701 +g965 +tp30938 +a(g822 +V\u000a +p30939 +tp30940 +a(g7 +V +p30941 +tp30942 +a(g822 +V +p30943 +tp30944 +a(g32 +V05 +p30945 +tp30946 +a(g781 +VFILLER +p30947 +tp30948 +a(g822 +g958 +tp30949 +a(g744 +VPIC X(33) +p30950 +tp30951 +a(g822 +g958 +tp30952 +a(g781 +VVALUE +p30953 +tp30954 +a(g152 +V" TRAILING" +p30955 +tp30956 +a(g701 +g965 +tp30957 +a(g822 +V\u000a +p30958 +tp30959 +a(g7 +V +p30960 +tp30961 +a(g822 +V +p30962 +tp30963 +a(g32 +V05 +p30964 +tp30965 +a(g781 +VFILLER +p30966 +tp30967 +a(g822 +g958 +tp30968 +a(g744 +VPIC X(33) +p30969 +tp30970 +a(g822 +g958 +tp30971 +a(g781 +VVALUE +p30972 +tp30973 +a(g152 +V" TRAILING-SIGN" +p30974 +tp30975 +a(g701 +g965 +tp30976 +a(g822 +V\u000a +p30977 +tp30978 +a(g7 +V +p30979 +tp30980 +a(g822 +V +p30981 +tp30982 +a(g32 +V05 +p30983 +tp30984 +a(g781 +VFILLER +p30985 +tp30986 +a(g822 +g958 +tp30987 +a(g744 +VPIC X(33) +p30988 +tp30989 +a(g822 +g958 +tp30990 +a(g781 +VVALUE +p30991 +tp30992 +a(g152 +V"VTRANSFORM" +p30993 +tp30994 +a(g701 +g965 +tp30995 +a(g822 +V\u000a +p30996 +tp30997 +a(g7 +V +p30998 +tp30999 +a(g822 +V +p31000 +tp31001 +a(g32 +V05 +p31002 +tp31003 +a(g781 +VFILLER +p31004 +tp31005 +a(g822 +g958 +tp31006 +a(g744 +VPIC X(33) +p31007 +tp31008 +a(g822 +g958 +tp31009 +a(g781 +VVALUE +p31010 +tp31011 +a(g152 +V"ITRIM" +p31012 +tp31013 +a(g701 +g965 +tp31014 +a(g822 +V\u000a +p31015 +tp31016 +a(g7 +V +p31017 +tp31018 +a(g822 +V +p31019 +tp31020 +a(g32 +V05 +p31021 +tp31022 +a(g781 +VFILLER +p31023 +tp31024 +a(g822 +g958 +tp31025 +a(g744 +VPIC X(33) +p31026 +tp31027 +a(g822 +g958 +tp31028 +a(g781 +VVALUE +p31029 +tp31030 +a(g152 +V" TRUE" +p31031 +tp31032 +a(g701 +g965 +tp31033 +a(g822 +V\u000a +p31034 +tp31035 +a(g7 +V +p31036 +tp31037 +a(g822 +V +p31038 +tp31039 +a(g32 +V05 +p31040 +tp31041 +a(g781 +VFILLER +p31042 +tp31043 +a(g822 +g958 +tp31044 +a(g744 +VPIC X(33) +p31045 +tp31046 +a(g822 +g958 +tp31047 +a(g781 +VVALUE +p31048 +tp31049 +a(g152 +V" TYPE" +p31050 +tp31051 +a(g701 +g965 +tp31052 +a(g822 +V\u000a +p31053 +tp31054 +a(g7 +V +p31055 +tp31056 +a(g822 +V +p31057 +tp31058 +a(g32 +V05 +p31059 +tp31060 +a(g781 +VFILLER +p31061 +tp31062 +a(g822 +g958 +tp31063 +a(g744 +VPIC X(33) +p31064 +tp31065 +a(g822 +g958 +tp31066 +a(g781 +VVALUE +p31067 +tp31068 +a(g152 +V" TYPEDEF" +p31069 +tp31070 +a(g701 +g965 +tp31071 +a(g822 +V\u000a +p31072 +tp31073 +a(g7 +V +p31074 +tp31075 +a(g822 +V +p31076 +tp31077 +a(g32 +V05 +p31078 +tp31079 +a(g781 +VFILLER +p31080 +tp31081 +a(g822 +g958 +tp31082 +a(g744 +VPIC X(33) +p31083 +tp31084 +a(g822 +g958 +tp31085 +a(g781 +VVALUE +p31086 +tp31087 +a(g152 +V" UNDERLINE" +p31088 +tp31089 +a(g701 +g965 +tp31090 +a(g822 +V\u000a +p31091 +tp31092 +a(g7 +V +p31093 +tp31094 +a(g822 +V +p31095 +tp31096 +a(g32 +V05 +p31097 +tp31098 +a(g781 +VFILLER +p31099 +tp31100 +a(g822 +g958 +tp31101 +a(g744 +VPIC X(33) +p31102 +tp31103 +a(g822 +g958 +tp31104 +a(g781 +VVALUE +p31105 +tp31106 +a(g152 +V" UNIT" +p31107 +tp31108 +a(g701 +g965 +tp31109 +a(g822 +V\u000a +p31110 +tp31111 +a(g7 +V +p31112 +tp31113 +a(g822 +V +p31114 +tp31115 +a(g32 +V05 +p31116 +tp31117 +a(g781 +VFILLER +p31118 +tp31119 +a(g822 +g958 +tp31120 +a(g744 +VPIC X(33) +p31121 +tp31122 +a(g822 +g958 +tp31123 +a(g781 +VVALUE +p31124 +tp31125 +a(g152 +V" UNIVERSAL" +p31126 +tp31127 +a(g701 +g965 +tp31128 +a(g822 +V\u000a +p31129 +tp31130 +a(g7 +V +p31131 +tp31132 +a(g822 +V +p31133 +tp31134 +a(g32 +V05 +p31135 +tp31136 +a(g781 +VFILLER +p31137 +tp31138 +a(g822 +g958 +tp31139 +a(g744 +VPIC X(33) +p31140 +tp31141 +a(g822 +g958 +tp31142 +a(g781 +VVALUE +p31143 +tp31144 +a(g152 +V"VUNLOCK" +p31145 +tp31146 +a(g701 +g965 +tp31147 +a(g822 +V\u000a +p31148 +tp31149 +a(g7 +V +p31150 +tp31151 +a(g822 +V +p31152 +tp31153 +a(g32 +V05 +p31154 +tp31155 +a(g781 +VFILLER +p31156 +tp31157 +a(g822 +g958 +tp31158 +a(g744 +VPIC X(33) +p31159 +tp31160 +a(g822 +g958 +tp31161 +a(g781 +VVALUE +p31162 +tp31163 +a(g152 +V" UNSIGNED" +p31164 +tp31165 +a(g701 +g965 +tp31166 +a(g822 +V\u000a +p31167 +tp31168 +a(g7 +V +p31169 +tp31170 +a(g822 +V +p31171 +tp31172 +a(g32 +V05 +p31173 +tp31174 +a(g781 +VFILLER +p31175 +tp31176 +a(g822 +g958 +tp31177 +a(g744 +VPIC X(33) +p31178 +tp31179 +a(g822 +g958 +tp31180 +a(g781 +VVALUE +p31181 +tp31182 +a(g152 +V" UNSIGNED-INT" +p31183 +tp31184 +a(g701 +g965 +tp31185 +a(g822 +V\u000a +p31186 +tp31187 +a(g7 +V +p31188 +tp31189 +a(g822 +V +p31190 +tp31191 +a(g32 +V05 +p31192 +tp31193 +a(g781 +VFILLER +p31194 +tp31195 +a(g822 +g958 +tp31196 +a(g744 +VPIC X(33) +p31197 +tp31198 +a(g822 +g958 +tp31199 +a(g781 +VVALUE +p31200 +tp31201 +a(g152 +V" UNSIGNED-LONG" +p31202 +tp31203 +a(g701 +g965 +tp31204 +a(g822 +V\u000a +p31205 +tp31206 +a(g7 +V +p31207 +tp31208 +a(g822 +V +p31209 +tp31210 +a(g32 +V05 +p31211 +tp31212 +a(g781 +VFILLER +p31213 +tp31214 +a(g822 +g958 +tp31215 +a(g744 +VPIC X(33) +p31216 +tp31217 +a(g822 +g958 +tp31218 +a(g781 +VVALUE +p31219 +tp31220 +a(g152 +V" UNSIGNED-SHORT" +p31221 +tp31222 +a(g701 +g965 +tp31223 +a(g822 +V\u000a +p31224 +tp31225 +a(g7 +V +p31226 +tp31227 +a(g822 +V +p31228 +tp31229 +a(g32 +V05 +p31230 +tp31231 +a(g781 +VFILLER +p31232 +tp31233 +a(g822 +g958 +tp31234 +a(g744 +VPIC X(33) +p31235 +tp31236 +a(g822 +g958 +tp31237 +a(g781 +VVALUE +p31238 +tp31239 +a(g152 +V"VUNSTRING" +p31240 +tp31241 +a(g701 +g965 +tp31242 +a(g822 +V\u000a +p31243 +tp31244 +a(g7 +V +p31245 +tp31246 +a(g822 +V +p31247 +tp31248 +a(g32 +V05 +p31249 +tp31250 +a(g781 +VFILLER +p31251 +tp31252 +a(g822 +g958 +tp31253 +a(g744 +VPIC X(33) +p31254 +tp31255 +a(g822 +g958 +tp31256 +a(g781 +VVALUE +p31257 +tp31258 +a(g152 +V" UNTIL" +p31259 +tp31260 +a(g701 +g965 +tp31261 +a(g822 +V\u000a +p31262 +tp31263 +a(g7 +V +p31264 +tp31265 +a(g822 +V +p31266 +tp31267 +a(g32 +V05 +p31268 +tp31269 +a(g781 +VFILLER +p31270 +tp31271 +a(g822 +g958 +tp31272 +a(g744 +VPIC X(33) +p31273 +tp31274 +a(g822 +g958 +tp31275 +a(g781 +VVALUE +p31276 +tp31277 +a(g152 +V"KUP" +p31278 +tp31279 +a(g701 +g965 +tp31280 +a(g822 +V\u000a +p31281 +tp31282 +a(g7 +V +p31283 +tp31284 +a(g822 +V +p31285 +tp31286 +a(g32 +V05 +p31287 +tp31288 +a(g781 +VFILLER +p31289 +tp31290 +a(g822 +g958 +tp31291 +a(g744 +VPIC X(33) +p31292 +tp31293 +a(g822 +g958 +tp31294 +a(g781 +VVALUE +p31295 +tp31296 +a(g152 +V" UPDATE" +p31297 +tp31298 +a(g701 +g965 +tp31299 +a(g822 +V\u000a +p31300 +tp31301 +a(g7 +V +p31302 +tp31303 +a(g822 +V +p31304 +tp31305 +a(g32 +V05 +p31306 +tp31307 +a(g781 +VFILLER +p31308 +tp31309 +a(g822 +g958 +tp31310 +a(g744 +VPIC X(33) +p31311 +tp31312 +a(g822 +g958 +tp31313 +a(g781 +VVALUE +p31314 +tp31315 +a(g152 +V" UPON" +p31316 +tp31317 +a(g701 +g965 +tp31318 +a(g822 +V\u000a +p31319 +tp31320 +a(g7 +V +p31321 +tp31322 +a(g822 +V +p31323 +tp31324 +a(g32 +V05 +p31325 +tp31326 +a(g781 +VFILLER +p31327 +tp31328 +a(g822 +g958 +tp31329 +a(g744 +VPIC X(33) +p31330 +tp31331 +a(g822 +g958 +tp31332 +a(g781 +VVALUE +p31333 +tp31334 +a(g152 +V" UPPER" +p31335 +tp31336 +a(g701 +g965 +tp31337 +a(g822 +V\u000a +p31338 +tp31339 +a(g7 +V +p31340 +tp31341 +a(g822 +V +p31342 +tp31343 +a(g32 +V05 +p31344 +tp31345 +a(g781 +VFILLER +p31346 +tp31347 +a(g822 +g958 +tp31348 +a(g744 +VPIC X(33) +p31349 +tp31350 +a(g822 +g958 +tp31351 +a(g781 +VVALUE +p31352 +tp31353 +a(g152 +V"IUPPER-CASE" +p31354 +tp31355 +a(g701 +g965 +tp31356 +a(g822 +V\u000a +p31357 +tp31358 +a(g7 +V +p31359 +tp31360 +a(g822 +V +p31361 +tp31362 +a(g32 +V05 +p31363 +tp31364 +a(g781 +VFILLER +p31365 +tp31366 +a(g822 +g958 +tp31367 +a(g744 +VPIC X(33) +p31368 +tp31369 +a(g822 +g958 +tp31370 +a(g781 +VVALUE +p31371 +tp31372 +a(g152 +V" USAGE" +p31373 +tp31374 +a(g701 +g965 +tp31375 +a(g822 +V\u000a +p31376 +tp31377 +a(g7 +V +p31378 +tp31379 +a(g822 +V +p31380 +tp31381 +a(g32 +V05 +p31382 +tp31383 +a(g781 +VFILLER +p31384 +tp31385 +a(g822 +g958 +tp31386 +a(g744 +VPIC X(33) +p31387 +tp31388 +a(g822 +g958 +tp31389 +a(g781 +VVALUE +p31390 +tp31391 +a(g152 +V"VUSE" +p31392 +tp31393 +a(g701 +g965 +tp31394 +a(g822 +V\u000a +p31395 +tp31396 +a(g7 +V +p31397 +tp31398 +a(g822 +V +p31399 +tp31400 +a(g32 +V05 +p31401 +tp31402 +a(g781 +VFILLER +p31403 +tp31404 +a(g822 +g958 +tp31405 +a(g744 +VPIC X(33) +p31406 +tp31407 +a(g822 +g958 +tp31408 +a(g781 +VVALUE +p31409 +tp31410 +a(g152 +V" USER-DEFAULT" +p31411 +tp31412 +a(g701 +g965 +tp31413 +a(g822 +V\u000a +p31414 +tp31415 +a(g7 +V +p31416 +tp31417 +a(g822 +V +p31418 +tp31419 +a(g32 +V05 +p31420 +tp31421 +a(g781 +VFILLER +p31422 +tp31423 +a(g822 +g958 +tp31424 +a(g744 +VPIC X(33) +p31425 +tp31426 +a(g822 +g958 +tp31427 +a(g781 +VVALUE +p31428 +tp31429 +a(g152 +V"KUSING" +p31430 +tp31431 +a(g701 +g965 +tp31432 +a(g822 +V\u000a +p31433 +tp31434 +a(g7 +V +p31435 +tp31436 +a(g822 +V +p31437 +tp31438 +a(g32 +V05 +p31439 +tp31440 +a(g781 +VFILLER +p31441 +tp31442 +a(g822 +g958 +tp31443 +a(g744 +VPIC X(33) +p31444 +tp31445 +a(g822 +g958 +tp31446 +a(g781 +VVALUE +p31447 +tp31448 +a(g152 +V" VAL-STATUS" +p31449 +tp31450 +a(g701 +g965 +tp31451 +a(g822 +V\u000a +p31452 +tp31453 +a(g7 +V +p31454 +tp31455 +a(g822 +V +p31456 +tp31457 +a(g32 +V05 +p31458 +tp31459 +a(g781 +VFILLER +p31460 +tp31461 +a(g822 +g958 +tp31462 +a(g744 +VPIC X(33) +p31463 +tp31464 +a(g822 +g958 +tp31465 +a(g781 +VVALUE +p31466 +tp31467 +a(g152 +V" VALID" +p31468 +tp31469 +a(g701 +g965 +tp31470 +a(g822 +V\u000a +p31471 +tp31472 +a(g7 +V +p31473 +tp31474 +a(g822 +V +p31475 +tp31476 +a(g32 +V05 +p31477 +tp31478 +a(g781 +VFILLER +p31479 +tp31480 +a(g822 +g958 +tp31481 +a(g744 +VPIC X(33) +p31482 +tp31483 +a(g822 +g958 +tp31484 +a(g781 +VVALUE +p31485 +tp31486 +a(g152 +V" VALIDATE" +p31487 +tp31488 +a(g701 +g965 +tp31489 +a(g822 +V\u000a +p31490 +tp31491 +a(g7 +V +p31492 +tp31493 +a(g822 +V +p31494 +tp31495 +a(g32 +V05 +p31496 +tp31497 +a(g781 +VFILLER +p31498 +tp31499 +a(g822 +g958 +tp31500 +a(g744 +VPIC X(33) +p31501 +tp31502 +a(g822 +g958 +tp31503 +a(g781 +VVALUE +p31504 +tp31505 +a(g152 +V" VALIDATE-STATUS" +p31506 +tp31507 +a(g701 +g965 +tp31508 +a(g822 +V\u000a +p31509 +tp31510 +a(g7 +V +p31511 +tp31512 +a(g822 +V +p31513 +tp31514 +a(g32 +V05 +p31515 +tp31516 +a(g781 +VFILLER +p31517 +tp31518 +a(g822 +g958 +tp31519 +a(g744 +VPIC X(33) +p31520 +tp31521 +a(g822 +g958 +tp31522 +a(g781 +VVALUE +p31523 +tp31524 +a(g152 +V" VALUE" +p31525 +tp31526 +a(g701 +g965 +tp31527 +a(g822 +V\u000a +p31528 +tp31529 +a(g7 +V +p31530 +tp31531 +a(g822 +V +p31532 +tp31533 +a(g32 +V05 +p31534 +tp31535 +a(g781 +VFILLER +p31536 +tp31537 +a(g822 +g958 +tp31538 +a(g744 +VPIC X(33) +p31539 +tp31540 +a(g822 +g958 +tp31541 +a(g781 +VVALUE +p31542 +tp31543 +a(g152 +V" VALUES" +p31544 +tp31545 +a(g701 +g965 +tp31546 +a(g822 +V\u000a +p31547 +tp31548 +a(g7 +V +p31549 +tp31550 +a(g822 +V +p31551 +tp31552 +a(g32 +V05 +p31553 +tp31554 +a(g781 +VFILLER +p31555 +tp31556 +a(g822 +g958 +tp31557 +a(g744 +VPIC X(33) +p31558 +tp31559 +a(g822 +g958 +tp31560 +a(g781 +VVALUE +p31561 +tp31562 +a(g152 +V"IVARIANCE" +p31563 +tp31564 +a(g701 +g965 +tp31565 +a(g822 +V\u000a +p31566 +tp31567 +a(g7 +V +p31568 +tp31569 +a(g822 +V +p31570 +tp31571 +a(g32 +V05 +p31572 +tp31573 +a(g781 +VFILLER +p31574 +tp31575 +a(g822 +g958 +tp31576 +a(g744 +VPIC X(33) +p31577 +tp31578 +a(g822 +g958 +tp31579 +a(g781 +VVALUE +p31580 +tp31581 +a(g152 +V"KVARYING" +p31582 +tp31583 +a(g701 +g965 +tp31584 +a(g822 +V\u000a +p31585 +tp31586 +a(g7 +V +p31587 +tp31588 +a(g822 +V +p31589 +tp31590 +a(g32 +V05 +p31591 +tp31592 +a(g781 +VFILLER +p31593 +tp31594 +a(g822 +g958 +tp31595 +a(g744 +VPIC X(33) +p31596 +tp31597 +a(g822 +g958 +tp31598 +a(g781 +VVALUE +p31599 +tp31600 +a(g152 +V" WAIT" +p31601 +tp31602 +a(g701 +g965 +tp31603 +a(g822 +V\u000a +p31604 +tp31605 +a(g7 +V +p31606 +tp31607 +a(g822 +V +p31608 +tp31609 +a(g32 +V05 +p31610 +tp31611 +a(g781 +VFILLER +p31612 +tp31613 +a(g822 +g958 +tp31614 +a(g744 +VPIC X(33) +p31615 +tp31616 +a(g822 +g958 +tp31617 +a(g781 +VVALUE +p31618 +tp31619 +a(g152 +V"VWHEN" +p31620 +tp31621 +a(g701 +g965 +tp31622 +a(g822 +V\u000a +p31623 +tp31624 +a(g7 +V +p31625 +tp31626 +a(g822 +V +p31627 +tp31628 +a(g32 +V05 +p31629 +tp31630 +a(g781 +VFILLER +p31631 +tp31632 +a(g822 +g958 +tp31633 +a(g744 +VPIC X(33) +p31634 +tp31635 +a(g822 +g958 +tp31636 +a(g781 +VVALUE +p31637 +tp31638 +a(g152 +V"IWHEN-COMPILED" +p31639 +tp31640 +a(g701 +g965 +tp31641 +a(g822 +V\u000a +p31642 +tp31643 +a(g7 +V +p31644 +tp31645 +a(g822 +V +p31646 +tp31647 +a(g32 +V05 +p31648 +tp31649 +a(g781 +VFILLER +p31650 +tp31651 +a(g822 +g958 +tp31652 +a(g744 +VPIC X(33) +p31653 +tp31654 +a(g822 +g958 +tp31655 +a(g781 +VVALUE +p31656 +tp31657 +a(g152 +V" WITH" +p31658 +tp31659 +a(g701 +g965 +tp31660 +a(g822 +V\u000a +p31661 +tp31662 +a(g7 +V +p31663 +tp31664 +a(g822 +V +p31665 +tp31666 +a(g32 +V05 +p31667 +tp31668 +a(g781 +VFILLER +p31669 +tp31670 +a(g822 +g958 +tp31671 +a(g744 +VPIC X(33) +p31672 +tp31673 +a(g822 +g958 +tp31674 +a(g781 +VVALUE +p31675 +tp31676 +a(g152 +V" WORDS" +p31677 +tp31678 +a(g701 +g965 +tp31679 +a(g822 +V\u000a +p31680 +tp31681 +a(g7 +V +p31682 +tp31683 +a(g822 +V +p31684 +tp31685 +a(g32 +V05 +p31686 +tp31687 +a(g781 +VFILLER +p31688 +tp31689 +a(g822 +g958 +tp31690 +a(g744 +VPIC X(33) +p31691 +tp31692 +a(g822 +g958 +tp31693 +a(g781 +VVALUE +p31694 +tp31695 +a(g152 +V"KWORKING-STORAGE" +p31696 +tp31697 +a(g701 +g965 +tp31698 +a(g822 +V\u000a +p31699 +tp31700 +a(g7 +V +p31701 +tp31702 +a(g822 +V +p31703 +tp31704 +a(g32 +V05 +p31705 +tp31706 +a(g781 +VFILLER +p31707 +tp31708 +a(g822 +g958 +tp31709 +a(g744 +VPIC X(33) +p31710 +tp31711 +a(g822 +g958 +tp31712 +a(g781 +VVALUE +p31713 +tp31714 +a(g152 +V"VWRITE" +p31715 +tp31716 +a(g701 +g965 +tp31717 +a(g822 +V\u000a +p31718 +tp31719 +a(g7 +V +p31720 +tp31721 +a(g822 +V +p31722 +tp31723 +a(g32 +V05 +p31724 +tp31725 +a(g781 +VFILLER +p31726 +tp31727 +a(g822 +g958 +tp31728 +a(g744 +VPIC X(33) +p31729 +tp31730 +a(g822 +g958 +tp31731 +a(g781 +VVALUE +p31732 +tp31733 +a(g152 +V"IYEAR-TO-YYYY" +p31734 +tp31735 +a(g701 +g965 +tp31736 +a(g822 +V\u000a +p31737 +tp31738 +a(g7 +V +p31739 +tp31740 +a(g822 +V +p31741 +tp31742 +a(g32 +V05 +p31743 +tp31744 +a(g781 +VFILLER +p31745 +tp31746 +a(g822 +g958 +tp31747 +a(g744 +VPIC X(33) +p31748 +tp31749 +a(g822 +g958 +tp31750 +a(g781 +VVALUE +p31751 +tp31752 +a(g152 +V" YYYYDDD" +p31753 +tp31754 +a(g701 +g965 +tp31755 +a(g822 +V\u000a +p31756 +tp31757 +a(g7 +V +p31758 +tp31759 +a(g822 +V +p31760 +tp31761 +a(g32 +V05 +p31762 +tp31763 +a(g781 +VFILLER +p31764 +tp31765 +a(g822 +g958 +tp31766 +a(g744 +VPIC X(33) +p31767 +tp31768 +a(g822 +g958 +tp31769 +a(g781 +VVALUE +p31770 +tp31771 +a(g152 +V" YYYYMMDD" +p31772 +tp31773 +a(g701 +g965 +tp31774 +a(g822 +V\u000a +p31775 +tp31776 +a(g7 +V +p31777 +tp31778 +a(g822 +V +p31779 +tp31780 +a(g32 +V05 +p31781 +tp31782 +a(g781 +VFILLER +p31783 +tp31784 +a(g822 +g958 +tp31785 +a(g744 +VPIC X(33) +p31786 +tp31787 +a(g822 +g958 +tp31788 +a(g781 +VVALUE +p31789 +tp31790 +a(g152 +V" ZERO" +p31791 +tp31792 +a(g701 +g965 +tp31793 +a(g822 +V\u000a +p31794 +tp31795 +a(g7 +V +p31796 +tp31797 +a(g822 +V +p31798 +tp31799 +a(g32 +V05 +p31800 +tp31801 +a(g781 +VFILLER +p31802 +tp31803 +a(g822 +g958 +tp31804 +a(g744 +VPIC X(33) +p31805 +tp31806 +a(g822 +g958 +tp31807 +a(g781 +VVALUE +p31808 +tp31809 +a(g152 +V" ZERO-FILL" +p31810 +tp31811 +a(g701 +g965 +tp31812 +a(g822 +V\u000a +p31813 +tp31814 +a(g7 +V +p31815 +tp31816 +a(g822 +V +p31817 +tp31818 +a(g32 +V05 +p31819 +tp31820 +a(g781 +VFILLER +p31821 +tp31822 +a(g822 +g958 +tp31823 +a(g744 +VPIC X(33) +p31824 +tp31825 +a(g822 +g958 +tp31826 +a(g781 +VVALUE +p31827 +tp31828 +a(g152 +V" ZEROES" +p31829 +tp31830 +a(g701 +g965 +tp31831 +a(g822 +V\u000a +p31832 +tp31833 +a(g7 +V +p31834 +tp31835 +a(g822 +V +p31836 +tp31837 +a(g32 +V05 +p31838 +tp31839 +a(g781 +VFILLER +p31840 +tp31841 +a(g822 +g958 +tp31842 +a(g744 +VPIC X(33) +p31843 +tp31844 +a(g822 +g958 +tp31845 +a(g781 +VVALUE +p31846 +tp31847 +a(g152 +V" ZEROS" +p31848 +tp31849 +a(g701 +g965 +tp31850 +a(g822 +V\u000a +p31851 +tp31852 +a(g7 +V +p31853 +tp31854 +a(g822 +g958 +tp31855 +a(g32 +V01 +p31856 +tp31857 +a(g436 +VReserved-Word-Table +p31858 +tp31859 +a(g822 +V +p31860 +tp31861 +a(g781 +VREDEFINES +p31862 +tp31863 +a(g822 +g958 +tp31864 +a(g436 +VReserved-Words +p31865 +tp31866 +a(g701 +g965 +tp31867 +a(g822 +V\u000a +p31868 +tp31869 +a(g7 +V +p31870 +tp31871 +a(g822 +V +p31872 +tp31873 +a(g32 +V05 +p31874 +tp31875 +a(g436 +VReserved-Word +p31876 +tp31877 +a(g822 +V +p31878 +tp31879 +a(g781 +VOCCURS +p31880 +tp31881 +a(g822 +g958 +tp31882 +a(g32 +V591 +p31883 +tp31884 +a(g781 +VTIMES\u000a +p31885 +tp31886 +a(g822 +g958 +tp31887 +a(g781 +VASCENDING +p31888 +tp31889 +a(g822 +g958 +tp31890 +a(g781 +VKEY +p31891 +tp31892 +a(g822 +g958 +tp31893 +a(g436 +VRW-Word +p31894 +tp31895 +a(g822 +V\u000a +p31896 +tp31897 +a(g7 +V +p31898 +tp31899 +a(g822 +V +p31900 +tp31901 +a(g781 +VINDEXED +p31902 +tp31903 +a(g822 +g958 +tp31904 +a(g436 +VRW-Idx +p31905 +tp31906 +a(g701 +g965 +tp31907 +a(g822 +V\u000a +p31908 +tp31909 +a(g7 +V +p31910 +tp31911 +a(g822 +V +p31912 +tp31913 +a(g32 +V10 +p31914 +tp31915 +a(g436 +VRW-Type +p31916 +tp31917 +a(g822 +V +p31918 +tp31919 +a(g744 +VPIC X(1) +p31920 +tp31921 +a(g701 +g965 +tp31922 +a(g822 +V\u000a +p31923 +tp31924 +a(g7 +V +p31925 +tp31926 +a(g822 +V +p31927 +tp31928 +a(g32 +V10 +p31929 +tp31930 +a(g436 +VRW-Word +p31931 +tp31932 +a(g822 +V +p31933 +tp31934 +a(g744 +VPIC X(32) +p31935 +tp31936 +a(g701 +g965 +tp31937 +a(g822 +V\u000a +p31938 +tp31939 +a(g822 +V\u000a +p31940 +tp31941 +a(g7 +V +p31942 +tp31943 +a(g822 +g958 +tp31944 +a(g32 +V01 +p31945 +tp31946 +a(g436 +VSaved-Section +p31947 +tp31948 +a(g822 +V +p31949 +tp31950 +a(g744 +VPIC X(15) +p31951 +tp31952 +a(g701 +g965 +tp31953 +a(g822 +V\u000a +p31954 +tp31955 +a(g822 +V\u000a +p31956 +tp31957 +a(g7 +V +p31958 +tp31959 +a(g822 +g958 +tp31960 +a(g32 +V01 +p31961 +tp31962 +a(g436 +VSearch-Token +p31963 +tp31964 +a(g822 +V +p31965 +tp31966 +a(g744 +VPIC X(32) +p31967 +tp31968 +a(g701 +g965 +tp31969 +a(g822 +V\u000a +p31970 +tp31971 +a(g822 +V\u000a +p31972 +tp31973 +a(g7 +V +p31974 +tp31975 +a(g822 +g958 +tp31976 +a(g32 +V01 +p31977 +tp31978 +a(g436 +VSource-Line-No +p31979 +tp31980 +a(g822 +V +p31981 +tp31982 +a(g744 +VPIC 9(6) +p31983 +tp31984 +a(g701 +g965 +tp31985 +a(g822 +V\u000a +p31986 +tp31987 +a(g822 +V\u000a +p31988 +tp31989 +a(g7 +V +p31990 +tp31991 +a(g822 +g958 +tp31992 +a(g32 +V01 +p31993 +tp31994 +a(g436 +VSrc-Ptr +p31995 +tp31996 +a(g822 +V +p31997 +tp31998 +a(g781 +VUSAGE +p31999 +tp32000 +a(g822 +g958 +tp32001 +a(g744 +VBINARY-LONG +p32002 +tp32003 +a(g701 +g965 +tp32004 +a(g822 +V\u000a +p32005 +tp32006 +a(g822 +V\u000a +p32007 +tp32008 +a(g7 +V +p32009 +tp32010 +a(g822 +g958 +tp32011 +a(g32 +V01 +p32012 +tp32013 +a(g436 +VSyntax-Parsing-Items +p32014 +tp32015 +a(g701 +g965 +tp32016 +a(g822 +V\u000a +p32017 +tp32018 +a(g7 +V +p32019 +tp32020 +a(g822 +V +p32021 +tp32022 +a(g32 +V05 +p32023 +tp32024 +a(g436 +VSPI-Current-Char +p32025 +tp32026 +a(g822 +V +p32027 +tp32028 +a(g744 +VPIC X(1) +p32029 +tp32030 +a(g701 +g965 +tp32031 +a(g822 +V\u000a +p32032 +tp32033 +a(g7 +V +p32034 +tp32035 +a(g822 +V +p32036 +tp32037 +a(g32 +V88 +p32038 +tp32039 +a(g436 +VCurrent-Char-Is-Punct +p32040 +tp32041 +a(g822 +g958 +tp32042 +a(g781 +VVALUE +p32043 +tp32044 +a(g152 +V"=" +p32045 +tp32046 +a(g701 +g2705 +tp32047 +a(g822 +g958 +tp32048 +a(g152 +V"(" +p32049 +tp32050 +a(g701 +g2705 +tp32051 +a(g822 +g958 +tp32052 +a(g152 +V")" +p32053 +tp32054 +a(g701 +g2705 +tp32055 +a(g822 +g958 +tp32056 +a(g152 +V"*" +p32057 +tp32058 +a(g701 +g2705 +tp32059 +a(g822 +g958 +tp32060 +a(g152 +V"/" +p32061 +tp32062 +a(g701 +g2705 +tp32063 +a(g822 +V\u000a +p32064 +tp32065 +a(g7 +V +p32066 +tp32067 +a(g822 +V +p32068 +tp32069 +a(g152 +V"&" +p32070 +tp32071 +a(g701 +g2705 +tp32072 +a(g822 +g958 +tp32073 +a(g152 +V";" +p32074 +tp32075 +a(g701 +g2705 +tp32076 +a(g822 +g958 +tp32077 +a(g152 +V"," +p32078 +tp32079 +a(g701 +g2705 +tp32080 +a(g822 +g958 +tp32081 +a(g152 +V"<" +p32082 +tp32083 +a(g701 +g2705 +tp32084 +a(g822 +g958 +tp32085 +a(g152 +V">" +p32086 +tp32087 +a(g701 +g2705 +tp32088 +a(g822 +V\u000a +p32089 +tp32090 +a(g7 +V +p32091 +tp32092 +a(g822 +V +p32093 +tp32094 +a(g152 +V":" +p32095 +tp32096 +a(g701 +g965 +tp32097 +a(g822 +V\u000a +p32098 +tp32099 +a(g7 +V +p32100 +tp32101 +a(g822 +V +p32102 +tp32103 +a(g32 +V88 +p32104 +tp32105 +a(g436 +VCurrent-Char-Is-Quote +p32106 +tp32107 +a(g822 +g958 +tp32108 +a(g781 +VVALUE +p32109 +tp32110 +a(g225 +V'"' +p32111 +tp32112 +a(g701 +g2705 +tp32113 +a(g822 +g958 +tp32114 +a(g152 +V"'" +p32115 +tp32116 +a(g701 +g965 +tp32117 +a(g822 +V\u000a +p32118 +tp32119 +a(g7 +V +p32120 +tp32121 +a(g822 +V +p32122 +tp32123 +a(g32 +V88 +p32124 +tp32125 +a(g436 +VCurrent-Char-Is-X +p32126 +tp32127 +a(g822 +V +p32128 +tp32129 +a(g781 +VVALUE +p32130 +tp32131 +a(g152 +V"x" +p32132 +tp32133 +a(g701 +g2705 +tp32134 +a(g822 +g958 +tp32135 +a(g152 +V"X" +p32136 +tp32137 +a(g701 +g965 +tp32138 +a(g822 +V\u000a +p32139 +tp32140 +a(g7 +V +p32141 +tp32142 +a(g822 +V +p32143 +tp32144 +a(g32 +V88 +p32145 +tp32146 +a(g436 +VCurrent-Char-Is-Z +p32147 +tp32148 +a(g822 +V +p32149 +tp32150 +a(g781 +VVALUE +p32151 +tp32152 +a(g152 +V"z" +p32153 +tp32154 +a(g701 +g2705 +tp32155 +a(g822 +g958 +tp32156 +a(g152 +V"Z" +p32157 +tp32158 +a(g701 +g965 +tp32159 +a(g822 +V\u000a +p32160 +tp32161 +a(g7 +V +p32162 +tp32163 +a(g822 +V +p32164 +tp32165 +a(g32 +V05 +p32166 +tp32167 +a(g436 +VSPI-Current-Division +p32168 +tp32169 +a(g822 +V +p32170 +tp32171 +a(g744 +VPIC X(1) +p32172 +tp32173 +a(g701 +g965 +tp32174 +a(g822 +V\u000a +p32175 +tp32176 +a(g7 +V +p32177 +tp32178 +a(g822 +V +p32179 +tp32180 +a(g32 +V88 +p32181 +tp32182 +a(g436 +VIn-IDENTIFICATION-DIVISION +p32183 +tp32184 +a(g822 +g958 +tp32185 +a(g781 +VVALUE +p32186 +tp32187 +a(g152 +V"I" +p32188 +tp32189 +a(g701 +g2705 +tp32190 +a(g822 +g958 +tp32191 +a(g152 +V"?" +p32192 +tp32193 +a(g701 +g965 +tp32194 +a(g822 +V\u000a +p32195 +tp32196 +a(g7 +V +p32197 +tp32198 +a(g822 +V +p32199 +tp32200 +a(g32 +V88 +p32201 +tp32202 +a(g436 +VIn-ENVIRONMENT-DIVISION +p32203 +tp32204 +a(g822 +V +p32205 +tp32206 +a(g781 +VVALUE +p32207 +tp32208 +a(g152 +V"E" +p32209 +tp32210 +a(g701 +g965 +tp32211 +a(g822 +V\u000a +p32212 +tp32213 +a(g7 +V +p32214 +tp32215 +a(g822 +V +p32216 +tp32217 +a(g32 +V88 +p32218 +tp32219 +a(g436 +VIn-DATA-DIVISION +p32220 +tp32221 +a(g822 +V +p32222 +tp32223 +a(g781 +VVALUE +p32224 +tp32225 +a(g152 +V"D" +p32226 +tp32227 +a(g701 +g965 +tp32228 +a(g822 +V\u000a +p32229 +tp32230 +a(g7 +V +p32231 +tp32232 +a(g822 +V +p32233 +tp32234 +a(g32 +V88 +p32235 +tp32236 +a(g436 +VIn-PROCEDURE-DIVISION +p32237 +tp32238 +a(g822 +V +p32239 +tp32240 +a(g781 +VVALUE +p32241 +tp32242 +a(g152 +V"P" +p32243 +tp32244 +a(g701 +g965 +tp32245 +a(g822 +V\u000a +p32246 +tp32247 +a(g7 +V +p32248 +tp32249 +a(g822 +V +p32250 +tp32251 +a(g32 +V05 +p32252 +tp32253 +a(g436 +VSPI-Current-Line-No +p32254 +tp32255 +a(g822 +V +p32256 +tp32257 +a(g744 +VPIC 9(6) +p32258 +tp32259 +a(g701 +g965 +tp32260 +a(g822 +V\u000a +p32261 +tp32262 +a(g7 +V +p32263 +tp32264 +a(g822 +V +p32265 +tp32266 +a(g32 +V05 +p32267 +tp32268 +a(g436 +VSPI-Current-Program-ID +p32269 +tp32270 +a(g701 +g965 +tp32271 +a(g822 +V\u000a +p32272 +tp32273 +a(g7 +V +p32274 +tp32275 +a(g822 +V +p32276 +tp32277 +a(g32 +V10 +p32278 +tp32279 +a(g781 +VFILLER +p32280 +tp32281 +a(g822 +g958 +tp32282 +a(g744 +VPIC X(12) +p32283 +tp32284 +a(g701 +g965 +tp32285 +a(g822 +V\u000a +p32286 +tp32287 +a(g7 +V +p32288 +tp32289 +a(g822 +V +p32290 +tp32291 +a(g32 +V10 +p32292 +tp32293 +a(g436 +VSPI-CP-13-15 +p32294 +tp32295 +a(g822 +V +p32296 +tp32297 +a(g744 +VPIC X(3) +p32298 +tp32299 +a(g701 +g965 +tp32300 +a(g822 +V\u000a +p32301 +tp32302 +a(g7 +V +p32303 +tp32304 +a(g822 +V +p32305 +tp32306 +a(g32 +V05 +p32307 +tp32308 +a(g436 +VSPI-Current-Section +p32309 +tp32310 +a(g701 +g965 +tp32311 +a(g822 +V\u000a +p32312 +tp32313 +a(g7 +V +p32314 +tp32315 +a(g822 +V +p32316 +tp32317 +a(g32 +V10 +p32318 +tp32319 +a(g436 +VSPI-CS-1 +p32320 +tp32321 +a(g822 +V +p32322 +tp32323 +a(g744 +VPIC X(1) +p32324 +tp32325 +a(g701 +g965 +tp32326 +a(g822 +V\u000a +p32327 +tp32328 +a(g7 +V +p32329 +tp32330 +a(g822 +V +p32331 +tp32332 +a(g32 +V10 +p32333 +tp32334 +a(g436 +VSPI-CS-2-14 +p32335 +tp32336 +a(g701 +g965 +tp32337 +a(g822 +V\u000a +p32338 +tp32339 +a(g7 +V +p32340 +tp32341 +a(g822 +V +p32342 +tp32343 +a(g32 +V15 +p32344 +tp32345 +a(g781 +VFILLER +p32346 +tp32347 +a(g822 +g958 +tp32348 +a(g744 +VPIC X(10) +p32349 +tp32350 +a(g701 +g965 +tp32351 +a(g822 +V\u000a +p32352 +tp32353 +a(g7 +V +p32354 +tp32355 +a(g822 +V +p32356 +tp32357 +a(g32 +V15 +p32358 +tp32359 +a(g436 +VSPI-CS-11-14 +p32360 +tp32361 +a(g822 +V +p32362 +tp32363 +a(g744 +VPIC X(3) +p32364 +tp32365 +a(g701 +g965 +tp32366 +a(g822 +V\u000a +p32367 +tp32368 +a(g7 +V +p32369 +tp32370 +a(g822 +V +p32371 +tp32372 +a(g32 +V10 +p32373 +tp32374 +a(g436 +VSPI-CS-15 +p32375 +tp32376 +a(g822 +V +p32377 +tp32378 +a(g744 +VPIC X(1) +p32379 +tp32380 +a(g701 +g965 +tp32381 +a(g822 +V\u000a +p32382 +tp32383 +a(g7 +V +p32384 +tp32385 +a(g822 +V +p32386 +tp32387 +a(g32 +V05 +p32388 +tp32389 +a(g436 +VSPI-Current-Token +p32390 +tp32391 +a(g822 +V +p32392 +tp32393 +a(g744 +VPIC X(32) +p32394 +tp32395 +a(g701 +g965 +tp32396 +a(g822 +V\u000a +p32397 +tp32398 +a(g7 +V +p32399 +tp32400 +a(g822 +V +p32401 +tp32402 +a(g32 +V05 +p32403 +tp32404 +a(g436 +VSPI-Current-Token-UC +p32405 +tp32406 +a(g822 +V +p32407 +tp32408 +a(g744 +VPIC X(32) +p32409 +tp32410 +a(g701 +g965 +tp32411 +a(g822 +V\u000a +p32412 +tp32413 +a(g7 +V +p32414 +tp32415 +a(g822 +V +p32416 +tp32417 +a(g32 +V05 +p32418 +tp32419 +a(g436 +VSPI-Current-Verb +p32420 +tp32421 +a(g822 +V +p32422 +tp32423 +a(g744 +VPIC X(12) +p32424 +tp32425 +a(g701 +g965 +tp32426 +a(g822 +V\u000a +p32427 +tp32428 +a(g7 +V +p32429 +tp32430 +a(g822 +V +p32431 +tp32432 +a(g32 +V05 +p32433 +tp32434 +a(g436 +VSPI-Next-Char +p32435 +tp32436 +a(g822 +V +p32437 +tp32438 +a(g744 +VPIC X(1) +p32439 +tp32440 +a(g701 +g965 +tp32441 +a(g822 +V\u000a +p32442 +tp32443 +a(g7 +V +p32444 +tp32445 +a(g822 +V +p32446 +tp32447 +a(g32 +V88 +p32448 +tp32449 +a(g436 +VNext-Char-Is-Quote +p32450 +tp32451 +a(g822 +V +p32452 +tp32453 +a(g781 +VVALUE +p32454 +tp32455 +a(g225 +V'"' +p32456 +tp32457 +a(g701 +g2705 +tp32458 +a(g822 +g958 +tp32459 +a(g152 +V"'" +p32460 +tp32461 +a(g701 +g965 +tp32462 +a(g822 +V\u000a +p32463 +tp32464 +a(g7 +V +p32465 +tp32466 +a(g822 +V +p32467 +tp32468 +a(g32 +V05 +p32469 +tp32470 +a(g436 +VSPI-Prior-Token +p32471 +tp32472 +a(g822 +V +p32473 +tp32474 +a(g744 +VPIC X(32) +p32475 +tp32476 +a(g701 +g965 +tp32477 +a(g822 +V\u000a +p32478 +tp32479 +a(g7 +V +p32480 +tp32481 +a(g822 +V +p32482 +tp32483 +a(g32 +V05 +p32484 +tp32485 +a(g436 +VSPI-Token-Type +p32486 +tp32487 +a(g822 +V +p32488 +tp32489 +a(g744 +VPIC X(1) +p32490 +tp32491 +a(g701 +g965 +tp32492 +a(g822 +V\u000a +p32493 +tp32494 +a(g7 +V +p32495 +tp32496 +a(g822 +V +p32497 +tp32498 +a(g32 +V88 +p32499 +tp32500 +a(g436 +VToken-Is-EOF +p32501 +tp32502 +a(g822 +V +p32503 +tp32504 +a(g781 +VVALUE +p32505 +tp32506 +a(g822 +g958 +tp32507 +a(g561 +VHIGH-VALUES +p32508 +tp32509 +a(g701 +g965 +tp32510 +a(g822 +V\u000a +p32511 +tp32512 +a(g7 +V +p32513 +tp32514 +a(g822 +V +p32515 +tp32516 +a(g32 +V88 +p32517 +tp32518 +a(g436 +VToken-Is-Identifier +p32519 +tp32520 +a(g822 +V +p32521 +tp32522 +a(g781 +VVALUE +p32523 +tp32524 +a(g152 +V"I" +p32525 +tp32526 +a(g701 +g965 +tp32527 +a(g822 +V\u000a +p32528 +tp32529 +a(g7 +V +p32530 +tp32531 +a(g822 +V +p32532 +tp32533 +a(g32 +V88 +p32534 +tp32535 +a(g436 +VToken-Is-Key-Word +p32536 +tp32537 +a(g822 +V +p32538 +tp32539 +a(g781 +VVALUE +p32540 +tp32541 +a(g152 +V"K" +p32542 +tp32543 +a(g701 +g2705 +tp32544 +a(g822 +g958 +tp32545 +a(g152 +V"V" +p32546 +tp32547 +a(g701 +g965 +tp32548 +a(g822 +V\u000a +p32549 +tp32550 +a(g7 +V +p32551 +tp32552 +a(g822 +V +p32553 +tp32554 +a(g32 +V88 +p32555 +tp32556 +a(g436 +VToken-Is-Literal-Alpha +p32557 +tp32558 +a(g822 +V +p32559 +tp32560 +a(g781 +VVALUE +p32561 +tp32562 +a(g152 +V"L" +p32563 +tp32564 +a(g701 +g965 +tp32565 +a(g822 +V\u000a +p32566 +tp32567 +a(g7 +V +p32568 +tp32569 +a(g822 +V +p32570 +tp32571 +a(g32 +V88 +p32572 +tp32573 +a(g436 +VToken-Is-Literal-Number +p32574 +tp32575 +a(g822 +V +p32576 +tp32577 +a(g781 +VVALUE +p32578 +tp32579 +a(g152 +V"N" +p32580 +tp32581 +a(g701 +g965 +tp32582 +a(g822 +V\u000a +p32583 +tp32584 +a(g7 +V +p32585 +tp32586 +a(g822 +V +p32587 +tp32588 +a(g32 +V88 +p32589 +tp32590 +a(g436 +VToken-Is-Verb +p32591 +tp32592 +a(g822 +V +p32593 +tp32594 +a(g781 +VVALUE +p32595 +tp32596 +a(g152 +V"V" +p32597 +tp32598 +a(g701 +g965 +tp32599 +a(g822 +V\u000a +p32600 +tp32601 +a(g7 +VGC0710 +p32602 +tp32603 +a(g822 +V +p32604 +tp32605 +a(g32 +V88 +p32606 +tp32607 +a(g436 +VToken-Is-Reserved-Word +p32608 +tp32609 +a(g822 +V +p32610 +tp32611 +a(g781 +VVALUE +p32612 +tp32613 +a(g152 +V" " +p32614 +tp32615 +a(g701 +g965 +tp32616 +a(g822 +V\u000a +p32617 +tp32618 +a(g822 +V\u000a +p32619 +tp32620 +a(g7 +V +p32621 +tp32622 +a(g822 +g958 +tp32623 +a(g32 +V01 +p32624 +tp32625 +a(g436 +VTally +p32626 +tp32627 +a(g822 +V +p32628 +tp32629 +a(g781 +VUSAGE +p32630 +tp32631 +a(g822 +g958 +tp32632 +a(g744 +VBINARY-LONG +p32633 +tp32634 +a(g701 +g965 +tp32635 +a(g822 +V\u000a +p32636 +tp32637 +a(g822 +V\u000a +p32638 +tp32639 +a(g7 +V +p32640 +tp32641 +a(g822 +g958 +tp32642 +a(g32 +V01 +p32643 +tp32644 +a(g436 +VTodays-Date +p32645 +tp32646 +a(g822 +V +p32647 +tp32648 +a(g744 +VPIC 9(8) +p32649 +tp32650 +a(g701 +g965 +tp32651 +a(g822 +V\u000a +p32652 +tp32653 +a(g822 +V\u000a +p32654 +tp32655 +a(g7 +V +p32656 +tp32657 +a(g822 +g958 +tp32658 +a(g789 +VLINKAGE +p32659 +tp32660 +a(g822 +g958 +tp32661 +a(g789 +VSECTION +p32662 +tp32663 +a(g701 +g965 +tp32664 +a(g822 +V\u000a +p32665 +tp32666 +a(g7 +V +p32667 +tp32668 +a(g822 +g958 +tp32669 +a(g32 +V01 +p32670 +tp32671 +a(g436 +VProduce-Source-Listing +p32672 +tp32673 +a(g822 +V +p32674 +tp32675 +a(g744 +VPIC X(1) +p32676 +tp32677 +a(g701 +g965 +tp32678 +a(g822 +V\u000a +p32679 +tp32680 +a(g7 +V +p32681 +tp32682 +a(g822 +g958 +tp32683 +a(g32 +V01 +p32684 +tp32685 +a(g436 +VProduce-Xref-Listing +p32686 +tp32687 +a(g822 +V +p32688 +tp32689 +a(g744 +VPIC X(1) +p32690 +tp32691 +a(g701 +g965 +tp32692 +a(g822 +V\u000a +p32693 +tp32694 +a(g7 +V +p32695 +tp32696 +a(g822 +g958 +tp32697 +a(g32 +V01 +p32698 +tp32699 +a(g436 +VSrc-Filename +p32700 +tp32701 +a(g822 +V +p32702 +tp32703 +a(g744 +VPIC X(256) +p32704 +tp32705 +a(g701 +g965 +tp32706 +a(g822 +V\u000a +p32707 +tp32708 +a(g7 +V /\u000a +p32709 +tp32710 +a(g7 +V +p32711 +tp32712 +a(g822 +g958 +tp32713 +a(g789 +VPROCEDURE +p32714 +tp32715 +a(g822 +g958 +tp32716 +a(g789 +VDIVISION +p32717 +tp32718 +a(g822 +g958 +tp32719 +a(g781 +VUSING +p32720 +tp32721 +a(g822 +g958 +tp32722 +a(g436 +VProduce-Source-Listing +p32723 +tp32724 +a(g822 +V\u000a +p32725 +tp32726 +a(g7 +V +p32727 +tp32728 +a(g822 +V +p32729 +tp32730 +a(g436 +VProduce-Xref-Listing +p32731 +tp32732 +a(g822 +V\u000a +p32733 +tp32734 +a(g7 +V +p32735 +tp32736 +a(g822 +V +p32737 +tp32738 +a(g436 +VSrc-Filename +p32739 +tp32740 +a(g701 +g965 +tp32741 +a(g822 +V\u000a +p32742 +tp32743 +a(g7 +V +p32744 +tp32745 +a(g822 +g958 +tp32746 +a(g32 +V000 +p32747 +tp32748 +a(g408 +g1832 +tp32749 +a(g436 +VMain +p32750 +tp32751 +a(g822 +g958 +tp32752 +a(g789 +VSECTION +p32753 +tp32754 +a(g701 +g965 +tp32755 +a(g822 +V\u000a +p32756 +tp32757 +a(g7 +V +p32758 +tp32759 +a(g822 +g958 +tp32760 +a(g32 +V001 +p32761 +tp32762 +a(g408 +g1832 +tp32763 +a(g436 +VInit +p32764 +tp32765 +a(g701 +g965 +tp32766 +a(g822 +V\u000a +p32767 +tp32768 +a(g7 +V +p32769 +tp32770 +a(g822 +V +p32771 +tp32772 +a(g789 +VPERFORM +p32773 +tp32774 +a(g822 +g958 +tp32775 +a(g32 +V100 +p32776 +tp32777 +a(g408 +g1832 +tp32778 +a(g436 +VInitialization +p32779 +tp32780 +a(g822 +V\u000a +p32781 +tp32782 +a(g7 +V +p32783 +tp32784 +a(g822 +V +p32785 +tp32786 +a(g789 +VPERFORM +p32787 +tp32788 +a(g822 +g958 +tp32789 +a(g32 +V200 +p32790 +tp32791 +a(g408 +g1832 +tp32792 +a(g436 +VExecute-cobc +p32793 +tp32794 +a(g822 +V\u000a +p32795 +tp32796 +a(g7 +V +p32797 +tp32798 +a(g822 +V +p32799 +tp32800 +a(g789 +VOPEN +p32801 +tp32802 +a(g822 +g958 +tp32803 +a(g781 +VOUTPUT +p32804 +tp32805 +a(g822 +g958 +tp32806 +a(g436 +VReport-File +p32807 +tp32808 +a(g822 +V\u000a +p32809 +tp32810 +a(g7 +V +p32811 +tp32812 +a(g822 +V +p32813 +tp32814 +a(g789 +VIF +p32815 +tp32816 +a(g822 +g958 +tp32817 +a(g436 +VProduce-Source-Listing +p32818 +tp32819 +a(g822 +g958 +tp32820 +a(g422 +VNOT +p32821 +tp32822 +a(g408 +g7223 +tp32823 +a(g822 +g958 +tp32824 +a(g561 +VSPACE\u000a +p32825 +tp32826 +a(g822 +g958 +tp32827 +a(g789 +VPERFORM +p32828 +tp32829 +a(g822 +g958 +tp32830 +a(g32 +V500 +p32831 +tp32832 +a(g408 +g1832 +tp32833 +a(g436 +VProduce-Source-Listing +p32834 +tp32835 +a(g822 +V\u000a +p32836 +tp32837 +a(g7 +V +p32838 +tp32839 +a(g822 +V +p32840 +tp32841 +a(g789 +VEND-IF\u000a +p32842 +tp32843 +a(g822 +g958 +tp32844 +a(g789 +VIF +p32845 +tp32846 +a(g822 +g958 +tp32847 +a(g436 +VProduce-Xref-Listing +p32848 +tp32849 +a(g822 +g958 +tp32850 +a(g422 +VNOT +p32851 +tp32852 +a(g408 +g7223 +tp32853 +a(g822 +g958 +tp32854 +a(g561 +VSPACE\u000a +p32855 +tp32856 +a(g822 +g958 +tp32857 +a(g789 +VSORT +p32858 +tp32859 +a(g822 +g958 +tp32860 +a(g436 +VSort-File +p32861 +tp32862 +a(g822 +V\u000a +p32863 +tp32864 +a(g7 +V +p32865 +tp32866 +a(g822 +V +p32867 +tp32868 +a(g781 +VASCENDING +p32869 +tp32870 +a(g822 +g958 +tp32871 +a(g781 +VKEY +p32872 +tp32873 +a(g822 +g958 +tp32874 +a(g436 +VSR-Prog-ID +p32875 +tp32876 +a(g822 +V\u000a +p32877 +tp32878 +a(g7 +V +p32879 +tp32880 +a(g822 +V +p32881 +tp32882 +a(g436 +VSR-Token-UC +p32883 +tp32884 +a(g822 +V\u000a +p32885 +tp32886 +a(g7 +V +p32887 +tp32888 +a(g822 +V +p32889 +tp32890 +a(g436 +VSR-Line-No-Ref +p32891 +tp32892 +a(g822 +V\u000a +p32893 +tp32894 +a(g7 +V +p32895 +tp32896 +a(g822 +V +p32897 +tp32898 +a(g781 +VINPUT +p32899 +tp32900 +a(g822 +g958 +tp32901 +a(g789 +VPROCEDURE +p32902 +tp32903 +a(g822 +g958 +tp32904 +a(g32 +V300 +p32905 +tp32906 +a(g408 +g1832 +tp32907 +a(g436 +VTokenize-Source +p32908 +tp32909 +a(g822 +V\u000a +p32910 +tp32911 +a(g7 +V +p32912 +tp32913 +a(g822 +V +p32914 +tp32915 +a(g781 +VOUTPUT +p32916 +tp32917 +a(g822 +g958 +tp32918 +a(g789 +VPROCEDURE +p32919 +tp32920 +a(g822 +g958 +tp32921 +a(g32 +V400 +p32922 +tp32923 +a(g408 +g1832 +tp32924 +a(g436 +VProduce-Xref-Listing +p32925 +tp32926 +a(g822 +V\u000a +p32927 +tp32928 +a(g7 +V +p32929 +tp32930 +a(g822 +V +p32931 +tp32932 +a(g789 +VEND-IF\u000a +p32933 +tp32934 +a(g822 +g958 +tp32935 +a(g789 +VCLOSE +p32936 +tp32937 +a(g822 +g958 +tp32938 +a(g436 +VReport-File +p32939 +tp32940 +a(g822 +V\u000a +p32941 +tp32942 +a(g7 +V +p32943 +tp32944 +a(g822 +V +p32945 +tp32946 +a(g789 +VGOBACK\u000a +p32947 +tp32948 +a(g701 +g965 +tp32949 +a(g822 +V\u000a +p32950 +tp32951 +a(g7 +V /\u000a +p32952 +tp32953 +a(g7 +V +p32954 +tp32955 +a(g822 +g958 +tp32956 +a(g32 +V100 +p32957 +tp32958 +a(g408 +g1832 +tp32959 +a(g436 +VInitialization +p32960 +tp32961 +a(g822 +g958 +tp32962 +a(g789 +VSECTION +p32963 +tp32964 +a(g701 +g965 +tp32965 +a(g822 +V\u000a +p32966 +tp32967 +a(g7 +V *****************************************************************\u000a +p32968 +tp32969 +a(g7 +V ** Perform all program-wide initialization operations **\u000a +p32970 +tp32971 +a(g7 +V *****************************************************************\u000a +p32972 +tp32973 +a(g7 +V +p32974 +tp32975 +a(g822 +g958 +tp32976 +a(g789 +VEND +p32977 +tp32978 +a(g822 +g958 +tp32979 +a(g781 +VPROGRAM +p32980 +tp32981 +a(g822 +g958 +tp32982 +a(g436 +VLISTING +p32983 +tp32984 +a(g701 +g965 +tp32985 +a(g822 +V\u000a +p32986 +tp32987 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.coffee b/tests/examplefiles/output/example.coffee new file mode 100644 index 0000000..3522d59 --- /dev/null +++ b/tests/examplefiles/output/example.coffee @@ -0,0 +1,2817 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# function arrows\u000a +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g650 +VmethodA +p960 +tp961 +a(g412 +V: +p962 +tp963 +a(g573 +V-> +p964 +tp965 +a(g826 +V +p966 +tp967 +a(g89 +V' +p968 +tp969 +a(g89 +VA +p970 +tp971 +a(g89 +g968 +tp972 +a(g826 +V\u000a +p973 +tp974 +a(g650 +VmethodB +p975 +tp976 +a(g412 +g962 +tp977 +a(g573 +V=> +p978 +tp979 +a(g826 +g966 +tp980 +a(g89 +g968 +tp981 +a(g89 +VB +p982 +tp983 +a(g89 +g968 +tp984 +a(g826 +V\u000a +p985 +tp986 +a(g650 +VmethodC +p987 +tp988 +a(g412 +g962 +tp989 +a(g573 +V()=> +p990 +tp991 +a(g826 +g966 +tp992 +a(g89 +g968 +tp993 +a(g89 +VC +p994 +tp995 +a(g89 +g968 +tp996 +a(g826 +V\u000a +p997 +tp998 +a(g650 +VmethodD +p999 +tp1000 +a(g412 +g962 +tp1001 +a(g573 +V()-> +p1002 +tp1003 +a(g826 +g966 +tp1004 +a(g89 +g968 +tp1005 +a(g89 +VD +p1006 +tp1007 +a(g89 +g968 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g650 +VmethodE +p1011 +tp1012 +a(g412 +g962 +tp1013 +a(g573 +V(a,b)-> +p1014 +tp1015 +a(g826 +g966 +tp1016 +a(g89 +g968 +tp1017 +a(g89 +VE +p1018 +tp1019 +a(g89 +g968 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g650 +VmethodF +p1023 +tp1024 +a(g412 +g962 +tp1025 +a(g573 +V(c,d)-> +p1026 +tp1027 +a(g826 +g966 +tp1028 +a(g89 +g968 +tp1029 +a(g89 +VF +p1030 +tp1031 +a(g89 +g968 +tp1032 +a(g826 +V\u000a +p1033 +tp1034 +a(g573 +V-> +p1035 +tp1036 +a(g826 +g966 +tp1037 +a(g89 +g968 +tp1038 +a(g89 +VG +p1039 +tp1040 +a(g89 +g968 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g573 +V=> +p1044 +tp1045 +a(g826 +g966 +tp1046 +a(g89 +g968 +tp1047 +a(g89 +VH +p1048 +tp1049 +a(g89 +g968 +tp1050 +a(g826 +V\u000a\u000a +p1051 +tp1052 +a(g705 +V( +p1053 +tp1054 +a(g573 +V-> +p1055 +tp1056 +a(g826 +g966 +tp1057 +a(g89 +g968 +tp1058 +a(g89 +VI +p1059 +tp1060 +a(g89 +g968 +tp1061 +a(g705 +V) +p1062 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g705 +g1053 +tp1066 +a(g573 +V=> +p1067 +tp1068 +a(g826 +g966 +tp1069 +a(g89 +g968 +tp1070 +a(g89 +VJ +p1071 +tp1072 +a(g89 +g968 +tp1073 +a(g705 +g1062 +tp1074 +a(g826 +V\u000a\u000a +p1075 +tp1076 +a(g8 +V# strings\u000a +p1077 +tp1078 +a(g826 +V\u000a +p1079 +tp1080 +a(g89 +V" +p1081 +tp1082 +a(g125 +V#{ +p1083 +tp1084 +a(g650 +Vwow +p1085 +tp1086 +a(g125 +V} +p1087 +tp1088 +a(g89 +g1081 +tp1089 +a(g826 +V\u000a +p1090 +tp1091 +a(g89 +g1081 +tp1092 +a(g89 +Vw +p1093 +tp1094 +a(g125 +V#{ +p1095 +tp1096 +a(g650 +Vwow +p1097 +tp1098 +a(g125 +g1087 +tp1099 +a(g89 +g1093 +tp1100 +a(g89 +g1081 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g89 +g1081 +tp1104 +a(g89 +V# +p1105 +tp1106 +a(g89 +Vwow +p1107 +tp1108 +a(g89 +g1081 +tp1109 +a(g826 +V\u000a +p1110 +tp1111 +a(g89 +g1081 +tp1112 +a(g89 +Vwow +p1113 +tp1114 +a(g89 +g1105 +tp1115 +a(g89 +g1081 +tp1116 +a(g826 +V\u000a +p1117 +tp1118 +a(g89 +g1081 +tp1119 +a(g89 +g1093 +tp1120 +a(g89 +g1105 +tp1121 +a(g89 +Vow +p1122 +tp1123 +a(g89 +g1081 +tp1124 +a(g826 +V\u000a\u000a +p1125 +tp1126 +a(g89 +g968 +tp1127 +a(g89 +g1105 +tp1128 +a(g89 +V{wow} +p1129 +tp1130 +a(g89 +g968 +tp1131 +a(g826 +V\u000a +p1132 +tp1133 +a(g89 +g968 +tp1134 +a(g89 +g1093 +tp1135 +a(g89 +g1105 +tp1136 +a(g89 +V{wow}w +p1137 +tp1138 +a(g89 +g968 +tp1139 +a(g826 +V\u000a +p1140 +tp1141 +a(g89 +g968 +tp1142 +a(g89 +g1105 +tp1143 +a(g89 +Vwow +p1144 +tp1145 +a(g89 +g968 +tp1146 +a(g826 +V\u000a +p1147 +tp1148 +a(g89 +g968 +tp1149 +a(g89 +Vwow +p1150 +tp1151 +a(g89 +g1105 +tp1152 +a(g89 +g968 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g89 +g968 +tp1156 +a(g89 +g1093 +tp1157 +a(g89 +g1105 +tp1158 +a(g89 +Vow +p1159 +tp1160 +a(g89 +g968 +tp1161 +a(g826 +V\u000a +p1162 +tp1163 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.cpp b/tests/examplefiles/output/example.cpp new file mode 100644 index 0000000..e4d0e79 --- /dev/null +++ b/tests/examplefiles/output/example.cpp @@ -0,0 +1,56106 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/***************************************************************************\u000a ansigenerator.cpp - description\u000a -------------------\u000a begin : Jul 5 2004\u000a copyright : (C) 2004 by André Simon\u000a email : andre.simon1@gmx.de\u000a ***************************************************************************/ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g827 +V\u000a +p960 +tp961 +a(g8 +V/***************************************************************************\u000a * *\u000a * This program is free software; you can redistribute it and/or modify *\u000a * it under the terms of the GNU General Public License as published by *\u000a * the Free Software Foundation; either version 2 of the License, or *\u000a * (at your option) any later version. *\u000a * *\u000a ***************************************************************************/ +p962 +tp963 +a(g827 +V\u000a +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g899 +V# +p968 +tp969 +a(g899 +Vinclude +p970 +tp971 +a(g827 +V +p972 +tp973 +a(g938 +V"ansigenerator.h" +p974 +tp975 +a(g899 +V\u000a +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g745 +Vusing +p980 +tp981 +a(g827 +g972 +tp982 +a(g745 +Vnamespace +p983 +tp984 +a(g827 +g972 +tp985 +a(g436 +Vstd +p986 +tp987 +a(g706 +V; +p988 +tp989 +a(g827 +V\u000a +p990 +tp991 +a(g827 +V\u000a +p992 +tp993 +a(g745 +Vnamespace +p994 +tp995 +a(g827 +g972 +tp996 +a(g436 +Vhighlight +p997 +tp998 +a(g827 +g972 +tp999 +a(g706 +V{ +p1000 +tp1001 +a(g827 +V\u000a +p1002 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g436 +Vstring +p1008 +tp1009 +a(g827 +V +p1010 +tp1011 +a(g436 +VAnsiGenerator +p1012 +tp1013 +a(g413 +V: +p1014 +tp1015 +a(g413 +g1014 +tp1016 +a(g436 +VgetOpenTag +p1017 +tp1018 +a(g706 +V( +p1019 +tp1020 +a(g745 +Vconst +p1021 +tp1022 +a(g827 +g972 +tp1023 +a(g436 +Vstring +p1024 +tp1025 +a(g413 +V& +p1026 +tp1027 +a(g436 +Vfont +p1028 +tp1029 +a(g706 +V, +p1030 +tp1031 +a(g827 +V\u000a +p1032 +tp1033 +a(g827 +V +p1034 +tp1035 +a(g745 +Vconst +p1036 +tp1037 +a(g827 +g972 +tp1038 +a(g436 +Vstring +p1039 +tp1040 +a(g413 +g1026 +tp1041 +a(g436 +VfgCol +p1042 +tp1043 +a(g706 +g1030 +tp1044 +a(g827 +g972 +tp1045 +a(g745 +Vconst +p1046 +tp1047 +a(g827 +g972 +tp1048 +a(g436 +Vstring +p1049 +tp1050 +a(g413 +g1026 +tp1051 +a(g436 +VbgCol +p1052 +tp1053 +a(g706 +V) +p1054 +tp1055 +a(g827 +g972 +tp1056 +a(g706 +g1000 +tp1057 +a(g827 +V\u000a +p1058 +tp1059 +a(g827 +V +p1060 +tp1061 +a(g436 +Vostringstream +p1062 +tp1063 +a(g827 +g972 +tp1064 +a(g436 +Vs +p1065 +tp1066 +a(g706 +g988 +tp1067 +a(g827 +V\u000a +p1068 +tp1069 +a(g827 +V +p1070 +tp1071 +a(g436 +g1065 +tp1072 +a(g827 +V +p1073 +tp1074 +a(g413 +V< +p1075 +tp1076 +a(g413 +g1075 +tp1077 +a(g827 +g972 +tp1078 +a(g89 +V" +p1079 +tp1080 +a(g213 +V\u005c033 +p1081 +tp1082 +a(g89 +V[ +p1083 +tp1084 +a(g89 +g1079 +tp1085 +a(g413 +g1075 +tp1086 +a(g413 +g1075 +tp1087 +a(g436 +Vfont +p1088 +tp1089 +a(g706 +g988 +tp1090 +a(g827 +V\u000a +p1091 +tp1092 +a(g827 +V +p1093 +tp1094 +a(g745 +Vif +p1095 +tp1096 +a(g827 +g972 +tp1097 +a(g706 +g1019 +tp1098 +a(g413 +V! +p1099 +tp1100 +a(g436 +VfgCol +p1101 +tp1102 +a(g706 +V. +p1103 +tp1104 +a(g436 +Vempty +p1105 +tp1106 +a(g706 +g1019 +tp1107 +a(g706 +g1054 +tp1108 +a(g706 +g1054 +tp1109 +a(g827 +V\u000a +p1110 +tp1111 +a(g827 +V +p1112 +tp1113 +a(g436 +g1065 +tp1114 +a(g413 +g1075 +tp1115 +a(g413 +g1075 +tp1116 +a(g89 +g1079 +tp1117 +a(g89 +g988 +tp1118 +a(g89 +g1079 +tp1119 +a(g413 +g1075 +tp1120 +a(g413 +g1075 +tp1121 +a(g436 +VfgCol +p1122 +tp1123 +a(g706 +g988 +tp1124 +a(g827 +V\u000a +p1125 +tp1126 +a(g827 +V +p1127 +tp1128 +a(g745 +Vif +p1129 +tp1130 +a(g827 +g972 +tp1131 +a(g706 +g1019 +tp1132 +a(g413 +g1099 +tp1133 +a(g436 +VbgCol +p1134 +tp1135 +a(g706 +g1103 +tp1136 +a(g436 +Vempty +p1137 +tp1138 +a(g706 +g1019 +tp1139 +a(g706 +g1054 +tp1140 +a(g706 +g1054 +tp1141 +a(g827 +V\u000a +p1142 +tp1143 +a(g827 +V +p1144 +tp1145 +a(g436 +g1065 +tp1146 +a(g413 +g1075 +tp1147 +a(g413 +g1075 +tp1148 +a(g89 +g1079 +tp1149 +a(g89 +g988 +tp1150 +a(g89 +g1079 +tp1151 +a(g413 +g1075 +tp1152 +a(g413 +g1075 +tp1153 +a(g436 +VbgCol +p1154 +tp1155 +a(g706 +g988 +tp1156 +a(g827 +V\u000a +p1157 +tp1158 +a(g827 +V +p1159 +tp1160 +a(g436 +g1065 +tp1161 +a(g827 +g972 +tp1162 +a(g413 +g1075 +tp1163 +a(g413 +g1075 +tp1164 +a(g827 +g972 +tp1165 +a(g89 +g1079 +tp1166 +a(g89 +Vm +p1167 +tp1168 +a(g89 +g1079 +tp1169 +a(g706 +g988 +tp1170 +a(g827 +V\u000a +p1171 +tp1172 +a(g827 +V +p1173 +tp1174 +a(g745 +Vreturn +p1175 +tp1176 +a(g827 +V +p1177 +tp1178 +a(g436 +g1065 +tp1179 +a(g706 +g1103 +tp1180 +a(g436 +Vstr +p1181 +tp1182 +a(g706 +g1019 +tp1183 +a(g706 +g1054 +tp1184 +a(g706 +g988 +tp1185 +a(g827 +V\u000a +p1186 +tp1187 +a(g706 +V} +p1188 +tp1189 +a(g827 +V\u000a +p1190 +tp1191 +a(g827 +V\u000a +p1192 +tp1193 +a(g827 +V\u000a +p1194 +tp1195 +a(g436 +VAnsiGenerator +p1196 +tp1197 +a(g413 +g1014 +tp1198 +a(g413 +g1014 +tp1199 +a(g436 +VAnsiGenerator +p1200 +tp1201 +a(g706 +g1019 +tp1202 +a(g745 +Vconst +p1203 +tp1204 +a(g827 +g972 +tp1205 +a(g436 +Vstring +p1206 +tp1207 +a(g827 +g972 +tp1208 +a(g413 +g1026 +tp1209 +a(g436 +VcolourTheme +p1210 +tp1211 +a(g706 +g1054 +tp1212 +a(g827 +V\u000a +p1213 +tp1214 +a(g827 +V +p1215 +tp1216 +a(g413 +g1014 +tp1217 +a(g827 +g972 +tp1218 +a(g436 +VCodeGenerator +p1219 +tp1220 +a(g706 +g1019 +tp1221 +a(g436 +VcolourTheme +p1222 +tp1223 +a(g706 +g1054 +tp1224 +a(g827 +g972 +tp1225 +a(g706 +g1000 +tp1226 +a(g827 +V\u000a +p1227 +tp1228 +a(g827 +V +p1229 +tp1230 +a(g436 +VstyleTagOpen +p1231 +tp1232 +a(g706 +g1103 +tp1233 +a(g436 +Vpush_back +p1234 +tp1235 +a(g706 +g1019 +tp1236 +a(g89 +g1079 +tp1237 +a(g89 +g1079 +tp1238 +a(g706 +g1054 +tp1239 +a(g706 +g988 +tp1240 +a(g827 +V\u000a +p1241 +tp1242 +a(g827 +V +p1243 +tp1244 +a(g436 +VstyleTagOpen +p1245 +tp1246 +a(g706 +g1103 +tp1247 +a(g436 +Vpush_back +p1248 +tp1249 +a(g706 +g1019 +tp1250 +a(g436 +VgetOpenTag +p1251 +tp1252 +a(g706 +g1019 +tp1253 +a(g89 +g1079 +tp1254 +a(g89 +V00 +p1255 +tp1256 +a(g89 +g1079 +tp1257 +a(g706 +g1030 +tp1258 +a(g827 +g972 +tp1259 +a(g89 +g1079 +tp1260 +a(g89 +V31 +p1261 +tp1262 +a(g89 +g1079 +tp1263 +a(g706 +g1054 +tp1264 +a(g706 +g1054 +tp1265 +a(g706 +g988 +tp1266 +a(g827 +g972 +tp1267 +a(g906 +V//str\u000a +p1268 +tp1269 +a(g827 +V +p1270 +tp1271 +a(g436 +VstyleTagOpen +p1272 +tp1273 +a(g706 +g1103 +tp1274 +a(g436 +Vpush_back +p1275 +tp1276 +a(g706 +g1019 +tp1277 +a(g436 +VgetOpenTag +p1278 +tp1279 +a(g706 +g1019 +tp1280 +a(g89 +g1079 +tp1281 +a(g89 +V00 +p1282 +tp1283 +a(g89 +g1079 +tp1284 +a(g706 +g1030 +tp1285 +a(g827 +g972 +tp1286 +a(g89 +g1079 +tp1287 +a(g89 +V34 +p1288 +tp1289 +a(g89 +g1079 +tp1290 +a(g706 +g1054 +tp1291 +a(g706 +g1054 +tp1292 +a(g706 +g988 +tp1293 +a(g906 +V//number\u000a +p1294 +tp1295 +a(g827 +V +p1296 +tp1297 +a(g436 +VstyleTagOpen +p1298 +tp1299 +a(g706 +g1103 +tp1300 +a(g436 +Vpush_back +p1301 +tp1302 +a(g706 +g1019 +tp1303 +a(g436 +VgetOpenTag +p1304 +tp1305 +a(g706 +g1019 +tp1306 +a(g89 +g1079 +tp1307 +a(g89 +V00 +p1308 +tp1309 +a(g89 +g1079 +tp1310 +a(g706 +g1030 +tp1311 +a(g827 +g972 +tp1312 +a(g89 +g1079 +tp1313 +a(g89 +V34 +p1314 +tp1315 +a(g89 +g1079 +tp1316 +a(g706 +g1054 +tp1317 +a(g706 +g1054 +tp1318 +a(g706 +g988 +tp1319 +a(g906 +V//sl comment\u000a +p1320 +tp1321 +a(g827 +V +p1322 +tp1323 +a(g436 +VstyleTagOpen +p1324 +tp1325 +a(g706 +g1103 +tp1326 +a(g436 +Vpush_back +p1327 +tp1328 +a(g706 +g1019 +tp1329 +a(g436 +VgetOpenTag +p1330 +tp1331 +a(g706 +g1019 +tp1332 +a(g89 +g1079 +tp1333 +a(g89 +V00 +p1334 +tp1335 +a(g89 +g1079 +tp1336 +a(g706 +g1030 +tp1337 +a(g827 +g972 +tp1338 +a(g89 +g1079 +tp1339 +a(g89 +V34 +p1340 +tp1341 +a(g89 +g1079 +tp1342 +a(g706 +g1054 +tp1343 +a(g706 +g1054 +tp1344 +a(g706 +g988 +tp1345 +a(g906 +V//ml comment\u000a +p1346 +tp1347 +a(g827 +V +p1348 +tp1349 +a(g436 +VstyleTagOpen +p1350 +tp1351 +a(g706 +g1103 +tp1352 +a(g436 +Vpush_back +p1353 +tp1354 +a(g706 +g1019 +tp1355 +a(g436 +VgetOpenTag +p1356 +tp1357 +a(g706 +g1019 +tp1358 +a(g89 +g1079 +tp1359 +a(g89 +V00 +p1360 +tp1361 +a(g89 +g1079 +tp1362 +a(g706 +g1030 +tp1363 +a(g827 +g972 +tp1364 +a(g89 +g1079 +tp1365 +a(g89 +V35 +p1366 +tp1367 +a(g89 +g1079 +tp1368 +a(g706 +g1054 +tp1369 +a(g706 +g1054 +tp1370 +a(g706 +g988 +tp1371 +a(g906 +V//escapeChar\u000a +p1372 +tp1373 +a(g827 +V +p1374 +tp1375 +a(g436 +VstyleTagOpen +p1376 +tp1377 +a(g706 +g1103 +tp1378 +a(g436 +Vpush_back +p1379 +tp1380 +a(g706 +g1019 +tp1381 +a(g436 +VgetOpenTag +p1382 +tp1383 +a(g706 +g1019 +tp1384 +a(g89 +g1079 +tp1385 +a(g89 +V00 +p1386 +tp1387 +a(g89 +g1079 +tp1388 +a(g706 +g1030 +tp1389 +a(g827 +g972 +tp1390 +a(g89 +g1079 +tp1391 +a(g89 +V35 +p1392 +tp1393 +a(g89 +g1079 +tp1394 +a(g706 +g1054 +tp1395 +a(g706 +g1054 +tp1396 +a(g706 +g988 +tp1397 +a(g906 +V//directive\u000a +p1398 +tp1399 +a(g827 +V +p1400 +tp1401 +a(g436 +VstyleTagOpen +p1402 +tp1403 +a(g706 +g1103 +tp1404 +a(g436 +Vpush_back +p1405 +tp1406 +a(g706 +g1019 +tp1407 +a(g436 +VgetOpenTag +p1408 +tp1409 +a(g706 +g1019 +tp1410 +a(g89 +g1079 +tp1411 +a(g89 +V01 +p1412 +tp1413 +a(g89 +g1079 +tp1414 +a(g706 +g1030 +tp1415 +a(g827 +g972 +tp1416 +a(g89 +g1079 +tp1417 +a(g89 +V31 +p1418 +tp1419 +a(g89 +g1079 +tp1420 +a(g706 +g1054 +tp1421 +a(g706 +g1054 +tp1422 +a(g706 +g988 +tp1423 +a(g906 +V//directive string\u000a +p1424 +tp1425 +a(g827 +V +p1426 +tp1427 +a(g436 +VstyleTagOpen +p1428 +tp1429 +a(g706 +g1103 +tp1430 +a(g436 +Vpush_back +p1431 +tp1432 +a(g706 +g1019 +tp1433 +a(g436 +VgetOpenTag +p1434 +tp1435 +a(g706 +g1019 +tp1436 +a(g89 +g1079 +tp1437 +a(g89 +V00 +p1438 +tp1439 +a(g89 +g1079 +tp1440 +a(g706 +g1030 +tp1441 +a(g827 +g972 +tp1442 +a(g89 +g1079 +tp1443 +a(g89 +V30 +p1444 +tp1445 +a(g89 +g1079 +tp1446 +a(g706 +g1054 +tp1447 +a(g706 +g1054 +tp1448 +a(g706 +g988 +tp1449 +a(g906 +V//linenum\u000a +p1450 +tp1451 +a(g827 +V +p1452 +tp1453 +a(g436 +VstyleTagOpen +p1454 +tp1455 +a(g706 +g1103 +tp1456 +a(g436 +Vpush_back +p1457 +tp1458 +a(g706 +g1019 +tp1459 +a(g436 +VgetOpenTag +p1460 +tp1461 +a(g706 +g1019 +tp1462 +a(g89 +g1079 +tp1463 +a(g89 +V01 +p1464 +tp1465 +a(g89 +g1079 +tp1466 +a(g706 +g1030 +tp1467 +a(g827 +g972 +tp1468 +a(g89 +g1079 +tp1469 +a(g89 +V00 +p1470 +tp1471 +a(g89 +g1079 +tp1472 +a(g706 +g1054 +tp1473 +a(g706 +g1054 +tp1474 +a(g706 +g988 +tp1475 +a(g906 +V//symbol\u000a +p1476 +tp1477 +a(g827 +V\u000a +p1478 +tp1479 +a(g827 +V +p1480 +tp1481 +a(g436 +VstyleTagClose +p1482 +tp1483 +a(g706 +g1103 +tp1484 +a(g436 +Vpush_back +p1485 +tp1486 +a(g706 +g1019 +tp1487 +a(g89 +g1079 +tp1488 +a(g89 +g1079 +tp1489 +a(g706 +g1054 +tp1490 +a(g706 +g988 +tp1491 +a(g827 +V\u000a +p1492 +tp1493 +a(g827 +V +p1494 +tp1495 +a(g745 +Vfor +p1496 +tp1497 +a(g827 +g972 +tp1498 +a(g706 +g1019 +tp1499 +a(g749 +Vint +p1500 +tp1501 +a(g827 +g972 +tp1502 +a(g436 +Vi +p1503 +tp1504 +a(g413 +V= +p1505 +tp1506 +a(g37 +V1 +p1507 +tp1508 +a(g706 +g988 +tp1509 +a(g436 +g1503 +tp1510 +a(g413 +g1075 +tp1511 +a(g436 +VNUMBER_BUILTIN_STYLES +p1512 +tp1513 +a(g706 +g988 +tp1514 +a(g827 +g972 +tp1515 +a(g436 +g1503 +tp1516 +a(g413 +V+ +p1517 +tp1518 +a(g413 +g1517 +tp1519 +a(g706 +g1054 +tp1520 +a(g827 +g972 +tp1521 +a(g706 +g1000 +tp1522 +a(g827 +V\u000a +p1523 +tp1524 +a(g827 +V +p1525 +tp1526 +a(g436 +VstyleTagClose +p1527 +tp1528 +a(g706 +g1103 +tp1529 +a(g436 +Vpush_back +p1530 +tp1531 +a(g706 +g1019 +tp1532 +a(g89 +g1079 +tp1533 +a(g213 +V\u005c033 +p1534 +tp1535 +a(g89 +V[m +p1536 +tp1537 +a(g89 +g1079 +tp1538 +a(g706 +g1054 +tp1539 +a(g706 +g988 +tp1540 +a(g827 +V\u000a +p1541 +tp1542 +a(g827 +V +p1543 +tp1544 +a(g706 +g1188 +tp1545 +a(g827 +V\u000a +p1546 +tp1547 +a(g827 +V +p1548 +tp1549 +a(g436 +VnewLineTag +p1550 +tp1551 +a(g827 +g972 +tp1552 +a(g413 +g1505 +tp1553 +a(g827 +g972 +tp1554 +a(g89 +g1079 +tp1555 +a(g213 +V\u005cn +p1556 +tp1557 +a(g89 +g1079 +tp1558 +a(g706 +g988 +tp1559 +a(g827 +V\u000a +p1560 +tp1561 +a(g827 +V +p1562 +tp1563 +a(g436 +Vspacer +p1564 +tp1565 +a(g827 +g972 +tp1566 +a(g413 +g1505 +tp1567 +a(g827 +g972 +tp1568 +a(g89 +g1079 +tp1569 +a(g89 +g972 +tp1570 +a(g89 +g1079 +tp1571 +a(g706 +g988 +tp1572 +a(g827 +V\u000a +p1573 +tp1574 +a(g706 +g1188 +tp1575 +a(g827 +V\u000a +p1576 +tp1577 +a(g827 +V\u000a +p1578 +tp1579 +a(g436 +VAnsiGenerator +p1580 +tp1581 +a(g413 +g1014 +tp1582 +a(g413 +g1014 +tp1583 +a(g436 +VAnsiGenerator +p1584 +tp1585 +a(g706 +g1019 +tp1586 +a(g706 +g1054 +tp1587 +a(g827 +g972 +tp1588 +a(g706 +g1000 +tp1589 +a(g706 +g1188 +tp1590 +a(g827 +V\u000a +p1591 +tp1592 +a(g436 +VAnsiGenerator +p1593 +tp1594 +a(g413 +g1014 +tp1595 +a(g413 +g1014 +tp1596 +a(g413 +V~ +p1597 +tp1598 +a(g436 +VAnsiGenerator +p1599 +tp1600 +a(g706 +g1019 +tp1601 +a(g706 +g1054 +tp1602 +a(g827 +g972 +tp1603 +a(g706 +g1000 +tp1604 +a(g706 +g1188 +tp1605 +a(g827 +V\u000a +p1606 +tp1607 +a(g827 +V\u000a +p1608 +tp1609 +a(g436 +Vstring +p1610 +tp1611 +a(g827 +g972 +tp1612 +a(g436 +VAnsiGenerator +p1613 +tp1614 +a(g413 +g1014 +tp1615 +a(g413 +g1014 +tp1616 +a(g436 +VgetHeader +p1617 +tp1618 +a(g706 +g1019 +tp1619 +a(g745 +Vconst +p1620 +tp1621 +a(g827 +g972 +tp1622 +a(g436 +Vstring +p1623 +tp1624 +a(g827 +g972 +tp1625 +a(g413 +g1026 +tp1626 +a(g827 +g972 +tp1627 +a(g436 +Vtitle +p1628 +tp1629 +a(g706 +g1054 +tp1630 +a(g827 +g972 +tp1631 +a(g706 +g1000 +tp1632 +a(g827 +V\u000a +p1633 +tp1634 +a(g827 +V +p1635 +tp1636 +a(g745 +Vreturn +p1637 +tp1638 +a(g827 +g972 +tp1639 +a(g436 +Vstring +p1640 +tp1641 +a(g706 +g1019 +tp1642 +a(g706 +g1054 +tp1643 +a(g706 +g988 +tp1644 +a(g827 +V\u000a +p1645 +tp1646 +a(g706 +g1188 +tp1647 +a(g827 +V\u000a +p1648 +tp1649 +a(g827 +V\u000a +p1650 +tp1651 +a(g749 +Vvoid +p1652 +tp1653 +a(g827 +g972 +tp1654 +a(g436 +VAnsiGenerator +p1655 +tp1656 +a(g413 +g1014 +tp1657 +a(g413 +g1014 +tp1658 +a(g436 +VprintBody +p1659 +tp1660 +a(g706 +g1019 +tp1661 +a(g706 +g1054 +tp1662 +a(g827 +g972 +tp1663 +a(g706 +g1000 +tp1664 +a(g827 +V\u000a +p1665 +tp1666 +a(g827 +V +p1667 +tp1668 +a(g436 +VprocessRootState +p1669 +tp1670 +a(g706 +g1019 +tp1671 +a(g706 +g1054 +tp1672 +a(g706 +g988 +tp1673 +a(g827 +V\u000a +p1674 +tp1675 +a(g706 +g1188 +tp1676 +a(g827 +V\u000a +p1677 +tp1678 +a(g827 +V\u000a +p1679 +tp1680 +a(g436 +Vstring +p1681 +tp1682 +a(g827 +g972 +tp1683 +a(g436 +VAnsiGenerator +p1684 +tp1685 +a(g413 +g1014 +tp1686 +a(g413 +g1014 +tp1687 +a(g436 +VgetFooter +p1688 +tp1689 +a(g706 +g1019 +tp1690 +a(g706 +g1054 +tp1691 +a(g827 +g972 +tp1692 +a(g706 +g1000 +tp1693 +a(g827 +V\u000a +p1694 +tp1695 +a(g827 +V +p1696 +tp1697 +a(g745 +Vreturn +p1698 +tp1699 +a(g827 +g972 +tp1700 +a(g436 +Vstring +p1701 +tp1702 +a(g706 +g1019 +tp1703 +a(g706 +g1054 +tp1704 +a(g706 +g988 +tp1705 +a(g827 +V\u000a +p1706 +tp1707 +a(g706 +g1188 +tp1708 +a(g827 +V\u000a +p1709 +tp1710 +a(g827 +V\u000a +p1711 +tp1712 +a(g436 +Vstring +p1713 +tp1714 +a(g827 +g972 +tp1715 +a(g436 +VAnsiGenerator +p1716 +tp1717 +a(g413 +g1014 +tp1718 +a(g413 +g1014 +tp1719 +a(g436 +VmaskCharacter +p1720 +tp1721 +a(g706 +g1019 +tp1722 +a(g749 +Vunsigned +p1723 +tp1724 +a(g827 +g972 +tp1725 +a(g749 +Vchar +p1726 +tp1727 +a(g827 +g972 +tp1728 +a(g436 +Vc +p1729 +tp1730 +a(g706 +g1054 +tp1731 +a(g827 +g972 +tp1732 +a(g706 +g1000 +tp1733 +a(g827 +V\u000a +p1734 +tp1735 +a(g827 +V +p1736 +tp1737 +a(g436 +Vstring +p1738 +tp1739 +a(g827 +g972 +tp1740 +a(g436 +g1167 +tp1741 +a(g706 +g988 +tp1742 +a(g827 +V\u000a +p1743 +tp1744 +a(g827 +V +p1745 +tp1746 +a(g436 +g1167 +tp1747 +a(g413 +g1517 +tp1748 +a(g413 +g1505 +tp1749 +a(g436 +g1729 +tp1750 +a(g706 +g988 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g827 +V +p1754 +tp1755 +a(g745 +Vreturn +p1756 +tp1757 +a(g827 +g972 +tp1758 +a(g436 +g1167 +tp1759 +a(g706 +g988 +tp1760 +a(g827 +V\u000a +p1761 +tp1762 +a(g706 +g1188 +tp1763 +a(g827 +V\u000a +p1764 +tp1765 +a(g827 +V\u000a +p1766 +tp1767 +a(g436 +Vstring +p1768 +tp1769 +a(g827 +g972 +tp1770 +a(g436 +VAnsiGenerator +p1771 +tp1772 +a(g413 +g1014 +tp1773 +a(g413 +g1014 +tp1774 +a(g436 +VgetMatchingOpenTag +p1775 +tp1776 +a(g706 +g1019 +tp1777 +a(g749 +Vunsigned +p1778 +tp1779 +a(g827 +g972 +tp1780 +a(g749 +Vint +p1781 +tp1782 +a(g827 +g972 +tp1783 +a(g436 +VstyleID +p1784 +tp1785 +a(g706 +g1054 +tp1786 +a(g827 +g972 +tp1787 +a(g706 +g1000 +tp1788 +a(g827 +V\u000a +p1789 +tp1790 +a(g827 +V +p1791 +tp1792 +a(g745 +Vreturn +p1793 +tp1794 +a(g827 +g972 +tp1795 +a(g706 +g1019 +tp1796 +a(g436 +VstyleID +p1797 +tp1798 +a(g706 +g1054 +tp1799 +a(g413 +V? +p1800 +tp1801 +a(g436 +VgetOpenTag +p1802 +tp1803 +a(g706 +g1019 +tp1804 +a(g89 +g1079 +tp1805 +a(g89 +V01 +p1806 +tp1807 +a(g89 +g1079 +tp1808 +a(g706 +g1030 +tp1809 +a(g827 +g972 +tp1810 +a(g89 +g1079 +tp1811 +a(g89 +V32 +p1812 +tp1813 +a(g89 +g1079 +tp1814 +a(g706 +g1030 +tp1815 +a(g827 +g972 +tp1816 +a(g89 +g1079 +tp1817 +a(g89 +g1079 +tp1818 +a(g706 +g1054 +tp1819 +a(g413 +g1014 +tp1820 +a(g436 +VgetOpenTag +p1821 +tp1822 +a(g706 +g1019 +tp1823 +a(g89 +g1079 +tp1824 +a(g89 +V00 +p1825 +tp1826 +a(g89 +g1079 +tp1827 +a(g706 +g1030 +tp1828 +a(g827 +g972 +tp1829 +a(g89 +g1079 +tp1830 +a(g89 +V33 +p1831 +tp1832 +a(g89 +g1079 +tp1833 +a(g706 +g1054 +tp1834 +a(g706 +g988 +tp1835 +a(g827 +V\u000a +p1836 +tp1837 +a(g706 +g1188 +tp1838 +a(g827 +V\u000a +p1839 +tp1840 +a(g827 +V\u000a +p1841 +tp1842 +a(g436 +Vstring +p1843 +tp1844 +a(g827 +g972 +tp1845 +a(g436 +VAnsiGenerator +p1846 +tp1847 +a(g413 +g1014 +tp1848 +a(g413 +g1014 +tp1849 +a(g436 +VgetMatchingCloseTag +p1850 +tp1851 +a(g706 +g1019 +tp1852 +a(g749 +Vunsigned +p1853 +tp1854 +a(g827 +g972 +tp1855 +a(g749 +Vint +p1856 +tp1857 +a(g827 +g972 +tp1858 +a(g436 +VstyleID +p1859 +tp1860 +a(g706 +g1054 +tp1861 +a(g827 +g972 +tp1862 +a(g706 +g1000 +tp1863 +a(g827 +V\u000a +p1864 +tp1865 +a(g827 +V +p1866 +tp1867 +a(g745 +Vreturn +p1868 +tp1869 +a(g827 +g972 +tp1870 +a(g89 +g1079 +tp1871 +a(g213 +V\u005c033 +p1872 +tp1873 +a(g89 +V[m +p1874 +tp1875 +a(g89 +g1079 +tp1876 +a(g706 +g988 +tp1877 +a(g827 +V\u000a +p1878 +tp1879 +a(g706 +g1188 +tp1880 +a(g827 +V\u000a +p1881 +tp1882 +a(g827 +V\u000a +p1883 +tp1884 +a(g706 +g1188 +tp1885 +a(g827 +V\u000a +p1886 +tp1887 +a(g8 +V/***************************************************************************\u000a ansicode.h - description\u000a -------------------\u000a begin : Jul 5 2004\u000a copyright : (C) 2004 by Andre Simon\u000a email : andre.simon1@gmx.de\u000a ***************************************************************************/ +p1888 +tp1889 +a(g827 +V\u000a +p1890 +tp1891 +a(g827 +V\u000a +p1892 +tp1893 +a(g8 +V/***************************************************************************\u000a * *\u000a * This program is free software; you can redistribute it and/or modify *\u000a * it under the terms of the GNU General Public License as published by *\u000a * the Free Software Foundation; either version 2 of the License, or *\u000a * (at your option) any later version. *\u000a * *\u000a ***************************************************************************/ +p1894 +tp1895 +a(g827 +V\u000a +p1896 +tp1897 +a(g827 +V\u000a +p1898 +tp1899 +a(g899 +g968 +tp1900 +a(g899 +Vifndef ANSIGENERATOR_H +p1901 +tp1902 +a(g899 +V\u000a +p1903 +tp1904 +a(g899 +g968 +tp1905 +a(g899 +Vdefine ANSIGENERATOR_H +p1906 +tp1907 +a(g899 +V\u000a +p1908 +tp1909 +a(g827 +V\u000a +p1910 +tp1911 +a(g899 +g968 +tp1912 +a(g899 +Vinclude +p1913 +tp1914 +a(g827 +g972 +tp1915 +a(g938 +V +p1916 +tp1917 +a(g899 +V\u000a +p1918 +tp1919 +a(g899 +g968 +tp1920 +a(g899 +Vinclude +p1921 +tp1922 +a(g827 +g972 +tp1923 +a(g938 +V +p1924 +tp1925 +a(g899 +V\u000a +p1926 +tp1927 +a(g899 +g968 +tp1928 +a(g899 +Vinclude +p1929 +tp1930 +a(g827 +g972 +tp1931 +a(g938 +V +p1932 +tp1933 +a(g899 +V\u000a +p1934 +tp1935 +a(g899 +g968 +tp1936 +a(g899 +Vinclude +p1937 +tp1938 +a(g827 +g972 +tp1939 +a(g938 +V +p1940 +tp1941 +a(g899 +V\u000a +p1942 +tp1943 +a(g827 +V\u000a +p1944 +tp1945 +a(g899 +g968 +tp1946 +a(g899 +Vinclude +p1947 +tp1948 +a(g827 +g972 +tp1949 +a(g938 +V"codegenerator.h" +p1950 +tp1951 +a(g899 +V\u000a +p1952 +tp1953 +a(g899 +g968 +tp1954 +a(g899 +Vinclude +p1955 +tp1956 +a(g827 +g972 +tp1957 +a(g938 +V"charcodes.h" +p1958 +tp1959 +a(g899 +V\u000a +p1960 +tp1961 +a(g899 +g968 +tp1962 +a(g899 +Vinclude +p1963 +tp1964 +a(g827 +g972 +tp1965 +a(g938 +V"version.h" +p1966 +tp1967 +a(g899 +V\u000a +p1968 +tp1969 +a(g827 +V\u000a +p1970 +tp1971 +a(g745 +Vnamespace +p1972 +tp1973 +a(g827 +g972 +tp1974 +a(g436 +Vhighlight +p1975 +tp1976 +a(g827 +g972 +tp1977 +a(g706 +g1000 +tp1978 +a(g827 +V\u000a +p1979 +tp1980 +a(g827 +V\u000a +p1981 +tp1982 +a(g8 +V/**\u000a \u005cbrief This class generates ANSI escape sequences.\u000a\u000a It contains information about the resulting document structure (document\u000a header and footer), the colour system, white space handling and text\u000a formatting attributes.\u000a\u000a* @author Andre Simon\u000a*/ +p1983 +tp1984 +a(g827 +V\u000a +p1985 +tp1986 +a(g827 +V\u000a +p1987 +tp1988 +a(g745 +Vclass +p1989 +tp1990 +a(g827 +g972 +tp1991 +a(g629 +VAnsiGenerator +p1992 +tp1993 +a(g827 +g972 +tp1994 +a(g413 +g1014 +tp1995 +a(g827 +g972 +tp1996 +a(g745 +Vpublic +p1997 +tp1998 +a(g827 +g972 +tp1999 +a(g436 +Vhighlight +p2000 +tp2001 +a(g413 +g1014 +tp2002 +a(g413 +g1014 +tp2003 +a(g436 +VCodeGenerator +p2004 +tp2005 +a(g827 +V\u000a +p2006 +tp2007 +a(g827 +V +p2008 +tp2009 +a(g706 +g1000 +tp2010 +a(g827 +V\u000a +p2011 +tp2012 +a(g827 +V +p2013 +tp2014 +a(g745 +Vpublic +p2015 +tp2016 +a(g413 +g1014 +tp2017 +a(g827 +V\u000a +p2018 +tp2019 +a(g827 +V\u000a +p2020 +tp2021 +a(g827 +V +p2022 +tp2023 +a(g8 +V/** Constructor\u000a \u005cparam colourTheme Name of Colour theme to use\u000a */ +p2024 +tp2025 +a(g827 +V\u000a +p2026 +tp2027 +a(g827 +V +p2028 +tp2029 +a(g436 +VAnsiGenerator +p2030 +tp2031 +a(g706 +g1019 +tp2032 +a(g827 +g972 +tp2033 +a(g745 +Vconst +p2034 +tp2035 +a(g827 +g972 +tp2036 +a(g436 +Vstring +p2037 +tp2038 +a(g827 +g972 +tp2039 +a(g413 +g1026 +tp2040 +a(g436 +VcolourTheme +p2041 +tp2042 +a(g706 +g1054 +tp2043 +a(g706 +g988 +tp2044 +a(g827 +V\u000a +p2045 +tp2046 +a(g827 +V +p2047 +tp2048 +a(g436 +VAnsiGenerator +p2049 +tp2050 +a(g706 +g1019 +tp2051 +a(g706 +g1054 +tp2052 +a(g706 +g988 +tp2053 +a(g827 +V\u000a +p2054 +tp2055 +a(g827 +V +p2056 +tp2057 +a(g413 +g1597 +tp2058 +a(g436 +VAnsiGenerator +p2059 +tp2060 +a(g706 +g1019 +tp2061 +a(g706 +g1054 +tp2062 +a(g706 +g988 +tp2063 +a(g827 +V\u000a +p2064 +tp2065 +a(g827 +V\u000a +p2066 +tp2067 +a(g827 +V +p2068 +tp2069 +a(g8 +V/** prints document header\u000a \u005cparam title Title of the document\u000a */ +p2070 +tp2071 +a(g827 +V\u000a +p2072 +tp2073 +a(g827 +V +p2074 +tp2075 +a(g436 +Vstring +p2076 +tp2077 +a(g827 +g972 +tp2078 +a(g574 +VgetHeader +p2079 +tp2080 +a(g706 +g1019 +tp2081 +a(g745 +Vconst +p2082 +tp2083 +a(g827 +g972 +tp2084 +a(g436 +Vstring +p2085 +tp2086 +a(g827 +g972 +tp2087 +a(g413 +g1026 +tp2088 +a(g827 +g972 +tp2089 +a(g436 +Vtitle +p2090 +tp2091 +a(g706 +g1054 +tp2092 +a(g706 +g988 +tp2093 +a(g827 +V\u000a +p2094 +tp2095 +a(g827 +V\u000a +p2096 +tp2097 +a(g827 +V +p2098 +tp2099 +a(g8 +V/** Prints document footer*/ +p2100 +tp2101 +a(g827 +V\u000a +p2102 +tp2103 +a(g827 +V +p2104 +tp2105 +a(g436 +Vstring +p2106 +tp2107 +a(g827 +g972 +tp2108 +a(g574 +VgetFooter +p2109 +tp2110 +a(g706 +g1019 +tp2111 +a(g706 +g1054 +tp2112 +a(g706 +g988 +tp2113 +a(g827 +V\u000a +p2114 +tp2115 +a(g827 +V\u000a +p2116 +tp2117 +a(g827 +V +p2118 +tp2119 +a(g8 +V/** Prints document body*/ +p2120 +tp2121 +a(g827 +V\u000a +p2122 +tp2123 +a(g827 +V +p2124 +tp2125 +a(g749 +Vvoid +p2126 +tp2127 +a(g827 +g972 +tp2128 +a(g574 +VprintBody +p2129 +tp2130 +a(g706 +g1019 +tp2131 +a(g706 +g1054 +tp2132 +a(g706 +g988 +tp2133 +a(g827 +V\u000a +p2134 +tp2135 +a(g827 +V\u000a +p2136 +tp2137 +a(g827 +V +p2138 +tp2139 +a(g745 +Vprivate +p2140 +tp2141 +a(g413 +g1014 +tp2142 +a(g827 +V\u000a +p2143 +tp2144 +a(g827 +V\u000a +p2145 +tp2146 +a(g827 +V +p2147 +tp2148 +a(g8 +V/** \u005creturn escaped character*/ +p2149 +tp2150 +a(g827 +V\u000a +p2151 +tp2152 +a(g827 +V +p2153 +tp2154 +a(g745 +Vvirtual +p2155 +tp2156 +a(g827 +g972 +tp2157 +a(g436 +Vstring +p2158 +tp2159 +a(g827 +g972 +tp2160 +a(g436 +VmaskCharacter +p2161 +tp2162 +a(g706 +g1019 +tp2163 +a(g749 +Vunsigned +p2164 +tp2165 +a(g827 +g972 +tp2166 +a(g749 +Vchar +p2167 +tp2168 +a(g827 +g972 +tp2169 +a(g706 +g1054 +tp2170 +a(g706 +g988 +tp2171 +a(g827 +V\u000a +p2172 +tp2173 +a(g827 +V\u000a +p2174 +tp2175 +a(g827 +V\u000a +p2176 +tp2177 +a(g827 +V +p2178 +tp2179 +a(g8 +V/** gibt ANSI-"Tags" zurueck (Farbindex+bold+kursiv)*/ +p2180 +tp2181 +a(g827 +V\u000a +p2182 +tp2183 +a(g827 +V +p2184 +tp2185 +a(g436 +Vstring +p2186 +tp2187 +a(g827 +g972 +tp2188 +a(g574 +VgetOpenTag +p2189 +tp2190 +a(g706 +g1019 +tp2191 +a(g745 +Vconst +p2192 +tp2193 +a(g827 +g972 +tp2194 +a(g436 +Vstring +p2195 +tp2196 +a(g413 +g1026 +tp2197 +a(g436 +Vfont +p2198 +tp2199 +a(g706 +g1030 +tp2200 +a(g827 +V\u000a +p2201 +tp2202 +a(g827 +V +p2203 +tp2204 +a(g745 +Vconst +p2205 +tp2206 +a(g827 +g972 +tp2207 +a(g436 +Vstring +p2208 +tp2209 +a(g413 +g1026 +tp2210 +a(g436 +VfgCol +p2211 +tp2212 +a(g706 +g1030 +tp2213 +a(g827 +g972 +tp2214 +a(g745 +Vconst +p2215 +tp2216 +a(g827 +g972 +tp2217 +a(g436 +Vstring +p2218 +tp2219 +a(g413 +g1026 +tp2220 +a(g436 +VbgCol +p2221 +tp2222 +a(g413 +g1505 +tp2223 +a(g89 +g1079 +tp2224 +a(g89 +g1079 +tp2225 +a(g706 +g1054 +tp2226 +a(g706 +g988 +tp2227 +a(g827 +V\u000a +p2228 +tp2229 +a(g827 +V\u000a +p2230 +tp2231 +a(g827 +V\u000a +p2232 +tp2233 +a(g827 +V\u000a +p2234 +tp2235 +a(g827 +V +p2236 +tp2237 +a(g436 +Vstring +p2238 +tp2239 +a(g827 +g972 +tp2240 +a(g574 +VgetMatchingOpenTag +p2241 +tp2242 +a(g706 +g1019 +tp2243 +a(g749 +Vunsigned +p2244 +tp2245 +a(g827 +g972 +tp2246 +a(g749 +Vint +p2247 +tp2248 +a(g827 +g972 +tp2249 +a(g436 +VstyleID +p2250 +tp2251 +a(g706 +g1054 +tp2252 +a(g706 +g988 +tp2253 +a(g827 +V\u000a +p2254 +tp2255 +a(g827 +V +p2256 +tp2257 +a(g436 +Vstring +p2258 +tp2259 +a(g827 +g972 +tp2260 +a(g574 +VgetMatchingCloseTag +p2261 +tp2262 +a(g706 +g1019 +tp2263 +a(g749 +Vunsigned +p2264 +tp2265 +a(g827 +g972 +tp2266 +a(g749 +Vint +p2267 +tp2268 +a(g827 +g972 +tp2269 +a(g436 +VstyleID +p2270 +tp2271 +a(g706 +g1054 +tp2272 +a(g706 +g988 +tp2273 +a(g827 +V\u000a +p2274 +tp2275 +a(g827 +V +p2276 +tp2277 +a(g706 +g1188 +tp2278 +a(g706 +g988 +tp2279 +a(g827 +V\u000a +p2280 +tp2281 +a(g827 +V\u000a +p2282 +tp2283 +a(g706 +g1188 +tp2284 +a(g827 +V\u000a +p2285 +tp2286 +a(g899 +g968 +tp2287 +a(g899 +Vendif +p2288 +tp2289 +a(g899 +V\u000a +p2290 +tp2291 +a(g8 +V/*\u000a * Copyright (c) 1998,1999,2000,2001,2002 Tal Davidson. All rights reserved.\u000a *\u000a * ASBeautifier.cpp\u000a * by Tal Davidson (davidsont@bigfoot.com)\u000a * This file is a part of "Artistic Style" - an indentater and reformatter\u000a * of C, C, C# and Java source files.\u000a *\u000a * The "Artistic Style" project, including all files needed to compile it,\u000a * is free software; you can redistribute it and/or use it and/or modify it\u000a * under the terms of the GNU General Public License as published \u000a * by the Free Software Foundation; either version 2 of the License, \u000a * or (at your option) any later version.\u000a *\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.\u000a *\u000a * You should have received a copy of the GNU General Public\u000a * License along with this program.\u000a *\u000a * Patches:\u000a * 18 March 1999 - Brian Rampel -\u000a * Fixed inverse insertion of spaces vs. tabs when in -t mode.\u000a * 08 may 2004 \u000a * applied ASBeautifier.cpp.BITFIELD.patch.bz2\u000a */ +p2292 +tp2293 +a(g827 +V\u000a +p2294 +tp2295 +a(g827 +V\u000a +p2296 +tp2297 +a(g899 +g968 +tp2298 +a(g899 +Vinclude +p2299 +tp2300 +a(g827 +g972 +tp2301 +a(g938 +V"compiler_defines.h" +p2302 +tp2303 +a(g899 +V\u000a +p2304 +tp2305 +a(g899 +g968 +tp2306 +a(g899 +Vinclude +p2307 +tp2308 +a(g827 +g972 +tp2309 +a(g938 +V"ASBeautifier.h" +p2310 +tp2311 +a(g899 +V\u000a +p2312 +tp2313 +a(g827 +V\u000a +p2314 +tp2315 +a(g899 +g968 +tp2316 +a(g899 +Vinclude +p2317 +tp2318 +a(g827 +g972 +tp2319 +a(g938 +V +p2320 +tp2321 +a(g899 +V\u000a +p2322 +tp2323 +a(g899 +g968 +tp2324 +a(g899 +Vinclude +p2325 +tp2326 +a(g827 +g972 +tp2327 +a(g938 +V +p2328 +tp2329 +a(g899 +V\u000a +p2330 +tp2331 +a(g899 +g968 +tp2332 +a(g899 +Vinclude +p2333 +tp2334 +a(g827 +g972 +tp2335 +a(g938 +V +p2336 +tp2337 +a(g899 +V\u000a +p2338 +tp2339 +a(g899 +g968 +tp2340 +a(g899 +Vinclude +p2341 +tp2342 +a(g827 +g972 +tp2343 +a(g938 +V +p2344 +tp2345 +a(g899 +V\u000a +p2346 +tp2347 +a(g899 +g968 +tp2348 +a(g899 +Vinclude +p2349 +tp2350 +a(g827 +g972 +tp2351 +a(g938 +V +p2352 +tp2353 +a(g899 +V\u000a +p2354 +tp2355 +a(g827 +V\u000a +p2356 +tp2357 +a(g827 +V\u000a +p2358 +tp2359 +a(g899 +g968 +tp2360 +a(g899 +Vdefine INIT_CONTAINER(container, value) {if ( (container) != NULL ) delete (container); (container) = (value); } +p2361 +tp2362 +a(g899 +V\u000a +p2363 +tp2364 +a(g899 +g968 +tp2365 +a(g899 +Vdefine DELETE_CONTAINER(container) {if ( (container) != NULL ) delete (container) ; } +p2366 +tp2367 +a(g899 +V\u000a +p2368 +tp2369 +a(g827 +V\u000a +p2370 +tp2371 +a(g899 +g968 +tp2372 +a(g899 +Vifdef USES_NAMESPACE +p2373 +tp2374 +a(g899 +V\u000a +p2375 +tp2376 +a(g745 +Vusing +p2377 +tp2378 +a(g827 +g972 +tp2379 +a(g745 +Vnamespace +p2380 +tp2381 +a(g827 +g972 +tp2382 +a(g436 +Vstd +p2383 +tp2384 +a(g706 +g988 +tp2385 +a(g827 +V\u000a +p2386 +tp2387 +a(g899 +g968 +tp2388 +a(g899 +Vendif +p2389 +tp2390 +a(g899 +V\u000a +p2391 +tp2392 +a(g827 +V\u000a +p2393 +tp2394 +a(g827 +V\u000a +p2395 +tp2396 +a(g827 +V\u000a +p2397 +tp2398 +a(g827 +V\u000a +p2399 +tp2400 +a(g899 +g968 +tp2401 +a(g899 +Vifdef USES_NAMESPACE +p2402 +tp2403 +a(g899 +V\u000a +p2404 +tp2405 +a(g745 +Vnamespace +p2406 +tp2407 +a(g827 +g972 +tp2408 +a(g436 +Vastyle +p2409 +tp2410 +a(g827 +V\u000a +p2411 +tp2412 +a(g827 +V +p2413 +tp2414 +a(g706 +g1000 +tp2415 +a(g827 +V\u000a +p2416 +tp2417 +a(g899 +g968 +tp2418 +a(g899 +Vendif +p2419 +tp2420 +a(g899 +V\u000a +p2421 +tp2422 +a(g827 +V\u000a +p2423 +tp2424 +a(g827 +V +p2425 +tp2426 +a(g749 +Vbool +p2427 +tp2428 +a(g827 +g972 +tp2429 +a(g436 +VASBeautifier +p2430 +tp2431 +a(g413 +g1014 +tp2432 +a(g413 +g1014 +tp2433 +a(g436 +VcalledInitStatic +p2434 +tp2435 +a(g827 +g972 +tp2436 +a(g413 +g1505 +tp2437 +a(g827 +g972 +tp2438 +a(g682 +Vfalse +p2439 +tp2440 +a(g706 +g988 +tp2441 +a(g827 +V\u000a +p2442 +tp2443 +a(g827 +V\u000a +p2444 +tp2445 +a(g827 +V +p2446 +tp2447 +a(g436 +Vvector +p2448 +tp2449 +a(g413 +g1075 +tp2450 +a(g745 +Vconst +p2451 +tp2452 +a(g827 +g972 +tp2453 +a(g436 +Vstring +p2454 +tp2455 +a(g413 +V* +p2456 +tp2457 +a(g413 +V> +p2458 +tp2459 +a(g827 +g972 +tp2460 +a(g436 +VASBeautifier +p2461 +tp2462 +a(g413 +g1014 +tp2463 +a(g413 +g1014 +tp2464 +a(g436 +Vheaders +p2465 +tp2466 +a(g706 +g988 +tp2467 +a(g827 +V\u000a +p2468 +tp2469 +a(g827 +V +p2470 +tp2471 +a(g436 +Vvector +p2472 +tp2473 +a(g413 +g1075 +tp2474 +a(g745 +Vconst +p2475 +tp2476 +a(g827 +g972 +tp2477 +a(g436 +Vstring +p2478 +tp2479 +a(g413 +g2456 +tp2480 +a(g413 +g2458 +tp2481 +a(g827 +g972 +tp2482 +a(g436 +VASBeautifier +p2483 +tp2484 +a(g413 +g1014 +tp2485 +a(g413 +g1014 +tp2486 +a(g436 +VnonParenHeaders +p2487 +tp2488 +a(g706 +g988 +tp2489 +a(g827 +V\u000a +p2490 +tp2491 +a(g827 +V +p2492 +tp2493 +a(g436 +Vvector +p2494 +tp2495 +a(g413 +g1075 +tp2496 +a(g745 +Vconst +p2497 +tp2498 +a(g827 +g972 +tp2499 +a(g436 +Vstring +p2500 +tp2501 +a(g413 +g2456 +tp2502 +a(g413 +g2458 +tp2503 +a(g827 +g972 +tp2504 +a(g436 +VASBeautifier +p2505 +tp2506 +a(g413 +g1014 +tp2507 +a(g413 +g1014 +tp2508 +a(g436 +VpreBlockStatements +p2509 +tp2510 +a(g706 +g988 +tp2511 +a(g827 +V\u000a +p2512 +tp2513 +a(g827 +V +p2514 +tp2515 +a(g436 +Vvector +p2516 +tp2517 +a(g413 +g1075 +tp2518 +a(g745 +Vconst +p2519 +tp2520 +a(g827 +g972 +tp2521 +a(g436 +Vstring +p2522 +tp2523 +a(g413 +g2456 +tp2524 +a(g413 +g2458 +tp2525 +a(g827 +g972 +tp2526 +a(g436 +VASBeautifier +p2527 +tp2528 +a(g413 +g1014 +tp2529 +a(g413 +g1014 +tp2530 +a(g436 +VassignmentOperators +p2531 +tp2532 +a(g706 +g988 +tp2533 +a(g827 +V\u000a +p2534 +tp2535 +a(g827 +V +p2536 +tp2537 +a(g436 +Vvector +p2538 +tp2539 +a(g413 +g1075 +tp2540 +a(g745 +Vconst +p2541 +tp2542 +a(g827 +g972 +tp2543 +a(g436 +Vstring +p2544 +tp2545 +a(g413 +g2456 +tp2546 +a(g413 +g2458 +tp2547 +a(g827 +g972 +tp2548 +a(g436 +VASBeautifier +p2549 +tp2550 +a(g413 +g1014 +tp2551 +a(g413 +g1014 +tp2552 +a(g436 +VnonAssignmentOperators +p2553 +tp2554 +a(g706 +g988 +tp2555 +a(g827 +V\u000a +p2556 +tp2557 +a(g827 +V\u000a +p2558 +tp2559 +a(g827 +V +p2560 +tp2561 +a(g8 +V/*\u000a * initialize the static vars\u000a */ +p2562 +tp2563 +a(g827 +V\u000a +p2564 +tp2565 +a(g827 +V +p2566 +tp2567 +a(g749 +Vvoid +p2568 +tp2569 +a(g827 +g972 +tp2570 +a(g436 +VASBeautifier +p2571 +tp2572 +a(g413 +g1014 +tp2573 +a(g413 +g1014 +tp2574 +a(g436 +VinitStatic +p2575 +tp2576 +a(g706 +g1019 +tp2577 +a(g706 +g1054 +tp2578 +a(g827 +V\u000a +p2579 +tp2580 +a(g827 +V +p2581 +tp2582 +a(g706 +g1000 +tp2583 +a(g827 +V\u000a +p2584 +tp2585 +a(g827 +V +p2586 +tp2587 +a(g745 +Vif +p2588 +tp2589 +a(g827 +g972 +tp2590 +a(g706 +g1019 +tp2591 +a(g436 +VcalledInitStatic +p2592 +tp2593 +a(g706 +g1054 +tp2594 +a(g827 +V\u000a +p2595 +tp2596 +a(g827 +V +p2597 +tp2598 +a(g745 +Vreturn +p2599 +tp2600 +a(g706 +g988 +tp2601 +a(g827 +V\u000a +p2602 +tp2603 +a(g827 +V\u000a +p2604 +tp2605 +a(g827 +V +p2606 +tp2607 +a(g436 +VcalledInitStatic +p2608 +tp2609 +a(g827 +g972 +tp2610 +a(g413 +g1505 +tp2611 +a(g827 +g972 +tp2612 +a(g682 +Vtrue +p2613 +tp2614 +a(g706 +g988 +tp2615 +a(g827 +V\u000a +p2616 +tp2617 +a(g827 +V\u000a +p2618 +tp2619 +a(g827 +V +p2620 +tp2621 +a(g436 +Vheaders +p2622 +tp2623 +a(g706 +g1103 +tp2624 +a(g436 +Vpush_back +p2625 +tp2626 +a(g706 +g1019 +tp2627 +a(g413 +g1026 +tp2628 +a(g436 +VAS_IF +p2629 +tp2630 +a(g706 +g1054 +tp2631 +a(g706 +g988 +tp2632 +a(g827 +V\u000a +p2633 +tp2634 +a(g827 +V +p2635 +tp2636 +a(g436 +Vheaders +p2637 +tp2638 +a(g706 +g1103 +tp2639 +a(g436 +Vpush_back +p2640 +tp2641 +a(g706 +g1019 +tp2642 +a(g413 +g1026 +tp2643 +a(g436 +VAS_ELSE +p2644 +tp2645 +a(g706 +g1054 +tp2646 +a(g706 +g988 +tp2647 +a(g827 +V\u000a +p2648 +tp2649 +a(g827 +V +p2650 +tp2651 +a(g436 +Vheaders +p2652 +tp2653 +a(g706 +g1103 +tp2654 +a(g436 +Vpush_back +p2655 +tp2656 +a(g706 +g1019 +tp2657 +a(g413 +g1026 +tp2658 +a(g436 +VAS_FOR +p2659 +tp2660 +a(g706 +g1054 +tp2661 +a(g706 +g988 +tp2662 +a(g827 +V\u000a +p2663 +tp2664 +a(g827 +V +p2665 +tp2666 +a(g436 +Vheaders +p2667 +tp2668 +a(g706 +g1103 +tp2669 +a(g436 +Vpush_back +p2670 +tp2671 +a(g706 +g1019 +tp2672 +a(g413 +g1026 +tp2673 +a(g436 +VAS_WHILE +p2674 +tp2675 +a(g706 +g1054 +tp2676 +a(g706 +g988 +tp2677 +a(g827 +V\u000a +p2678 +tp2679 +a(g827 +V +p2680 +tp2681 +a(g436 +Vheaders +p2682 +tp2683 +a(g706 +g1103 +tp2684 +a(g436 +Vpush_back +p2685 +tp2686 +a(g706 +g1019 +tp2687 +a(g413 +g1026 +tp2688 +a(g436 +VAS_DO +p2689 +tp2690 +a(g706 +g1054 +tp2691 +a(g706 +g988 +tp2692 +a(g827 +V\u000a +p2693 +tp2694 +a(g827 +V +p2695 +tp2696 +a(g436 +Vheaders +p2697 +tp2698 +a(g706 +g1103 +tp2699 +a(g436 +Vpush_back +p2700 +tp2701 +a(g706 +g1019 +tp2702 +a(g413 +g1026 +tp2703 +a(g436 +VAS_TRY +p2704 +tp2705 +a(g706 +g1054 +tp2706 +a(g706 +g988 +tp2707 +a(g827 +V\u000a +p2708 +tp2709 +a(g827 +V +p2710 +tp2711 +a(g436 +Vheaders +p2712 +tp2713 +a(g706 +g1103 +tp2714 +a(g436 +Vpush_back +p2715 +tp2716 +a(g706 +g1019 +tp2717 +a(g413 +g1026 +tp2718 +a(g436 +VAS_CATCH +p2719 +tp2720 +a(g706 +g1054 +tp2721 +a(g706 +g988 +tp2722 +a(g827 +V\u000a +p2723 +tp2724 +a(g827 +V +p2725 +tp2726 +a(g436 +Vheaders +p2727 +tp2728 +a(g706 +g1103 +tp2729 +a(g436 +Vpush_back +p2730 +tp2731 +a(g706 +g1019 +tp2732 +a(g413 +g1026 +tp2733 +a(g436 +VAS_FINALLY +p2734 +tp2735 +a(g706 +g1054 +tp2736 +a(g706 +g988 +tp2737 +a(g827 +V\u000a +p2738 +tp2739 +a(g827 +V +p2740 +tp2741 +a(g436 +Vheaders +p2742 +tp2743 +a(g706 +g1103 +tp2744 +a(g436 +Vpush_back +p2745 +tp2746 +a(g706 +g1019 +tp2747 +a(g413 +g1026 +tp2748 +a(g436 +VAS_SYNCHRONIZED +p2749 +tp2750 +a(g706 +g1054 +tp2751 +a(g706 +g988 +tp2752 +a(g827 +V\u000a +p2753 +tp2754 +a(g827 +V +p2755 +tp2756 +a(g436 +Vheaders +p2757 +tp2758 +a(g706 +g1103 +tp2759 +a(g436 +Vpush_back +p2760 +tp2761 +a(g706 +g1019 +tp2762 +a(g413 +g1026 +tp2763 +a(g436 +VAS_SWITCH +p2764 +tp2765 +a(g706 +g1054 +tp2766 +a(g706 +g988 +tp2767 +a(g827 +V\u000a +p2768 +tp2769 +a(g827 +V +p2770 +tp2771 +a(g436 +Vheaders +p2772 +tp2773 +a(g706 +g1103 +tp2774 +a(g436 +Vpush_back +p2775 +tp2776 +a(g706 +g1019 +tp2777 +a(g413 +g1026 +tp2778 +a(g436 +VAS_CASE +p2779 +tp2780 +a(g706 +g1054 +tp2781 +a(g706 +g988 +tp2782 +a(g827 +V\u000a +p2783 +tp2784 +a(g827 +V +p2785 +tp2786 +a(g436 +Vheaders +p2787 +tp2788 +a(g706 +g1103 +tp2789 +a(g436 +Vpush_back +p2790 +tp2791 +a(g706 +g1019 +tp2792 +a(g413 +g1026 +tp2793 +a(g436 +VAS_DEFAULT +p2794 +tp2795 +a(g706 +g1054 +tp2796 +a(g706 +g988 +tp2797 +a(g827 +V\u000a +p2798 +tp2799 +a(g827 +V +p2800 +tp2801 +a(g436 +Vheaders +p2802 +tp2803 +a(g706 +g1103 +tp2804 +a(g436 +Vpush_back +p2805 +tp2806 +a(g706 +g1019 +tp2807 +a(g413 +g1026 +tp2808 +a(g436 +VAS_FOREACH +p2809 +tp2810 +a(g706 +g1054 +tp2811 +a(g706 +g988 +tp2812 +a(g827 +V\u000a +p2813 +tp2814 +a(g827 +V +p2815 +tp2816 +a(g436 +Vheaders +p2817 +tp2818 +a(g706 +g1103 +tp2819 +a(g436 +Vpush_back +p2820 +tp2821 +a(g706 +g1019 +tp2822 +a(g413 +g1026 +tp2823 +a(g436 +VAS_LOCK +p2824 +tp2825 +a(g706 +g1054 +tp2826 +a(g706 +g988 +tp2827 +a(g827 +V\u000a +p2828 +tp2829 +a(g827 +V +p2830 +tp2831 +a(g436 +Vheaders +p2832 +tp2833 +a(g706 +g1103 +tp2834 +a(g436 +Vpush_back +p2835 +tp2836 +a(g706 +g1019 +tp2837 +a(g413 +g1026 +tp2838 +a(g436 +VAS_UNSAFE +p2839 +tp2840 +a(g706 +g1054 +tp2841 +a(g706 +g988 +tp2842 +a(g827 +V\u000a +p2843 +tp2844 +a(g827 +V +p2845 +tp2846 +a(g436 +Vheaders +p2847 +tp2848 +a(g706 +g1103 +tp2849 +a(g436 +Vpush_back +p2850 +tp2851 +a(g706 +g1019 +tp2852 +a(g413 +g1026 +tp2853 +a(g436 +VAS_FIXED +p2854 +tp2855 +a(g706 +g1054 +tp2856 +a(g706 +g988 +tp2857 +a(g827 +V\u000a +p2858 +tp2859 +a(g827 +V +p2860 +tp2861 +a(g436 +Vheaders +p2862 +tp2863 +a(g706 +g1103 +tp2864 +a(g436 +Vpush_back +p2865 +tp2866 +a(g706 +g1019 +tp2867 +a(g413 +g1026 +tp2868 +a(g436 +VAS_GET +p2869 +tp2870 +a(g706 +g1054 +tp2871 +a(g706 +g988 +tp2872 +a(g827 +V\u000a +p2873 +tp2874 +a(g827 +V +p2875 +tp2876 +a(g436 +Vheaders +p2877 +tp2878 +a(g706 +g1103 +tp2879 +a(g436 +Vpush_back +p2880 +tp2881 +a(g706 +g1019 +tp2882 +a(g413 +g1026 +tp2883 +a(g436 +VAS_SET +p2884 +tp2885 +a(g706 +g1054 +tp2886 +a(g706 +g988 +tp2887 +a(g827 +V\u000a +p2888 +tp2889 +a(g827 +V +p2890 +tp2891 +a(g436 +Vheaders +p2892 +tp2893 +a(g706 +g1103 +tp2894 +a(g436 +Vpush_back +p2895 +tp2896 +a(g706 +g1019 +tp2897 +a(g413 +g1026 +tp2898 +a(g436 +VAS_ADD +p2899 +tp2900 +a(g706 +g1054 +tp2901 +a(g706 +g988 +tp2902 +a(g827 +V\u000a +p2903 +tp2904 +a(g827 +V +p2905 +tp2906 +a(g436 +Vheaders +p2907 +tp2908 +a(g706 +g1103 +tp2909 +a(g436 +Vpush_back +p2910 +tp2911 +a(g706 +g1019 +tp2912 +a(g413 +g1026 +tp2913 +a(g436 +VAS_REMOVE +p2914 +tp2915 +a(g706 +g1054 +tp2916 +a(g706 +g988 +tp2917 +a(g827 +V\u000a +p2918 +tp2919 +a(g827 +V +p2920 +tp2921 +a(g906 +V//headers.push_back(&AS_PUBLIC);\u000a +p2922 +tp2923 +a(g827 +V +p2924 +tp2925 +a(g906 +V//headers.push_back(&AS_PRIVATE);\u000a +p2926 +tp2927 +a(g827 +V +p2928 +tp2929 +a(g906 +V//headers.push_back(&AS_PROTECTED);\u000a +p2930 +tp2931 +a(g827 +V\u000a +p2932 +tp2933 +a(g827 +V +p2934 +tp2935 +a(g906 +V//headers.push_back(&AS_OPERATOR);\u000a +p2936 +tp2937 +a(g827 +V +p2938 +tp2939 +a(g436 +Vheaders +p2940 +tp2941 +a(g706 +g1103 +tp2942 +a(g436 +Vpush_back +p2943 +tp2944 +a(g706 +g1019 +tp2945 +a(g413 +g1026 +tp2946 +a(g436 +VAS_TEMPLATE +p2947 +tp2948 +a(g706 +g1054 +tp2949 +a(g706 +g988 +tp2950 +a(g827 +V\u000a +p2951 +tp2952 +a(g827 +V +p2953 +tp2954 +a(g436 +Vheaders +p2955 +tp2956 +a(g706 +g1103 +tp2957 +a(g436 +Vpush_back +p2958 +tp2959 +a(g706 +g1019 +tp2960 +a(g413 +g1026 +tp2961 +a(g436 +VAS_CONST +p2962 +tp2963 +a(g706 +g1054 +tp2964 +a(g706 +g988 +tp2965 +a(g827 +V\u000a +p2966 +tp2967 +a(g827 +V +p2968 +tp2969 +a(g8 +V/**/ +p2970 +tp2971 +a(g827 +V\u000a +p2972 +tp2973 +a(g827 +V +p2974 +tp2975 +a(g436 +Vheaders +p2976 +tp2977 +a(g706 +g1103 +tp2978 +a(g436 +Vpush_back +p2979 +tp2980 +a(g706 +g1019 +tp2981 +a(g413 +g1026 +tp2982 +a(g436 +VAS_STATIC +p2983 +tp2984 +a(g706 +g1054 +tp2985 +a(g706 +g988 +tp2986 +a(g827 +V\u000a +p2987 +tp2988 +a(g827 +V +p2989 +tp2990 +a(g436 +Vheaders +p2991 +tp2992 +a(g706 +g1103 +tp2993 +a(g436 +Vpush_back +p2994 +tp2995 +a(g706 +g1019 +tp2996 +a(g413 +g1026 +tp2997 +a(g436 +VAS_EXTERN +p2998 +tp2999 +a(g706 +g1054 +tp3000 +a(g706 +g988 +tp3001 +a(g827 +V\u000a +p3002 +tp3003 +a(g827 +V\u000a +p3004 +tp3005 +a(g827 +V +p3006 +tp3007 +a(g436 +VnonParenHeaders +p3008 +tp3009 +a(g706 +g1103 +tp3010 +a(g436 +Vpush_back +p3011 +tp3012 +a(g706 +g1019 +tp3013 +a(g413 +g1026 +tp3014 +a(g436 +VAS_ELSE +p3015 +tp3016 +a(g706 +g1054 +tp3017 +a(g706 +g988 +tp3018 +a(g827 +V\u000a +p3019 +tp3020 +a(g827 +V +p3021 +tp3022 +a(g436 +VnonParenHeaders +p3023 +tp3024 +a(g706 +g1103 +tp3025 +a(g436 +Vpush_back +p3026 +tp3027 +a(g706 +g1019 +tp3028 +a(g413 +g1026 +tp3029 +a(g436 +VAS_DO +p3030 +tp3031 +a(g706 +g1054 +tp3032 +a(g706 +g988 +tp3033 +a(g827 +V\u000a +p3034 +tp3035 +a(g827 +V +p3036 +tp3037 +a(g436 +VnonParenHeaders +p3038 +tp3039 +a(g706 +g1103 +tp3040 +a(g436 +Vpush_back +p3041 +tp3042 +a(g706 +g1019 +tp3043 +a(g413 +g1026 +tp3044 +a(g436 +VAS_TRY +p3045 +tp3046 +a(g706 +g1054 +tp3047 +a(g706 +g988 +tp3048 +a(g827 +V\u000a +p3049 +tp3050 +a(g827 +V +p3051 +tp3052 +a(g436 +VnonParenHeaders +p3053 +tp3054 +a(g706 +g1103 +tp3055 +a(g436 +Vpush_back +p3056 +tp3057 +a(g706 +g1019 +tp3058 +a(g413 +g1026 +tp3059 +a(g436 +VAS_FINALLY +p3060 +tp3061 +a(g706 +g1054 +tp3062 +a(g706 +g988 +tp3063 +a(g827 +V\u000a +p3064 +tp3065 +a(g827 +V +p3066 +tp3067 +a(g436 +VnonParenHeaders +p3068 +tp3069 +a(g706 +g1103 +tp3070 +a(g436 +Vpush_back +p3071 +tp3072 +a(g706 +g1019 +tp3073 +a(g413 +g1026 +tp3074 +a(g436 +VAS_STATIC +p3075 +tp3076 +a(g706 +g1054 +tp3077 +a(g706 +g988 +tp3078 +a(g827 +V\u000a +p3079 +tp3080 +a(g827 +V +p3081 +tp3082 +a(g436 +VnonParenHeaders +p3083 +tp3084 +a(g706 +g1103 +tp3085 +a(g436 +Vpush_back +p3086 +tp3087 +a(g706 +g1019 +tp3088 +a(g413 +g1026 +tp3089 +a(g436 +VAS_CONST +p3090 +tp3091 +a(g706 +g1054 +tp3092 +a(g706 +g988 +tp3093 +a(g827 +V\u000a +p3094 +tp3095 +a(g827 +V +p3096 +tp3097 +a(g436 +VnonParenHeaders +p3098 +tp3099 +a(g706 +g1103 +tp3100 +a(g436 +Vpush_back +p3101 +tp3102 +a(g706 +g1019 +tp3103 +a(g413 +g1026 +tp3104 +a(g436 +VAS_EXTERN +p3105 +tp3106 +a(g706 +g1054 +tp3107 +a(g706 +g988 +tp3108 +a(g827 +V\u000a +p3109 +tp3110 +a(g827 +V +p3111 +tp3112 +a(g436 +VnonParenHeaders +p3113 +tp3114 +a(g706 +g1103 +tp3115 +a(g436 +Vpush_back +p3116 +tp3117 +a(g706 +g1019 +tp3118 +a(g413 +g1026 +tp3119 +a(g436 +VAS_CASE +p3120 +tp3121 +a(g706 +g1054 +tp3122 +a(g706 +g988 +tp3123 +a(g827 +V\u000a +p3124 +tp3125 +a(g827 +V +p3126 +tp3127 +a(g436 +VnonParenHeaders +p3128 +tp3129 +a(g706 +g1103 +tp3130 +a(g436 +Vpush_back +p3131 +tp3132 +a(g706 +g1019 +tp3133 +a(g413 +g1026 +tp3134 +a(g436 +VAS_DEFAULT +p3135 +tp3136 +a(g706 +g1054 +tp3137 +a(g706 +g988 +tp3138 +a(g827 +V\u000a +p3139 +tp3140 +a(g827 +V +p3141 +tp3142 +a(g436 +VnonParenHeaders +p3143 +tp3144 +a(g706 +g1103 +tp3145 +a(g436 +Vpush_back +p3146 +tp3147 +a(g706 +g1019 +tp3148 +a(g413 +g1026 +tp3149 +a(g436 +VAS_UNSAFE +p3150 +tp3151 +a(g706 +g1054 +tp3152 +a(g706 +g988 +tp3153 +a(g827 +V\u000a +p3154 +tp3155 +a(g827 +V +p3156 +tp3157 +a(g436 +VnonParenHeaders +p3158 +tp3159 +a(g706 +g1103 +tp3160 +a(g436 +Vpush_back +p3161 +tp3162 +a(g706 +g1019 +tp3163 +a(g413 +g1026 +tp3164 +a(g436 +VAS_GET +p3165 +tp3166 +a(g706 +g1054 +tp3167 +a(g706 +g988 +tp3168 +a(g827 +V\u000a +p3169 +tp3170 +a(g827 +V +p3171 +tp3172 +a(g436 +VnonParenHeaders +p3173 +tp3174 +a(g706 +g1103 +tp3175 +a(g436 +Vpush_back +p3176 +tp3177 +a(g706 +g1019 +tp3178 +a(g413 +g1026 +tp3179 +a(g436 +VAS_SET +p3180 +tp3181 +a(g706 +g1054 +tp3182 +a(g706 +g988 +tp3183 +a(g827 +V\u000a +p3184 +tp3185 +a(g827 +V +p3186 +tp3187 +a(g436 +VnonParenHeaders +p3188 +tp3189 +a(g706 +g1103 +tp3190 +a(g436 +Vpush_back +p3191 +tp3192 +a(g706 +g1019 +tp3193 +a(g413 +g1026 +tp3194 +a(g436 +VAS_ADD +p3195 +tp3196 +a(g706 +g1054 +tp3197 +a(g706 +g988 +tp3198 +a(g827 +V\u000a +p3199 +tp3200 +a(g827 +V +p3201 +tp3202 +a(g436 +VnonParenHeaders +p3203 +tp3204 +a(g706 +g1103 +tp3205 +a(g436 +Vpush_back +p3206 +tp3207 +a(g706 +g1019 +tp3208 +a(g413 +g1026 +tp3209 +a(g436 +VAS_REMOVE +p3210 +tp3211 +a(g706 +g1054 +tp3212 +a(g706 +g988 +tp3213 +a(g827 +V\u000a +p3214 +tp3215 +a(g827 +V\u000a +p3216 +tp3217 +a(g827 +V\u000a +p3218 +tp3219 +a(g827 +V\u000a +p3220 +tp3221 +a(g827 +V +p3222 +tp3223 +a(g436 +VnonParenHeaders +p3224 +tp3225 +a(g706 +g1103 +tp3226 +a(g436 +Vpush_back +p3227 +tp3228 +a(g706 +g1019 +tp3229 +a(g413 +g1026 +tp3230 +a(g436 +VAS_PUBLIC +p3231 +tp3232 +a(g706 +g1054 +tp3233 +a(g706 +g988 +tp3234 +a(g827 +V\u000a +p3235 +tp3236 +a(g827 +V +p3237 +tp3238 +a(g436 +VnonParenHeaders +p3239 +tp3240 +a(g706 +g1103 +tp3241 +a(g436 +Vpush_back +p3242 +tp3243 +a(g706 +g1019 +tp3244 +a(g413 +g1026 +tp3245 +a(g436 +VAS_PRIVATE +p3246 +tp3247 +a(g706 +g1054 +tp3248 +a(g706 +g988 +tp3249 +a(g827 +V\u000a +p3250 +tp3251 +a(g827 +V +p3252 +tp3253 +a(g436 +VnonParenHeaders +p3254 +tp3255 +a(g706 +g1103 +tp3256 +a(g436 +Vpush_back +p3257 +tp3258 +a(g706 +g1019 +tp3259 +a(g413 +g1026 +tp3260 +a(g436 +VAS_PROTECTED +p3261 +tp3262 +a(g706 +g1054 +tp3263 +a(g706 +g988 +tp3264 +a(g827 +V\u000a +p3265 +tp3266 +a(g827 +V +p3267 +tp3268 +a(g436 +VnonParenHeaders +p3269 +tp3270 +a(g706 +g1103 +tp3271 +a(g436 +Vpush_back +p3272 +tp3273 +a(g706 +g1019 +tp3274 +a(g413 +g1026 +tp3275 +a(g436 +VAS_TEMPLATE +p3276 +tp3277 +a(g706 +g1054 +tp3278 +a(g706 +g988 +tp3279 +a(g827 +V\u000a +p3280 +tp3281 +a(g827 +V +p3282 +tp3283 +a(g436 +VnonParenHeaders +p3284 +tp3285 +a(g706 +g1103 +tp3286 +a(g436 +Vpush_back +p3287 +tp3288 +a(g706 +g1019 +tp3289 +a(g413 +g1026 +tp3290 +a(g436 +VAS_CONST +p3291 +tp3292 +a(g706 +g1054 +tp3293 +a(g706 +g988 +tp3294 +a(g827 +V\u000a +p3295 +tp3296 +a(g827 +V +p3297 +tp3298 +a(g906 +V/// nonParenHeaders.push_back(&AS_ASM);\u000a +p3299 +tp3300 +a(g827 +V\u000a +p3301 +tp3302 +a(g827 +V +p3303 +tp3304 +a(g436 +VpreBlockStatements +p3305 +tp3306 +a(g706 +g1103 +tp3307 +a(g436 +Vpush_back +p3308 +tp3309 +a(g706 +g1019 +tp3310 +a(g413 +g1026 +tp3311 +a(g436 +VAS_CLASS +p3312 +tp3313 +a(g706 +g1054 +tp3314 +a(g706 +g988 +tp3315 +a(g827 +V\u000a +p3316 +tp3317 +a(g827 +V +p3318 +tp3319 +a(g436 +VpreBlockStatements +p3320 +tp3321 +a(g706 +g1103 +tp3322 +a(g436 +Vpush_back +p3323 +tp3324 +a(g706 +g1019 +tp3325 +a(g413 +g1026 +tp3326 +a(g436 +VAS_STRUCT +p3327 +tp3328 +a(g706 +g1054 +tp3329 +a(g706 +g988 +tp3330 +a(g827 +V\u000a +p3331 +tp3332 +a(g827 +V +p3333 +tp3334 +a(g436 +VpreBlockStatements +p3335 +tp3336 +a(g706 +g1103 +tp3337 +a(g436 +Vpush_back +p3338 +tp3339 +a(g706 +g1019 +tp3340 +a(g413 +g1026 +tp3341 +a(g436 +VAS_UNION +p3342 +tp3343 +a(g706 +g1054 +tp3344 +a(g706 +g988 +tp3345 +a(g827 +V\u000a +p3346 +tp3347 +a(g827 +V +p3348 +tp3349 +a(g436 +VpreBlockStatements +p3350 +tp3351 +a(g706 +g1103 +tp3352 +a(g436 +Vpush_back +p3353 +tp3354 +a(g706 +g1019 +tp3355 +a(g413 +g1026 +tp3356 +a(g436 +VAS_INTERFACE +p3357 +tp3358 +a(g706 +g1054 +tp3359 +a(g706 +g988 +tp3360 +a(g827 +V\u000a +p3361 +tp3362 +a(g827 +V +p3363 +tp3364 +a(g436 +VpreBlockStatements +p3365 +tp3366 +a(g706 +g1103 +tp3367 +a(g436 +Vpush_back +p3368 +tp3369 +a(g706 +g1019 +tp3370 +a(g413 +g1026 +tp3371 +a(g436 +VAS_NAMESPACE +p3372 +tp3373 +a(g706 +g1054 +tp3374 +a(g706 +g988 +tp3375 +a(g827 +V\u000a +p3376 +tp3377 +a(g827 +V +p3378 +tp3379 +a(g436 +VpreBlockStatements +p3380 +tp3381 +a(g706 +g1103 +tp3382 +a(g436 +Vpush_back +p3383 +tp3384 +a(g706 +g1019 +tp3385 +a(g413 +g1026 +tp3386 +a(g436 +VAS_THROWS +p3387 +tp3388 +a(g706 +g1054 +tp3389 +a(g706 +g988 +tp3390 +a(g827 +V\u000a +p3391 +tp3392 +a(g827 +V +p3393 +tp3394 +a(g436 +VpreBlockStatements +p3395 +tp3396 +a(g706 +g1103 +tp3397 +a(g436 +Vpush_back +p3398 +tp3399 +a(g706 +g1019 +tp3400 +a(g413 +g1026 +tp3401 +a(g436 +VAS_EXTERN +p3402 +tp3403 +a(g706 +g1054 +tp3404 +a(g706 +g988 +tp3405 +a(g827 +V\u000a +p3406 +tp3407 +a(g827 +V\u000a +p3408 +tp3409 +a(g827 +V +p3410 +tp3411 +a(g436 +VassignmentOperators +p3412 +tp3413 +a(g706 +g1103 +tp3414 +a(g436 +Vpush_back +p3415 +tp3416 +a(g706 +g1019 +tp3417 +a(g413 +g1026 +tp3418 +a(g436 +VAS_ASSIGN +p3419 +tp3420 +a(g706 +g1054 +tp3421 +a(g706 +g988 +tp3422 +a(g827 +V\u000a +p3423 +tp3424 +a(g827 +V +p3425 +tp3426 +a(g436 +VassignmentOperators +p3427 +tp3428 +a(g706 +g1103 +tp3429 +a(g436 +Vpush_back +p3430 +tp3431 +a(g706 +g1019 +tp3432 +a(g413 +g1026 +tp3433 +a(g436 +VAS_PLUS_ASSIGN +p3434 +tp3435 +a(g706 +g1054 +tp3436 +a(g706 +g988 +tp3437 +a(g827 +V\u000a +p3438 +tp3439 +a(g827 +V +p3440 +tp3441 +a(g436 +VassignmentOperators +p3442 +tp3443 +a(g706 +g1103 +tp3444 +a(g436 +Vpush_back +p3445 +tp3446 +a(g706 +g1019 +tp3447 +a(g413 +g1026 +tp3448 +a(g436 +VAS_MINUS_ASSIGN +p3449 +tp3450 +a(g706 +g1054 +tp3451 +a(g706 +g988 +tp3452 +a(g827 +V\u000a +p3453 +tp3454 +a(g827 +V +p3455 +tp3456 +a(g436 +VassignmentOperators +p3457 +tp3458 +a(g706 +g1103 +tp3459 +a(g436 +Vpush_back +p3460 +tp3461 +a(g706 +g1019 +tp3462 +a(g413 +g1026 +tp3463 +a(g436 +VAS_MULT_ASSIGN +p3464 +tp3465 +a(g706 +g1054 +tp3466 +a(g706 +g988 +tp3467 +a(g827 +V\u000a +p3468 +tp3469 +a(g827 +V +p3470 +tp3471 +a(g436 +VassignmentOperators +p3472 +tp3473 +a(g706 +g1103 +tp3474 +a(g436 +Vpush_back +p3475 +tp3476 +a(g706 +g1019 +tp3477 +a(g413 +g1026 +tp3478 +a(g436 +VAS_DIV_ASSIGN +p3479 +tp3480 +a(g706 +g1054 +tp3481 +a(g706 +g988 +tp3482 +a(g827 +V\u000a +p3483 +tp3484 +a(g827 +V +p3485 +tp3486 +a(g436 +VassignmentOperators +p3487 +tp3488 +a(g706 +g1103 +tp3489 +a(g436 +Vpush_back +p3490 +tp3491 +a(g706 +g1019 +tp3492 +a(g413 +g1026 +tp3493 +a(g436 +VAS_MOD_ASSIGN +p3494 +tp3495 +a(g706 +g1054 +tp3496 +a(g706 +g988 +tp3497 +a(g827 +V\u000a +p3498 +tp3499 +a(g827 +V +p3500 +tp3501 +a(g436 +VassignmentOperators +p3502 +tp3503 +a(g706 +g1103 +tp3504 +a(g436 +Vpush_back +p3505 +tp3506 +a(g706 +g1019 +tp3507 +a(g413 +g1026 +tp3508 +a(g436 +VAS_OR_ASSIGN +p3509 +tp3510 +a(g706 +g1054 +tp3511 +a(g706 +g988 +tp3512 +a(g827 +V\u000a +p3513 +tp3514 +a(g827 +V +p3515 +tp3516 +a(g436 +VassignmentOperators +p3517 +tp3518 +a(g706 +g1103 +tp3519 +a(g436 +Vpush_back +p3520 +tp3521 +a(g706 +g1019 +tp3522 +a(g413 +g1026 +tp3523 +a(g436 +VAS_AND_ASSIGN +p3524 +tp3525 +a(g706 +g1054 +tp3526 +a(g706 +g988 +tp3527 +a(g827 +V\u000a +p3528 +tp3529 +a(g827 +V +p3530 +tp3531 +a(g436 +VassignmentOperators +p3532 +tp3533 +a(g706 +g1103 +tp3534 +a(g436 +Vpush_back +p3535 +tp3536 +a(g706 +g1019 +tp3537 +a(g413 +g1026 +tp3538 +a(g436 +VAS_XOR_ASSIGN +p3539 +tp3540 +a(g706 +g1054 +tp3541 +a(g706 +g988 +tp3542 +a(g827 +V\u000a +p3543 +tp3544 +a(g827 +V +p3545 +tp3546 +a(g436 +VassignmentOperators +p3547 +tp3548 +a(g706 +g1103 +tp3549 +a(g436 +Vpush_back +p3550 +tp3551 +a(g706 +g1019 +tp3552 +a(g413 +g1026 +tp3553 +a(g436 +VAS_GR_GR_GR_ASSIGN +p3554 +tp3555 +a(g706 +g1054 +tp3556 +a(g706 +g988 +tp3557 +a(g827 +V\u000a +p3558 +tp3559 +a(g827 +V +p3560 +tp3561 +a(g436 +VassignmentOperators +p3562 +tp3563 +a(g706 +g1103 +tp3564 +a(g436 +Vpush_back +p3565 +tp3566 +a(g706 +g1019 +tp3567 +a(g413 +g1026 +tp3568 +a(g436 +VAS_GR_GR_ASSIGN +p3569 +tp3570 +a(g706 +g1054 +tp3571 +a(g706 +g988 +tp3572 +a(g827 +V\u000a +p3573 +tp3574 +a(g827 +V +p3575 +tp3576 +a(g436 +VassignmentOperators +p3577 +tp3578 +a(g706 +g1103 +tp3579 +a(g436 +Vpush_back +p3580 +tp3581 +a(g706 +g1019 +tp3582 +a(g413 +g1026 +tp3583 +a(g436 +VAS_LS_LS_LS_ASSIGN +p3584 +tp3585 +a(g706 +g1054 +tp3586 +a(g706 +g988 +tp3587 +a(g827 +V\u000a +p3588 +tp3589 +a(g827 +V +p3590 +tp3591 +a(g436 +VassignmentOperators +p3592 +tp3593 +a(g706 +g1103 +tp3594 +a(g436 +Vpush_back +p3595 +tp3596 +a(g706 +g1019 +tp3597 +a(g413 +g1026 +tp3598 +a(g436 +VAS_LS_LS_ASSIGN +p3599 +tp3600 +a(g706 +g1054 +tp3601 +a(g706 +g988 +tp3602 +a(g827 +V\u000a +p3603 +tp3604 +a(g827 +V\u000a +p3605 +tp3606 +a(g827 +V +p3607 +tp3608 +a(g436 +VassignmentOperators +p3609 +tp3610 +a(g706 +g1103 +tp3611 +a(g436 +Vpush_back +p3612 +tp3613 +a(g706 +g1019 +tp3614 +a(g413 +g1026 +tp3615 +a(g436 +VAS_RETURN +p3616 +tp3617 +a(g706 +g1054 +tp3618 +a(g706 +g988 +tp3619 +a(g827 +V\u000a +p3620 +tp3621 +a(g827 +V\u000a +p3622 +tp3623 +a(g827 +V +p3624 +tp3625 +a(g436 +VnonAssignmentOperators +p3626 +tp3627 +a(g706 +g1103 +tp3628 +a(g436 +Vpush_back +p3629 +tp3630 +a(g706 +g1019 +tp3631 +a(g413 +g1026 +tp3632 +a(g436 +VAS_EQUAL +p3633 +tp3634 +a(g706 +g1054 +tp3635 +a(g706 +g988 +tp3636 +a(g827 +V\u000a +p3637 +tp3638 +a(g827 +V +p3639 +tp3640 +a(g436 +VnonAssignmentOperators +p3641 +tp3642 +a(g706 +g1103 +tp3643 +a(g436 +Vpush_back +p3644 +tp3645 +a(g706 +g1019 +tp3646 +a(g413 +g1026 +tp3647 +a(g436 +VAS_PLUS_PLUS +p3648 +tp3649 +a(g706 +g1054 +tp3650 +a(g706 +g988 +tp3651 +a(g827 +V\u000a +p3652 +tp3653 +a(g827 +V +p3654 +tp3655 +a(g436 +VnonAssignmentOperators +p3656 +tp3657 +a(g706 +g1103 +tp3658 +a(g436 +Vpush_back +p3659 +tp3660 +a(g706 +g1019 +tp3661 +a(g413 +g1026 +tp3662 +a(g436 +VAS_MINUS_MINUS +p3663 +tp3664 +a(g706 +g1054 +tp3665 +a(g706 +g988 +tp3666 +a(g827 +V\u000a +p3667 +tp3668 +a(g827 +V +p3669 +tp3670 +a(g436 +VnonAssignmentOperators +p3671 +tp3672 +a(g706 +g1103 +tp3673 +a(g436 +Vpush_back +p3674 +tp3675 +a(g706 +g1019 +tp3676 +a(g413 +g1026 +tp3677 +a(g436 +VAS_NOT_EQUAL +p3678 +tp3679 +a(g706 +g1054 +tp3680 +a(g706 +g988 +tp3681 +a(g827 +V\u000a +p3682 +tp3683 +a(g827 +V +p3684 +tp3685 +a(g436 +VnonAssignmentOperators +p3686 +tp3687 +a(g706 +g1103 +tp3688 +a(g436 +Vpush_back +p3689 +tp3690 +a(g706 +g1019 +tp3691 +a(g413 +g1026 +tp3692 +a(g436 +VAS_GR_EQUAL +p3693 +tp3694 +a(g706 +g1054 +tp3695 +a(g706 +g988 +tp3696 +a(g827 +V\u000a +p3697 +tp3698 +a(g827 +V +p3699 +tp3700 +a(g436 +VnonAssignmentOperators +p3701 +tp3702 +a(g706 +g1103 +tp3703 +a(g436 +Vpush_back +p3704 +tp3705 +a(g706 +g1019 +tp3706 +a(g413 +g1026 +tp3707 +a(g436 +VAS_GR_GR_GR +p3708 +tp3709 +a(g706 +g1054 +tp3710 +a(g706 +g988 +tp3711 +a(g827 +V\u000a +p3712 +tp3713 +a(g827 +V +p3714 +tp3715 +a(g436 +VnonAssignmentOperators +p3716 +tp3717 +a(g706 +g1103 +tp3718 +a(g436 +Vpush_back +p3719 +tp3720 +a(g706 +g1019 +tp3721 +a(g413 +g1026 +tp3722 +a(g436 +VAS_GR_GR +p3723 +tp3724 +a(g706 +g1054 +tp3725 +a(g706 +g988 +tp3726 +a(g827 +V\u000a +p3727 +tp3728 +a(g827 +V +p3729 +tp3730 +a(g436 +VnonAssignmentOperators +p3731 +tp3732 +a(g706 +g1103 +tp3733 +a(g436 +Vpush_back +p3734 +tp3735 +a(g706 +g1019 +tp3736 +a(g413 +g1026 +tp3737 +a(g436 +VAS_LS_EQUAL +p3738 +tp3739 +a(g706 +g1054 +tp3740 +a(g706 +g988 +tp3741 +a(g827 +V\u000a +p3742 +tp3743 +a(g827 +V +p3744 +tp3745 +a(g436 +VnonAssignmentOperators +p3746 +tp3747 +a(g706 +g1103 +tp3748 +a(g436 +Vpush_back +p3749 +tp3750 +a(g706 +g1019 +tp3751 +a(g413 +g1026 +tp3752 +a(g436 +VAS_LS_LS_LS +p3753 +tp3754 +a(g706 +g1054 +tp3755 +a(g706 +g988 +tp3756 +a(g827 +V\u000a +p3757 +tp3758 +a(g827 +V +p3759 +tp3760 +a(g436 +VnonAssignmentOperators +p3761 +tp3762 +a(g706 +g1103 +tp3763 +a(g436 +Vpush_back +p3764 +tp3765 +a(g706 +g1019 +tp3766 +a(g413 +g1026 +tp3767 +a(g436 +VAS_LS_LS +p3768 +tp3769 +a(g706 +g1054 +tp3770 +a(g706 +g988 +tp3771 +a(g827 +V\u000a +p3772 +tp3773 +a(g827 +V +p3774 +tp3775 +a(g436 +VnonAssignmentOperators +p3776 +tp3777 +a(g706 +g1103 +tp3778 +a(g436 +Vpush_back +p3779 +tp3780 +a(g706 +g1019 +tp3781 +a(g413 +g1026 +tp3782 +a(g436 +VAS_ARROW +p3783 +tp3784 +a(g706 +g1054 +tp3785 +a(g706 +g988 +tp3786 +a(g827 +V\u000a +p3787 +tp3788 +a(g827 +V +p3789 +tp3790 +a(g436 +VnonAssignmentOperators +p3791 +tp3792 +a(g706 +g1103 +tp3793 +a(g436 +Vpush_back +p3794 +tp3795 +a(g706 +g1019 +tp3796 +a(g413 +g1026 +tp3797 +a(g436 +VAS_AND +p3798 +tp3799 +a(g706 +g1054 +tp3800 +a(g706 +g988 +tp3801 +a(g827 +V\u000a +p3802 +tp3803 +a(g827 +V +p3804 +tp3805 +a(g436 +VnonAssignmentOperators +p3806 +tp3807 +a(g706 +g1103 +tp3808 +a(g436 +Vpush_back +p3809 +tp3810 +a(g706 +g1019 +tp3811 +a(g413 +g1026 +tp3812 +a(g436 +VAS_OR +p3813 +tp3814 +a(g706 +g1054 +tp3815 +a(g706 +g988 +tp3816 +a(g827 +V\u000a +p3817 +tp3818 +a(g827 +V +p3819 +tp3820 +a(g706 +g1188 +tp3821 +a(g827 +V\u000a +p3822 +tp3823 +a(g827 +V\u000a +p3824 +tp3825 +a(g827 +V +p3826 +tp3827 +a(g8 +V/**\u000a * ASBeautifier's constructor\u000a */ +p3828 +tp3829 +a(g827 +V\u000a +p3830 +tp3831 +a(g827 +V +p3832 +tp3833 +a(g436 +VASBeautifier +p3834 +tp3835 +a(g413 +g1014 +tp3836 +a(g413 +g1014 +tp3837 +a(g436 +VASBeautifier +p3838 +tp3839 +a(g706 +g1019 +tp3840 +a(g706 +g1054 +tp3841 +a(g827 +V\u000a +p3842 +tp3843 +a(g827 +V +p3844 +tp3845 +a(g706 +g1000 +tp3846 +a(g827 +V\u000a +p3847 +tp3848 +a(g827 +V +p3849 +tp3850 +a(g436 +VinitStatic +p3851 +tp3852 +a(g706 +g1019 +tp3853 +a(g706 +g1054 +tp3854 +a(g706 +g988 +tp3855 +a(g827 +V\u000a +p3856 +tp3857 +a(g827 +V\u000a +p3858 +tp3859 +a(g827 +V +p3860 +tp3861 +a(g436 +VwaitingBeautifierStack +p3862 +tp3863 +a(g827 +g972 +tp3864 +a(g413 +g1505 +tp3865 +a(g827 +g972 +tp3866 +a(g682 +VNULL +p3867 +tp3868 +a(g706 +g988 +tp3869 +a(g827 +V\u000a +p3870 +tp3871 +a(g827 +V +p3872 +tp3873 +a(g436 +VactiveBeautifierStack +p3874 +tp3875 +a(g827 +g972 +tp3876 +a(g413 +g1505 +tp3877 +a(g827 +g972 +tp3878 +a(g682 +VNULL +p3879 +tp3880 +a(g706 +g988 +tp3881 +a(g827 +V\u000a +p3882 +tp3883 +a(g827 +V +p3884 +tp3885 +a(g436 +VwaitingBeautifierStackLengthStack +p3886 +tp3887 +a(g827 +g972 +tp3888 +a(g413 +g1505 +tp3889 +a(g827 +g972 +tp3890 +a(g682 +VNULL +p3891 +tp3892 +a(g706 +g988 +tp3893 +a(g827 +V\u000a +p3894 +tp3895 +a(g827 +V +p3896 +tp3897 +a(g436 +VactiveBeautifierStackLengthStack +p3898 +tp3899 +a(g827 +g972 +tp3900 +a(g413 +g1505 +tp3901 +a(g827 +g972 +tp3902 +a(g682 +VNULL +p3903 +tp3904 +a(g706 +g988 +tp3905 +a(g827 +V\u000a +p3906 +tp3907 +a(g827 +V\u000a +p3908 +tp3909 +a(g827 +V +p3910 +tp3911 +a(g436 +VheaderStack +p3912 +tp3913 +a(g827 +V +p3914 +tp3915 +a(g413 +g1505 +tp3916 +a(g827 +g972 +tp3917 +a(g682 +VNULL +p3918 +tp3919 +a(g706 +g988 +tp3920 +a(g827 +V\u000a +p3921 +tp3922 +a(g827 +V +p3923 +tp3924 +a(g436 +VtempStacks +p3925 +tp3926 +a(g827 +g972 +tp3927 +a(g413 +g1505 +tp3928 +a(g827 +g972 +tp3929 +a(g682 +VNULL +p3930 +tp3931 +a(g706 +g988 +tp3932 +a(g827 +V\u000a +p3933 +tp3934 +a(g827 +V +p3935 +tp3936 +a(g436 +VblockParenDepthStack +p3937 +tp3938 +a(g827 +g972 +tp3939 +a(g413 +g1505 +tp3940 +a(g827 +g972 +tp3941 +a(g682 +VNULL +p3942 +tp3943 +a(g706 +g988 +tp3944 +a(g827 +V\u000a +p3945 +tp3946 +a(g827 +V +p3947 +tp3948 +a(g436 +VblockStatementStack +p3949 +tp3950 +a(g827 +g972 +tp3951 +a(g413 +g1505 +tp3952 +a(g827 +g972 +tp3953 +a(g682 +VNULL +p3954 +tp3955 +a(g706 +g988 +tp3956 +a(g827 +V\u000a +p3957 +tp3958 +a(g827 +V +p3959 +tp3960 +a(g436 +VparenStatementStack +p3961 +tp3962 +a(g827 +g972 +tp3963 +a(g413 +g1505 +tp3964 +a(g827 +g972 +tp3965 +a(g682 +VNULL +p3966 +tp3967 +a(g706 +g988 +tp3968 +a(g827 +V\u000a +p3969 +tp3970 +a(g827 +V +p3971 +tp3972 +a(g436 +VbracketBlockStateStack +p3973 +tp3974 +a(g827 +g972 +tp3975 +a(g413 +g1505 +tp3976 +a(g827 +g972 +tp3977 +a(g682 +VNULL +p3978 +tp3979 +a(g706 +g988 +tp3980 +a(g827 +V\u000a +p3981 +tp3982 +a(g827 +V +p3983 +tp3984 +a(g436 +VinStatementIndentStack +p3985 +tp3986 +a(g827 +g972 +tp3987 +a(g413 +g1505 +tp3988 +a(g827 +g972 +tp3989 +a(g682 +VNULL +p3990 +tp3991 +a(g706 +g988 +tp3992 +a(g827 +V\u000a +p3993 +tp3994 +a(g827 +V +p3995 +tp3996 +a(g436 +VinStatementIndentStackSizeStack +p3997 +tp3998 +a(g827 +g972 +tp3999 +a(g413 +g1505 +tp4000 +a(g827 +g972 +tp4001 +a(g682 +VNULL +p4002 +tp4003 +a(g706 +g988 +tp4004 +a(g827 +V\u000a +p4005 +tp4006 +a(g827 +V +p4007 +tp4008 +a(g436 +VparenIndentStack +p4009 +tp4010 +a(g827 +g972 +tp4011 +a(g413 +g1505 +tp4012 +a(g827 +g972 +tp4013 +a(g682 +VNULL +p4014 +tp4015 +a(g706 +g988 +tp4016 +a(g827 +V\u000a +p4017 +tp4018 +a(g827 +V +p4019 +tp4020 +a(g436 +VsourceIterator +p4021 +tp4022 +a(g827 +g972 +tp4023 +a(g413 +g1505 +tp4024 +a(g827 +g972 +tp4025 +a(g682 +VNULL +p4026 +tp4027 +a(g706 +g988 +tp4028 +a(g827 +V\u000a +p4029 +tp4030 +a(g827 +V\u000a +p4031 +tp4032 +a(g827 +V +p4033 +tp4034 +a(g436 +VisMinimalConditinalIndentSet +p4035 +tp4036 +a(g827 +g972 +tp4037 +a(g413 +g1505 +tp4038 +a(g827 +g972 +tp4039 +a(g682 +Vfalse +p4040 +tp4041 +a(g706 +g988 +tp4042 +a(g827 +V\u000a +p4043 +tp4044 +a(g827 +V +p4045 +tp4046 +a(g436 +VshouldForceTabIndentation +p4047 +tp4048 +a(g827 +g972 +tp4049 +a(g413 +g1505 +tp4050 +a(g827 +g972 +tp4051 +a(g682 +Vfalse +p4052 +tp4053 +a(g706 +g988 +tp4054 +a(g827 +V\u000a +p4055 +tp4056 +a(g827 +V\u000a +p4057 +tp4058 +a(g827 +V +p4059 +tp4060 +a(g436 +VsetSpaceIndentation +p4061 +tp4062 +a(g706 +g1019 +tp4063 +a(g37 +V4 +p4064 +tp4065 +a(g706 +g1054 +tp4066 +a(g706 +g988 +tp4067 +a(g827 +V\u000a +p4068 +tp4069 +a(g827 +V +p4070 +tp4071 +a(g436 +VsetMaxInStatementIndentLength +p4072 +tp4073 +a(g706 +g1019 +tp4074 +a(g37 +V40 +p4075 +tp4076 +a(g706 +g1054 +tp4077 +a(g706 +g988 +tp4078 +a(g827 +V\u000a +p4079 +tp4080 +a(g827 +V +p4081 +tp4082 +a(g436 +VsetClassIndent +p4083 +tp4084 +a(g706 +g1019 +tp4085 +a(g682 +Vfalse +p4086 +tp4087 +a(g706 +g1054 +tp4088 +a(g706 +g988 +tp4089 +a(g827 +V\u000a +p4090 +tp4091 +a(g827 +V +p4092 +tp4093 +a(g436 +VsetSwitchIndent +p4094 +tp4095 +a(g706 +g1019 +tp4096 +a(g682 +Vfalse +p4097 +tp4098 +a(g706 +g1054 +tp4099 +a(g706 +g988 +tp4100 +a(g827 +V\u000a +p4101 +tp4102 +a(g827 +V +p4103 +tp4104 +a(g436 +VsetCaseIndent +p4105 +tp4106 +a(g706 +g1019 +tp4107 +a(g682 +Vfalse +p4108 +tp4109 +a(g706 +g1054 +tp4110 +a(g706 +g988 +tp4111 +a(g827 +V\u000a +p4112 +tp4113 +a(g827 +V +p4114 +tp4115 +a(g436 +VsetBlockIndent +p4116 +tp4117 +a(g706 +g1019 +tp4118 +a(g682 +Vfalse +p4119 +tp4120 +a(g706 +g1054 +tp4121 +a(g706 +g988 +tp4122 +a(g827 +V\u000a +p4123 +tp4124 +a(g827 +V +p4125 +tp4126 +a(g436 +VsetBracketIndent +p4127 +tp4128 +a(g706 +g1019 +tp4129 +a(g682 +Vfalse +p4130 +tp4131 +a(g706 +g1054 +tp4132 +a(g706 +g988 +tp4133 +a(g827 +V\u000a +p4134 +tp4135 +a(g827 +V +p4136 +tp4137 +a(g436 +VsetNamespaceIndent +p4138 +tp4139 +a(g706 +g1019 +tp4140 +a(g682 +Vfalse +p4141 +tp4142 +a(g706 +g1054 +tp4143 +a(g706 +g988 +tp4144 +a(g827 +V\u000a +p4145 +tp4146 +a(g827 +V +p4147 +tp4148 +a(g436 +VsetLabelIndent +p4149 +tp4150 +a(g706 +g1019 +tp4151 +a(g682 +Vfalse +p4152 +tp4153 +a(g706 +g1054 +tp4154 +a(g706 +g988 +tp4155 +a(g827 +V\u000a +p4156 +tp4157 +a(g827 +V +p4158 +tp4159 +a(g436 +VsetEmptyLineFill +p4160 +tp4161 +a(g706 +g1019 +tp4162 +a(g682 +Vfalse +p4163 +tp4164 +a(g706 +g1054 +tp4165 +a(g706 +g988 +tp4166 +a(g827 +V\u000a +p4167 +tp4168 +a(g827 +V +p4169 +tp4170 +a(g436 +VsetCStyle +p4171 +tp4172 +a(g706 +g1019 +tp4173 +a(g706 +g1054 +tp4174 +a(g706 +g988 +tp4175 +a(g827 +V\u000a +p4176 +tp4177 +a(g827 +V +p4178 +tp4179 +a(g436 +VsetPreprocessorIndent +p4180 +tp4181 +a(g706 +g1019 +tp4182 +a(g682 +Vfalse +p4183 +tp4184 +a(g706 +g1054 +tp4185 +a(g706 +g988 +tp4186 +a(g827 +V\u000a +p4187 +tp4188 +a(g827 +V +p4189 +tp4190 +a(g706 +g1188 +tp4191 +a(g827 +V\u000a +p4192 +tp4193 +a(g827 +V\u000a +p4194 +tp4195 +a(g827 +V +p4196 +tp4197 +a(g436 +VASBeautifier +p4198 +tp4199 +a(g413 +g1014 +tp4200 +a(g413 +g1014 +tp4201 +a(g436 +VASBeautifier +p4202 +tp4203 +a(g706 +g1019 +tp4204 +a(g745 +Vconst +p4205 +tp4206 +a(g827 +g972 +tp4207 +a(g436 +VASBeautifier +p4208 +tp4209 +a(g827 +g972 +tp4210 +a(g413 +g1026 +tp4211 +a(g436 +Vother +p4212 +tp4213 +a(g706 +g1054 +tp4214 +a(g827 +V\u000a +p4215 +tp4216 +a(g827 +V +p4217 +tp4218 +a(g706 +g1000 +tp4219 +a(g827 +V\u000a +p4220 +tp4221 +a(g827 +V +p4222 +tp4223 +a(g436 +VwaitingBeautifierStack +p4224 +tp4225 +a(g827 +g972 +tp4226 +a(g413 +g1505 +tp4227 +a(g827 +g972 +tp4228 +a(g682 +VNULL +p4229 +tp4230 +a(g706 +g988 +tp4231 +a(g827 +V\u000a +p4232 +tp4233 +a(g827 +V +p4234 +tp4235 +a(g436 +VactiveBeautifierStack +p4236 +tp4237 +a(g827 +g972 +tp4238 +a(g413 +g1505 +tp4239 +a(g827 +g972 +tp4240 +a(g682 +VNULL +p4241 +tp4242 +a(g706 +g988 +tp4243 +a(g827 +V\u000a +p4244 +tp4245 +a(g827 +V +p4246 +tp4247 +a(g436 +VwaitingBeautifierStackLengthStack +p4248 +tp4249 +a(g827 +g972 +tp4250 +a(g413 +g1505 +tp4251 +a(g827 +g972 +tp4252 +a(g682 +VNULL +p4253 +tp4254 +a(g706 +g988 +tp4255 +a(g827 +V\u000a +p4256 +tp4257 +a(g827 +V +p4258 +tp4259 +a(g436 +VactiveBeautifierStackLengthStack +p4260 +tp4261 +a(g827 +g972 +tp4262 +a(g413 +g1505 +tp4263 +a(g827 +g972 +tp4264 +a(g682 +VNULL +p4265 +tp4266 +a(g706 +g988 +tp4267 +a(g827 +V\u000a +p4268 +tp4269 +a(g827 +V\u000a +p4270 +tp4271 +a(g827 +V +p4272 +tp4273 +a(g436 +VheaderStack +p4274 +tp4275 +a(g827 +V +p4276 +tp4277 +a(g413 +g1505 +tp4278 +a(g827 +g972 +tp4279 +a(g745 +Vnew +p4280 +tp4281 +a(g827 +g972 +tp4282 +a(g436 +Vvector +p4283 +tp4284 +a(g413 +g1075 +tp4285 +a(g745 +Vconst +p4286 +tp4287 +a(g827 +g972 +tp4288 +a(g436 +Vstring +p4289 +tp4290 +a(g413 +g2456 +tp4291 +a(g413 +g2458 +tp4292 +a(g706 +g988 +tp4293 +a(g827 +V\u000a +p4294 +tp4295 +a(g827 +V +p4296 +tp4297 +a(g413 +g2456 +tp4298 +a(g436 +VheaderStack +p4299 +tp4300 +a(g827 +g972 +tp4301 +a(g413 +g1505 +tp4302 +a(g827 +g972 +tp4303 +a(g413 +g2456 +tp4304 +a(g436 +Vother +p4305 +tp4306 +a(g706 +g1103 +tp4307 +a(g436 +VheaderStack +p4308 +tp4309 +a(g706 +g988 +tp4310 +a(g827 +V\u000a +p4311 +tp4312 +a(g827 +V\u000a +p4313 +tp4314 +a(g827 +V +p4315 +tp4316 +a(g436 +VtempStacks +p4317 +tp4318 +a(g827 +g972 +tp4319 +a(g413 +g1505 +tp4320 +a(g827 +g972 +tp4321 +a(g745 +Vnew +p4322 +tp4323 +a(g827 +g972 +tp4324 +a(g436 +Vvector +p4325 +tp4326 +a(g413 +g1075 +tp4327 +a(g827 +g972 +tp4328 +a(g436 +Vvector +p4329 +tp4330 +a(g413 +g1075 +tp4331 +a(g745 +Vconst +p4332 +tp4333 +a(g827 +g972 +tp4334 +a(g436 +Vstring +p4335 +tp4336 +a(g413 +g2456 +tp4337 +a(g413 +g2458 +tp4338 +a(g413 +g2456 +tp4339 +a(g827 +g972 +tp4340 +a(g413 +g2458 +tp4341 +a(g706 +g988 +tp4342 +a(g827 +V\u000a +p4343 +tp4344 +a(g827 +V +p4345 +tp4346 +a(g436 +Vvector +p4347 +tp4348 +a(g413 +g1075 +tp4349 +a(g827 +g972 +tp4350 +a(g436 +Vvector +p4351 +tp4352 +a(g413 +g1075 +tp4353 +a(g745 +Vconst +p4354 +tp4355 +a(g827 +g972 +tp4356 +a(g436 +Vstring +p4357 +tp4358 +a(g413 +g2456 +tp4359 +a(g413 +g2458 +tp4360 +a(g413 +g2456 +tp4361 +a(g827 +g972 +tp4362 +a(g413 +g2458 +tp4363 +a(g413 +g1014 +tp4364 +a(g413 +g1014 +tp4365 +a(g436 +Viterator +p4366 +tp4367 +a(g827 +g972 +tp4368 +a(g436 +Viter +p4369 +tp4370 +a(g706 +g988 +tp4371 +a(g827 +V\u000a +p4372 +tp4373 +a(g827 +V +p4374 +tp4375 +a(g745 +Vfor +p4376 +tp4377 +a(g827 +g972 +tp4378 +a(g706 +g1019 +tp4379 +a(g436 +Viter +p4380 +tp4381 +a(g827 +g972 +tp4382 +a(g413 +g1505 +tp4383 +a(g827 +g972 +tp4384 +a(g436 +Vother +p4385 +tp4386 +a(g706 +g1103 +tp4387 +a(g436 +VtempStacks +p4388 +tp4389 +a(g413 +V- +p4390 +tp4391 +a(g413 +g2458 +tp4392 +a(g436 +Vbegin +p4393 +tp4394 +a(g706 +g1019 +tp4395 +a(g706 +g1054 +tp4396 +a(g706 +g988 +tp4397 +a(g827 +V\u000a +p4398 +tp4399 +a(g827 +V +p4400 +tp4401 +a(g436 +Viter +p4402 +tp4403 +a(g827 +g972 +tp4404 +a(g413 +g1099 +tp4405 +a(g413 +g1505 +tp4406 +a(g827 +g972 +tp4407 +a(g436 +Vother +p4408 +tp4409 +a(g706 +g1103 +tp4410 +a(g436 +VtempStacks +p4411 +tp4412 +a(g413 +g4390 +tp4413 +a(g413 +g2458 +tp4414 +a(g436 +Vend +p4415 +tp4416 +a(g706 +g1019 +tp4417 +a(g706 +g1054 +tp4418 +a(g706 +g988 +tp4419 +a(g827 +V\u000a +p4420 +tp4421 +a(g827 +V +p4422 +tp4423 +a(g413 +g1517 +tp4424 +a(g413 +g1517 +tp4425 +a(g436 +Viter +p4426 +tp4427 +a(g706 +g1054 +tp4428 +a(g827 +V\u000a +p4429 +tp4430 +a(g827 +V +p4431 +tp4432 +a(g706 +g1000 +tp4433 +a(g827 +V\u000a +p4434 +tp4435 +a(g827 +V +p4436 +tp4437 +a(g436 +Vvector +p4438 +tp4439 +a(g413 +g1075 +tp4440 +a(g745 +Vconst +p4441 +tp4442 +a(g827 +g972 +tp4443 +a(g436 +Vstring +p4444 +tp4445 +a(g413 +g2456 +tp4446 +a(g413 +g2458 +tp4447 +a(g827 +g972 +tp4448 +a(g413 +g2456 +tp4449 +a(g436 +VnewVec +p4450 +tp4451 +a(g827 +g972 +tp4452 +a(g413 +g1505 +tp4453 +a(g827 +g972 +tp4454 +a(g745 +Vnew +p4455 +tp4456 +a(g827 +g972 +tp4457 +a(g436 +Vvector +p4458 +tp4459 +a(g413 +g1075 +tp4460 +a(g745 +Vconst +p4461 +tp4462 +a(g827 +g972 +tp4463 +a(g436 +Vstring +p4464 +tp4465 +a(g413 +g2456 +tp4466 +a(g413 +g2458 +tp4467 +a(g706 +g988 +tp4468 +a(g827 +V\u000a +p4469 +tp4470 +a(g827 +V +p4471 +tp4472 +a(g413 +g2456 +tp4473 +a(g436 +VnewVec +p4474 +tp4475 +a(g827 +g972 +tp4476 +a(g413 +g1505 +tp4477 +a(g827 +g972 +tp4478 +a(g413 +g2456 +tp4479 +a(g413 +g2456 +tp4480 +a(g436 +Viter +p4481 +tp4482 +a(g706 +g988 +tp4483 +a(g827 +V\u000a +p4484 +tp4485 +a(g827 +V +p4486 +tp4487 +a(g436 +VtempStacks +p4488 +tp4489 +a(g413 +g4390 +tp4490 +a(g413 +g2458 +tp4491 +a(g436 +Vpush_back +p4492 +tp4493 +a(g706 +g1019 +tp4494 +a(g436 +VnewVec +p4495 +tp4496 +a(g706 +g1054 +tp4497 +a(g706 +g988 +tp4498 +a(g827 +V\u000a +p4499 +tp4500 +a(g827 +V +p4501 +tp4502 +a(g706 +g1188 +tp4503 +a(g827 +V\u000a +p4504 +tp4505 +a(g827 +V +p4506 +tp4507 +a(g436 +VblockParenDepthStack +p4508 +tp4509 +a(g827 +g972 +tp4510 +a(g413 +g1505 +tp4511 +a(g827 +g972 +tp4512 +a(g745 +Vnew +p4513 +tp4514 +a(g827 +g972 +tp4515 +a(g436 +Vvector +p4516 +tp4517 +a(g413 +g1075 +tp4518 +a(g749 +Vint +p4519 +tp4520 +a(g413 +g2458 +tp4521 +a(g706 +g988 +tp4522 +a(g827 +V\u000a +p4523 +tp4524 +a(g827 +V +p4525 +tp4526 +a(g413 +g2456 +tp4527 +a(g436 +VblockParenDepthStack +p4528 +tp4529 +a(g827 +g972 +tp4530 +a(g413 +g1505 +tp4531 +a(g827 +g972 +tp4532 +a(g413 +g2456 +tp4533 +a(g436 +Vother +p4534 +tp4535 +a(g706 +g1103 +tp4536 +a(g436 +VblockParenDepthStack +p4537 +tp4538 +a(g706 +g988 +tp4539 +a(g827 +V\u000a +p4540 +tp4541 +a(g827 +V\u000a +p4542 +tp4543 +a(g827 +V +p4544 +tp4545 +a(g436 +VblockStatementStack +p4546 +tp4547 +a(g827 +g972 +tp4548 +a(g413 +g1505 +tp4549 +a(g827 +g972 +tp4550 +a(g745 +Vnew +p4551 +tp4552 +a(g827 +g972 +tp4553 +a(g436 +Vvector +p4554 +tp4555 +a(g413 +g1075 +tp4556 +a(g749 +Vbool +p4557 +tp4558 +a(g413 +g2458 +tp4559 +a(g706 +g988 +tp4560 +a(g827 +V\u000a +p4561 +tp4562 +a(g827 +V +p4563 +tp4564 +a(g413 +g2456 +tp4565 +a(g436 +VblockStatementStack +p4566 +tp4567 +a(g827 +g972 +tp4568 +a(g413 +g1505 +tp4569 +a(g827 +g972 +tp4570 +a(g413 +g2456 +tp4571 +a(g436 +Vother +p4572 +tp4573 +a(g706 +g1103 +tp4574 +a(g436 +VblockStatementStack +p4575 +tp4576 +a(g706 +g988 +tp4577 +a(g827 +V\u000a +p4578 +tp4579 +a(g827 +V\u000a +p4580 +tp4581 +a(g827 +V +p4582 +tp4583 +a(g436 +VparenStatementStack +p4584 +tp4585 +a(g827 +g972 +tp4586 +a(g413 +g1505 +tp4587 +a(g827 +V +p4588 +tp4589 +a(g745 +Vnew +p4590 +tp4591 +a(g827 +g972 +tp4592 +a(g436 +Vvector +p4593 +tp4594 +a(g413 +g1075 +tp4595 +a(g749 +Vbool +p4596 +tp4597 +a(g413 +g2458 +tp4598 +a(g706 +g988 +tp4599 +a(g827 +V\u000a +p4600 +tp4601 +a(g827 +V +p4602 +tp4603 +a(g413 +g2456 +tp4604 +a(g436 +VparenStatementStack +p4605 +tp4606 +a(g827 +g972 +tp4607 +a(g413 +g1505 +tp4608 +a(g827 +g972 +tp4609 +a(g413 +g2456 +tp4610 +a(g436 +Vother +p4611 +tp4612 +a(g706 +g1103 +tp4613 +a(g436 +VparenStatementStack +p4614 +tp4615 +a(g706 +g988 +tp4616 +a(g827 +V\u000a +p4617 +tp4618 +a(g827 +V\u000a +p4619 +tp4620 +a(g827 +V +p4621 +tp4622 +a(g436 +VbracketBlockStateStack +p4623 +tp4624 +a(g827 +g972 +tp4625 +a(g413 +g1505 +tp4626 +a(g827 +g972 +tp4627 +a(g745 +Vnew +p4628 +tp4629 +a(g827 +g972 +tp4630 +a(g436 +Vvector +p4631 +tp4632 +a(g413 +g1075 +tp4633 +a(g749 +Vbool +p4634 +tp4635 +a(g413 +g2458 +tp4636 +a(g706 +g988 +tp4637 +a(g827 +V\u000a +p4638 +tp4639 +a(g827 +V +p4640 +tp4641 +a(g413 +g2456 +tp4642 +a(g436 +VbracketBlockStateStack +p4643 +tp4644 +a(g827 +g972 +tp4645 +a(g413 +g1505 +tp4646 +a(g827 +g972 +tp4647 +a(g413 +g2456 +tp4648 +a(g436 +Vother +p4649 +tp4650 +a(g706 +g1103 +tp4651 +a(g436 +VbracketBlockStateStack +p4652 +tp4653 +a(g706 +g988 +tp4654 +a(g827 +V\u000a +p4655 +tp4656 +a(g827 +V\u000a +p4657 +tp4658 +a(g827 +V +p4659 +tp4660 +a(g436 +VinStatementIndentStack +p4661 +tp4662 +a(g827 +g972 +tp4663 +a(g413 +g1505 +tp4664 +a(g827 +g972 +tp4665 +a(g745 +Vnew +p4666 +tp4667 +a(g827 +g972 +tp4668 +a(g436 +Vvector +p4669 +tp4670 +a(g413 +g1075 +tp4671 +a(g749 +Vint +p4672 +tp4673 +a(g413 +g2458 +tp4674 +a(g706 +g988 +tp4675 +a(g827 +V\u000a +p4676 +tp4677 +a(g827 +V +p4678 +tp4679 +a(g413 +g2456 +tp4680 +a(g436 +VinStatementIndentStack +p4681 +tp4682 +a(g827 +g972 +tp4683 +a(g413 +g1505 +tp4684 +a(g827 +g972 +tp4685 +a(g413 +g2456 +tp4686 +a(g436 +Vother +p4687 +tp4688 +a(g706 +g1103 +tp4689 +a(g436 +VinStatementIndentStack +p4690 +tp4691 +a(g706 +g988 +tp4692 +a(g827 +V\u000a +p4693 +tp4694 +a(g827 +V\u000a +p4695 +tp4696 +a(g827 +V +p4697 +tp4698 +a(g436 +VinStatementIndentStackSizeStack +p4699 +tp4700 +a(g827 +g972 +tp4701 +a(g413 +g1505 +tp4702 +a(g827 +g972 +tp4703 +a(g745 +Vnew +p4704 +tp4705 +a(g827 +g972 +tp4706 +a(g436 +Vvector +p4707 +tp4708 +a(g413 +g1075 +tp4709 +a(g749 +Vint +p4710 +tp4711 +a(g413 +g2458 +tp4712 +a(g706 +g988 +tp4713 +a(g827 +V\u000a +p4714 +tp4715 +a(g827 +V +p4716 +tp4717 +a(g413 +g2456 +tp4718 +a(g436 +VinStatementIndentStackSizeStack +p4719 +tp4720 +a(g827 +g972 +tp4721 +a(g413 +g1505 +tp4722 +a(g827 +g972 +tp4723 +a(g413 +g2456 +tp4724 +a(g436 +Vother +p4725 +tp4726 +a(g706 +g1103 +tp4727 +a(g436 +VinStatementIndentStackSizeStack +p4728 +tp4729 +a(g706 +g988 +tp4730 +a(g827 +V\u000a +p4731 +tp4732 +a(g827 +V\u000a +p4733 +tp4734 +a(g827 +V +p4735 +tp4736 +a(g436 +VparenIndentStack +p4737 +tp4738 +a(g827 +g972 +tp4739 +a(g413 +g1505 +tp4740 +a(g827 +g972 +tp4741 +a(g745 +Vnew +p4742 +tp4743 +a(g827 +g972 +tp4744 +a(g436 +Vvector +p4745 +tp4746 +a(g413 +g1075 +tp4747 +a(g749 +Vint +p4748 +tp4749 +a(g413 +g2458 +tp4750 +a(g706 +g988 +tp4751 +a(g827 +V\u000a +p4752 +tp4753 +a(g827 +V +p4754 +tp4755 +a(g413 +g2456 +tp4756 +a(g436 +VparenIndentStack +p4757 +tp4758 +a(g827 +g972 +tp4759 +a(g413 +g1505 +tp4760 +a(g827 +g972 +tp4761 +a(g413 +g2456 +tp4762 +a(g436 +Vother +p4763 +tp4764 +a(g706 +g1103 +tp4765 +a(g436 +VparenIndentStack +p4766 +tp4767 +a(g706 +g988 +tp4768 +a(g827 +V\u000a +p4769 +tp4770 +a(g827 +V\u000a +p4771 +tp4772 +a(g827 +V +p4773 +tp4774 +a(g436 +VsourceIterator +p4775 +tp4776 +a(g827 +g972 +tp4777 +a(g413 +g1505 +tp4778 +a(g827 +g972 +tp4779 +a(g436 +Vother +p4780 +tp4781 +a(g706 +g1103 +tp4782 +a(g436 +VsourceIterator +p4783 +tp4784 +a(g706 +g988 +tp4785 +a(g827 +V\u000a +p4786 +tp4787 +a(g827 +V\u000a +p4788 +tp4789 +a(g827 +V +p4790 +tp4791 +a(g436 +VindentString +p4792 +tp4793 +a(g827 +g972 +tp4794 +a(g413 +g1505 +tp4795 +a(g827 +g972 +tp4796 +a(g436 +Vother +p4797 +tp4798 +a(g706 +g1103 +tp4799 +a(g436 +VindentString +p4800 +tp4801 +a(g706 +g988 +tp4802 +a(g827 +V\u000a +p4803 +tp4804 +a(g827 +V +p4805 +tp4806 +a(g436 +VcurrentHeader +p4807 +tp4808 +a(g827 +g972 +tp4809 +a(g413 +g1505 +tp4810 +a(g827 +g972 +tp4811 +a(g436 +Vother +p4812 +tp4813 +a(g706 +g1103 +tp4814 +a(g436 +VcurrentHeader +p4815 +tp4816 +a(g706 +g988 +tp4817 +a(g827 +V\u000a +p4818 +tp4819 +a(g827 +V +p4820 +tp4821 +a(g436 +VpreviousLastLineHeader +p4822 +tp4823 +a(g827 +g972 +tp4824 +a(g413 +g1505 +tp4825 +a(g827 +g972 +tp4826 +a(g436 +Vother +p4827 +tp4828 +a(g706 +g1103 +tp4829 +a(g436 +VpreviousLastLineHeader +p4830 +tp4831 +a(g706 +g988 +tp4832 +a(g827 +V\u000a +p4833 +tp4834 +a(g827 +V +p4835 +tp4836 +a(g436 +VimmediatelyPreviousAssignmentOp +p4837 +tp4838 +a(g827 +g972 +tp4839 +a(g413 +g1505 +tp4840 +a(g827 +g972 +tp4841 +a(g436 +Vother +p4842 +tp4843 +a(g706 +g1103 +tp4844 +a(g436 +VimmediatelyPreviousAssignmentOp +p4845 +tp4846 +a(g706 +g988 +tp4847 +a(g827 +V\u000a +p4848 +tp4849 +a(g827 +V +p4850 +tp4851 +a(g436 +VisInQuote +p4852 +tp4853 +a(g827 +g972 +tp4854 +a(g413 +g1505 +tp4855 +a(g827 +g972 +tp4856 +a(g436 +Vother +p4857 +tp4858 +a(g706 +g1103 +tp4859 +a(g436 +VisInQuote +p4860 +tp4861 +a(g706 +g988 +tp4862 +a(g827 +V\u000a +p4863 +tp4864 +a(g827 +V +p4865 +tp4866 +a(g436 +VisInComment +p4867 +tp4868 +a(g827 +g972 +tp4869 +a(g413 +g1505 +tp4870 +a(g827 +g972 +tp4871 +a(g436 +Vother +p4872 +tp4873 +a(g706 +g1103 +tp4874 +a(g436 +VisInComment +p4875 +tp4876 +a(g706 +g988 +tp4877 +a(g827 +V\u000a +p4878 +tp4879 +a(g827 +V +p4880 +tp4881 +a(g436 +VisInCase +p4882 +tp4883 +a(g827 +g972 +tp4884 +a(g413 +g1505 +tp4885 +a(g827 +g972 +tp4886 +a(g436 +Vother +p4887 +tp4888 +a(g706 +g1103 +tp4889 +a(g436 +VisInCase +p4890 +tp4891 +a(g706 +g988 +tp4892 +a(g827 +V\u000a +p4893 +tp4894 +a(g827 +V +p4895 +tp4896 +a(g436 +VisInQuestion +p4897 +tp4898 +a(g827 +g972 +tp4899 +a(g413 +g1505 +tp4900 +a(g827 +g972 +tp4901 +a(g436 +Vother +p4902 +tp4903 +a(g706 +g1103 +tp4904 +a(g436 +VisInQuestion +p4905 +tp4906 +a(g706 +g988 +tp4907 +a(g827 +V\u000a +p4908 +tp4909 +a(g827 +V +p4910 +tp4911 +a(g436 +VisInStatement +p4912 +tp4913 +a(g827 +g972 +tp4914 +a(g413 +g1505 +tp4915 +a(g436 +Vother +p4916 +tp4917 +a(g706 +g1103 +tp4918 +a(g827 +g972 +tp4919 +a(g436 +VisInStatement +p4920 +tp4921 +a(g706 +g988 +tp4922 +a(g827 +V\u000a +p4923 +tp4924 +a(g827 +V +p4925 +tp4926 +a(g436 +VisInHeader +p4927 +tp4928 +a(g827 +g972 +tp4929 +a(g413 +g1505 +tp4930 +a(g827 +g972 +tp4931 +a(g436 +Vother +p4932 +tp4933 +a(g706 +g1103 +tp4934 +a(g436 +VisInHeader +p4935 +tp4936 +a(g706 +g988 +tp4937 +a(g827 +V\u000a +p4938 +tp4939 +a(g827 +V +p4940 +tp4941 +a(g436 +VisCStyle +p4942 +tp4943 +a(g827 +g972 +tp4944 +a(g413 +g1505 +tp4945 +a(g827 +g972 +tp4946 +a(g436 +Vother +p4947 +tp4948 +a(g706 +g1103 +tp4949 +a(g436 +VisCStyle +p4950 +tp4951 +a(g706 +g988 +tp4952 +a(g827 +V\u000a +p4953 +tp4954 +a(g827 +V +p4955 +tp4956 +a(g436 +VisInOperator +p4957 +tp4958 +a(g827 +g972 +tp4959 +a(g413 +g1505 +tp4960 +a(g827 +g972 +tp4961 +a(g436 +Vother +p4962 +tp4963 +a(g706 +g1103 +tp4964 +a(g436 +VisInOperator +p4965 +tp4966 +a(g706 +g988 +tp4967 +a(g827 +V\u000a +p4968 +tp4969 +a(g827 +V +p4970 +tp4971 +a(g436 +VisInTemplate +p4972 +tp4973 +a(g827 +g972 +tp4974 +a(g413 +g1505 +tp4975 +a(g827 +g972 +tp4976 +a(g436 +Vother +p4977 +tp4978 +a(g706 +g1103 +tp4979 +a(g436 +VisInTemplate +p4980 +tp4981 +a(g706 +g988 +tp4982 +a(g827 +V\u000a +p4983 +tp4984 +a(g827 +V +p4985 +tp4986 +a(g436 +VisInConst +p4987 +tp4988 +a(g827 +g972 +tp4989 +a(g413 +g1505 +tp4990 +a(g827 +g972 +tp4991 +a(g436 +Vother +p4992 +tp4993 +a(g706 +g1103 +tp4994 +a(g436 +VisInConst +p4995 +tp4996 +a(g706 +g988 +tp4997 +a(g827 +V\u000a +p4998 +tp4999 +a(g827 +V +p5000 +tp5001 +a(g436 +VclassIndent +p5002 +tp5003 +a(g827 +g972 +tp5004 +a(g413 +g1505 +tp5005 +a(g827 +g972 +tp5006 +a(g436 +Vother +p5007 +tp5008 +a(g706 +g1103 +tp5009 +a(g436 +VclassIndent +p5010 +tp5011 +a(g706 +g988 +tp5012 +a(g827 +V\u000a +p5013 +tp5014 +a(g827 +V +p5015 +tp5016 +a(g436 +VisInClassHeader +p5017 +tp5018 +a(g827 +g972 +tp5019 +a(g413 +g1505 +tp5020 +a(g827 +g972 +tp5021 +a(g436 +Vother +p5022 +tp5023 +a(g706 +g1103 +tp5024 +a(g436 +VisInClassHeader +p5025 +tp5026 +a(g706 +g988 +tp5027 +a(g827 +V\u000a +p5028 +tp5029 +a(g827 +V +p5030 +tp5031 +a(g436 +VisInClassHeaderTab +p5032 +tp5033 +a(g827 +g972 +tp5034 +a(g413 +g1505 +tp5035 +a(g827 +g972 +tp5036 +a(g436 +Vother +p5037 +tp5038 +a(g706 +g1103 +tp5039 +a(g436 +VisInClassHeaderTab +p5040 +tp5041 +a(g706 +g988 +tp5042 +a(g827 +V\u000a +p5043 +tp5044 +a(g827 +V +p5045 +tp5046 +a(g436 +VswitchIndent +p5047 +tp5048 +a(g827 +g972 +tp5049 +a(g413 +g1505 +tp5050 +a(g827 +g972 +tp5051 +a(g436 +Vother +p5052 +tp5053 +a(g706 +g1103 +tp5054 +a(g436 +VswitchIndent +p5055 +tp5056 +a(g706 +g988 +tp5057 +a(g827 +V\u000a +p5058 +tp5059 +a(g827 +V +p5060 +tp5061 +a(g436 +VcaseIndent +p5062 +tp5063 +a(g827 +g972 +tp5064 +a(g413 +g1505 +tp5065 +a(g827 +g972 +tp5066 +a(g436 +Vother +p5067 +tp5068 +a(g706 +g1103 +tp5069 +a(g436 +VcaseIndent +p5070 +tp5071 +a(g706 +g988 +tp5072 +a(g827 +V\u000a +p5073 +tp5074 +a(g827 +V +p5075 +tp5076 +a(g436 +VnamespaceIndent +p5077 +tp5078 +a(g827 +g972 +tp5079 +a(g413 +g1505 +tp5080 +a(g827 +g972 +tp5081 +a(g436 +Vother +p5082 +tp5083 +a(g706 +g1103 +tp5084 +a(g436 +VnamespaceIndent +p5085 +tp5086 +a(g706 +g988 +tp5087 +a(g827 +V\u000a +p5088 +tp5089 +a(g827 +V +p5090 +tp5091 +a(g436 +VbracketIndent +p5092 +tp5093 +a(g827 +g972 +tp5094 +a(g413 +g1505 +tp5095 +a(g827 +g972 +tp5096 +a(g436 +Vother +p5097 +tp5098 +a(g706 +g1103 +tp5099 +a(g436 +VbracketIndent +p5100 +tp5101 +a(g706 +g988 +tp5102 +a(g827 +V\u000a +p5103 +tp5104 +a(g827 +V +p5105 +tp5106 +a(g436 +VblockIndent +p5107 +tp5108 +a(g827 +g972 +tp5109 +a(g413 +g1505 +tp5110 +a(g827 +g972 +tp5111 +a(g436 +Vother +p5112 +tp5113 +a(g706 +g1103 +tp5114 +a(g436 +VblockIndent +p5115 +tp5116 +a(g706 +g988 +tp5117 +a(g827 +V\u000a +p5118 +tp5119 +a(g827 +V +p5120 +tp5121 +a(g436 +VlabelIndent +p5122 +tp5123 +a(g827 +g972 +tp5124 +a(g413 +g1505 +tp5125 +a(g827 +g972 +tp5126 +a(g436 +Vother +p5127 +tp5128 +a(g706 +g1103 +tp5129 +a(g436 +VlabelIndent +p5130 +tp5131 +a(g706 +g988 +tp5132 +a(g827 +V\u000a +p5133 +tp5134 +a(g827 +V +p5135 +tp5136 +a(g436 +VpreprocessorIndent +p5137 +tp5138 +a(g827 +g972 +tp5139 +a(g413 +g1505 +tp5140 +a(g827 +g972 +tp5141 +a(g436 +Vother +p5142 +tp5143 +a(g706 +g1103 +tp5144 +a(g436 +VpreprocessorIndent +p5145 +tp5146 +a(g706 +g988 +tp5147 +a(g827 +V\u000a +p5148 +tp5149 +a(g827 +V +p5150 +tp5151 +a(g436 +VparenDepth +p5152 +tp5153 +a(g827 +g972 +tp5154 +a(g413 +g1505 +tp5155 +a(g827 +g972 +tp5156 +a(g436 +Vother +p5157 +tp5158 +a(g706 +g1103 +tp5159 +a(g436 +VparenDepth +p5160 +tp5161 +a(g706 +g988 +tp5162 +a(g827 +V\u000a +p5163 +tp5164 +a(g827 +V +p5165 +tp5166 +a(g436 +VindentLength +p5167 +tp5168 +a(g827 +g972 +tp5169 +a(g413 +g1505 +tp5170 +a(g827 +g972 +tp5171 +a(g436 +Vother +p5172 +tp5173 +a(g706 +g1103 +tp5174 +a(g436 +VindentLength +p5175 +tp5176 +a(g706 +g988 +tp5177 +a(g827 +V\u000a +p5178 +tp5179 +a(g827 +V +p5180 +tp5181 +a(g436 +VblockTabCount +p5182 +tp5183 +a(g827 +g972 +tp5184 +a(g413 +g1505 +tp5185 +a(g827 +g972 +tp5186 +a(g436 +Vother +p5187 +tp5188 +a(g706 +g1103 +tp5189 +a(g436 +VblockTabCount +p5190 +tp5191 +a(g706 +g988 +tp5192 +a(g827 +V\u000a +p5193 +tp5194 +a(g827 +V +p5195 +tp5196 +a(g436 +VleadingWhiteSpaces +p5197 +tp5198 +a(g827 +g972 +tp5199 +a(g413 +g1505 +tp5200 +a(g827 +g972 +tp5201 +a(g436 +Vother +p5202 +tp5203 +a(g706 +g1103 +tp5204 +a(g436 +VleadingWhiteSpaces +p5205 +tp5206 +a(g706 +g988 +tp5207 +a(g827 +V\u000a +p5208 +tp5209 +a(g827 +V +p5210 +tp5211 +a(g436 +VmaxInStatementIndent +p5212 +tp5213 +a(g827 +g972 +tp5214 +a(g413 +g1505 +tp5215 +a(g827 +g972 +tp5216 +a(g436 +Vother +p5217 +tp5218 +a(g706 +g1103 +tp5219 +a(g436 +VmaxInStatementIndent +p5220 +tp5221 +a(g706 +g988 +tp5222 +a(g827 +V\u000a +p5223 +tp5224 +a(g827 +V +p5225 +tp5226 +a(g436 +VtemplateDepth +p5227 +tp5228 +a(g827 +g972 +tp5229 +a(g413 +g1505 +tp5230 +a(g827 +g972 +tp5231 +a(g436 +Vother +p5232 +tp5233 +a(g706 +g1103 +tp5234 +a(g436 +VtemplateDepth +p5235 +tp5236 +a(g706 +g988 +tp5237 +a(g827 +V\u000a +p5238 +tp5239 +a(g827 +V +p5240 +tp5241 +a(g436 +VquoteChar +p5242 +tp5243 +a(g827 +g972 +tp5244 +a(g413 +g1505 +tp5245 +a(g827 +g972 +tp5246 +a(g436 +Vother +p5247 +tp5248 +a(g706 +g1103 +tp5249 +a(g436 +VquoteChar +p5250 +tp5251 +a(g706 +g988 +tp5252 +a(g827 +V\u000a +p5253 +tp5254 +a(g827 +V +p5255 +tp5256 +a(g436 +VprevNonSpaceCh +p5257 +tp5258 +a(g827 +g972 +tp5259 +a(g413 +g1505 +tp5260 +a(g827 +g972 +tp5261 +a(g436 +Vother +p5262 +tp5263 +a(g706 +g1103 +tp5264 +a(g436 +VprevNonSpaceCh +p5265 +tp5266 +a(g706 +g988 +tp5267 +a(g827 +V\u000a +p5268 +tp5269 +a(g827 +V +p5270 +tp5271 +a(g436 +VcurrentNonSpaceCh +p5272 +tp5273 +a(g827 +g972 +tp5274 +a(g413 +g1505 +tp5275 +a(g827 +g972 +tp5276 +a(g436 +Vother +p5277 +tp5278 +a(g706 +g1103 +tp5279 +a(g436 +VcurrentNonSpaceCh +p5280 +tp5281 +a(g706 +g988 +tp5282 +a(g827 +V\u000a +p5283 +tp5284 +a(g827 +V +p5285 +tp5286 +a(g436 +VcurrentNonLegalCh +p5287 +tp5288 +a(g827 +g972 +tp5289 +a(g413 +g1505 +tp5290 +a(g827 +g972 +tp5291 +a(g436 +Vother +p5292 +tp5293 +a(g706 +g1103 +tp5294 +a(g436 +VcurrentNonLegalCh +p5295 +tp5296 +a(g706 +g988 +tp5297 +a(g827 +V\u000a +p5298 +tp5299 +a(g827 +V +p5300 +tp5301 +a(g436 +VprevNonLegalCh +p5302 +tp5303 +a(g827 +g972 +tp5304 +a(g413 +g1505 +tp5305 +a(g827 +g972 +tp5306 +a(g436 +Vother +p5307 +tp5308 +a(g706 +g1103 +tp5309 +a(g436 +VprevNonLegalCh +p5310 +tp5311 +a(g706 +g988 +tp5312 +a(g827 +V\u000a +p5313 +tp5314 +a(g827 +V +p5315 +tp5316 +a(g436 +VisInConditional +p5317 +tp5318 +a(g827 +g972 +tp5319 +a(g413 +g1505 +tp5320 +a(g827 +g972 +tp5321 +a(g436 +Vother +p5322 +tp5323 +a(g706 +g1103 +tp5324 +a(g436 +VisInConditional +p5325 +tp5326 +a(g706 +g988 +tp5327 +a(g827 +V\u000a +p5328 +tp5329 +a(g827 +V +p5330 +tp5331 +a(g436 +VminConditionalIndent +p5332 +tp5333 +a(g827 +g972 +tp5334 +a(g413 +g1505 +tp5335 +a(g827 +g972 +tp5336 +a(g436 +Vother +p5337 +tp5338 +a(g706 +g1103 +tp5339 +a(g436 +VminConditionalIndent +p5340 +tp5341 +a(g706 +g988 +tp5342 +a(g827 +V\u000a +p5343 +tp5344 +a(g827 +V +p5345 +tp5346 +a(g436 +VprevFinalLineSpaceTabCount +p5347 +tp5348 +a(g827 +g972 +tp5349 +a(g413 +g1505 +tp5350 +a(g827 +g972 +tp5351 +a(g436 +Vother +p5352 +tp5353 +a(g706 +g1103 +tp5354 +a(g436 +VprevFinalLineSpaceTabCount +p5355 +tp5356 +a(g706 +g988 +tp5357 +a(g827 +V\u000a +p5358 +tp5359 +a(g827 +V +p5360 +tp5361 +a(g436 +VprevFinalLineTabCount +p5362 +tp5363 +a(g827 +g972 +tp5364 +a(g413 +g1505 +tp5365 +a(g827 +g972 +tp5366 +a(g436 +Vother +p5367 +tp5368 +a(g706 +g1103 +tp5369 +a(g436 +VprevFinalLineTabCount +p5370 +tp5371 +a(g706 +g988 +tp5372 +a(g827 +V\u000a +p5373 +tp5374 +a(g827 +V +p5375 +tp5376 +a(g436 +VemptyLineFill +p5377 +tp5378 +a(g827 +g972 +tp5379 +a(g413 +g1505 +tp5380 +a(g827 +g972 +tp5381 +a(g436 +Vother +p5382 +tp5383 +a(g706 +g1103 +tp5384 +a(g436 +VemptyLineFill +p5385 +tp5386 +a(g706 +g988 +tp5387 +a(g827 +V\u000a +p5388 +tp5389 +a(g827 +V +p5390 +tp5391 +a(g436 +VprobationHeader +p5392 +tp5393 +a(g827 +g972 +tp5394 +a(g413 +g1505 +tp5395 +a(g827 +g972 +tp5396 +a(g436 +Vother +p5397 +tp5398 +a(g706 +g1103 +tp5399 +a(g436 +VprobationHeader +p5400 +tp5401 +a(g706 +g988 +tp5402 +a(g827 +V\u000a +p5403 +tp5404 +a(g827 +V +p5405 +tp5406 +a(g436 +VisInDefine +p5407 +tp5408 +a(g827 +g972 +tp5409 +a(g413 +g1505 +tp5410 +a(g827 +g972 +tp5411 +a(g436 +Vother +p5412 +tp5413 +a(g706 +g1103 +tp5414 +a(g436 +VisInDefine +p5415 +tp5416 +a(g706 +g988 +tp5417 +a(g827 +V\u000a +p5418 +tp5419 +a(g827 +V +p5420 +tp5421 +a(g436 +VisInDefineDefinition +p5422 +tp5423 +a(g827 +g972 +tp5424 +a(g413 +g1505 +tp5425 +a(g827 +g972 +tp5426 +a(g436 +Vother +p5427 +tp5428 +a(g706 +g1103 +tp5429 +a(g436 +VisInDefineDefinition +p5430 +tp5431 +a(g706 +g988 +tp5432 +a(g827 +V\u000a +p5433 +tp5434 +a(g827 +V +p5435 +tp5436 +a(g436 +VbackslashEndsPrevLine +p5437 +tp5438 +a(g827 +g972 +tp5439 +a(g413 +g1505 +tp5440 +a(g827 +g972 +tp5441 +a(g436 +Vother +p5442 +tp5443 +a(g706 +g1103 +tp5444 +a(g436 +VbackslashEndsPrevLine +p5445 +tp5446 +a(g706 +g988 +tp5447 +a(g827 +V\u000a +p5448 +tp5449 +a(g827 +V +p5450 +tp5451 +a(g436 +VdefineTabCount +p5452 +tp5453 +a(g827 +g972 +tp5454 +a(g413 +g1505 +tp5455 +a(g827 +g972 +tp5456 +a(g436 +Vother +p5457 +tp5458 +a(g706 +g1103 +tp5459 +a(g436 +VdefineTabCount +p5460 +tp5461 +a(g706 +g988 +tp5462 +a(g827 +V\u000a +p5463 +tp5464 +a(g827 +V +p5465 +tp5466 +a(g706 +g1188 +tp5467 +a(g827 +V\u000a +p5468 +tp5469 +a(g827 +V\u000a +p5470 +tp5471 +a(g827 +V +p5472 +tp5473 +a(g8 +V/**\u000a * ASBeautifier's destructor\u000a */ +p5474 +tp5475 +a(g827 +V\u000a +p5476 +tp5477 +a(g827 +V +p5478 +tp5479 +a(g436 +VASBeautifier +p5480 +tp5481 +a(g413 +g1014 +tp5482 +a(g413 +g1014 +tp5483 +a(g413 +g1597 +tp5484 +a(g436 +VASBeautifier +p5485 +tp5486 +a(g706 +g1019 +tp5487 +a(g706 +g1054 +tp5488 +a(g827 +V\u000a +p5489 +tp5490 +a(g827 +V +p5491 +tp5492 +a(g706 +g1000 +tp5493 +a(g827 +V\u000a +p5494 +tp5495 +a(g827 +V +p5496 +tp5497 +a(g436 +VDELETE_CONTAINER +p5498 +tp5499 +a(g706 +g1019 +tp5500 +a(g827 +g972 +tp5501 +a(g436 +VheaderStack +p5502 +tp5503 +a(g827 +g972 +tp5504 +a(g706 +g1054 +tp5505 +a(g706 +g988 +tp5506 +a(g827 +V\u000a +p5507 +tp5508 +a(g827 +V +p5509 +tp5510 +a(g436 +VDELETE_CONTAINER +p5511 +tp5512 +a(g706 +g1019 +tp5513 +a(g827 +g972 +tp5514 +a(g436 +VtempStacks +p5515 +tp5516 +a(g827 +g972 +tp5517 +a(g706 +g1054 +tp5518 +a(g706 +g988 +tp5519 +a(g827 +V\u000a +p5520 +tp5521 +a(g827 +V +p5522 +tp5523 +a(g436 +VDELETE_CONTAINER +p5524 +tp5525 +a(g706 +g1019 +tp5526 +a(g827 +g972 +tp5527 +a(g436 +VblockParenDepthStack +p5528 +tp5529 +a(g827 +g972 +tp5530 +a(g706 +g1054 +tp5531 +a(g706 +g988 +tp5532 +a(g827 +V\u000a +p5533 +tp5534 +a(g827 +V +p5535 +tp5536 +a(g436 +VDELETE_CONTAINER +p5537 +tp5538 +a(g706 +g1019 +tp5539 +a(g827 +g972 +tp5540 +a(g436 +VblockStatementStack +p5541 +tp5542 +a(g827 +g972 +tp5543 +a(g706 +g1054 +tp5544 +a(g706 +g988 +tp5545 +a(g827 +V\u000a +p5546 +tp5547 +a(g827 +V +p5548 +tp5549 +a(g436 +VDELETE_CONTAINER +p5550 +tp5551 +a(g706 +g1019 +tp5552 +a(g827 +g972 +tp5553 +a(g436 +VparenStatementStack +p5554 +tp5555 +a(g827 +g972 +tp5556 +a(g706 +g1054 +tp5557 +a(g706 +g988 +tp5558 +a(g827 +V\u000a +p5559 +tp5560 +a(g827 +V +p5561 +tp5562 +a(g436 +VDELETE_CONTAINER +p5563 +tp5564 +a(g706 +g1019 +tp5565 +a(g827 +g972 +tp5566 +a(g436 +VbracketBlockStateStack +p5567 +tp5568 +a(g827 +g972 +tp5569 +a(g706 +g1054 +tp5570 +a(g706 +g988 +tp5571 +a(g827 +V\u000a +p5572 +tp5573 +a(g827 +V +p5574 +tp5575 +a(g436 +VDELETE_CONTAINER +p5576 +tp5577 +a(g706 +g1019 +tp5578 +a(g827 +g972 +tp5579 +a(g436 +VinStatementIndentStack +p5580 +tp5581 +a(g827 +g972 +tp5582 +a(g706 +g1054 +tp5583 +a(g706 +g988 +tp5584 +a(g827 +V\u000a +p5585 +tp5586 +a(g827 +V +p5587 +tp5588 +a(g436 +VDELETE_CONTAINER +p5589 +tp5590 +a(g706 +g1019 +tp5591 +a(g827 +g972 +tp5592 +a(g436 +VinStatementIndentStackSizeStack +p5593 +tp5594 +a(g827 +g972 +tp5595 +a(g706 +g1054 +tp5596 +a(g706 +g988 +tp5597 +a(g827 +V\u000a +p5598 +tp5599 +a(g827 +V +p5600 +tp5601 +a(g436 +VDELETE_CONTAINER +p5602 +tp5603 +a(g706 +g1019 +tp5604 +a(g827 +g972 +tp5605 +a(g436 +VparenIndentStack +p5606 +tp5607 +a(g827 +g972 +tp5608 +a(g706 +g1054 +tp5609 +a(g706 +g988 +tp5610 +a(g827 +V\u000a +p5611 +tp5612 +a(g827 +V\u000a +p5613 +tp5614 +a(g827 +V +p5615 +tp5616 +a(g906 +V// DELETE_CONTAINER( sourceIterator );\u000a +p5617 +tp5618 +a(g827 +V +p5619 +tp5620 +a(g706 +g1188 +tp5621 +a(g827 +V\u000a +p5622 +tp5623 +a(g827 +V\u000a +p5624 +tp5625 +a(g827 +V +p5626 +tp5627 +a(g8 +V/**\u000a * initialize the ASBeautifier.\u000a *\u000a * init() should be called every time a ABeautifier object is to start\u000a * beautifying a NEW source file.\u000a * init() recieves a pointer to a DYNAMICALLY CREATED ASSourceIterator object\u000a * that will be used to iterate through the source code. This object will be\u000a * deleted during the ASBeautifier's destruction, and thus should not be\u000a * deleted elsewhere.\u000a *\u000a * @param iter a pointer to the DYNAMICALLY CREATED ASSourceIterator object.\u000a */ +p5628 +tp5629 +a(g827 +V\u000a +p5630 +tp5631 +a(g827 +V +p5632 +tp5633 +a(g749 +Vvoid +p5634 +tp5635 +a(g827 +g972 +tp5636 +a(g436 +VASBeautifier +p5637 +tp5638 +a(g413 +g1014 +tp5639 +a(g413 +g1014 +tp5640 +a(g436 +Vinit +p5641 +tp5642 +a(g706 +g1019 +tp5643 +a(g436 +VASSourceIterator +p5644 +tp5645 +a(g827 +g972 +tp5646 +a(g413 +g2456 +tp5647 +a(g436 +Viter +p5648 +tp5649 +a(g706 +g1054 +tp5650 +a(g827 +V\u000a +p5651 +tp5652 +a(g827 +V\u000a +p5653 +tp5654 +a(g827 +V +p5655 +tp5656 +a(g706 +g1000 +tp5657 +a(g827 +V\u000a +p5658 +tp5659 +a(g827 +V +p5660 +tp5661 +a(g436 +VsourceIterator +p5662 +tp5663 +a(g827 +g972 +tp5664 +a(g413 +g1505 +tp5665 +a(g827 +g972 +tp5666 +a(g436 +Viter +p5667 +tp5668 +a(g706 +g988 +tp5669 +a(g827 +V\u000a +p5670 +tp5671 +a(g827 +V +p5672 +tp5673 +a(g436 +Vinit +p5674 +tp5675 +a(g706 +g1019 +tp5676 +a(g706 +g1054 +tp5677 +a(g706 +g988 +tp5678 +a(g827 +V\u000a +p5679 +tp5680 +a(g827 +V +p5681 +tp5682 +a(g706 +g1188 +tp5683 +a(g827 +V\u000a +p5684 +tp5685 +a(g827 +V\u000a +p5686 +tp5687 +a(g827 +V +p5688 +tp5689 +a(g8 +V/**\u000a * initialize the ASBeautifier.\u000a */ +p5690 +tp5691 +a(g827 +V\u000a +p5692 +tp5693 +a(g827 +V +p5694 +tp5695 +a(g749 +Vvoid +p5696 +tp5697 +a(g827 +g972 +tp5698 +a(g436 +VASBeautifier +p5699 +tp5700 +a(g413 +g1014 +tp5701 +a(g413 +g1014 +tp5702 +a(g436 +Vinit +p5703 +tp5704 +a(g706 +g1019 +tp5705 +a(g706 +g1054 +tp5706 +a(g827 +V\u000a +p5707 +tp5708 +a(g827 +V +p5709 +tp5710 +a(g706 +g1000 +tp5711 +a(g827 +V\u000a +p5712 +tp5713 +a(g827 +V +p5714 +tp5715 +a(g436 +VINIT_CONTAINER +p5716 +tp5717 +a(g706 +g1019 +tp5718 +a(g827 +g972 +tp5719 +a(g436 +VwaitingBeautifierStack +p5720 +tp5721 +a(g706 +g1030 +tp5722 +a(g827 +V +p5723 +tp5724 +a(g745 +Vnew +p5725 +tp5726 +a(g827 +g972 +tp5727 +a(g436 +Vvector +p5728 +tp5729 +a(g413 +g1075 +tp5730 +a(g436 +VASBeautifier +p5731 +tp5732 +a(g413 +g2456 +tp5733 +a(g413 +g2458 +tp5734 +a(g827 +g972 +tp5735 +a(g706 +g1054 +tp5736 +a(g706 +g988 +tp5737 +a(g827 +V\u000a +p5738 +tp5739 +a(g827 +V +p5740 +tp5741 +a(g436 +VINIT_CONTAINER +p5742 +tp5743 +a(g706 +g1019 +tp5744 +a(g827 +g972 +tp5745 +a(g436 +VactiveBeautifierStack +p5746 +tp5747 +a(g706 +g1030 +tp5748 +a(g827 +V +p5749 +tp5750 +a(g745 +Vnew +p5751 +tp5752 +a(g827 +g972 +tp5753 +a(g436 +Vvector +p5754 +tp5755 +a(g413 +g1075 +tp5756 +a(g436 +VASBeautifier +p5757 +tp5758 +a(g413 +g2456 +tp5759 +a(g413 +g2458 +tp5760 +a(g827 +g972 +tp5761 +a(g706 +g1054 +tp5762 +a(g706 +g988 +tp5763 +a(g827 +V\u000a +p5764 +tp5765 +a(g827 +V\u000a +p5766 +tp5767 +a(g827 +V +p5768 +tp5769 +a(g436 +VINIT_CONTAINER +p5770 +tp5771 +a(g706 +g1019 +tp5772 +a(g827 +g972 +tp5773 +a(g436 +VwaitingBeautifierStackLengthStack +p5774 +tp5775 +a(g706 +g1030 +tp5776 +a(g827 +g972 +tp5777 +a(g745 +Vnew +p5778 +tp5779 +a(g827 +g972 +tp5780 +a(g436 +Vvector +p5781 +tp5782 +a(g413 +g1075 +tp5783 +a(g749 +Vint +p5784 +tp5785 +a(g413 +g2458 +tp5786 +a(g827 +g972 +tp5787 +a(g706 +g1054 +tp5788 +a(g706 +g988 +tp5789 +a(g827 +V\u000a +p5790 +tp5791 +a(g827 +V +p5792 +tp5793 +a(g436 +VINIT_CONTAINER +p5794 +tp5795 +a(g706 +g1019 +tp5796 +a(g827 +g972 +tp5797 +a(g436 +VactiveBeautifierStackLengthStack +p5798 +tp5799 +a(g706 +g1030 +tp5800 +a(g827 +g972 +tp5801 +a(g745 +Vnew +p5802 +tp5803 +a(g827 +g972 +tp5804 +a(g436 +Vvector +p5805 +tp5806 +a(g413 +g1075 +tp5807 +a(g749 +Vint +p5808 +tp5809 +a(g413 +g2458 +tp5810 +a(g827 +g972 +tp5811 +a(g706 +g1054 +tp5812 +a(g706 +g988 +tp5813 +a(g827 +V\u000a +p5814 +tp5815 +a(g827 +V\u000a +p5816 +tp5817 +a(g827 +V +p5818 +tp5819 +a(g436 +VINIT_CONTAINER +p5820 +tp5821 +a(g706 +g1019 +tp5822 +a(g827 +g972 +tp5823 +a(g436 +VheaderStack +p5824 +tp5825 +a(g706 +g1030 +tp5826 +a(g827 +V +p5827 +tp5828 +a(g745 +Vnew +p5829 +tp5830 +a(g827 +g972 +tp5831 +a(g436 +Vvector +p5832 +tp5833 +a(g413 +g1075 +tp5834 +a(g745 +Vconst +p5835 +tp5836 +a(g827 +g972 +tp5837 +a(g436 +Vstring +p5838 +tp5839 +a(g413 +g2456 +tp5840 +a(g413 +g2458 +tp5841 +a(g827 +g972 +tp5842 +a(g706 +g1054 +tp5843 +a(g706 +g988 +tp5844 +a(g827 +V\u000a +p5845 +tp5846 +a(g827 +V +p5847 +tp5848 +a(g436 +VINIT_CONTAINER +p5849 +tp5850 +a(g706 +g1019 +tp5851 +a(g827 +g972 +tp5852 +a(g436 +VtempStacks +p5853 +tp5854 +a(g706 +g1030 +tp5855 +a(g827 +g972 +tp5856 +a(g745 +Vnew +p5857 +tp5858 +a(g827 +g972 +tp5859 +a(g436 +Vvector +p5860 +tp5861 +a(g413 +g1075 +tp5862 +a(g827 +g972 +tp5863 +a(g436 +Vvector +p5864 +tp5865 +a(g413 +g1075 +tp5866 +a(g745 +Vconst +p5867 +tp5868 +a(g827 +g972 +tp5869 +a(g436 +Vstring +p5870 +tp5871 +a(g413 +g2456 +tp5872 +a(g413 +g2458 +tp5873 +a(g413 +g2456 +tp5874 +a(g827 +g972 +tp5875 +a(g413 +g2458 +tp5876 +a(g827 +g972 +tp5877 +a(g706 +g1054 +tp5878 +a(g706 +g988 +tp5879 +a(g827 +V\u000a +p5880 +tp5881 +a(g827 +V +p5882 +tp5883 +a(g436 +VtempStacks +p5884 +tp5885 +a(g413 +g4390 +tp5886 +a(g413 +g2458 +tp5887 +a(g436 +Vpush_back +p5888 +tp5889 +a(g706 +g1019 +tp5890 +a(g745 +Vnew +p5891 +tp5892 +a(g827 +g972 +tp5893 +a(g436 +Vvector +p5894 +tp5895 +a(g413 +g1075 +tp5896 +a(g745 +Vconst +p5897 +tp5898 +a(g827 +g972 +tp5899 +a(g436 +Vstring +p5900 +tp5901 +a(g413 +g2456 +tp5902 +a(g413 +g2458 +tp5903 +a(g706 +g1054 +tp5904 +a(g706 +g988 +tp5905 +a(g827 +V\u000a +p5906 +tp5907 +a(g827 +V\u000a +p5908 +tp5909 +a(g827 +V +p5910 +tp5911 +a(g436 +VINIT_CONTAINER +p5912 +tp5913 +a(g706 +g1019 +tp5914 +a(g827 +g972 +tp5915 +a(g436 +VblockParenDepthStack +p5916 +tp5917 +a(g706 +g1030 +tp5918 +a(g827 +g972 +tp5919 +a(g745 +Vnew +p5920 +tp5921 +a(g827 +g972 +tp5922 +a(g436 +Vvector +p5923 +tp5924 +a(g413 +g1075 +tp5925 +a(g749 +Vint +p5926 +tp5927 +a(g413 +g2458 +tp5928 +a(g827 +g972 +tp5929 +a(g706 +g1054 +tp5930 +a(g706 +g988 +tp5931 +a(g827 +V\u000a +p5932 +tp5933 +a(g827 +V +p5934 +tp5935 +a(g436 +VINIT_CONTAINER +p5936 +tp5937 +a(g706 +g1019 +tp5938 +a(g827 +g972 +tp5939 +a(g436 +VblockStatementStack +p5940 +tp5941 +a(g706 +g1030 +tp5942 +a(g827 +g972 +tp5943 +a(g745 +Vnew +p5944 +tp5945 +a(g827 +g972 +tp5946 +a(g436 +Vvector +p5947 +tp5948 +a(g413 +g1075 +tp5949 +a(g749 +Vbool +p5950 +tp5951 +a(g413 +g2458 +tp5952 +a(g827 +g972 +tp5953 +a(g706 +g1054 +tp5954 +a(g706 +g988 +tp5955 +a(g827 +V\u000a +p5956 +tp5957 +a(g827 +V +p5958 +tp5959 +a(g436 +VINIT_CONTAINER +p5960 +tp5961 +a(g706 +g1019 +tp5962 +a(g827 +g972 +tp5963 +a(g436 +VparenStatementStack +p5964 +tp5965 +a(g706 +g1030 +tp5966 +a(g827 +g972 +tp5967 +a(g745 +Vnew +p5968 +tp5969 +a(g827 +g972 +tp5970 +a(g436 +Vvector +p5971 +tp5972 +a(g413 +g1075 +tp5973 +a(g749 +Vbool +p5974 +tp5975 +a(g413 +g2458 +tp5976 +a(g827 +g972 +tp5977 +a(g706 +g1054 +tp5978 +a(g706 +g988 +tp5979 +a(g827 +V\u000a +p5980 +tp5981 +a(g827 +V\u000a +p5982 +tp5983 +a(g827 +V +p5984 +tp5985 +a(g436 +VINIT_CONTAINER +p5986 +tp5987 +a(g706 +g1019 +tp5988 +a(g827 +g972 +tp5989 +a(g436 +VbracketBlockStateStack +p5990 +tp5991 +a(g706 +g1030 +tp5992 +a(g827 +g972 +tp5993 +a(g745 +Vnew +p5994 +tp5995 +a(g827 +g972 +tp5996 +a(g436 +Vvector +p5997 +tp5998 +a(g413 +g1075 +tp5999 +a(g749 +Vbool +p6000 +tp6001 +a(g413 +g2458 +tp6002 +a(g827 +g972 +tp6003 +a(g706 +g1054 +tp6004 +a(g706 +g988 +tp6005 +a(g827 +V\u000a +p6006 +tp6007 +a(g827 +V +p6008 +tp6009 +a(g436 +VbracketBlockStateStack +p6010 +tp6011 +a(g413 +g4390 +tp6012 +a(g413 +g2458 +tp6013 +a(g436 +Vpush_back +p6014 +tp6015 +a(g706 +g1019 +tp6016 +a(g682 +Vtrue +p6017 +tp6018 +a(g706 +g1054 +tp6019 +a(g706 +g988 +tp6020 +a(g827 +V\u000a +p6021 +tp6022 +a(g827 +V\u000a +p6023 +tp6024 +a(g827 +V +p6025 +tp6026 +a(g436 +VINIT_CONTAINER +p6027 +tp6028 +a(g706 +g1019 +tp6029 +a(g827 +g972 +tp6030 +a(g436 +VinStatementIndentStack +p6031 +tp6032 +a(g706 +g1030 +tp6033 +a(g827 +g972 +tp6034 +a(g745 +Vnew +p6035 +tp6036 +a(g827 +g972 +tp6037 +a(g436 +Vvector +p6038 +tp6039 +a(g413 +g1075 +tp6040 +a(g749 +Vint +p6041 +tp6042 +a(g413 +g2458 +tp6043 +a(g827 +g972 +tp6044 +a(g706 +g1054 +tp6045 +a(g706 +g988 +tp6046 +a(g827 +V\u000a +p6047 +tp6048 +a(g827 +V +p6049 +tp6050 +a(g436 +VINIT_CONTAINER +p6051 +tp6052 +a(g706 +g1019 +tp6053 +a(g827 +g972 +tp6054 +a(g436 +VinStatementIndentStackSizeStack +p6055 +tp6056 +a(g706 +g1030 +tp6057 +a(g827 +g972 +tp6058 +a(g745 +Vnew +p6059 +tp6060 +a(g827 +g972 +tp6061 +a(g436 +Vvector +p6062 +tp6063 +a(g413 +g1075 +tp6064 +a(g749 +Vint +p6065 +tp6066 +a(g413 +g2458 +tp6067 +a(g827 +g972 +tp6068 +a(g706 +g1054 +tp6069 +a(g706 +g988 +tp6070 +a(g827 +V\u000a +p6071 +tp6072 +a(g827 +V +p6073 +tp6074 +a(g436 +VinStatementIndentStackSizeStack +p6075 +tp6076 +a(g413 +g4390 +tp6077 +a(g413 +g2458 +tp6078 +a(g436 +Vpush_back +p6079 +tp6080 +a(g706 +g1019 +tp6081 +a(g37 +V0 +p6082 +tp6083 +a(g706 +g1054 +tp6084 +a(g706 +g988 +tp6085 +a(g827 +V\u000a +p6086 +tp6087 +a(g827 +V +p6088 +tp6089 +a(g436 +VINIT_CONTAINER +p6090 +tp6091 +a(g706 +g1019 +tp6092 +a(g827 +g972 +tp6093 +a(g436 +VparenIndentStack +p6094 +tp6095 +a(g706 +g1030 +tp6096 +a(g827 +g972 +tp6097 +a(g745 +Vnew +p6098 +tp6099 +a(g827 +g972 +tp6100 +a(g436 +Vvector +p6101 +tp6102 +a(g413 +g1075 +tp6103 +a(g749 +Vint +p6104 +tp6105 +a(g413 +g2458 +tp6106 +a(g827 +g972 +tp6107 +a(g706 +g1054 +tp6108 +a(g706 +g988 +tp6109 +a(g827 +V\u000a +p6110 +tp6111 +a(g827 +V\u000a +p6112 +tp6113 +a(g827 +V +p6114 +tp6115 +a(g436 +VimmediatelyPreviousAssignmentOp +p6116 +tp6117 +a(g827 +g972 +tp6118 +a(g413 +g1505 +tp6119 +a(g827 +g972 +tp6120 +a(g682 +VNULL +p6121 +tp6122 +a(g706 +g988 +tp6123 +a(g827 +V\u000a +p6124 +tp6125 +a(g827 +V +p6126 +tp6127 +a(g436 +VpreviousLastLineHeader +p6128 +tp6129 +a(g827 +g972 +tp6130 +a(g413 +g1505 +tp6131 +a(g827 +g972 +tp6132 +a(g682 +VNULL +p6133 +tp6134 +a(g706 +g988 +tp6135 +a(g827 +V\u000a +p6136 +tp6137 +a(g827 +V\u000a +p6138 +tp6139 +a(g827 +V +p6140 +tp6141 +a(g436 +VisInQuote +p6142 +tp6143 +a(g827 +g972 +tp6144 +a(g413 +g1505 +tp6145 +a(g827 +g972 +tp6146 +a(g682 +Vfalse +p6147 +tp6148 +a(g706 +g988 +tp6149 +a(g827 +V\u000a +p6150 +tp6151 +a(g827 +V +p6152 +tp6153 +a(g436 +VisInComment +p6154 +tp6155 +a(g827 +g972 +tp6156 +a(g413 +g1505 +tp6157 +a(g827 +g972 +tp6158 +a(g682 +Vfalse +p6159 +tp6160 +a(g706 +g988 +tp6161 +a(g827 +V\u000a +p6162 +tp6163 +a(g827 +V +p6164 +tp6165 +a(g436 +VisInStatement +p6166 +tp6167 +a(g827 +g972 +tp6168 +a(g413 +g1505 +tp6169 +a(g827 +g972 +tp6170 +a(g682 +Vfalse +p6171 +tp6172 +a(g706 +g988 +tp6173 +a(g827 +V\u000a +p6174 +tp6175 +a(g827 +V +p6176 +tp6177 +a(g436 +VisInCase +p6178 +tp6179 +a(g827 +g972 +tp6180 +a(g413 +g1505 +tp6181 +a(g827 +g972 +tp6182 +a(g682 +Vfalse +p6183 +tp6184 +a(g706 +g988 +tp6185 +a(g827 +V\u000a +p6186 +tp6187 +a(g827 +V +p6188 +tp6189 +a(g436 +VisInQuestion +p6190 +tp6191 +a(g827 +g972 +tp6192 +a(g413 +g1505 +tp6193 +a(g827 +g972 +tp6194 +a(g682 +Vfalse +p6195 +tp6196 +a(g706 +g988 +tp6197 +a(g827 +V\u000a +p6198 +tp6199 +a(g827 +V +p6200 +tp6201 +a(g436 +VisInClassHeader +p6202 +tp6203 +a(g827 +g972 +tp6204 +a(g413 +g1505 +tp6205 +a(g827 +g972 +tp6206 +a(g682 +Vfalse +p6207 +tp6208 +a(g706 +g988 +tp6209 +a(g827 +V\u000a +p6210 +tp6211 +a(g827 +V +p6212 +tp6213 +a(g436 +VisInClassHeaderTab +p6214 +tp6215 +a(g827 +g972 +tp6216 +a(g413 +g1505 +tp6217 +a(g827 +g972 +tp6218 +a(g682 +Vfalse +p6219 +tp6220 +a(g706 +g988 +tp6221 +a(g827 +V\u000a +p6222 +tp6223 +a(g827 +V +p6224 +tp6225 +a(g436 +VisInHeader +p6226 +tp6227 +a(g827 +g972 +tp6228 +a(g413 +g1505 +tp6229 +a(g827 +g972 +tp6230 +a(g682 +Vfalse +p6231 +tp6232 +a(g706 +g988 +tp6233 +a(g827 +V\u000a +p6234 +tp6235 +a(g827 +V +p6236 +tp6237 +a(g436 +VisInOperator +p6238 +tp6239 +a(g827 +g972 +tp6240 +a(g413 +g1505 +tp6241 +a(g827 +g972 +tp6242 +a(g682 +Vfalse +p6243 +tp6244 +a(g706 +g988 +tp6245 +a(g827 +V\u000a +p6246 +tp6247 +a(g827 +V +p6248 +tp6249 +a(g436 +VisInTemplate +p6250 +tp6251 +a(g827 +g972 +tp6252 +a(g413 +g1505 +tp6253 +a(g827 +g972 +tp6254 +a(g682 +Vfalse +p6255 +tp6256 +a(g706 +g988 +tp6257 +a(g827 +V\u000a +p6258 +tp6259 +a(g827 +V +p6260 +tp6261 +a(g436 +VisInConst +p6262 +tp6263 +a(g827 +g972 +tp6264 +a(g413 +g1505 +tp6265 +a(g827 +g972 +tp6266 +a(g682 +Vfalse +p6267 +tp6268 +a(g706 +g988 +tp6269 +a(g827 +V\u000a +p6270 +tp6271 +a(g827 +V +p6272 +tp6273 +a(g436 +VisInConditional +p6274 +tp6275 +a(g827 +g972 +tp6276 +a(g413 +g1505 +tp6277 +a(g827 +g972 +tp6278 +a(g682 +Vfalse +p6279 +tp6280 +a(g706 +g988 +tp6281 +a(g827 +V\u000a +p6282 +tp6283 +a(g827 +V +p6284 +tp6285 +a(g436 +VtemplateDepth +p6286 +tp6287 +a(g827 +g972 +tp6288 +a(g413 +g1505 +tp6289 +a(g827 +g972 +tp6290 +a(g37 +g6082 +tp6291 +a(g706 +g988 +tp6292 +a(g827 +V\u000a +p6293 +tp6294 +a(g827 +V +p6295 +tp6296 +a(g436 +VparenDepth +p6297 +tp6298 +a(g413 +g1505 +tp6299 +a(g37 +g6082 +tp6300 +a(g706 +g988 +tp6301 +a(g827 +V\u000a +p6302 +tp6303 +a(g827 +V +p6304 +tp6305 +a(g436 +VblockTabCount +p6306 +tp6307 +a(g827 +g972 +tp6308 +a(g413 +g1505 +tp6309 +a(g827 +g972 +tp6310 +a(g37 +g6082 +tp6311 +a(g706 +g988 +tp6312 +a(g827 +V\u000a +p6313 +tp6314 +a(g827 +V +p6315 +tp6316 +a(g436 +VleadingWhiteSpaces +p6317 +tp6318 +a(g827 +g972 +tp6319 +a(g413 +g1505 +tp6320 +a(g827 +g972 +tp6321 +a(g37 +g6082 +tp6322 +a(g706 +g988 +tp6323 +a(g827 +V\u000a +p6324 +tp6325 +a(g827 +V +p6326 +tp6327 +a(g436 +VprevNonSpaceCh +p6328 +tp6329 +a(g827 +g972 +tp6330 +a(g413 +g1505 +tp6331 +a(g827 +g972 +tp6332 +a(g93 +V' +p6333 +tp6334 +a(g93 +g1000 +tp6335 +a(g93 +g6333 +tp6336 +a(g706 +g988 +tp6337 +a(g827 +V\u000a +p6338 +tp6339 +a(g827 +V +p6340 +tp6341 +a(g436 +VcurrentNonSpaceCh +p6342 +tp6343 +a(g827 +g972 +tp6344 +a(g413 +g1505 +tp6345 +a(g827 +g972 +tp6346 +a(g93 +g6333 +tp6347 +a(g93 +g1000 +tp6348 +a(g93 +g6333 +tp6349 +a(g706 +g988 +tp6350 +a(g827 +V\u000a +p6351 +tp6352 +a(g827 +V +p6353 +tp6354 +a(g436 +VprevNonLegalCh +p6355 +tp6356 +a(g827 +g972 +tp6357 +a(g413 +g1505 +tp6358 +a(g827 +g972 +tp6359 +a(g93 +g6333 +tp6360 +a(g93 +g1000 +tp6361 +a(g93 +g6333 +tp6362 +a(g706 +g988 +tp6363 +a(g827 +V\u000a +p6364 +tp6365 +a(g827 +V +p6366 +tp6367 +a(g436 +VcurrentNonLegalCh +p6368 +tp6369 +a(g827 +g972 +tp6370 +a(g413 +g1505 +tp6371 +a(g827 +g972 +tp6372 +a(g93 +g6333 +tp6373 +a(g93 +g1000 +tp6374 +a(g93 +g6333 +tp6375 +a(g706 +g988 +tp6376 +a(g827 +V\u000a +p6377 +tp6378 +a(g827 +V +p6379 +tp6380 +a(g436 +VprevFinalLineSpaceTabCount +p6381 +tp6382 +a(g827 +g972 +tp6383 +a(g413 +g1505 +tp6384 +a(g827 +g972 +tp6385 +a(g37 +g6082 +tp6386 +a(g706 +g988 +tp6387 +a(g827 +V\u000a +p6388 +tp6389 +a(g827 +V +p6390 +tp6391 +a(g436 +VprevFinalLineTabCount +p6392 +tp6393 +a(g827 +g972 +tp6394 +a(g413 +g1505 +tp6395 +a(g827 +g972 +tp6396 +a(g37 +g6082 +tp6397 +a(g706 +g988 +tp6398 +a(g827 +V\u000a +p6399 +tp6400 +a(g827 +V +p6401 +tp6402 +a(g436 +VprobationHeader +p6403 +tp6404 +a(g827 +g972 +tp6405 +a(g413 +g1505 +tp6406 +a(g827 +g972 +tp6407 +a(g682 +VNULL +p6408 +tp6409 +a(g706 +g988 +tp6410 +a(g827 +V\u000a +p6411 +tp6412 +a(g827 +V +p6413 +tp6414 +a(g436 +VbackslashEndsPrevLine +p6415 +tp6416 +a(g827 +g972 +tp6417 +a(g413 +g1505 +tp6418 +a(g827 +g972 +tp6419 +a(g682 +Vfalse +p6420 +tp6421 +a(g706 +g988 +tp6422 +a(g827 +V\u000a +p6423 +tp6424 +a(g827 +V +p6425 +tp6426 +a(g436 +VisInDefine +p6427 +tp6428 +a(g827 +g972 +tp6429 +a(g413 +g1505 +tp6430 +a(g827 +g972 +tp6431 +a(g682 +Vfalse +p6432 +tp6433 +a(g706 +g988 +tp6434 +a(g827 +V\u000a +p6435 +tp6436 +a(g827 +V +p6437 +tp6438 +a(g436 +VisInDefineDefinition +p6439 +tp6440 +a(g827 +g972 +tp6441 +a(g413 +g1505 +tp6442 +a(g827 +g972 +tp6443 +a(g682 +Vfalse +p6444 +tp6445 +a(g706 +g988 +tp6446 +a(g827 +V\u000a +p6447 +tp6448 +a(g827 +V +p6449 +tp6450 +a(g436 +VdefineTabCount +p6451 +tp6452 +a(g827 +g972 +tp6453 +a(g413 +g1505 +tp6454 +a(g827 +g972 +tp6455 +a(g37 +g6082 +tp6456 +a(g706 +g988 +tp6457 +a(g827 +V\u000a +p6458 +tp6459 +a(g827 +V +p6460 +tp6461 +a(g706 +g1188 +tp6462 +a(g827 +V\u000a +p6463 +tp6464 +a(g827 +V\u000a +p6465 +tp6466 +a(g827 +V +p6467 +tp6468 +a(g8 +V/**\u000a * set indentation style to ANSI C/C++. \u000a */ +p6469 +tp6470 +a(g827 +V\u000a +p6471 +tp6472 +a(g827 +V +p6473 +tp6474 +a(g749 +Vvoid +p6475 +tp6476 +a(g827 +g972 +tp6477 +a(g436 +VASBeautifier +p6478 +tp6479 +a(g413 +g1014 +tp6480 +a(g413 +g1014 +tp6481 +a(g436 +VsetCStyle +p6482 +tp6483 +a(g706 +g1019 +tp6484 +a(g706 +g1054 +tp6485 +a(g827 +V\u000a +p6486 +tp6487 +a(g827 +V +p6488 +tp6489 +a(g706 +g1000 +tp6490 +a(g827 +V\u000a +p6491 +tp6492 +a(g827 +V +p6493 +tp6494 +a(g436 +VisCStyle +p6495 +tp6496 +a(g827 +g972 +tp6497 +a(g413 +g1505 +tp6498 +a(g827 +g972 +tp6499 +a(g682 +Vtrue +p6500 +tp6501 +a(g706 +g988 +tp6502 +a(g827 +V\u000a +p6503 +tp6504 +a(g827 +V +p6505 +tp6506 +a(g706 +g1188 +tp6507 +a(g827 +V\u000a +p6508 +tp6509 +a(g827 +V\u000a +p6510 +tp6511 +a(g827 +V +p6512 +tp6513 +a(g8 +V/**\u000a * set indentation style to Java / K&R. \u000a */ +p6514 +tp6515 +a(g827 +V\u000a +p6516 +tp6517 +a(g827 +V +p6518 +tp6519 +a(g749 +Vvoid +p6520 +tp6521 +a(g827 +g972 +tp6522 +a(g436 +VASBeautifier +p6523 +tp6524 +a(g413 +g1014 +tp6525 +a(g413 +g1014 +tp6526 +a(g436 +VsetJavaStyle +p6527 +tp6528 +a(g706 +g1019 +tp6529 +a(g706 +g1054 +tp6530 +a(g827 +V\u000a +p6531 +tp6532 +a(g827 +V +p6533 +tp6534 +a(g706 +g1000 +tp6535 +a(g827 +V\u000a +p6536 +tp6537 +a(g827 +V +p6538 +tp6539 +a(g436 +VisCStyle +p6540 +tp6541 +a(g827 +g972 +tp6542 +a(g413 +g1505 +tp6543 +a(g827 +g972 +tp6544 +a(g682 +Vfalse +p6545 +tp6546 +a(g706 +g988 +tp6547 +a(g827 +V\u000a +p6548 +tp6549 +a(g827 +V +p6550 +tp6551 +a(g706 +g1188 +tp6552 +a(g827 +V\u000a +p6553 +tp6554 +a(g827 +V\u000a +p6555 +tp6556 +a(g827 +V +p6557 +tp6558 +a(g8 +V/**\u000a * indent using one tab per indentation\u000a */ +p6559 +tp6560 +a(g827 +V\u000a +p6561 +tp6562 +a(g827 +V +p6563 +tp6564 +a(g749 +Vvoid +p6565 +tp6566 +a(g827 +g972 +tp6567 +a(g436 +VASBeautifier +p6568 +tp6569 +a(g413 +g1014 +tp6570 +a(g413 +g1014 +tp6571 +a(g436 +VsetTabIndentation +p6572 +tp6573 +a(g706 +g1019 +tp6574 +a(g749 +Vint +p6575 +tp6576 +a(g827 +g972 +tp6577 +a(g436 +Vlength +p6578 +tp6579 +a(g706 +g1030 +tp6580 +a(g827 +g972 +tp6581 +a(g749 +Vbool +p6582 +tp6583 +a(g827 +g972 +tp6584 +a(g436 +VforceTabs +p6585 +tp6586 +a(g706 +g1054 +tp6587 +a(g827 +V\u000a +p6588 +tp6589 +a(g827 +V +p6590 +tp6591 +a(g706 +g1000 +tp6592 +a(g827 +V\u000a +p6593 +tp6594 +a(g827 +V +p6595 +tp6596 +a(g436 +VindentString +p6597 +tp6598 +a(g827 +g972 +tp6599 +a(g413 +g1505 +tp6600 +a(g827 +g972 +tp6601 +a(g89 +g1079 +tp6602 +a(g213 +V\u005ct +p6603 +tp6604 +a(g89 +g1079 +tp6605 +a(g706 +g988 +tp6606 +a(g827 +V\u000a +p6607 +tp6608 +a(g827 +V +p6609 +tp6610 +a(g436 +VindentLength +p6611 +tp6612 +a(g827 +g972 +tp6613 +a(g413 +g1505 +tp6614 +a(g827 +g972 +tp6615 +a(g436 +Vlength +p6616 +tp6617 +a(g706 +g988 +tp6618 +a(g827 +V\u000a +p6619 +tp6620 +a(g827 +V +p6621 +tp6622 +a(g436 +VshouldForceTabIndentation +p6623 +tp6624 +a(g827 +g972 +tp6625 +a(g413 +g1505 +tp6626 +a(g827 +g972 +tp6627 +a(g436 +VforceTabs +p6628 +tp6629 +a(g706 +g988 +tp6630 +a(g827 +V\u000a +p6631 +tp6632 +a(g827 +V\u000a +p6633 +tp6634 +a(g827 +V +p6635 +tp6636 +a(g745 +Vif +p6637 +tp6638 +a(g827 +g972 +tp6639 +a(g706 +g1019 +tp6640 +a(g413 +g1099 +tp6641 +a(g436 +VisMinimalConditinalIndentSet +p6642 +tp6643 +a(g706 +g1054 +tp6644 +a(g827 +V\u000a +p6645 +tp6646 +a(g827 +V +p6647 +tp6648 +a(g436 +VminConditionalIndent +p6649 +tp6650 +a(g827 +g972 +tp6651 +a(g413 +g1505 +tp6652 +a(g827 +g972 +tp6653 +a(g436 +VindentLength +p6654 +tp6655 +a(g827 +g972 +tp6656 +a(g413 +g2456 +tp6657 +a(g827 +g972 +tp6658 +a(g37 +V2 +p6659 +tp6660 +a(g706 +g988 +tp6661 +a(g827 +V\u000a +p6662 +tp6663 +a(g827 +V +p6664 +tp6665 +a(g706 +g1188 +tp6666 +a(g827 +V\u000a +p6667 +tp6668 +a(g827 +V\u000a +p6669 +tp6670 +a(g827 +V +p6671 +tp6672 +a(g8 +V/**\u000a \u000a * indent using a number of spaces per indentation.\u000a *\u000a * @param length number of spaces per indent.\u000a */ +p6673 +tp6674 +a(g827 +V\u000a +p6675 +tp6676 +a(g827 +V +p6677 +tp6678 +a(g749 +Vvoid +p6679 +tp6680 +a(g827 +g972 +tp6681 +a(g436 +VASBeautifier +p6682 +tp6683 +a(g413 +g1014 +tp6684 +a(g413 +g1014 +tp6685 +a(g436 +VsetSpaceIndentation +p6686 +tp6687 +a(g706 +g1019 +tp6688 +a(g749 +Vint +p6689 +tp6690 +a(g827 +g972 +tp6691 +a(g436 +Vlength +p6692 +tp6693 +a(g706 +g1054 +tp6694 +a(g827 +V\u000a +p6695 +tp6696 +a(g827 +V +p6697 +tp6698 +a(g706 +g1000 +tp6699 +a(g827 +V\u000a +p6700 +tp6701 +a(g827 +V +p6702 +tp6703 +a(g436 +VindentString +p6704 +tp6705 +a(g413 +g1505 +tp6706 +a(g436 +Vstring +p6707 +tp6708 +a(g706 +g1019 +tp6709 +a(g436 +Vlength +p6710 +tp6711 +a(g706 +g1030 +tp6712 +a(g827 +g972 +tp6713 +a(g93 +g6333 +tp6714 +a(g93 +g972 +tp6715 +a(g93 +g6333 +tp6716 +a(g706 +g1054 +tp6717 +a(g706 +g988 +tp6718 +a(g827 +V\u000a +p6719 +tp6720 +a(g827 +V +p6721 +tp6722 +a(g436 +VindentLength +p6723 +tp6724 +a(g827 +g972 +tp6725 +a(g413 +g1505 +tp6726 +a(g827 +g972 +tp6727 +a(g436 +Vlength +p6728 +tp6729 +a(g706 +g988 +tp6730 +a(g827 +V\u000a +p6731 +tp6732 +a(g827 +V\u000a +p6733 +tp6734 +a(g827 +V +p6735 +tp6736 +a(g745 +Vif +p6737 +tp6738 +a(g827 +g972 +tp6739 +a(g706 +g1019 +tp6740 +a(g413 +g1099 +tp6741 +a(g436 +VisMinimalConditinalIndentSet +p6742 +tp6743 +a(g706 +g1054 +tp6744 +a(g827 +V\u000a +p6745 +tp6746 +a(g827 +V +p6747 +tp6748 +a(g436 +VminConditionalIndent +p6749 +tp6750 +a(g827 +g972 +tp6751 +a(g413 +g1505 +tp6752 +a(g827 +g972 +tp6753 +a(g436 +VindentLength +p6754 +tp6755 +a(g827 +g972 +tp6756 +a(g413 +g2456 +tp6757 +a(g827 +g972 +tp6758 +a(g37 +g6659 +tp6759 +a(g706 +g988 +tp6760 +a(g827 +V\u000a +p6761 +tp6762 +a(g827 +V +p6763 +tp6764 +a(g706 +g1188 +tp6765 +a(g827 +V\u000a +p6766 +tp6767 +a(g827 +V\u000a +p6768 +tp6769 +a(g827 +V +p6770 +tp6771 +a(g8 +V/**\u000a * set the maximum indentation between two lines in a multi-line statement.\u000a *\u000a * @param max maximum indentation length.\u000a */ +p6772 +tp6773 +a(g827 +V\u000a +p6774 +tp6775 +a(g827 +V +p6776 +tp6777 +a(g749 +Vvoid +p6778 +tp6779 +a(g827 +g972 +tp6780 +a(g436 +VASBeautifier +p6781 +tp6782 +a(g413 +g1014 +tp6783 +a(g413 +g1014 +tp6784 +a(g436 +VsetMaxInStatementIndentLength +p6785 +tp6786 +a(g706 +g1019 +tp6787 +a(g749 +Vint +p6788 +tp6789 +a(g827 +g972 +tp6790 +a(g436 +Vmax +p6791 +tp6792 +a(g706 +g1054 +tp6793 +a(g827 +V\u000a +p6794 +tp6795 +a(g827 +V +p6796 +tp6797 +a(g706 +g1000 +tp6798 +a(g827 +V\u000a +p6799 +tp6800 +a(g827 +V +p6801 +tp6802 +a(g436 +VmaxInStatementIndent +p6803 +tp6804 +a(g827 +g972 +tp6805 +a(g413 +g1505 +tp6806 +a(g827 +g972 +tp6807 +a(g436 +Vmax +p6808 +tp6809 +a(g706 +g988 +tp6810 +a(g827 +V\u000a +p6811 +tp6812 +a(g827 +V +p6813 +tp6814 +a(g706 +g1188 +tp6815 +a(g827 +V\u000a +p6816 +tp6817 +a(g827 +V\u000a +p6818 +tp6819 +a(g827 +V +p6820 +tp6821 +a(g8 +V/**\u000a * set the minimum indentation between two lines in a multi-line condition.\u000a *\u000a * @param min minimal indentation length.\u000a */ +p6822 +tp6823 +a(g827 +V\u000a +p6824 +tp6825 +a(g827 +V +p6826 +tp6827 +a(g749 +Vvoid +p6828 +tp6829 +a(g827 +g972 +tp6830 +a(g436 +VASBeautifier +p6831 +tp6832 +a(g413 +g1014 +tp6833 +a(g413 +g1014 +tp6834 +a(g436 +VsetMinConditionalIndentLength +p6835 +tp6836 +a(g706 +g1019 +tp6837 +a(g749 +Vint +p6838 +tp6839 +a(g827 +g972 +tp6840 +a(g436 +Vmin +p6841 +tp6842 +a(g706 +g1054 +tp6843 +a(g827 +V\u000a +p6844 +tp6845 +a(g827 +V +p6846 +tp6847 +a(g706 +g1000 +tp6848 +a(g827 +V\u000a +p6849 +tp6850 +a(g827 +V +p6851 +tp6852 +a(g436 +VminConditionalIndent +p6853 +tp6854 +a(g827 +g972 +tp6855 +a(g413 +g1505 +tp6856 +a(g827 +g972 +tp6857 +a(g436 +Vmin +p6858 +tp6859 +a(g706 +g988 +tp6860 +a(g827 +V\u000a +p6861 +tp6862 +a(g827 +V +p6863 +tp6864 +a(g436 +VisMinimalConditinalIndentSet +p6865 +tp6866 +a(g827 +g972 +tp6867 +a(g413 +g1505 +tp6868 +a(g827 +g972 +tp6869 +a(g682 +Vtrue +p6870 +tp6871 +a(g706 +g988 +tp6872 +a(g827 +V\u000a +p6873 +tp6874 +a(g827 +V +p6875 +tp6876 +a(g706 +g1188 +tp6877 +a(g827 +V\u000a +p6878 +tp6879 +a(g827 +V\u000a +p6880 +tp6881 +a(g827 +V +p6882 +tp6883 +a(g8 +V/**\u000a * set the state of the bracket indentation option. If true, brackets will \u000a * be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p6884 +tp6885 +a(g827 +V\u000a +p6886 +tp6887 +a(g827 +V +p6888 +tp6889 +a(g749 +Vvoid +p6890 +tp6891 +a(g827 +g972 +tp6892 +a(g436 +VASBeautifier +p6893 +tp6894 +a(g413 +g1014 +tp6895 +a(g413 +g1014 +tp6896 +a(g436 +VsetBracketIndent +p6897 +tp6898 +a(g706 +g1019 +tp6899 +a(g749 +Vbool +p6900 +tp6901 +a(g827 +g972 +tp6902 +a(g436 +Vstate +p6903 +tp6904 +a(g706 +g1054 +tp6905 +a(g827 +V\u000a +p6906 +tp6907 +a(g827 +V +p6908 +tp6909 +a(g706 +g1000 +tp6910 +a(g827 +V\u000a +p6911 +tp6912 +a(g827 +V +p6913 +tp6914 +a(g436 +VbracketIndent +p6915 +tp6916 +a(g827 +g972 +tp6917 +a(g413 +g1505 +tp6918 +a(g827 +g972 +tp6919 +a(g436 +Vstate +p6920 +tp6921 +a(g706 +g988 +tp6922 +a(g827 +V\u000a +p6923 +tp6924 +a(g827 +V +p6925 +tp6926 +a(g706 +g1188 +tp6927 +a(g827 +V\u000a +p6928 +tp6929 +a(g827 +V\u000a +p6930 +tp6931 +a(g827 +V +p6932 +tp6933 +a(g8 +V/**\u000a * set the state of the block indentation option. If true, entire blocks \u000a * will be indented one additional indent, similar to the GNU indent style.\u000a *\u000a * @param state state of option.\u000a */ +p6934 +tp6935 +a(g827 +V\u000a +p6936 +tp6937 +a(g827 +V +p6938 +tp6939 +a(g749 +Vvoid +p6940 +tp6941 +a(g827 +g972 +tp6942 +a(g436 +VASBeautifier +p6943 +tp6944 +a(g413 +g1014 +tp6945 +a(g413 +g1014 +tp6946 +a(g436 +VsetBlockIndent +p6947 +tp6948 +a(g706 +g1019 +tp6949 +a(g749 +Vbool +p6950 +tp6951 +a(g827 +g972 +tp6952 +a(g436 +Vstate +p6953 +tp6954 +a(g706 +g1054 +tp6955 +a(g827 +V\u000a +p6956 +tp6957 +a(g827 +V +p6958 +tp6959 +a(g706 +g1000 +tp6960 +a(g827 +V\u000a +p6961 +tp6962 +a(g827 +V +p6963 +tp6964 +a(g745 +Vif +p6965 +tp6966 +a(g827 +g972 +tp6967 +a(g706 +g1019 +tp6968 +a(g436 +Vstate +p6969 +tp6970 +a(g706 +g1054 +tp6971 +a(g827 +V\u000a +p6972 +tp6973 +a(g827 +V +p6974 +tp6975 +a(g436 +VsetBracketIndent +p6976 +tp6977 +a(g706 +g1019 +tp6978 +a(g682 +Vfalse +p6979 +tp6980 +a(g706 +g1054 +tp6981 +a(g706 +g988 +tp6982 +a(g827 +g972 +tp6983 +a(g906 +V// so that we don't have both bracket and block indent\u000a +p6984 +tp6985 +a(g827 +V +p6986 +tp6987 +a(g436 +VblockIndent +p6988 +tp6989 +a(g827 +g972 +tp6990 +a(g413 +g1505 +tp6991 +a(g827 +g972 +tp6992 +a(g436 +Vstate +p6993 +tp6994 +a(g706 +g988 +tp6995 +a(g827 +V\u000a +p6996 +tp6997 +a(g827 +V +p6998 +tp6999 +a(g706 +g1188 +tp7000 +a(g827 +V\u000a +p7001 +tp7002 +a(g827 +V\u000a +p7003 +tp7004 +a(g827 +V +p7005 +tp7006 +a(g8 +V/**\u000a * set the state of the class indentation option. If true, C++ class\u000a * definitions will be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p7007 +tp7008 +a(g827 +V\u000a +p7009 +tp7010 +a(g827 +V +p7011 +tp7012 +a(g749 +Vvoid +p7013 +tp7014 +a(g827 +g972 +tp7015 +a(g436 +VASBeautifier +p7016 +tp7017 +a(g413 +g1014 +tp7018 +a(g413 +g1014 +tp7019 +a(g436 +VsetClassIndent +p7020 +tp7021 +a(g706 +g1019 +tp7022 +a(g749 +Vbool +p7023 +tp7024 +a(g827 +g972 +tp7025 +a(g436 +Vstate +p7026 +tp7027 +a(g706 +g1054 +tp7028 +a(g827 +V\u000a +p7029 +tp7030 +a(g827 +V +p7031 +tp7032 +a(g706 +g1000 +tp7033 +a(g827 +V\u000a +p7034 +tp7035 +a(g827 +V +p7036 +tp7037 +a(g436 +VclassIndent +p7038 +tp7039 +a(g827 +g972 +tp7040 +a(g413 +g1505 +tp7041 +a(g827 +g972 +tp7042 +a(g436 +Vstate +p7043 +tp7044 +a(g706 +g988 +tp7045 +a(g827 +V\u000a +p7046 +tp7047 +a(g827 +V +p7048 +tp7049 +a(g706 +g1188 +tp7050 +a(g827 +V\u000a +p7051 +tp7052 +a(g827 +V\u000a +p7053 +tp7054 +a(g827 +V +p7055 +tp7056 +a(g8 +V/**\u000a * set the state of the switch indentation option. If true, blocks of 'switch' \u000a * statements will be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p7057 +tp7058 +a(g827 +V\u000a +p7059 +tp7060 +a(g827 +V +p7061 +tp7062 +a(g749 +Vvoid +p7063 +tp7064 +a(g827 +g972 +tp7065 +a(g436 +VASBeautifier +p7066 +tp7067 +a(g413 +g1014 +tp7068 +a(g413 +g1014 +tp7069 +a(g436 +VsetSwitchIndent +p7070 +tp7071 +a(g706 +g1019 +tp7072 +a(g749 +Vbool +p7073 +tp7074 +a(g827 +g972 +tp7075 +a(g436 +Vstate +p7076 +tp7077 +a(g706 +g1054 +tp7078 +a(g827 +V\u000a +p7079 +tp7080 +a(g827 +V +p7081 +tp7082 +a(g706 +g1000 +tp7083 +a(g827 +V\u000a +p7084 +tp7085 +a(g827 +V +p7086 +tp7087 +a(g436 +VswitchIndent +p7088 +tp7089 +a(g827 +g972 +tp7090 +a(g413 +g1505 +tp7091 +a(g827 +g972 +tp7092 +a(g436 +Vstate +p7093 +tp7094 +a(g706 +g988 +tp7095 +a(g827 +V\u000a +p7096 +tp7097 +a(g827 +V +p7098 +tp7099 +a(g706 +g1188 +tp7100 +a(g827 +V\u000a +p7101 +tp7102 +a(g827 +V\u000a +p7103 +tp7104 +a(g827 +V +p7105 +tp7106 +a(g8 +V/**\u000a * set the state of the case indentation option. If true, lines of 'case' \u000a * statements will be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p7107 +tp7108 +a(g827 +V\u000a +p7109 +tp7110 +a(g827 +V +p7111 +tp7112 +a(g749 +Vvoid +p7113 +tp7114 +a(g827 +g972 +tp7115 +a(g436 +VASBeautifier +p7116 +tp7117 +a(g413 +g1014 +tp7118 +a(g413 +g1014 +tp7119 +a(g436 +VsetCaseIndent +p7120 +tp7121 +a(g706 +g1019 +tp7122 +a(g749 +Vbool +p7123 +tp7124 +a(g827 +g972 +tp7125 +a(g436 +Vstate +p7126 +tp7127 +a(g706 +g1054 +tp7128 +a(g827 +V\u000a +p7129 +tp7130 +a(g827 +V +p7131 +tp7132 +a(g706 +g1000 +tp7133 +a(g827 +V\u000a +p7134 +tp7135 +a(g827 +V +p7136 +tp7137 +a(g436 +VcaseIndent +p7138 +tp7139 +a(g827 +g972 +tp7140 +a(g413 +g1505 +tp7141 +a(g827 +g972 +tp7142 +a(g436 +Vstate +p7143 +tp7144 +a(g706 +g988 +tp7145 +a(g827 +V\u000a +p7146 +tp7147 +a(g827 +V +p7148 +tp7149 +a(g706 +g1188 +tp7150 +a(g827 +V\u000a +p7151 +tp7152 +a(g827 +V +p7153 +tp7154 +a(g8 +V/**\u000a * set the state of the namespace indentation option. \u000a * If true, blocks of 'namespace' statements will be indented one \u000a * additional indent. Otherwise, NO indentation will be added.\u000a *\u000a * @param state state of option.\u000a */ +p7155 +tp7156 +a(g827 +V\u000a +p7157 +tp7158 +a(g827 +V +p7159 +tp7160 +a(g749 +Vvoid +p7161 +tp7162 +a(g827 +g972 +tp7163 +a(g436 +VASBeautifier +p7164 +tp7165 +a(g413 +g1014 +tp7166 +a(g413 +g1014 +tp7167 +a(g436 +VsetNamespaceIndent +p7168 +tp7169 +a(g706 +g1019 +tp7170 +a(g749 +Vbool +p7171 +tp7172 +a(g827 +g972 +tp7173 +a(g436 +Vstate +p7174 +tp7175 +a(g706 +g1054 +tp7176 +a(g827 +V\u000a +p7177 +tp7178 +a(g827 +V +p7179 +tp7180 +a(g706 +g1000 +tp7181 +a(g827 +V\u000a +p7182 +tp7183 +a(g827 +V +p7184 +tp7185 +a(g436 +VnamespaceIndent +p7186 +tp7187 +a(g827 +g972 +tp7188 +a(g413 +g1505 +tp7189 +a(g827 +g972 +tp7190 +a(g436 +Vstate +p7191 +tp7192 +a(g706 +g988 +tp7193 +a(g827 +V\u000a +p7194 +tp7195 +a(g827 +V +p7196 +tp7197 +a(g706 +g1188 +tp7198 +a(g827 +V\u000a +p7199 +tp7200 +a(g827 +V\u000a +p7201 +tp7202 +a(g827 +V +p7203 +tp7204 +a(g8 +V/**\u000a * set the state of the label indentation option. \u000a * If true, labels will be indented one indent LESS than the\u000a * current indentation level.\u000a * If false, labels will be flushed to the left with NO\u000a * indent at all.\u000a *\u000a * @param state state of option.\u000a */ +p7205 +tp7206 +a(g827 +V\u000a +p7207 +tp7208 +a(g827 +V +p7209 +tp7210 +a(g749 +Vvoid +p7211 +tp7212 +a(g827 +g972 +tp7213 +a(g436 +VASBeautifier +p7214 +tp7215 +a(g413 +g1014 +tp7216 +a(g413 +g1014 +tp7217 +a(g436 +VsetLabelIndent +p7218 +tp7219 +a(g706 +g1019 +tp7220 +a(g749 +Vbool +p7221 +tp7222 +a(g827 +g972 +tp7223 +a(g436 +Vstate +p7224 +tp7225 +a(g706 +g1054 +tp7226 +a(g827 +V\u000a +p7227 +tp7228 +a(g827 +V +p7229 +tp7230 +a(g706 +g1000 +tp7231 +a(g827 +V\u000a +p7232 +tp7233 +a(g827 +V +p7234 +tp7235 +a(g436 +VlabelIndent +p7236 +tp7237 +a(g827 +g972 +tp7238 +a(g413 +g1505 +tp7239 +a(g827 +g972 +tp7240 +a(g436 +Vstate +p7241 +tp7242 +a(g706 +g988 +tp7243 +a(g827 +V\u000a +p7244 +tp7245 +a(g827 +V +p7246 +tp7247 +a(g706 +g1188 +tp7248 +a(g827 +V\u000a +p7249 +tp7250 +a(g827 +V\u000a +p7251 +tp7252 +a(g827 +V +p7253 +tp7254 +a(g8 +V/**\u000a * set the state of the preprocessor indentation option. \u000a * If true, multiline #define statements will be indented.\u000a *\u000a * @param state state of option.\u000a */ +p7255 +tp7256 +a(g827 +V\u000a +p7257 +tp7258 +a(g827 +V +p7259 +tp7260 +a(g749 +Vvoid +p7261 +tp7262 +a(g827 +g972 +tp7263 +a(g436 +VASBeautifier +p7264 +tp7265 +a(g413 +g1014 +tp7266 +a(g413 +g1014 +tp7267 +a(g436 +VsetPreprocessorIndent +p7268 +tp7269 +a(g706 +g1019 +tp7270 +a(g749 +Vbool +p7271 +tp7272 +a(g827 +g972 +tp7273 +a(g436 +Vstate +p7274 +tp7275 +a(g706 +g1054 +tp7276 +a(g827 +V\u000a +p7277 +tp7278 +a(g827 +V +p7279 +tp7280 +a(g706 +g1000 +tp7281 +a(g827 +V\u000a +p7282 +tp7283 +a(g827 +V +p7284 +tp7285 +a(g436 +VpreprocessorIndent +p7286 +tp7287 +a(g827 +g972 +tp7288 +a(g413 +g1505 +tp7289 +a(g827 +g972 +tp7290 +a(g436 +Vstate +p7291 +tp7292 +a(g706 +g988 +tp7293 +a(g827 +V\u000a +p7294 +tp7295 +a(g827 +V +p7296 +tp7297 +a(g706 +g1188 +tp7298 +a(g827 +V\u000a +p7299 +tp7300 +a(g827 +V\u000a +p7301 +tp7302 +a(g827 +V +p7303 +tp7304 +a(g8 +V/**\u000a * set the state of the empty line fill option. \u000a * If true, empty lines will be filled with the whitespace.\u000a * of their previous lines.\u000a * If false, these lines will remain empty.\u000a *\u000a * @param state state of option.\u000a */ +p7305 +tp7306 +a(g827 +V\u000a +p7307 +tp7308 +a(g827 +V +p7309 +tp7310 +a(g749 +Vvoid +p7311 +tp7312 +a(g827 +g972 +tp7313 +a(g436 +VASBeautifier +p7314 +tp7315 +a(g413 +g1014 +tp7316 +a(g413 +g1014 +tp7317 +a(g436 +VsetEmptyLineFill +p7318 +tp7319 +a(g706 +g1019 +tp7320 +a(g749 +Vbool +p7321 +tp7322 +a(g827 +g972 +tp7323 +a(g436 +Vstate +p7324 +tp7325 +a(g706 +g1054 +tp7326 +a(g827 +V\u000a +p7327 +tp7328 +a(g827 +V +p7329 +tp7330 +a(g706 +g1000 +tp7331 +a(g827 +V\u000a +p7332 +tp7333 +a(g827 +V +p7334 +tp7335 +a(g436 +VemptyLineFill +p7336 +tp7337 +a(g827 +g972 +tp7338 +a(g413 +g1505 +tp7339 +a(g827 +g972 +tp7340 +a(g436 +Vstate +p7341 +tp7342 +a(g706 +g988 +tp7343 +a(g827 +V\u000a +p7344 +tp7345 +a(g827 +V +p7346 +tp7347 +a(g706 +g1188 +tp7348 +a(g827 +V\u000a +p7349 +tp7350 +a(g827 +V\u000a +p7351 +tp7352 +a(g827 +V +p7353 +tp7354 +a(g8 +V/**\u000a * check if there are any indented lines ready to be read by nextLine()\u000a *\u000a * @return are there any indented lines ready?\u000a */ +p7355 +tp7356 +a(g827 +V\u000a +p7357 +tp7358 +a(g827 +V +p7359 +tp7360 +a(g749 +Vbool +p7361 +tp7362 +a(g827 +g972 +tp7363 +a(g436 +VASBeautifier +p7364 +tp7365 +a(g413 +g1014 +tp7366 +a(g413 +g1014 +tp7367 +a(g436 +VhasMoreLines +p7368 +tp7369 +a(g706 +g1019 +tp7370 +a(g706 +g1054 +tp7371 +a(g827 +g972 +tp7372 +a(g745 +Vconst +p7373 +tp7374 +a(g827 +V\u000a +p7375 +tp7376 +a(g827 +V +p7377 +tp7378 +a(g706 +g1000 +tp7379 +a(g827 +V\u000a +p7380 +tp7381 +a(g827 +V +p7382 +tp7383 +a(g745 +Vreturn +p7384 +tp7385 +a(g827 +g972 +tp7386 +a(g436 +VsourceIterator +p7387 +tp7388 +a(g413 +g4390 +tp7389 +a(g413 +g2458 +tp7390 +a(g436 +VhasMoreLines +p7391 +tp7392 +a(g706 +g1019 +tp7393 +a(g706 +g1054 +tp7394 +a(g706 +g988 +tp7395 +a(g827 +V\u000a +p7396 +tp7397 +a(g827 +V +p7398 +tp7399 +a(g706 +g1188 +tp7400 +a(g827 +V\u000a +p7401 +tp7402 +a(g827 +V\u000a +p7403 +tp7404 +a(g827 +V +p7405 +tp7406 +a(g8 +V/**\u000a * get the next indented line.\u000a *\u000a * @return indented line.\u000a */ +p7407 +tp7408 +a(g827 +V\u000a +p7409 +tp7410 +a(g827 +V +p7411 +tp7412 +a(g436 +Vstring +p7413 +tp7414 +a(g827 +g972 +tp7415 +a(g436 +VASBeautifier +p7416 +tp7417 +a(g413 +g1014 +tp7418 +a(g413 +g1014 +tp7419 +a(g436 +VnextLine +p7420 +tp7421 +a(g706 +g1019 +tp7422 +a(g706 +g1054 +tp7423 +a(g827 +V\u000a +p7424 +tp7425 +a(g827 +V +p7426 +tp7427 +a(g706 +g1000 +tp7428 +a(g827 +V\u000a +p7429 +tp7430 +a(g827 +V +p7431 +tp7432 +a(g745 +Vreturn +p7433 +tp7434 +a(g827 +g972 +tp7435 +a(g436 +Vbeautify +p7436 +tp7437 +a(g706 +g1019 +tp7438 +a(g436 +VsourceIterator +p7439 +tp7440 +a(g413 +g4390 +tp7441 +a(g413 +g2458 +tp7442 +a(g436 +VnextLine +p7443 +tp7444 +a(g706 +g1019 +tp7445 +a(g706 +g1054 +tp7446 +a(g706 +g1054 +tp7447 +a(g706 +g988 +tp7448 +a(g827 +V\u000a +p7449 +tp7450 +a(g827 +V +p7451 +tp7452 +a(g706 +g1188 +tp7453 +a(g827 +V\u000a +p7454 +tp7455 +a(g827 +V\u000a +p7456 +tp7457 +a(g827 +V +p7458 +tp7459 +a(g8 +V/**\u000a * beautify a line of source code.\u000a * every line of source code in a source code file should be sent\u000a * one after the other to the beautify method.\u000a *\u000a * @return the indented line.\u000a * @param originalLine the original unindented line.\u000a */ +p7460 +tp7461 +a(g827 +V\u000a +p7462 +tp7463 +a(g827 +V +p7464 +tp7465 +a(g436 +Vstring +p7466 +tp7467 +a(g827 +g972 +tp7468 +a(g436 +VASBeautifier +p7469 +tp7470 +a(g413 +g1014 +tp7471 +a(g413 +g1014 +tp7472 +a(g436 +Vbeautify +p7473 +tp7474 +a(g706 +g1019 +tp7475 +a(g745 +Vconst +p7476 +tp7477 +a(g827 +g972 +tp7478 +a(g436 +Vstring +p7479 +tp7480 +a(g827 +g972 +tp7481 +a(g413 +g1026 +tp7482 +a(g436 +VoriginalLine +p7483 +tp7484 +a(g706 +g1054 +tp7485 +a(g827 +V\u000a +p7486 +tp7487 +a(g827 +V +p7488 +tp7489 +a(g706 +g1000 +tp7490 +a(g827 +V\u000a +p7491 +tp7492 +a(g827 +V +p7493 +tp7494 +a(g436 +Vstring +p7495 +tp7496 +a(g827 +g972 +tp7497 +a(g436 +Vline +p7498 +tp7499 +a(g706 +g988 +tp7500 +a(g827 +V\u000a +p7501 +tp7502 +a(g827 +V +p7503 +tp7504 +a(g749 +Vbool +p7505 +tp7506 +a(g827 +g972 +tp7507 +a(g436 +VisInLineComment +p7508 +tp7509 +a(g827 +g972 +tp7510 +a(g413 +g1505 +tp7511 +a(g827 +g972 +tp7512 +a(g682 +Vfalse +p7513 +tp7514 +a(g706 +g988 +tp7515 +a(g827 +V\u000a +p7516 +tp7517 +a(g827 +V +p7518 +tp7519 +a(g749 +Vbool +p7520 +tp7521 +a(g827 +g972 +tp7522 +a(g436 +VlineStartsInComment +p7523 +tp7524 +a(g827 +g972 +tp7525 +a(g413 +g1505 +tp7526 +a(g827 +g972 +tp7527 +a(g682 +Vfalse +p7528 +tp7529 +a(g706 +g988 +tp7530 +a(g827 +V\u000a +p7531 +tp7532 +a(g827 +V +p7533 +tp7534 +a(g749 +Vbool +p7535 +tp7536 +a(g827 +g972 +tp7537 +a(g436 +VisInClass +p7538 +tp7539 +a(g827 +g972 +tp7540 +a(g413 +g1505 +tp7541 +a(g827 +g972 +tp7542 +a(g682 +Vfalse +p7543 +tp7544 +a(g706 +g988 +tp7545 +a(g827 +V\u000a +p7546 +tp7547 +a(g827 +V +p7548 +tp7549 +a(g749 +Vbool +p7550 +tp7551 +a(g827 +g972 +tp7552 +a(g436 +VisInSwitch +p7553 +tp7554 +a(g827 +g972 +tp7555 +a(g413 +g1505 +tp7556 +a(g827 +g972 +tp7557 +a(g682 +Vfalse +p7558 +tp7559 +a(g706 +g988 +tp7560 +a(g827 +V\u000a +p7561 +tp7562 +a(g827 +V +p7563 +tp7564 +a(g749 +Vbool +p7565 +tp7566 +a(g827 +g972 +tp7567 +a(g436 +VisImmediatelyAfterConst +p7568 +tp7569 +a(g827 +g972 +tp7570 +a(g413 +g1505 +tp7571 +a(g827 +g972 +tp7572 +a(g682 +Vfalse +p7573 +tp7574 +a(g706 +g988 +tp7575 +a(g827 +V\u000a +p7576 +tp7577 +a(g827 +V +p7578 +tp7579 +a(g749 +Vbool +p7580 +tp7581 +a(g827 +g972 +tp7582 +a(g436 +VisSpecialChar +p7583 +tp7584 +a(g827 +g972 +tp7585 +a(g413 +g1505 +tp7586 +a(g827 +g972 +tp7587 +a(g682 +Vfalse +p7588 +tp7589 +a(g706 +g988 +tp7590 +a(g827 +V\u000a +p7591 +tp7592 +a(g827 +V\u000a +p7593 +tp7594 +a(g827 +V +p7595 +tp7596 +a(g749 +Vchar +p7597 +tp7598 +a(g827 +g972 +tp7599 +a(g436 +Vch +p7600 +tp7601 +a(g827 +g972 +tp7602 +a(g413 +g1505 +tp7603 +a(g827 +g972 +tp7604 +a(g93 +g6333 +tp7605 +a(g93 +g972 +tp7606 +a(g93 +g6333 +tp7607 +a(g706 +g988 +tp7608 +a(g827 +V\u000a +p7609 +tp7610 +a(g827 +V +p7611 +tp7612 +a(g749 +Vchar +p7613 +tp7614 +a(g827 +g972 +tp7615 +a(g436 +VprevCh +p7616 +tp7617 +a(g706 +g988 +tp7618 +a(g827 +V\u000a +p7619 +tp7620 +a(g827 +V +p7621 +tp7622 +a(g436 +Vstring +p7623 +tp7624 +a(g827 +g972 +tp7625 +a(g436 +VoutBuffer +p7626 +tp7627 +a(g706 +g988 +tp7628 +a(g827 +g972 +tp7629 +a(g906 +V// the newly idented line is bufferd here\u000a +p7630 +tp7631 +a(g827 +V +p7632 +tp7633 +a(g749 +Vint +p7634 +tp7635 +a(g827 +g972 +tp7636 +a(g436 +VtabCount +p7637 +tp7638 +a(g827 +g972 +tp7639 +a(g413 +g1505 +tp7640 +a(g827 +g972 +tp7641 +a(g37 +g6082 +tp7642 +a(g706 +g988 +tp7643 +a(g827 +V\u000a +p7644 +tp7645 +a(g827 +V +p7646 +tp7647 +a(g745 +Vconst +p7648 +tp7649 +a(g827 +g972 +tp7650 +a(g436 +Vstring +p7651 +tp7652 +a(g827 +g972 +tp7653 +a(g413 +g2456 +tp7654 +a(g436 +VlastLineHeader +p7655 +tp7656 +a(g827 +g972 +tp7657 +a(g413 +g1505 +tp7658 +a(g827 +g972 +tp7659 +a(g682 +VNULL +p7660 +tp7661 +a(g706 +g988 +tp7662 +a(g827 +V\u000a +p7663 +tp7664 +a(g827 +V +p7665 +tp7666 +a(g749 +Vbool +p7667 +tp7668 +a(g827 +g972 +tp7669 +a(g436 +VclosingBracketReached +p7670 +tp7671 +a(g827 +g972 +tp7672 +a(g413 +g1505 +tp7673 +a(g827 +g972 +tp7674 +a(g682 +Vfalse +p7675 +tp7676 +a(g706 +g988 +tp7677 +a(g827 +V\u000a +p7678 +tp7679 +a(g827 +V +p7680 +tp7681 +a(g749 +Vint +p7682 +tp7683 +a(g827 +g972 +tp7684 +a(g436 +VspaceTabCount +p7685 +tp7686 +a(g827 +g972 +tp7687 +a(g413 +g1505 +tp7688 +a(g827 +g972 +tp7689 +a(g37 +g6082 +tp7690 +a(g706 +g988 +tp7691 +a(g827 +V\u000a +p7692 +tp7693 +a(g827 +V +p7694 +tp7695 +a(g749 +Vchar +p7696 +tp7697 +a(g827 +g972 +tp7698 +a(g436 +VtempCh +p7699 +tp7700 +a(g706 +g988 +tp7701 +a(g827 +V\u000a +p7702 +tp7703 +a(g827 +V +p7704 +tp7705 +a(g749 +Vunsigned +p7706 +tp7707 +a(g827 +g972 +tp7708 +a(g749 +Vint +p7709 +tp7710 +a(g827 +g972 +tp7711 +a(g436 +VheaderStackSize +p7712 +tp7713 +a(g827 +g972 +tp7714 +a(g413 +g1505 +tp7715 +a(g827 +g972 +tp7716 +a(g436 +VheaderStack +p7717 +tp7718 +a(g413 +g4390 +tp7719 +a(g413 +g2458 +tp7720 +a(g436 +Vsize +p7721 +tp7722 +a(g706 +g1019 +tp7723 +a(g706 +g1054 +tp7724 +a(g706 +g988 +tp7725 +a(g827 +V\u000a +p7726 +tp7727 +a(g827 +V +p7728 +tp7729 +a(g906 +V//bool isLineInStatement = isInStatement;\u000a +p7730 +tp7731 +a(g827 +V +p7732 +tp7733 +a(g749 +Vbool +p7734 +tp7735 +a(g827 +g972 +tp7736 +a(g436 +VshouldIndentBrackettedLine +p7737 +tp7738 +a(g827 +g972 +tp7739 +a(g413 +g1505 +tp7740 +a(g827 +g972 +tp7741 +a(g682 +Vtrue +p7742 +tp7743 +a(g706 +g988 +tp7744 +a(g827 +V\u000a +p7745 +tp7746 +a(g827 +V +p7747 +tp7748 +a(g749 +Vint +p7749 +tp7750 +a(g827 +g972 +tp7751 +a(g436 +VlineOpeningBlocksNum +p7752 +tp7753 +a(g827 +g972 +tp7754 +a(g413 +g1505 +tp7755 +a(g827 +g972 +tp7756 +a(g37 +g6082 +tp7757 +a(g706 +g988 +tp7758 +a(g827 +V\u000a +p7759 +tp7760 +a(g827 +V +p7761 +tp7762 +a(g749 +Vint +p7763 +tp7764 +a(g827 +g972 +tp7765 +a(g436 +VlineClosingBlocksNum +p7766 +tp7767 +a(g827 +g972 +tp7768 +a(g413 +g1505 +tp7769 +a(g827 +g972 +tp7770 +a(g37 +g6082 +tp7771 +a(g706 +g988 +tp7772 +a(g827 +V\u000a +p7773 +tp7774 +a(g827 +V +p7775 +tp7776 +a(g749 +Vbool +p7777 +tp7778 +a(g827 +g972 +tp7779 +a(g436 +VpreviousLineProbation +p7780 +tp7781 +a(g827 +g972 +tp7782 +a(g413 +g1505 +tp7783 +a(g827 +g972 +tp7784 +a(g706 +g1019 +tp7785 +a(g436 +VprobationHeader +p7786 +tp7787 +a(g827 +g972 +tp7788 +a(g413 +g1099 +tp7789 +a(g413 +g1505 +tp7790 +a(g827 +g972 +tp7791 +a(g682 +VNULL +p7792 +tp7793 +a(g706 +g1054 +tp7794 +a(g706 +g988 +tp7795 +a(g827 +V\u000a +p7796 +tp7797 +a(g827 +V +p7798 +tp7799 +a(g749 +Vunsigned +p7800 +tp7801 +a(g827 +g972 +tp7802 +a(g749 +Vint +p7803 +tp7804 +a(g827 +g972 +tp7805 +a(g436 +g1503 +tp7806 +a(g706 +g988 +tp7807 +a(g827 +V\u000a +p7808 +tp7809 +a(g827 +V\u000a +p7810 +tp7811 +a(g827 +V +p7812 +tp7813 +a(g436 +VcurrentHeader +p7814 +tp7815 +a(g827 +g972 +tp7816 +a(g413 +g1505 +tp7817 +a(g827 +g972 +tp7818 +a(g682 +VNULL +p7819 +tp7820 +a(g706 +g988 +tp7821 +a(g827 +V\u000a +p7822 +tp7823 +a(g827 +V\u000a +p7824 +tp7825 +a(g827 +V +p7826 +tp7827 +a(g436 +VlineStartsInComment +p7828 +tp7829 +a(g827 +g972 +tp7830 +a(g413 +g1505 +tp7831 +a(g827 +g972 +tp7832 +a(g436 +VisInComment +p7833 +tp7834 +a(g706 +g988 +tp7835 +a(g827 +V\u000a +p7836 +tp7837 +a(g827 +V\u000a +p7838 +tp7839 +a(g827 +V +p7840 +tp7841 +a(g906 +V// handle and remove white spaces around the line:\u000a +p7842 +tp7843 +a(g827 +V +p7844 +tp7845 +a(g906 +V// If not in comment, first find out size of white space before line,\u000a +p7846 +tp7847 +a(g827 +V +p7848 +tp7849 +a(g906 +V// so that possible comments starting in the line continue in\u000a +p7850 +tp7851 +a(g827 +V +p7852 +tp7853 +a(g906 +V// relation to the preliminary white-space.\u000a +p7854 +tp7855 +a(g827 +V +p7856 +tp7857 +a(g745 +Vif +p7858 +tp7859 +a(g827 +g972 +tp7860 +a(g706 +g1019 +tp7861 +a(g413 +g1099 +tp7862 +a(g436 +VisInComment +p7863 +tp7864 +a(g706 +g1054 +tp7865 +a(g827 +V\u000a +p7866 +tp7867 +a(g827 +V +p7868 +tp7869 +a(g706 +g1000 +tp7870 +a(g827 +V\u000a +p7871 +tp7872 +a(g827 +V +p7873 +tp7874 +a(g436 +VleadingWhiteSpaces +p7875 +tp7876 +a(g827 +g972 +tp7877 +a(g413 +g1505 +tp7878 +a(g827 +g972 +tp7879 +a(g37 +g6082 +tp7880 +a(g706 +g988 +tp7881 +a(g827 +V\u000a +p7882 +tp7883 +a(g827 +V +p7884 +tp7885 +a(g745 +Vwhile +p7886 +tp7887 +a(g827 +g972 +tp7888 +a(g706 +g1019 +tp7889 +a(g436 +VleadingWhiteSpaces +p7890 +tp7891 +a(g413 +g1075 +tp7892 +a(g436 +VoriginalLine +p7893 +tp7894 +a(g706 +g1103 +tp7895 +a(g436 +Vlength +p7896 +tp7897 +a(g706 +g1019 +tp7898 +a(g706 +g1054 +tp7899 +a(g827 +g972 +tp7900 +a(g413 +g1026 +tp7901 +a(g413 +g1026 +tp7902 +a(g827 +g972 +tp7903 +a(g436 +VoriginalLine +p7904 +tp7905 +a(g706 +g1083 +tp7906 +a(g436 +VleadingWhiteSpaces +p7907 +tp7908 +a(g706 +V] +p7909 +tp7910 +a(g827 +g972 +tp7911 +a(g413 +g1075 +tp7912 +a(g413 +g1505 +tp7913 +a(g827 +g972 +tp7914 +a(g298 +V0x20 +p7915 +tp7916 +a(g706 +g1054 +tp7917 +a(g827 +V\u000a +p7918 +tp7919 +a(g827 +V +p7920 +tp7921 +a(g436 +VleadingWhiteSpaces +p7922 +tp7923 +a(g413 +g1517 +tp7924 +a(g413 +g1517 +tp7925 +a(g706 +g988 +tp7926 +a(g827 +V\u000a +p7927 +tp7928 +a(g827 +V\u000a +p7929 +tp7930 +a(g827 +V +p7931 +tp7932 +a(g436 +Vline +p7933 +tp7934 +a(g827 +g972 +tp7935 +a(g413 +g1505 +tp7936 +a(g827 +g972 +tp7937 +a(g436 +Vtrim +p7938 +tp7939 +a(g706 +g1019 +tp7940 +a(g436 +VoriginalLine +p7941 +tp7942 +a(g706 +g1054 +tp7943 +a(g706 +g988 +tp7944 +a(g827 +V\u000a +p7945 +tp7946 +a(g827 +V +p7947 +tp7948 +a(g706 +g1188 +tp7949 +a(g827 +V\u000a +p7950 +tp7951 +a(g827 +V +p7952 +tp7953 +a(g745 +Velse +p7954 +tp7955 +a(g827 +V\u000a +p7956 +tp7957 +a(g827 +V +p7958 +tp7959 +a(g706 +g1000 +tp7960 +a(g827 +V\u000a +p7961 +tp7962 +a(g827 +V +p7963 +tp7964 +a(g749 +Vunsigned +p7965 +tp7966 +a(g827 +g972 +tp7967 +a(g749 +Vint +p7968 +tp7969 +a(g827 +g972 +tp7970 +a(g436 +VtrimSize +p7971 +tp7972 +a(g706 +g988 +tp7973 +a(g827 +V\u000a +p7974 +tp7975 +a(g827 +V +p7976 +tp7977 +a(g745 +Vfor +p7978 +tp7979 +a(g827 +g972 +tp7980 +a(g706 +g1019 +tp7981 +a(g436 +VtrimSize +p7982 +tp7983 +a(g413 +g1505 +tp7984 +a(g37 +g6082 +tp7985 +a(g706 +g988 +tp7986 +a(g827 +V\u000a +p7987 +tp7988 +a(g827 +V +p7989 +tp7990 +a(g436 +VtrimSize +p7991 +tp7992 +a(g827 +g972 +tp7993 +a(g413 +g1075 +tp7994 +a(g827 +g972 +tp7995 +a(g436 +VoriginalLine +p7996 +tp7997 +a(g706 +g1103 +tp7998 +a(g436 +Vlength +p7999 +tp8000 +a(g706 +g1019 +tp8001 +a(g706 +g1054 +tp8002 +a(g827 +g972 +tp8003 +a(g413 +g1026 +tp8004 +a(g413 +g1026 +tp8005 +a(g827 +g972 +tp8006 +a(g436 +VtrimSize +p8007 +tp8008 +a(g413 +g1075 +tp8009 +a(g436 +VleadingWhiteSpaces +p8010 +tp8011 +a(g827 +g972 +tp8012 +a(g413 +g1026 +tp8013 +a(g413 +g1026 +tp8014 +a(g827 +g972 +tp8015 +a(g436 +VoriginalLine +p8016 +tp8017 +a(g706 +g1083 +tp8018 +a(g436 +VtrimSize +p8019 +tp8020 +a(g706 +g7909 +tp8021 +a(g827 +g972 +tp8022 +a(g413 +g1075 +tp8023 +a(g413 +g1505 +tp8024 +a(g827 +g972 +tp8025 +a(g298 +V0x20 +p8026 +tp8027 +a(g827 +g972 +tp8028 +a(g706 +g988 +tp8029 +a(g827 +V\u000a +p8030 +tp8031 +a(g827 +V +p8032 +tp8033 +a(g436 +VtrimSize +p8034 +tp8035 +a(g413 +g1517 +tp8036 +a(g413 +g1517 +tp8037 +a(g706 +g1054 +tp8038 +a(g827 +V\u000a +p8039 +tp8040 +a(g827 +V +p8041 +tp8042 +a(g706 +g988 +tp8043 +a(g827 +V\u000a +p8044 +tp8045 +a(g827 +V +p8046 +tp8047 +a(g436 +Vline +p8048 +tp8049 +a(g827 +g972 +tp8050 +a(g413 +g1505 +tp8051 +a(g827 +g972 +tp8052 +a(g436 +VoriginalLine +p8053 +tp8054 +a(g706 +g1103 +tp8055 +a(g436 +Vsubstr +p8056 +tp8057 +a(g706 +g1019 +tp8058 +a(g436 +VtrimSize +p8059 +tp8060 +a(g706 +g1054 +tp8061 +a(g706 +g988 +tp8062 +a(g827 +V\u000a +p8063 +tp8064 +a(g827 +V +p8065 +tp8066 +a(g706 +g1188 +tp8067 +a(g827 +V\u000a +p8068 +tp8069 +a(g827 +V\u000a +p8070 +tp8071 +a(g827 +V\u000a +p8072 +tp8073 +a(g827 +V +p8074 +tp8075 +a(g745 +Vif +p8076 +tp8077 +a(g827 +g972 +tp8078 +a(g706 +g1019 +tp8079 +a(g436 +Vline +p8080 +tp8081 +a(g706 +g1103 +tp8082 +a(g436 +Vlength +p8083 +tp8084 +a(g706 +g1019 +tp8085 +a(g706 +g1054 +tp8086 +a(g827 +g972 +tp8087 +a(g413 +g1505 +tp8088 +a(g413 +g1505 +tp8089 +a(g827 +g972 +tp8090 +a(g37 +g6082 +tp8091 +a(g706 +g1054 +tp8092 +a(g827 +V\u000a +p8093 +tp8094 +a(g827 +V +p8095 +tp8096 +a(g706 +g1000 +tp8097 +a(g827 +V\u000a +p8098 +tp8099 +a(g827 +V +p8100 +tp8101 +a(g745 +Vif +p8102 +tp8103 +a(g827 +g972 +tp8104 +a(g706 +g1019 +tp8105 +a(g436 +VemptyLineFill +p8106 +tp8107 +a(g706 +g1054 +tp8108 +a(g827 +V\u000a +p8109 +tp8110 +a(g827 +V +p8111 +tp8112 +a(g745 +Vreturn +p8113 +tp8114 +a(g827 +g972 +tp8115 +a(g436 +VpreLineWS +p8116 +tp8117 +a(g706 +g1019 +tp8118 +a(g436 +VprevFinalLineSpaceTabCount +p8119 +tp8120 +a(g706 +g1030 +tp8121 +a(g827 +g972 +tp8122 +a(g436 +VprevFinalLineTabCount +p8123 +tp8124 +a(g706 +g1054 +tp8125 +a(g706 +g988 +tp8126 +a(g827 +V\u000a +p8127 +tp8128 +a(g827 +V +p8129 +tp8130 +a(g745 +Velse +p8131 +tp8132 +a(g827 +V\u000a +p8133 +tp8134 +a(g827 +V +p8135 +tp8136 +a(g745 +Vreturn +p8137 +tp8138 +a(g827 +g972 +tp8139 +a(g436 +Vline +p8140 +tp8141 +a(g706 +g988 +tp8142 +a(g827 +V\u000a +p8143 +tp8144 +a(g827 +V +p8145 +tp8146 +a(g706 +g1188 +tp8147 +a(g827 +V\u000a +p8148 +tp8149 +a(g827 +V\u000a +p8150 +tp8151 +a(g827 +V +p8152 +tp8153 +a(g906 +V// handle preprocessor commands\u000a +p8154 +tp8155 +a(g827 +V\u000a +p8156 +tp8157 +a(g827 +V +p8158 +tp8159 +a(g745 +Vif +p8160 +tp8161 +a(g827 +g972 +tp8162 +a(g706 +g1019 +tp8163 +a(g436 +VisCStyle +p8164 +tp8165 +a(g827 +g972 +tp8166 +a(g413 +g1026 +tp8167 +a(g413 +g1026 +tp8168 +a(g827 +g972 +tp8169 +a(g413 +g1099 +tp8170 +a(g436 +VisInComment +p8171 +tp8172 +a(g827 +g972 +tp8173 +a(g413 +g1026 +tp8174 +a(g413 +g1026 +tp8175 +a(g827 +g972 +tp8176 +a(g706 +g1019 +tp8177 +a(g436 +Vline +p8178 +tp8179 +a(g706 +g1083 +tp8180 +a(g37 +g6082 +tp8181 +a(g706 +g7909 +tp8182 +a(g827 +g972 +tp8183 +a(g413 +g1505 +tp8184 +a(g413 +g1505 +tp8185 +a(g827 +g972 +tp8186 +a(g93 +g6333 +tp8187 +a(g93 +g968 +tp8188 +a(g93 +g6333 +tp8189 +a(g827 +g972 +tp8190 +a(g413 +V| +p8191 +tp8192 +a(g413 +g8191 +tp8193 +a(g827 +g972 +tp8194 +a(g436 +VbackslashEndsPrevLine +p8195 +tp8196 +a(g706 +g1054 +tp8197 +a(g706 +g1054 +tp8198 +a(g827 +V\u000a +p8199 +tp8200 +a(g827 +V +p8201 +tp8202 +a(g706 +g1000 +tp8203 +a(g827 +V\u000a +p8204 +tp8205 +a(g827 +V +p8206 +tp8207 +a(g745 +Vif +p8208 +tp8209 +a(g827 +g972 +tp8210 +a(g706 +g1019 +tp8211 +a(g436 +Vline +p8212 +tp8213 +a(g706 +g1083 +tp8214 +a(g37 +g6082 +tp8215 +a(g706 +g7909 +tp8216 +a(g827 +g972 +tp8217 +a(g413 +g1505 +tp8218 +a(g413 +g1505 +tp8219 +a(g827 +g972 +tp8220 +a(g93 +g6333 +tp8221 +a(g93 +g968 +tp8222 +a(g93 +g6333 +tp8223 +a(g706 +g1054 +tp8224 +a(g827 +V\u000a +p8225 +tp8226 +a(g827 +V +p8227 +tp8228 +a(g706 +g1000 +tp8229 +a(g827 +V\u000a +p8230 +tp8231 +a(g827 +V +p8232 +tp8233 +a(g436 +Vstring +p8234 +tp8235 +a(g827 +g972 +tp8236 +a(g436 +Vpreproc +p8237 +tp8238 +a(g827 +g972 +tp8239 +a(g413 +g1505 +tp8240 +a(g827 +g972 +tp8241 +a(g436 +Vtrim +p8242 +tp8243 +a(g706 +g1019 +tp8244 +a(g436 +Vstring +p8245 +tp8246 +a(g706 +g1019 +tp8247 +a(g436 +Vline +p8248 +tp8249 +a(g706 +g1103 +tp8250 +a(g436 +Vc_str +p8251 +tp8252 +a(g706 +g1019 +tp8253 +a(g706 +g1054 +tp8254 +a(g827 +g972 +tp8255 +a(g413 +g1517 +tp8256 +a(g827 +g972 +tp8257 +a(g37 +g1507 +tp8258 +a(g706 +g1054 +tp8259 +a(g706 +g1054 +tp8260 +a(g706 +g988 +tp8261 +a(g827 +V\u000a +p8262 +tp8263 +a(g827 +V\u000a +p8264 +tp8265 +a(g827 +V\u000a +p8266 +tp8267 +a(g827 +V +p8268 +tp8269 +a(g906 +V// When finding a multi-lined #define statement, the original beautifier\u000a +p8270 +tp8271 +a(g827 +V +p8272 +tp8273 +a(g906 +V// 1. sets its isInDefineDefinition flag\u000a +p8274 +tp8275 +a(g827 +V +p8276 +tp8277 +a(g906 +V// 2. clones a new beautifier that will be used for the actual indentation\u000a +p8278 +tp8279 +a(g827 +V +p8280 +tp8281 +a(g906 +V// of the #define. This clone is put into the activeBeautifierStack in order\u000a +p8282 +tp8283 +a(g827 +V +p8284 +tp8285 +a(g906 +V// to be called for the actual indentation.\u000a +p8286 +tp8287 +a(g827 +V +p8288 +tp8289 +a(g906 +V// The original beautifier will have isInDefineDefinition = true, isInDefine = false\u000a +p8290 +tp8291 +a(g827 +V +p8292 +tp8293 +a(g906 +V// The cloned beautifier will have isInDefineDefinition = true, isInDefine = true\u000a +p8294 +tp8295 +a(g827 +V +p8296 +tp8297 +a(g745 +Vif +p8298 +tp8299 +a(g827 +g972 +tp8300 +a(g706 +g1019 +tp8301 +a(g436 +VpreprocessorIndent +p8302 +tp8303 +a(g827 +g972 +tp8304 +a(g413 +g1026 +tp8305 +a(g413 +g1026 +tp8306 +a(g827 +g972 +tp8307 +a(g436 +Vpreproc +p8308 +tp8309 +a(g706 +g1103 +tp8310 +a(g436 +VCOMPARE +p8311 +tp8312 +a(g706 +g1019 +tp8313 +a(g37 +g6082 +tp8314 +a(g706 +g1030 +tp8315 +a(g827 +g972 +tp8316 +a(g37 +V6 +p8317 +tp8318 +a(g706 +g1030 +tp8319 +a(g827 +g972 +tp8320 +a(g436 +Vstring +p8321 +tp8322 +a(g706 +g1019 +tp8323 +a(g89 +g1079 +tp8324 +a(g89 +Vdefine +p8325 +tp8326 +a(g89 +g1079 +tp8327 +a(g706 +g1054 +tp8328 +a(g706 +g1054 +tp8329 +a(g827 +g972 +tp8330 +a(g413 +g1505 +tp8331 +a(g413 +g1505 +tp8332 +a(g827 +g972 +tp8333 +a(g37 +g6082 +tp8334 +a(g827 +g972 +tp8335 +a(g413 +g1026 +tp8336 +a(g413 +g1026 +tp8337 +a(g827 +V +p8338 +tp8339 +a(g436 +Vline +p8340 +tp8341 +a(g706 +g1083 +tp8342 +a(g436 +Vline +p8343 +tp8344 +a(g706 +g1103 +tp8345 +a(g436 +Vlength +p8346 +tp8347 +a(g706 +g1019 +tp8348 +a(g706 +g1054 +tp8349 +a(g827 +g972 +tp8350 +a(g413 +g4390 +tp8351 +a(g827 +g972 +tp8352 +a(g37 +g1507 +tp8353 +a(g706 +g7909 +tp8354 +a(g827 +g972 +tp8355 +a(g413 +g1505 +tp8356 +a(g413 +g1505 +tp8357 +a(g827 +g972 +tp8358 +a(g93 +g6333 +tp8359 +a(g93 +V\u005c\u005c +p8360 +tp8361 +a(g93 +g6333 +tp8362 +a(g706 +g1054 +tp8363 +a(g827 +V\u000a +p8364 +tp8365 +a(g827 +V +p8366 +tp8367 +a(g706 +g1000 +tp8368 +a(g827 +V\u000a +p8369 +tp8370 +a(g827 +V +p8371 +tp8372 +a(g745 +Vif +p8373 +tp8374 +a(g827 +g972 +tp8375 +a(g706 +g1019 +tp8376 +a(g413 +g1099 +tp8377 +a(g436 +VisInDefineDefinition +p8378 +tp8379 +a(g706 +g1054 +tp8380 +a(g827 +V\u000a +p8381 +tp8382 +a(g827 +V +p8383 +tp8384 +a(g706 +g1000 +tp8385 +a(g827 +V\u000a +p8386 +tp8387 +a(g827 +V +p8388 +tp8389 +a(g436 +VASBeautifier +p8390 +tp8391 +a(g827 +g972 +tp8392 +a(g413 +g2456 +tp8393 +a(g436 +VdefineBeautifier +p8394 +tp8395 +a(g706 +g988 +tp8396 +a(g827 +V\u000a +p8397 +tp8398 +a(g827 +V\u000a +p8399 +tp8400 +a(g827 +V +p8401 +tp8402 +a(g906 +V// this is the original beautifier\u000a +p8403 +tp8404 +a(g827 +V +p8405 +tp8406 +a(g436 +VisInDefineDefinition +p8407 +tp8408 +a(g827 +g972 +tp8409 +a(g413 +g1505 +tp8410 +a(g827 +g972 +tp8411 +a(g682 +Vtrue +p8412 +tp8413 +a(g706 +g988 +tp8414 +a(g827 +V\u000a +p8415 +tp8416 +a(g827 +V\u000a +p8417 +tp8418 +a(g827 +V +p8419 +tp8420 +a(g906 +V// push a new beautifier into the active stack\u000a +p8421 +tp8422 +a(g827 +V +p8423 +tp8424 +a(g906 +V// this breautifier will be used for the indentation of this define\u000a +p8425 +tp8426 +a(g827 +V +p8427 +tp8428 +a(g436 +VdefineBeautifier +p8429 +tp8430 +a(g827 +g972 +tp8431 +a(g413 +g1505 +tp8432 +a(g827 +g972 +tp8433 +a(g745 +Vnew +p8434 +tp8435 +a(g827 +g972 +tp8436 +a(g436 +VASBeautifier +p8437 +tp8438 +a(g706 +g1019 +tp8439 +a(g413 +g2456 +tp8440 +a(g745 +Vthis +p8441 +tp8442 +a(g706 +g1054 +tp8443 +a(g706 +g988 +tp8444 +a(g827 +V\u000a +p8445 +tp8446 +a(g827 +V +p8447 +tp8448 +a(g906 +V//defineBeautifier->init();\u000a +p8449 +tp8450 +a(g827 +V +p8451 +tp8452 +a(g906 +V//defineBeautifier->isInDefineDefinition = true;\u000a +p8453 +tp8454 +a(g827 +V +p8455 +tp8456 +a(g906 +V//defineBeautifier->beautify("");\u000a +p8457 +tp8458 +a(g827 +V +p8459 +tp8460 +a(g436 +VactiveBeautifierStack +p8461 +tp8462 +a(g413 +g4390 +tp8463 +a(g413 +g2458 +tp8464 +a(g436 +Vpush_back +p8465 +tp8466 +a(g706 +g1019 +tp8467 +a(g436 +VdefineBeautifier +p8468 +tp8469 +a(g706 +g1054 +tp8470 +a(g706 +g988 +tp8471 +a(g827 +V\u000a +p8472 +tp8473 +a(g827 +V +p8474 +tp8475 +a(g706 +g1188 +tp8476 +a(g827 +V\u000a +p8477 +tp8478 +a(g827 +V +p8479 +tp8480 +a(g745 +Velse +p8481 +tp8482 +a(g827 +V\u000a +p8483 +tp8484 +a(g827 +V +p8485 +tp8486 +a(g706 +g1000 +tp8487 +a(g827 +V\u000a +p8488 +tp8489 +a(g827 +V +p8490 +tp8491 +a(g906 +V// the is the cloned beautifier that is in charge of indenting the #define.\u000a +p8492 +tp8493 +a(g827 +V +p8494 +tp8495 +a(g436 +VisInDefine +p8496 +tp8497 +a(g827 +g972 +tp8498 +a(g413 +g1505 +tp8499 +a(g827 +g972 +tp8500 +a(g682 +Vtrue +p8501 +tp8502 +a(g706 +g988 +tp8503 +a(g827 +V\u000a +p8504 +tp8505 +a(g827 +V +p8506 +tp8507 +a(g706 +g1188 +tp8508 +a(g827 +V\u000a +p8509 +tp8510 +a(g827 +V +p8511 +tp8512 +a(g706 +g1188 +tp8513 +a(g827 +V\u000a +p8514 +tp8515 +a(g827 +V +p8516 +tp8517 +a(g745 +Velse +p8518 +tp8519 +a(g827 +g972 +tp8520 +a(g745 +Vif +p8521 +tp8522 +a(g827 +g972 +tp8523 +a(g706 +g1019 +tp8524 +a(g436 +Vpreproc +p8525 +tp8526 +a(g706 +g1103 +tp8527 +a(g436 +VCOMPARE +p8528 +tp8529 +a(g706 +g1019 +tp8530 +a(g37 +g6082 +tp8531 +a(g706 +g1030 +tp8532 +a(g827 +g972 +tp8533 +a(g37 +g6659 +tp8534 +a(g706 +g1030 +tp8535 +a(g827 +g972 +tp8536 +a(g436 +Vstring +p8537 +tp8538 +a(g706 +g1019 +tp8539 +a(g89 +g1079 +tp8540 +a(g89 +Vif +p8541 +tp8542 +a(g89 +g1079 +tp8543 +a(g706 +g1054 +tp8544 +a(g706 +g1054 +tp8545 +a(g827 +g972 +tp8546 +a(g413 +g1505 +tp8547 +a(g413 +g1505 +tp8548 +a(g827 +g972 +tp8549 +a(g37 +g6082 +tp8550 +a(g706 +g1054 +tp8551 +a(g827 +V\u000a +p8552 +tp8553 +a(g827 +V +p8554 +tp8555 +a(g706 +g1000 +tp8556 +a(g827 +V\u000a +p8557 +tp8558 +a(g827 +V +p8559 +tp8560 +a(g906 +V// push a new beautifier into the stack\u000a +p8561 +tp8562 +a(g827 +V +p8563 +tp8564 +a(g436 +VwaitingBeautifierStackLengthStack +p8565 +tp8566 +a(g413 +g4390 +tp8567 +a(g413 +g2458 +tp8568 +a(g436 +Vpush_back +p8569 +tp8570 +a(g706 +g1019 +tp8571 +a(g436 +VwaitingBeautifierStack +p8572 +tp8573 +a(g413 +g4390 +tp8574 +a(g413 +g2458 +tp8575 +a(g436 +Vsize +p8576 +tp8577 +a(g706 +g1019 +tp8578 +a(g706 +g1054 +tp8579 +a(g706 +g1054 +tp8580 +a(g706 +g988 +tp8581 +a(g827 +V\u000a +p8582 +tp8583 +a(g827 +V +p8584 +tp8585 +a(g436 +VactiveBeautifierStackLengthStack +p8586 +tp8587 +a(g413 +g4390 +tp8588 +a(g413 +g2458 +tp8589 +a(g436 +Vpush_back +p8590 +tp8591 +a(g706 +g1019 +tp8592 +a(g436 +VactiveBeautifierStack +p8593 +tp8594 +a(g413 +g4390 +tp8595 +a(g413 +g2458 +tp8596 +a(g436 +Vsize +p8597 +tp8598 +a(g706 +g1019 +tp8599 +a(g706 +g1054 +tp8600 +a(g706 +g1054 +tp8601 +a(g706 +g988 +tp8602 +a(g827 +V\u000a +p8603 +tp8604 +a(g827 +V +p8605 +tp8606 +a(g436 +VwaitingBeautifierStack +p8607 +tp8608 +a(g413 +g4390 +tp8609 +a(g413 +g2458 +tp8610 +a(g436 +Vpush_back +p8611 +tp8612 +a(g706 +g1019 +tp8613 +a(g745 +Vnew +p8614 +tp8615 +a(g827 +g972 +tp8616 +a(g436 +VASBeautifier +p8617 +tp8618 +a(g706 +g1019 +tp8619 +a(g413 +g2456 +tp8620 +a(g745 +Vthis +p8621 +tp8622 +a(g706 +g1054 +tp8623 +a(g706 +g1054 +tp8624 +a(g706 +g988 +tp8625 +a(g827 +V\u000a +p8626 +tp8627 +a(g827 +V +p8628 +tp8629 +a(g706 +g1188 +tp8630 +a(g827 +V\u000a +p8631 +tp8632 +a(g827 +V +p8633 +tp8634 +a(g745 +Velse +p8635 +tp8636 +a(g827 +g972 +tp8637 +a(g745 +Vif +p8638 +tp8639 +a(g827 +g972 +tp8640 +a(g706 +g1019 +tp8641 +a(g436 +Vpreproc +p8642 +tp8643 +a(g706 +g1103 +tp8644 +a(g436 +VCOMPARE +p8645 +tp8646 +a(g706 +g1019 +tp8647 +a(g37 +g6082 +tp8648 +a(g706 +g1030 +tp8649 +a(g827 +g972 +tp8650 +a(g37 +g4064 +tp8651 +a(g8 +V/*2*/ +p8652 +tp8653 +a(g706 +g1030 +tp8654 +a(g827 +g972 +tp8655 +a(g436 +Vstring +p8656 +tp8657 +a(g706 +g1019 +tp8658 +a(g89 +g1079 +tp8659 +a(g89 +Velse +p8660 +tp8661 +a(g89 +g1079 +tp8662 +a(g706 +g1054 +tp8663 +a(g706 +g1054 +tp8664 +a(g827 +g972 +tp8665 +a(g413 +g1505 +tp8666 +a(g413 +g1505 +tp8667 +a(g827 +g972 +tp8668 +a(g37 +g6082 +tp8669 +a(g706 +g1054 +tp8670 +a(g827 +V\u000a +p8671 +tp8672 +a(g827 +V +p8673 +tp8674 +a(g706 +g1000 +tp8675 +a(g827 +V\u000a +p8676 +tp8677 +a(g827 +V +p8678 +tp8679 +a(g745 +Vif +p8680 +tp8681 +a(g827 +g972 +tp8682 +a(g706 +g1019 +tp8683 +a(g413 +g1099 +tp8684 +a(g436 +VwaitingBeautifierStack +p8685 +tp8686 +a(g413 +g4390 +tp8687 +a(g413 +g2458 +tp8688 +a(g436 +Vempty +p8689 +tp8690 +a(g706 +g1019 +tp8691 +a(g706 +g1054 +tp8692 +a(g706 +g1054 +tp8693 +a(g827 +V\u000a +p8694 +tp8695 +a(g827 +V +p8696 +tp8697 +a(g706 +g1000 +tp8698 +a(g827 +V\u000a +p8699 +tp8700 +a(g827 +V +p8701 +tp8702 +a(g906 +V// MOVE current waiting beautifier to active stack.\u000a +p8703 +tp8704 +a(g827 +V +p8705 +tp8706 +a(g436 +VactiveBeautifierStack +p8707 +tp8708 +a(g413 +g4390 +tp8709 +a(g413 +g2458 +tp8710 +a(g436 +Vpush_back +p8711 +tp8712 +a(g706 +g1019 +tp8713 +a(g436 +VwaitingBeautifierStack +p8714 +tp8715 +a(g413 +g4390 +tp8716 +a(g413 +g2458 +tp8717 +a(g436 +Vback +p8718 +tp8719 +a(g706 +g1019 +tp8720 +a(g706 +g1054 +tp8721 +a(g706 +g1054 +tp8722 +a(g706 +g988 +tp8723 +a(g827 +V\u000a +p8724 +tp8725 +a(g827 +V +p8726 +tp8727 +a(g436 +VwaitingBeautifierStack +p8728 +tp8729 +a(g413 +g4390 +tp8730 +a(g413 +g2458 +tp8731 +a(g436 +Vpop_back +p8732 +tp8733 +a(g706 +g1019 +tp8734 +a(g706 +g1054 +tp8735 +a(g706 +g988 +tp8736 +a(g827 +V\u000a +p8737 +tp8738 +a(g827 +V +p8739 +tp8740 +a(g706 +g1188 +tp8741 +a(g827 +V\u000a +p8742 +tp8743 +a(g827 +V +p8744 +tp8745 +a(g706 +g1188 +tp8746 +a(g827 +V\u000a +p8747 +tp8748 +a(g827 +V +p8749 +tp8750 +a(g745 +Velse +p8751 +tp8752 +a(g827 +g972 +tp8753 +a(g745 +Vif +p8754 +tp8755 +a(g827 +g972 +tp8756 +a(g706 +g1019 +tp8757 +a(g436 +Vpreproc +p8758 +tp8759 +a(g706 +g1103 +tp8760 +a(g436 +VCOMPARE +p8761 +tp8762 +a(g706 +g1019 +tp8763 +a(g37 +g6082 +tp8764 +a(g706 +g1030 +tp8765 +a(g827 +g972 +tp8766 +a(g37 +g4064 +tp8767 +a(g706 +g1030 +tp8768 +a(g827 +g972 +tp8769 +a(g436 +Vstring +p8770 +tp8771 +a(g706 +g1019 +tp8772 +a(g89 +g1079 +tp8773 +a(g89 +Velif +p8774 +tp8775 +a(g89 +g1079 +tp8776 +a(g706 +g1054 +tp8777 +a(g706 +g1054 +tp8778 +a(g827 +g972 +tp8779 +a(g413 +g1505 +tp8780 +a(g413 +g1505 +tp8781 +a(g827 +g972 +tp8782 +a(g37 +g6082 +tp8783 +a(g706 +g1054 +tp8784 +a(g827 +V\u000a +p8785 +tp8786 +a(g827 +V +p8787 +tp8788 +a(g706 +g1000 +tp8789 +a(g827 +V\u000a +p8790 +tp8791 +a(g827 +V +p8792 +tp8793 +a(g745 +Vif +p8794 +tp8795 +a(g827 +g972 +tp8796 +a(g706 +g1019 +tp8797 +a(g413 +g1099 +tp8798 +a(g436 +VwaitingBeautifierStack +p8799 +tp8800 +a(g413 +g4390 +tp8801 +a(g413 +g2458 +tp8802 +a(g436 +Vempty +p8803 +tp8804 +a(g706 +g1019 +tp8805 +a(g706 +g1054 +tp8806 +a(g706 +g1054 +tp8807 +a(g827 +V\u000a +p8808 +tp8809 +a(g827 +V +p8810 +tp8811 +a(g706 +g1000 +tp8812 +a(g827 +V\u000a +p8813 +tp8814 +a(g827 +V +p8815 +tp8816 +a(g906 +V// append a COPY current waiting beautifier to active stack, WITHOUT deleting the original.\u000a +p8817 +tp8818 +a(g827 +V +p8819 +tp8820 +a(g436 +VactiveBeautifierStack +p8821 +tp8822 +a(g413 +g4390 +tp8823 +a(g413 +g2458 +tp8824 +a(g436 +Vpush_back +p8825 +tp8826 +a(g706 +g1019 +tp8827 +a(g827 +g972 +tp8828 +a(g745 +Vnew +p8829 +tp8830 +a(g827 +g972 +tp8831 +a(g436 +VASBeautifier +p8832 +tp8833 +a(g706 +g1019 +tp8834 +a(g827 +g972 +tp8835 +a(g413 +g2456 +tp8836 +a(g706 +g1019 +tp8837 +a(g436 +VwaitingBeautifierStack +p8838 +tp8839 +a(g413 +g4390 +tp8840 +a(g413 +g2458 +tp8841 +a(g436 +Vback +p8842 +tp8843 +a(g706 +g1019 +tp8844 +a(g706 +g1054 +tp8845 +a(g706 +g1054 +tp8846 +a(g827 +g972 +tp8847 +a(g706 +g1054 +tp8848 +a(g827 +g972 +tp8849 +a(g706 +g1054 +tp8850 +a(g706 +g988 +tp8851 +a(g827 +V\u000a +p8852 +tp8853 +a(g827 +V +p8854 +tp8855 +a(g706 +g1188 +tp8856 +a(g827 +V\u000a +p8857 +tp8858 +a(g827 +V +p8859 +tp8860 +a(g706 +g1188 +tp8861 +a(g827 +V\u000a +p8862 +tp8863 +a(g827 +V +p8864 +tp8865 +a(g745 +Velse +p8866 +tp8867 +a(g827 +g972 +tp8868 +a(g745 +Vif +p8869 +tp8870 +a(g827 +g972 +tp8871 +a(g706 +g1019 +tp8872 +a(g436 +Vpreproc +p8873 +tp8874 +a(g706 +g1103 +tp8875 +a(g436 +VCOMPARE +p8876 +tp8877 +a(g706 +g1019 +tp8878 +a(g37 +g6082 +tp8879 +a(g706 +g1030 +tp8880 +a(g827 +g972 +tp8881 +a(g37 +V5 +p8882 +tp8883 +a(g706 +g1030 +tp8884 +a(g827 +g972 +tp8885 +a(g436 +Vstring +p8886 +tp8887 +a(g706 +g1019 +tp8888 +a(g89 +g1079 +tp8889 +a(g89 +Vendif +p8890 +tp8891 +a(g89 +g1079 +tp8892 +a(g706 +g1054 +tp8893 +a(g706 +g1054 +tp8894 +a(g827 +g972 +tp8895 +a(g413 +g1505 +tp8896 +a(g413 +g1505 +tp8897 +a(g827 +g972 +tp8898 +a(g37 +g6082 +tp8899 +a(g706 +g1054 +tp8900 +a(g827 +V\u000a +p8901 +tp8902 +a(g827 +V +p8903 +tp8904 +a(g706 +g1000 +tp8905 +a(g827 +V\u000a +p8906 +tp8907 +a(g827 +V +p8908 +tp8909 +a(g749 +Vunsigned +p8910 +tp8911 +a(g827 +g972 +tp8912 +a(g749 +Vint +p8913 +tp8914 +a(g827 +g972 +tp8915 +a(g436 +VstackLength +p8916 +tp8917 +a(g706 +g988 +tp8918 +a(g827 +V\u000a +p8919 +tp8920 +a(g827 +V +p8921 +tp8922 +a(g436 +VASBeautifier +p8923 +tp8924 +a(g827 +g972 +tp8925 +a(g413 +g2456 +tp8926 +a(g436 +Vbeautifier +p8927 +tp8928 +a(g706 +g988 +tp8929 +a(g827 +V\u000a +p8930 +tp8931 +a(g827 +V\u000a +p8932 +tp8933 +a(g827 +V +p8934 +tp8935 +a(g745 +Vif +p8936 +tp8937 +a(g827 +g972 +tp8938 +a(g706 +g1019 +tp8939 +a(g413 +g1099 +tp8940 +a(g436 +VwaitingBeautifierStackLengthStack +p8941 +tp8942 +a(g413 +g4390 +tp8943 +a(g413 +g2458 +tp8944 +a(g436 +Vempty +p8945 +tp8946 +a(g706 +g1019 +tp8947 +a(g706 +g1054 +tp8948 +a(g706 +g1054 +tp8949 +a(g827 +V\u000a +p8950 +tp8951 +a(g827 +V +p8952 +tp8953 +a(g706 +g1000 +tp8954 +a(g827 +V\u000a +p8955 +tp8956 +a(g827 +V +p8957 +tp8958 +a(g436 +VstackLength +p8959 +tp8960 +a(g827 +g972 +tp8961 +a(g413 +g1505 +tp8962 +a(g827 +g972 +tp8963 +a(g436 +VwaitingBeautifierStackLengthStack +p8964 +tp8965 +a(g413 +g4390 +tp8966 +a(g413 +g2458 +tp8967 +a(g436 +Vback +p8968 +tp8969 +a(g706 +g1019 +tp8970 +a(g706 +g1054 +tp8971 +a(g706 +g988 +tp8972 +a(g827 +V\u000a +p8973 +tp8974 +a(g827 +V +p8975 +tp8976 +a(g436 +VwaitingBeautifierStackLengthStack +p8977 +tp8978 +a(g413 +g4390 +tp8979 +a(g413 +g2458 +tp8980 +a(g436 +Vpop_back +p8981 +tp8982 +a(g706 +g1019 +tp8983 +a(g706 +g1054 +tp8984 +a(g706 +g988 +tp8985 +a(g827 +V\u000a +p8986 +tp8987 +a(g827 +V +p8988 +tp8989 +a(g745 +Vwhile +p8990 +tp8991 +a(g827 +g972 +tp8992 +a(g706 +g1019 +tp8993 +a(g436 +VwaitingBeautifierStack +p8994 +tp8995 +a(g413 +g4390 +tp8996 +a(g413 +g2458 +tp8997 +a(g436 +Vsize +p8998 +tp8999 +a(g706 +g1019 +tp9000 +a(g706 +g1054 +tp9001 +a(g827 +g972 +tp9002 +a(g413 +g2458 +tp9003 +a(g827 +g972 +tp9004 +a(g436 +VstackLength +p9005 +tp9006 +a(g706 +g1054 +tp9007 +a(g827 +V\u000a +p9008 +tp9009 +a(g827 +V +p9010 +tp9011 +a(g706 +g1000 +tp9012 +a(g827 +V\u000a +p9013 +tp9014 +a(g827 +V +p9015 +tp9016 +a(g436 +Vbeautifier +p9017 +tp9018 +a(g827 +g972 +tp9019 +a(g413 +g1505 +tp9020 +a(g827 +g972 +tp9021 +a(g436 +VwaitingBeautifierStack +p9022 +tp9023 +a(g413 +g4390 +tp9024 +a(g413 +g2458 +tp9025 +a(g436 +Vback +p9026 +tp9027 +a(g706 +g1019 +tp9028 +a(g706 +g1054 +tp9029 +a(g706 +g988 +tp9030 +a(g827 +V\u000a +p9031 +tp9032 +a(g827 +V +p9033 +tp9034 +a(g436 +VwaitingBeautifierStack +p9035 +tp9036 +a(g413 +g4390 +tp9037 +a(g413 +g2458 +tp9038 +a(g436 +Vpop_back +p9039 +tp9040 +a(g706 +g1019 +tp9041 +a(g706 +g1054 +tp9042 +a(g706 +g988 +tp9043 +a(g827 +V\u000a +p9044 +tp9045 +a(g827 +V +p9046 +tp9047 +a(g745 +Vdelete +p9048 +tp9049 +a(g827 +g972 +tp9050 +a(g436 +Vbeautifier +p9051 +tp9052 +a(g706 +g988 +tp9053 +a(g827 +V\u000a +p9054 +tp9055 +a(g827 +V +p9056 +tp9057 +a(g706 +g1188 +tp9058 +a(g827 +V\u000a +p9059 +tp9060 +a(g827 +V +p9061 +tp9062 +a(g706 +g1188 +tp9063 +a(g827 +V\u000a +p9064 +tp9065 +a(g827 +V\u000a +p9066 +tp9067 +a(g827 +V +p9068 +tp9069 +a(g745 +Vif +p9070 +tp9071 +a(g827 +g972 +tp9072 +a(g706 +g1019 +tp9073 +a(g413 +g1099 +tp9074 +a(g436 +VactiveBeautifierStackLengthStack +p9075 +tp9076 +a(g413 +g4390 +tp9077 +a(g413 +g2458 +tp9078 +a(g436 +Vempty +p9079 +tp9080 +a(g706 +g1019 +tp9081 +a(g706 +g1054 +tp9082 +a(g706 +g1054 +tp9083 +a(g827 +V\u000a +p9084 +tp9085 +a(g827 +V +p9086 +tp9087 +a(g706 +g1000 +tp9088 +a(g827 +V\u000a +p9089 +tp9090 +a(g827 +V +p9091 +tp9092 +a(g436 +VstackLength +p9093 +tp9094 +a(g827 +g972 +tp9095 +a(g413 +g1505 +tp9096 +a(g827 +g972 +tp9097 +a(g436 +VactiveBeautifierStackLengthStack +p9098 +tp9099 +a(g413 +g4390 +tp9100 +a(g413 +g2458 +tp9101 +a(g436 +Vback +p9102 +tp9103 +a(g706 +g1019 +tp9104 +a(g706 +g1054 +tp9105 +a(g706 +g988 +tp9106 +a(g827 +V\u000a +p9107 +tp9108 +a(g827 +V +p9109 +tp9110 +a(g436 +VactiveBeautifierStackLengthStack +p9111 +tp9112 +a(g413 +g4390 +tp9113 +a(g413 +g2458 +tp9114 +a(g436 +Vpop_back +p9115 +tp9116 +a(g706 +g1019 +tp9117 +a(g706 +g1054 +tp9118 +a(g706 +g988 +tp9119 +a(g827 +V\u000a +p9120 +tp9121 +a(g827 +V +p9122 +tp9123 +a(g745 +Vwhile +p9124 +tp9125 +a(g827 +g972 +tp9126 +a(g706 +g1019 +tp9127 +a(g436 +VactiveBeautifierStack +p9128 +tp9129 +a(g413 +g4390 +tp9130 +a(g413 +g2458 +tp9131 +a(g436 +Vsize +p9132 +tp9133 +a(g706 +g1019 +tp9134 +a(g706 +g1054 +tp9135 +a(g827 +g972 +tp9136 +a(g413 +g2458 +tp9137 +a(g827 +g972 +tp9138 +a(g436 +VstackLength +p9139 +tp9140 +a(g706 +g1054 +tp9141 +a(g827 +V\u000a +p9142 +tp9143 +a(g827 +V +p9144 +tp9145 +a(g706 +g1000 +tp9146 +a(g827 +V\u000a +p9147 +tp9148 +a(g827 +V +p9149 +tp9150 +a(g436 +Vbeautifier +p9151 +tp9152 +a(g827 +g972 +tp9153 +a(g413 +g1505 +tp9154 +a(g827 +g972 +tp9155 +a(g436 +VactiveBeautifierStack +p9156 +tp9157 +a(g413 +g4390 +tp9158 +a(g413 +g2458 +tp9159 +a(g436 +Vback +p9160 +tp9161 +a(g706 +g1019 +tp9162 +a(g706 +g1054 +tp9163 +a(g706 +g988 +tp9164 +a(g827 +V\u000a +p9165 +tp9166 +a(g827 +V +p9167 +tp9168 +a(g436 +VactiveBeautifierStack +p9169 +tp9170 +a(g413 +g4390 +tp9171 +a(g413 +g2458 +tp9172 +a(g436 +Vpop_back +p9173 +tp9174 +a(g706 +g1019 +tp9175 +a(g706 +g1054 +tp9176 +a(g706 +g988 +tp9177 +a(g827 +V\u000a +p9178 +tp9179 +a(g827 +V +p9180 +tp9181 +a(g745 +Vdelete +p9182 +tp9183 +a(g827 +g972 +tp9184 +a(g436 +Vbeautifier +p9185 +tp9186 +a(g706 +g988 +tp9187 +a(g827 +V\u000a +p9188 +tp9189 +a(g827 +V +p9190 +tp9191 +a(g706 +g1188 +tp9192 +a(g827 +V\u000a +p9193 +tp9194 +a(g827 +V +p9195 +tp9196 +a(g706 +g1188 +tp9197 +a(g827 +V\u000a +p9198 +tp9199 +a(g827 +V\u000a +p9200 +tp9201 +a(g827 +V\u000a +p9202 +tp9203 +a(g827 +V +p9204 +tp9205 +a(g706 +g1188 +tp9206 +a(g827 +V\u000a +p9207 +tp9208 +a(g827 +V +p9209 +tp9210 +a(g706 +g1188 +tp9211 +a(g827 +V\u000a +p9212 +tp9213 +a(g827 +V\u000a +p9214 +tp9215 +a(g827 +V +p9216 +tp9217 +a(g906 +V// check if the last char is a backslash\u000a +p9218 +tp9219 +a(g827 +V +p9220 +tp9221 +a(g745 +Vif +p9222 +tp9223 +a(g706 +g1019 +tp9224 +a(g436 +Vline +p9225 +tp9226 +a(g706 +g1103 +tp9227 +a(g436 +Vlength +p9228 +tp9229 +a(g706 +g1019 +tp9230 +a(g706 +g1054 +tp9231 +a(g827 +g972 +tp9232 +a(g413 +g2458 +tp9233 +a(g827 +g972 +tp9234 +a(g37 +g6082 +tp9235 +a(g706 +g1054 +tp9236 +a(g827 +V\u000a +p9237 +tp9238 +a(g827 +V +p9239 +tp9240 +a(g436 +VbackslashEndsPrevLine +p9241 +tp9242 +a(g827 +g972 +tp9243 +a(g413 +g1505 +tp9244 +a(g827 +g972 +tp9245 +a(g706 +g1019 +tp9246 +a(g436 +Vline +p9247 +tp9248 +a(g706 +g1083 +tp9249 +a(g436 +Vline +p9250 +tp9251 +a(g706 +g1103 +tp9252 +a(g436 +Vlength +p9253 +tp9254 +a(g706 +g1019 +tp9255 +a(g706 +g1054 +tp9256 +a(g827 +g972 +tp9257 +a(g413 +g4390 +tp9258 +a(g827 +g972 +tp9259 +a(g37 +g1507 +tp9260 +a(g706 +g7909 +tp9261 +a(g827 +g972 +tp9262 +a(g413 +g1505 +tp9263 +a(g413 +g1505 +tp9264 +a(g827 +g972 +tp9265 +a(g93 +g6333 +tp9266 +a(g93 +V\u005c\u005c +p9267 +tp9268 +a(g93 +g6333 +tp9269 +a(g706 +g1054 +tp9270 +a(g706 +g988 +tp9271 +a(g827 +V\u000a +p9272 +tp9273 +a(g827 +V +p9274 +tp9275 +a(g745 +Velse +p9276 +tp9277 +a(g827 +V\u000a +p9278 +tp9279 +a(g827 +V +p9280 +tp9281 +a(g436 +VbackslashEndsPrevLine +p9282 +tp9283 +a(g827 +g972 +tp9284 +a(g413 +g1505 +tp9285 +a(g827 +g972 +tp9286 +a(g682 +Vfalse +p9287 +tp9288 +a(g706 +g988 +tp9289 +a(g827 +V\u000a +p9290 +tp9291 +a(g827 +V\u000a +p9292 +tp9293 +a(g827 +V +p9294 +tp9295 +a(g906 +V// check if this line ends a multi-line #define\u000a +p9296 +tp9297 +a(g827 +V +p9298 +tp9299 +a(g906 +V// if so, use the #define's cloned beautifier for the line's indentation\u000a +p9300 +tp9301 +a(g827 +V +p9302 +tp9303 +a(g906 +V// and then remove it from the active beautifier stack and delete it.\u000a +p9304 +tp9305 +a(g827 +V +p9306 +tp9307 +a(g745 +Vif +p9308 +tp9309 +a(g827 +g972 +tp9310 +a(g706 +g1019 +tp9311 +a(g413 +g1099 +tp9312 +a(g436 +VbackslashEndsPrevLine +p9313 +tp9314 +a(g827 +g972 +tp9315 +a(g413 +g1026 +tp9316 +a(g413 +g1026 +tp9317 +a(g827 +g972 +tp9318 +a(g436 +VisInDefineDefinition +p9319 +tp9320 +a(g827 +g972 +tp9321 +a(g413 +g1026 +tp9322 +a(g413 +g1026 +tp9323 +a(g827 +g972 +tp9324 +a(g413 +g1099 +tp9325 +a(g436 +VisInDefine +p9326 +tp9327 +a(g706 +g1054 +tp9328 +a(g827 +V\u000a +p9329 +tp9330 +a(g827 +V +p9331 +tp9332 +a(g706 +g1000 +tp9333 +a(g827 +V\u000a +p9334 +tp9335 +a(g827 +V +p9336 +tp9337 +a(g436 +Vstring +p9338 +tp9339 +a(g827 +g972 +tp9340 +a(g436 +VbeautifiedLine +p9341 +tp9342 +a(g706 +g988 +tp9343 +a(g827 +V\u000a +p9344 +tp9345 +a(g827 +V +p9346 +tp9347 +a(g436 +VASBeautifier +p9348 +tp9349 +a(g827 +g972 +tp9350 +a(g413 +g2456 +tp9351 +a(g436 +VdefineBeautifier +p9352 +tp9353 +a(g706 +g988 +tp9354 +a(g827 +V\u000a +p9355 +tp9356 +a(g827 +V\u000a +p9357 +tp9358 +a(g827 +V +p9359 +tp9360 +a(g436 +VisInDefineDefinition +p9361 +tp9362 +a(g827 +g972 +tp9363 +a(g413 +g1505 +tp9364 +a(g827 +g972 +tp9365 +a(g682 +Vfalse +p9366 +tp9367 +a(g706 +g988 +tp9368 +a(g827 +V\u000a +p9369 +tp9370 +a(g827 +V +p9371 +tp9372 +a(g436 +VdefineBeautifier +p9373 +tp9374 +a(g827 +g972 +tp9375 +a(g413 +g1505 +tp9376 +a(g827 +g972 +tp9377 +a(g436 +VactiveBeautifierStack +p9378 +tp9379 +a(g413 +g4390 +tp9380 +a(g413 +g2458 +tp9381 +a(g436 +Vback +p9382 +tp9383 +a(g706 +g1019 +tp9384 +a(g706 +g1054 +tp9385 +a(g706 +g988 +tp9386 +a(g827 +V\u000a +p9387 +tp9388 +a(g827 +V +p9389 +tp9390 +a(g436 +VactiveBeautifierStack +p9391 +tp9392 +a(g413 +g4390 +tp9393 +a(g413 +g2458 +tp9394 +a(g436 +Vpop_back +p9395 +tp9396 +a(g706 +g1019 +tp9397 +a(g706 +g1054 +tp9398 +a(g706 +g988 +tp9399 +a(g827 +V\u000a +p9400 +tp9401 +a(g827 +V\u000a +p9402 +tp9403 +a(g827 +V +p9404 +tp9405 +a(g436 +VbeautifiedLine +p9406 +tp9407 +a(g827 +g972 +tp9408 +a(g413 +g1505 +tp9409 +a(g827 +g972 +tp9410 +a(g436 +VdefineBeautifier +p9411 +tp9412 +a(g413 +g4390 +tp9413 +a(g413 +g2458 +tp9414 +a(g436 +Vbeautify +p9415 +tp9416 +a(g706 +g1019 +tp9417 +a(g436 +Vline +p9418 +tp9419 +a(g706 +g1054 +tp9420 +a(g706 +g988 +tp9421 +a(g827 +V\u000a +p9422 +tp9423 +a(g827 +V +p9424 +tp9425 +a(g745 +Vdelete +p9426 +tp9427 +a(g827 +g972 +tp9428 +a(g436 +VdefineBeautifier +p9429 +tp9430 +a(g706 +g988 +tp9431 +a(g827 +V\u000a +p9432 +tp9433 +a(g827 +V +p9434 +tp9435 +a(g745 +Vreturn +p9436 +tp9437 +a(g827 +g972 +tp9438 +a(g436 +VbeautifiedLine +p9439 +tp9440 +a(g706 +g988 +tp9441 +a(g827 +V\u000a +p9442 +tp9443 +a(g827 +V +p9444 +tp9445 +a(g706 +g1188 +tp9446 +a(g827 +V\u000a +p9447 +tp9448 +a(g827 +V\u000a +p9449 +tp9450 +a(g827 +V +p9451 +tp9452 +a(g906 +V// unless this is a multi-line #define, return this precompiler line as is.\u000a +p9453 +tp9454 +a(g827 +V +p9455 +tp9456 +a(g745 +Vif +p9457 +tp9458 +a(g827 +g972 +tp9459 +a(g706 +g1019 +tp9460 +a(g413 +g1099 +tp9461 +a(g436 +VisInDefine +p9462 +tp9463 +a(g827 +g972 +tp9464 +a(g413 +g1026 +tp9465 +a(g413 +g1026 +tp9466 +a(g827 +g972 +tp9467 +a(g413 +g1099 +tp9468 +a(g436 +VisInDefineDefinition +p9469 +tp9470 +a(g706 +g1054 +tp9471 +a(g827 +V\u000a +p9472 +tp9473 +a(g827 +V +p9474 +tp9475 +a(g745 +Vreturn +p9476 +tp9477 +a(g827 +g972 +tp9478 +a(g436 +VoriginalLine +p9479 +tp9480 +a(g706 +g988 +tp9481 +a(g827 +V\u000a +p9482 +tp9483 +a(g827 +V +p9484 +tp9485 +a(g706 +g1188 +tp9486 +a(g827 +V\u000a +p9487 +tp9488 +a(g827 +V\u000a +p9489 +tp9490 +a(g827 +V +p9491 +tp9492 +a(g906 +V// if there exists any worker beautifier in the activeBeautifierStack,\u000a +p9493 +tp9494 +a(g827 +V +p9495 +tp9496 +a(g906 +V// then use it instead of me to indent the current line.\u000a +p9497 +tp9498 +a(g827 +V +p9499 +tp9500 +a(g745 +Vif +p9501 +tp9502 +a(g827 +g972 +tp9503 +a(g706 +g1019 +tp9504 +a(g413 +g1099 +tp9505 +a(g436 +VisInDefine +p9506 +tp9507 +a(g827 +g972 +tp9508 +a(g413 +g1026 +tp9509 +a(g413 +g1026 +tp9510 +a(g827 +g972 +tp9511 +a(g436 +VactiveBeautifierStack +p9512 +tp9513 +a(g827 +g972 +tp9514 +a(g413 +g1099 +tp9515 +a(g413 +g1505 +tp9516 +a(g827 +g972 +tp9517 +a(g682 +VNULL +p9518 +tp9519 +a(g827 +g972 +tp9520 +a(g413 +g1026 +tp9521 +a(g413 +g1026 +tp9522 +a(g827 +g972 +tp9523 +a(g413 +g1099 +tp9524 +a(g436 +VactiveBeautifierStack +p9525 +tp9526 +a(g413 +g4390 +tp9527 +a(g413 +g2458 +tp9528 +a(g436 +Vempty +p9529 +tp9530 +a(g706 +g1019 +tp9531 +a(g706 +g1054 +tp9532 +a(g706 +g1054 +tp9533 +a(g827 +V\u000a +p9534 +tp9535 +a(g827 +V +p9536 +tp9537 +a(g706 +g1000 +tp9538 +a(g827 +V\u000a +p9539 +tp9540 +a(g827 +V +p9541 +tp9542 +a(g745 +Vreturn +p9543 +tp9544 +a(g827 +g972 +tp9545 +a(g436 +VactiveBeautifierStack +p9546 +tp9547 +a(g413 +g4390 +tp9548 +a(g413 +g2458 +tp9549 +a(g436 +Vback +p9550 +tp9551 +a(g706 +g1019 +tp9552 +a(g706 +g1054 +tp9553 +a(g413 +g4390 +tp9554 +a(g413 +g2458 +tp9555 +a(g436 +Vbeautify +p9556 +tp9557 +a(g706 +g1019 +tp9558 +a(g436 +Vline +p9559 +tp9560 +a(g706 +g1054 +tp9561 +a(g706 +g988 +tp9562 +a(g827 +V\u000a +p9563 +tp9564 +a(g827 +V +p9565 +tp9566 +a(g706 +g1188 +tp9567 +a(g827 +V\u000a +p9568 +tp9569 +a(g827 +V\u000a +p9570 +tp9571 +a(g827 +V +p9572 +tp9573 +a(g906 +V// calculate preliminary indentation based on data from past lines\u000a +p9574 +tp9575 +a(g827 +V +p9576 +tp9577 +a(g745 +Vif +p9578 +tp9579 +a(g827 +g972 +tp9580 +a(g706 +g1019 +tp9581 +a(g413 +g1099 +tp9582 +a(g436 +VinStatementIndentStack +p9583 +tp9584 +a(g413 +g4390 +tp9585 +a(g413 +g2458 +tp9586 +a(g436 +Vempty +p9587 +tp9588 +a(g706 +g1019 +tp9589 +a(g706 +g1054 +tp9590 +a(g706 +g1054 +tp9591 +a(g827 +V\u000a +p9592 +tp9593 +a(g827 +V +p9594 +tp9595 +a(g436 +VspaceTabCount +p9596 +tp9597 +a(g827 +g972 +tp9598 +a(g413 +g1505 +tp9599 +a(g827 +g972 +tp9600 +a(g436 +VinStatementIndentStack +p9601 +tp9602 +a(g413 +g4390 +tp9603 +a(g413 +g2458 +tp9604 +a(g436 +Vback +p9605 +tp9606 +a(g706 +g1019 +tp9607 +a(g706 +g1054 +tp9608 +a(g706 +g988 +tp9609 +a(g827 +V\u000a +p9610 +tp9611 +a(g827 +V\u000a +p9612 +tp9613 +a(g827 +V\u000a +p9614 +tp9615 +a(g827 +V +p9616 +tp9617 +a(g745 +Vfor +p9618 +tp9619 +a(g827 +g972 +tp9620 +a(g706 +g1019 +tp9621 +a(g436 +g1503 +tp9622 +a(g413 +g1505 +tp9623 +a(g37 +g6082 +tp9624 +a(g706 +g988 +tp9625 +a(g827 +g972 +tp9626 +a(g436 +g1503 +tp9627 +a(g413 +g1075 +tp9628 +a(g436 +VheaderStackSize +p9629 +tp9630 +a(g706 +g988 +tp9631 +a(g827 +g972 +tp9632 +a(g436 +g1503 +tp9633 +a(g413 +g1517 +tp9634 +a(g413 +g1517 +tp9635 +a(g706 +g1054 +tp9636 +a(g827 +V\u000a +p9637 +tp9638 +a(g827 +V +p9639 +tp9640 +a(g706 +g1000 +tp9641 +a(g827 +V\u000a +p9642 +tp9643 +a(g827 +V +p9644 +tp9645 +a(g436 +VisInClass +p9646 +tp9647 +a(g827 +g972 +tp9648 +a(g413 +g1505 +tp9649 +a(g827 +g972 +tp9650 +a(g682 +Vfalse +p9651 +tp9652 +a(g706 +g988 +tp9653 +a(g827 +V\u000a +p9654 +tp9655 +a(g827 +V\u000a +p9656 +tp9657 +a(g827 +V +p9658 +tp9659 +a(g745 +Vif +p9660 +tp9661 +a(g827 +g972 +tp9662 +a(g706 +g1019 +tp9663 +a(g436 +VblockIndent +p9664 +tp9665 +a(g827 +g972 +tp9666 +a(g413 +g8191 +tp9667 +a(g413 +g8191 +tp9668 +a(g827 +g972 +tp9669 +a(g706 +g1019 +tp9670 +a(g413 +g1099 +tp9671 +a(g706 +g1019 +tp9672 +a(g436 +g1503 +tp9673 +a(g413 +g2458 +tp9674 +a(g37 +g6082 +tp9675 +a(g827 +g972 +tp9676 +a(g413 +g1026 +tp9677 +a(g413 +g1026 +tp9678 +a(g827 +g972 +tp9679 +a(g706 +g1019 +tp9680 +a(g413 +g2456 +tp9681 +a(g436 +VheaderStack +p9682 +tp9683 +a(g706 +g1054 +tp9684 +a(g706 +g1083 +tp9685 +a(g436 +g1503 +tp9686 +a(g413 +g4390 +tp9687 +a(g37 +g1507 +tp9688 +a(g706 +g7909 +tp9689 +a(g827 +g972 +tp9690 +a(g413 +g1099 +tp9691 +a(g413 +g1505 +tp9692 +a(g827 +g972 +tp9693 +a(g413 +g1026 +tp9694 +a(g436 +VAS_OPEN_BRACKET +p9695 +tp9696 +a(g827 +V\u000a +p9697 +tp9698 +a(g827 +V +p9699 +tp9700 +a(g413 +g1026 +tp9701 +a(g413 +g1026 +tp9702 +a(g827 +g972 +tp9703 +a(g706 +g1019 +tp9704 +a(g413 +g2456 +tp9705 +a(g436 +VheaderStack +p9706 +tp9707 +a(g706 +g1054 +tp9708 +a(g706 +g1083 +tp9709 +a(g436 +g1503 +tp9710 +a(g706 +g7909 +tp9711 +a(g827 +g972 +tp9712 +a(g413 +g1505 +tp9713 +a(g413 +g1505 +tp9714 +a(g827 +g972 +tp9715 +a(g413 +g1026 +tp9716 +a(g436 +VAS_OPEN_BRACKET +p9717 +tp9718 +a(g706 +g1054 +tp9719 +a(g706 +g1054 +tp9720 +a(g706 +g1054 +tp9721 +a(g827 +V\u000a +p9722 +tp9723 +a(g827 +V +p9724 +tp9725 +a(g413 +g1517 +tp9726 +a(g413 +g1517 +tp9727 +a(g436 +VtabCount +p9728 +tp9729 +a(g706 +g988 +tp9730 +a(g827 +V\u000a +p9731 +tp9732 +a(g827 +V\u000a +p9733 +tp9734 +a(g827 +V +p9735 +tp9736 +a(g745 +Vif +p9737 +tp9738 +a(g827 +g972 +tp9739 +a(g706 +g1019 +tp9740 +a(g436 +VisCStyle +p9741 +tp9742 +a(g827 +g972 +tp9743 +a(g413 +g1026 +tp9744 +a(g413 +g1026 +tp9745 +a(g827 +g972 +tp9746 +a(g413 +g1099 +tp9747 +a(g436 +VnamespaceIndent +p9748 +tp9749 +a(g827 +g972 +tp9750 +a(g413 +g1026 +tp9751 +a(g413 +g1026 +tp9752 +a(g827 +g972 +tp9753 +a(g436 +g1503 +tp9754 +a(g827 +g972 +tp9755 +a(g413 +g2458 +tp9756 +a(g413 +g1505 +tp9757 +a(g827 +g972 +tp9758 +a(g37 +g1507 +tp9759 +a(g827 +V\u000a +p9760 +tp9761 +a(g827 +V +p9762 +tp9763 +a(g413 +g1026 +tp9764 +a(g413 +g1026 +tp9765 +a(g827 +g972 +tp9766 +a(g706 +g1019 +tp9767 +a(g413 +g2456 +tp9768 +a(g436 +VheaderStack +p9769 +tp9770 +a(g706 +g1054 +tp9771 +a(g706 +g1083 +tp9772 +a(g436 +g1503 +tp9773 +a(g413 +g4390 +tp9774 +a(g37 +g1507 +tp9775 +a(g706 +g7909 +tp9776 +a(g827 +g972 +tp9777 +a(g413 +g1505 +tp9778 +a(g413 +g1505 +tp9779 +a(g827 +g972 +tp9780 +a(g413 +g1026 +tp9781 +a(g436 +VAS_NAMESPACE +p9782 +tp9783 +a(g827 +V\u000a +p9784 +tp9785 +a(g827 +V +p9786 +tp9787 +a(g413 +g1026 +tp9788 +a(g413 +g1026 +tp9789 +a(g827 +g972 +tp9790 +a(g706 +g1019 +tp9791 +a(g413 +g2456 +tp9792 +a(g436 +VheaderStack +p9793 +tp9794 +a(g706 +g1054 +tp9795 +a(g706 +g1083 +tp9796 +a(g436 +g1503 +tp9797 +a(g706 +g7909 +tp9798 +a(g827 +g972 +tp9799 +a(g413 +g1505 +tp9800 +a(g413 +g1505 +tp9801 +a(g827 +g972 +tp9802 +a(g413 +g1026 +tp9803 +a(g436 +VAS_OPEN_BRACKET +p9804 +tp9805 +a(g706 +g1054 +tp9806 +a(g827 +V\u000a +p9807 +tp9808 +a(g827 +V +p9809 +tp9810 +a(g413 +g4390 +tp9811 +a(g413 +g4390 +tp9812 +a(g436 +VtabCount +p9813 +tp9814 +a(g706 +g988 +tp9815 +a(g827 +V\u000a +p9816 +tp9817 +a(g827 +V\u000a +p9818 +tp9819 +a(g827 +V +p9820 +tp9821 +a(g745 +Vif +p9822 +tp9823 +a(g827 +g972 +tp9824 +a(g706 +g1019 +tp9825 +a(g436 +VisCStyle +p9826 +tp9827 +a(g827 +g972 +tp9828 +a(g413 +g1026 +tp9829 +a(g413 +g1026 +tp9830 +a(g827 +g972 +tp9831 +a(g436 +g1503 +tp9832 +a(g827 +g972 +tp9833 +a(g413 +g2458 +tp9834 +a(g413 +g1505 +tp9835 +a(g827 +g972 +tp9836 +a(g37 +g1507 +tp9837 +a(g827 +V\u000a +p9838 +tp9839 +a(g827 +V +p9840 +tp9841 +a(g413 +g1026 +tp9842 +a(g413 +g1026 +tp9843 +a(g827 +g972 +tp9844 +a(g706 +g1019 +tp9845 +a(g413 +g2456 +tp9846 +a(g436 +VheaderStack +p9847 +tp9848 +a(g706 +g1054 +tp9849 +a(g706 +g1083 +tp9850 +a(g436 +g1503 +tp9851 +a(g413 +g4390 +tp9852 +a(g37 +g1507 +tp9853 +a(g706 +g7909 +tp9854 +a(g827 +g972 +tp9855 +a(g413 +g1505 +tp9856 +a(g413 +g1505 +tp9857 +a(g827 +g972 +tp9858 +a(g413 +g1026 +tp9859 +a(g436 +VAS_CLASS +p9860 +tp9861 +a(g827 +V\u000a +p9862 +tp9863 +a(g827 +V +p9864 +tp9865 +a(g413 +g1026 +tp9866 +a(g413 +g1026 +tp9867 +a(g827 +g972 +tp9868 +a(g706 +g1019 +tp9869 +a(g413 +g2456 +tp9870 +a(g436 +VheaderStack +p9871 +tp9872 +a(g706 +g1054 +tp9873 +a(g706 +g1083 +tp9874 +a(g436 +g1503 +tp9875 +a(g706 +g7909 +tp9876 +a(g827 +g972 +tp9877 +a(g413 +g1505 +tp9878 +a(g413 +g1505 +tp9879 +a(g827 +g972 +tp9880 +a(g413 +g1026 +tp9881 +a(g436 +VAS_OPEN_BRACKET +p9882 +tp9883 +a(g827 +g972 +tp9884 +a(g706 +g1054 +tp9885 +a(g827 +V\u000a +p9886 +tp9887 +a(g827 +V +p9888 +tp9889 +a(g706 +g1000 +tp9890 +a(g827 +V\u000a +p9891 +tp9892 +a(g827 +V +p9893 +tp9894 +a(g745 +Vif +p9895 +tp9896 +a(g827 +g972 +tp9897 +a(g706 +g1019 +tp9898 +a(g436 +VclassIndent +p9899 +tp9900 +a(g706 +g1054 +tp9901 +a(g827 +V\u000a +p9902 +tp9903 +a(g827 +V +p9904 +tp9905 +a(g413 +g1517 +tp9906 +a(g413 +g1517 +tp9907 +a(g436 +VtabCount +p9908 +tp9909 +a(g706 +g988 +tp9910 +a(g827 +V\u000a +p9911 +tp9912 +a(g827 +V +p9913 +tp9914 +a(g436 +VisInClass +p9915 +tp9916 +a(g827 +g972 +tp9917 +a(g413 +g1505 +tp9918 +a(g827 +g972 +tp9919 +a(g682 +Vtrue +p9920 +tp9921 +a(g706 +g988 +tp9922 +a(g827 +V\u000a +p9923 +tp9924 +a(g827 +V +p9925 +tp9926 +a(g706 +g1188 +tp9927 +a(g827 +V\u000a +p9928 +tp9929 +a(g827 +V\u000a +p9930 +tp9931 +a(g827 +V +p9932 +tp9933 +a(g906 +V// is the switchIndent option is on, indent switch statements an additional indent.\u000a +p9934 +tp9935 +a(g827 +V +p9936 +tp9937 +a(g745 +Velse +p9938 +tp9939 +a(g827 +g972 +tp9940 +a(g745 +Vif +p9941 +tp9942 +a(g827 +g972 +tp9943 +a(g706 +g1019 +tp9944 +a(g436 +VswitchIndent +p9945 +tp9946 +a(g827 +g972 +tp9947 +a(g413 +g1026 +tp9948 +a(g413 +g1026 +tp9949 +a(g827 +g972 +tp9950 +a(g436 +g1503 +tp9951 +a(g827 +g972 +tp9952 +a(g413 +g2458 +tp9953 +a(g827 +g972 +tp9954 +a(g37 +g1507 +tp9955 +a(g827 +g972 +tp9956 +a(g413 +g1026 +tp9957 +a(g413 +g1026 +tp9958 +a(g827 +V\u000a +p9959 +tp9960 +a(g827 +V +p9961 +tp9962 +a(g706 +g1019 +tp9963 +a(g413 +g2456 +tp9964 +a(g436 +VheaderStack +p9965 +tp9966 +a(g706 +g1054 +tp9967 +a(g706 +g1083 +tp9968 +a(g436 +g1503 +tp9969 +a(g413 +g4390 +tp9970 +a(g37 +g1507 +tp9971 +a(g706 +g7909 +tp9972 +a(g827 +g972 +tp9973 +a(g413 +g1505 +tp9974 +a(g413 +g1505 +tp9975 +a(g827 +g972 +tp9976 +a(g413 +g1026 +tp9977 +a(g436 +VAS_SWITCH +p9978 +tp9979 +a(g827 +g972 +tp9980 +a(g413 +g1026 +tp9981 +a(g413 +g1026 +tp9982 +a(g827 +V\u000a +p9983 +tp9984 +a(g827 +V +p9985 +tp9986 +a(g706 +g1019 +tp9987 +a(g413 +g2456 +tp9988 +a(g436 +VheaderStack +p9989 +tp9990 +a(g706 +g1054 +tp9991 +a(g706 +g1083 +tp9992 +a(g436 +g1503 +tp9993 +a(g706 +g7909 +tp9994 +a(g827 +g972 +tp9995 +a(g413 +g1505 +tp9996 +a(g413 +g1505 +tp9997 +a(g827 +g972 +tp9998 +a(g413 +g1026 +tp9999 +a(g436 +VAS_OPEN_BRACKET +p10000 +tp10001 +a(g827 +V\u000a +p10002 +tp10003 +a(g827 +V +p10004 +tp10005 +a(g706 +g1054 +tp10006 +a(g827 +V\u000a +p10007 +tp10008 +a(g827 +V +p10009 +tp10010 +a(g706 +g1000 +tp10011 +a(g827 +V\u000a +p10012 +tp10013 +a(g827 +V +p10014 +tp10015 +a(g413 +g1517 +tp10016 +a(g413 +g1517 +tp10017 +a(g436 +VtabCount +p10018 +tp10019 +a(g706 +g988 +tp10020 +a(g827 +V\u000a +p10021 +tp10022 +a(g827 +V +p10023 +tp10024 +a(g436 +VisInSwitch +p10025 +tp10026 +a(g827 +g972 +tp10027 +a(g413 +g1505 +tp10028 +a(g827 +g972 +tp10029 +a(g682 +Vtrue +p10030 +tp10031 +a(g706 +g988 +tp10032 +a(g827 +V\u000a +p10033 +tp10034 +a(g827 +V +p10035 +tp10036 +a(g706 +g1188 +tp10037 +a(g827 +V\u000a +p10038 +tp10039 +a(g827 +V\u000a +p10040 +tp10041 +a(g827 +V +p10042 +tp10043 +a(g706 +g1188 +tp10044 +a(g827 +V\u000a +p10045 +tp10046 +a(g827 +V\u000a +p10047 +tp10048 +a(g827 +V +p10049 +tp10050 +a(g745 +Vif +p10051 +tp10052 +a(g827 +g972 +tp10053 +a(g706 +g1019 +tp10054 +a(g413 +g1099 +tp10055 +a(g436 +VlineStartsInComment +p10056 +tp10057 +a(g827 +V\u000a +p10058 +tp10059 +a(g827 +V +p10060 +tp10061 +a(g413 +g1026 +tp10062 +a(g413 +g1026 +tp10063 +a(g827 +g972 +tp10064 +a(g436 +VisCStyle +p10065 +tp10066 +a(g827 +V\u000a +p10067 +tp10068 +a(g827 +V +p10069 +tp10070 +a(g413 +g1026 +tp10071 +a(g413 +g1026 +tp10072 +a(g827 +g972 +tp10073 +a(g436 +VisInClass +p10074 +tp10075 +a(g827 +V\u000a +p10076 +tp10077 +a(g827 +V +p10078 +tp10079 +a(g413 +g1026 +tp10080 +a(g413 +g1026 +tp10081 +a(g827 +g972 +tp10082 +a(g436 +VclassIndent +p10083 +tp10084 +a(g827 +V\u000a +p10085 +tp10086 +a(g827 +V +p10087 +tp10088 +a(g413 +g1026 +tp10089 +a(g413 +g1026 +tp10090 +a(g827 +g972 +tp10091 +a(g436 +VheaderStackSize +p10092 +tp10093 +a(g827 +g972 +tp10094 +a(g413 +g2458 +tp10095 +a(g413 +g1505 +tp10096 +a(g827 +g972 +tp10097 +a(g37 +g6659 +tp10098 +a(g827 +V\u000a +p10099 +tp10100 +a(g827 +V +p10101 +tp10102 +a(g413 +g1026 +tp10103 +a(g413 +g1026 +tp10104 +a(g706 +g1019 +tp10105 +a(g413 +g2456 +tp10106 +a(g436 +VheaderStack +p10107 +tp10108 +a(g706 +g1054 +tp10109 +a(g706 +g1083 +tp10110 +a(g436 +VheaderStackSize +p10111 +tp10112 +a(g413 +g4390 +tp10113 +a(g37 +g6659 +tp10114 +a(g706 +g7909 +tp10115 +a(g827 +g972 +tp10116 +a(g413 +g1505 +tp10117 +a(g413 +g1505 +tp10118 +a(g827 +g972 +tp10119 +a(g413 +g1026 +tp10120 +a(g436 +VAS_CLASS +p10121 +tp10122 +a(g827 +V\u000a +p10123 +tp10124 +a(g827 +V +p10125 +tp10126 +a(g413 +g1026 +tp10127 +a(g413 +g1026 +tp10128 +a(g827 +g972 +tp10129 +a(g706 +g1019 +tp10130 +a(g413 +g2456 +tp10131 +a(g436 +VheaderStack +p10132 +tp10133 +a(g706 +g1054 +tp10134 +a(g706 +g1083 +tp10135 +a(g436 +VheaderStackSize +p10136 +tp10137 +a(g413 +g4390 +tp10138 +a(g37 +g1507 +tp10139 +a(g706 +g7909 +tp10140 +a(g827 +g972 +tp10141 +a(g413 +g1505 +tp10142 +a(g413 +g1505 +tp10143 +a(g827 +g972 +tp10144 +a(g413 +g1026 +tp10145 +a(g436 +VAS_OPEN_BRACKET +p10146 +tp10147 +a(g827 +V\u000a +p10148 +tp10149 +a(g827 +V +p10150 +tp10151 +a(g413 +g1026 +tp10152 +a(g413 +g1026 +tp10153 +a(g827 +g972 +tp10154 +a(g436 +Vline +p10155 +tp10156 +a(g706 +g1083 +tp10157 +a(g37 +g6082 +tp10158 +a(g706 +g7909 +tp10159 +a(g827 +g972 +tp10160 +a(g413 +g1505 +tp10161 +a(g413 +g1505 +tp10162 +a(g827 +g972 +tp10163 +a(g93 +g6333 +tp10164 +a(g93 +g1188 +tp10165 +a(g93 +g6333 +tp10166 +a(g706 +g1054 +tp10167 +a(g827 +V\u000a +p10168 +tp10169 +a(g827 +V +p10170 +tp10171 +a(g413 +g4390 +tp10172 +a(g413 +g4390 +tp10173 +a(g436 +VtabCount +p10174 +tp10175 +a(g706 +g988 +tp10176 +a(g827 +V\u000a +p10177 +tp10178 +a(g827 +V\u000a +p10179 +tp10180 +a(g827 +V +p10181 +tp10182 +a(g745 +Velse +p10183 +tp10184 +a(g827 +g972 +tp10185 +a(g574 +Vif +p10186 +tp10187 +a(g827 +g972 +tp10188 +a(g706 +g1019 +tp10189 +a(g413 +g1099 +tp10190 +a(g436 +VlineStartsInComment +p10191 +tp10192 +a(g827 +V\u000a +p10193 +tp10194 +a(g827 +V +p10195 +tp10196 +a(g413 +g1026 +tp10197 +a(g413 +g1026 +tp10198 +a(g827 +g972 +tp10199 +a(g436 +VisInSwitch +p10200 +tp10201 +a(g827 +V\u000a +p10202 +tp10203 +a(g827 +V +p10204 +tp10205 +a(g413 +g1026 +tp10206 +a(g413 +g1026 +tp10207 +a(g827 +g972 +tp10208 +a(g436 +VswitchIndent +p10209 +tp10210 +a(g827 +V\u000a +p10211 +tp10212 +a(g827 +V +p10213 +tp10214 +a(g413 +g1026 +tp10215 +a(g413 +g1026 +tp10216 +a(g827 +g972 +tp10217 +a(g436 +VheaderStackSize +p10218 +tp10219 +a(g827 +g972 +tp10220 +a(g413 +g2458 +tp10221 +a(g413 +g1505 +tp10222 +a(g827 +g972 +tp10223 +a(g37 +g6659 +tp10224 +a(g827 +V\u000a +p10225 +tp10226 +a(g827 +V +p10227 +tp10228 +a(g413 +g1026 +tp10229 +a(g413 +g1026 +tp10230 +a(g827 +g972 +tp10231 +a(g706 +g1019 +tp10232 +a(g413 +g2456 +tp10233 +a(g436 +VheaderStack +p10234 +tp10235 +a(g706 +g1054 +tp10236 +a(g706 +g1083 +tp10237 +a(g436 +VheaderStackSize +p10238 +tp10239 +a(g413 +g4390 +tp10240 +a(g37 +g6659 +tp10241 +a(g706 +g7909 +tp10242 +a(g827 +g972 +tp10243 +a(g413 +g1505 +tp10244 +a(g413 +g1505 +tp10245 +a(g827 +g972 +tp10246 +a(g413 +g1026 +tp10247 +a(g436 +VAS_SWITCH +p10248 +tp10249 +a(g827 +V\u000a +p10250 +tp10251 +a(g827 +V +p10252 +tp10253 +a(g413 +g1026 +tp10254 +a(g413 +g1026 +tp10255 +a(g827 +g972 +tp10256 +a(g706 +g1019 +tp10257 +a(g413 +g2456 +tp10258 +a(g436 +VheaderStack +p10259 +tp10260 +a(g706 +g1054 +tp10261 +a(g706 +g1083 +tp10262 +a(g436 +VheaderStackSize +p10263 +tp10264 +a(g413 +g4390 +tp10265 +a(g37 +g1507 +tp10266 +a(g706 +g7909 +tp10267 +a(g827 +g972 +tp10268 +a(g413 +g1505 +tp10269 +a(g413 +g1505 +tp10270 +a(g827 +g972 +tp10271 +a(g413 +g1026 +tp10272 +a(g436 +VAS_OPEN_BRACKET +p10273 +tp10274 +a(g827 +V\u000a +p10275 +tp10276 +a(g827 +V +p10277 +tp10278 +a(g413 +g1026 +tp10279 +a(g413 +g1026 +tp10280 +a(g827 +g972 +tp10281 +a(g436 +Vline +p10282 +tp10283 +a(g706 +g1083 +tp10284 +a(g37 +g6082 +tp10285 +a(g706 +g7909 +tp10286 +a(g827 +g972 +tp10287 +a(g413 +g1505 +tp10288 +a(g413 +g1505 +tp10289 +a(g827 +g972 +tp10290 +a(g93 +g6333 +tp10291 +a(g93 +g1188 +tp10292 +a(g93 +g6333 +tp10293 +a(g706 +g1054 +tp10294 +a(g827 +V\u000a +p10295 +tp10296 +a(g827 +V +p10297 +tp10298 +a(g413 +g4390 +tp10299 +a(g413 +g4390 +tp10300 +a(g436 +VtabCount +p10301 +tp10302 +a(g706 +g988 +tp10303 +a(g827 +V\u000a +p10304 +tp10305 +a(g827 +V\u000a +p10306 +tp10307 +a(g827 +V +p10308 +tp10309 +a(g745 +Vif +p10310 +tp10311 +a(g827 +g972 +tp10312 +a(g706 +g1019 +tp10313 +a(g436 +VisInClassHeader +p10314 +tp10315 +a(g706 +g1054 +tp10316 +a(g827 +V\u000a +p10317 +tp10318 +a(g827 +V +p10319 +tp10320 +a(g706 +g1000 +tp10321 +a(g827 +V\u000a +p10322 +tp10323 +a(g827 +V +p10324 +tp10325 +a(g436 +VisInClassHeaderTab +p10326 +tp10327 +a(g827 +g972 +tp10328 +a(g413 +g1505 +tp10329 +a(g827 +g972 +tp10330 +a(g682 +Vtrue +p10331 +tp10332 +a(g706 +g988 +tp10333 +a(g827 +V\u000a +p10334 +tp10335 +a(g827 +V +p10336 +tp10337 +a(g436 +VtabCount +p10338 +tp10339 +a(g827 +g972 +tp10340 +a(g413 +g1517 +tp10341 +a(g413 +g1505 +tp10342 +a(g827 +g972 +tp10343 +a(g37 +g6659 +tp10344 +a(g706 +g988 +tp10345 +a(g827 +V\u000a +p10346 +tp10347 +a(g827 +V +p10348 +tp10349 +a(g706 +g1188 +tp10350 +a(g827 +V\u000a +p10351 +tp10352 +a(g827 +V\u000a +p10353 +tp10354 +a(g827 +V +p10355 +tp10356 +a(g745 +Vif +p10357 +tp10358 +a(g827 +g972 +tp10359 +a(g706 +g1019 +tp10360 +a(g436 +VisInConditional +p10361 +tp10362 +a(g706 +g1054 +tp10363 +a(g827 +V\u000a +p10364 +tp10365 +a(g827 +V +p10366 +tp10367 +a(g706 +g1000 +tp10368 +a(g827 +V\u000a +p10369 +tp10370 +a(g827 +V +p10371 +tp10372 +a(g413 +g4390 +tp10373 +a(g413 +g4390 +tp10374 +a(g436 +VtabCount +p10375 +tp10376 +a(g706 +g988 +tp10377 +a(g827 +V\u000a +p10378 +tp10379 +a(g827 +V +p10380 +tp10381 +a(g706 +g1188 +tp10382 +a(g827 +V\u000a +p10383 +tp10384 +a(g827 +V\u000a +p10385 +tp10386 +a(g827 +V\u000a +p10387 +tp10388 +a(g827 +V +p10389 +tp10390 +a(g906 +V// parse characters in the current line.\u000a +p10391 +tp10392 +a(g827 +V\u000a +p10393 +tp10394 +a(g827 +V +p10395 +tp10396 +a(g745 +Vfor +p10397 +tp10398 +a(g827 +g972 +tp10399 +a(g706 +g1019 +tp10400 +a(g436 +g1503 +tp10401 +a(g413 +g1505 +tp10402 +a(g37 +g6082 +tp10403 +a(g706 +g988 +tp10404 +a(g827 +g972 +tp10405 +a(g436 +g1503 +tp10406 +a(g413 +g1075 +tp10407 +a(g436 +Vline +p10408 +tp10409 +a(g706 +g1103 +tp10410 +a(g436 +Vlength +p10411 +tp10412 +a(g706 +g1019 +tp10413 +a(g706 +g1054 +tp10414 +a(g706 +g988 +tp10415 +a(g827 +g972 +tp10416 +a(g436 +g1503 +tp10417 +a(g413 +g1517 +tp10418 +a(g413 +g1517 +tp10419 +a(g706 +g1054 +tp10420 +a(g827 +V\u000a +p10421 +tp10422 +a(g827 +V +p10423 +tp10424 +a(g706 +g1000 +tp10425 +a(g827 +V\u000a +p10426 +tp10427 +a(g827 +V +p10428 +tp10429 +a(g436 +VtempCh +p10430 +tp10431 +a(g827 +g972 +tp10432 +a(g413 +g1505 +tp10433 +a(g827 +g972 +tp10434 +a(g436 +Vline +p10435 +tp10436 +a(g706 +g1083 +tp10437 +a(g436 +g1503 +tp10438 +a(g706 +g7909 +tp10439 +a(g706 +g988 +tp10440 +a(g827 +V\u000a +p10441 +tp10442 +a(g827 +V\u000a +p10443 +tp10444 +a(g827 +V +p10445 +tp10446 +a(g436 +VprevCh +p10447 +tp10448 +a(g827 +g972 +tp10449 +a(g413 +g1505 +tp10450 +a(g827 +g972 +tp10451 +a(g436 +Vch +p10452 +tp10453 +a(g706 +g988 +tp10454 +a(g827 +V\u000a +p10455 +tp10456 +a(g827 +V +p10457 +tp10458 +a(g436 +Vch +p10459 +tp10460 +a(g827 +g972 +tp10461 +a(g413 +g1505 +tp10462 +a(g827 +g972 +tp10463 +a(g436 +VtempCh +p10464 +tp10465 +a(g706 +g988 +tp10466 +a(g827 +V\u000a +p10467 +tp10468 +a(g827 +V\u000a +p10469 +tp10470 +a(g827 +V +p10471 +tp10472 +a(g436 +VoutBuffer +p10473 +tp10474 +a(g706 +g1103 +tp10475 +a(g436 +Vappend +p10476 +tp10477 +a(g706 +g1019 +tp10478 +a(g37 +g1507 +tp10479 +a(g706 +g1030 +tp10480 +a(g827 +g972 +tp10481 +a(g436 +Vch +p10482 +tp10483 +a(g706 +g1054 +tp10484 +a(g706 +g988 +tp10485 +a(g827 +V\u000a +p10486 +tp10487 +a(g827 +V\u000a +p10488 +tp10489 +a(g827 +V +p10490 +tp10491 +a(g745 +Vif +p10492 +tp10493 +a(g827 +g972 +tp10494 +a(g706 +g1019 +tp10495 +a(g436 +VisWhiteSpace +p10496 +tp10497 +a(g706 +g1019 +tp10498 +a(g436 +Vch +p10499 +tp10500 +a(g706 +g1054 +tp10501 +a(g706 +g1054 +tp10502 +a(g827 +V\u000a +p10503 +tp10504 +a(g827 +V +p10505 +tp10506 +a(g745 +Vcontinue +p10507 +tp10508 +a(g706 +g988 +tp10509 +a(g827 +V\u000a +p10510 +tp10511 +a(g827 +V\u000a +p10512 +tp10513 +a(g827 +V\u000a +p10514 +tp10515 +a(g827 +V +p10516 +tp10517 +a(g906 +V// handle special characters (i.e. backslash+character such as \u005cn, \u005ct, ...)\u000a +p10518 +tp10519 +a(g827 +V +p10520 +tp10521 +a(g745 +Vif +p10522 +tp10523 +a(g827 +g972 +tp10524 +a(g706 +g1019 +tp10525 +a(g436 +VisSpecialChar +p10526 +tp10527 +a(g706 +g1054 +tp10528 +a(g827 +V\u000a +p10529 +tp10530 +a(g827 +V +p10531 +tp10532 +a(g706 +g1000 +tp10533 +a(g827 +V\u000a +p10534 +tp10535 +a(g827 +V +p10536 +tp10537 +a(g436 +VisSpecialChar +p10538 +tp10539 +a(g827 +g972 +tp10540 +a(g413 +g1505 +tp10541 +a(g827 +g972 +tp10542 +a(g682 +Vfalse +p10543 +tp10544 +a(g706 +g988 +tp10545 +a(g827 +V\u000a +p10546 +tp10547 +a(g827 +V +p10548 +tp10549 +a(g745 +Vcontinue +p10550 +tp10551 +a(g706 +g988 +tp10552 +a(g827 +V\u000a +p10553 +tp10554 +a(g827 +V +p10555 +tp10556 +a(g706 +g1188 +tp10557 +a(g827 +V\u000a +p10558 +tp10559 +a(g827 +V +p10560 +tp10561 +a(g745 +Vif +p10562 +tp10563 +a(g827 +g972 +tp10564 +a(g706 +g1019 +tp10565 +a(g413 +g1099 +tp10566 +a(g706 +g1019 +tp10567 +a(g436 +VisInComment +p10568 +tp10569 +a(g827 +g972 +tp10570 +a(g413 +g8191 +tp10571 +a(g413 +g8191 +tp10572 +a(g827 +g972 +tp10573 +a(g436 +VisInLineComment +p10574 +tp10575 +a(g706 +g1054 +tp10576 +a(g827 +g972 +tp10577 +a(g413 +g1026 +tp10578 +a(g413 +g1026 +tp10579 +a(g827 +g972 +tp10580 +a(g436 +Vline +p10581 +tp10582 +a(g706 +g1103 +tp10583 +a(g436 +VCOMPARE +p10584 +tp10585 +a(g706 +g1019 +tp10586 +a(g436 +g1503 +tp10587 +a(g706 +g1030 +tp10588 +a(g827 +g972 +tp10589 +a(g37 +g6659 +tp10590 +a(g706 +g1030 +tp10591 +a(g827 +g972 +tp10592 +a(g436 +Vstring +p10593 +tp10594 +a(g706 +g1019 +tp10595 +a(g89 +g1079 +tp10596 +a(g213 +V\u005c\u005c +p10597 +tp10598 +a(g213 +V\u005c\u005c +p10599 +tp10600 +a(g89 +g1079 +tp10601 +a(g706 +g1054 +tp10602 +a(g706 +g1054 +tp10603 +a(g827 +g972 +tp10604 +a(g413 +g1505 +tp10605 +a(g413 +g1505 +tp10606 +a(g827 +g972 +tp10607 +a(g37 +g6082 +tp10608 +a(g706 +g1054 +tp10609 +a(g827 +V\u000a +p10610 +tp10611 +a(g827 +V +p10612 +tp10613 +a(g706 +g1000 +tp10614 +a(g827 +V\u000a +p10615 +tp10616 +a(g827 +V +p10617 +tp10618 +a(g436 +VoutBuffer +p10619 +tp10620 +a(g706 +g1103 +tp10621 +a(g436 +Vappend +p10622 +tp10623 +a(g706 +g1019 +tp10624 +a(g37 +g1507 +tp10625 +a(g706 +g1030 +tp10626 +a(g827 +g972 +tp10627 +a(g93 +g6333 +tp10628 +a(g93 +V\u005c\u005c +p10629 +tp10630 +a(g93 +g6333 +tp10631 +a(g706 +g1054 +tp10632 +a(g706 +g988 +tp10633 +a(g827 +V\u000a +p10634 +tp10635 +a(g827 +V +p10636 +tp10637 +a(g436 +g1503 +tp10638 +a(g413 +g1517 +tp10639 +a(g413 +g1517 +tp10640 +a(g706 +g988 +tp10641 +a(g827 +V\u000a +p10642 +tp10643 +a(g827 +V +p10644 +tp10645 +a(g745 +Vcontinue +p10646 +tp10647 +a(g706 +g988 +tp10648 +a(g827 +V\u000a +p10649 +tp10650 +a(g827 +V +p10651 +tp10652 +a(g706 +g1188 +tp10653 +a(g827 +V\u000a +p10654 +tp10655 +a(g827 +V +p10656 +tp10657 +a(g745 +Vif +p10658 +tp10659 +a(g827 +g972 +tp10660 +a(g706 +g1019 +tp10661 +a(g413 +g1099 +tp10662 +a(g706 +g1019 +tp10663 +a(g436 +VisInComment +p10664 +tp10665 +a(g827 +g972 +tp10666 +a(g413 +g8191 +tp10667 +a(g413 +g8191 +tp10668 +a(g827 +g972 +tp10669 +a(g436 +VisInLineComment +p10670 +tp10671 +a(g706 +g1054 +tp10672 +a(g827 +g972 +tp10673 +a(g413 +g1026 +tp10674 +a(g413 +g1026 +tp10675 +a(g827 +g972 +tp10676 +a(g436 +Vch +p10677 +tp10678 +a(g413 +g1505 +tp10679 +a(g413 +g1505 +tp10680 +a(g93 +g6333 +tp10681 +a(g93 +V\u005c\u005c +p10682 +tp10683 +a(g93 +g6333 +tp10684 +a(g706 +g1054 +tp10685 +a(g827 +V\u000a +p10686 +tp10687 +a(g827 +V +p10688 +tp10689 +a(g706 +g1000 +tp10690 +a(g827 +V\u000a +p10691 +tp10692 +a(g827 +V +p10693 +tp10694 +a(g436 +VisSpecialChar +p10695 +tp10696 +a(g827 +g972 +tp10697 +a(g413 +g1505 +tp10698 +a(g827 +g972 +tp10699 +a(g682 +Vtrue +p10700 +tp10701 +a(g706 +g988 +tp10702 +a(g827 +V\u000a +p10703 +tp10704 +a(g827 +V +p10705 +tp10706 +a(g745 +Vcontinue +p10707 +tp10708 +a(g706 +g988 +tp10709 +a(g827 +V\u000a +p10710 +tp10711 +a(g827 +V +p10712 +tp10713 +a(g706 +g1188 +tp10714 +a(g827 +V\u000a +p10715 +tp10716 +a(g827 +V\u000a +p10717 +tp10718 +a(g827 +V +p10719 +tp10720 +a(g906 +V// handle quotes (such as 'x' and "Hello Dolly")\u000a +p10721 +tp10722 +a(g827 +V +p10723 +tp10724 +a(g745 +Vif +p10725 +tp10726 +a(g827 +g972 +tp10727 +a(g706 +g1019 +tp10728 +a(g413 +g1099 +tp10729 +a(g706 +g1019 +tp10730 +a(g436 +VisInComment +p10731 +tp10732 +a(g827 +g972 +tp10733 +a(g413 +g8191 +tp10734 +a(g413 +g8191 +tp10735 +a(g827 +g972 +tp10736 +a(g436 +VisInLineComment +p10737 +tp10738 +a(g706 +g1054 +tp10739 +a(g827 +g972 +tp10740 +a(g413 +g1026 +tp10741 +a(g413 +g1026 +tp10742 +a(g827 +g972 +tp10743 +a(g706 +g1019 +tp10744 +a(g436 +Vch +p10745 +tp10746 +a(g413 +g1505 +tp10747 +a(g413 +g1505 +tp10748 +a(g93 +g6333 +tp10749 +a(g93 +g1079 +tp10750 +a(g93 +g6333 +tp10751 +a(g827 +g972 +tp10752 +a(g413 +g8191 +tp10753 +a(g413 +g8191 +tp10754 +a(g827 +g972 +tp10755 +a(g436 +Vch +p10756 +tp10757 +a(g413 +g1505 +tp10758 +a(g413 +g1505 +tp10759 +a(g93 +g6333 +tp10760 +a(g93 +V\u005c' +p10761 +tp10762 +a(g93 +g6333 +tp10763 +a(g706 +g1054 +tp10764 +a(g706 +g1054 +tp10765 +a(g827 +V\u000a +p10766 +tp10767 +a(g827 +V +p10768 +tp10769 +a(g745 +Vif +p10770 +tp10771 +a(g827 +g972 +tp10772 +a(g706 +g1019 +tp10773 +a(g413 +g1099 +tp10774 +a(g436 +VisInQuote +p10775 +tp10776 +a(g706 +g1054 +tp10777 +a(g827 +V\u000a +p10778 +tp10779 +a(g827 +V +p10780 +tp10781 +a(g706 +g1000 +tp10782 +a(g827 +V\u000a +p10783 +tp10784 +a(g827 +V +p10785 +tp10786 +a(g436 +VquoteChar +p10787 +tp10788 +a(g827 +g972 +tp10789 +a(g413 +g1505 +tp10790 +a(g827 +g972 +tp10791 +a(g436 +Vch +p10792 +tp10793 +a(g706 +g988 +tp10794 +a(g827 +V\u000a +p10795 +tp10796 +a(g827 +V +p10797 +tp10798 +a(g436 +VisInQuote +p10799 +tp10800 +a(g827 +g972 +tp10801 +a(g413 +g1505 +tp10802 +a(g827 +g972 +tp10803 +a(g682 +Vtrue +p10804 +tp10805 +a(g706 +g988 +tp10806 +a(g827 +V\u000a +p10807 +tp10808 +a(g827 +V +p10809 +tp10810 +a(g706 +g1188 +tp10811 +a(g827 +V\u000a +p10812 +tp10813 +a(g827 +V +p10814 +tp10815 +a(g745 +Velse +p10816 +tp10817 +a(g827 +g972 +tp10818 +a(g745 +Vif +p10819 +tp10820 +a(g827 +g972 +tp10821 +a(g706 +g1019 +tp10822 +a(g436 +VquoteChar +p10823 +tp10824 +a(g827 +g972 +tp10825 +a(g413 +g1505 +tp10826 +a(g413 +g1505 +tp10827 +a(g827 +g972 +tp10828 +a(g436 +Vch +p10829 +tp10830 +a(g706 +g1054 +tp10831 +a(g827 +V\u000a +p10832 +tp10833 +a(g827 +V +p10834 +tp10835 +a(g706 +g1000 +tp10836 +a(g827 +V\u000a +p10837 +tp10838 +a(g827 +V +p10839 +tp10840 +a(g436 +VisInQuote +p10841 +tp10842 +a(g827 +g972 +tp10843 +a(g413 +g1505 +tp10844 +a(g827 +g972 +tp10845 +a(g682 +Vfalse +p10846 +tp10847 +a(g706 +g988 +tp10848 +a(g827 +V\u000a +p10849 +tp10850 +a(g827 +V +p10851 +tp10852 +a(g436 +VisInStatement +p10853 +tp10854 +a(g827 +g972 +tp10855 +a(g413 +g1505 +tp10856 +a(g827 +g972 +tp10857 +a(g682 +Vtrue +p10858 +tp10859 +a(g706 +g988 +tp10860 +a(g827 +V\u000a +p10861 +tp10862 +a(g827 +V +p10863 +tp10864 +a(g745 +Vcontinue +p10865 +tp10866 +a(g706 +g988 +tp10867 +a(g827 +V\u000a +p10868 +tp10869 +a(g827 +V +p10870 +tp10871 +a(g706 +g1188 +tp10872 +a(g827 +V\u000a +p10873 +tp10874 +a(g827 +V +p10875 +tp10876 +a(g745 +Vif +p10877 +tp10878 +a(g827 +g972 +tp10879 +a(g706 +g1019 +tp10880 +a(g436 +VisInQuote +p10881 +tp10882 +a(g706 +g1054 +tp10883 +a(g827 +V\u000a +p10884 +tp10885 +a(g827 +V +p10886 +tp10887 +a(g745 +Vcontinue +p10888 +tp10889 +a(g706 +g988 +tp10890 +a(g827 +V\u000a +p10891 +tp10892 +a(g827 +V\u000a +p10893 +tp10894 +a(g827 +V +p10895 +tp10896 +a(g906 +V// handle comments\u000a +p10897 +tp10898 +a(g827 +V\u000a +p10899 +tp10900 +a(g827 +V +p10901 +tp10902 +a(g745 +Vif +p10903 +tp10904 +a(g827 +g972 +tp10905 +a(g706 +g1019 +tp10906 +a(g827 +g972 +tp10907 +a(g413 +g1099 +tp10908 +a(g706 +g1019 +tp10909 +a(g436 +VisInComment +p10910 +tp10911 +a(g827 +g972 +tp10912 +a(g413 +g8191 +tp10913 +a(g413 +g8191 +tp10914 +a(g827 +g972 +tp10915 +a(g436 +VisInLineComment +p10916 +tp10917 +a(g706 +g1054 +tp10918 +a(g827 +g972 +tp10919 +a(g413 +g1026 +tp10920 +a(g413 +g1026 +tp10921 +a(g827 +g972 +tp10922 +a(g436 +Vline +p10923 +tp10924 +a(g706 +g1103 +tp10925 +a(g436 +VCOMPARE +p10926 +tp10927 +a(g706 +g1019 +tp10928 +a(g436 +g1503 +tp10929 +a(g706 +g1030 +tp10930 +a(g827 +g972 +tp10931 +a(g37 +g6659 +tp10932 +a(g706 +g1030 +tp10933 +a(g827 +g972 +tp10934 +a(g436 +VAS_OPEN_LINE_COMMENT +p10935 +tp10936 +a(g706 +g1054 +tp10937 +a(g827 +g972 +tp10938 +a(g413 +g1505 +tp10939 +a(g413 +g1505 +tp10940 +a(g827 +g972 +tp10941 +a(g37 +g6082 +tp10942 +a(g827 +g972 +tp10943 +a(g706 +g1054 +tp10944 +a(g827 +V\u000a +p10945 +tp10946 +a(g827 +V +p10947 +tp10948 +a(g706 +g1000 +tp10949 +a(g827 +V\u000a +p10950 +tp10951 +a(g827 +V +p10952 +tp10953 +a(g436 +VisInLineComment +p10954 +tp10955 +a(g827 +g972 +tp10956 +a(g413 +g1505 +tp10957 +a(g827 +g972 +tp10958 +a(g682 +Vtrue +p10959 +tp10960 +a(g706 +g988 +tp10961 +a(g827 +V\u000a +p10962 +tp10963 +a(g827 +V +p10964 +tp10965 +a(g436 +VoutBuffer +p10966 +tp10967 +a(g706 +g1103 +tp10968 +a(g436 +Vappend +p10969 +tp10970 +a(g706 +g1019 +tp10971 +a(g37 +g1507 +tp10972 +a(g706 +g1030 +tp10973 +a(g827 +g972 +tp10974 +a(g93 +g6333 +tp10975 +a(g93 +V/ +p10976 +tp10977 +a(g93 +g6333 +tp10978 +a(g706 +g1054 +tp10979 +a(g706 +g988 +tp10980 +a(g827 +V\u000a +p10981 +tp10982 +a(g827 +V +p10983 +tp10984 +a(g436 +g1503 +tp10985 +a(g413 +g1517 +tp10986 +a(g413 +g1517 +tp10987 +a(g706 +g988 +tp10988 +a(g827 +V\u000a +p10989 +tp10990 +a(g827 +V +p10991 +tp10992 +a(g745 +Vcontinue +p10993 +tp10994 +a(g706 +g988 +tp10995 +a(g827 +V\u000a +p10996 +tp10997 +a(g827 +V +p10998 +tp10999 +a(g706 +g1188 +tp11000 +a(g827 +V\u000a +p11001 +tp11002 +a(g827 +V +p11003 +tp11004 +a(g745 +Velse +p11005 +tp11006 +a(g827 +g972 +tp11007 +a(g745 +Vif +p11008 +tp11009 +a(g827 +g972 +tp11010 +a(g706 +g1019 +tp11011 +a(g827 +g972 +tp11012 +a(g413 +g1099 +tp11013 +a(g706 +g1019 +tp11014 +a(g436 +VisInComment +p11015 +tp11016 +a(g827 +g972 +tp11017 +a(g413 +g8191 +tp11018 +a(g413 +g8191 +tp11019 +a(g827 +g972 +tp11020 +a(g436 +VisInLineComment +p11021 +tp11022 +a(g706 +g1054 +tp11023 +a(g827 +g972 +tp11024 +a(g413 +g1026 +tp11025 +a(g413 +g1026 +tp11026 +a(g827 +g972 +tp11027 +a(g436 +Vline +p11028 +tp11029 +a(g706 +g1103 +tp11030 +a(g436 +VCOMPARE +p11031 +tp11032 +a(g706 +g1019 +tp11033 +a(g436 +g1503 +tp11034 +a(g706 +g1030 +tp11035 +a(g827 +g972 +tp11036 +a(g37 +g6659 +tp11037 +a(g706 +g1030 +tp11038 +a(g827 +g972 +tp11039 +a(g436 +VAS_OPEN_COMMENT +p11040 +tp11041 +a(g706 +g1054 +tp11042 +a(g827 +g972 +tp11043 +a(g413 +g1505 +tp11044 +a(g413 +g1505 +tp11045 +a(g827 +g972 +tp11046 +a(g37 +g6082 +tp11047 +a(g827 +g972 +tp11048 +a(g706 +g1054 +tp11049 +a(g827 +V\u000a +p11050 +tp11051 +a(g827 +V +p11052 +tp11053 +a(g706 +g1000 +tp11054 +a(g827 +V\u000a +p11055 +tp11056 +a(g827 +V +p11057 +tp11058 +a(g436 +VisInComment +p11059 +tp11060 +a(g827 +g972 +tp11061 +a(g413 +g1505 +tp11062 +a(g827 +g972 +tp11063 +a(g682 +Vtrue +p11064 +tp11065 +a(g706 +g988 +tp11066 +a(g827 +V\u000a +p11067 +tp11068 +a(g827 +V +p11069 +tp11070 +a(g436 +VoutBuffer +p11071 +tp11072 +a(g706 +g1103 +tp11073 +a(g436 +Vappend +p11074 +tp11075 +a(g706 +g1019 +tp11076 +a(g37 +g1507 +tp11077 +a(g706 +g1030 +tp11078 +a(g827 +g972 +tp11079 +a(g93 +g6333 +tp11080 +a(g93 +g2456 +tp11081 +a(g93 +g6333 +tp11082 +a(g706 +g1054 +tp11083 +a(g706 +g988 +tp11084 +a(g827 +V\u000a +p11085 +tp11086 +a(g827 +V +p11087 +tp11088 +a(g436 +g1503 +tp11089 +a(g413 +g1517 +tp11090 +a(g413 +g1517 +tp11091 +a(g706 +g988 +tp11092 +a(g827 +V\u000a +p11093 +tp11094 +a(g827 +V +p11095 +tp11096 +a(g745 +Vcontinue +p11097 +tp11098 +a(g706 +g988 +tp11099 +a(g827 +V\u000a +p11100 +tp11101 +a(g827 +V +p11102 +tp11103 +a(g706 +g1188 +tp11104 +a(g827 +V\u000a +p11105 +tp11106 +a(g827 +V +p11107 +tp11108 +a(g745 +Velse +p11109 +tp11110 +a(g827 +g972 +tp11111 +a(g745 +Vif +p11112 +tp11113 +a(g827 +g972 +tp11114 +a(g706 +g1019 +tp11115 +a(g827 +g972 +tp11116 +a(g706 +g1019 +tp11117 +a(g436 +VisInComment +p11118 +tp11119 +a(g827 +g972 +tp11120 +a(g413 +g8191 +tp11121 +a(g413 +g8191 +tp11122 +a(g827 +g972 +tp11123 +a(g436 +VisInLineComment +p11124 +tp11125 +a(g706 +g1054 +tp11126 +a(g827 +g972 +tp11127 +a(g413 +g1026 +tp11128 +a(g413 +g1026 +tp11129 +a(g827 +g972 +tp11130 +a(g436 +Vline +p11131 +tp11132 +a(g706 +g1103 +tp11133 +a(g436 +VCOMPARE +p11134 +tp11135 +a(g706 +g1019 +tp11136 +a(g436 +g1503 +tp11137 +a(g706 +g1030 +tp11138 +a(g827 +g972 +tp11139 +a(g37 +g6659 +tp11140 +a(g706 +g1030 +tp11141 +a(g827 +g972 +tp11142 +a(g436 +VAS_CLOSE_COMMENT +p11143 +tp11144 +a(g706 +g1054 +tp11145 +a(g827 +g972 +tp11146 +a(g413 +g1505 +tp11147 +a(g413 +g1505 +tp11148 +a(g827 +g972 +tp11149 +a(g37 +g6082 +tp11150 +a(g827 +g972 +tp11151 +a(g706 +g1054 +tp11152 +a(g827 +V\u000a +p11153 +tp11154 +a(g827 +V +p11155 +tp11156 +a(g706 +g1000 +tp11157 +a(g827 +V\u000a +p11158 +tp11159 +a(g827 +V +p11160 +tp11161 +a(g436 +VisInComment +p11162 +tp11163 +a(g827 +g972 +tp11164 +a(g413 +g1505 +tp11165 +a(g827 +g972 +tp11166 +a(g682 +Vfalse +p11167 +tp11168 +a(g706 +g988 +tp11169 +a(g827 +V\u000a +p11170 +tp11171 +a(g827 +V +p11172 +tp11173 +a(g436 +VoutBuffer +p11174 +tp11175 +a(g706 +g1103 +tp11176 +a(g436 +Vappend +p11177 +tp11178 +a(g706 +g1019 +tp11179 +a(g37 +g1507 +tp11180 +a(g706 +g1030 +tp11181 +a(g827 +g972 +tp11182 +a(g93 +g6333 +tp11183 +a(g93 +g10976 +tp11184 +a(g93 +g6333 +tp11185 +a(g706 +g1054 +tp11186 +a(g706 +g988 +tp11187 +a(g827 +V\u000a +p11188 +tp11189 +a(g827 +V +p11190 +tp11191 +a(g436 +g1503 +tp11192 +a(g413 +g1517 +tp11193 +a(g413 +g1517 +tp11194 +a(g706 +g988 +tp11195 +a(g827 +V\u000a +p11196 +tp11197 +a(g827 +V +p11198 +tp11199 +a(g745 +Vcontinue +p11200 +tp11201 +a(g706 +g988 +tp11202 +a(g827 +V\u000a +p11203 +tp11204 +a(g827 +V +p11205 +tp11206 +a(g706 +g1188 +tp11207 +a(g827 +V\u000a +p11208 +tp11209 +a(g827 +V\u000a +p11210 +tp11211 +a(g827 +V +p11212 +tp11213 +a(g745 +Vif +p11214 +tp11215 +a(g827 +g972 +tp11216 +a(g706 +g1019 +tp11217 +a(g436 +VisInComment +p11218 +tp11219 +a(g413 +g8191 +tp11220 +a(g413 +g8191 +tp11221 +a(g436 +VisInLineComment +p11222 +tp11223 +a(g706 +g1054 +tp11224 +a(g827 +V\u000a +p11225 +tp11226 +a(g827 +V +p11227 +tp11228 +a(g745 +Vcontinue +p11229 +tp11230 +a(g706 +g988 +tp11231 +a(g827 +V\u000a +p11232 +tp11233 +a(g827 +V\u000a +p11234 +tp11235 +a(g827 +V +p11236 +tp11237 +a(g906 +V// if we have reached this far then we are NOT in a comment or string of special character...\u000a +p11238 +tp11239 +a(g827 +V\u000a +p11240 +tp11241 +a(g827 +V +p11242 +tp11243 +a(g745 +Vif +p11244 +tp11245 +a(g827 +g972 +tp11246 +a(g706 +g1019 +tp11247 +a(g436 +VprobationHeader +p11248 +tp11249 +a(g827 +g972 +tp11250 +a(g413 +g1099 +tp11251 +a(g413 +g1505 +tp11252 +a(g827 +g972 +tp11253 +a(g682 +VNULL +p11254 +tp11255 +a(g706 +g1054 +tp11256 +a(g827 +V\u000a +p11257 +tp11258 +a(g827 +V +p11259 +tp11260 +a(g706 +g1000 +tp11261 +a(g827 +V\u000a +p11262 +tp11263 +a(g827 +V +p11264 +tp11265 +a(g745 +Vif +p11266 +tp11267 +a(g827 +g972 +tp11268 +a(g706 +g1019 +tp11269 +a(g827 +g972 +tp11270 +a(g706 +g1019 +tp11271 +a(g706 +g1019 +tp11272 +a(g436 +VprobationHeader +p11273 +tp11274 +a(g827 +g972 +tp11275 +a(g413 +g1505 +tp11276 +a(g413 +g1505 +tp11277 +a(g827 +g972 +tp11278 +a(g413 +g1026 +tp11279 +a(g436 +VAS_STATIC +p11280 +tp11281 +a(g827 +g972 +tp11282 +a(g413 +g8191 +tp11283 +a(g413 +g8191 +tp11284 +a(g827 +g972 +tp11285 +a(g436 +VprobationHeader +p11286 +tp11287 +a(g827 +g972 +tp11288 +a(g413 +g1505 +tp11289 +a(g413 +g1505 +tp11290 +a(g827 +g972 +tp11291 +a(g413 +g1026 +tp11292 +a(g436 +VAS_CONST +p11293 +tp11294 +a(g706 +g1054 +tp11295 +a(g827 +g972 +tp11296 +a(g413 +g1026 +tp11297 +a(g413 +g1026 +tp11298 +a(g827 +g972 +tp11299 +a(g436 +Vch +p11300 +tp11301 +a(g827 +g972 +tp11302 +a(g413 +g1505 +tp11303 +a(g413 +g1505 +tp11304 +a(g827 +g972 +tp11305 +a(g93 +g6333 +tp11306 +a(g93 +g1000 +tp11307 +a(g93 +g6333 +tp11308 +a(g706 +g1054 +tp11309 +a(g827 +V\u000a +p11310 +tp11311 +a(g827 +V +p11312 +tp11313 +a(g413 +g8191 +tp11314 +a(g413 +g8191 +tp11315 +a(g827 +g972 +tp11316 +a(g706 +g1019 +tp11317 +a(g436 +VprobationHeader +p11318 +tp11319 +a(g827 +g972 +tp11320 +a(g413 +g1505 +tp11321 +a(g413 +g1505 +tp11322 +a(g827 +g972 +tp11323 +a(g413 +g1026 +tp11324 +a(g436 +VAS_SYNCHRONIZED +p11325 +tp11326 +a(g827 +g972 +tp11327 +a(g413 +g1026 +tp11328 +a(g413 +g1026 +tp11329 +a(g827 +g972 +tp11330 +a(g436 +Vch +p11331 +tp11332 +a(g827 +g972 +tp11333 +a(g413 +g1505 +tp11334 +a(g413 +g1505 +tp11335 +a(g827 +g972 +tp11336 +a(g93 +g6333 +tp11337 +a(g93 +g1019 +tp11338 +a(g93 +g6333 +tp11339 +a(g706 +g1054 +tp11340 +a(g706 +g1054 +tp11341 +a(g827 +V\u000a +p11342 +tp11343 +a(g827 +V +p11344 +tp11345 +a(g706 +g1000 +tp11346 +a(g827 +V\u000a +p11347 +tp11348 +a(g827 +V +p11349 +tp11350 +a(g906 +V// insert the probation header as a new header\u000a +p11351 +tp11352 +a(g827 +V +p11353 +tp11354 +a(g436 +VisInHeader +p11355 +tp11356 +a(g827 +g972 +tp11357 +a(g413 +g1505 +tp11358 +a(g827 +g972 +tp11359 +a(g682 +Vtrue +p11360 +tp11361 +a(g706 +g988 +tp11362 +a(g827 +V\u000a +p11363 +tp11364 +a(g827 +V +p11365 +tp11366 +a(g436 +VheaderStack +p11367 +tp11368 +a(g413 +g4390 +tp11369 +a(g413 +g2458 +tp11370 +a(g436 +Vpush_back +p11371 +tp11372 +a(g706 +g1019 +tp11373 +a(g436 +VprobationHeader +p11374 +tp11375 +a(g706 +g1054 +tp11376 +a(g706 +g988 +tp11377 +a(g827 +V\u000a +p11378 +tp11379 +a(g827 +V\u000a +p11380 +tp11381 +a(g827 +V +p11382 +tp11383 +a(g906 +V// handle the specific probation header\u000a +p11384 +tp11385 +a(g827 +V +p11386 +tp11387 +a(g436 +VisInConditional +p11388 +tp11389 +a(g827 +g972 +tp11390 +a(g413 +g1505 +tp11391 +a(g827 +g972 +tp11392 +a(g706 +g1019 +tp11393 +a(g436 +VprobationHeader +p11394 +tp11395 +a(g827 +g972 +tp11396 +a(g413 +g1505 +tp11397 +a(g413 +g1505 +tp11398 +a(g827 +g972 +tp11399 +a(g413 +g1026 +tp11400 +a(g436 +VAS_SYNCHRONIZED +p11401 +tp11402 +a(g706 +g1054 +tp11403 +a(g706 +g988 +tp11404 +a(g827 +V\u000a +p11405 +tp11406 +a(g827 +V +p11407 +tp11408 +a(g745 +Vif +p11409 +tp11410 +a(g827 +g972 +tp11411 +a(g706 +g1019 +tp11412 +a(g436 +VprobationHeader +p11413 +tp11414 +a(g827 +g972 +tp11415 +a(g413 +g1505 +tp11416 +a(g413 +g1505 +tp11417 +a(g827 +g972 +tp11418 +a(g413 +g1026 +tp11419 +a(g436 +VAS_CONST +p11420 +tp11421 +a(g706 +g1054 +tp11422 +a(g827 +V\u000a +p11423 +tp11424 +a(g827 +V +p11425 +tp11426 +a(g436 +VisImmediatelyAfterConst +p11427 +tp11428 +a(g827 +g972 +tp11429 +a(g413 +g1505 +tp11430 +a(g827 +g972 +tp11431 +a(g682 +Vtrue +p11432 +tp11433 +a(g706 +g988 +tp11434 +a(g827 +V\u000a +p11435 +tp11436 +a(g827 +V +p11437 +tp11438 +a(g906 +V// isInConst = true;\u000a +p11439 +tp11440 +a(g827 +V +p11441 +tp11442 +a(g8 +V/* TODO:\u000a * There is actually no more need for the global isInConst variable.\u000a * The only reason for checking const is to see if there is a const\u000a * immediately before an open-bracket.\u000a * Since CONST is now put into probation and is checked during itspost-char,\u000a * isImmediatelyAfterConst can be set by its own...\u000a */ +p11443 +tp11444 +a(g827 +V\u000a +p11445 +tp11446 +a(g827 +V\u000a +p11447 +tp11448 +a(g827 +V +p11449 +tp11450 +a(g436 +VisInStatement +p11451 +tp11452 +a(g827 +g972 +tp11453 +a(g413 +g1505 +tp11454 +a(g827 +g972 +tp11455 +a(g682 +Vfalse +p11456 +tp11457 +a(g706 +g988 +tp11458 +a(g827 +V\u000a +p11459 +tp11460 +a(g827 +V +p11461 +tp11462 +a(g906 +V// if the probation comes from the previous line, then indent by 1 tab count.\u000a +p11463 +tp11464 +a(g827 +V +p11465 +tp11466 +a(g745 +Vif +p11467 +tp11468 +a(g827 +g972 +tp11469 +a(g706 +g1019 +tp11470 +a(g436 +VpreviousLineProbation +p11471 +tp11472 +a(g827 +g972 +tp11473 +a(g413 +g1026 +tp11474 +a(g413 +g1026 +tp11475 +a(g827 +g972 +tp11476 +a(g436 +Vch +p11477 +tp11478 +a(g827 +g972 +tp11479 +a(g413 +g1505 +tp11480 +a(g413 +g1505 +tp11481 +a(g827 +g972 +tp11482 +a(g93 +g6333 +tp11483 +a(g93 +g1000 +tp11484 +a(g93 +g6333 +tp11485 +a(g706 +g1054 +tp11486 +a(g827 +V\u000a +p11487 +tp11488 +a(g827 +V +p11489 +tp11490 +a(g436 +VtabCount +p11491 +tp11492 +a(g413 +g1517 +tp11493 +a(g413 +g1517 +tp11494 +a(g706 +g988 +tp11495 +a(g827 +V\u000a +p11496 +tp11497 +a(g827 +V +p11498 +tp11499 +a(g436 +VpreviousLineProbation +p11500 +tp11501 +a(g827 +g972 +tp11502 +a(g413 +g1505 +tp11503 +a(g827 +g972 +tp11504 +a(g682 +Vfalse +p11505 +tp11506 +a(g706 +g988 +tp11507 +a(g827 +V\u000a +p11508 +tp11509 +a(g827 +V +p11510 +tp11511 +a(g706 +g1188 +tp11512 +a(g827 +V\u000a +p11513 +tp11514 +a(g827 +V\u000a +p11515 +tp11516 +a(g827 +V +p11517 +tp11518 +a(g906 +V// dismiss the probation header\u000a +p11519 +tp11520 +a(g827 +V +p11521 +tp11522 +a(g436 +VprobationHeader +p11523 +tp11524 +a(g827 +g972 +tp11525 +a(g413 +g1505 +tp11526 +a(g827 +g972 +tp11527 +a(g682 +VNULL +p11528 +tp11529 +a(g706 +g988 +tp11530 +a(g827 +V\u000a +p11531 +tp11532 +a(g827 +V +p11533 +tp11534 +a(g706 +g1188 +tp11535 +a(g827 +V\u000a +p11536 +tp11537 +a(g827 +V\u000a +p11538 +tp11539 +a(g827 +V +p11540 +tp11541 +a(g436 +VprevNonSpaceCh +p11542 +tp11543 +a(g827 +g972 +tp11544 +a(g413 +g1505 +tp11545 +a(g827 +g972 +tp11546 +a(g436 +VcurrentNonSpaceCh +p11547 +tp11548 +a(g706 +g988 +tp11549 +a(g827 +V\u000a +p11550 +tp11551 +a(g827 +V +p11552 +tp11553 +a(g436 +VcurrentNonSpaceCh +p11554 +tp11555 +a(g827 +g972 +tp11556 +a(g413 +g1505 +tp11557 +a(g827 +g972 +tp11558 +a(g436 +Vch +p11559 +tp11560 +a(g706 +g988 +tp11561 +a(g827 +V\u000a +p11562 +tp11563 +a(g827 +V +p11564 +tp11565 +a(g745 +Vif +p11566 +tp11567 +a(g827 +g972 +tp11568 +a(g706 +g1019 +tp11569 +a(g413 +g1099 +tp11570 +a(g436 +VisLegalNameChar +p11571 +tp11572 +a(g706 +g1019 +tp11573 +a(g436 +Vch +p11574 +tp11575 +a(g706 +g1054 +tp11576 +a(g827 +g972 +tp11577 +a(g413 +g1026 +tp11578 +a(g413 +g1026 +tp11579 +a(g827 +g972 +tp11580 +a(g436 +Vch +p11581 +tp11582 +a(g827 +g972 +tp11583 +a(g413 +g1099 +tp11584 +a(g413 +g1505 +tp11585 +a(g827 +g972 +tp11586 +a(g93 +g6333 +tp11587 +a(g93 +g1030 +tp11588 +a(g93 +g6333 +tp11589 +a(g827 +g972 +tp11590 +a(g413 +g1026 +tp11591 +a(g413 +g1026 +tp11592 +a(g827 +g972 +tp11593 +a(g436 +Vch +p11594 +tp11595 +a(g827 +g972 +tp11596 +a(g413 +g1099 +tp11597 +a(g413 +g1505 +tp11598 +a(g827 +g972 +tp11599 +a(g93 +g6333 +tp11600 +a(g93 +g988 +tp11601 +a(g93 +g6333 +tp11602 +a(g827 +g972 +tp11603 +a(g706 +g1054 +tp11604 +a(g827 +V\u000a +p11605 +tp11606 +a(g827 +V +p11607 +tp11608 +a(g706 +g1000 +tp11609 +a(g827 +V\u000a +p11610 +tp11611 +a(g827 +V +p11612 +tp11613 +a(g436 +VprevNonLegalCh +p11614 +tp11615 +a(g827 +g972 +tp11616 +a(g413 +g1505 +tp11617 +a(g827 +g972 +tp11618 +a(g436 +VcurrentNonLegalCh +p11619 +tp11620 +a(g706 +g988 +tp11621 +a(g827 +V\u000a +p11622 +tp11623 +a(g827 +V +p11624 +tp11625 +a(g436 +VcurrentNonLegalCh +p11626 +tp11627 +a(g827 +g972 +tp11628 +a(g413 +g1505 +tp11629 +a(g827 +g972 +tp11630 +a(g436 +Vch +p11631 +tp11632 +a(g706 +g988 +tp11633 +a(g827 +V\u000a +p11634 +tp11635 +a(g827 +V +p11636 +tp11637 +a(g706 +g1188 +tp11638 +a(g827 +V\u000a +p11639 +tp11640 +a(g827 +V\u000a +p11641 +tp11642 +a(g827 +V +p11643 +tp11644 +a(g906 +V//if (isInConst)\u000a +p11645 +tp11646 +a(g827 +V +p11647 +tp11648 +a(g906 +V//{\u000a +p11649 +tp11650 +a(g827 +V +p11651 +tp11652 +a(g906 +V// isInConst = false;\u000a +p11653 +tp11654 +a(g827 +V +p11655 +tp11656 +a(g906 +V// isImmediatelyAfterConst = true;\u000a +p11657 +tp11658 +a(g827 +V +p11659 +tp11660 +a(g906 +V//}\u000a +p11661 +tp11662 +a(g827 +V\u000a +p11663 +tp11664 +a(g827 +V +p11665 +tp11666 +a(g745 +Vif +p11667 +tp11668 +a(g827 +g972 +tp11669 +a(g706 +g1019 +tp11670 +a(g436 +VisInHeader +p11671 +tp11672 +a(g706 +g1054 +tp11673 +a(g827 +V\u000a +p11674 +tp11675 +a(g827 +V +p11676 +tp11677 +a(g706 +g1000 +tp11678 +a(g827 +V\u000a +p11679 +tp11680 +a(g827 +V +p11681 +tp11682 +a(g436 +VisInHeader +p11683 +tp11684 +a(g827 +g972 +tp11685 +a(g413 +g1505 +tp11686 +a(g827 +g972 +tp11687 +a(g682 +Vfalse +p11688 +tp11689 +a(g706 +g988 +tp11690 +a(g827 +V\u000a +p11691 +tp11692 +a(g827 +V +p11693 +tp11694 +a(g436 +VcurrentHeader +p11695 +tp11696 +a(g827 +g972 +tp11697 +a(g413 +g1505 +tp11698 +a(g827 +g972 +tp11699 +a(g436 +VheaderStack +p11700 +tp11701 +a(g413 +g4390 +tp11702 +a(g413 +g2458 +tp11703 +a(g436 +Vback +p11704 +tp11705 +a(g706 +g1019 +tp11706 +a(g706 +g1054 +tp11707 +a(g706 +g988 +tp11708 +a(g827 +V\u000a +p11709 +tp11710 +a(g827 +V +p11711 +tp11712 +a(g706 +g1188 +tp11713 +a(g827 +V\u000a +p11714 +tp11715 +a(g827 +V +p11716 +tp11717 +a(g745 +Velse +p11718 +tp11719 +a(g827 +V\u000a +p11720 +tp11721 +a(g827 +V +p11722 +tp11723 +a(g436 +VcurrentHeader +p11724 +tp11725 +a(g827 +g972 +tp11726 +a(g413 +g1505 +tp11727 +a(g827 +g972 +tp11728 +a(g682 +VNULL +p11729 +tp11730 +a(g706 +g988 +tp11731 +a(g827 +V\u000a +p11732 +tp11733 +a(g827 +V\u000a +p11734 +tp11735 +a(g827 +V +p11736 +tp11737 +a(g745 +Vif +p11738 +tp11739 +a(g827 +g972 +tp11740 +a(g706 +g1019 +tp11741 +a(g436 +VisCStyle +p11742 +tp11743 +a(g827 +g972 +tp11744 +a(g413 +g1026 +tp11745 +a(g413 +g1026 +tp11746 +a(g827 +g972 +tp11747 +a(g436 +VisInTemplate +p11748 +tp11749 +a(g827 +V\u000a +p11750 +tp11751 +a(g827 +V +p11752 +tp11753 +a(g413 +g1026 +tp11754 +a(g413 +g1026 +tp11755 +a(g827 +g972 +tp11756 +a(g706 +g1019 +tp11757 +a(g436 +Vch +p11758 +tp11759 +a(g827 +g972 +tp11760 +a(g413 +g1505 +tp11761 +a(g413 +g1505 +tp11762 +a(g827 +g972 +tp11763 +a(g93 +g6333 +tp11764 +a(g93 +g1075 +tp11765 +a(g93 +g6333 +tp11766 +a(g827 +g972 +tp11767 +a(g413 +g8191 +tp11768 +a(g413 +g8191 +tp11769 +a(g827 +g972 +tp11770 +a(g436 +Vch +p11771 +tp11772 +a(g827 +g972 +tp11773 +a(g413 +g1505 +tp11774 +a(g413 +g1505 +tp11775 +a(g827 +g972 +tp11776 +a(g93 +g6333 +tp11777 +a(g93 +g2458 +tp11778 +a(g93 +g6333 +tp11779 +a(g706 +g1054 +tp11780 +a(g827 +V\u000a +p11781 +tp11782 +a(g827 +V +p11783 +tp11784 +a(g413 +g1026 +tp11785 +a(g413 +g1026 +tp11786 +a(g827 +V +p11787 +tp11788 +a(g436 +VfindHeader +p11789 +tp11790 +a(g706 +g1019 +tp11791 +a(g436 +Vline +p11792 +tp11793 +a(g706 +g1030 +tp11794 +a(g827 +g972 +tp11795 +a(g436 +g1503 +tp11796 +a(g706 +g1030 +tp11797 +a(g827 +g972 +tp11798 +a(g436 +VnonAssignmentOperators +p11799 +tp11800 +a(g706 +g1054 +tp11801 +a(g827 +g972 +tp11802 +a(g413 +g1505 +tp11803 +a(g413 +g1505 +tp11804 +a(g827 +g972 +tp11805 +a(g682 +VNULL +p11806 +tp11807 +a(g706 +g1054 +tp11808 +a(g827 +g972 +tp11809 +a(g906 +V//;\u000a +p11810 +tp11811 +a(g827 +V +p11812 +tp11813 +a(g706 +g1000 +tp11814 +a(g827 +V\u000a +p11815 +tp11816 +a(g827 +V +p11817 +tp11818 +a(g745 +Vif +p11819 +tp11820 +a(g827 +g972 +tp11821 +a(g706 +g1019 +tp11822 +a(g436 +Vch +p11823 +tp11824 +a(g827 +g972 +tp11825 +a(g413 +g1505 +tp11826 +a(g413 +g1505 +tp11827 +a(g827 +g972 +tp11828 +a(g93 +g6333 +tp11829 +a(g93 +g1075 +tp11830 +a(g93 +g6333 +tp11831 +a(g706 +g1054 +tp11832 +a(g827 +V\u000a +p11833 +tp11834 +a(g827 +V +p11835 +tp11836 +a(g706 +g1000 +tp11837 +a(g827 +V\u000a +p11838 +tp11839 +a(g827 +V +p11840 +tp11841 +a(g413 +g1517 +tp11842 +a(g413 +g1517 +tp11843 +a(g436 +VtemplateDepth +p11844 +tp11845 +a(g706 +g988 +tp11846 +a(g827 +V\u000a +p11847 +tp11848 +a(g827 +V +p11849 +tp11850 +a(g706 +g1188 +tp11851 +a(g827 +V\u000a +p11852 +tp11853 +a(g827 +V +p11854 +tp11855 +a(g745 +Velse +p11856 +tp11857 +a(g827 +g972 +tp11858 +a(g745 +Vif +p11859 +tp11860 +a(g827 +g972 +tp11861 +a(g706 +g1019 +tp11862 +a(g436 +Vch +p11863 +tp11864 +a(g827 +g972 +tp11865 +a(g413 +g1505 +tp11866 +a(g413 +g1505 +tp11867 +a(g827 +g972 +tp11868 +a(g93 +g6333 +tp11869 +a(g93 +g2458 +tp11870 +a(g93 +g6333 +tp11871 +a(g706 +g1054 +tp11872 +a(g827 +V\u000a +p11873 +tp11874 +a(g827 +V +p11875 +tp11876 +a(g706 +g1000 +tp11877 +a(g827 +V\u000a +p11878 +tp11879 +a(g827 +V +p11880 +tp11881 +a(g745 +Vif +p11882 +tp11883 +a(g827 +g972 +tp11884 +a(g706 +g1019 +tp11885 +a(g413 +g4390 +tp11886 +a(g413 +g4390 +tp11887 +a(g436 +VtemplateDepth +p11888 +tp11889 +a(g827 +g972 +tp11890 +a(g413 +g1075 +tp11891 +a(g413 +g1505 +tp11892 +a(g827 +g972 +tp11893 +a(g37 +g6082 +tp11894 +a(g706 +g1054 +tp11895 +a(g827 +V\u000a +p11896 +tp11897 +a(g827 +V +p11898 +tp11899 +a(g706 +g1000 +tp11900 +a(g827 +V\u000a +p11901 +tp11902 +a(g827 +V +p11903 +tp11904 +a(g745 +Vif +p11905 +tp11906 +a(g827 +g972 +tp11907 +a(g706 +g1019 +tp11908 +a(g436 +VisInTemplate +p11909 +tp11910 +a(g706 +g1054 +tp11911 +a(g827 +V\u000a +p11912 +tp11913 +a(g827 +V +p11914 +tp11915 +a(g436 +Vch +p11916 +tp11917 +a(g827 +g972 +tp11918 +a(g413 +g1505 +tp11919 +a(g827 +g972 +tp11920 +a(g93 +g6333 +tp11921 +a(g93 +g988 +tp11922 +a(g93 +g6333 +tp11923 +a(g706 +g988 +tp11924 +a(g827 +V\u000a +p11925 +tp11926 +a(g827 +V +p11927 +tp11928 +a(g745 +Velse +p11929 +tp11930 +a(g827 +V\u000a +p11931 +tp11932 +a(g827 +V +p11933 +tp11934 +a(g436 +Vch +p11935 +tp11936 +a(g827 +g972 +tp11937 +a(g413 +g1505 +tp11938 +a(g827 +g972 +tp11939 +a(g93 +g6333 +tp11940 +a(g93 +Vt +p11941 +tp11942 +a(g93 +g6333 +tp11943 +a(g706 +g988 +tp11944 +a(g827 +V\u000a +p11945 +tp11946 +a(g827 +V +p11947 +tp11948 +a(g436 +VisInTemplate +p11949 +tp11950 +a(g827 +g972 +tp11951 +a(g413 +g1505 +tp11952 +a(g827 +g972 +tp11953 +a(g682 +Vfalse +p11954 +tp11955 +a(g706 +g988 +tp11956 +a(g827 +V\u000a +p11957 +tp11958 +a(g827 +V +p11959 +tp11960 +a(g436 +VtemplateDepth +p11961 +tp11962 +a(g827 +g972 +tp11963 +a(g413 +g1505 +tp11964 +a(g827 +g972 +tp11965 +a(g37 +g6082 +tp11966 +a(g706 +g988 +tp11967 +a(g827 +V\u000a +p11968 +tp11969 +a(g827 +V +p11970 +tp11971 +a(g706 +g1188 +tp11972 +a(g827 +V\u000a +p11973 +tp11974 +a(g827 +V\u000a +p11975 +tp11976 +a(g827 +V +p11977 +tp11978 +a(g706 +g1188 +tp11979 +a(g827 +V\u000a +p11980 +tp11981 +a(g827 +V +p11982 +tp11983 +a(g706 +g1188 +tp11984 +a(g827 +V\u000a +p11985 +tp11986 +a(g827 +V\u000a +p11987 +tp11988 +a(g827 +V +p11989 +tp11990 +a(g906 +V// handle parenthesies\u000a +p11991 +tp11992 +a(g827 +V +p11993 +tp11994 +a(g745 +Vif +p11995 +tp11996 +a(g827 +g972 +tp11997 +a(g706 +g1019 +tp11998 +a(g436 +Vch +p11999 +tp12000 +a(g827 +g972 +tp12001 +a(g413 +g1505 +tp12002 +a(g413 +g1505 +tp12003 +a(g827 +g972 +tp12004 +a(g93 +g6333 +tp12005 +a(g93 +g1019 +tp12006 +a(g93 +g6333 +tp12007 +a(g827 +g972 +tp12008 +a(g413 +g8191 +tp12009 +a(g413 +g8191 +tp12010 +a(g827 +g972 +tp12011 +a(g436 +Vch +p12012 +tp12013 +a(g827 +g972 +tp12014 +a(g413 +g1505 +tp12015 +a(g413 +g1505 +tp12016 +a(g827 +g972 +tp12017 +a(g93 +g6333 +tp12018 +a(g93 +g1083 +tp12019 +a(g93 +g6333 +tp12020 +a(g827 +g972 +tp12021 +a(g413 +g8191 +tp12022 +a(g413 +g8191 +tp12023 +a(g827 +g972 +tp12024 +a(g436 +Vch +p12025 +tp12026 +a(g827 +g972 +tp12027 +a(g413 +g1505 +tp12028 +a(g413 +g1505 +tp12029 +a(g827 +g972 +tp12030 +a(g93 +g6333 +tp12031 +a(g93 +g1054 +tp12032 +a(g93 +g6333 +tp12033 +a(g827 +g972 +tp12034 +a(g413 +g8191 +tp12035 +a(g413 +g8191 +tp12036 +a(g827 +g972 +tp12037 +a(g436 +Vch +p12038 +tp12039 +a(g827 +g972 +tp12040 +a(g413 +g1505 +tp12041 +a(g413 +g1505 +tp12042 +a(g827 +g972 +tp12043 +a(g93 +g6333 +tp12044 +a(g93 +g7909 +tp12045 +a(g93 +g6333 +tp12046 +a(g706 +g1054 +tp12047 +a(g827 +V\u000a +p12048 +tp12049 +a(g827 +V +p12050 +tp12051 +a(g706 +g1000 +tp12052 +a(g827 +V\u000a +p12053 +tp12054 +a(g827 +V +p12055 +tp12056 +a(g745 +Vif +p12057 +tp12058 +a(g827 +g972 +tp12059 +a(g706 +g1019 +tp12060 +a(g436 +Vch +p12061 +tp12062 +a(g827 +g972 +tp12063 +a(g413 +g1505 +tp12064 +a(g413 +g1505 +tp12065 +a(g827 +g972 +tp12066 +a(g93 +g6333 +tp12067 +a(g93 +g1019 +tp12068 +a(g93 +g6333 +tp12069 +a(g827 +g972 +tp12070 +a(g413 +g8191 +tp12071 +a(g413 +g8191 +tp12072 +a(g827 +g972 +tp12073 +a(g436 +Vch +p12074 +tp12075 +a(g827 +g972 +tp12076 +a(g413 +g1505 +tp12077 +a(g413 +g1505 +tp12078 +a(g827 +g972 +tp12079 +a(g93 +g6333 +tp12080 +a(g93 +g1083 +tp12081 +a(g93 +g6333 +tp12082 +a(g706 +g1054 +tp12083 +a(g827 +V\u000a +p12084 +tp12085 +a(g827 +V +p12086 +tp12087 +a(g706 +g1000 +tp12088 +a(g827 +V\u000a +p12089 +tp12090 +a(g827 +V +p12091 +tp12092 +a(g745 +Vif +p12093 +tp12094 +a(g827 +g972 +tp12095 +a(g706 +g1019 +tp12096 +a(g436 +VparenDepth +p12097 +tp12098 +a(g827 +g972 +tp12099 +a(g413 +g1505 +tp12100 +a(g413 +g1505 +tp12101 +a(g827 +g972 +tp12102 +a(g37 +g6082 +tp12103 +a(g706 +g1054 +tp12104 +a(g827 +V\u000a +p12105 +tp12106 +a(g827 +V +p12107 +tp12108 +a(g706 +g1000 +tp12109 +a(g827 +V\u000a +p12110 +tp12111 +a(g827 +V +p12112 +tp12113 +a(g436 +VparenStatementStack +p12114 +tp12115 +a(g413 +g4390 +tp12116 +a(g413 +g2458 +tp12117 +a(g436 +Vpush_back +p12118 +tp12119 +a(g706 +g1019 +tp12120 +a(g436 +VisInStatement +p12121 +tp12122 +a(g706 +g1054 +tp12123 +a(g706 +g988 +tp12124 +a(g827 +V\u000a +p12125 +tp12126 +a(g827 +V +p12127 +tp12128 +a(g436 +VisInStatement +p12129 +tp12130 +a(g827 +g972 +tp12131 +a(g413 +g1505 +tp12132 +a(g827 +g972 +tp12133 +a(g682 +Vtrue +p12134 +tp12135 +a(g706 +g988 +tp12136 +a(g827 +V\u000a +p12137 +tp12138 +a(g827 +V +p12139 +tp12140 +a(g706 +g1188 +tp12141 +a(g827 +V\u000a +p12142 +tp12143 +a(g827 +V +p12144 +tp12145 +a(g436 +VparenDepth +p12146 +tp12147 +a(g413 +g1517 +tp12148 +a(g413 +g1517 +tp12149 +a(g706 +g988 +tp12150 +a(g827 +V\u000a +p12151 +tp12152 +a(g827 +V\u000a +p12153 +tp12154 +a(g827 +V +p12155 +tp12156 +a(g436 +VinStatementIndentStackSizeStack +p12157 +tp12158 +a(g413 +g4390 +tp12159 +a(g413 +g2458 +tp12160 +a(g436 +Vpush_back +p12161 +tp12162 +a(g706 +g1019 +tp12163 +a(g436 +VinStatementIndentStack +p12164 +tp12165 +a(g413 +g4390 +tp12166 +a(g413 +g2458 +tp12167 +a(g436 +Vsize +p12168 +tp12169 +a(g706 +g1019 +tp12170 +a(g706 +g1054 +tp12171 +a(g706 +g1054 +tp12172 +a(g706 +g988 +tp12173 +a(g827 +V\u000a +p12174 +tp12175 +a(g827 +V\u000a +p12176 +tp12177 +a(g827 +V +p12178 +tp12179 +a(g745 +Vif +p12180 +tp12181 +a(g827 +g972 +tp12182 +a(g706 +g1019 +tp12183 +a(g436 +VcurrentHeader +p12184 +tp12185 +a(g827 +g972 +tp12186 +a(g413 +g1099 +tp12187 +a(g413 +g1505 +tp12188 +a(g827 +g972 +tp12189 +a(g682 +VNULL +p12190 +tp12191 +a(g706 +g1054 +tp12192 +a(g827 +V\u000a +p12193 +tp12194 +a(g827 +V +p12195 +tp12196 +a(g436 +VregisterInStatementIndent +p12197 +tp12198 +a(g706 +g1019 +tp12199 +a(g436 +Vline +p12200 +tp12201 +a(g706 +g1030 +tp12202 +a(g827 +g972 +tp12203 +a(g436 +g1503 +tp12204 +a(g706 +g1030 +tp12205 +a(g827 +g972 +tp12206 +a(g436 +VspaceTabCount +p12207 +tp12208 +a(g706 +g1030 +tp12209 +a(g827 +g972 +tp12210 +a(g436 +VminConditionalIndent +p12211 +tp12212 +a(g8 +V/*indentLength*2*/ +p12213 +tp12214 +a(g706 +g1030 +tp12215 +a(g827 +g972 +tp12216 +a(g682 +Vtrue +p12217 +tp12218 +a(g706 +g1054 +tp12219 +a(g706 +g988 +tp12220 +a(g827 +V\u000a +p12221 +tp12222 +a(g827 +V +p12223 +tp12224 +a(g745 +Velse +p12225 +tp12226 +a(g827 +V\u000a +p12227 +tp12228 +a(g827 +V +p12229 +tp12230 +a(g574 +VregisterInStatementIndent +p12231 +tp12232 +a(g706 +g1019 +tp12233 +a(g436 +Vline +p12234 +tp12235 +a(g706 +g1030 +tp12236 +a(g827 +g972 +tp12237 +a(g436 +g1503 +tp12238 +a(g706 +g1030 +tp12239 +a(g827 +g972 +tp12240 +a(g436 +VspaceTabCount +p12241 +tp12242 +a(g706 +g1030 +tp12243 +a(g827 +g972 +tp12244 +a(g37 +g6082 +tp12245 +a(g706 +g1030 +tp12246 +a(g827 +g972 +tp12247 +a(g682 +Vtrue +p12248 +tp12249 +a(g706 +g1054 +tp12250 +a(g706 +g988 +tp12251 +a(g827 +V\u000a +p12252 +tp12253 +a(g827 +V +p12254 +tp12255 +a(g706 +g1188 +tp12256 +a(g827 +V\u000a +p12257 +tp12258 +a(g827 +V +p12259 +tp12260 +a(g745 +Velse +p12261 +tp12262 +a(g827 +g972 +tp12263 +a(g745 +Vif +p12264 +tp12265 +a(g827 +g972 +tp12266 +a(g706 +g1019 +tp12267 +a(g436 +Vch +p12268 +tp12269 +a(g827 +g972 +tp12270 +a(g413 +g1505 +tp12271 +a(g413 +g1505 +tp12272 +a(g827 +g972 +tp12273 +a(g93 +g6333 +tp12274 +a(g93 +g1054 +tp12275 +a(g93 +g6333 +tp12276 +a(g827 +g972 +tp12277 +a(g413 +g8191 +tp12278 +a(g413 +g8191 +tp12279 +a(g827 +g972 +tp12280 +a(g436 +Vch +p12281 +tp12282 +a(g827 +g972 +tp12283 +a(g413 +g1505 +tp12284 +a(g413 +g1505 +tp12285 +a(g827 +g972 +tp12286 +a(g93 +g6333 +tp12287 +a(g93 +g7909 +tp12288 +a(g93 +g6333 +tp12289 +a(g706 +g1054 +tp12290 +a(g827 +V\u000a +p12291 +tp12292 +a(g827 +V +p12293 +tp12294 +a(g706 +g1000 +tp12295 +a(g827 +V\u000a +p12296 +tp12297 +a(g827 +V +p12298 +tp12299 +a(g436 +VparenDepth +p12300 +tp12301 +a(g413 +g4390 +tp12302 +a(g413 +g4390 +tp12303 +a(g706 +g988 +tp12304 +a(g827 +V\u000a +p12305 +tp12306 +a(g827 +V +p12307 +tp12308 +a(g745 +Vif +p12309 +tp12310 +a(g827 +g972 +tp12311 +a(g706 +g1019 +tp12312 +a(g436 +VparenDepth +p12313 +tp12314 +a(g827 +g972 +tp12315 +a(g413 +g1505 +tp12316 +a(g413 +g1505 +tp12317 +a(g827 +g972 +tp12318 +a(g37 +g6082 +tp12319 +a(g706 +g1054 +tp12320 +a(g827 +V\u000a +p12321 +tp12322 +a(g827 +V +p12323 +tp12324 +a(g706 +g1000 +tp12325 +a(g827 +V\u000a +p12326 +tp12327 +a(g827 +V +p12328 +tp12329 +a(g436 +VisInStatement +p12330 +tp12331 +a(g827 +g972 +tp12332 +a(g413 +g1505 +tp12333 +a(g827 +g972 +tp12334 +a(g436 +VparenStatementStack +p12335 +tp12336 +a(g413 +g4390 +tp12337 +a(g413 +g2458 +tp12338 +a(g436 +Vback +p12339 +tp12340 +a(g706 +g1019 +tp12341 +a(g706 +g1054 +tp12342 +a(g706 +g988 +tp12343 +a(g827 +V\u000a +p12344 +tp12345 +a(g827 +V +p12346 +tp12347 +a(g436 +VparenStatementStack +p12348 +tp12349 +a(g413 +g4390 +tp12350 +a(g413 +g2458 +tp12351 +a(g436 +Vpop_back +p12352 +tp12353 +a(g706 +g1019 +tp12354 +a(g706 +g1054 +tp12355 +a(g706 +g988 +tp12356 +a(g827 +V\u000a +p12357 +tp12358 +a(g827 +V +p12359 +tp12360 +a(g436 +Vch +p12361 +tp12362 +a(g827 +g972 +tp12363 +a(g413 +g1505 +tp12364 +a(g827 +g972 +tp12365 +a(g93 +g6333 +tp12366 +a(g93 +g972 +tp12367 +a(g93 +g6333 +tp12368 +a(g706 +g988 +tp12369 +a(g827 +V\u000a +p12370 +tp12371 +a(g827 +V\u000a +p12372 +tp12373 +a(g827 +V +p12374 +tp12375 +a(g436 +VisInConditional +p12376 +tp12377 +a(g827 +g972 +tp12378 +a(g413 +g1505 +tp12379 +a(g827 +g972 +tp12380 +a(g682 +Vfalse +p12381 +tp12382 +a(g706 +g988 +tp12383 +a(g827 +V\u000a +p12384 +tp12385 +a(g827 +V +p12386 +tp12387 +a(g706 +g1188 +tp12388 +a(g827 +V\u000a +p12389 +tp12390 +a(g827 +V\u000a +p12391 +tp12392 +a(g827 +V +p12393 +tp12394 +a(g745 +Vif +p12395 +tp12396 +a(g827 +g972 +tp12397 +a(g706 +g1019 +tp12398 +a(g413 +g1099 +tp12399 +a(g436 +VinStatementIndentStackSizeStack +p12400 +tp12401 +a(g413 +g4390 +tp12402 +a(g413 +g2458 +tp12403 +a(g436 +Vempty +p12404 +tp12405 +a(g706 +g1019 +tp12406 +a(g706 +g1054 +tp12407 +a(g706 +g1054 +tp12408 +a(g827 +V\u000a +p12409 +tp12410 +a(g827 +V +p12411 +tp12412 +a(g706 +g1000 +tp12413 +a(g827 +V\u000a +p12414 +tp12415 +a(g827 +V +p12416 +tp12417 +a(g749 +Vunsigned +p12418 +tp12419 +a(g827 +g972 +tp12420 +a(g749 +Vint +p12421 +tp12422 +a(g827 +g972 +tp12423 +a(g436 +VpreviousIndentStackSize +p12424 +tp12425 +a(g827 +g972 +tp12426 +a(g413 +g1505 +tp12427 +a(g827 +g972 +tp12428 +a(g436 +VinStatementIndentStackSizeStack +p12429 +tp12430 +a(g413 +g4390 +tp12431 +a(g413 +g2458 +tp12432 +a(g436 +Vback +p12433 +tp12434 +a(g706 +g1019 +tp12435 +a(g706 +g1054 +tp12436 +a(g706 +g988 +tp12437 +a(g827 +V\u000a +p12438 +tp12439 +a(g827 +V +p12440 +tp12441 +a(g436 +VinStatementIndentStackSizeStack +p12442 +tp12443 +a(g413 +g4390 +tp12444 +a(g413 +g2458 +tp12445 +a(g436 +Vpop_back +p12446 +tp12447 +a(g706 +g1019 +tp12448 +a(g706 +g1054 +tp12449 +a(g706 +g988 +tp12450 +a(g827 +V\u000a +p12451 +tp12452 +a(g827 +V +p12453 +tp12454 +a(g745 +Vwhile +p12455 +tp12456 +a(g827 +g972 +tp12457 +a(g706 +g1019 +tp12458 +a(g436 +VpreviousIndentStackSize +p12459 +tp12460 +a(g827 +g972 +tp12461 +a(g413 +g1075 +tp12462 +a(g827 +g972 +tp12463 +a(g436 +VinStatementIndentStack +p12464 +tp12465 +a(g413 +g4390 +tp12466 +a(g413 +g2458 +tp12467 +a(g436 +Vsize +p12468 +tp12469 +a(g706 +g1019 +tp12470 +a(g706 +g1054 +tp12471 +a(g706 +g1054 +tp12472 +a(g827 +V\u000a +p12473 +tp12474 +a(g827 +V +p12475 +tp12476 +a(g436 +VinStatementIndentStack +p12477 +tp12478 +a(g413 +g4390 +tp12479 +a(g413 +g2458 +tp12480 +a(g436 +Vpop_back +p12481 +tp12482 +a(g706 +g1019 +tp12483 +a(g706 +g1054 +tp12484 +a(g706 +g988 +tp12485 +a(g827 +V\u000a +p12486 +tp12487 +a(g827 +V\u000a +p12488 +tp12489 +a(g827 +V +p12490 +tp12491 +a(g745 +Vif +p12492 +tp12493 +a(g827 +g972 +tp12494 +a(g706 +g1019 +tp12495 +a(g413 +g1099 +tp12496 +a(g436 +VparenIndentStack +p12497 +tp12498 +a(g413 +g4390 +tp12499 +a(g413 +g2458 +tp12500 +a(g436 +Vempty +p12501 +tp12502 +a(g706 +g1019 +tp12503 +a(g706 +g1054 +tp12504 +a(g706 +g1054 +tp12505 +a(g827 +V\u000a +p12506 +tp12507 +a(g827 +V +p12508 +tp12509 +a(g706 +g1000 +tp12510 +a(g827 +V\u000a +p12511 +tp12512 +a(g827 +V +p12513 +tp12514 +a(g749 +Vint +p12515 +tp12516 +a(g827 +g972 +tp12517 +a(g436 +VpoppedIndent +p12518 +tp12519 +a(g827 +g972 +tp12520 +a(g413 +g1505 +tp12521 +a(g827 +g972 +tp12522 +a(g436 +VparenIndentStack +p12523 +tp12524 +a(g413 +g4390 +tp12525 +a(g413 +g2458 +tp12526 +a(g436 +Vback +p12527 +tp12528 +a(g706 +g1019 +tp12529 +a(g706 +g1054 +tp12530 +a(g706 +g988 +tp12531 +a(g827 +V\u000a +p12532 +tp12533 +a(g827 +V +p12534 +tp12535 +a(g436 +VparenIndentStack +p12536 +tp12537 +a(g413 +g4390 +tp12538 +a(g413 +g2458 +tp12539 +a(g436 +Vpop_back +p12540 +tp12541 +a(g706 +g1019 +tp12542 +a(g706 +g1054 +tp12543 +a(g706 +g988 +tp12544 +a(g827 +V\u000a +p12545 +tp12546 +a(g827 +V\u000a +p12547 +tp12548 +a(g827 +V +p12549 +tp12550 +a(g745 +Vif +p12551 +tp12552 +a(g827 +g972 +tp12553 +a(g706 +g1019 +tp12554 +a(g436 +g1503 +tp12555 +a(g827 +g972 +tp12556 +a(g413 +g1505 +tp12557 +a(g413 +g1505 +tp12558 +a(g827 +g972 +tp12559 +a(g37 +g6082 +tp12560 +a(g706 +g1054 +tp12561 +a(g827 +V\u000a +p12562 +tp12563 +a(g827 +V +p12564 +tp12565 +a(g436 +VspaceTabCount +p12566 +tp12567 +a(g827 +g972 +tp12568 +a(g413 +g1505 +tp12569 +a(g827 +g972 +tp12570 +a(g436 +VpoppedIndent +p12571 +tp12572 +a(g706 +g988 +tp12573 +a(g827 +V\u000a +p12574 +tp12575 +a(g827 +V +p12576 +tp12577 +a(g706 +g1188 +tp12578 +a(g827 +V\u000a +p12579 +tp12580 +a(g827 +V +p12581 +tp12582 +a(g706 +g1188 +tp12583 +a(g827 +V\u000a +p12584 +tp12585 +a(g827 +V +p12586 +tp12587 +a(g706 +g1188 +tp12588 +a(g827 +V\u000a +p12589 +tp12590 +a(g827 +V\u000a +p12591 +tp12592 +a(g827 +V +p12593 +tp12594 +a(g745 +Vcontinue +p12595 +tp12596 +a(g706 +g988 +tp12597 +a(g827 +V\u000a +p12598 +tp12599 +a(g827 +V +p12600 +tp12601 +a(g706 +g1188 +tp12602 +a(g827 +V\u000a +p12603 +tp12604 +a(g827 +V\u000a +p12605 +tp12606 +a(g827 +V\u000a +p12607 +tp12608 +a(g827 +V +p12609 +tp12610 +a(g745 +Vif +p12611 +tp12612 +a(g827 +g972 +tp12613 +a(g706 +g1019 +tp12614 +a(g436 +Vch +p12615 +tp12616 +a(g827 +g972 +tp12617 +a(g413 +g1505 +tp12618 +a(g413 +g1505 +tp12619 +a(g827 +g972 +tp12620 +a(g93 +g6333 +tp12621 +a(g93 +g1000 +tp12622 +a(g93 +g6333 +tp12623 +a(g706 +g1054 +tp12624 +a(g827 +V\u000a +p12625 +tp12626 +a(g827 +V +p12627 +tp12628 +a(g706 +g1000 +tp12629 +a(g827 +V\u000a +p12630 +tp12631 +a(g827 +V +p12632 +tp12633 +a(g749 +Vbool +p12634 +tp12635 +a(g827 +g972 +tp12636 +a(g436 +VisBlockOpener +p12637 +tp12638 +a(g827 +g972 +tp12639 +a(g413 +g1505 +tp12640 +a(g827 +g972 +tp12641 +a(g682 +Vfalse +p12642 +tp12643 +a(g706 +g988 +tp12644 +a(g827 +V\u000a +p12645 +tp12646 +a(g827 +V\u000a +p12647 +tp12648 +a(g827 +V +p12649 +tp12650 +a(g906 +V// first, check if '{' is a block-opener or an static-array opener\u000a +p12651 +tp12652 +a(g827 +V +p12653 +tp12654 +a(g436 +VisBlockOpener +p12655 +tp12656 +a(g827 +g972 +tp12657 +a(g413 +g1505 +tp12658 +a(g827 +g972 +tp12659 +a(g706 +g1019 +tp12660 +a(g827 +g972 +tp12661 +a(g706 +g1019 +tp12662 +a(g436 +VprevNonSpaceCh +p12663 +tp12664 +a(g827 +g972 +tp12665 +a(g413 +g1505 +tp12666 +a(g413 +g1505 +tp12667 +a(g827 +g972 +tp12668 +a(g93 +g6333 +tp12669 +a(g93 +g1000 +tp12670 +a(g93 +g6333 +tp12671 +a(g827 +g972 +tp12672 +a(g413 +g1026 +tp12673 +a(g413 +g1026 +tp12674 +a(g827 +g972 +tp12675 +a(g436 +VbracketBlockStateStack +p12676 +tp12677 +a(g413 +g4390 +tp12678 +a(g413 +g2458 +tp12679 +a(g436 +Vback +p12680 +tp12681 +a(g706 +g1019 +tp12682 +a(g706 +g1054 +tp12683 +a(g706 +g1054 +tp12684 +a(g827 +V\u000a +p12685 +tp12686 +a(g827 +V +p12687 +tp12688 +a(g413 +g8191 +tp12689 +a(g413 +g8191 +tp12690 +a(g827 +g972 +tp12691 +a(g436 +VprevNonSpaceCh +p12692 +tp12693 +a(g827 +g972 +tp12694 +a(g413 +g1505 +tp12695 +a(g413 +g1505 +tp12696 +a(g827 +g972 +tp12697 +a(g93 +g6333 +tp12698 +a(g93 +g1188 +tp12699 +a(g93 +g6333 +tp12700 +a(g827 +V\u000a +p12701 +tp12702 +a(g827 +V +p12703 +tp12704 +a(g413 +g8191 +tp12705 +a(g413 +g8191 +tp12706 +a(g827 +g972 +tp12707 +a(g436 +VprevNonSpaceCh +p12708 +tp12709 +a(g827 +g972 +tp12710 +a(g413 +g1505 +tp12711 +a(g413 +g1505 +tp12712 +a(g827 +g972 +tp12713 +a(g93 +g6333 +tp12714 +a(g93 +g1054 +tp12715 +a(g93 +g6333 +tp12716 +a(g827 +V\u000a +p12717 +tp12718 +a(g827 +V +p12719 +tp12720 +a(g413 +g8191 +tp12721 +a(g413 +g8191 +tp12722 +a(g827 +g972 +tp12723 +a(g436 +VprevNonSpaceCh +p12724 +tp12725 +a(g827 +g972 +tp12726 +a(g413 +g1505 +tp12727 +a(g413 +g1505 +tp12728 +a(g827 +g972 +tp12729 +a(g93 +g6333 +tp12730 +a(g93 +g988 +tp12731 +a(g93 +g6333 +tp12732 +a(g827 +V\u000a +p12733 +tp12734 +a(g827 +V +p12735 +tp12736 +a(g413 +g8191 +tp12737 +a(g413 +g8191 +tp12738 +a(g827 +g972 +tp12739 +a(g436 +VisInClassHeader +p12740 +tp12741 +a(g827 +V\u000a +p12742 +tp12743 +a(g827 +V +p12744 +tp12745 +a(g413 +g8191 +tp12746 +a(g413 +g8191 +tp12747 +a(g827 +g972 +tp12748 +a(g436 +VisBlockOpener +p12749 +tp12750 +a(g827 +V\u000a +p12751 +tp12752 +a(g827 +V +p12753 +tp12754 +a(g413 +g8191 +tp12755 +a(g413 +g8191 +tp12756 +a(g827 +g972 +tp12757 +a(g436 +VisImmediatelyAfterConst +p12758 +tp12759 +a(g827 +V\u000a +p12760 +tp12761 +a(g827 +V +p12762 +tp12763 +a(g413 +g8191 +tp12764 +a(g413 +g8191 +tp12765 +a(g827 +g972 +tp12766 +a(g706 +g1019 +tp12767 +a(g436 +VisInDefine +p12768 +tp12769 +a(g827 +g972 +tp12770 +a(g413 +g1026 +tp12771 +a(g413 +g1026 +tp12772 +a(g827 +V\u000a +p12773 +tp12774 +a(g827 +V +p12775 +tp12776 +a(g706 +g1019 +tp12777 +a(g436 +VprevNonSpaceCh +p12778 +tp12779 +a(g827 +g972 +tp12780 +a(g413 +g1505 +tp12781 +a(g413 +g1505 +tp12782 +a(g827 +g972 +tp12783 +a(g93 +g6333 +tp12784 +a(g93 +g1019 +tp12785 +a(g93 +g6333 +tp12786 +a(g827 +V\u000a +p12787 +tp12788 +a(g827 +V +p12789 +tp12790 +a(g413 +g8191 +tp12791 +a(g413 +g8191 +tp12792 +a(g827 +g972 +tp12793 +a(g436 +VprevNonSpaceCh +p12794 +tp12795 +a(g827 +g972 +tp12796 +a(g413 +g1505 +tp12797 +a(g413 +g1505 +tp12798 +a(g827 +g972 +tp12799 +a(g93 +g6333 +tp12800 +a(g93 +V_ +p12801 +tp12802 +a(g93 +g6333 +tp12803 +a(g827 +V\u000a +p12804 +tp12805 +a(g827 +V +p12806 +tp12807 +a(g413 +g8191 +tp12808 +a(g413 +g8191 +tp12809 +a(g827 +g972 +tp12810 +a(g436 +Visalnum +p12811 +tp12812 +a(g706 +g1019 +tp12813 +a(g436 +VprevNonSpaceCh +p12814 +tp12815 +a(g706 +g1054 +tp12816 +a(g706 +g1054 +tp12817 +a(g706 +g1054 +tp12818 +a(g827 +g972 +tp12819 +a(g706 +g1054 +tp12820 +a(g706 +g988 +tp12821 +a(g827 +V\u000a +p12822 +tp12823 +a(g827 +V\u000a +p12824 +tp12825 +a(g827 +V +p12826 +tp12827 +a(g436 +VisInClassHeader +p12828 +tp12829 +a(g827 +g972 +tp12830 +a(g413 +g1505 +tp12831 +a(g827 +g972 +tp12832 +a(g682 +Vfalse +p12833 +tp12834 +a(g706 +g988 +tp12835 +a(g827 +V\u000a +p12836 +tp12837 +a(g827 +V +p12838 +tp12839 +a(g745 +Vif +p12840 +tp12841 +a(g827 +g972 +tp12842 +a(g706 +g1019 +tp12843 +a(g413 +g1099 +tp12844 +a(g436 +VisBlockOpener +p12845 +tp12846 +a(g827 +g972 +tp12847 +a(g413 +g1026 +tp12848 +a(g413 +g1026 +tp12849 +a(g827 +g972 +tp12850 +a(g436 +VcurrentHeader +p12851 +tp12852 +a(g827 +g972 +tp12853 +a(g413 +g1099 +tp12854 +a(g413 +g1505 +tp12855 +a(g827 +g972 +tp12856 +a(g682 +VNULL +p12857 +tp12858 +a(g706 +g1054 +tp12859 +a(g827 +V\u000a +p12860 +tp12861 +a(g827 +V +p12862 +tp12863 +a(g706 +g1000 +tp12864 +a(g827 +V\u000a +p12865 +tp12866 +a(g827 +V +p12867 +tp12868 +a(g745 +Vfor +p12869 +tp12870 +a(g827 +g972 +tp12871 +a(g706 +g1019 +tp12872 +a(g749 +Vunsigned +p12873 +tp12874 +a(g827 +g972 +tp12875 +a(g749 +Vint +p12876 +tp12877 +a(g827 +g972 +tp12878 +a(g436 +Vn +p12879 +tp12880 +a(g413 +g1505 +tp12881 +a(g37 +g6082 +tp12882 +a(g706 +g988 +tp12883 +a(g827 +g972 +tp12884 +a(g436 +g12879 +tp12885 +a(g827 +g972 +tp12886 +a(g413 +g1075 +tp12887 +a(g827 +g972 +tp12888 +a(g436 +VnonParenHeaders +p12889 +tp12890 +a(g706 +g1103 +tp12891 +a(g436 +Vsize +p12892 +tp12893 +a(g706 +g1019 +tp12894 +a(g706 +g1054 +tp12895 +a(g706 +g988 +tp12896 +a(g827 +g972 +tp12897 +a(g436 +g12879 +tp12898 +a(g413 +g1517 +tp12899 +a(g413 +g1517 +tp12900 +a(g706 +g1054 +tp12901 +a(g827 +V\u000a +p12902 +tp12903 +a(g827 +V +p12904 +tp12905 +a(g745 +Vif +p12906 +tp12907 +a(g827 +g972 +tp12908 +a(g706 +g1019 +tp12909 +a(g436 +VcurrentHeader +p12910 +tp12911 +a(g827 +g972 +tp12912 +a(g413 +g1505 +tp12913 +a(g413 +g1505 +tp12914 +a(g827 +g972 +tp12915 +a(g436 +VnonParenHeaders +p12916 +tp12917 +a(g706 +g1083 +tp12918 +a(g436 +g12879 +tp12919 +a(g706 +g7909 +tp12920 +a(g706 +g1054 +tp12921 +a(g827 +V\u000a +p12922 +tp12923 +a(g827 +V +p12924 +tp12925 +a(g706 +g1000 +tp12926 +a(g827 +V\u000a +p12927 +tp12928 +a(g827 +V +p12929 +tp12930 +a(g436 +VisBlockOpener +p12931 +tp12932 +a(g827 +g972 +tp12933 +a(g413 +g1505 +tp12934 +a(g827 +g972 +tp12935 +a(g682 +Vtrue +p12936 +tp12937 +a(g706 +g988 +tp12938 +a(g827 +V\u000a +p12939 +tp12940 +a(g827 +V +p12941 +tp12942 +a(g745 +Vbreak +p12943 +tp12944 +a(g706 +g988 +tp12945 +a(g827 +V\u000a +p12946 +tp12947 +a(g827 +V +p12948 +tp12949 +a(g706 +g1188 +tp12950 +a(g827 +V\u000a +p12951 +tp12952 +a(g827 +V +p12953 +tp12954 +a(g706 +g1188 +tp12955 +a(g827 +V\u000a +p12956 +tp12957 +a(g827 +V +p12958 +tp12959 +a(g436 +VbracketBlockStateStack +p12960 +tp12961 +a(g413 +g4390 +tp12962 +a(g413 +g2458 +tp12963 +a(g436 +Vpush_back +p12964 +tp12965 +a(g706 +g1019 +tp12966 +a(g436 +VisBlockOpener +p12967 +tp12968 +a(g706 +g1054 +tp12969 +a(g706 +g988 +tp12970 +a(g827 +V\u000a +p12971 +tp12972 +a(g827 +V +p12973 +tp12974 +a(g745 +Vif +p12975 +tp12976 +a(g827 +g972 +tp12977 +a(g706 +g1019 +tp12978 +a(g413 +g1099 +tp12979 +a(g436 +VisBlockOpener +p12980 +tp12981 +a(g706 +g1054 +tp12982 +a(g827 +V\u000a +p12983 +tp12984 +a(g827 +V +p12985 +tp12986 +a(g706 +g1000 +tp12987 +a(g827 +V\u000a +p12988 +tp12989 +a(g827 +V +p12990 +tp12991 +a(g436 +VinStatementIndentStackSizeStack +p12992 +tp12993 +a(g413 +g4390 +tp12994 +a(g413 +g2458 +tp12995 +a(g436 +Vpush_back +p12996 +tp12997 +a(g706 +g1019 +tp12998 +a(g436 +VinStatementIndentStack +p12999 +tp13000 +a(g413 +g4390 +tp13001 +a(g413 +g2458 +tp13002 +a(g436 +Vsize +p13003 +tp13004 +a(g706 +g1019 +tp13005 +a(g706 +g1054 +tp13006 +a(g706 +g1054 +tp13007 +a(g706 +g988 +tp13008 +a(g827 +V\u000a +p13009 +tp13010 +a(g827 +V +p13011 +tp13012 +a(g436 +VregisterInStatementIndent +p13013 +tp13014 +a(g706 +g1019 +tp13015 +a(g436 +Vline +p13016 +tp13017 +a(g706 +g1030 +tp13018 +a(g827 +g972 +tp13019 +a(g436 +g1503 +tp13020 +a(g706 +g1030 +tp13021 +a(g827 +g972 +tp13022 +a(g436 +VspaceTabCount +p13023 +tp13024 +a(g706 +g1030 +tp13025 +a(g827 +g972 +tp13026 +a(g37 +g6082 +tp13027 +a(g706 +g1030 +tp13028 +a(g827 +g972 +tp13029 +a(g682 +Vtrue +p13030 +tp13031 +a(g706 +g1054 +tp13032 +a(g706 +g988 +tp13033 +a(g827 +V\u000a +p13034 +tp13035 +a(g827 +V +p13036 +tp13037 +a(g436 +VparenDepth +p13038 +tp13039 +a(g413 +g1517 +tp13040 +a(g413 +g1517 +tp13041 +a(g706 +g988 +tp13042 +a(g827 +V\u000a +p13043 +tp13044 +a(g827 +V +p13045 +tp13046 +a(g745 +Vif +p13047 +tp13048 +a(g827 +g972 +tp13049 +a(g706 +g1019 +tp13050 +a(g436 +g1503 +tp13051 +a(g827 +g972 +tp13052 +a(g413 +g1505 +tp13053 +a(g413 +g1505 +tp13054 +a(g827 +g972 +tp13055 +a(g37 +g6082 +tp13056 +a(g706 +g1054 +tp13057 +a(g827 +V\u000a +p13058 +tp13059 +a(g827 +V +p13060 +tp13061 +a(g436 +VshouldIndentBrackettedLine +p13062 +tp13063 +a(g827 +g972 +tp13064 +a(g413 +g1505 +tp13065 +a(g827 +g972 +tp13066 +a(g682 +Vfalse +p13067 +tp13068 +a(g706 +g988 +tp13069 +a(g827 +V\u000a +p13070 +tp13071 +a(g827 +V\u000a +p13072 +tp13073 +a(g827 +V +p13074 +tp13075 +a(g745 +Vcontinue +p13076 +tp13077 +a(g706 +g988 +tp13078 +a(g827 +V\u000a +p13079 +tp13080 +a(g827 +V +p13081 +tp13082 +a(g706 +g1188 +tp13083 +a(g827 +V\u000a +p13084 +tp13085 +a(g827 +V\u000a +p13086 +tp13087 +a(g827 +V +p13088 +tp13089 +a(g906 +V// this bracket is a block opener...\u000a +p13090 +tp13091 +a(g827 +V\u000a +p13092 +tp13093 +a(g827 +V +p13094 +tp13095 +a(g413 +g1517 +tp13096 +a(g413 +g1517 +tp13097 +a(g436 +VlineOpeningBlocksNum +p13098 +tp13099 +a(g706 +g988 +tp13100 +a(g827 +V\u000a +p13101 +tp13102 +a(g827 +V\u000a +p13103 +tp13104 +a(g827 +V +p13105 +tp13106 +a(g745 +Vif +p13107 +tp13108 +a(g827 +g972 +tp13109 +a(g706 +g1019 +tp13110 +a(g436 +VisInClassHeader +p13111 +tp13112 +a(g706 +g1054 +tp13113 +a(g827 +V\u000a +p13114 +tp13115 +a(g827 +V +p13116 +tp13117 +a(g436 +VisInClassHeader +p13118 +tp13119 +a(g827 +g972 +tp13120 +a(g413 +g1505 +tp13121 +a(g827 +g972 +tp13122 +a(g682 +Vfalse +p13123 +tp13124 +a(g706 +g988 +tp13125 +a(g827 +V\u000a +p13126 +tp13127 +a(g827 +V +p13128 +tp13129 +a(g745 +Vif +p13130 +tp13131 +a(g827 +g972 +tp13132 +a(g706 +g1019 +tp13133 +a(g436 +VisInClassHeaderTab +p13134 +tp13135 +a(g706 +g1054 +tp13136 +a(g827 +V\u000a +p13137 +tp13138 +a(g827 +V +p13139 +tp13140 +a(g706 +g1000 +tp13141 +a(g827 +V\u000a +p13142 +tp13143 +a(g827 +V +p13144 +tp13145 +a(g436 +VisInClassHeaderTab +p13146 +tp13147 +a(g827 +g972 +tp13148 +a(g413 +g1505 +tp13149 +a(g827 +g972 +tp13150 +a(g682 +Vfalse +p13151 +tp13152 +a(g706 +g988 +tp13153 +a(g827 +V\u000a +p13154 +tp13155 +a(g827 +V +p13156 +tp13157 +a(g436 +VtabCount +p13158 +tp13159 +a(g827 +g972 +tp13160 +a(g413 +g4390 +tp13161 +a(g413 +g1505 +tp13162 +a(g827 +g972 +tp13163 +a(g37 +g6659 +tp13164 +a(g706 +g988 +tp13165 +a(g827 +V\u000a +p13166 +tp13167 +a(g827 +V +p13168 +tp13169 +a(g706 +g1188 +tp13170 +a(g827 +V\u000a +p13171 +tp13172 +a(g827 +V\u000a +p13173 +tp13174 +a(g827 +V +p13175 +tp13176 +a(g436 +VblockParenDepthStack +p13177 +tp13178 +a(g413 +g4390 +tp13179 +a(g413 +g2458 +tp13180 +a(g436 +Vpush_back +p13181 +tp13182 +a(g706 +g1019 +tp13183 +a(g436 +VparenDepth +p13184 +tp13185 +a(g706 +g1054 +tp13186 +a(g706 +g988 +tp13187 +a(g827 +V\u000a +p13188 +tp13189 +a(g827 +V +p13190 +tp13191 +a(g436 +VblockStatementStack +p13192 +tp13193 +a(g413 +g4390 +tp13194 +a(g413 +g2458 +tp13195 +a(g436 +Vpush_back +p13196 +tp13197 +a(g706 +g1019 +tp13198 +a(g436 +VisInStatement +p13199 +tp13200 +a(g706 +g1054 +tp13201 +a(g706 +g988 +tp13202 +a(g827 +V\u000a +p13203 +tp13204 +a(g827 +V\u000a +p13205 +tp13206 +a(g827 +V +p13207 +tp13208 +a(g436 +VinStatementIndentStackSizeStack +p13209 +tp13210 +a(g413 +g4390 +tp13211 +a(g413 +g2458 +tp13212 +a(g436 +Vpush_back +p13213 +tp13214 +a(g706 +g1019 +tp13215 +a(g436 +VinStatementIndentStack +p13216 +tp13217 +a(g413 +g4390 +tp13218 +a(g413 +g2458 +tp13219 +a(g436 +Vsize +p13220 +tp13221 +a(g706 +g1019 +tp13222 +a(g706 +g1054 +tp13223 +a(g706 +g1054 +tp13224 +a(g706 +g988 +tp13225 +a(g827 +V\u000a +p13226 +tp13227 +a(g827 +V\u000a +p13228 +tp13229 +a(g827 +V +p13230 +tp13231 +a(g436 +VblockTabCount +p13232 +tp13233 +a(g827 +g972 +tp13234 +a(g413 +g1517 +tp13235 +a(g413 +g1505 +tp13236 +a(g827 +g972 +tp13237 +a(g436 +VisInStatement +p13238 +tp13239 +a(g413 +g1800 +tp13240 +a(g827 +g972 +tp13241 +a(g37 +g1507 +tp13242 +a(g827 +g972 +tp13243 +a(g413 +g1014 +tp13244 +a(g827 +g972 +tp13245 +a(g37 +g6082 +tp13246 +a(g706 +g988 +tp13247 +a(g827 +V\u000a +p13248 +tp13249 +a(g827 +V +p13250 +tp13251 +a(g436 +VparenDepth +p13252 +tp13253 +a(g827 +g972 +tp13254 +a(g413 +g1505 +tp13255 +a(g827 +g972 +tp13256 +a(g37 +g6082 +tp13257 +a(g706 +g988 +tp13258 +a(g827 +V\u000a +p13259 +tp13260 +a(g827 +V +p13261 +tp13262 +a(g436 +VisInStatement +p13263 +tp13264 +a(g827 +g972 +tp13265 +a(g413 +g1505 +tp13266 +a(g827 +g972 +tp13267 +a(g682 +Vfalse +p13268 +tp13269 +a(g706 +g988 +tp13270 +a(g827 +V\u000a +p13271 +tp13272 +a(g827 +V\u000a +p13273 +tp13274 +a(g827 +V +p13275 +tp13276 +a(g436 +VtempStacks +p13277 +tp13278 +a(g413 +g4390 +tp13279 +a(g413 +g2458 +tp13280 +a(g436 +Vpush_back +p13281 +tp13282 +a(g706 +g1019 +tp13283 +a(g745 +Vnew +p13284 +tp13285 +a(g827 +g972 +tp13286 +a(g436 +Vvector +p13287 +tp13288 +a(g413 +g1075 +tp13289 +a(g745 +Vconst +p13290 +tp13291 +a(g827 +g972 +tp13292 +a(g436 +Vstring +p13293 +tp13294 +a(g413 +g2456 +tp13295 +a(g413 +g2458 +tp13296 +a(g706 +g1054 +tp13297 +a(g706 +g988 +tp13298 +a(g827 +V\u000a +p13299 +tp13300 +a(g827 +V +p13301 +tp13302 +a(g436 +VheaderStack +p13303 +tp13304 +a(g413 +g4390 +tp13305 +a(g413 +g2458 +tp13306 +a(g436 +Vpush_back +p13307 +tp13308 +a(g706 +g1019 +tp13309 +a(g413 +g1026 +tp13310 +a(g436 +VAS_OPEN_BRACKET +p13311 +tp13312 +a(g706 +g1054 +tp13313 +a(g706 +g988 +tp13314 +a(g827 +V\u000a +p13315 +tp13316 +a(g827 +V +p13317 +tp13318 +a(g436 +VlastLineHeader +p13319 +tp13320 +a(g827 +g972 +tp13321 +a(g413 +g1505 +tp13322 +a(g827 +g972 +tp13323 +a(g413 +g1026 +tp13324 +a(g436 +VAS_OPEN_BRACKET +p13325 +tp13326 +a(g706 +g988 +tp13327 +a(g827 +g972 +tp13328 +a(g906 +V// <------\u000a +p13329 +tp13330 +a(g827 +V\u000a +p13331 +tp13332 +a(g827 +V +p13333 +tp13334 +a(g745 +Vcontinue +p13335 +tp13336 +a(g706 +g988 +tp13337 +a(g827 +V\u000a +p13338 +tp13339 +a(g827 +V +p13340 +tp13341 +a(g706 +g1188 +tp13342 +a(g827 +V\u000a +p13343 +tp13344 +a(g827 +V\u000a +p13345 +tp13346 +a(g827 +V +p13347 +tp13348 +a(g906 +V//check if a header has been reached\u000a +p13349 +tp13350 +a(g827 +V +p13351 +tp13352 +a(g745 +Vif +p13353 +tp13354 +a(g827 +g972 +tp13355 +a(g706 +g1019 +tp13356 +a(g436 +VprevCh +p13357 +tp13358 +a(g827 +g972 +tp13359 +a(g413 +g1505 +tp13360 +a(g413 +g1505 +tp13361 +a(g827 +g972 +tp13362 +a(g93 +g6333 +tp13363 +a(g93 +g972 +tp13364 +a(g93 +g6333 +tp13365 +a(g706 +g1054 +tp13366 +a(g827 +V\u000a +p13367 +tp13368 +a(g827 +V +p13369 +tp13370 +a(g706 +g1000 +tp13371 +a(g827 +V\u000a +p13372 +tp13373 +a(g827 +V +p13374 +tp13375 +a(g749 +Vbool +p13376 +tp13377 +a(g827 +g972 +tp13378 +a(g436 +VisIndentableHeader +p13379 +tp13380 +a(g827 +g972 +tp13381 +a(g413 +g1505 +tp13382 +a(g827 +g972 +tp13383 +a(g682 +Vtrue +p13384 +tp13385 +a(g706 +g988 +tp13386 +a(g827 +V\u000a +p13387 +tp13388 +a(g827 +V +p13389 +tp13390 +a(g745 +Vconst +p13391 +tp13392 +a(g827 +g972 +tp13393 +a(g436 +Vstring +p13394 +tp13395 +a(g827 +g972 +tp13396 +a(g413 +g2456 +tp13397 +a(g436 +VnewHeader +p13398 +tp13399 +a(g827 +g972 +tp13400 +a(g413 +g1505 +tp13401 +a(g827 +g972 +tp13402 +a(g436 +VfindHeader +p13403 +tp13404 +a(g706 +g1019 +tp13405 +a(g436 +Vline +p13406 +tp13407 +a(g706 +g1030 +tp13408 +a(g827 +g972 +tp13409 +a(g436 +g1503 +tp13410 +a(g706 +g1030 +tp13411 +a(g827 +g972 +tp13412 +a(g436 +Vheaders +p13413 +tp13414 +a(g706 +g1054 +tp13415 +a(g706 +g988 +tp13416 +a(g827 +V\u000a +p13417 +tp13418 +a(g827 +V +p13419 +tp13420 +a(g745 +Vif +p13421 +tp13422 +a(g827 +g972 +tp13423 +a(g706 +g1019 +tp13424 +a(g436 +VnewHeader +p13425 +tp13426 +a(g827 +g972 +tp13427 +a(g413 +g1099 +tp13428 +a(g413 +g1505 +tp13429 +a(g827 +g972 +tp13430 +a(g682 +VNULL +p13431 +tp13432 +a(g706 +g1054 +tp13433 +a(g827 +V\u000a +p13434 +tp13435 +a(g827 +V +p13436 +tp13437 +a(g706 +g1000 +tp13438 +a(g827 +V\u000a +p13439 +tp13440 +a(g827 +V +p13441 +tp13442 +a(g906 +V// if we reached here, then this is a header...\u000a +p13443 +tp13444 +a(g827 +V +p13445 +tp13446 +a(g436 +VisInHeader +p13447 +tp13448 +a(g827 +g972 +tp13449 +a(g413 +g1505 +tp13450 +a(g827 +g972 +tp13451 +a(g682 +Vtrue +p13452 +tp13453 +a(g706 +g988 +tp13454 +a(g827 +V\u000a +p13455 +tp13456 +a(g827 +V\u000a +p13457 +tp13458 +a(g827 +V +p13459 +tp13460 +a(g436 +Vvector +p13461 +tp13462 +a(g413 +g1075 +tp13463 +a(g745 +Vconst +p13464 +tp13465 +a(g827 +g972 +tp13466 +a(g436 +Vstring +p13467 +tp13468 +a(g413 +g2456 +tp13469 +a(g413 +g2458 +tp13470 +a(g827 +g972 +tp13471 +a(g413 +g2456 +tp13472 +a(g436 +VlastTempStack +p13473 +tp13474 +a(g706 +g988 +tp13475 +a(g827 +V\u000a +p13476 +tp13477 +a(g827 +V +p13478 +tp13479 +a(g745 +Vif +p13480 +tp13481 +a(g827 +g972 +tp13482 +a(g706 +g1019 +tp13483 +a(g436 +VtempStacks +p13484 +tp13485 +a(g413 +g4390 +tp13486 +a(g413 +g2458 +tp13487 +a(g436 +Vempty +p13488 +tp13489 +a(g706 +g1019 +tp13490 +a(g706 +g1054 +tp13491 +a(g706 +g1054 +tp13492 +a(g827 +V\u000a +p13493 +tp13494 +a(g827 +V +p13495 +tp13496 +a(g436 +VlastTempStack +p13497 +tp13498 +a(g827 +g972 +tp13499 +a(g413 +g1505 +tp13500 +a(g827 +g972 +tp13501 +a(g682 +VNULL +p13502 +tp13503 +a(g706 +g988 +tp13504 +a(g827 +V\u000a +p13505 +tp13506 +a(g827 +V +p13507 +tp13508 +a(g745 +Velse +p13509 +tp13510 +a(g827 +V\u000a +p13511 +tp13512 +a(g827 +V +p13513 +tp13514 +a(g436 +VlastTempStack +p13515 +tp13516 +a(g827 +g972 +tp13517 +a(g413 +g1505 +tp13518 +a(g827 +g972 +tp13519 +a(g436 +VtempStacks +p13520 +tp13521 +a(g413 +g4390 +tp13522 +a(g413 +g2458 +tp13523 +a(g436 +Vback +p13524 +tp13525 +a(g706 +g1019 +tp13526 +a(g706 +g1054 +tp13527 +a(g706 +g988 +tp13528 +a(g827 +V\u000a +p13529 +tp13530 +a(g827 +V\u000a +p13531 +tp13532 +a(g827 +V +p13533 +tp13534 +a(g906 +V// if a new block is opened, push a new stack into tempStacks to hold the\u000a +p13535 +tp13536 +a(g827 +V +p13537 +tp13538 +a(g906 +V// future list of headers in the new block.\u000a +p13539 +tp13540 +a(g827 +V\u000a +p13541 +tp13542 +a(g827 +V +p13543 +tp13544 +a(g906 +V// take care of the special case: 'else if (...)'\u000a +p13545 +tp13546 +a(g827 +V +p13547 +tp13548 +a(g745 +Vif +p13549 +tp13550 +a(g827 +g972 +tp13551 +a(g706 +g1019 +tp13552 +a(g436 +VnewHeader +p13553 +tp13554 +a(g827 +g972 +tp13555 +a(g413 +g1505 +tp13556 +a(g413 +g1505 +tp13557 +a(g827 +g972 +tp13558 +a(g413 +g1026 +tp13559 +a(g436 +VAS_IF +p13560 +tp13561 +a(g827 +g972 +tp13562 +a(g413 +g1026 +tp13563 +a(g413 +g1026 +tp13564 +a(g827 +g972 +tp13565 +a(g436 +VlastLineHeader +p13566 +tp13567 +a(g827 +g972 +tp13568 +a(g413 +g1505 +tp13569 +a(g413 +g1505 +tp13570 +a(g827 +g972 +tp13571 +a(g413 +g1026 +tp13572 +a(g436 +VAS_ELSE +p13573 +tp13574 +a(g706 +g1054 +tp13575 +a(g827 +V\u000a +p13576 +tp13577 +a(g827 +V +p13578 +tp13579 +a(g706 +g1000 +tp13580 +a(g827 +V\u000a +p13581 +tp13582 +a(g827 +V +p13583 +tp13584 +a(g906 +V//spaceTabCount += indentLength; // to counter the opposite addition that occurs when the 'if' is registered below...\u000a +p13585 +tp13586 +a(g827 +V +p13587 +tp13588 +a(g436 +VheaderStack +p13589 +tp13590 +a(g413 +g4390 +tp13591 +a(g413 +g2458 +tp13592 +a(g436 +Vpop_back +p13593 +tp13594 +a(g706 +g1019 +tp13595 +a(g706 +g1054 +tp13596 +a(g706 +g988 +tp13597 +a(g827 +V\u000a +p13598 +tp13599 +a(g827 +V +p13600 +tp13601 +a(g706 +g1188 +tp13602 +a(g827 +V\u000a +p13603 +tp13604 +a(g827 +V\u000a +p13605 +tp13606 +a(g827 +V +p13607 +tp13608 +a(g906 +V// take care of 'else'\u000a +p13609 +tp13610 +a(g827 +V +p13611 +tp13612 +a(g745 +Velse +p13613 +tp13614 +a(g827 +g972 +tp13615 +a(g745 +Vif +p13616 +tp13617 +a(g827 +g972 +tp13618 +a(g706 +g1019 +tp13619 +a(g436 +VnewHeader +p13620 +tp13621 +a(g827 +g972 +tp13622 +a(g413 +g1505 +tp13623 +a(g413 +g1505 +tp13624 +a(g827 +g972 +tp13625 +a(g413 +g1026 +tp13626 +a(g436 +VAS_ELSE +p13627 +tp13628 +a(g706 +g1054 +tp13629 +a(g827 +V\u000a +p13630 +tp13631 +a(g827 +V +p13632 +tp13633 +a(g706 +g1000 +tp13634 +a(g827 +V\u000a +p13635 +tp13636 +a(g827 +V +p13637 +tp13638 +a(g745 +Vif +p13639 +tp13640 +a(g827 +g972 +tp13641 +a(g706 +g1019 +tp13642 +a(g436 +VlastTempStack +p13643 +tp13644 +a(g827 +g972 +tp13645 +a(g413 +g1099 +tp13646 +a(g413 +g1505 +tp13647 +a(g827 +g972 +tp13648 +a(g682 +VNULL +p13649 +tp13650 +a(g706 +g1054 +tp13651 +a(g827 +V\u000a +p13652 +tp13653 +a(g827 +V +p13654 +tp13655 +a(g706 +g1000 +tp13656 +a(g827 +V\u000a +p13657 +tp13658 +a(g827 +V +p13659 +tp13660 +a(g749 +Vint +p13661 +tp13662 +a(g827 +g972 +tp13663 +a(g436 +VindexOfIf +p13664 +tp13665 +a(g827 +g972 +tp13666 +a(g413 +g1505 +tp13667 +a(g827 +g972 +tp13668 +a(g436 +VindexOf +p13669 +tp13670 +a(g706 +g1019 +tp13671 +a(g413 +g2456 +tp13672 +a(g436 +VlastTempStack +p13673 +tp13674 +a(g706 +g1030 +tp13675 +a(g827 +g972 +tp13676 +a(g413 +g1026 +tp13677 +a(g436 +VAS_IF +p13678 +tp13679 +a(g706 +g1054 +tp13680 +a(g706 +g988 +tp13681 +a(g827 +g972 +tp13682 +a(g906 +V// <---\u000a +p13683 +tp13684 +a(g827 +V +p13685 +tp13686 +a(g745 +Vif +p13687 +tp13688 +a(g827 +g972 +tp13689 +a(g706 +g1019 +tp13690 +a(g436 +VindexOfIf +p13691 +tp13692 +a(g827 +g972 +tp13693 +a(g413 +g1099 +tp13694 +a(g413 +g1505 +tp13695 +a(g827 +g972 +tp13696 +a(g413 +g4390 +tp13697 +a(g37 +g1507 +tp13698 +a(g706 +g1054 +tp13699 +a(g827 +V\u000a +p13700 +tp13701 +a(g827 +V +p13702 +tp13703 +a(g706 +g1000 +tp13704 +a(g827 +V\u000a +p13705 +tp13706 +a(g827 +V +p13707 +tp13708 +a(g906 +V// recreate the header list in headerStack up to the previous 'if'\u000a +p13709 +tp13710 +a(g827 +V +p13711 +tp13712 +a(g906 +V// from the temporary snapshot stored in lastTempStack.\u000a +p13713 +tp13714 +a(g827 +V +p13715 +tp13716 +a(g749 +Vint +p13717 +tp13718 +a(g827 +g972 +tp13719 +a(g436 +VrestackSize +p13720 +tp13721 +a(g827 +g972 +tp13722 +a(g413 +g1505 +tp13723 +a(g827 +g972 +tp13724 +a(g436 +VlastTempStack +p13725 +tp13726 +a(g413 +g4390 +tp13727 +a(g413 +g2458 +tp13728 +a(g436 +Vsize +p13729 +tp13730 +a(g706 +g1019 +tp13731 +a(g706 +g1054 +tp13732 +a(g827 +g972 +tp13733 +a(g413 +g4390 +tp13734 +a(g827 +g972 +tp13735 +a(g436 +VindexOfIf +p13736 +tp13737 +a(g827 +g972 +tp13738 +a(g413 +g4390 +tp13739 +a(g827 +g972 +tp13740 +a(g37 +g1507 +tp13741 +a(g706 +g988 +tp13742 +a(g827 +V\u000a +p13743 +tp13744 +a(g827 +V +p13745 +tp13746 +a(g745 +Vfor +p13747 +tp13748 +a(g827 +g972 +tp13749 +a(g706 +g1019 +tp13750 +a(g749 +Vint +p13751 +tp13752 +a(g827 +g972 +tp13753 +a(g436 +Vr +p13754 +tp13755 +a(g413 +g1505 +tp13756 +a(g37 +g6082 +tp13757 +a(g706 +g988 +tp13758 +a(g827 +g972 +tp13759 +a(g436 +g13754 +tp13760 +a(g413 +g1075 +tp13761 +a(g436 +VrestackSize +p13762 +tp13763 +a(g706 +g988 +tp13764 +a(g827 +g972 +tp13765 +a(g436 +g13754 +tp13766 +a(g413 +g1517 +tp13767 +a(g413 +g1517 +tp13768 +a(g706 +g1054 +tp13769 +a(g827 +V\u000a +p13770 +tp13771 +a(g827 +V +p13772 +tp13773 +a(g706 +g1000 +tp13774 +a(g827 +V\u000a +p13775 +tp13776 +a(g827 +V +p13777 +tp13778 +a(g436 +VheaderStack +p13779 +tp13780 +a(g413 +g4390 +tp13781 +a(g413 +g2458 +tp13782 +a(g436 +Vpush_back +p13783 +tp13784 +a(g706 +g1019 +tp13785 +a(g436 +VlastTempStack +p13786 +tp13787 +a(g413 +g4390 +tp13788 +a(g413 +g2458 +tp13789 +a(g436 +Vback +p13790 +tp13791 +a(g706 +g1019 +tp13792 +a(g706 +g1054 +tp13793 +a(g706 +g1054 +tp13794 +a(g706 +g988 +tp13795 +a(g827 +V\u000a +p13796 +tp13797 +a(g827 +V +p13798 +tp13799 +a(g436 +VlastTempStack +p13800 +tp13801 +a(g413 +g4390 +tp13802 +a(g413 +g2458 +tp13803 +a(g436 +Vpop_back +p13804 +tp13805 +a(g706 +g1019 +tp13806 +a(g706 +g1054 +tp13807 +a(g706 +g988 +tp13808 +a(g827 +V\u000a +p13809 +tp13810 +a(g827 +V +p13811 +tp13812 +a(g706 +g1188 +tp13813 +a(g827 +V\u000a +p13814 +tp13815 +a(g827 +V +p13816 +tp13817 +a(g745 +Vif +p13818 +tp13819 +a(g827 +g972 +tp13820 +a(g706 +g1019 +tp13821 +a(g413 +g1099 +tp13822 +a(g436 +VclosingBracketReached +p13823 +tp13824 +a(g706 +g1054 +tp13825 +a(g827 +V\u000a +p13826 +tp13827 +a(g827 +V +p13828 +tp13829 +a(g436 +VtabCount +p13830 +tp13831 +a(g827 +g972 +tp13832 +a(g413 +g1517 +tp13833 +a(g413 +g1505 +tp13834 +a(g827 +g972 +tp13835 +a(g436 +VrestackSize +p13836 +tp13837 +a(g706 +g988 +tp13838 +a(g827 +V\u000a +p13839 +tp13840 +a(g827 +V +p13841 +tp13842 +a(g706 +g1188 +tp13843 +a(g827 +V\u000a +p13844 +tp13845 +a(g827 +V +p13846 +tp13847 +a(g8 +V/*\u000a * If the above if is not true, i.e. no 'if' before the 'else',\u000a * then nothing beautiful will come out of this...\u000a * I should think about inserting an Exception here to notify the caller of this...\u000a */ +p13848 +tp13849 +a(g827 +V\u000a +p13850 +tp13851 +a(g827 +V +p13852 +tp13853 +a(g706 +g1188 +tp13854 +a(g827 +V\u000a +p13855 +tp13856 +a(g827 +V +p13857 +tp13858 +a(g706 +g1188 +tp13859 +a(g827 +V\u000a +p13860 +tp13861 +a(g827 +V\u000a +p13862 +tp13863 +a(g827 +V +p13864 +tp13865 +a(g906 +V// check if 'while' closes a previous 'do'\u000a +p13866 +tp13867 +a(g827 +V +p13868 +tp13869 +a(g745 +Velse +p13870 +tp13871 +a(g827 +g972 +tp13872 +a(g745 +Vif +p13873 +tp13874 +a(g827 +g972 +tp13875 +a(g706 +g1019 +tp13876 +a(g436 +VnewHeader +p13877 +tp13878 +a(g827 +g972 +tp13879 +a(g413 +g1505 +tp13880 +a(g413 +g1505 +tp13881 +a(g827 +g972 +tp13882 +a(g413 +g1026 +tp13883 +a(g436 +VAS_WHILE +p13884 +tp13885 +a(g706 +g1054 +tp13886 +a(g827 +V\u000a +p13887 +tp13888 +a(g827 +V +p13889 +tp13890 +a(g706 +g1000 +tp13891 +a(g827 +V\u000a +p13892 +tp13893 +a(g827 +V +p13894 +tp13895 +a(g745 +Vif +p13896 +tp13897 +a(g827 +g972 +tp13898 +a(g706 +g1019 +tp13899 +a(g436 +VlastTempStack +p13900 +tp13901 +a(g827 +g972 +tp13902 +a(g413 +g1099 +tp13903 +a(g413 +g1505 +tp13904 +a(g827 +g972 +tp13905 +a(g682 +VNULL +p13906 +tp13907 +a(g706 +g1054 +tp13908 +a(g827 +V\u000a +p13909 +tp13910 +a(g827 +V +p13911 +tp13912 +a(g706 +g1000 +tp13913 +a(g827 +V\u000a +p13914 +tp13915 +a(g827 +V +p13916 +tp13917 +a(g749 +Vint +p13918 +tp13919 +a(g827 +g972 +tp13920 +a(g436 +VindexOfDo +p13921 +tp13922 +a(g827 +g972 +tp13923 +a(g413 +g1505 +tp13924 +a(g827 +g972 +tp13925 +a(g436 +VindexOf +p13926 +tp13927 +a(g706 +g1019 +tp13928 +a(g413 +g2456 +tp13929 +a(g436 +VlastTempStack +p13930 +tp13931 +a(g706 +g1030 +tp13932 +a(g827 +g972 +tp13933 +a(g413 +g1026 +tp13934 +a(g436 +VAS_DO +p13935 +tp13936 +a(g706 +g1054 +tp13937 +a(g706 +g988 +tp13938 +a(g827 +g972 +tp13939 +a(g906 +V// <---\u000a +p13940 +tp13941 +a(g827 +V +p13942 +tp13943 +a(g745 +Vif +p13944 +tp13945 +a(g827 +g972 +tp13946 +a(g706 +g1019 +tp13947 +a(g436 +VindexOfDo +p13948 +tp13949 +a(g827 +g972 +tp13950 +a(g413 +g1099 +tp13951 +a(g413 +g1505 +tp13952 +a(g827 +g972 +tp13953 +a(g413 +g4390 +tp13954 +a(g37 +g1507 +tp13955 +a(g706 +g1054 +tp13956 +a(g827 +V\u000a +p13957 +tp13958 +a(g827 +V +p13959 +tp13960 +a(g706 +g1000 +tp13961 +a(g827 +V\u000a +p13962 +tp13963 +a(g827 +V +p13964 +tp13965 +a(g906 +V// recreate the header list in headerStack up to the previous 'do'\u000a +p13966 +tp13967 +a(g827 +V +p13968 +tp13969 +a(g906 +V// from the temporary snapshot stored in lastTempStack.\u000a +p13970 +tp13971 +a(g827 +V +p13972 +tp13973 +a(g749 +Vint +p13974 +tp13975 +a(g827 +g972 +tp13976 +a(g436 +VrestackSize +p13977 +tp13978 +a(g827 +g972 +tp13979 +a(g413 +g1505 +tp13980 +a(g827 +g972 +tp13981 +a(g436 +VlastTempStack +p13982 +tp13983 +a(g413 +g4390 +tp13984 +a(g413 +g2458 +tp13985 +a(g436 +Vsize +p13986 +tp13987 +a(g706 +g1019 +tp13988 +a(g706 +g1054 +tp13989 +a(g827 +g972 +tp13990 +a(g413 +g4390 +tp13991 +a(g827 +g972 +tp13992 +a(g436 +VindexOfDo +p13993 +tp13994 +a(g827 +g972 +tp13995 +a(g413 +g4390 +tp13996 +a(g827 +g972 +tp13997 +a(g37 +g1507 +tp13998 +a(g706 +g988 +tp13999 +a(g827 +V\u000a +p14000 +tp14001 +a(g827 +V +p14002 +tp14003 +a(g745 +Vfor +p14004 +tp14005 +a(g827 +g972 +tp14006 +a(g706 +g1019 +tp14007 +a(g749 +Vint +p14008 +tp14009 +a(g827 +g972 +tp14010 +a(g436 +g13754 +tp14011 +a(g413 +g1505 +tp14012 +a(g37 +g6082 +tp14013 +a(g706 +g988 +tp14014 +a(g827 +g972 +tp14015 +a(g436 +g13754 +tp14016 +a(g413 +g1075 +tp14017 +a(g436 +VrestackSize +p14018 +tp14019 +a(g706 +g988 +tp14020 +a(g827 +g972 +tp14021 +a(g436 +g13754 +tp14022 +a(g413 +g1517 +tp14023 +a(g413 +g1517 +tp14024 +a(g706 +g1054 +tp14025 +a(g827 +V\u000a +p14026 +tp14027 +a(g827 +V +p14028 +tp14029 +a(g706 +g1000 +tp14030 +a(g827 +V\u000a +p14031 +tp14032 +a(g827 +V +p14033 +tp14034 +a(g436 +VheaderStack +p14035 +tp14036 +a(g413 +g4390 +tp14037 +a(g413 +g2458 +tp14038 +a(g436 +Vpush_back +p14039 +tp14040 +a(g706 +g1019 +tp14041 +a(g436 +VlastTempStack +p14042 +tp14043 +a(g413 +g4390 +tp14044 +a(g413 +g2458 +tp14045 +a(g436 +Vback +p14046 +tp14047 +a(g706 +g1019 +tp14048 +a(g706 +g1054 +tp14049 +a(g706 +g1054 +tp14050 +a(g706 +g988 +tp14051 +a(g827 +V\u000a +p14052 +tp14053 +a(g827 +V +p14054 +tp14055 +a(g436 +VlastTempStack +p14056 +tp14057 +a(g413 +g4390 +tp14058 +a(g413 +g2458 +tp14059 +a(g436 +Vpop_back +p14060 +tp14061 +a(g706 +g1019 +tp14062 +a(g706 +g1054 +tp14063 +a(g706 +g988 +tp14064 +a(g827 +V\u000a +p14065 +tp14066 +a(g827 +V +p14067 +tp14068 +a(g706 +g1188 +tp14069 +a(g827 +V\u000a +p14070 +tp14071 +a(g827 +V +p14072 +tp14073 +a(g745 +Vif +p14074 +tp14075 +a(g827 +g972 +tp14076 +a(g706 +g1019 +tp14077 +a(g413 +g1099 +tp14078 +a(g436 +VclosingBracketReached +p14079 +tp14080 +a(g706 +g1054 +tp14081 +a(g827 +V\u000a +p14082 +tp14083 +a(g827 +V +p14084 +tp14085 +a(g436 +VtabCount +p14086 +tp14087 +a(g827 +g972 +tp14088 +a(g413 +g1517 +tp14089 +a(g413 +g1505 +tp14090 +a(g827 +g972 +tp14091 +a(g436 +VrestackSize +p14092 +tp14093 +a(g706 +g988 +tp14094 +a(g827 +V\u000a +p14095 +tp14096 +a(g827 +V +p14097 +tp14098 +a(g706 +g1188 +tp14099 +a(g827 +V\u000a +p14100 +tp14101 +a(g827 +V +p14102 +tp14103 +a(g706 +g1188 +tp14104 +a(g827 +V\u000a +p14105 +tp14106 +a(g827 +V +p14107 +tp14108 +a(g706 +g1188 +tp14109 +a(g827 +V\u000a +p14110 +tp14111 +a(g827 +V +p14112 +tp14113 +a(g906 +V// check if 'catch' closes a previous 'try' or 'catch'\u000a +p14114 +tp14115 +a(g827 +V +p14116 +tp14117 +a(g745 +Velse +p14118 +tp14119 +a(g827 +g972 +tp14120 +a(g745 +Vif +p14121 +tp14122 +a(g827 +g972 +tp14123 +a(g706 +g1019 +tp14124 +a(g436 +VnewHeader +p14125 +tp14126 +a(g827 +g972 +tp14127 +a(g413 +g1505 +tp14128 +a(g413 +g1505 +tp14129 +a(g827 +g972 +tp14130 +a(g413 +g1026 +tp14131 +a(g436 +VAS_CATCH +p14132 +tp14133 +a(g827 +g972 +tp14134 +a(g413 +g8191 +tp14135 +a(g413 +g8191 +tp14136 +a(g827 +g972 +tp14137 +a(g436 +VnewHeader +p14138 +tp14139 +a(g827 +g972 +tp14140 +a(g413 +g1505 +tp14141 +a(g413 +g1505 +tp14142 +a(g827 +g972 +tp14143 +a(g413 +g1026 +tp14144 +a(g436 +VAS_FINALLY +p14145 +tp14146 +a(g706 +g1054 +tp14147 +a(g827 +V\u000a +p14148 +tp14149 +a(g827 +V +p14150 +tp14151 +a(g706 +g1000 +tp14152 +a(g827 +V\u000a +p14153 +tp14154 +a(g827 +V +p14155 +tp14156 +a(g745 +Vif +p14157 +tp14158 +a(g827 +g972 +tp14159 +a(g706 +g1019 +tp14160 +a(g436 +VlastTempStack +p14161 +tp14162 +a(g827 +g972 +tp14163 +a(g413 +g1099 +tp14164 +a(g413 +g1505 +tp14165 +a(g827 +g972 +tp14166 +a(g682 +VNULL +p14167 +tp14168 +a(g706 +g1054 +tp14169 +a(g827 +V\u000a +p14170 +tp14171 +a(g827 +V +p14172 +tp14173 +a(g706 +g1000 +tp14174 +a(g827 +V\u000a +p14175 +tp14176 +a(g827 +V +p14177 +tp14178 +a(g749 +Vint +p14179 +tp14180 +a(g827 +g972 +tp14181 +a(g436 +VindexOfTry +p14182 +tp14183 +a(g827 +g972 +tp14184 +a(g413 +g1505 +tp14185 +a(g827 +g972 +tp14186 +a(g436 +VindexOf +p14187 +tp14188 +a(g706 +g1019 +tp14189 +a(g413 +g2456 +tp14190 +a(g436 +VlastTempStack +p14191 +tp14192 +a(g706 +g1030 +tp14193 +a(g827 +g972 +tp14194 +a(g413 +g1026 +tp14195 +a(g436 +VAS_TRY +p14196 +tp14197 +a(g706 +g1054 +tp14198 +a(g706 +g988 +tp14199 +a(g827 +V\u000a +p14200 +tp14201 +a(g827 +V +p14202 +tp14203 +a(g745 +Vif +p14204 +tp14205 +a(g827 +g972 +tp14206 +a(g706 +g1019 +tp14207 +a(g436 +VindexOfTry +p14208 +tp14209 +a(g827 +g972 +tp14210 +a(g413 +g1505 +tp14211 +a(g413 +g1505 +tp14212 +a(g827 +g972 +tp14213 +a(g413 +g4390 +tp14214 +a(g37 +g1507 +tp14215 +a(g706 +g1054 +tp14216 +a(g827 +V\u000a +p14217 +tp14218 +a(g827 +V +p14219 +tp14220 +a(g436 +VindexOfTry +p14221 +tp14222 +a(g827 +g972 +tp14223 +a(g413 +g1505 +tp14224 +a(g827 +g972 +tp14225 +a(g436 +VindexOf +p14226 +tp14227 +a(g706 +g1019 +tp14228 +a(g413 +g2456 +tp14229 +a(g436 +VlastTempStack +p14230 +tp14231 +a(g706 +g1030 +tp14232 +a(g827 +g972 +tp14233 +a(g413 +g1026 +tp14234 +a(g436 +VAS_CATCH +p14235 +tp14236 +a(g706 +g1054 +tp14237 +a(g706 +g988 +tp14238 +a(g827 +V\u000a +p14239 +tp14240 +a(g827 +V +p14241 +tp14242 +a(g745 +Vif +p14243 +tp14244 +a(g827 +g972 +tp14245 +a(g706 +g1019 +tp14246 +a(g436 +VindexOfTry +p14247 +tp14248 +a(g827 +g972 +tp14249 +a(g413 +g1099 +tp14250 +a(g413 +g1505 +tp14251 +a(g827 +g972 +tp14252 +a(g413 +g4390 +tp14253 +a(g37 +g1507 +tp14254 +a(g706 +g1054 +tp14255 +a(g827 +V\u000a +p14256 +tp14257 +a(g827 +V +p14258 +tp14259 +a(g706 +g1000 +tp14260 +a(g827 +V\u000a +p14261 +tp14262 +a(g827 +V +p14263 +tp14264 +a(g906 +V// recreate the header list in headerStack up to the previous 'try'\u000a +p14265 +tp14266 +a(g827 +V +p14267 +tp14268 +a(g906 +V// from the temporary snapshot stored in lastTempStack.\u000a +p14269 +tp14270 +a(g827 +V +p14271 +tp14272 +a(g749 +Vint +p14273 +tp14274 +a(g827 +g972 +tp14275 +a(g436 +VrestackSize +p14276 +tp14277 +a(g827 +g972 +tp14278 +a(g413 +g1505 +tp14279 +a(g827 +g972 +tp14280 +a(g436 +VlastTempStack +p14281 +tp14282 +a(g413 +g4390 +tp14283 +a(g413 +g2458 +tp14284 +a(g436 +Vsize +p14285 +tp14286 +a(g706 +g1019 +tp14287 +a(g706 +g1054 +tp14288 +a(g827 +g972 +tp14289 +a(g413 +g4390 +tp14290 +a(g827 +g972 +tp14291 +a(g436 +VindexOfTry +p14292 +tp14293 +a(g827 +g972 +tp14294 +a(g413 +g4390 +tp14295 +a(g827 +g972 +tp14296 +a(g37 +g1507 +tp14297 +a(g706 +g988 +tp14298 +a(g827 +V\u000a +p14299 +tp14300 +a(g827 +V +p14301 +tp14302 +a(g745 +Vfor +p14303 +tp14304 +a(g827 +g972 +tp14305 +a(g706 +g1019 +tp14306 +a(g749 +Vint +p14307 +tp14308 +a(g827 +g972 +tp14309 +a(g436 +g13754 +tp14310 +a(g413 +g1505 +tp14311 +a(g37 +g6082 +tp14312 +a(g706 +g988 +tp14313 +a(g827 +g972 +tp14314 +a(g436 +g13754 +tp14315 +a(g413 +g1075 +tp14316 +a(g436 +VrestackSize +p14317 +tp14318 +a(g706 +g988 +tp14319 +a(g827 +g972 +tp14320 +a(g436 +g13754 +tp14321 +a(g413 +g1517 +tp14322 +a(g413 +g1517 +tp14323 +a(g706 +g1054 +tp14324 +a(g827 +V\u000a +p14325 +tp14326 +a(g827 +V +p14327 +tp14328 +a(g706 +g1000 +tp14329 +a(g827 +V\u000a +p14330 +tp14331 +a(g827 +V +p14332 +tp14333 +a(g436 +VheaderStack +p14334 +tp14335 +a(g413 +g4390 +tp14336 +a(g413 +g2458 +tp14337 +a(g436 +Vpush_back +p14338 +tp14339 +a(g706 +g1019 +tp14340 +a(g436 +VlastTempStack +p14341 +tp14342 +a(g413 +g4390 +tp14343 +a(g413 +g2458 +tp14344 +a(g436 +Vback +p14345 +tp14346 +a(g706 +g1019 +tp14347 +a(g706 +g1054 +tp14348 +a(g706 +g1054 +tp14349 +a(g706 +g988 +tp14350 +a(g827 +V\u000a +p14351 +tp14352 +a(g827 +V +p14353 +tp14354 +a(g436 +VlastTempStack +p14355 +tp14356 +a(g413 +g4390 +tp14357 +a(g413 +g2458 +tp14358 +a(g436 +Vpop_back +p14359 +tp14360 +a(g706 +g1019 +tp14361 +a(g706 +g1054 +tp14362 +a(g706 +g988 +tp14363 +a(g827 +V\u000a +p14364 +tp14365 +a(g827 +V +p14366 +tp14367 +a(g706 +g1188 +tp14368 +a(g827 +V\u000a +p14369 +tp14370 +a(g827 +V\u000a +p14371 +tp14372 +a(g827 +V +p14373 +tp14374 +a(g745 +Vif +p14375 +tp14376 +a(g827 +g972 +tp14377 +a(g706 +g1019 +tp14378 +a(g413 +g1099 +tp14379 +a(g436 +VclosingBracketReached +p14380 +tp14381 +a(g706 +g1054 +tp14382 +a(g827 +V\u000a +p14383 +tp14384 +a(g827 +V +p14385 +tp14386 +a(g436 +VtabCount +p14387 +tp14388 +a(g827 +g972 +tp14389 +a(g413 +g1517 +tp14390 +a(g413 +g1505 +tp14391 +a(g827 +g972 +tp14392 +a(g436 +VrestackSize +p14393 +tp14394 +a(g706 +g988 +tp14395 +a(g827 +V\u000a +p14396 +tp14397 +a(g827 +V +p14398 +tp14399 +a(g706 +g1188 +tp14400 +a(g827 +V\u000a +p14401 +tp14402 +a(g827 +V +p14403 +tp14404 +a(g706 +g1188 +tp14405 +a(g827 +V\u000a +p14406 +tp14407 +a(g827 +V +p14408 +tp14409 +a(g706 +g1188 +tp14410 +a(g827 +V\u000a +p14411 +tp14412 +a(g827 +V +p14413 +tp14414 +a(g745 +Velse +p14415 +tp14416 +a(g827 +g972 +tp14417 +a(g745 +Vif +p14418 +tp14419 +a(g827 +g972 +tp14420 +a(g706 +g1019 +tp14421 +a(g436 +VnewHeader +p14422 +tp14423 +a(g827 +g972 +tp14424 +a(g413 +g1505 +tp14425 +a(g413 +g1505 +tp14426 +a(g827 +g972 +tp14427 +a(g413 +g1026 +tp14428 +a(g436 +VAS_CASE +p14429 +tp14430 +a(g706 +g1054 +tp14431 +a(g827 +V\u000a +p14432 +tp14433 +a(g827 +V +p14434 +tp14435 +a(g706 +g1000 +tp14436 +a(g827 +V\u000a +p14437 +tp14438 +a(g827 +V +p14439 +tp14440 +a(g436 +VisInCase +p14441 +tp14442 +a(g827 +g972 +tp14443 +a(g413 +g1505 +tp14444 +a(g827 +g972 +tp14445 +a(g682 +Vtrue +p14446 +tp14447 +a(g706 +g988 +tp14448 +a(g827 +V\u000a +p14449 +tp14450 +a(g827 +V +p14451 +tp14452 +a(g745 +Vif +p14453 +tp14454 +a(g827 +g972 +tp14455 +a(g706 +g1019 +tp14456 +a(g413 +g1099 +tp14457 +a(g436 +VcaseIndent +p14458 +tp14459 +a(g706 +g1054 +tp14460 +a(g827 +V\u000a +p14461 +tp14462 +a(g827 +V +p14463 +tp14464 +a(g413 +g4390 +tp14465 +a(g413 +g4390 +tp14466 +a(g436 +VtabCount +p14467 +tp14468 +a(g706 +g988 +tp14469 +a(g827 +V\u000a +p14470 +tp14471 +a(g827 +V +p14472 +tp14473 +a(g706 +g1188 +tp14474 +a(g827 +V\u000a +p14475 +tp14476 +a(g827 +V +p14477 +tp14478 +a(g745 +Velse +p14479 +tp14480 +a(g827 +g972 +tp14481 +a(g745 +Vif +p14482 +tp14483 +a(g706 +g1019 +tp14484 +a(g436 +VnewHeader +p14485 +tp14486 +a(g827 +g972 +tp14487 +a(g413 +g1505 +tp14488 +a(g413 +g1505 +tp14489 +a(g827 +g972 +tp14490 +a(g413 +g1026 +tp14491 +a(g436 +VAS_DEFAULT +p14492 +tp14493 +a(g706 +g1054 +tp14494 +a(g827 +V\u000a +p14495 +tp14496 +a(g827 +V +p14497 +tp14498 +a(g706 +g1000 +tp14499 +a(g827 +V\u000a +p14500 +tp14501 +a(g827 +V +p14502 +tp14503 +a(g436 +VisInCase +p14504 +tp14505 +a(g827 +g972 +tp14506 +a(g413 +g1505 +tp14507 +a(g827 +g972 +tp14508 +a(g682 +Vtrue +p14509 +tp14510 +a(g706 +g988 +tp14511 +a(g827 +V\u000a +p14512 +tp14513 +a(g827 +V +p14514 +tp14515 +a(g745 +Vif +p14516 +tp14517 +a(g827 +g972 +tp14518 +a(g706 +g1019 +tp14519 +a(g413 +g1099 +tp14520 +a(g436 +VcaseIndent +p14521 +tp14522 +a(g706 +g1054 +tp14523 +a(g827 +V\u000a +p14524 +tp14525 +a(g827 +V +p14526 +tp14527 +a(g413 +g4390 +tp14528 +a(g413 +g4390 +tp14529 +a(g436 +VtabCount +p14530 +tp14531 +a(g706 +g988 +tp14532 +a(g827 +V\u000a +p14533 +tp14534 +a(g827 +V +p14535 +tp14536 +a(g706 +g1188 +tp14537 +a(g827 +V\u000a +p14538 +tp14539 +a(g827 +V +p14540 +tp14541 +a(g745 +Velse +p14542 +tp14543 +a(g827 +g972 +tp14544 +a(g745 +Vif +p14545 +tp14546 +a(g827 +g972 +tp14547 +a(g706 +g1019 +tp14548 +a(g436 +VnewHeader +p14549 +tp14550 +a(g827 +g972 +tp14551 +a(g413 +g1505 +tp14552 +a(g413 +g1505 +tp14553 +a(g827 +g972 +tp14554 +a(g413 +g1026 +tp14555 +a(g436 +VAS_PUBLIC +p14556 +tp14557 +a(g827 +g972 +tp14558 +a(g413 +g8191 +tp14559 +a(g413 +g8191 +tp14560 +a(g827 +g972 +tp14561 +a(g436 +VnewHeader +p14562 +tp14563 +a(g827 +g972 +tp14564 +a(g413 +g1505 +tp14565 +a(g413 +g1505 +tp14566 +a(g827 +g972 +tp14567 +a(g413 +g1026 +tp14568 +a(g436 +VAS_PROTECTED +p14569 +tp14570 +a(g827 +g972 +tp14571 +a(g413 +g8191 +tp14572 +a(g413 +g8191 +tp14573 +a(g827 +g972 +tp14574 +a(g436 +VnewHeader +p14575 +tp14576 +a(g827 +g972 +tp14577 +a(g413 +g1505 +tp14578 +a(g413 +g1505 +tp14579 +a(g827 +g972 +tp14580 +a(g413 +g1026 +tp14581 +a(g436 +VAS_PRIVATE +p14582 +tp14583 +a(g706 +g1054 +tp14584 +a(g827 +V\u000a +p14585 +tp14586 +a(g827 +V +p14587 +tp14588 +a(g706 +g1000 +tp14589 +a(g827 +V\u000a +p14590 +tp14591 +a(g827 +V +p14592 +tp14593 +a(g745 +Vif +p14594 +tp14595 +a(g827 +g972 +tp14596 +a(g706 +g1019 +tp14597 +a(g436 +VisCStyle +p14598 +tp14599 +a(g827 +g972 +tp14600 +a(g413 +g1026 +tp14601 +a(g413 +g1026 +tp14602 +a(g827 +g972 +tp14603 +a(g413 +g1099 +tp14604 +a(g436 +VisInClassHeader +p14605 +tp14606 +a(g706 +g1054 +tp14607 +a(g827 +V\u000a +p14608 +tp14609 +a(g827 +V +p14610 +tp14611 +a(g413 +g4390 +tp14612 +a(g413 +g4390 +tp14613 +a(g436 +VtabCount +p14614 +tp14615 +a(g706 +g988 +tp14616 +a(g827 +V\u000a +p14617 +tp14618 +a(g827 +V +p14619 +tp14620 +a(g436 +VisIndentableHeader +p14621 +tp14622 +a(g827 +g972 +tp14623 +a(g413 +g1505 +tp14624 +a(g827 +g972 +tp14625 +a(g682 +Vfalse +p14626 +tp14627 +a(g706 +g988 +tp14628 +a(g827 +V\u000a +p14629 +tp14630 +a(g827 +V +p14631 +tp14632 +a(g706 +g1188 +tp14633 +a(g827 +V\u000a +p14634 +tp14635 +a(g827 +V +p14636 +tp14637 +a(g906 +V//else if ((newHeader == &STATIC || newHeader == &SYNCHRONIZED) &&\u000a +p14638 +tp14639 +a(g827 +V +p14640 +tp14641 +a(g906 +V// !headerStack->empty() &&\u000a +p14642 +tp14643 +a(g827 +V +p14644 +tp14645 +a(g906 +V// (headerStack->back() == &STATIC || headerStack->back() == &SYNCHRONIZED))\u000a +p14646 +tp14647 +a(g827 +V +p14648 +tp14649 +a(g906 +V//{\u000a +p14650 +tp14651 +a(g827 +V +p14652 +tp14653 +a(g906 +V// isIndentableHeader = false;\u000a +p14654 +tp14655 +a(g827 +V +p14656 +tp14657 +a(g906 +V//}\u000a +p14658 +tp14659 +a(g827 +V +p14660 +tp14661 +a(g745 +Velse +p14662 +tp14663 +a(g827 +g972 +tp14664 +a(g745 +Vif +p14665 +tp14666 +a(g827 +g972 +tp14667 +a(g706 +g1019 +tp14668 +a(g436 +VnewHeader +p14669 +tp14670 +a(g827 +g972 +tp14671 +a(g413 +g1505 +tp14672 +a(g413 +g1505 +tp14673 +a(g827 +g972 +tp14674 +a(g413 +g1026 +tp14675 +a(g436 +VAS_STATIC +p14676 +tp14677 +a(g827 +V\u000a +p14678 +tp14679 +a(g827 +V +p14680 +tp14681 +a(g413 +g8191 +tp14682 +a(g413 +g8191 +tp14683 +a(g827 +g972 +tp14684 +a(g436 +VnewHeader +p14685 +tp14686 +a(g827 +g972 +tp14687 +a(g413 +g1505 +tp14688 +a(g413 +g1505 +tp14689 +a(g827 +g972 +tp14690 +a(g413 +g1026 +tp14691 +a(g436 +VAS_SYNCHRONIZED +p14692 +tp14693 +a(g827 +V\u000a +p14694 +tp14695 +a(g827 +V +p14696 +tp14697 +a(g413 +g8191 +tp14698 +a(g413 +g8191 +tp14699 +a(g827 +g972 +tp14700 +a(g706 +g1019 +tp14701 +a(g436 +VnewHeader +p14702 +tp14703 +a(g827 +g972 +tp14704 +a(g413 +g1505 +tp14705 +a(g413 +g1505 +tp14706 +a(g827 +g972 +tp14707 +a(g413 +g1026 +tp14708 +a(g436 +VAS_CONST +p14709 +tp14710 +a(g827 +g972 +tp14711 +a(g413 +g1026 +tp14712 +a(g413 +g1026 +tp14713 +a(g827 +g972 +tp14714 +a(g436 +VisCStyle +p14715 +tp14716 +a(g706 +g1054 +tp14717 +a(g706 +g1054 +tp14718 +a(g827 +V\u000a +p14719 +tp14720 +a(g827 +V +p14721 +tp14722 +a(g706 +g1000 +tp14723 +a(g827 +V\u000a +p14724 +tp14725 +a(g827 +V +p14726 +tp14727 +a(g745 +Vif +p14728 +tp14729 +a(g827 +g972 +tp14730 +a(g706 +g1019 +tp14731 +a(g413 +g1099 +tp14732 +a(g436 +VheaderStack +p14733 +tp14734 +a(g413 +g4390 +tp14735 +a(g413 +g2458 +tp14736 +a(g436 +Vempty +p14737 +tp14738 +a(g706 +g1019 +tp14739 +a(g706 +g1054 +tp14740 +a(g827 +g972 +tp14741 +a(g413 +g1026 +tp14742 +a(g413 +g1026 +tp14743 +a(g827 +V\u000a +p14744 +tp14745 +a(g827 +V +p14746 +tp14747 +a(g706 +g1019 +tp14748 +a(g436 +VheaderStack +p14749 +tp14750 +a(g413 +g4390 +tp14751 +a(g413 +g2458 +tp14752 +a(g436 +Vback +p14753 +tp14754 +a(g706 +g1019 +tp14755 +a(g706 +g1054 +tp14756 +a(g827 +g972 +tp14757 +a(g413 +g1505 +tp14758 +a(g413 +g1505 +tp14759 +a(g827 +g972 +tp14760 +a(g413 +g1026 +tp14761 +a(g436 +VAS_STATIC +p14762 +tp14763 +a(g827 +V\u000a +p14764 +tp14765 +a(g827 +V +p14766 +tp14767 +a(g413 +g8191 +tp14768 +a(g413 +g8191 +tp14769 +a(g827 +g972 +tp14770 +a(g436 +VheaderStack +p14771 +tp14772 +a(g413 +g4390 +tp14773 +a(g413 +g2458 +tp14774 +a(g436 +Vback +p14775 +tp14776 +a(g706 +g1019 +tp14777 +a(g706 +g1054 +tp14778 +a(g827 +g972 +tp14779 +a(g413 +g1505 +tp14780 +a(g413 +g1505 +tp14781 +a(g827 +g972 +tp14782 +a(g413 +g1026 +tp14783 +a(g436 +VAS_SYNCHRONIZED +p14784 +tp14785 +a(g827 +V\u000a +p14786 +tp14787 +a(g827 +V +p14788 +tp14789 +a(g413 +g8191 +tp14790 +a(g413 +g8191 +tp14791 +a(g827 +g972 +tp14792 +a(g436 +VheaderStack +p14793 +tp14794 +a(g413 +g4390 +tp14795 +a(g413 +g2458 +tp14796 +a(g436 +Vback +p14797 +tp14798 +a(g706 +g1019 +tp14799 +a(g706 +g1054 +tp14800 +a(g827 +g972 +tp14801 +a(g413 +g1505 +tp14802 +a(g413 +g1505 +tp14803 +a(g827 +g972 +tp14804 +a(g413 +g1026 +tp14805 +a(g436 +VAS_CONST +p14806 +tp14807 +a(g706 +g1054 +tp14808 +a(g706 +g1054 +tp14809 +a(g827 +V\u000a +p14810 +tp14811 +a(g827 +V +p14812 +tp14813 +a(g706 +g1000 +tp14814 +a(g827 +V\u000a +p14815 +tp14816 +a(g827 +V +p14817 +tp14818 +a(g436 +VisIndentableHeader +p14819 +tp14820 +a(g827 +g972 +tp14821 +a(g413 +g1505 +tp14822 +a(g827 +g972 +tp14823 +a(g682 +Vfalse +p14824 +tp14825 +a(g706 +g988 +tp14826 +a(g827 +V\u000a +p14827 +tp14828 +a(g827 +V +p14829 +tp14830 +a(g706 +g1188 +tp14831 +a(g827 +V\u000a +p14832 +tp14833 +a(g827 +V +p14834 +tp14835 +a(g745 +Velse +p14836 +tp14837 +a(g827 +V\u000a +p14838 +tp14839 +a(g827 +V +p14840 +tp14841 +a(g706 +g1000 +tp14842 +a(g827 +V\u000a +p14843 +tp14844 +a(g827 +V +p14845 +tp14846 +a(g436 +VisIndentableHeader +p14847 +tp14848 +a(g827 +g972 +tp14849 +a(g413 +g1505 +tp14850 +a(g827 +g972 +tp14851 +a(g682 +Vfalse +p14852 +tp14853 +a(g706 +g988 +tp14854 +a(g827 +V\u000a +p14855 +tp14856 +a(g827 +V +p14857 +tp14858 +a(g436 +VprobationHeader +p14859 +tp14860 +a(g827 +g972 +tp14861 +a(g413 +g1505 +tp14862 +a(g827 +g972 +tp14863 +a(g436 +VnewHeader +p14864 +tp14865 +a(g706 +g988 +tp14866 +a(g827 +V\u000a +p14867 +tp14868 +a(g827 +V +p14869 +tp14870 +a(g706 +g1188 +tp14871 +a(g827 +V\u000a +p14872 +tp14873 +a(g827 +V +p14874 +tp14875 +a(g706 +g1188 +tp14876 +a(g827 +V\u000a +p14877 +tp14878 +a(g827 +V +p14879 +tp14880 +a(g745 +Velse +p14881 +tp14882 +a(g827 +g972 +tp14883 +a(g745 +Vif +p14884 +tp14885 +a(g827 +g972 +tp14886 +a(g706 +g1019 +tp14887 +a(g436 +VnewHeader +p14888 +tp14889 +a(g827 +g972 +tp14890 +a(g413 +g1505 +tp14891 +a(g413 +g1505 +tp14892 +a(g827 +g972 +tp14893 +a(g413 +g1026 +tp14894 +a(g436 +VAS_CONST +p14895 +tp14896 +a(g706 +g1054 +tp14897 +a(g827 +V\u000a +p14898 +tp14899 +a(g827 +V +p14900 +tp14901 +a(g706 +g1000 +tp14902 +a(g827 +V\u000a +p14903 +tp14904 +a(g827 +V +p14905 +tp14906 +a(g906 +V// this will be entered only if NOT in C style\u000a +p14907 +tp14908 +a(g827 +V +p14909 +tp14910 +a(g906 +V// since otherwise the CONST would be found to be a probstion header...\u000a +p14911 +tp14912 +a(g827 +V\u000a +p14913 +tp14914 +a(g827 +V +p14915 +tp14916 +a(g906 +V//if (isCStyle)\u000a +p14917 +tp14918 +a(g827 +V +p14919 +tp14920 +a(g906 +V// isInConst = true;\u000a +p14921 +tp14922 +a(g827 +V +p14923 +tp14924 +a(g436 +VisIndentableHeader +p14925 +tp14926 +a(g827 +g972 +tp14927 +a(g413 +g1505 +tp14928 +a(g827 +g972 +tp14929 +a(g682 +Vfalse +p14930 +tp14931 +a(g706 +g988 +tp14932 +a(g827 +V\u000a +p14933 +tp14934 +a(g827 +V +p14935 +tp14936 +a(g706 +g1188 +tp14937 +a(g827 +V\u000a +p14938 +tp14939 +a(g827 +V +p14940 +tp14941 +a(g8 +V/*\u000a else if (newHeader == &OPERATOR)\u000a {\u000a if (isCStyle)\u000a isInOperator = true;\u000a isIndentableHeader = false;\u000a }\u000a */ +p14942 +tp14943 +a(g827 +V\u000a +p14944 +tp14945 +a(g827 +V +p14946 +tp14947 +a(g745 +Velse +p14948 +tp14949 +a(g827 +g972 +tp14950 +a(g745 +Vif +p14951 +tp14952 +a(g827 +g972 +tp14953 +a(g706 +g1019 +tp14954 +a(g436 +VnewHeader +p14955 +tp14956 +a(g827 +g972 +tp14957 +a(g413 +g1505 +tp14958 +a(g413 +g1505 +tp14959 +a(g827 +g972 +tp14960 +a(g413 +g1026 +tp14961 +a(g436 +VAS_TEMPLATE +p14962 +tp14963 +a(g706 +g1054 +tp14964 +a(g827 +V\u000a +p14965 +tp14966 +a(g827 +V +p14967 +tp14968 +a(g706 +g1000 +tp14969 +a(g827 +V\u000a +p14970 +tp14971 +a(g827 +V +p14972 +tp14973 +a(g745 +Vif +p14974 +tp14975 +a(g827 +g972 +tp14976 +a(g706 +g1019 +tp14977 +a(g436 +VisCStyle +p14978 +tp14979 +a(g706 +g1054 +tp14980 +a(g827 +V\u000a +p14981 +tp14982 +a(g827 +V +p14983 +tp14984 +a(g436 +VisInTemplate +p14985 +tp14986 +a(g827 +g972 +tp14987 +a(g413 +g1505 +tp14988 +a(g827 +g972 +tp14989 +a(g682 +Vtrue +p14990 +tp14991 +a(g706 +g988 +tp14992 +a(g827 +V\u000a +p14993 +tp14994 +a(g827 +V +p14995 +tp14996 +a(g436 +VisIndentableHeader +p14997 +tp14998 +a(g827 +g972 +tp14999 +a(g413 +g1505 +tp15000 +a(g827 +g972 +tp15001 +a(g682 +Vfalse +p15002 +tp15003 +a(g706 +g988 +tp15004 +a(g827 +V\u000a +p15005 +tp15006 +a(g827 +V +p15007 +tp15008 +a(g706 +g1188 +tp15009 +a(g827 +V\u000a +p15010 +tp15011 +a(g827 +V\u000a +p15012 +tp15013 +a(g827 +V\u000a +p15014 +tp15015 +a(g827 +V +p15016 +tp15017 +a(g745 +Vif +p15018 +tp15019 +a(g827 +g972 +tp15020 +a(g706 +g1019 +tp15021 +a(g436 +VisIndentableHeader +p15022 +tp15023 +a(g706 +g1054 +tp15024 +a(g827 +V\u000a +p15025 +tp15026 +a(g827 +V +p15027 +tp15028 +a(g706 +g1000 +tp15029 +a(g827 +V\u000a +p15030 +tp15031 +a(g827 +V +p15032 +tp15033 +a(g906 +V// 3.2.99\u000a +p15034 +tp15035 +a(g827 +V +p15036 +tp15037 +a(g906 +V//spaceTabCount-=indentLength;\u000a +p15038 +tp15039 +a(g827 +V +p15040 +tp15041 +a(g436 +VheaderStack +p15042 +tp15043 +a(g413 +g4390 +tp15044 +a(g413 +g2458 +tp15045 +a(g436 +Vpush_back +p15046 +tp15047 +a(g706 +g1019 +tp15048 +a(g436 +VnewHeader +p15049 +tp15050 +a(g706 +g1054 +tp15051 +a(g706 +g988 +tp15052 +a(g827 +V\u000a +p15053 +tp15054 +a(g827 +V +p15055 +tp15056 +a(g436 +VisInStatement +p15057 +tp15058 +a(g827 +g972 +tp15059 +a(g413 +g1505 +tp15060 +a(g827 +g972 +tp15061 +a(g682 +Vfalse +p15062 +tp15063 +a(g706 +g988 +tp15064 +a(g827 +V\u000a +p15065 +tp15066 +a(g827 +V +p15067 +tp15068 +a(g745 +Vif +p15069 +tp15070 +a(g827 +g972 +tp15071 +a(g706 +g1019 +tp15072 +a(g436 +VindexOf +p15073 +tp15074 +a(g706 +g1019 +tp15075 +a(g436 +VnonParenHeaders +p15076 +tp15077 +a(g706 +g1030 +tp15078 +a(g827 +g972 +tp15079 +a(g436 +VnewHeader +p15080 +tp15081 +a(g706 +g1054 +tp15082 +a(g827 +g972 +tp15083 +a(g413 +g1505 +tp15084 +a(g413 +g1505 +tp15085 +a(g827 +g972 +tp15086 +a(g413 +g4390 +tp15087 +a(g37 +g1507 +tp15088 +a(g706 +g1054 +tp15089 +a(g827 +V\u000a +p15090 +tp15091 +a(g827 +V +p15092 +tp15093 +a(g706 +g1000 +tp15094 +a(g827 +V\u000a +p15095 +tp15096 +a(g827 +V +p15097 +tp15098 +a(g436 +VisInConditional +p15099 +tp15100 +a(g827 +g972 +tp15101 +a(g413 +g1505 +tp15102 +a(g827 +g972 +tp15103 +a(g682 +Vtrue +p15104 +tp15105 +a(g706 +g988 +tp15106 +a(g827 +V\u000a +p15107 +tp15108 +a(g827 +V +p15109 +tp15110 +a(g706 +g1188 +tp15111 +a(g827 +V\u000a +p15112 +tp15113 +a(g827 +V +p15114 +tp15115 +a(g436 +VlastLineHeader +p15116 +tp15117 +a(g827 +g972 +tp15118 +a(g413 +g1505 +tp15119 +a(g827 +g972 +tp15120 +a(g436 +VnewHeader +p15121 +tp15122 +a(g706 +g988 +tp15123 +a(g827 +V\u000a +p15124 +tp15125 +a(g827 +V +p15126 +tp15127 +a(g706 +g1188 +tp15128 +a(g827 +V\u000a +p15129 +tp15130 +a(g827 +V +p15131 +tp15132 +a(g745 +Velse +p15133 +tp15134 +a(g827 +V\u000a +p15135 +tp15136 +a(g827 +V +p15137 +tp15138 +a(g436 +VisInHeader +p15139 +tp15140 +a(g827 +g972 +tp15141 +a(g413 +g1505 +tp15142 +a(g827 +g972 +tp15143 +a(g682 +Vfalse +p15144 +tp15145 +a(g706 +g988 +tp15146 +a(g827 +V\u000a +p15147 +tp15148 +a(g827 +V\u000a +p15149 +tp15150 +a(g827 +V +p15151 +tp15152 +a(g906 +V//lastLineHeader = newHeader;\u000a +p15153 +tp15154 +a(g827 +V\u000a +p15155 +tp15156 +a(g827 +V +p15157 +tp15158 +a(g436 +VoutBuffer +p15159 +tp15160 +a(g706 +g1103 +tp15161 +a(g436 +Vappend +p15162 +tp15163 +a(g706 +g1019 +tp15164 +a(g436 +VnewHeader +p15165 +tp15166 +a(g413 +g4390 +tp15167 +a(g413 +g2458 +tp15168 +a(g436 +Vsubstr +p15169 +tp15170 +a(g706 +g1019 +tp15171 +a(g37 +g1507 +tp15172 +a(g706 +g1054 +tp15173 +a(g706 +g1054 +tp15174 +a(g706 +g988 +tp15175 +a(g827 +V\u000a +p15176 +tp15177 +a(g827 +V +p15178 +tp15179 +a(g436 +g1503 +tp15180 +a(g827 +g972 +tp15181 +a(g413 +g1517 +tp15182 +a(g413 +g1505 +tp15183 +a(g827 +g972 +tp15184 +a(g436 +VnewHeader +p15185 +tp15186 +a(g413 +g4390 +tp15187 +a(g413 +g2458 +tp15188 +a(g436 +Vlength +p15189 +tp15190 +a(g706 +g1019 +tp15191 +a(g706 +g1054 +tp15192 +a(g827 +g972 +tp15193 +a(g413 +g4390 +tp15194 +a(g827 +g972 +tp15195 +a(g37 +g1507 +tp15196 +a(g706 +g988 +tp15197 +a(g827 +V\u000a +p15198 +tp15199 +a(g827 +V\u000a +p15200 +tp15201 +a(g827 +V +p15202 +tp15203 +a(g745 +Vcontinue +p15204 +tp15205 +a(g706 +g988 +tp15206 +a(g827 +V\u000a +p15207 +tp15208 +a(g827 +V +p15209 +tp15210 +a(g706 +g1188 +tp15211 +a(g827 +V\u000a +p15212 +tp15213 +a(g827 +V +p15214 +tp15215 +a(g706 +g1188 +tp15216 +a(g827 +V\u000a +p15217 +tp15218 +a(g827 +V\u000a +p15219 +tp15220 +a(g827 +V +p15221 +tp15222 +a(g745 +Vif +p15223 +tp15224 +a(g827 +g972 +tp15225 +a(g706 +g1019 +tp15226 +a(g436 +VisCStyle +p15227 +tp15228 +a(g827 +g972 +tp15229 +a(g413 +g1026 +tp15230 +a(g413 +g1026 +tp15231 +a(g827 +g972 +tp15232 +a(g413 +g1099 +tp15233 +a(g436 +Visalpha +p15234 +tp15235 +a(g706 +g1019 +tp15236 +a(g436 +VprevCh +p15237 +tp15238 +a(g706 +g1054 +tp15239 +a(g827 +V\u000a +p15240 +tp15241 +a(g827 +V +p15242 +tp15243 +a(g413 +g1026 +tp15244 +a(g413 +g1026 +tp15245 +a(g827 +g972 +tp15246 +a(g436 +Vline +p15247 +tp15248 +a(g706 +g1103 +tp15249 +a(g436 +VCOMPARE +p15250 +tp15251 +a(g706 +g1019 +tp15252 +a(g436 +g1503 +tp15253 +a(g706 +g1030 +tp15254 +a(g827 +g972 +tp15255 +a(g37 +V8 +p15256 +tp15257 +a(g706 +g1030 +tp15258 +a(g827 +g972 +tp15259 +a(g436 +VAS_OPERATOR +p15260 +tp15261 +a(g706 +g1054 +tp15262 +a(g827 +g972 +tp15263 +a(g413 +g1505 +tp15264 +a(g413 +g1505 +tp15265 +a(g827 +g972 +tp15266 +a(g37 +g6082 +tp15267 +a(g827 +g972 +tp15268 +a(g413 +g1026 +tp15269 +a(g413 +g1026 +tp15270 +a(g827 +g972 +tp15271 +a(g413 +g1099 +tp15272 +a(g436 +Visalnum +p15273 +tp15274 +a(g706 +g1019 +tp15275 +a(g436 +Vline +p15276 +tp15277 +a(g706 +g1083 +tp15278 +a(g436 +g1503 +tp15279 +a(g413 +g1517 +tp15280 +a(g37 +g15256 +tp15281 +a(g706 +g7909 +tp15282 +a(g706 +g1054 +tp15283 +a(g706 +g1054 +tp15284 +a(g827 +V\u000a +p15285 +tp15286 +a(g827 +V +p15287 +tp15288 +a(g706 +g1000 +tp15289 +a(g827 +V\u000a +p15290 +tp15291 +a(g827 +V +p15292 +tp15293 +a(g436 +VisInOperator +p15294 +tp15295 +a(g827 +g972 +tp15296 +a(g413 +g1505 +tp15297 +a(g827 +g972 +tp15298 +a(g682 +Vtrue +p15299 +tp15300 +a(g706 +g988 +tp15301 +a(g827 +V\u000a +p15302 +tp15303 +a(g827 +V +p15304 +tp15305 +a(g436 +VoutBuffer +p15306 +tp15307 +a(g706 +g1103 +tp15308 +a(g436 +Vappend +p15309 +tp15310 +a(g706 +g1019 +tp15311 +a(g436 +VAS_OPERATOR +p15312 +tp15313 +a(g706 +g1103 +tp15314 +a(g436 +Vsubstr +p15315 +tp15316 +a(g706 +g1019 +tp15317 +a(g37 +g1507 +tp15318 +a(g706 +g1054 +tp15319 +a(g706 +g1054 +tp15320 +a(g706 +g988 +tp15321 +a(g827 +V\u000a +p15322 +tp15323 +a(g827 +V +p15324 +tp15325 +a(g436 +g1503 +tp15326 +a(g827 +g972 +tp15327 +a(g413 +g1517 +tp15328 +a(g413 +g1505 +tp15329 +a(g827 +g972 +tp15330 +a(g37 +V7 +p15331 +tp15332 +a(g706 +g988 +tp15333 +a(g827 +V\u000a +p15334 +tp15335 +a(g827 +V +p15336 +tp15337 +a(g745 +Vcontinue +p15338 +tp15339 +a(g706 +g988 +tp15340 +a(g827 +V\u000a +p15341 +tp15342 +a(g827 +V +p15343 +tp15344 +a(g706 +g1188 +tp15345 +a(g827 +V\u000a +p15346 +tp15347 +a(g827 +V\u000a +p15348 +tp15349 +a(g827 +V +p15350 +tp15351 +a(g745 +Vif +p15352 +tp15353 +a(g827 +g972 +tp15354 +a(g706 +g1019 +tp15355 +a(g436 +Vch +p15356 +tp15357 +a(g827 +g972 +tp15358 +a(g413 +g1505 +tp15359 +a(g413 +g1505 +tp15360 +a(g827 +g972 +tp15361 +a(g93 +g6333 +tp15362 +a(g93 +g1800 +tp15363 +a(g93 +g6333 +tp15364 +a(g706 +g1054 +tp15365 +a(g827 +V\u000a +p15366 +tp15367 +a(g827 +V +p15368 +tp15369 +a(g436 +VisInQuestion +p15370 +tp15371 +a(g827 +g972 +tp15372 +a(g413 +g1505 +tp15373 +a(g827 +g972 +tp15374 +a(g682 +Vtrue +p15375 +tp15376 +a(g706 +g988 +tp15377 +a(g827 +V\u000a +p15378 +tp15379 +a(g827 +V\u000a +p15380 +tp15381 +a(g827 +V\u000a +p15382 +tp15383 +a(g827 +V +p15384 +tp15385 +a(g906 +V// special handling of 'case' statements\u000a +p15386 +tp15387 +a(g827 +V +p15388 +tp15389 +a(g745 +Vif +p15390 +tp15391 +a(g827 +g972 +tp15392 +a(g706 +g1019 +tp15393 +a(g436 +Vch +p15394 +tp15395 +a(g827 +g972 +tp15396 +a(g413 +g1505 +tp15397 +a(g413 +g1505 +tp15398 +a(g827 +g972 +tp15399 +a(g93 +g6333 +tp15400 +a(g93 +g1014 +tp15401 +a(g93 +g6333 +tp15402 +a(g706 +g1054 +tp15403 +a(g827 +V\u000a +p15404 +tp15405 +a(g827 +V +p15406 +tp15407 +a(g706 +g1000 +tp15408 +a(g827 +V\u000a +p15409 +tp15410 +a(g827 +V +p15411 +tp15412 +a(g745 +Vif +p15413 +tp15414 +a(g827 +g972 +tp15415 +a(g706 +g1019 +tp15416 +a(g436 +Vline +p15417 +tp15418 +a(g706 +g1103 +tp15419 +a(g436 +Vlength +p15420 +tp15421 +a(g706 +g1019 +tp15422 +a(g706 +g1054 +tp15423 +a(g827 +g972 +tp15424 +a(g413 +g2458 +tp15425 +a(g827 +g972 +tp15426 +a(g436 +g1503 +tp15427 +a(g413 +g1517 +tp15428 +a(g37 +g1507 +tp15429 +a(g827 +g972 +tp15430 +a(g413 +g1026 +tp15431 +a(g413 +g1026 +tp15432 +a(g827 +g972 +tp15433 +a(g436 +Vline +p15434 +tp15435 +a(g706 +g1083 +tp15436 +a(g436 +g1503 +tp15437 +a(g413 +g1517 +tp15438 +a(g37 +g1507 +tp15439 +a(g706 +g7909 +tp15440 +a(g827 +g972 +tp15441 +a(g413 +g1505 +tp15442 +a(g413 +g1505 +tp15443 +a(g827 +g972 +tp15444 +a(g93 +g6333 +tp15445 +a(g93 +g1014 +tp15446 +a(g93 +g6333 +tp15447 +a(g706 +g1054 +tp15448 +a(g827 +g972 +tp15449 +a(g906 +V// look for ::\u000a +p15450 +tp15451 +a(g827 +V +p15452 +tp15453 +a(g706 +g1000 +tp15454 +a(g827 +V\u000a +p15455 +tp15456 +a(g827 +V +p15457 +tp15458 +a(g413 +g1517 +tp15459 +a(g413 +g1517 +tp15460 +a(g436 +g1503 +tp15461 +a(g706 +g988 +tp15462 +a(g827 +V\u000a +p15463 +tp15464 +a(g827 +V +p15465 +tp15466 +a(g436 +VoutBuffer +p15467 +tp15468 +a(g706 +g1103 +tp15469 +a(g436 +Vappend +p15470 +tp15471 +a(g706 +g1019 +tp15472 +a(g37 +g1507 +tp15473 +a(g706 +g1030 +tp15474 +a(g827 +g972 +tp15475 +a(g93 +g6333 +tp15476 +a(g93 +g1014 +tp15477 +a(g93 +g6333 +tp15478 +a(g706 +g1054 +tp15479 +a(g706 +g988 +tp15480 +a(g827 +V\u000a +p15481 +tp15482 +a(g827 +V +p15483 +tp15484 +a(g436 +Vch +p15485 +tp15486 +a(g827 +g972 +tp15487 +a(g413 +g1505 +tp15488 +a(g827 +g972 +tp15489 +a(g93 +g6333 +tp15490 +a(g93 +g972 +tp15491 +a(g93 +g6333 +tp15492 +a(g706 +g988 +tp15493 +a(g827 +V\u000a +p15494 +tp15495 +a(g827 +V +p15496 +tp15497 +a(g745 +Vcontinue +p15498 +tp15499 +a(g706 +g988 +tp15500 +a(g827 +V\u000a +p15501 +tp15502 +a(g827 +V +p15503 +tp15504 +a(g706 +g1188 +tp15505 +a(g827 +V\u000a +p15506 +tp15507 +a(g827 +V\u000a +p15508 +tp15509 +a(g827 +V +p15510 +tp15511 +a(g745 +Velse +p15512 +tp15513 +a(g827 +g972 +tp15514 +a(g745 +Vif +p15515 +tp15516 +a(g827 +g972 +tp15517 +a(g706 +g1019 +tp15518 +a(g436 +VisCStyle +p15519 +tp15520 +a(g827 +g972 +tp15521 +a(g413 +g1026 +tp15522 +a(g413 +g1026 +tp15523 +a(g827 +g972 +tp15524 +a(g436 +VisInClass +p15525 +tp15526 +a(g827 +g972 +tp15527 +a(g413 +g1026 +tp15528 +a(g413 +g1026 +tp15529 +a(g827 +g972 +tp15530 +a(g436 +VprevNonSpaceCh +p15531 +tp15532 +a(g827 +g972 +tp15533 +a(g413 +g1099 +tp15534 +a(g413 +g1505 +tp15535 +a(g827 +g972 +tp15536 +a(g93 +g6333 +tp15537 +a(g93 +g1054 +tp15538 +a(g93 +g6333 +tp15539 +a(g706 +g1054 +tp15540 +a(g827 +V\u000a +p15541 +tp15542 +a(g827 +V +p15543 +tp15544 +a(g706 +g1000 +tp15545 +a(g827 +V\u000a +p15546 +tp15547 +a(g827 +V +p15548 +tp15549 +a(g906 +V// BEGIN Content of ASBeautifier.cpp.BITFIELD.patch:\u000a +p15550 +tp15551 +a(g827 +V \u000a +p15552 +tp15553 +a(g749 +Vunsigned +p15554 +tp15555 +a(g827 +g972 +tp15556 +a(g749 +Vint +p15557 +tp15558 +a(g827 +g972 +tp15559 +a(g436 +VchIndex +p15560 +tp15561 +a(g706 +g988 +tp15562 +a(g827 +V\u000a +p15563 +tp15564 +a(g827 +V +p15565 +tp15566 +a(g749 +Vchar +p15567 +tp15568 +a(g827 +g972 +tp15569 +a(g436 +VnextCh +p15570 +tp15571 +a(g827 +g972 +tp15572 +a(g413 +g1505 +tp15573 +a(g827 +g972 +tp15574 +a(g37 +g6082 +tp15575 +a(g706 +g988 +tp15576 +a(g827 +V\u000a +p15577 +tp15578 +a(g827 +V +p15579 +tp15580 +a(g745 +Vfor +p15581 +tp15582 +a(g827 +g972 +tp15583 +a(g706 +g1019 +tp15584 +a(g436 +VchIndex +p15585 +tp15586 +a(g827 +g972 +tp15587 +a(g413 +g1505 +tp15588 +a(g827 +g972 +tp15589 +a(g436 +g1503 +tp15590 +a(g413 +g1517 +tp15591 +a(g37 +g1507 +tp15592 +a(g706 +g988 +tp15593 +a(g827 +g972 +tp15594 +a(g436 +VchIndex +p15595 +tp15596 +a(g827 +g972 +tp15597 +a(g413 +g1075 +tp15598 +a(g827 +g972 +tp15599 +a(g436 +Vline +p15600 +tp15601 +a(g706 +g1103 +tp15602 +a(g436 +Vlength +p15603 +tp15604 +a(g706 +g1019 +tp15605 +a(g706 +g1054 +tp15606 +a(g706 +g988 +tp15607 +a(g827 +g972 +tp15608 +a(g436 +VchIndex +p15609 +tp15610 +a(g413 +g1517 +tp15611 +a(g413 +g1517 +tp15612 +a(g706 +g1054 +tp15613 +a(g827 +V\u000a +p15614 +tp15615 +a(g827 +V +p15616 +tp15617 +a(g745 +Vif +p15618 +tp15619 +a(g827 +g972 +tp15620 +a(g706 +g1019 +tp15621 +a(g413 +g1099 +tp15622 +a(g436 +VisWhiteSpace +p15623 +tp15624 +a(g706 +g1019 +tp15625 +a(g436 +Vline +p15626 +tp15627 +a(g706 +g1083 +tp15628 +a(g436 +VchIndex +p15629 +tp15630 +a(g706 +g7909 +tp15631 +a(g706 +g1054 +tp15632 +a(g706 +g1054 +tp15633 +a(g827 +V\u000a +p15634 +tp15635 +a(g827 +V +p15636 +tp15637 +a(g745 +Vbreak +p15638 +tp15639 +a(g706 +g988 +tp15640 +a(g827 +V\u000a +p15641 +tp15642 +a(g827 +V +p15643 +tp15644 +a(g745 +Vif +p15645 +tp15646 +a(g827 +g972 +tp15647 +a(g706 +g1019 +tp15648 +a(g436 +VchIndex +p15649 +tp15650 +a(g413 +g1075 +tp15651 +a(g827 +g972 +tp15652 +a(g436 +Vline +p15653 +tp15654 +a(g706 +g1103 +tp15655 +a(g436 +Vlength +p15656 +tp15657 +a(g706 +g1019 +tp15658 +a(g706 +g1054 +tp15659 +a(g706 +g1054 +tp15660 +a(g827 +V\u000a +p15661 +tp15662 +a(g827 +V +p15663 +tp15664 +a(g436 +VnextCh +p15665 +tp15666 +a(g827 +g972 +tp15667 +a(g413 +g1505 +tp15668 +a(g827 +g972 +tp15669 +a(g436 +Vline +p15670 +tp15671 +a(g706 +g1083 +tp15672 +a(g436 +VchIndex +p15673 +tp15674 +a(g706 +g7909 +tp15675 +a(g706 +g988 +tp15676 +a(g827 +V\u000a +p15677 +tp15678 +a(g827 +V +p15679 +tp15680 +a(g749 +Vint +p15681 +tp15682 +a(g827 +g972 +tp15683 +a(g436 +VnWord +p15684 +tp15685 +a(g827 +g972 +tp15686 +a(g413 +g1505 +tp15687 +a(g37 +g6082 +tp15688 +a(g706 +g988 +tp15689 +a(g827 +V\u000a +p15690 +tp15691 +a(g827 +V +p15692 +tp15693 +a(g745 +Vfor +p15694 +tp15695 +a(g827 +g972 +tp15696 +a(g706 +g1019 +tp15697 +a(g436 +VchIndex +p15698 +tp15699 +a(g827 +g972 +tp15700 +a(g413 +g1505 +tp15701 +a(g827 +g972 +tp15702 +a(g37 +g6082 +tp15703 +a(g706 +g988 +tp15704 +a(g827 +g972 +tp15705 +a(g436 +VchIndex +p15706 +tp15707 +a(g827 +g972 +tp15708 +a(g413 +g1075 +tp15709 +a(g827 +g972 +tp15710 +a(g436 +g1503 +tp15711 +a(g706 +g988 +tp15712 +a(g827 +g972 +tp15713 +a(g436 +VchIndex +p15714 +tp15715 +a(g413 +g1517 +tp15716 +a(g413 +g1517 +tp15717 +a(g706 +g1054 +tp15718 +a(g827 +V\u000a +p15719 +tp15720 +a(g827 +V +p15721 +tp15722 +a(g706 +g1000 +tp15723 +a(g827 +V\u000a +p15724 +tp15725 +a(g827 +V +p15726 +tp15727 +a(g745 +Vif +p15728 +tp15729 +a(g827 +g972 +tp15730 +a(g706 +g1019 +tp15731 +a(g413 +g1099 +tp15732 +a(g436 +VisWhiteSpace +p15733 +tp15734 +a(g706 +g1019 +tp15735 +a(g436 +Vline +p15736 +tp15737 +a(g706 +g1083 +tp15738 +a(g436 +VchIndex +p15739 +tp15740 +a(g706 +g7909 +tp15741 +a(g706 +g1054 +tp15742 +a(g706 +g1054 +tp15743 +a(g827 +V\u000a +p15744 +tp15745 +a(g827 +V +p15746 +tp15747 +a(g706 +g1000 +tp15748 +a(g827 +V\u000a +p15749 +tp15750 +a(g827 +V +p15751 +tp15752 +a(g436 +VnWord +p15753 +tp15754 +a(g827 +g972 +tp15755 +a(g413 +g1517 +tp15756 +a(g413 +g1517 +tp15757 +a(g706 +g988 +tp15758 +a(g827 +V\u000a +p15759 +tp15760 +a(g827 +V +p15761 +tp15762 +a(g745 +Vwhile +p15763 +tp15764 +a(g827 +g972 +tp15765 +a(g706 +g1019 +tp15766 +a(g413 +g1099 +tp15767 +a(g436 +VisWhiteSpace +p15768 +tp15769 +a(g706 +g1019 +tp15770 +a(g436 +Vline +p15771 +tp15772 +a(g706 +g1083 +tp15773 +a(g413 +g1517 +tp15774 +a(g413 +g1517 +tp15775 +a(g436 +VchIndex +p15776 +tp15777 +a(g706 +g7909 +tp15778 +a(g706 +g1054 +tp15779 +a(g706 +g1054 +tp15780 +a(g706 +g988 +tp15781 +a(g827 +V\u000a +p15782 +tp15783 +a(g827 +V +p15784 +tp15785 +a(g706 +g1188 +tp15786 +a(g827 +V \u000a +p15787 +tp15788 +a(g706 +g1188 +tp15789 +a(g827 +V\u000a +p15790 +tp15791 +a(g827 +V +p15792 +tp15793 +a(g745 +Vif +p15794 +tp15795 +a(g827 +g972 +tp15796 +a(g706 +g1019 +tp15797 +a(g706 +g1019 +tp15798 +a(g436 +VnextCh +p15799 +tp15800 +a(g827 +g972 +tp15801 +a(g413 +g2458 +tp15802 +a(g413 +g1505 +tp15803 +a(g827 +g972 +tp15804 +a(g93 +g6333 +tp15805 +a(g93 +g6082 +tp15806 +a(g93 +g6333 +tp15807 +a(g827 +g972 +tp15808 +a(g413 +g1026 +tp15809 +a(g413 +g1026 +tp15810 +a(g827 +g972 +tp15811 +a(g436 +VnextCh +p15812 +tp15813 +a(g827 +g972 +tp15814 +a(g413 +g1075 +tp15815 +a(g413 +g1505 +tp15816 +a(g827 +g972 +tp15817 +a(g93 +g6333 +tp15818 +a(g93 +V9 +p15819 +tp15820 +a(g93 +g6333 +tp15821 +a(g706 +g1054 +tp15822 +a(g827 +g972 +tp15823 +a(g413 +g8191 +tp15824 +a(g413 +g8191 +tp15825 +a(g827 +g972 +tp15826 +a(g706 +g1019 +tp15827 +a(g436 +VnWord +p15828 +tp15829 +a(g827 +g972 +tp15830 +a(g413 +g2458 +tp15831 +a(g37 +g1507 +tp15832 +a(g706 +g1054 +tp15833 +a(g706 +g1054 +tp15834 +a(g827 +V\u000a +p15835 +tp15836 +a(g827 +V +p15837 +tp15838 +a(g745 +Vcontinue +p15839 +tp15840 +a(g706 +g988 +tp15841 +a(g827 +V\u000a +p15842 +tp15843 +a(g827 +V +p15844 +tp15845 +a(g906 +V// END Content of ASBeautifier.cpp.BITFIELD.patch:\u000a +p15846 +tp15847 +a(g827 +V \u000a +p15848 +tp15849 +a(g413 +g4390 +tp15850 +a(g413 +g4390 +tp15851 +a(g436 +VtabCount +p15852 +tp15853 +a(g706 +g988 +tp15854 +a(g827 +V\u000a +p15855 +tp15856 +a(g827 +V +p15857 +tp15858 +a(g906 +V// found a 'private:' or 'public:' inside a class definition\u000a +p15859 +tp15860 +a(g827 +V +p15861 +tp15862 +a(g906 +V// so do nothing special\u000a +p15863 +tp15864 +a(g827 +V +p15865 +tp15866 +a(g706 +g1188 +tp15867 +a(g827 +V\u000a +p15868 +tp15869 +a(g827 +V\u000a +p15870 +tp15871 +a(g827 +V +p15872 +tp15873 +a(g745 +Velse +p15874 +tp15875 +a(g827 +g972 +tp15876 +a(g745 +Vif +p15877 +tp15878 +a(g827 +g972 +tp15879 +a(g706 +g1019 +tp15880 +a(g436 +VisCStyle +p15881 +tp15882 +a(g827 +g972 +tp15883 +a(g413 +g1026 +tp15884 +a(g413 +g1026 +tp15885 +a(g827 +g972 +tp15886 +a(g436 +VisInClassHeader +p15887 +tp15888 +a(g706 +g1054 +tp15889 +a(g827 +V\u000a +p15890 +tp15891 +a(g827 +V +p15892 +tp15893 +a(g706 +g1000 +tp15894 +a(g827 +V\u000a +p15895 +tp15896 +a(g827 +V\u000a +p15897 +tp15898 +a(g827 +V +p15899 +tp15900 +a(g906 +V// found a 'class A : public B' definition\u000a +p15901 +tp15902 +a(g827 +V +p15903 +tp15904 +a(g906 +V// so do nothing special\u000a +p15905 +tp15906 +a(g827 +V +p15907 +tp15908 +a(g706 +g1188 +tp15909 +a(g827 +V\u000a +p15910 +tp15911 +a(g827 +V\u000a +p15912 +tp15913 +a(g827 +V +p15914 +tp15915 +a(g745 +Velse +p15916 +tp15917 +a(g827 +g972 +tp15918 +a(g745 +Vif +p15919 +tp15920 +a(g827 +g972 +tp15921 +a(g706 +g1019 +tp15922 +a(g436 +VisInQuestion +p15923 +tp15924 +a(g706 +g1054 +tp15925 +a(g827 +V\u000a +p15926 +tp15927 +a(g827 +V +p15928 +tp15929 +a(g706 +g1000 +tp15930 +a(g827 +V\u000a +p15931 +tp15932 +a(g827 +V +p15933 +tp15934 +a(g436 +VisInQuestion +p15935 +tp15936 +a(g827 +g972 +tp15937 +a(g413 +g1505 +tp15938 +a(g827 +g972 +tp15939 +a(g682 +Vfalse +p15940 +tp15941 +a(g706 +g988 +tp15942 +a(g827 +V\u000a +p15943 +tp15944 +a(g827 +V +p15945 +tp15946 +a(g706 +g1188 +tp15947 +a(g827 +V\u000a +p15948 +tp15949 +a(g827 +V +p15950 +tp15951 +a(g745 +Velse +p15952 +tp15953 +a(g827 +g972 +tp15954 +a(g745 +Vif +p15955 +tp15956 +a(g827 +g972 +tp15957 +a(g706 +g1019 +tp15958 +a(g436 +VisCStyle +p15959 +tp15960 +a(g827 +g972 +tp15961 +a(g413 +g1026 +tp15962 +a(g413 +g1026 +tp15963 +a(g827 +g972 +tp15964 +a(g436 +VprevNonSpaceCh +p15965 +tp15966 +a(g827 +g972 +tp15967 +a(g413 +g1505 +tp15968 +a(g413 +g1505 +tp15969 +a(g827 +g972 +tp15970 +a(g93 +g6333 +tp15971 +a(g93 +g1054 +tp15972 +a(g93 +g6333 +tp15973 +a(g706 +g1054 +tp15974 +a(g827 +V\u000a +p15975 +tp15976 +a(g827 +V +p15977 +tp15978 +a(g706 +g1000 +tp15979 +a(g827 +V\u000a +p15980 +tp15981 +a(g827 +V +p15982 +tp15983 +a(g436 +VisInClassHeader +p15984 +tp15985 +a(g827 +g972 +tp15986 +a(g413 +g1505 +tp15987 +a(g827 +g972 +tp15988 +a(g682 +Vtrue +p15989 +tp15990 +a(g706 +g988 +tp15991 +a(g827 +V\u000a +p15992 +tp15993 +a(g827 +V +p15994 +tp15995 +a(g745 +Vif +p15996 +tp15997 +a(g827 +g972 +tp15998 +a(g706 +g1019 +tp15999 +a(g436 +g1503 +tp16000 +a(g413 +g1505 +tp16001 +a(g413 +g1505 +tp16002 +a(g37 +g6082 +tp16003 +a(g706 +g1054 +tp16004 +a(g827 +V\u000a +p16005 +tp16006 +a(g827 +V +p16007 +tp16008 +a(g436 +VtabCount +p16009 +tp16010 +a(g827 +g972 +tp16011 +a(g413 +g1517 +tp16012 +a(g413 +g1505 +tp16013 +a(g827 +g972 +tp16014 +a(g37 +g6659 +tp16015 +a(g706 +g988 +tp16016 +a(g827 +V\u000a +p16017 +tp16018 +a(g827 +V +p16019 +tp16020 +a(g706 +g1188 +tp16021 +a(g827 +V\u000a +p16022 +tp16023 +a(g827 +V +p16024 +tp16025 +a(g745 +Velse +p16026 +tp16027 +a(g827 +V\u000a +p16028 +tp16029 +a(g827 +V +p16030 +tp16031 +a(g706 +g1000 +tp16032 +a(g827 +V\u000a +p16033 +tp16034 +a(g827 +V +p16035 +tp16036 +a(g436 +VcurrentNonSpaceCh +p16037 +tp16038 +a(g827 +g972 +tp16039 +a(g413 +g1505 +tp16040 +a(g827 +g972 +tp16041 +a(g93 +g6333 +tp16042 +a(g93 +g988 +tp16043 +a(g93 +g6333 +tp16044 +a(g706 +g988 +tp16045 +a(g827 +g972 +tp16046 +a(g906 +V// so that brackets after the ':' will appear as block-openers\u000a +p16047 +tp16048 +a(g827 +V +p16049 +tp16050 +a(g745 +Vif +p16051 +tp16052 +a(g827 +g972 +tp16053 +a(g706 +g1019 +tp16054 +a(g436 +VisInCase +p16055 +tp16056 +a(g706 +g1054 +tp16057 +a(g827 +V\u000a +p16058 +tp16059 +a(g827 +V +p16060 +tp16061 +a(g706 +g1000 +tp16062 +a(g827 +V\u000a +p16063 +tp16064 +a(g827 +V +p16065 +tp16066 +a(g436 +VisInCase +p16067 +tp16068 +a(g827 +g972 +tp16069 +a(g413 +g1505 +tp16070 +a(g827 +g972 +tp16071 +a(g682 +Vfalse +p16072 +tp16073 +a(g706 +g988 +tp16074 +a(g827 +V\u000a +p16075 +tp16076 +a(g827 +V +p16077 +tp16078 +a(g436 +Vch +p16079 +tp16080 +a(g827 +g972 +tp16081 +a(g413 +g1505 +tp16082 +a(g827 +g972 +tp16083 +a(g93 +g6333 +tp16084 +a(g93 +g988 +tp16085 +a(g93 +g6333 +tp16086 +a(g706 +g988 +tp16087 +a(g827 +g972 +tp16088 +a(g906 +V// from here on, treat char as ';'\u000a +p16089 +tp16090 +a(g827 +V +p16091 +tp16092 +a(g706 +g1188 +tp16093 +a(g827 +V \u000a +p16094 +tp16095 +a(g906 +V// BEGIN content of ASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p16096 +tp16097 +a(g827 +V +p16098 +tp16099 +a(g745 +Velse +p16100 +tp16101 +a(g827 +g972 +tp16102 +a(g906 +V// bitfield or labels\u000a +p16103 +tp16104 +a(g827 +V +p16105 +tp16106 +a(g706 +g1000 +tp16107 +a(g827 +V\u000a +p16108 +tp16109 +a(g827 +V +p16110 +tp16111 +a(g749 +Vunsigned +p16112 +tp16113 +a(g827 +g972 +tp16114 +a(g749 +Vint +p16115 +tp16116 +a(g827 +g972 +tp16117 +a(g436 +VchIndex +p16118 +tp16119 +a(g706 +g988 +tp16120 +a(g827 +V\u000a +p16121 +tp16122 +a(g827 +V +p16123 +tp16124 +a(g749 +Vchar +p16125 +tp16126 +a(g827 +g972 +tp16127 +a(g436 +VnextCh +p16128 +tp16129 +a(g827 +g972 +tp16130 +a(g413 +g1505 +tp16131 +a(g827 +g972 +tp16132 +a(g37 +g6082 +tp16133 +a(g706 +g988 +tp16134 +a(g827 +V\u000a +p16135 +tp16136 +a(g827 +V +p16137 +tp16138 +a(g745 +Vfor +p16139 +tp16140 +a(g827 +g972 +tp16141 +a(g706 +g1019 +tp16142 +a(g436 +VchIndex +p16143 +tp16144 +a(g827 +g972 +tp16145 +a(g413 +g1505 +tp16146 +a(g827 +g972 +tp16147 +a(g436 +g1503 +tp16148 +a(g413 +g1517 +tp16149 +a(g37 +g1507 +tp16150 +a(g706 +g988 +tp16151 +a(g827 +g972 +tp16152 +a(g706 +g1019 +tp16153 +a(g436 +VisCStyle +p16154 +tp16155 +a(g827 +g972 +tp16156 +a(g413 +g1026 +tp16157 +a(g413 +g1026 +tp16158 +a(g827 +g972 +tp16159 +a(g436 +VchIndex +p16160 +tp16161 +a(g827 +g972 +tp16162 +a(g413 +g1075 +tp16163 +a(g827 +g972 +tp16164 +a(g436 +Vline +p16165 +tp16166 +a(g706 +g1103 +tp16167 +a(g436 +Vlength +p16168 +tp16169 +a(g706 +g1019 +tp16170 +a(g706 +g1054 +tp16171 +a(g706 +g1054 +tp16172 +a(g706 +g988 +tp16173 +a(g827 +g972 +tp16174 +a(g436 +VchIndex +p16175 +tp16176 +a(g413 +g1517 +tp16177 +a(g413 +g1517 +tp16178 +a(g706 +g1054 +tp16179 +a(g827 +V\u000a +p16180 +tp16181 +a(g827 +V +p16182 +tp16183 +a(g745 +Vif +p16184 +tp16185 +a(g827 +g972 +tp16186 +a(g706 +g1019 +tp16187 +a(g413 +g1099 +tp16188 +a(g436 +VisWhiteSpace +p16189 +tp16190 +a(g706 +g1019 +tp16191 +a(g436 +Vline +p16192 +tp16193 +a(g706 +g1083 +tp16194 +a(g436 +VchIndex +p16195 +tp16196 +a(g706 +g7909 +tp16197 +a(g706 +g1054 +tp16198 +a(g706 +g1054 +tp16199 +a(g827 +V\u000a +p16200 +tp16201 +a(g827 +V +p16202 +tp16203 +a(g745 +Vbreak +p16204 +tp16205 +a(g706 +g988 +tp16206 +a(g827 +V\u000a +p16207 +tp16208 +a(g827 +V +p16209 +tp16210 +a(g745 +Vif +p16211 +tp16212 +a(g827 +g972 +tp16213 +a(g706 +g1019 +tp16214 +a(g436 +VchIndex +p16215 +tp16216 +a(g413 +g1075 +tp16217 +a(g827 +g972 +tp16218 +a(g436 +Vline +p16219 +tp16220 +a(g706 +g1103 +tp16221 +a(g436 +Vlength +p16222 +tp16223 +a(g706 +g1019 +tp16224 +a(g706 +g1054 +tp16225 +a(g706 +g1054 +tp16226 +a(g827 +V\u000a +p16227 +tp16228 +a(g827 +V +p16229 +tp16230 +a(g436 +VnextCh +p16231 +tp16232 +a(g827 +g972 +tp16233 +a(g413 +g1505 +tp16234 +a(g827 +g972 +tp16235 +a(g436 +Vline +p16236 +tp16237 +a(g706 +g1083 +tp16238 +a(g436 +VchIndex +p16239 +tp16240 +a(g706 +g7909 +tp16241 +a(g706 +g988 +tp16242 +a(g827 +V\u000a +p16243 +tp16244 +a(g827 +V\u000a +p16245 +tp16246 +a(g827 +V +p16247 +tp16248 +a(g749 +Vint +p16249 +tp16250 +a(g827 +g972 +tp16251 +a(g436 +VnWord +p16252 +tp16253 +a(g827 +g972 +tp16254 +a(g413 +g1505 +tp16255 +a(g37 +g6082 +tp16256 +a(g706 +g988 +tp16257 +a(g827 +V\u000a +p16258 +tp16259 +a(g827 +V +p16260 +tp16261 +a(g745 +Vfor +p16262 +tp16263 +a(g827 +g972 +tp16264 +a(g706 +g1019 +tp16265 +a(g436 +VchIndex +p16266 +tp16267 +a(g827 +g972 +tp16268 +a(g413 +g1505 +tp16269 +a(g827 +g972 +tp16270 +a(g37 +g6082 +tp16271 +a(g706 +g988 +tp16272 +a(g827 +g972 +tp16273 +a(g436 +VchIndex +p16274 +tp16275 +a(g827 +g972 +tp16276 +a(g413 +g1075 +tp16277 +a(g827 +g972 +tp16278 +a(g436 +g1503 +tp16279 +a(g706 +g988 +tp16280 +a(g827 +g972 +tp16281 +a(g436 +VchIndex +p16282 +tp16283 +a(g413 +g1517 +tp16284 +a(g413 +g1517 +tp16285 +a(g706 +g1054 +tp16286 +a(g827 +V\u000a +p16287 +tp16288 +a(g827 +V +p16289 +tp16290 +a(g706 +g1000 +tp16291 +a(g827 +V\u000a +p16292 +tp16293 +a(g827 +V +p16294 +tp16295 +a(g745 +Vif +p16296 +tp16297 +a(g827 +g972 +tp16298 +a(g706 +g1019 +tp16299 +a(g413 +g1099 +tp16300 +a(g436 +VisWhiteSpace +p16301 +tp16302 +a(g706 +g1019 +tp16303 +a(g436 +Vline +p16304 +tp16305 +a(g706 +g1083 +tp16306 +a(g436 +VchIndex +p16307 +tp16308 +a(g706 +g7909 +tp16309 +a(g706 +g1054 +tp16310 +a(g706 +g1054 +tp16311 +a(g827 +V\u000a +p16312 +tp16313 +a(g827 +V +p16314 +tp16315 +a(g706 +g1000 +tp16316 +a(g827 +V\u000a +p16317 +tp16318 +a(g827 +V +p16319 +tp16320 +a(g436 +VnWord +p16321 +tp16322 +a(g827 +g972 +tp16323 +a(g413 +g1517 +tp16324 +a(g413 +g1517 +tp16325 +a(g706 +g988 +tp16326 +a(g827 +V\u000a +p16327 +tp16328 +a(g827 +V +p16329 +tp16330 +a(g745 +Vwhile +p16331 +tp16332 +a(g827 +g972 +tp16333 +a(g706 +g1019 +tp16334 +a(g413 +g1099 +tp16335 +a(g436 +VisWhiteSpace +p16336 +tp16337 +a(g706 +g1019 +tp16338 +a(g436 +Vline +p16339 +tp16340 +a(g706 +g1083 +tp16341 +a(g413 +g1517 +tp16342 +a(g413 +g1517 +tp16343 +a(g436 +VchIndex +p16344 +tp16345 +a(g706 +g7909 +tp16346 +a(g706 +g1054 +tp16347 +a(g706 +g1054 +tp16348 +a(g706 +g988 +tp16349 +a(g827 +V\u000a +p16350 +tp16351 +a(g827 +V +p16352 +tp16353 +a(g706 +g1188 +tp16354 +a(g827 +V \u000a +p16355 +tp16356 +a(g706 +g1188 +tp16357 +a(g827 +V\u000a +p16358 +tp16359 +a(g827 +V +p16360 +tp16361 +a(g745 +Vif +p16362 +tp16363 +a(g827 +g972 +tp16364 +a(g706 +g1019 +tp16365 +a(g436 +VisCStyle +p16366 +tp16367 +a(g827 +g972 +tp16368 +a(g413 +g1026 +tp16369 +a(g413 +g1026 +tp16370 +a(g827 +V +p16371 +tp16372 +a(g706 +g1019 +tp16373 +a(g436 +VnextCh +p16374 +tp16375 +a(g827 +g972 +tp16376 +a(g413 +g2458 +tp16377 +a(g413 +g1505 +tp16378 +a(g827 +g972 +tp16379 +a(g93 +g6333 +tp16380 +a(g93 +g6082 +tp16381 +a(g93 +g6333 +tp16382 +a(g827 +g972 +tp16383 +a(g413 +g1026 +tp16384 +a(g413 +g1026 +tp16385 +a(g827 +g972 +tp16386 +a(g436 +VnextCh +p16387 +tp16388 +a(g827 +g972 +tp16389 +a(g413 +g1075 +tp16390 +a(g413 +g1505 +tp16391 +a(g827 +g972 +tp16392 +a(g93 +g6333 +tp16393 +a(g93 +g15819 +tp16394 +a(g93 +g6333 +tp16395 +a(g706 +g1054 +tp16396 +a(g827 +g972 +tp16397 +a(g413 +g8191 +tp16398 +a(g413 +g8191 +tp16399 +a(g827 +g972 +tp16400 +a(g706 +g1019 +tp16401 +a(g436 +VnWord +p16402 +tp16403 +a(g827 +g972 +tp16404 +a(g413 +g2458 +tp16405 +a(g37 +g1507 +tp16406 +a(g706 +g1054 +tp16407 +a(g706 +g1054 +tp16408 +a(g827 +V\u000a +p16409 +tp16410 +a(g827 +V +p16411 +tp16412 +a(g706 +g1000 +tp16413 +a(g827 +V\u000a +p16414 +tp16415 +a(g827 +V +p16416 +tp16417 +a(g745 +Vcontinue +p16418 +tp16419 +a(g706 +g988 +tp16420 +a(g827 +V\u000a +p16421 +tp16422 +a(g827 +V +p16423 +tp16424 +a(g706 +g1188 +tp16425 +a(g827 +V\u000a +p16426 +tp16427 +a(g827 +V +p16428 +tp16429 +a(g906 +V// END content of ASASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p16430 +tp16431 +a(g827 +V\u000a +p16432 +tp16433 +a(g827 +V +p16434 +tp16435 +a(g745 +Velse +p16436 +tp16437 +a(g827 +g972 +tp16438 +a(g906 +V// is in a label (e.g. 'label1:')\u000a +p16439 +tp16440 +a(g827 +V +p16441 +tp16442 +a(g706 +g1000 +tp16443 +a(g827 +V\u000a +p16444 +tp16445 +a(g827 +V +p16446 +tp16447 +a(g745 +Vif +p16448 +tp16449 +a(g827 +g972 +tp16450 +a(g706 +g1019 +tp16451 +a(g436 +VlabelIndent +p16452 +tp16453 +a(g706 +g1054 +tp16454 +a(g827 +V\u000a +p16455 +tp16456 +a(g827 +V +p16457 +tp16458 +a(g413 +g4390 +tp16459 +a(g413 +g4390 +tp16460 +a(g436 +VtabCount +p16461 +tp16462 +a(g706 +g988 +tp16463 +a(g827 +g972 +tp16464 +a(g906 +V// unindent label by one indent\u000a +p16465 +tp16466 +a(g827 +V +p16467 +tp16468 +a(g745 +Velse +p16469 +tp16470 +a(g827 +V\u000a +p16471 +tp16472 +a(g827 +V +p16473 +tp16474 +a(g436 +VtabCount +p16475 +tp16476 +a(g827 +g972 +tp16477 +a(g413 +g1505 +tp16478 +a(g827 +g972 +tp16479 +a(g37 +g6082 +tp16480 +a(g706 +g988 +tp16481 +a(g827 +g972 +tp16482 +a(g906 +V// completely flush indent to left\u000a +p16483 +tp16484 +a(g827 +V +p16485 +tp16486 +a(g706 +g1188 +tp16487 +a(g827 +V\u000a +p16488 +tp16489 +a(g827 +V\u000a +p16490 +tp16491 +a(g827 +V +p16492 +tp16493 +a(g906 +V// BEGIN content of ASASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p16494 +tp16495 +a(g827 +V +p16496 +tp16497 +a(g706 +g1188 +tp16498 +a(g827 +V\u000a +p16499 +tp16500 +a(g827 +V +p16501 +tp16502 +a(g906 +V// END content of ASASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p16503 +tp16504 +a(g827 +V\u000a +p16505 +tp16506 +a(g827 +V +p16507 +tp16508 +a(g706 +g1188 +tp16509 +a(g827 +V\u000a +p16510 +tp16511 +a(g827 +V +p16512 +tp16513 +a(g706 +g1188 +tp16514 +a(g827 +V\u000a +p16515 +tp16516 +a(g827 +V\u000a +p16517 +tp16518 +a(g827 +V +p16519 +tp16520 +a(g745 +Vif +p16521 +tp16522 +a(g827 +g972 +tp16523 +a(g706 +g1019 +tp16524 +a(g706 +g1019 +tp16525 +a(g436 +Vch +p16526 +tp16527 +a(g827 +g972 +tp16528 +a(g413 +g1505 +tp16529 +a(g413 +g1505 +tp16530 +a(g827 +g972 +tp16531 +a(g93 +g6333 +tp16532 +a(g93 +g988 +tp16533 +a(g93 +g6333 +tp16534 +a(g827 +V +p16535 +tp16536 +a(g413 +g8191 +tp16537 +a(g413 +g8191 +tp16538 +a(g827 +g972 +tp16539 +a(g706 +g1019 +tp16540 +a(g436 +VparenDepth +p16541 +tp16542 +a(g413 +g2458 +tp16543 +a(g37 +g6082 +tp16544 +a(g827 +g972 +tp16545 +a(g413 +g1026 +tp16546 +a(g413 +g1026 +tp16547 +a(g827 +g972 +tp16548 +a(g436 +Vch +p16549 +tp16550 +a(g827 +g972 +tp16551 +a(g413 +g1505 +tp16552 +a(g413 +g1505 +tp16553 +a(g827 +g972 +tp16554 +a(g93 +g6333 +tp16555 +a(g93 +g1030 +tp16556 +a(g93 +g6333 +tp16557 +a(g706 +g1054 +tp16558 +a(g706 +g1054 +tp16559 +a(g827 +V +p16560 +tp16561 +a(g413 +g1026 +tp16562 +a(g413 +g1026 +tp16563 +a(g827 +g972 +tp16564 +a(g413 +g1099 +tp16565 +a(g436 +VinStatementIndentStackSizeStack +p16566 +tp16567 +a(g413 +g4390 +tp16568 +a(g413 +g2458 +tp16569 +a(g436 +Vempty +p16570 +tp16571 +a(g706 +g1019 +tp16572 +a(g706 +g1054 +tp16573 +a(g706 +g1054 +tp16574 +a(g827 +V\u000a +p16575 +tp16576 +a(g827 +V +p16577 +tp16578 +a(g745 +Vwhile +p16579 +tp16580 +a(g827 +g972 +tp16581 +a(g706 +g1019 +tp16582 +a(g706 +g1019 +tp16583 +a(g749 +Vunsigned +p16584 +tp16585 +a(g827 +g972 +tp16586 +a(g749 +Vint +p16587 +tp16588 +a(g706 +g1054 +tp16589 +a(g436 +VinStatementIndentStackSizeStack +p16590 +tp16591 +a(g413 +g4390 +tp16592 +a(g413 +g2458 +tp16593 +a(g436 +Vback +p16594 +tp16595 +a(g706 +g1019 +tp16596 +a(g706 +g1054 +tp16597 +a(g827 +g972 +tp16598 +a(g413 +g1517 +tp16599 +a(g827 +g972 +tp16600 +a(g706 +g1019 +tp16601 +a(g436 +VparenDepth +p16602 +tp16603 +a(g413 +g2458 +tp16604 +a(g37 +g6082 +tp16605 +a(g827 +g972 +tp16606 +a(g413 +g1800 +tp16607 +a(g827 +g972 +tp16608 +a(g37 +g1507 +tp16609 +a(g827 +g972 +tp16610 +a(g413 +g1014 +tp16611 +a(g827 +g972 +tp16612 +a(g37 +g6082 +tp16613 +a(g706 +g1054 +tp16614 +a(g827 +V +p16615 +tp16616 +a(g413 +g1075 +tp16617 +a(g827 +g972 +tp16618 +a(g436 +VinStatementIndentStack +p16619 +tp16620 +a(g413 +g4390 +tp16621 +a(g413 +g2458 +tp16622 +a(g436 +Vsize +p16623 +tp16624 +a(g706 +g1019 +tp16625 +a(g706 +g1054 +tp16626 +a(g706 +g1054 +tp16627 +a(g827 +V\u000a +p16628 +tp16629 +a(g827 +V +p16630 +tp16631 +a(g436 +VinStatementIndentStack +p16632 +tp16633 +a(g413 +g4390 +tp16634 +a(g413 +g2458 +tp16635 +a(g436 +Vpop_back +p16636 +tp16637 +a(g706 +g1019 +tp16638 +a(g706 +g1054 +tp16639 +a(g706 +g988 +tp16640 +a(g827 +V\u000a +p16641 +tp16642 +a(g827 +V\u000a +p16643 +tp16644 +a(g827 +V\u000a +p16645 +tp16646 +a(g827 +V +p16647 +tp16648 +a(g906 +V// handle ends of statements\u000a +p16649 +tp16650 +a(g827 +V +p16651 +tp16652 +a(g745 +Vif +p16653 +tp16654 +a(g827 +g972 +tp16655 +a(g706 +g1019 +tp16656 +a(g827 +g972 +tp16657 +a(g706 +g1019 +tp16658 +a(g436 +Vch +p16659 +tp16660 +a(g827 +g972 +tp16661 +a(g413 +g1505 +tp16662 +a(g413 +g1505 +tp16663 +a(g827 +g972 +tp16664 +a(g93 +g6333 +tp16665 +a(g93 +g988 +tp16666 +a(g93 +g6333 +tp16667 +a(g827 +g972 +tp16668 +a(g413 +g1026 +tp16669 +a(g413 +g1026 +tp16670 +a(g827 +g972 +tp16671 +a(g436 +VparenDepth +p16672 +tp16673 +a(g827 +g972 +tp16674 +a(g413 +g1505 +tp16675 +a(g413 +g1505 +tp16676 +a(g827 +g972 +tp16677 +a(g37 +g6082 +tp16678 +a(g706 +g1054 +tp16679 +a(g827 +g972 +tp16680 +a(g413 +g8191 +tp16681 +a(g413 +g8191 +tp16682 +a(g827 +g972 +tp16683 +a(g436 +Vch +p16684 +tp16685 +a(g827 +g972 +tp16686 +a(g413 +g1505 +tp16687 +a(g413 +g1505 +tp16688 +a(g827 +g972 +tp16689 +a(g93 +g6333 +tp16690 +a(g93 +g1188 +tp16691 +a(g93 +g6333 +tp16692 +a(g8 +V/* || (ch == ',' && parenDepth == 0)*/ +p16693 +tp16694 +a(g706 +g1054 +tp16695 +a(g827 +V\u000a +p16696 +tp16697 +a(g827 +V +p16698 +tp16699 +a(g706 +g1000 +tp16700 +a(g827 +V\u000a +p16701 +tp16702 +a(g827 +V +p16703 +tp16704 +a(g745 +Vif +p16705 +tp16706 +a(g827 +g972 +tp16707 +a(g706 +g1019 +tp16708 +a(g436 +Vch +p16709 +tp16710 +a(g827 +g972 +tp16711 +a(g413 +g1505 +tp16712 +a(g413 +g1505 +tp16713 +a(g827 +g972 +tp16714 +a(g93 +g6333 +tp16715 +a(g93 +g1188 +tp16716 +a(g93 +g6333 +tp16717 +a(g706 +g1054 +tp16718 +a(g827 +V\u000a +p16719 +tp16720 +a(g827 +V +p16721 +tp16722 +a(g706 +g1000 +tp16723 +a(g827 +V\u000a +p16724 +tp16725 +a(g827 +V +p16726 +tp16727 +a(g906 +V// first check if this '}' closes a previous block, or a static array...\u000a +p16728 +tp16729 +a(g827 +V +p16730 +tp16731 +a(g745 +Vif +p16732 +tp16733 +a(g827 +g972 +tp16734 +a(g706 +g1019 +tp16735 +a(g413 +g1099 +tp16736 +a(g436 +VbracketBlockStateStack +p16737 +tp16738 +a(g413 +g4390 +tp16739 +a(g413 +g2458 +tp16740 +a(g436 +Vempty +p16741 +tp16742 +a(g706 +g1019 +tp16743 +a(g706 +g1054 +tp16744 +a(g706 +g1054 +tp16745 +a(g827 +V\u000a +p16746 +tp16747 +a(g827 +V +p16748 +tp16749 +a(g706 +g1000 +tp16750 +a(g827 +V\u000a +p16751 +tp16752 +a(g827 +V +p16753 +tp16754 +a(g749 +Vbool +p16755 +tp16756 +a(g827 +g972 +tp16757 +a(g436 +VbracketBlockState +p16758 +tp16759 +a(g827 +g972 +tp16760 +a(g413 +g1505 +tp16761 +a(g827 +g972 +tp16762 +a(g436 +VbracketBlockStateStack +p16763 +tp16764 +a(g413 +g4390 +tp16765 +a(g413 +g2458 +tp16766 +a(g436 +Vback +p16767 +tp16768 +a(g706 +g1019 +tp16769 +a(g706 +g1054 +tp16770 +a(g706 +g988 +tp16771 +a(g827 +V\u000a +p16772 +tp16773 +a(g827 +V +p16774 +tp16775 +a(g436 +VbracketBlockStateStack +p16776 +tp16777 +a(g413 +g4390 +tp16778 +a(g413 +g2458 +tp16779 +a(g436 +Vpop_back +p16780 +tp16781 +a(g706 +g1019 +tp16782 +a(g706 +g1054 +tp16783 +a(g706 +g988 +tp16784 +a(g827 +V\u000a +p16785 +tp16786 +a(g827 +V +p16787 +tp16788 +a(g745 +Vif +p16789 +tp16790 +a(g827 +g972 +tp16791 +a(g706 +g1019 +tp16792 +a(g413 +g1099 +tp16793 +a(g436 +VbracketBlockState +p16794 +tp16795 +a(g706 +g1054 +tp16796 +a(g827 +V\u000a +p16797 +tp16798 +a(g827 +V +p16799 +tp16800 +a(g706 +g1000 +tp16801 +a(g827 +V\u000a +p16802 +tp16803 +a(g827 +V +p16804 +tp16805 +a(g745 +Vif +p16806 +tp16807 +a(g827 +g972 +tp16808 +a(g706 +g1019 +tp16809 +a(g413 +g1099 +tp16810 +a(g436 +VinStatementIndentStackSizeStack +p16811 +tp16812 +a(g413 +g4390 +tp16813 +a(g413 +g2458 +tp16814 +a(g436 +Vempty +p16815 +tp16816 +a(g706 +g1019 +tp16817 +a(g706 +g1054 +tp16818 +a(g706 +g1054 +tp16819 +a(g827 +V\u000a +p16820 +tp16821 +a(g827 +V +p16822 +tp16823 +a(g706 +g1000 +tp16824 +a(g827 +V\u000a +p16825 +tp16826 +a(g827 +V +p16827 +tp16828 +a(g906 +V// this bracket is a static array\u000a +p16829 +tp16830 +a(g827 +V\u000a +p16831 +tp16832 +a(g827 +V +p16833 +tp16834 +a(g749 +Vunsigned +p16835 +tp16836 +a(g827 +g972 +tp16837 +a(g749 +Vint +p16838 +tp16839 +a(g827 +g972 +tp16840 +a(g436 +VpreviousIndentStackSize +p16841 +tp16842 +a(g827 +g972 +tp16843 +a(g413 +g1505 +tp16844 +a(g827 +g972 +tp16845 +a(g436 +VinStatementIndentStackSizeStack +p16846 +tp16847 +a(g413 +g4390 +tp16848 +a(g413 +g2458 +tp16849 +a(g436 +Vback +p16850 +tp16851 +a(g706 +g1019 +tp16852 +a(g706 +g1054 +tp16853 +a(g706 +g988 +tp16854 +a(g827 +V\u000a +p16855 +tp16856 +a(g827 +V +p16857 +tp16858 +a(g436 +VinStatementIndentStackSizeStack +p16859 +tp16860 +a(g413 +g4390 +tp16861 +a(g413 +g2458 +tp16862 +a(g436 +Vpop_back +p16863 +tp16864 +a(g706 +g1019 +tp16865 +a(g706 +g1054 +tp16866 +a(g706 +g988 +tp16867 +a(g827 +V\u000a +p16868 +tp16869 +a(g827 +V +p16870 +tp16871 +a(g745 +Vwhile +p16872 +tp16873 +a(g827 +g972 +tp16874 +a(g706 +g1019 +tp16875 +a(g436 +VpreviousIndentStackSize +p16876 +tp16877 +a(g827 +g972 +tp16878 +a(g413 +g1075 +tp16879 +a(g827 +g972 +tp16880 +a(g436 +VinStatementIndentStack +p16881 +tp16882 +a(g413 +g4390 +tp16883 +a(g413 +g2458 +tp16884 +a(g436 +Vsize +p16885 +tp16886 +a(g706 +g1019 +tp16887 +a(g706 +g1054 +tp16888 +a(g706 +g1054 +tp16889 +a(g827 +V\u000a +p16890 +tp16891 +a(g827 +V +p16892 +tp16893 +a(g436 +VinStatementIndentStack +p16894 +tp16895 +a(g413 +g4390 +tp16896 +a(g413 +g2458 +tp16897 +a(g436 +Vpop_back +p16898 +tp16899 +a(g706 +g1019 +tp16900 +a(g706 +g1054 +tp16901 +a(g706 +g988 +tp16902 +a(g827 +V\u000a +p16903 +tp16904 +a(g827 +V +p16905 +tp16906 +a(g436 +VparenDepth +p16907 +tp16908 +a(g413 +g4390 +tp16909 +a(g413 +g4390 +tp16910 +a(g706 +g988 +tp16911 +a(g827 +V\u000a +p16912 +tp16913 +a(g827 +V +p16914 +tp16915 +a(g745 +Vif +p16916 +tp16917 +a(g827 +g972 +tp16918 +a(g706 +g1019 +tp16919 +a(g436 +g1503 +tp16920 +a(g827 +g972 +tp16921 +a(g413 +g1505 +tp16922 +a(g413 +g1505 +tp16923 +a(g827 +g972 +tp16924 +a(g37 +g6082 +tp16925 +a(g706 +g1054 +tp16926 +a(g827 +V\u000a +p16927 +tp16928 +a(g827 +V +p16929 +tp16930 +a(g436 +VshouldIndentBrackettedLine +p16931 +tp16932 +a(g827 +g972 +tp16933 +a(g413 +g1505 +tp16934 +a(g827 +g972 +tp16935 +a(g682 +Vfalse +p16936 +tp16937 +a(g706 +g988 +tp16938 +a(g827 +V\u000a +p16939 +tp16940 +a(g827 +V\u000a +p16941 +tp16942 +a(g827 +V +p16943 +tp16944 +a(g745 +Vif +p16945 +tp16946 +a(g827 +g972 +tp16947 +a(g706 +g1019 +tp16948 +a(g413 +g1099 +tp16949 +a(g436 +VparenIndentStack +p16950 +tp16951 +a(g413 +g4390 +tp16952 +a(g413 +g2458 +tp16953 +a(g436 +Vempty +p16954 +tp16955 +a(g706 +g1019 +tp16956 +a(g706 +g1054 +tp16957 +a(g706 +g1054 +tp16958 +a(g827 +V\u000a +p16959 +tp16960 +a(g827 +V +p16961 +tp16962 +a(g706 +g1000 +tp16963 +a(g827 +V\u000a +p16964 +tp16965 +a(g827 +V +p16966 +tp16967 +a(g749 +Vint +p16968 +tp16969 +a(g827 +g972 +tp16970 +a(g436 +VpoppedIndent +p16971 +tp16972 +a(g827 +g972 +tp16973 +a(g413 +g1505 +tp16974 +a(g827 +g972 +tp16975 +a(g436 +VparenIndentStack +p16976 +tp16977 +a(g413 +g4390 +tp16978 +a(g413 +g2458 +tp16979 +a(g436 +Vback +p16980 +tp16981 +a(g706 +g1019 +tp16982 +a(g706 +g1054 +tp16983 +a(g706 +g988 +tp16984 +a(g827 +V\u000a +p16985 +tp16986 +a(g827 +V +p16987 +tp16988 +a(g436 +VparenIndentStack +p16989 +tp16990 +a(g413 +g4390 +tp16991 +a(g413 +g2458 +tp16992 +a(g436 +Vpop_back +p16993 +tp16994 +a(g706 +g1019 +tp16995 +a(g706 +g1054 +tp16996 +a(g706 +g988 +tp16997 +a(g827 +V\u000a +p16998 +tp16999 +a(g827 +V +p17000 +tp17001 +a(g745 +Vif +p17002 +tp17003 +a(g827 +g972 +tp17004 +a(g706 +g1019 +tp17005 +a(g436 +g1503 +tp17006 +a(g827 +g972 +tp17007 +a(g413 +g1505 +tp17008 +a(g413 +g1505 +tp17009 +a(g827 +g972 +tp17010 +a(g37 +g6082 +tp17011 +a(g706 +g1054 +tp17012 +a(g827 +V\u000a +p17013 +tp17014 +a(g827 +V +p17015 +tp17016 +a(g436 +VspaceTabCount +p17017 +tp17018 +a(g827 +g972 +tp17019 +a(g413 +g1505 +tp17020 +a(g827 +g972 +tp17021 +a(g436 +VpoppedIndent +p17022 +tp17023 +a(g706 +g988 +tp17024 +a(g827 +V\u000a +p17025 +tp17026 +a(g827 +V +p17027 +tp17028 +a(g706 +g1188 +tp17029 +a(g827 +V\u000a +p17030 +tp17031 +a(g827 +V +p17032 +tp17033 +a(g706 +g1188 +tp17034 +a(g827 +V\u000a +p17035 +tp17036 +a(g827 +V +p17037 +tp17038 +a(g745 +Vcontinue +p17039 +tp17040 +a(g706 +g988 +tp17041 +a(g827 +V\u000a +p17042 +tp17043 +a(g827 +V +p17044 +tp17045 +a(g706 +g1188 +tp17046 +a(g827 +V\u000a +p17047 +tp17048 +a(g827 +V +p17049 +tp17050 +a(g706 +g1188 +tp17051 +a(g827 +V\u000a +p17052 +tp17053 +a(g827 +V\u000a +p17054 +tp17055 +a(g827 +V +p17056 +tp17057 +a(g906 +V// this bracket is block closer...\u000a +p17058 +tp17059 +a(g827 +V\u000a +p17060 +tp17061 +a(g827 +V +p17062 +tp17063 +a(g413 +g1517 +tp17064 +a(g413 +g1517 +tp17065 +a(g436 +VlineClosingBlocksNum +p17066 +tp17067 +a(g706 +g988 +tp17068 +a(g827 +V\u000a +p17069 +tp17070 +a(g827 +V\u000a +p17071 +tp17072 +a(g827 +V +p17073 +tp17074 +a(g745 +Vif +p17075 +tp17076 +a(g706 +g1019 +tp17077 +a(g413 +g1099 +tp17078 +a(g436 +VinStatementIndentStackSizeStack +p17079 +tp17080 +a(g413 +g4390 +tp17081 +a(g413 +g2458 +tp17082 +a(g436 +Vempty +p17083 +tp17084 +a(g706 +g1019 +tp17085 +a(g706 +g1054 +tp17086 +a(g706 +g1054 +tp17087 +a(g827 +V\u000a +p17088 +tp17089 +a(g827 +V +p17090 +tp17091 +a(g436 +VinStatementIndentStackSizeStack +p17092 +tp17093 +a(g413 +g4390 +tp17094 +a(g413 +g2458 +tp17095 +a(g436 +Vpop_back +p17096 +tp17097 +a(g706 +g1019 +tp17098 +a(g706 +g1054 +tp17099 +a(g706 +g988 +tp17100 +a(g827 +V\u000a +p17101 +tp17102 +a(g827 +V\u000a +p17103 +tp17104 +a(g827 +V +p17105 +tp17106 +a(g745 +Vif +p17107 +tp17108 +a(g827 +g972 +tp17109 +a(g706 +g1019 +tp17110 +a(g413 +g1099 +tp17111 +a(g436 +VblockParenDepthStack +p17112 +tp17113 +a(g413 +g4390 +tp17114 +a(g413 +g2458 +tp17115 +a(g436 +Vempty +p17116 +tp17117 +a(g706 +g1019 +tp17118 +a(g706 +g1054 +tp17119 +a(g706 +g1054 +tp17120 +a(g827 +V\u000a +p17121 +tp17122 +a(g827 +V +p17123 +tp17124 +a(g706 +g1000 +tp17125 +a(g827 +V\u000a +p17126 +tp17127 +a(g827 +V +p17128 +tp17129 +a(g436 +VparenDepth +p17130 +tp17131 +a(g827 +g972 +tp17132 +a(g413 +g1505 +tp17133 +a(g827 +g972 +tp17134 +a(g436 +VblockParenDepthStack +p17135 +tp17136 +a(g413 +g4390 +tp17137 +a(g413 +g2458 +tp17138 +a(g436 +Vback +p17139 +tp17140 +a(g706 +g1019 +tp17141 +a(g706 +g1054 +tp17142 +a(g706 +g988 +tp17143 +a(g827 +V\u000a +p17144 +tp17145 +a(g827 +V +p17146 +tp17147 +a(g436 +VblockParenDepthStack +p17148 +tp17149 +a(g413 +g4390 +tp17150 +a(g413 +g2458 +tp17151 +a(g436 +Vpop_back +p17152 +tp17153 +a(g706 +g1019 +tp17154 +a(g706 +g1054 +tp17155 +a(g706 +g988 +tp17156 +a(g827 +V\u000a +p17157 +tp17158 +a(g827 +V +p17159 +tp17160 +a(g436 +VisInStatement +p17161 +tp17162 +a(g827 +g972 +tp17163 +a(g413 +g1505 +tp17164 +a(g827 +g972 +tp17165 +a(g436 +VblockStatementStack +p17166 +tp17167 +a(g413 +g4390 +tp17168 +a(g413 +g2458 +tp17169 +a(g436 +Vback +p17170 +tp17171 +a(g706 +g1019 +tp17172 +a(g706 +g1054 +tp17173 +a(g706 +g988 +tp17174 +a(g827 +V\u000a +p17175 +tp17176 +a(g827 +V +p17177 +tp17178 +a(g436 +VblockStatementStack +p17179 +tp17180 +a(g413 +g4390 +tp17181 +a(g413 +g2458 +tp17182 +a(g436 +Vpop_back +p17183 +tp17184 +a(g706 +g1019 +tp17185 +a(g706 +g1054 +tp17186 +a(g706 +g988 +tp17187 +a(g827 +V\u000a +p17188 +tp17189 +a(g827 +V\u000a +p17190 +tp17191 +a(g827 +V +p17192 +tp17193 +a(g745 +Vif +p17194 +tp17195 +a(g827 +g972 +tp17196 +a(g706 +g1019 +tp17197 +a(g436 +VisInStatement +p17198 +tp17199 +a(g706 +g1054 +tp17200 +a(g827 +V\u000a +p17201 +tp17202 +a(g827 +V +p17203 +tp17204 +a(g436 +VblockTabCount +p17205 +tp17206 +a(g413 +g4390 +tp17207 +a(g413 +g4390 +tp17208 +a(g706 +g988 +tp17209 +a(g827 +V\u000a +p17210 +tp17211 +a(g827 +V +p17212 +tp17213 +a(g706 +g1188 +tp17214 +a(g827 +V\u000a +p17215 +tp17216 +a(g827 +V\u000a +p17217 +tp17218 +a(g827 +V +p17219 +tp17220 +a(g436 +VclosingBracketReached +p17221 +tp17222 +a(g827 +g972 +tp17223 +a(g413 +g1505 +tp17224 +a(g827 +g972 +tp17225 +a(g682 +Vtrue +p17226 +tp17227 +a(g706 +g988 +tp17228 +a(g827 +V\u000a +p17229 +tp17230 +a(g827 +V +p17231 +tp17232 +a(g749 +Vint +p17233 +tp17234 +a(g827 +g972 +tp17235 +a(g436 +VheaderPlace +p17236 +tp17237 +a(g827 +g972 +tp17238 +a(g413 +g1505 +tp17239 +a(g827 +g972 +tp17240 +a(g436 +VindexOf +p17241 +tp17242 +a(g706 +g1019 +tp17243 +a(g413 +g2456 +tp17244 +a(g436 +VheaderStack +p17245 +tp17246 +a(g706 +g1030 +tp17247 +a(g827 +g972 +tp17248 +a(g413 +g1026 +tp17249 +a(g436 +VAS_OPEN_BRACKET +p17250 +tp17251 +a(g706 +g1054 +tp17252 +a(g706 +g988 +tp17253 +a(g827 +g972 +tp17254 +a(g906 +V// <---\u000a +p17255 +tp17256 +a(g827 +V +p17257 +tp17258 +a(g745 +Vif +p17259 +tp17260 +a(g827 +g972 +tp17261 +a(g706 +g1019 +tp17262 +a(g436 +VheaderPlace +p17263 +tp17264 +a(g827 +g972 +tp17265 +a(g413 +g1099 +tp17266 +a(g413 +g1505 +tp17267 +a(g827 +g972 +tp17268 +a(g413 +g4390 +tp17269 +a(g37 +g1507 +tp17270 +a(g706 +g1054 +tp17271 +a(g827 +V\u000a +p17272 +tp17273 +a(g827 +V +p17274 +tp17275 +a(g706 +g1000 +tp17276 +a(g827 +V\u000a +p17277 +tp17278 +a(g827 +V +p17279 +tp17280 +a(g745 +Vconst +p17281 +tp17282 +a(g827 +g972 +tp17283 +a(g436 +Vstring +p17284 +tp17285 +a(g827 +g972 +tp17286 +a(g413 +g2456 +tp17287 +a(g436 +Vpopped +p17288 +tp17289 +a(g827 +g972 +tp17290 +a(g413 +g1505 +tp17291 +a(g827 +g972 +tp17292 +a(g436 +VheaderStack +p17293 +tp17294 +a(g413 +g4390 +tp17295 +a(g413 +g2458 +tp17296 +a(g436 +Vback +p17297 +tp17298 +a(g706 +g1019 +tp17299 +a(g706 +g1054 +tp17300 +a(g706 +g988 +tp17301 +a(g827 +V\u000a +p17302 +tp17303 +a(g827 +V +p17304 +tp17305 +a(g745 +Vwhile +p17306 +tp17307 +a(g827 +g972 +tp17308 +a(g706 +g1019 +tp17309 +a(g436 +Vpopped +p17310 +tp17311 +a(g827 +g972 +tp17312 +a(g413 +g1099 +tp17313 +a(g413 +g1505 +tp17314 +a(g827 +g972 +tp17315 +a(g413 +g1026 +tp17316 +a(g436 +VAS_OPEN_BRACKET +p17317 +tp17318 +a(g706 +g1054 +tp17319 +a(g827 +V\u000a +p17320 +tp17321 +a(g827 +V +p17322 +tp17323 +a(g706 +g1000 +tp17324 +a(g827 +V\u000a +p17325 +tp17326 +a(g827 +V +p17327 +tp17328 +a(g436 +VheaderStack +p17329 +tp17330 +a(g413 +g4390 +tp17331 +a(g413 +g2458 +tp17332 +a(g436 +Vpop_back +p17333 +tp17334 +a(g706 +g1019 +tp17335 +a(g706 +g1054 +tp17336 +a(g706 +g988 +tp17337 +a(g827 +V\u000a +p17338 +tp17339 +a(g827 +V +p17340 +tp17341 +a(g436 +Vpopped +p17342 +tp17343 +a(g827 +g972 +tp17344 +a(g413 +g1505 +tp17345 +a(g827 +g972 +tp17346 +a(g436 +VheaderStack +p17347 +tp17348 +a(g413 +g4390 +tp17349 +a(g413 +g2458 +tp17350 +a(g436 +Vback +p17351 +tp17352 +a(g706 +g1019 +tp17353 +a(g706 +g1054 +tp17354 +a(g706 +g988 +tp17355 +a(g827 +V\u000a +p17356 +tp17357 +a(g827 +V +p17358 +tp17359 +a(g706 +g1188 +tp17360 +a(g827 +V\u000a +p17361 +tp17362 +a(g827 +V +p17363 +tp17364 +a(g436 +VheaderStack +p17365 +tp17366 +a(g413 +g4390 +tp17367 +a(g413 +g2458 +tp17368 +a(g436 +Vpop_back +p17369 +tp17370 +a(g706 +g1019 +tp17371 +a(g706 +g1054 +tp17372 +a(g706 +g988 +tp17373 +a(g827 +V\u000a +p17374 +tp17375 +a(g827 +V\u000a +p17376 +tp17377 +a(g827 +V +p17378 +tp17379 +a(g745 +Vif +p17380 +tp17381 +a(g827 +g972 +tp17382 +a(g706 +g1019 +tp17383 +a(g413 +g1099 +tp17384 +a(g436 +VtempStacks +p17385 +tp17386 +a(g413 +g4390 +tp17387 +a(g413 +g2458 +tp17388 +a(g436 +Vempty +p17389 +tp17390 +a(g706 +g1019 +tp17391 +a(g706 +g1054 +tp17392 +a(g706 +g1054 +tp17393 +a(g827 +V\u000a +p17394 +tp17395 +a(g827 +V +p17396 +tp17397 +a(g706 +g1000 +tp17398 +a(g827 +V\u000a +p17399 +tp17400 +a(g827 +V +p17401 +tp17402 +a(g436 +Vvector +p17403 +tp17404 +a(g413 +g1075 +tp17405 +a(g745 +Vconst +p17406 +tp17407 +a(g827 +g972 +tp17408 +a(g436 +Vstring +p17409 +tp17410 +a(g413 +g2456 +tp17411 +a(g413 +g2458 +tp17412 +a(g827 +g972 +tp17413 +a(g413 +g2456 +tp17414 +a(g436 +Vtemp +p17415 +tp17416 +a(g827 +g972 +tp17417 +a(g413 +g1505 +tp17418 +a(g827 +V +p17419 +tp17420 +a(g436 +VtempStacks +p17421 +tp17422 +a(g413 +g4390 +tp17423 +a(g413 +g2458 +tp17424 +a(g436 +Vback +p17425 +tp17426 +a(g706 +g1019 +tp17427 +a(g706 +g1054 +tp17428 +a(g706 +g988 +tp17429 +a(g827 +V\u000a +p17430 +tp17431 +a(g827 +V +p17432 +tp17433 +a(g436 +VtempStacks +p17434 +tp17435 +a(g413 +g4390 +tp17436 +a(g413 +g2458 +tp17437 +a(g436 +Vpop_back +p17438 +tp17439 +a(g706 +g1019 +tp17440 +a(g706 +g1054 +tp17441 +a(g706 +g988 +tp17442 +a(g827 +V\u000a +p17443 +tp17444 +a(g827 +V +p17445 +tp17446 +a(g745 +Vdelete +p17447 +tp17448 +a(g827 +g972 +tp17449 +a(g436 +Vtemp +p17450 +tp17451 +a(g706 +g988 +tp17452 +a(g827 +V\u000a +p17453 +tp17454 +a(g827 +V +p17455 +tp17456 +a(g706 +g1188 +tp17457 +a(g827 +V\u000a +p17458 +tp17459 +a(g827 +V +p17460 +tp17461 +a(g706 +g1188 +tp17462 +a(g827 +V\u000a +p17463 +tp17464 +a(g827 +V\u000a +p17465 +tp17466 +a(g827 +V\u000a +p17467 +tp17468 +a(g827 +V +p17469 +tp17470 +a(g436 +Vch +p17471 +tp17472 +a(g827 +g972 +tp17473 +a(g413 +g1505 +tp17474 +a(g827 +g972 +tp17475 +a(g93 +g6333 +tp17476 +a(g93 +g972 +tp17477 +a(g93 +g6333 +tp17478 +a(g706 +g988 +tp17479 +a(g827 +g972 +tp17480 +a(g906 +V// needed due to cases such as '}else{', so that headers ('else' tn tih case) will be identified...\u000a +p17481 +tp17482 +a(g827 +V +p17483 +tp17484 +a(g706 +g1188 +tp17485 +a(g827 +V\u000a +p17486 +tp17487 +a(g827 +V\u000a +p17488 +tp17489 +a(g827 +V +p17490 +tp17491 +a(g8 +V/*\u000a * Create a temporary snapshot of the current block's header-list in the\u000a * uppermost inner stack in tempStacks, and clear the headerStack up to\u000a * the begining of the block.\u000a * Thus, the next future statement will think it comes one indent past\u000a * the block's '{' unless it specifically checks for a companion-header\u000a * (such as a previous 'if' for an 'else' header) within the tempStacks,\u000a * and recreates the temporary snapshot by manipulating the tempStacks.\u000a */ +p17492 +tp17493 +a(g827 +V\u000a +p17494 +tp17495 +a(g827 +V +p17496 +tp17497 +a(g745 +Vif +p17498 +tp17499 +a(g827 +g972 +tp17500 +a(g706 +g1019 +tp17501 +a(g413 +g1099 +tp17502 +a(g436 +VtempStacks +p17503 +tp17504 +a(g413 +g4390 +tp17505 +a(g413 +g2458 +tp17506 +a(g436 +Vback +p17507 +tp17508 +a(g706 +g1019 +tp17509 +a(g706 +g1054 +tp17510 +a(g413 +g4390 +tp17511 +a(g413 +g2458 +tp17512 +a(g436 +Vempty +p17513 +tp17514 +a(g706 +g1019 +tp17515 +a(g706 +g1054 +tp17516 +a(g706 +g1054 +tp17517 +a(g827 +V\u000a +p17518 +tp17519 +a(g827 +V +p17520 +tp17521 +a(g745 +Vwhile +p17522 +tp17523 +a(g827 +g972 +tp17524 +a(g706 +g1019 +tp17525 +a(g413 +g1099 +tp17526 +a(g436 +VtempStacks +p17527 +tp17528 +a(g413 +g4390 +tp17529 +a(g413 +g2458 +tp17530 +a(g436 +Vback +p17531 +tp17532 +a(g706 +g1019 +tp17533 +a(g706 +g1054 +tp17534 +a(g413 +g4390 +tp17535 +a(g413 +g2458 +tp17536 +a(g436 +Vempty +p17537 +tp17538 +a(g706 +g1019 +tp17539 +a(g706 +g1054 +tp17540 +a(g706 +g1054 +tp17541 +a(g827 +V\u000a +p17542 +tp17543 +a(g827 +V +p17544 +tp17545 +a(g436 +VtempStacks +p17546 +tp17547 +a(g413 +g4390 +tp17548 +a(g413 +g2458 +tp17549 +a(g436 +Vback +p17550 +tp17551 +a(g706 +g1019 +tp17552 +a(g706 +g1054 +tp17553 +a(g413 +g4390 +tp17554 +a(g413 +g2458 +tp17555 +a(g436 +Vpop_back +p17556 +tp17557 +a(g706 +g1019 +tp17558 +a(g706 +g1054 +tp17559 +a(g706 +g988 +tp17560 +a(g827 +V\u000a +p17561 +tp17562 +a(g827 +V +p17563 +tp17564 +a(g745 +Vwhile +p17565 +tp17566 +a(g827 +g972 +tp17567 +a(g706 +g1019 +tp17568 +a(g413 +g1099 +tp17569 +a(g436 +VheaderStack +p17570 +tp17571 +a(g413 +g4390 +tp17572 +a(g413 +g2458 +tp17573 +a(g436 +Vempty +p17574 +tp17575 +a(g706 +g1019 +tp17576 +a(g706 +g1054 +tp17577 +a(g827 +g972 +tp17578 +a(g413 +g1026 +tp17579 +a(g413 +g1026 +tp17580 +a(g827 +g972 +tp17581 +a(g436 +VheaderStack +p17582 +tp17583 +a(g413 +g4390 +tp17584 +a(g413 +g2458 +tp17585 +a(g436 +Vback +p17586 +tp17587 +a(g706 +g1019 +tp17588 +a(g706 +g1054 +tp17589 +a(g827 +g972 +tp17590 +a(g413 +g1099 +tp17591 +a(g413 +g1505 +tp17592 +a(g827 +g972 +tp17593 +a(g413 +g1026 +tp17594 +a(g436 +VAS_OPEN_BRACKET +p17595 +tp17596 +a(g706 +g1054 +tp17597 +a(g827 +V\u000a +p17598 +tp17599 +a(g827 +V +p17600 +tp17601 +a(g706 +g1000 +tp17602 +a(g827 +V\u000a +p17603 +tp17604 +a(g827 +V +p17605 +tp17606 +a(g436 +VtempStacks +p17607 +tp17608 +a(g413 +g4390 +tp17609 +a(g413 +g2458 +tp17610 +a(g436 +Vback +p17611 +tp17612 +a(g706 +g1019 +tp17613 +a(g706 +g1054 +tp17614 +a(g413 +g4390 +tp17615 +a(g413 +g2458 +tp17616 +a(g436 +Vpush_back +p17617 +tp17618 +a(g706 +g1019 +tp17619 +a(g436 +VheaderStack +p17620 +tp17621 +a(g413 +g4390 +tp17622 +a(g413 +g2458 +tp17623 +a(g436 +Vback +p17624 +tp17625 +a(g706 +g1019 +tp17626 +a(g706 +g1054 +tp17627 +a(g706 +g1054 +tp17628 +a(g706 +g988 +tp17629 +a(g827 +V\u000a +p17630 +tp17631 +a(g827 +V +p17632 +tp17633 +a(g436 +VheaderStack +p17634 +tp17635 +a(g413 +g4390 +tp17636 +a(g413 +g2458 +tp17637 +a(g436 +Vpop_back +p17638 +tp17639 +a(g706 +g1019 +tp17640 +a(g706 +g1054 +tp17641 +a(g706 +g988 +tp17642 +a(g827 +V\u000a +p17643 +tp17644 +a(g827 +V +p17645 +tp17646 +a(g706 +g1188 +tp17647 +a(g827 +V\u000a +p17648 +tp17649 +a(g827 +V\u000a +p17650 +tp17651 +a(g827 +V +p17652 +tp17653 +a(g745 +Vif +p17654 +tp17655 +a(g827 +g972 +tp17656 +a(g706 +g1019 +tp17657 +a(g436 +VparenDepth +p17658 +tp17659 +a(g827 +g972 +tp17660 +a(g413 +g1505 +tp17661 +a(g413 +g1505 +tp17662 +a(g827 +g972 +tp17663 +a(g37 +g6082 +tp17664 +a(g827 +g972 +tp17665 +a(g413 +g1026 +tp17666 +a(g413 +g1026 +tp17667 +a(g827 +g972 +tp17668 +a(g436 +Vch +p17669 +tp17670 +a(g827 +g972 +tp17671 +a(g413 +g1505 +tp17672 +a(g413 +g1505 +tp17673 +a(g827 +g972 +tp17674 +a(g93 +g6333 +tp17675 +a(g93 +g988 +tp17676 +a(g93 +g6333 +tp17677 +a(g706 +g1054 +tp17678 +a(g827 +V\u000a +p17679 +tp17680 +a(g827 +V +p17681 +tp17682 +a(g436 +VisInStatement +p17683 +tp17684 +a(g413 +g1505 +tp17685 +a(g682 +Vfalse +p17686 +tp17687 +a(g706 +g988 +tp17688 +a(g827 +V\u000a +p17689 +tp17690 +a(g827 +V\u000a +p17691 +tp17692 +a(g827 +V +p17693 +tp17694 +a(g436 +VisInClassHeader +p17695 +tp17696 +a(g827 +g972 +tp17697 +a(g413 +g1505 +tp17698 +a(g827 +g972 +tp17699 +a(g682 +Vfalse +p17700 +tp17701 +a(g706 +g988 +tp17702 +a(g827 +V\u000a +p17703 +tp17704 +a(g827 +V\u000a +p17705 +tp17706 +a(g827 +V +p17707 +tp17708 +a(g745 +Vcontinue +p17709 +tp17710 +a(g706 +g988 +tp17711 +a(g827 +V\u000a +p17712 +tp17713 +a(g827 +V +p17714 +tp17715 +a(g706 +g1188 +tp17716 +a(g827 +V\u000a +p17717 +tp17718 +a(g827 +V\u000a +p17719 +tp17720 +a(g827 +V\u000a +p17721 +tp17722 +a(g827 +V +p17723 +tp17724 +a(g906 +V// check for preBlockStatements ONLY if not within parenthesies\u000a +p17725 +tp17726 +a(g827 +V +p17727 +tp17728 +a(g906 +V// (otherwise 'struct XXX' statements would be wrongly interpreted...)\u000a +p17729 +tp17730 +a(g827 +V +p17731 +tp17732 +a(g745 +Vif +p17733 +tp17734 +a(g827 +g972 +tp17735 +a(g706 +g1019 +tp17736 +a(g436 +VprevCh +p17737 +tp17738 +a(g827 +g972 +tp17739 +a(g413 +g1505 +tp17740 +a(g413 +g1505 +tp17741 +a(g827 +g972 +tp17742 +a(g93 +g6333 +tp17743 +a(g93 +g972 +tp17744 +a(g93 +g6333 +tp17745 +a(g827 +g972 +tp17746 +a(g413 +g1026 +tp17747 +a(g413 +g1026 +tp17748 +a(g827 +g972 +tp17749 +a(g413 +g1099 +tp17750 +a(g436 +VisInTemplate +p17751 +tp17752 +a(g827 +g972 +tp17753 +a(g413 +g1026 +tp17754 +a(g413 +g1026 +tp17755 +a(g827 +g972 +tp17756 +a(g436 +VparenDepth +p17757 +tp17758 +a(g827 +g972 +tp17759 +a(g413 +g1505 +tp17760 +a(g413 +g1505 +tp17761 +a(g827 +g972 +tp17762 +a(g37 +g6082 +tp17763 +a(g706 +g1054 +tp17764 +a(g827 +V\u000a +p17765 +tp17766 +a(g827 +V +p17767 +tp17768 +a(g706 +g1000 +tp17769 +a(g827 +V\u000a +p17770 +tp17771 +a(g827 +V +p17772 +tp17773 +a(g745 +Vconst +p17774 +tp17775 +a(g827 +g972 +tp17776 +a(g436 +Vstring +p17777 +tp17778 +a(g827 +g972 +tp17779 +a(g413 +g2456 +tp17780 +a(g436 +VnewHeader +p17781 +tp17782 +a(g827 +g972 +tp17783 +a(g413 +g1505 +tp17784 +a(g827 +g972 +tp17785 +a(g436 +VfindHeader +p17786 +tp17787 +a(g706 +g1019 +tp17788 +a(g436 +Vline +p17789 +tp17790 +a(g706 +g1030 +tp17791 +a(g827 +g972 +tp17792 +a(g436 +g1503 +tp17793 +a(g706 +g1030 +tp17794 +a(g827 +g972 +tp17795 +a(g436 +VpreBlockStatements +p17796 +tp17797 +a(g706 +g1054 +tp17798 +a(g706 +g988 +tp17799 +a(g827 +V\u000a +p17800 +tp17801 +a(g827 +V +p17802 +tp17803 +a(g745 +Vif +p17804 +tp17805 +a(g827 +g972 +tp17806 +a(g706 +g1019 +tp17807 +a(g436 +VnewHeader +p17808 +tp17809 +a(g827 +g972 +tp17810 +a(g413 +g1099 +tp17811 +a(g413 +g1505 +tp17812 +a(g827 +g972 +tp17813 +a(g682 +VNULL +p17814 +tp17815 +a(g706 +g1054 +tp17816 +a(g827 +V\u000a +p17817 +tp17818 +a(g827 +V +p17819 +tp17820 +a(g706 +g1000 +tp17821 +a(g827 +V\u000a +p17822 +tp17823 +a(g827 +V +p17824 +tp17825 +a(g436 +VisInClassHeader +p17826 +tp17827 +a(g827 +g972 +tp17828 +a(g413 +g1505 +tp17829 +a(g827 +g972 +tp17830 +a(g682 +Vtrue +p17831 +tp17832 +a(g706 +g988 +tp17833 +a(g827 +V\u000a +p17834 +tp17835 +a(g827 +V +p17836 +tp17837 +a(g436 +VoutBuffer +p17838 +tp17839 +a(g706 +g1103 +tp17840 +a(g436 +Vappend +p17841 +tp17842 +a(g706 +g1019 +tp17843 +a(g436 +VnewHeader +p17844 +tp17845 +a(g413 +g4390 +tp17846 +a(g413 +g2458 +tp17847 +a(g436 +Vsubstr +p17848 +tp17849 +a(g706 +g1019 +tp17850 +a(g37 +g1507 +tp17851 +a(g706 +g1054 +tp17852 +a(g706 +g1054 +tp17853 +a(g706 +g988 +tp17854 +a(g827 +V\u000a +p17855 +tp17856 +a(g827 +V +p17857 +tp17858 +a(g436 +g1503 +tp17859 +a(g827 +g972 +tp17860 +a(g413 +g1517 +tp17861 +a(g413 +g1505 +tp17862 +a(g827 +g972 +tp17863 +a(g436 +VnewHeader +p17864 +tp17865 +a(g413 +g4390 +tp17866 +a(g413 +g2458 +tp17867 +a(g436 +Vlength +p17868 +tp17869 +a(g706 +g1019 +tp17870 +a(g706 +g1054 +tp17871 +a(g827 +g972 +tp17872 +a(g413 +g4390 +tp17873 +a(g827 +g972 +tp17874 +a(g37 +g1507 +tp17875 +a(g706 +g988 +tp17876 +a(g827 +V\u000a +p17877 +tp17878 +a(g827 +V +p17879 +tp17880 +a(g906 +V//if (isCStyle)\u000a +p17881 +tp17882 +a(g827 +V +p17883 +tp17884 +a(g436 +VheaderStack +p17885 +tp17886 +a(g413 +g4390 +tp17887 +a(g413 +g2458 +tp17888 +a(g436 +Vpush_back +p17889 +tp17890 +a(g706 +g1019 +tp17891 +a(g436 +VnewHeader +p17892 +tp17893 +a(g706 +g1054 +tp17894 +a(g706 +g988 +tp17895 +a(g827 +V\u000a +p17896 +tp17897 +a(g827 +V +p17898 +tp17899 +a(g706 +g1188 +tp17900 +a(g827 +V\u000a +p17901 +tp17902 +a(g827 +V +p17903 +tp17904 +a(g706 +g1188 +tp17905 +a(g827 +V\u000a +p17906 +tp17907 +a(g827 +V\u000a +p17908 +tp17909 +a(g827 +V +p17910 +tp17911 +a(g906 +V// Handle operators\u000a +p17912 +tp17913 +a(g827 +V +p17914 +tp17915 +a(g906 +V//\u000a +p17916 +tp17917 +a(g827 +V\u000a +p17918 +tp17919 +a(g827 +V +p17920 +tp17921 +a(g906 +V//// // PRECHECK if a '==' or '--' or '++' operator was reached.\u000a +p17922 +tp17923 +a(g827 +V +p17924 +tp17925 +a(g906 +V//// // If not, then register an indent IF an assignment operator was reached.\u000a +p17926 +tp17927 +a(g827 +V +p17928 +tp17929 +a(g906 +V//// // The precheck is important, so that statements such as 'i--==2' are not recognized\u000a +p17930 +tp17931 +a(g827 +V +p17932 +tp17933 +a(g906 +V//// // to have assignment operators (here, '-=') in them . . .\u000a +p17934 +tp17935 +a(g827 +V\u000a +p17936 +tp17937 +a(g827 +V +p17938 +tp17939 +a(g745 +Vconst +p17940 +tp17941 +a(g827 +g972 +tp17942 +a(g436 +Vstring +p17943 +tp17944 +a(g827 +g972 +tp17945 +a(g413 +g2456 +tp17946 +a(g436 +VfoundAssignmentOp +p17947 +tp17948 +a(g827 +g972 +tp17949 +a(g413 +g1505 +tp17950 +a(g827 +g972 +tp17951 +a(g682 +VNULL +p17952 +tp17953 +a(g706 +g988 +tp17954 +a(g827 +V\u000a +p17955 +tp17956 +a(g827 +V +p17957 +tp17958 +a(g745 +Vconst +p17959 +tp17960 +a(g827 +g972 +tp17961 +a(g436 +Vstring +p17962 +tp17963 +a(g827 +g972 +tp17964 +a(g413 +g2456 +tp17965 +a(g436 +VfoundNonAssignmentOp +p17966 +tp17967 +a(g827 +g972 +tp17968 +a(g413 +g1505 +tp17969 +a(g827 +g972 +tp17970 +a(g682 +VNULL +p17971 +tp17972 +a(g706 +g988 +tp17973 +a(g827 +V\u000a +p17974 +tp17975 +a(g827 +V\u000a +p17976 +tp17977 +a(g827 +V +p17978 +tp17979 +a(g436 +VimmediatelyPreviousAssignmentOp +p17980 +tp17981 +a(g827 +g972 +tp17982 +a(g413 +g1505 +tp17983 +a(g827 +g972 +tp17984 +a(g682 +VNULL +p17985 +tp17986 +a(g706 +g988 +tp17987 +a(g827 +V\u000a +p17988 +tp17989 +a(g827 +V\u000a +p17990 +tp17991 +a(g827 +V +p17992 +tp17993 +a(g906 +V// Check if an operator has been reached.\u000a +p17994 +tp17995 +a(g827 +V +p17996 +tp17997 +a(g436 +VfoundAssignmentOp +p17998 +tp17999 +a(g827 +g972 +tp18000 +a(g413 +g1505 +tp18001 +a(g827 +g972 +tp18002 +a(g436 +VfindHeader +p18003 +tp18004 +a(g706 +g1019 +tp18005 +a(g436 +Vline +p18006 +tp18007 +a(g706 +g1030 +tp18008 +a(g827 +g972 +tp18009 +a(g436 +g1503 +tp18010 +a(g706 +g1030 +tp18011 +a(g827 +g972 +tp18012 +a(g436 +VassignmentOperators +p18013 +tp18014 +a(g706 +g1030 +tp18015 +a(g827 +g972 +tp18016 +a(g682 +Vfalse +p18017 +tp18018 +a(g706 +g1054 +tp18019 +a(g706 +g988 +tp18020 +a(g827 +V\u000a +p18021 +tp18022 +a(g827 +V +p18023 +tp18024 +a(g436 +VfoundNonAssignmentOp +p18025 +tp18026 +a(g827 +g972 +tp18027 +a(g413 +g1505 +tp18028 +a(g827 +g972 +tp18029 +a(g436 +VfindHeader +p18030 +tp18031 +a(g706 +g1019 +tp18032 +a(g436 +Vline +p18033 +tp18034 +a(g706 +g1030 +tp18035 +a(g827 +g972 +tp18036 +a(g436 +g1503 +tp18037 +a(g706 +g1030 +tp18038 +a(g827 +g972 +tp18039 +a(g436 +VnonAssignmentOperators +p18040 +tp18041 +a(g706 +g1030 +tp18042 +a(g827 +g972 +tp18043 +a(g682 +Vfalse +p18044 +tp18045 +a(g706 +g1054 +tp18046 +a(g706 +g988 +tp18047 +a(g827 +V\u000a +p18048 +tp18049 +a(g827 +V\u000a +p18050 +tp18051 +a(g827 +V +p18052 +tp18053 +a(g906 +V// Since findHeader's boundry checking was not used above, it is possible\u000a +p18054 +tp18055 +a(g827 +V +p18056 +tp18057 +a(g906 +V// that both an assignment op and a non-assignment op where found,\u000a +p18058 +tp18059 +a(g827 +V +p18060 +tp18061 +a(g906 +V// e.g. '>>' and '>>='. If this is the case, treat the LONGER one as the\u000a +p18062 +tp18063 +a(g827 +V +p18064 +tp18065 +a(g906 +V// found operator.\u000a +p18066 +tp18067 +a(g827 +V +p18068 +tp18069 +a(g745 +Vif +p18070 +tp18071 +a(g827 +g972 +tp18072 +a(g706 +g1019 +tp18073 +a(g436 +VfoundAssignmentOp +p18074 +tp18075 +a(g827 +g972 +tp18076 +a(g413 +g1099 +tp18077 +a(g413 +g1505 +tp18078 +a(g827 +g972 +tp18079 +a(g682 +VNULL +p18080 +tp18081 +a(g827 +g972 +tp18082 +a(g413 +g1026 +tp18083 +a(g413 +g1026 +tp18084 +a(g827 +g972 +tp18085 +a(g436 +VfoundNonAssignmentOp +p18086 +tp18087 +a(g827 +g972 +tp18088 +a(g413 +g1099 +tp18089 +a(g413 +g1505 +tp18090 +a(g827 +g972 +tp18091 +a(g682 +VNULL +p18092 +tp18093 +a(g706 +g1054 +tp18094 +a(g827 +V\u000a +p18095 +tp18096 +a(g827 +V +p18097 +tp18098 +a(g745 +Vif +p18099 +tp18100 +a(g827 +g972 +tp18101 +a(g706 +g1019 +tp18102 +a(g436 +VfoundAssignmentOp +p18103 +tp18104 +a(g413 +g4390 +tp18105 +a(g413 +g2458 +tp18106 +a(g436 +Vlength +p18107 +tp18108 +a(g706 +g1019 +tp18109 +a(g706 +g1054 +tp18110 +a(g827 +g972 +tp18111 +a(g413 +g1075 +tp18112 +a(g827 +g972 +tp18113 +a(g436 +VfoundNonAssignmentOp +p18114 +tp18115 +a(g413 +g4390 +tp18116 +a(g413 +g2458 +tp18117 +a(g436 +Vlength +p18118 +tp18119 +a(g706 +g1019 +tp18120 +a(g706 +g1054 +tp18121 +a(g706 +g1054 +tp18122 +a(g827 +V\u000a +p18123 +tp18124 +a(g827 +V +p18125 +tp18126 +a(g436 +VfoundAssignmentOp +p18127 +tp18128 +a(g827 +g972 +tp18129 +a(g413 +g1505 +tp18130 +a(g827 +g972 +tp18131 +a(g682 +VNULL +p18132 +tp18133 +a(g706 +g988 +tp18134 +a(g827 +V\u000a +p18135 +tp18136 +a(g827 +V +p18137 +tp18138 +a(g745 +Velse +p18139 +tp18140 +a(g827 +V\u000a +p18141 +tp18142 +a(g827 +V +p18143 +tp18144 +a(g436 +VfoundNonAssignmentOp +p18145 +tp18146 +a(g827 +g972 +tp18147 +a(g413 +g1505 +tp18148 +a(g827 +g972 +tp18149 +a(g682 +VNULL +p18150 +tp18151 +a(g706 +g988 +tp18152 +a(g827 +V\u000a +p18153 +tp18154 +a(g827 +V\u000a +p18155 +tp18156 +a(g827 +V +p18157 +tp18158 +a(g745 +Vif +p18159 +tp18160 +a(g827 +g972 +tp18161 +a(g706 +g1019 +tp18162 +a(g436 +VfoundNonAssignmentOp +p18163 +tp18164 +a(g827 +g972 +tp18165 +a(g413 +g1099 +tp18166 +a(g413 +g1505 +tp18167 +a(g827 +g972 +tp18168 +a(g682 +VNULL +p18169 +tp18170 +a(g706 +g1054 +tp18171 +a(g827 +V\u000a +p18172 +tp18173 +a(g827 +V +p18174 +tp18175 +a(g706 +g1000 +tp18176 +a(g827 +V\u000a +p18177 +tp18178 +a(g827 +V +p18179 +tp18180 +a(g745 +Vif +p18181 +tp18182 +a(g827 +g972 +tp18183 +a(g706 +g1019 +tp18184 +a(g436 +VfoundNonAssignmentOp +p18185 +tp18186 +a(g413 +g4390 +tp18187 +a(g413 +g2458 +tp18188 +a(g436 +Vlength +p18189 +tp18190 +a(g706 +g1019 +tp18191 +a(g706 +g1054 +tp18192 +a(g827 +g972 +tp18193 +a(g413 +g2458 +tp18194 +a(g827 +g972 +tp18195 +a(g37 +g1507 +tp18196 +a(g706 +g1054 +tp18197 +a(g827 +V\u000a +p18198 +tp18199 +a(g827 +V +p18200 +tp18201 +a(g706 +g1000 +tp18202 +a(g827 +V\u000a +p18203 +tp18204 +a(g827 +V +p18205 +tp18206 +a(g436 +VoutBuffer +p18207 +tp18208 +a(g706 +g1103 +tp18209 +a(g436 +Vappend +p18210 +tp18211 +a(g706 +g1019 +tp18212 +a(g436 +VfoundNonAssignmentOp +p18213 +tp18214 +a(g413 +g4390 +tp18215 +a(g413 +g2458 +tp18216 +a(g436 +Vsubstr +p18217 +tp18218 +a(g706 +g1019 +tp18219 +a(g37 +g1507 +tp18220 +a(g706 +g1054 +tp18221 +a(g706 +g1054 +tp18222 +a(g706 +g988 +tp18223 +a(g827 +V\u000a +p18224 +tp18225 +a(g827 +V +p18226 +tp18227 +a(g436 +g1503 +tp18228 +a(g827 +g972 +tp18229 +a(g413 +g1517 +tp18230 +a(g413 +g1505 +tp18231 +a(g827 +g972 +tp18232 +a(g436 +VfoundNonAssignmentOp +p18233 +tp18234 +a(g413 +g4390 +tp18235 +a(g413 +g2458 +tp18236 +a(g436 +Vlength +p18237 +tp18238 +a(g706 +g1019 +tp18239 +a(g706 +g1054 +tp18240 +a(g827 +g972 +tp18241 +a(g413 +g4390 +tp18242 +a(g827 +g972 +tp18243 +a(g37 +g1507 +tp18244 +a(g706 +g988 +tp18245 +a(g827 +V\u000a +p18246 +tp18247 +a(g827 +V +p18248 +tp18249 +a(g706 +g1188 +tp18250 +a(g827 +V\u000a +p18251 +tp18252 +a(g827 +V +p18253 +tp18254 +a(g706 +g1188 +tp18255 +a(g827 +V\u000a +p18256 +tp18257 +a(g827 +V\u000a +p18258 +tp18259 +a(g827 +V +p18260 +tp18261 +a(g745 +Velse +p18262 +tp18263 +a(g827 +g972 +tp18264 +a(g745 +Vif +p18265 +tp18266 +a(g827 +g972 +tp18267 +a(g706 +g1019 +tp18268 +a(g436 +VfoundAssignmentOp +p18269 +tp18270 +a(g827 +g972 +tp18271 +a(g413 +g1099 +tp18272 +a(g413 +g1505 +tp18273 +a(g827 +g972 +tp18274 +a(g682 +VNULL +p18275 +tp18276 +a(g706 +g1054 +tp18277 +a(g827 +V\u000a +p18278 +tp18279 +a(g827 +V\u000a +p18280 +tp18281 +a(g827 +V +p18282 +tp18283 +a(g706 +g1000 +tp18284 +a(g827 +V\u000a +p18285 +tp18286 +a(g827 +V +p18287 +tp18288 +a(g745 +Vif +p18289 +tp18290 +a(g827 +g972 +tp18291 +a(g706 +g1019 +tp18292 +a(g436 +VfoundAssignmentOp +p18293 +tp18294 +a(g413 +g4390 +tp18295 +a(g413 +g2458 +tp18296 +a(g436 +Vlength +p18297 +tp18298 +a(g706 +g1019 +tp18299 +a(g706 +g1054 +tp18300 +a(g827 +g972 +tp18301 +a(g413 +g2458 +tp18302 +a(g827 +g972 +tp18303 +a(g37 +g1507 +tp18304 +a(g706 +g1054 +tp18305 +a(g827 +V\u000a +p18306 +tp18307 +a(g827 +V +p18308 +tp18309 +a(g706 +g1000 +tp18310 +a(g827 +V\u000a +p18311 +tp18312 +a(g827 +V +p18313 +tp18314 +a(g436 +VoutBuffer +p18315 +tp18316 +a(g706 +g1103 +tp18317 +a(g436 +Vappend +p18318 +tp18319 +a(g706 +g1019 +tp18320 +a(g436 +VfoundAssignmentOp +p18321 +tp18322 +a(g413 +g4390 +tp18323 +a(g413 +g2458 +tp18324 +a(g436 +Vsubstr +p18325 +tp18326 +a(g706 +g1019 +tp18327 +a(g37 +g1507 +tp18328 +a(g706 +g1054 +tp18329 +a(g706 +g1054 +tp18330 +a(g706 +g988 +tp18331 +a(g827 +V\u000a +p18332 +tp18333 +a(g827 +V +p18334 +tp18335 +a(g436 +g1503 +tp18336 +a(g827 +g972 +tp18337 +a(g413 +g1517 +tp18338 +a(g413 +g1505 +tp18339 +a(g827 +g972 +tp18340 +a(g436 +VfoundAssignmentOp +p18341 +tp18342 +a(g413 +g4390 +tp18343 +a(g413 +g2458 +tp18344 +a(g436 +Vlength +p18345 +tp18346 +a(g706 +g1019 +tp18347 +a(g706 +g1054 +tp18348 +a(g827 +g972 +tp18349 +a(g413 +g4390 +tp18350 +a(g827 +g972 +tp18351 +a(g37 +g1507 +tp18352 +a(g706 +g988 +tp18353 +a(g827 +V\u000a +p18354 +tp18355 +a(g827 +V +p18356 +tp18357 +a(g706 +g1188 +tp18358 +a(g827 +V\u000a +p18359 +tp18360 +a(g827 +V\u000a +p18361 +tp18362 +a(g827 +V +p18363 +tp18364 +a(g745 +Vif +p18365 +tp18366 +a(g827 +g972 +tp18367 +a(g706 +g1019 +tp18368 +a(g413 +g1099 +tp18369 +a(g436 +VisInOperator +p18370 +tp18371 +a(g827 +g972 +tp18372 +a(g413 +g1026 +tp18373 +a(g413 +g1026 +tp18374 +a(g827 +g972 +tp18375 +a(g413 +g1099 +tp18376 +a(g436 +VisInTemplate +p18377 +tp18378 +a(g706 +g1054 +tp18379 +a(g827 +V\u000a +p18380 +tp18381 +a(g827 +V +p18382 +tp18383 +a(g706 +g1000 +tp18384 +a(g827 +V\u000a +p18385 +tp18386 +a(g827 +V +p18387 +tp18388 +a(g436 +VregisterInStatementIndent +p18389 +tp18390 +a(g706 +g1019 +tp18391 +a(g436 +Vline +p18392 +tp18393 +a(g706 +g1030 +tp18394 +a(g827 +g972 +tp18395 +a(g436 +g1503 +tp18396 +a(g706 +g1030 +tp18397 +a(g827 +g972 +tp18398 +a(g436 +VspaceTabCount +p18399 +tp18400 +a(g706 +g1030 +tp18401 +a(g827 +g972 +tp18402 +a(g37 +g6082 +tp18403 +a(g706 +g1030 +tp18404 +a(g827 +g972 +tp18405 +a(g682 +Vfalse +p18406 +tp18407 +a(g706 +g1054 +tp18408 +a(g706 +g988 +tp18409 +a(g827 +V\u000a +p18410 +tp18411 +a(g827 +V +p18412 +tp18413 +a(g436 +VimmediatelyPreviousAssignmentOp +p18414 +tp18415 +a(g827 +g972 +tp18416 +a(g413 +g1505 +tp18417 +a(g827 +g972 +tp18418 +a(g436 +VfoundAssignmentOp +p18419 +tp18420 +a(g706 +g988 +tp18421 +a(g827 +V\u000a +p18422 +tp18423 +a(g827 +V +p18424 +tp18425 +a(g436 +VisInStatement +p18426 +tp18427 +a(g827 +g972 +tp18428 +a(g413 +g1505 +tp18429 +a(g827 +g972 +tp18430 +a(g682 +Vtrue +p18431 +tp18432 +a(g706 +g988 +tp18433 +a(g827 +V\u000a +p18434 +tp18435 +a(g827 +V +p18436 +tp18437 +a(g706 +g1188 +tp18438 +a(g827 +V\u000a +p18439 +tp18440 +a(g827 +V +p18441 +tp18442 +a(g706 +g1188 +tp18443 +a(g827 +V\u000a +p18444 +tp18445 +a(g827 +V\u000a +p18446 +tp18447 +a(g827 +V +p18448 +tp18449 +a(g8 +V/*\u000a immediatelyPreviousAssignmentOp = NULL;\u000a bool isNonAssingmentOperator = false;\u000a for (int n = 0; n < nonAssignmentOperators.size(); n++)\u000a if (line.COMPARE(i, nonAssignmentOperators[n]->length(), *(nonAssignmentOperators[n])) == 0)\u000a {\u000a if (nonAssignmentOperators[n]->length() > 1)\u000a {\u000a outBuffer.append(nonAssignmentOperators[n]->substr(1));\u000a i += nonAssignmentOperators[n]->length() - 1;\u000a }\u000a isNonAssingmentOperator = true;\u000a break;\u000a }\u000a if (!isNonAssingmentOperator)\u000a {\u000a for (int a = 0; a < assignmentOperators.size(); a++)\u000a if (line.COMPARE(i, assignmentOperators[a]->length(), *(assignmentOperators[a])) == 0)\u000a {\u000a if (assignmentOperators[a]->length() > 1)\u000a {\u000a outBuffer.append(assignmentOperators[a]->substr(1));\u000a i += assignmentOperators[a]->length() - 1;\u000a }\u000a \u000a if (!isInOperator && !isInTemplate)\u000a {\u000a registerInStatementIndent(line, i, spaceTabCount, 0, false);\u000a immediatelyPreviousAssignmentOp = assignmentOperators[a];\u000a isInStatement = true;\u000a }\u000a break;\u000a }\u000a }\u000a */ +p18450 +tp18451 +a(g827 +V\u000a +p18452 +tp18453 +a(g827 +V\u000a +p18454 +tp18455 +a(g827 +V +p18456 +tp18457 +a(g745 +Vif +p18458 +tp18459 +a(g827 +g972 +tp18460 +a(g706 +g1019 +tp18461 +a(g436 +VisInOperator +p18462 +tp18463 +a(g706 +g1054 +tp18464 +a(g827 +V\u000a +p18465 +tp18466 +a(g827 +V +p18467 +tp18468 +a(g436 +VisInOperator +p18469 +tp18470 +a(g827 +g972 +tp18471 +a(g413 +g1505 +tp18472 +a(g827 +g972 +tp18473 +a(g682 +Vfalse +p18474 +tp18475 +a(g706 +g988 +tp18476 +a(g827 +V\u000a +p18477 +tp18478 +a(g827 +V +p18479 +tp18480 +a(g706 +g1188 +tp18481 +a(g827 +V\u000a +p18482 +tp18483 +a(g827 +V\u000a +p18484 +tp18485 +a(g827 +V +p18486 +tp18487 +a(g906 +V// handle special cases of unindentation:\u000a +p18488 +tp18489 +a(g827 +V\u000a +p18490 +tp18491 +a(g827 +V +p18492 +tp18493 +a(g8 +V/*\u000a * if '{' doesn't follow an immediately previous '{' in the headerStack\u000a * (but rather another header such as "for" or "if", then unindent it\u000a * by one indentation relative to its block.\u000a */ +p18494 +tp18495 +a(g827 +V\u000a +p18496 +tp18497 +a(g827 +V +p18498 +tp18499 +a(g906 +V// cerr << endl << lineOpeningBlocksNum << " " << lineClosingBlocksNum << " " << previousLastLineHeader << endl;\u000a +p18500 +tp18501 +a(g827 +V\u000a +p18502 +tp18503 +a(g827 +V +p18504 +tp18505 +a(g906 +V// indent #define lines with one less tab\u000a +p18506 +tp18507 +a(g827 +V +p18508 +tp18509 +a(g906 +V//if (isInDefine)\u000a +p18510 +tp18511 +a(g827 +V +p18512 +tp18513 +a(g906 +V// tabCount -= defineTabCount-1;\u000a +p18514 +tp18515 +a(g827 +V\u000a +p18516 +tp18517 +a(g827 +V\u000a +p18518 +tp18519 +a(g827 +V +p18520 +tp18521 +a(g745 +Vif +p18522 +tp18523 +a(g827 +g972 +tp18524 +a(g706 +g1019 +tp18525 +a(g413 +g1099 +tp18526 +a(g436 +VlineStartsInComment +p18527 +tp18528 +a(g827 +V\u000a +p18529 +tp18530 +a(g827 +V +p18531 +tp18532 +a(g413 +g1026 +tp18533 +a(g413 +g1026 +tp18534 +a(g827 +g972 +tp18535 +a(g413 +g1099 +tp18536 +a(g436 +VblockIndent +p18537 +tp18538 +a(g827 +V\u000a +p18539 +tp18540 +a(g827 +V +p18541 +tp18542 +a(g413 +g1026 +tp18543 +a(g413 +g1026 +tp18544 +a(g827 +g972 +tp18545 +a(g436 +VoutBuffer +p18546 +tp18547 +a(g706 +g1103 +tp18548 +a(g436 +Vlength +p18549 +tp18550 +a(g706 +g1019 +tp18551 +a(g706 +g1054 +tp18552 +a(g413 +g2458 +tp18553 +a(g37 +g6082 +tp18554 +a(g827 +V\u000a +p18555 +tp18556 +a(g827 +V +p18557 +tp18558 +a(g413 +g1026 +tp18559 +a(g413 +g1026 +tp18560 +a(g827 +g972 +tp18561 +a(g436 +VoutBuffer +p18562 +tp18563 +a(g706 +g1083 +tp18564 +a(g37 +g6082 +tp18565 +a(g706 +g7909 +tp18566 +a(g413 +g1505 +tp18567 +a(g413 +g1505 +tp18568 +a(g93 +g6333 +tp18569 +a(g93 +g1000 +tp18570 +a(g93 +g6333 +tp18571 +a(g827 +V\u000a +p18572 +tp18573 +a(g827 +V +p18574 +tp18575 +a(g413 +g1026 +tp18576 +a(g413 +g1026 +tp18577 +a(g827 +g972 +tp18578 +a(g413 +g1099 +tp18579 +a(g706 +g1019 +tp18580 +a(g436 +VlineOpeningBlocksNum +p18581 +tp18582 +a(g827 +g972 +tp18583 +a(g413 +g2458 +tp18584 +a(g827 +g972 +tp18585 +a(g37 +g6082 +tp18586 +a(g827 +g972 +tp18587 +a(g413 +g1026 +tp18588 +a(g413 +g1026 +tp18589 +a(g827 +g972 +tp18590 +a(g436 +VlineOpeningBlocksNum +p18591 +tp18592 +a(g827 +g972 +tp18593 +a(g413 +g1505 +tp18594 +a(g413 +g1505 +tp18595 +a(g827 +g972 +tp18596 +a(g436 +VlineClosingBlocksNum +p18597 +tp18598 +a(g706 +g1054 +tp18599 +a(g827 +V\u000a +p18600 +tp18601 +a(g827 +V +p18602 +tp18603 +a(g413 +g1026 +tp18604 +a(g413 +g1026 +tp18605 +a(g827 +g972 +tp18606 +a(g413 +g1099 +tp18607 +a(g706 +g1019 +tp18608 +a(g436 +VheaderStack +p18609 +tp18610 +a(g413 +g4390 +tp18611 +a(g413 +g2458 +tp18612 +a(g436 +Vsize +p18613 +tp18614 +a(g706 +g1019 +tp18615 +a(g706 +g1054 +tp18616 +a(g827 +g972 +tp18617 +a(g413 +g2458 +tp18618 +a(g827 +g972 +tp18619 +a(g37 +g1507 +tp18620 +a(g827 +g972 +tp18621 +a(g413 +g1026 +tp18622 +a(g413 +g1026 +tp18623 +a(g827 +g972 +tp18624 +a(g706 +g1019 +tp18625 +a(g413 +g2456 +tp18626 +a(g436 +VheaderStack +p18627 +tp18628 +a(g706 +g1054 +tp18629 +a(g706 +g1083 +tp18630 +a(g436 +VheaderStack +p18631 +tp18632 +a(g413 +g4390 +tp18633 +a(g413 +g2458 +tp18634 +a(g436 +Vsize +p18635 +tp18636 +a(g706 +g1019 +tp18637 +a(g706 +g1054 +tp18638 +a(g413 +g4390 +tp18639 +a(g37 +g6659 +tp18640 +a(g706 +g7909 +tp18641 +a(g827 +g972 +tp18642 +a(g413 +g1505 +tp18643 +a(g413 +g1505 +tp18644 +a(g827 +g972 +tp18645 +a(g413 +g1026 +tp18646 +a(g436 +VAS_OPEN_BRACKET +p18647 +tp18648 +a(g706 +g1054 +tp18649 +a(g827 +V\u000a +p18650 +tp18651 +a(g827 +V +p18652 +tp18653 +a(g413 +g1026 +tp18654 +a(g413 +g1026 +tp18655 +a(g827 +g972 +tp18656 +a(g436 +VshouldIndentBrackettedLine +p18657 +tp18658 +a(g706 +g1054 +tp18659 +a(g827 +V\u000a +p18660 +tp18661 +a(g827 +V +p18662 +tp18663 +a(g413 +g4390 +tp18664 +a(g413 +g4390 +tp18665 +a(g436 +VtabCount +p18666 +tp18667 +a(g706 +g988 +tp18668 +a(g827 +V\u000a +p18669 +tp18670 +a(g827 +V\u000a +p18671 +tp18672 +a(g827 +V +p18673 +tp18674 +a(g745 +Velse +p18675 +tp18676 +a(g827 +g972 +tp18677 +a(g574 +Vif +p18678 +tp18679 +a(g827 +g972 +tp18680 +a(g706 +g1019 +tp18681 +a(g413 +g1099 +tp18682 +a(g436 +VlineStartsInComment +p18683 +tp18684 +a(g827 +V\u000a +p18685 +tp18686 +a(g827 +V +p18687 +tp18688 +a(g413 +g1026 +tp18689 +a(g413 +g1026 +tp18690 +a(g827 +g972 +tp18691 +a(g436 +VoutBuffer +p18692 +tp18693 +a(g706 +g1103 +tp18694 +a(g436 +Vlength +p18695 +tp18696 +a(g706 +g1019 +tp18697 +a(g706 +g1054 +tp18698 +a(g413 +g2458 +tp18699 +a(g37 +g6082 +tp18700 +a(g827 +V\u000a +p18701 +tp18702 +a(g827 +V +p18703 +tp18704 +a(g413 +g1026 +tp18705 +a(g413 +g1026 +tp18706 +a(g827 +g972 +tp18707 +a(g436 +VoutBuffer +p18708 +tp18709 +a(g706 +g1083 +tp18710 +a(g37 +g6082 +tp18711 +a(g706 +g7909 +tp18712 +a(g413 +g1505 +tp18713 +a(g413 +g1505 +tp18714 +a(g93 +g6333 +tp18715 +a(g93 +g1188 +tp18716 +a(g93 +g6333 +tp18717 +a(g827 +V\u000a +p18718 +tp18719 +a(g827 +V +p18720 +tp18721 +a(g413 +g1026 +tp18722 +a(g413 +g1026 +tp18723 +a(g827 +g972 +tp18724 +a(g436 +VshouldIndentBrackettedLine +p18725 +tp18726 +a(g827 +g972 +tp18727 +a(g706 +g1054 +tp18728 +a(g827 +V\u000a +p18729 +tp18730 +a(g827 +V +p18731 +tp18732 +a(g413 +g4390 +tp18733 +a(g413 +g4390 +tp18734 +a(g436 +VtabCount +p18735 +tp18736 +a(g706 +g988 +tp18737 +a(g827 +V\u000a +p18738 +tp18739 +a(g827 +V\u000a +p18740 +tp18741 +a(g827 +V +p18742 +tp18743 +a(g906 +V// correctly indent one-line-blocks...\u000a +p18744 +tp18745 +a(g827 +V +p18746 +tp18747 +a(g745 +Velse +p18748 +tp18749 +a(g827 +g972 +tp18750 +a(g574 +Vif +p18751 +tp18752 +a(g827 +g972 +tp18753 +a(g706 +g1019 +tp18754 +a(g413 +g1099 +tp18755 +a(g436 +VlineStartsInComment +p18756 +tp18757 +a(g827 +V\u000a +p18758 +tp18759 +a(g827 +V +p18760 +tp18761 +a(g413 +g1026 +tp18762 +a(g413 +g1026 +tp18763 +a(g827 +g972 +tp18764 +a(g436 +VoutBuffer +p18765 +tp18766 +a(g706 +g1103 +tp18767 +a(g436 +Vlength +p18768 +tp18769 +a(g706 +g1019 +tp18770 +a(g706 +g1054 +tp18771 +a(g413 +g2458 +tp18772 +a(g37 +g6082 +tp18773 +a(g827 +V\u000a +p18774 +tp18775 +a(g827 +V +p18776 +tp18777 +a(g413 +g1026 +tp18778 +a(g413 +g1026 +tp18779 +a(g827 +g972 +tp18780 +a(g436 +VlineOpeningBlocksNum +p18781 +tp18782 +a(g827 +g972 +tp18783 +a(g413 +g2458 +tp18784 +a(g827 +g972 +tp18785 +a(g37 +g6082 +tp18786 +a(g827 +V\u000a +p18787 +tp18788 +a(g827 +V +p18789 +tp18790 +a(g413 +g1026 +tp18791 +a(g413 +g1026 +tp18792 +a(g827 +g972 +tp18793 +a(g436 +VlineOpeningBlocksNum +p18794 +tp18795 +a(g827 +g972 +tp18796 +a(g413 +g1505 +tp18797 +a(g413 +g1505 +tp18798 +a(g827 +g972 +tp18799 +a(g436 +VlineClosingBlocksNum +p18800 +tp18801 +a(g827 +V\u000a +p18802 +tp18803 +a(g827 +V +p18804 +tp18805 +a(g413 +g1026 +tp18806 +a(g413 +g1026 +tp18807 +a(g827 +g972 +tp18808 +a(g436 +VpreviousLastLineHeader +p18809 +tp18810 +a(g827 +g972 +tp18811 +a(g413 +g1099 +tp18812 +a(g413 +g1505 +tp18813 +a(g827 +g972 +tp18814 +a(g682 +VNULL +p18815 +tp18816 +a(g827 +V\u000a +p18817 +tp18818 +a(g827 +V +p18819 +tp18820 +a(g413 +g1026 +tp18821 +a(g413 +g1026 +tp18822 +a(g827 +g972 +tp18823 +a(g436 +VpreviousLastLineHeader +p18824 +tp18825 +a(g827 +g972 +tp18826 +a(g413 +g1099 +tp18827 +a(g413 +g1505 +tp18828 +a(g827 +g972 +tp18829 +a(g413 +g1026 +tp18830 +a(g436 +VAS_OPEN_BRACKET +p18831 +tp18832 +a(g706 +g1054 +tp18833 +a(g827 +V\u000a +p18834 +tp18835 +a(g827 +V +p18836 +tp18837 +a(g436 +VtabCount +p18838 +tp18839 +a(g827 +g972 +tp18840 +a(g413 +g4390 +tp18841 +a(g413 +g1505 +tp18842 +a(g827 +g972 +tp18843 +a(g37 +g1507 +tp18844 +a(g706 +g988 +tp18845 +a(g827 +g972 +tp18846 +a(g906 +V//lineOpeningBlocksNum - (blockIndent ? 1 : 0);\u000a +p18847 +tp18848 +a(g827 +V\u000a +p18849 +tp18850 +a(g827 +V +p18851 +tp18852 +a(g745 +Vif +p18853 +tp18854 +a(g827 +g972 +tp18855 +a(g706 +g1019 +tp18856 +a(g436 +VtabCount +p18857 +tp18858 +a(g827 +g972 +tp18859 +a(g413 +g1075 +tp18860 +a(g827 +g972 +tp18861 +a(g37 +g6082 +tp18862 +a(g706 +g1054 +tp18863 +a(g827 +V\u000a +p18864 +tp18865 +a(g827 +V +p18866 +tp18867 +a(g436 +VtabCount +p18868 +tp18869 +a(g827 +g972 +tp18870 +a(g413 +g1505 +tp18871 +a(g827 +g972 +tp18872 +a(g37 +g6082 +tp18873 +a(g706 +g988 +tp18874 +a(g827 +V\u000a +p18875 +tp18876 +a(g827 +V\u000a +p18877 +tp18878 +a(g827 +V +p18879 +tp18880 +a(g906 +V// take care of extra bracket indentatation option...\u000a +p18881 +tp18882 +a(g827 +V +p18883 +tp18884 +a(g745 +Vif +p18885 +tp18886 +a(g827 +g972 +tp18887 +a(g706 +g1019 +tp18888 +a(g436 +VbracketIndent +p18889 +tp18890 +a(g827 +g972 +tp18891 +a(g413 +g1026 +tp18892 +a(g413 +g1026 +tp18893 +a(g827 +g972 +tp18894 +a(g436 +VoutBuffer +p18895 +tp18896 +a(g706 +g1103 +tp18897 +a(g436 +Vlength +p18898 +tp18899 +a(g706 +g1019 +tp18900 +a(g706 +g1054 +tp18901 +a(g413 +g2458 +tp18902 +a(g37 +g6082 +tp18903 +a(g827 +g972 +tp18904 +a(g413 +g1026 +tp18905 +a(g413 +g1026 +tp18906 +a(g827 +g972 +tp18907 +a(g436 +VshouldIndentBrackettedLine +p18908 +tp18909 +a(g706 +g1054 +tp18910 +a(g827 +V\u000a +p18911 +tp18912 +a(g827 +V +p18913 +tp18914 +a(g745 +Vif +p18915 +tp18916 +a(g827 +g972 +tp18917 +a(g706 +g1019 +tp18918 +a(g436 +VoutBuffer +p18919 +tp18920 +a(g706 +g1083 +tp18921 +a(g37 +g6082 +tp18922 +a(g706 +g7909 +tp18923 +a(g413 +g1505 +tp18924 +a(g413 +g1505 +tp18925 +a(g93 +g6333 +tp18926 +a(g93 +g1000 +tp18927 +a(g93 +g6333 +tp18928 +a(g827 +g972 +tp18929 +a(g413 +g8191 +tp18930 +a(g413 +g8191 +tp18931 +a(g827 +g972 +tp18932 +a(g436 +VoutBuffer +p18933 +tp18934 +a(g706 +g1083 +tp18935 +a(g37 +g6082 +tp18936 +a(g706 +g7909 +tp18937 +a(g413 +g1505 +tp18938 +a(g413 +g1505 +tp18939 +a(g93 +g6333 +tp18940 +a(g93 +g1188 +tp18941 +a(g93 +g6333 +tp18942 +a(g706 +g1054 +tp18943 +a(g827 +V\u000a +p18944 +tp18945 +a(g827 +V +p18946 +tp18947 +a(g436 +VtabCount +p18948 +tp18949 +a(g413 +g1517 +tp18950 +a(g413 +g1517 +tp18951 +a(g706 +g988 +tp18952 +a(g827 +V\u000a +p18953 +tp18954 +a(g827 +V\u000a +p18955 +tp18956 +a(g827 +V\u000a +p18957 +tp18958 +a(g827 +V +p18959 +tp18960 +a(g745 +Vif +p18961 +tp18962 +a(g827 +g972 +tp18963 +a(g706 +g1019 +tp18964 +a(g436 +VisInDefine +p18965 +tp18966 +a(g706 +g1054 +tp18967 +a(g827 +V\u000a +p18968 +tp18969 +a(g827 +V +p18970 +tp18971 +a(g706 +g1000 +tp18972 +a(g827 +V\u000a +p18973 +tp18974 +a(g827 +V +p18975 +tp18976 +a(g745 +Vif +p18977 +tp18978 +a(g827 +g972 +tp18979 +a(g706 +g1019 +tp18980 +a(g436 +VoutBuffer +p18981 +tp18982 +a(g706 +g1083 +tp18983 +a(g37 +g6082 +tp18984 +a(g706 +g7909 +tp18985 +a(g827 +g972 +tp18986 +a(g413 +g1505 +tp18987 +a(g413 +g1505 +tp18988 +a(g827 +g972 +tp18989 +a(g93 +g6333 +tp18990 +a(g93 +g968 +tp18991 +a(g93 +g6333 +tp18992 +a(g706 +g1054 +tp18993 +a(g827 +V\u000a +p18994 +tp18995 +a(g827 +V +p18996 +tp18997 +a(g706 +g1000 +tp18998 +a(g827 +V\u000a +p18999 +tp19000 +a(g827 +V +p19001 +tp19002 +a(g436 +Vstring +p19003 +tp19004 +a(g827 +g972 +tp19005 +a(g436 +Vpreproc +p19006 +tp19007 +a(g827 +g972 +tp19008 +a(g413 +g1505 +tp19009 +a(g827 +g972 +tp19010 +a(g436 +Vtrim +p19011 +tp19012 +a(g706 +g1019 +tp19013 +a(g436 +Vstring +p19014 +tp19015 +a(g706 +g1019 +tp19016 +a(g436 +VoutBuffer +p19017 +tp19018 +a(g706 +g1103 +tp19019 +a(g436 +Vc_str +p19020 +tp19021 +a(g706 +g1019 +tp19022 +a(g706 +g1054 +tp19023 +a(g827 +g972 +tp19024 +a(g413 +g1517 +tp19025 +a(g827 +g972 +tp19026 +a(g37 +g1507 +tp19027 +a(g706 +g1054 +tp19028 +a(g706 +g1054 +tp19029 +a(g706 +g988 +tp19030 +a(g827 +V\u000a +p19031 +tp19032 +a(g827 +V +p19033 +tp19034 +a(g745 +Vif +p19035 +tp19036 +a(g827 +g972 +tp19037 +a(g706 +g1019 +tp19038 +a(g436 +Vpreproc +p19039 +tp19040 +a(g706 +g1103 +tp19041 +a(g436 +VCOMPARE +p19042 +tp19043 +a(g706 +g1019 +tp19044 +a(g37 +g6082 +tp19045 +a(g706 +g1030 +tp19046 +a(g827 +g972 +tp19047 +a(g37 +g8317 +tp19048 +a(g706 +g1030 +tp19049 +a(g827 +g972 +tp19050 +a(g436 +Vstring +p19051 +tp19052 +a(g706 +g1019 +tp19053 +a(g89 +g1079 +tp19054 +a(g89 +Vdefine +p19055 +tp19056 +a(g89 +g1079 +tp19057 +a(g706 +g1054 +tp19058 +a(g706 +g1054 +tp19059 +a(g827 +g972 +tp19060 +a(g413 +g1505 +tp19061 +a(g413 +g1505 +tp19062 +a(g827 +g972 +tp19063 +a(g37 +g6082 +tp19064 +a(g706 +g1054 +tp19065 +a(g827 +V\u000a +p19066 +tp19067 +a(g827 +V +p19068 +tp19069 +a(g706 +g1000 +tp19070 +a(g827 +V\u000a +p19071 +tp19072 +a(g827 +V +p19073 +tp19074 +a(g745 +Vif +p19075 +tp19076 +a(g827 +g972 +tp19077 +a(g706 +g1019 +tp19078 +a(g413 +g1099 +tp19079 +a(g436 +VinStatementIndentStack +p19080 +tp19081 +a(g413 +g4390 +tp19082 +a(g413 +g2458 +tp19083 +a(g436 +Vempty +p19084 +tp19085 +a(g706 +g1019 +tp19086 +a(g706 +g1054 +tp19087 +a(g827 +V\u000a +p19088 +tp19089 +a(g827 +V +p19090 +tp19091 +a(g413 +g1026 +tp19092 +a(g413 +g1026 +tp19093 +a(g827 +g972 +tp19094 +a(g436 +VinStatementIndentStack +p19095 +tp19096 +a(g413 +g4390 +tp19097 +a(g413 +g2458 +tp19098 +a(g436 +Vback +p19099 +tp19100 +a(g706 +g1019 +tp19101 +a(g706 +g1054 +tp19102 +a(g827 +g972 +tp19103 +a(g413 +g2458 +tp19104 +a(g827 +g972 +tp19105 +a(g37 +g6082 +tp19106 +a(g706 +g1054 +tp19107 +a(g827 +V\u000a +p19108 +tp19109 +a(g827 +V +p19110 +tp19111 +a(g706 +g1000 +tp19112 +a(g827 +V\u000a +p19113 +tp19114 +a(g827 +V +p19115 +tp19116 +a(g436 +VdefineTabCount +p19117 +tp19118 +a(g827 +g972 +tp19119 +a(g413 +g1505 +tp19120 +a(g827 +g972 +tp19121 +a(g436 +VtabCount +p19122 +tp19123 +a(g706 +g988 +tp19124 +a(g827 +V\u000a +p19125 +tp19126 +a(g827 +V +p19127 +tp19128 +a(g706 +g1188 +tp19129 +a(g827 +V\u000a +p19130 +tp19131 +a(g827 +V +p19132 +tp19133 +a(g745 +Velse +p19134 +tp19135 +a(g827 +V\u000a +p19136 +tp19137 +a(g827 +V +p19138 +tp19139 +a(g706 +g1000 +tp19140 +a(g827 +V\u000a +p19141 +tp19142 +a(g827 +V +p19143 +tp19144 +a(g436 +VdefineTabCount +p19145 +tp19146 +a(g827 +g972 +tp19147 +a(g413 +g1505 +tp19148 +a(g827 +g972 +tp19149 +a(g436 +VtabCount +p19150 +tp19151 +a(g827 +g972 +tp19152 +a(g413 +g4390 +tp19153 +a(g827 +g972 +tp19154 +a(g37 +g1507 +tp19155 +a(g706 +g988 +tp19156 +a(g827 +V\u000a +p19157 +tp19158 +a(g827 +V +p19159 +tp19160 +a(g436 +VtabCount +p19161 +tp19162 +a(g413 +g4390 +tp19163 +a(g413 +g4390 +tp19164 +a(g706 +g988 +tp19165 +a(g827 +V\u000a +p19166 +tp19167 +a(g827 +V +p19168 +tp19169 +a(g706 +g1188 +tp19170 +a(g827 +V\u000a +p19171 +tp19172 +a(g827 +V +p19173 +tp19174 +a(g706 +g1188 +tp19175 +a(g827 +V\u000a +p19176 +tp19177 +a(g827 +V +p19178 +tp19179 +a(g706 +g1188 +tp19180 +a(g827 +V\u000a +p19181 +tp19182 +a(g827 +V\u000a +p19183 +tp19184 +a(g827 +V +p19185 +tp19186 +a(g436 +VtabCount +p19187 +tp19188 +a(g827 +g972 +tp19189 +a(g413 +g4390 +tp19190 +a(g413 +g1505 +tp19191 +a(g827 +g972 +tp19192 +a(g436 +VdefineTabCount +p19193 +tp19194 +a(g706 +g988 +tp19195 +a(g827 +V\u000a +p19196 +tp19197 +a(g827 +V +p19198 +tp19199 +a(g706 +g1188 +tp19200 +a(g827 +V\u000a +p19201 +tp19202 +a(g827 +V\u000a +p19203 +tp19204 +a(g827 +V +p19205 +tp19206 +a(g745 +Vif +p19207 +tp19208 +a(g827 +g972 +tp19209 +a(g706 +g1019 +tp19210 +a(g436 +VtabCount +p19211 +tp19212 +a(g827 +g972 +tp19213 +a(g413 +g1075 +tp19214 +a(g827 +g972 +tp19215 +a(g37 +g6082 +tp19216 +a(g706 +g1054 +tp19217 +a(g827 +V\u000a +p19218 +tp19219 +a(g827 +V +p19220 +tp19221 +a(g436 +VtabCount +p19222 +tp19223 +a(g827 +g972 +tp19224 +a(g413 +g1505 +tp19225 +a(g827 +g972 +tp19226 +a(g37 +g6082 +tp19227 +a(g706 +g988 +tp19228 +a(g827 +V\u000a +p19229 +tp19230 +a(g827 +V\u000a +p19231 +tp19232 +a(g827 +V\u000a +p19233 +tp19234 +a(g827 +V +p19235 +tp19236 +a(g906 +V// finally, insert indentations into begining of line\u000a +p19237 +tp19238 +a(g827 +V\u000a +p19239 +tp19240 +a(g827 +V +p19241 +tp19242 +a(g436 +VprevFinalLineSpaceTabCount +p19243 +tp19244 +a(g827 +g972 +tp19245 +a(g413 +g1505 +tp19246 +a(g827 +g972 +tp19247 +a(g436 +VspaceTabCount +p19248 +tp19249 +a(g706 +g988 +tp19250 +a(g827 +V\u000a +p19251 +tp19252 +a(g827 +V +p19253 +tp19254 +a(g436 +VprevFinalLineTabCount +p19255 +tp19256 +a(g827 +g972 +tp19257 +a(g413 +g1505 +tp19258 +a(g827 +g972 +tp19259 +a(g436 +VtabCount +p19260 +tp19261 +a(g706 +g988 +tp19262 +a(g827 +V\u000a +p19263 +tp19264 +a(g827 +V\u000a +p19265 +tp19266 +a(g827 +V +p19267 +tp19268 +a(g745 +Vif +p19269 +tp19270 +a(g827 +g972 +tp19271 +a(g706 +g1019 +tp19272 +a(g436 +VshouldForceTabIndentation +p19273 +tp19274 +a(g706 +g1054 +tp19275 +a(g827 +V\u000a +p19276 +tp19277 +a(g827 +V +p19278 +tp19279 +a(g706 +g1000 +tp19280 +a(g827 +V\u000a +p19281 +tp19282 +a(g827 +V +p19283 +tp19284 +a(g436 +VtabCount +p19285 +tp19286 +a(g827 +g972 +tp19287 +a(g413 +g1517 +tp19288 +a(g413 +g1505 +tp19289 +a(g827 +g972 +tp19290 +a(g436 +VspaceTabCount +p19291 +tp19292 +a(g827 +g972 +tp19293 +a(g413 +g10976 +tp19294 +a(g827 +g972 +tp19295 +a(g436 +VindentLength +p19296 +tp19297 +a(g706 +g988 +tp19298 +a(g827 +V\u000a +p19299 +tp19300 +a(g827 +V +p19301 +tp19302 +a(g436 +VspaceTabCount +p19303 +tp19304 +a(g827 +g972 +tp19305 +a(g413 +g1505 +tp19306 +a(g827 +g972 +tp19307 +a(g436 +VspaceTabCount +p19308 +tp19309 +a(g827 +g972 +tp19310 +a(g413 +V% +p19311 +tp19312 +a(g827 +g972 +tp19313 +a(g436 +VindentLength +p19314 +tp19315 +a(g706 +g988 +tp19316 +a(g827 +V\u000a +p19317 +tp19318 +a(g827 +V +p19319 +tp19320 +a(g706 +g1188 +tp19321 +a(g827 +V\u000a +p19322 +tp19323 +a(g827 +V\u000a +p19324 +tp19325 +a(g827 +V +p19326 +tp19327 +a(g436 +VoutBuffer +p19328 +tp19329 +a(g827 +g972 +tp19330 +a(g413 +g1505 +tp19331 +a(g827 +g972 +tp19332 +a(g436 +VpreLineWS +p19333 +tp19334 +a(g706 +g1019 +tp19335 +a(g436 +VspaceTabCount +p19336 +tp19337 +a(g706 +g1030 +tp19338 +a(g436 +VtabCount +p19339 +tp19340 +a(g706 +g1054 +tp19341 +a(g827 +g972 +tp19342 +a(g413 +g1517 +tp19343 +a(g827 +g972 +tp19344 +a(g436 +VoutBuffer +p19345 +tp19346 +a(g706 +g988 +tp19347 +a(g827 +V\u000a +p19348 +tp19349 +a(g827 +V\u000a +p19350 +tp19351 +a(g827 +V +p19352 +tp19353 +a(g745 +Vif +p19354 +tp19355 +a(g827 +g972 +tp19356 +a(g706 +g1019 +tp19357 +a(g436 +VlastLineHeader +p19358 +tp19359 +a(g827 +g972 +tp19360 +a(g413 +g1099 +tp19361 +a(g413 +g1505 +tp19362 +a(g827 +g972 +tp19363 +a(g682 +VNULL +p19364 +tp19365 +a(g706 +g1054 +tp19366 +a(g827 +V\u000a +p19367 +tp19368 +a(g827 +V +p19369 +tp19370 +a(g436 +VpreviousLastLineHeader +p19371 +tp19372 +a(g827 +g972 +tp19373 +a(g413 +g1505 +tp19374 +a(g827 +g972 +tp19375 +a(g436 +VlastLineHeader +p19376 +tp19377 +a(g706 +g988 +tp19378 +a(g827 +V\u000a +p19379 +tp19380 +a(g827 +V\u000a +p19381 +tp19382 +a(g827 +V +p19383 +tp19384 +a(g745 +Vreturn +p19385 +tp19386 +a(g827 +g972 +tp19387 +a(g436 +VoutBuffer +p19388 +tp19389 +a(g706 +g988 +tp19390 +a(g827 +V\u000a +p19391 +tp19392 +a(g827 +V +p19393 +tp19394 +a(g706 +g1188 +tp19395 +a(g827 +V\u000a +p19396 +tp19397 +a(g827 +V\u000a +p19398 +tp19399 +a(g827 +V\u000a +p19400 +tp19401 +a(g827 +V +p19402 +tp19403 +a(g436 +Vstring +p19404 +tp19405 +a(g827 +g972 +tp19406 +a(g436 +VASBeautifier +p19407 +tp19408 +a(g413 +g1014 +tp19409 +a(g413 +g1014 +tp19410 +a(g436 +VpreLineWS +p19411 +tp19412 +a(g706 +g1019 +tp19413 +a(g749 +Vint +p19414 +tp19415 +a(g827 +g972 +tp19416 +a(g436 +VspaceTabCount +p19417 +tp19418 +a(g706 +g1030 +tp19419 +a(g827 +g972 +tp19420 +a(g749 +Vint +p19421 +tp19422 +a(g827 +g972 +tp19423 +a(g436 +VtabCount +p19424 +tp19425 +a(g706 +g1054 +tp19426 +a(g827 +V\u000a +p19427 +tp19428 +a(g827 +V +p19429 +tp19430 +a(g706 +g1000 +tp19431 +a(g827 +V\u000a +p19432 +tp19433 +a(g827 +V +p19434 +tp19435 +a(g436 +Vstring +p19436 +tp19437 +a(g827 +g972 +tp19438 +a(g436 +Vws +p19439 +tp19440 +a(g706 +g988 +tp19441 +a(g827 +V\u000a +p19442 +tp19443 +a(g827 +V\u000a +p19444 +tp19445 +a(g827 +V +p19446 +tp19447 +a(g745 +Vfor +p19448 +tp19449 +a(g827 +g972 +tp19450 +a(g706 +g1019 +tp19451 +a(g749 +Vint +p19452 +tp19453 +a(g827 +g972 +tp19454 +a(g436 +g1503 +tp19455 +a(g413 +g1505 +tp19456 +a(g37 +g6082 +tp19457 +a(g706 +g988 +tp19458 +a(g827 +g972 +tp19459 +a(g436 +g1503 +tp19460 +a(g413 +g1075 +tp19461 +a(g436 +VtabCount +p19462 +tp19463 +a(g706 +g988 +tp19464 +a(g827 +g972 +tp19465 +a(g436 +g1503 +tp19466 +a(g413 +g1517 +tp19467 +a(g413 +g1517 +tp19468 +a(g706 +g1054 +tp19469 +a(g827 +V\u000a +p19470 +tp19471 +a(g827 +V +p19472 +tp19473 +a(g436 +Vws +p19474 +tp19475 +a(g827 +g972 +tp19476 +a(g413 +g1517 +tp19477 +a(g413 +g1505 +tp19478 +a(g827 +g972 +tp19479 +a(g436 +VindentString +p19480 +tp19481 +a(g706 +g988 +tp19482 +a(g827 +V\u000a +p19483 +tp19484 +a(g827 +V\u000a +p19485 +tp19486 +a(g827 +V +p19487 +tp19488 +a(g745 +Vwhile +p19489 +tp19490 +a(g827 +g972 +tp19491 +a(g706 +g1019 +tp19492 +a(g706 +g1019 +tp19493 +a(g436 +VspaceTabCount +p19494 +tp19495 +a(g413 +g4390 +tp19496 +a(g413 +g4390 +tp19497 +a(g706 +g1054 +tp19498 +a(g827 +g972 +tp19499 +a(g413 +g2458 +tp19500 +a(g827 +g972 +tp19501 +a(g37 +g6082 +tp19502 +a(g706 +g1054 +tp19503 +a(g827 +V\u000a +p19504 +tp19505 +a(g827 +V +p19506 +tp19507 +a(g436 +Vws +p19508 +tp19509 +a(g827 +g972 +tp19510 +a(g413 +g1517 +tp19511 +a(g413 +g1505 +tp19512 +a(g827 +g972 +tp19513 +a(g436 +Vstring +p19514 +tp19515 +a(g706 +g1019 +tp19516 +a(g89 +g1079 +tp19517 +a(g89 +g972 +tp19518 +a(g89 +g1079 +tp19519 +a(g706 +g1054 +tp19520 +a(g706 +g988 +tp19521 +a(g827 +V\u000a +p19522 +tp19523 +a(g827 +V\u000a +p19524 +tp19525 +a(g827 +V +p19526 +tp19527 +a(g745 +Vreturn +p19528 +tp19529 +a(g827 +g972 +tp19530 +a(g436 +Vws +p19531 +tp19532 +a(g706 +g988 +tp19533 +a(g827 +V\u000a +p19534 +tp19535 +a(g827 +V\u000a +p19536 +tp19537 +a(g827 +V +p19538 +tp19539 +a(g706 +g1188 +tp19540 +a(g827 +V\u000a +p19541 +tp19542 +a(g827 +V\u000a +p19543 +tp19544 +a(g827 +V +p19545 +tp19546 +a(g8 +V/**\u000a * register an in-statement indent.\u000a */ +p19547 +tp19548 +a(g827 +V\u000a +p19549 +tp19550 +a(g827 +V +p19551 +tp19552 +a(g749 +Vvoid +p19553 +tp19554 +a(g827 +g972 +tp19555 +a(g436 +VASBeautifier +p19556 +tp19557 +a(g413 +g1014 +tp19558 +a(g413 +g1014 +tp19559 +a(g436 +VregisterInStatementIndent +p19560 +tp19561 +a(g706 +g1019 +tp19562 +a(g745 +Vconst +p19563 +tp19564 +a(g827 +g972 +tp19565 +a(g436 +Vstring +p19566 +tp19567 +a(g827 +g972 +tp19568 +a(g413 +g1026 +tp19569 +a(g436 +Vline +p19570 +tp19571 +a(g706 +g1030 +tp19572 +a(g827 +g972 +tp19573 +a(g749 +Vint +p19574 +tp19575 +a(g827 +g972 +tp19576 +a(g436 +g1503 +tp19577 +a(g706 +g1030 +tp19578 +a(g827 +g972 +tp19579 +a(g749 +Vint +p19580 +tp19581 +a(g827 +g972 +tp19582 +a(g436 +VspaceTabCount +p19583 +tp19584 +a(g706 +g1030 +tp19585 +a(g827 +V\u000a +p19586 +tp19587 +a(g827 +V +p19588 +tp19589 +a(g749 +Vint +p19590 +tp19591 +a(g827 +g972 +tp19592 +a(g436 +VminIndent +p19593 +tp19594 +a(g706 +g1030 +tp19595 +a(g827 +g972 +tp19596 +a(g749 +Vbool +p19597 +tp19598 +a(g827 +g972 +tp19599 +a(g436 +VupdateParenStack +p19600 +tp19601 +a(g706 +g1054 +tp19602 +a(g827 +V\u000a +p19603 +tp19604 +a(g827 +V +p19605 +tp19606 +a(g706 +g1000 +tp19607 +a(g827 +V\u000a +p19608 +tp19609 +a(g827 +V +p19610 +tp19611 +a(g749 +Vint +p19612 +tp19613 +a(g827 +g972 +tp19614 +a(g436 +VinStatementIndent +p19615 +tp19616 +a(g706 +g988 +tp19617 +a(g827 +V\u000a +p19618 +tp19619 +a(g827 +V +p19620 +tp19621 +a(g749 +Vint +p19622 +tp19623 +a(g827 +g972 +tp19624 +a(g436 +VremainingCharNum +p19625 +tp19626 +a(g827 +g972 +tp19627 +a(g413 +g1505 +tp19628 +a(g827 +g972 +tp19629 +a(g436 +Vline +p19630 +tp19631 +a(g706 +g1103 +tp19632 +a(g436 +Vlength +p19633 +tp19634 +a(g706 +g1019 +tp19635 +a(g706 +g1054 +tp19636 +a(g827 +g972 +tp19637 +a(g413 +g4390 +tp19638 +a(g827 +g972 +tp19639 +a(g436 +g1503 +tp19640 +a(g706 +g988 +tp19641 +a(g827 +V\u000a +p19642 +tp19643 +a(g827 +V +p19644 +tp19645 +a(g749 +Vint +p19646 +tp19647 +a(g827 +g972 +tp19648 +a(g436 +VnextNonWSChar +p19649 +tp19650 +a(g827 +g972 +tp19651 +a(g413 +g1505 +tp19652 +a(g827 +g972 +tp19653 +a(g37 +g1507 +tp19654 +a(g706 +g988 +tp19655 +a(g827 +V\u000a +p19656 +tp19657 +a(g827 +V\u000a +p19658 +tp19659 +a(g827 +V +p19660 +tp19661 +a(g436 +VnextNonWSChar +p19662 +tp19663 +a(g827 +g972 +tp19664 +a(g413 +g1505 +tp19665 +a(g827 +g972 +tp19666 +a(g436 +VgetNextProgramCharDistance +p19667 +tp19668 +a(g706 +g1019 +tp19669 +a(g436 +Vline +p19670 +tp19671 +a(g706 +g1030 +tp19672 +a(g827 +g972 +tp19673 +a(g436 +g1503 +tp19674 +a(g706 +g1054 +tp19675 +a(g706 +g988 +tp19676 +a(g827 +V\u000a +p19677 +tp19678 +a(g827 +V\u000a +p19679 +tp19680 +a(g827 +V +p19681 +tp19682 +a(g906 +V// if indent is around the last char in the line, indent instead 2 spaces from the previous indent\u000a +p19683 +tp19684 +a(g827 +V +p19685 +tp19686 +a(g745 +Vif +p19687 +tp19688 +a(g827 +g972 +tp19689 +a(g706 +g1019 +tp19690 +a(g436 +VnextNonWSChar +p19691 +tp19692 +a(g827 +g972 +tp19693 +a(g413 +g1505 +tp19694 +a(g413 +g1505 +tp19695 +a(g827 +g972 +tp19696 +a(g436 +VremainingCharNum +p19697 +tp19698 +a(g706 +g1054 +tp19699 +a(g827 +V\u000a +p19700 +tp19701 +a(g827 +V +p19702 +tp19703 +a(g706 +g1000 +tp19704 +a(g827 +V\u000a +p19705 +tp19706 +a(g827 +V +p19707 +tp19708 +a(g749 +Vint +p19709 +tp19710 +a(g827 +g972 +tp19711 +a(g436 +VpreviousIndent +p19712 +tp19713 +a(g827 +g972 +tp19714 +a(g413 +g1505 +tp19715 +a(g827 +g972 +tp19716 +a(g436 +VspaceTabCount +p19717 +tp19718 +a(g706 +g988 +tp19719 +a(g827 +V\u000a +p19720 +tp19721 +a(g827 +V +p19722 +tp19723 +a(g745 +Vif +p19724 +tp19725 +a(g827 +g972 +tp19726 +a(g706 +g1019 +tp19727 +a(g413 +g1099 +tp19728 +a(g436 +VinStatementIndentStack +p19729 +tp19730 +a(g413 +g4390 +tp19731 +a(g413 +g2458 +tp19732 +a(g436 +Vempty +p19733 +tp19734 +a(g706 +g1019 +tp19735 +a(g706 +g1054 +tp19736 +a(g706 +g1054 +tp19737 +a(g827 +V\u000a +p19738 +tp19739 +a(g827 +V +p19740 +tp19741 +a(g436 +VpreviousIndent +p19742 +tp19743 +a(g827 +g972 +tp19744 +a(g413 +g1505 +tp19745 +a(g827 +g972 +tp19746 +a(g436 +VinStatementIndentStack +p19747 +tp19748 +a(g413 +g4390 +tp19749 +a(g413 +g2458 +tp19750 +a(g436 +Vback +p19751 +tp19752 +a(g706 +g1019 +tp19753 +a(g706 +g1054 +tp19754 +a(g706 +g988 +tp19755 +a(g827 +V\u000a +p19756 +tp19757 +a(g827 +V\u000a +p19758 +tp19759 +a(g827 +V +p19760 +tp19761 +a(g436 +VinStatementIndentStack +p19762 +tp19763 +a(g413 +g4390 +tp19764 +a(g413 +g2458 +tp19765 +a(g436 +Vpush_back +p19766 +tp19767 +a(g706 +g1019 +tp19768 +a(g8 +V/*2*/ +p19769 +tp19770 +a(g827 +g972 +tp19771 +a(g436 +VindentLength +p19772 +tp19773 +a(g827 +g972 +tp19774 +a(g413 +g1517 +tp19775 +a(g827 +g972 +tp19776 +a(g436 +VpreviousIndent +p19777 +tp19778 +a(g827 +g972 +tp19779 +a(g706 +g1054 +tp19780 +a(g706 +g988 +tp19781 +a(g827 +V\u000a +p19782 +tp19783 +a(g827 +V +p19784 +tp19785 +a(g745 +Vif +p19786 +tp19787 +a(g827 +g972 +tp19788 +a(g706 +g1019 +tp19789 +a(g436 +VupdateParenStack +p19790 +tp19791 +a(g706 +g1054 +tp19792 +a(g827 +V\u000a +p19793 +tp19794 +a(g827 +V +p19795 +tp19796 +a(g436 +VparenIndentStack +p19797 +tp19798 +a(g413 +g4390 +tp19799 +a(g413 +g2458 +tp19800 +a(g436 +Vpush_back +p19801 +tp19802 +a(g706 +g1019 +tp19803 +a(g827 +g972 +tp19804 +a(g436 +VpreviousIndent +p19805 +tp19806 +a(g827 +g972 +tp19807 +a(g706 +g1054 +tp19808 +a(g706 +g988 +tp19809 +a(g827 +V\u000a +p19810 +tp19811 +a(g827 +V +p19812 +tp19813 +a(g745 +Vreturn +p19814 +tp19815 +a(g706 +g988 +tp19816 +a(g827 +V\u000a +p19817 +tp19818 +a(g827 +V +p19819 +tp19820 +a(g706 +g1188 +tp19821 +a(g827 +V\u000a +p19822 +tp19823 +a(g827 +V\u000a +p19824 +tp19825 +a(g827 +V +p19826 +tp19827 +a(g745 +Vif +p19828 +tp19829 +a(g827 +g972 +tp19830 +a(g706 +g1019 +tp19831 +a(g436 +VupdateParenStack +p19832 +tp19833 +a(g706 +g1054 +tp19834 +a(g827 +V\u000a +p19835 +tp19836 +a(g827 +V +p19837 +tp19838 +a(g436 +VparenIndentStack +p19839 +tp19840 +a(g413 +g4390 +tp19841 +a(g413 +g2458 +tp19842 +a(g436 +Vpush_back +p19843 +tp19844 +a(g706 +g1019 +tp19845 +a(g436 +g1503 +tp19846 +a(g413 +g1517 +tp19847 +a(g436 +VspaceTabCount +p19848 +tp19849 +a(g706 +g1054 +tp19850 +a(g706 +g988 +tp19851 +a(g827 +V\u000a +p19852 +tp19853 +a(g827 +V\u000a +p19854 +tp19855 +a(g827 +V +p19856 +tp19857 +a(g436 +VinStatementIndent +p19858 +tp19859 +a(g827 +g972 +tp19860 +a(g413 +g1505 +tp19861 +a(g827 +g972 +tp19862 +a(g436 +g1503 +tp19863 +a(g827 +g972 +tp19864 +a(g413 +g1517 +tp19865 +a(g827 +g972 +tp19866 +a(g436 +VnextNonWSChar +p19867 +tp19868 +a(g827 +g972 +tp19869 +a(g413 +g1517 +tp19870 +a(g827 +g972 +tp19871 +a(g436 +VspaceTabCount +p19872 +tp19873 +a(g706 +g988 +tp19874 +a(g827 +V\u000a +p19875 +tp19876 +a(g827 +V\u000a +p19877 +tp19878 +a(g827 +V +p19879 +tp19880 +a(g745 +Vif +p19881 +tp19882 +a(g827 +g972 +tp19883 +a(g706 +g1019 +tp19884 +a(g436 +g1503 +tp19885 +a(g827 +g972 +tp19886 +a(g413 +g1517 +tp19887 +a(g827 +g972 +tp19888 +a(g436 +VnextNonWSChar +p19889 +tp19890 +a(g827 +g972 +tp19891 +a(g413 +g1075 +tp19892 +a(g827 +g972 +tp19893 +a(g436 +VminIndent +p19894 +tp19895 +a(g706 +g1054 +tp19896 +a(g827 +V\u000a +p19897 +tp19898 +a(g827 +V +p19899 +tp19900 +a(g436 +VinStatementIndent +p19901 +tp19902 +a(g827 +g972 +tp19903 +a(g413 +g1505 +tp19904 +a(g827 +g972 +tp19905 +a(g436 +VminIndent +p19906 +tp19907 +a(g827 +g972 +tp19908 +a(g413 +g1517 +tp19909 +a(g827 +g972 +tp19910 +a(g436 +VspaceTabCount +p19911 +tp19912 +a(g706 +g988 +tp19913 +a(g827 +V\u000a +p19914 +tp19915 +a(g827 +V\u000a +p19916 +tp19917 +a(g827 +V +p19918 +tp19919 +a(g745 +Vif +p19920 +tp19921 +a(g827 +g972 +tp19922 +a(g706 +g1019 +tp19923 +a(g436 +g1503 +tp19924 +a(g827 +g972 +tp19925 +a(g413 +g1517 +tp19926 +a(g827 +g972 +tp19927 +a(g436 +VnextNonWSChar +p19928 +tp19929 +a(g827 +g972 +tp19930 +a(g413 +g2458 +tp19931 +a(g827 +g972 +tp19932 +a(g436 +VmaxInStatementIndent +p19933 +tp19934 +a(g706 +g1054 +tp19935 +a(g827 +V\u000a +p19936 +tp19937 +a(g827 +V +p19938 +tp19939 +a(g436 +VinStatementIndent +p19940 +tp19941 +a(g827 +g972 +tp19942 +a(g413 +g1505 +tp19943 +a(g827 +V +p19944 +tp19945 +a(g436 +VindentLength +p19946 +tp19947 +a(g413 +g2456 +tp19948 +a(g37 +g6659 +tp19949 +a(g827 +g972 +tp19950 +a(g413 +g1517 +tp19951 +a(g827 +g972 +tp19952 +a(g436 +VspaceTabCount +p19953 +tp19954 +a(g706 +g988 +tp19955 +a(g827 +V\u000a +p19956 +tp19957 +a(g827 +V\u000a +p19958 +tp19959 +a(g827 +V\u000a +p19960 +tp19961 +a(g827 +V\u000a +p19962 +tp19963 +a(g827 +V +p19964 +tp19965 +a(g745 +Vif +p19966 +tp19967 +a(g827 +g972 +tp19968 +a(g706 +g1019 +tp19969 +a(g413 +g1099 +tp19970 +a(g436 +VinStatementIndentStack +p19971 +tp19972 +a(g413 +g4390 +tp19973 +a(g413 +g2458 +tp19974 +a(g436 +Vempty +p19975 +tp19976 +a(g706 +g1019 +tp19977 +a(g706 +g1054 +tp19978 +a(g827 +g972 +tp19979 +a(g413 +g1026 +tp19980 +a(g413 +g1026 +tp19981 +a(g827 +V\u000a +p19982 +tp19983 +a(g827 +V +p19984 +tp19985 +a(g436 +VinStatementIndent +p19986 +tp19987 +a(g827 +g972 +tp19988 +a(g413 +g1075 +tp19989 +a(g827 +g972 +tp19990 +a(g436 +VinStatementIndentStack +p19991 +tp19992 +a(g413 +g4390 +tp19993 +a(g413 +g2458 +tp19994 +a(g436 +Vback +p19995 +tp19996 +a(g706 +g1019 +tp19997 +a(g706 +g1054 +tp19998 +a(g706 +g1054 +tp19999 +a(g827 +V\u000a +p20000 +tp20001 +a(g827 +V +p20002 +tp20003 +a(g436 +VinStatementIndent +p20004 +tp20005 +a(g827 +g972 +tp20006 +a(g413 +g1505 +tp20007 +a(g827 +g972 +tp20008 +a(g436 +VinStatementIndentStack +p20009 +tp20010 +a(g413 +g4390 +tp20011 +a(g413 +g2458 +tp20012 +a(g436 +Vback +p20013 +tp20014 +a(g706 +g1019 +tp20015 +a(g706 +g1054 +tp20016 +a(g706 +g988 +tp20017 +a(g827 +V\u000a +p20018 +tp20019 +a(g827 +V\u000a +p20020 +tp20021 +a(g827 +V +p20022 +tp20023 +a(g436 +VinStatementIndentStack +p20024 +tp20025 +a(g413 +g4390 +tp20026 +a(g413 +g2458 +tp20027 +a(g436 +Vpush_back +p20028 +tp20029 +a(g706 +g1019 +tp20030 +a(g436 +VinStatementIndent +p20031 +tp20032 +a(g706 +g1054 +tp20033 +a(g706 +g988 +tp20034 +a(g827 +V\u000a +p20035 +tp20036 +a(g827 +V +p20037 +tp20038 +a(g706 +g1188 +tp20039 +a(g827 +V\u000a +p20040 +tp20041 +a(g827 +V\u000a +p20042 +tp20043 +a(g827 +V +p20044 +tp20045 +a(g8 +V/**\u000a * get distance to the next non-white sspace, non-comment character in the line.\u000a * if no such character exists, return the length remaining to the end of the line.\u000a */ +p20046 +tp20047 +a(g827 +V\u000a +p20048 +tp20049 +a(g827 +V +p20050 +tp20051 +a(g749 +Vint +p20052 +tp20053 +a(g827 +g972 +tp20054 +a(g436 +VASBeautifier +p20055 +tp20056 +a(g413 +g1014 +tp20057 +a(g413 +g1014 +tp20058 +a(g436 +VgetNextProgramCharDistance +p20059 +tp20060 +a(g706 +g1019 +tp20061 +a(g745 +Vconst +p20062 +tp20063 +a(g827 +g972 +tp20064 +a(g436 +Vstring +p20065 +tp20066 +a(g827 +g972 +tp20067 +a(g413 +g1026 +tp20068 +a(g436 +Vline +p20069 +tp20070 +a(g706 +g1030 +tp20071 +a(g827 +g972 +tp20072 +a(g749 +Vint +p20073 +tp20074 +a(g827 +g972 +tp20075 +a(g436 +g1503 +tp20076 +a(g706 +g1054 +tp20077 +a(g827 +V\u000a +p20078 +tp20079 +a(g827 +V +p20080 +tp20081 +a(g706 +g1000 +tp20082 +a(g827 +V\u000a +p20083 +tp20084 +a(g827 +V +p20085 +tp20086 +a(g749 +Vbool +p20087 +tp20088 +a(g827 +g972 +tp20089 +a(g436 +VinComment +p20090 +tp20091 +a(g827 +g972 +tp20092 +a(g413 +g1505 +tp20093 +a(g827 +g972 +tp20094 +a(g682 +Vfalse +p20095 +tp20096 +a(g706 +g988 +tp20097 +a(g827 +V\u000a +p20098 +tp20099 +a(g827 +V +p20100 +tp20101 +a(g749 +Vint +p20102 +tp20103 +a(g827 +g972 +tp20104 +a(g436 +VremainingCharNum +p20105 +tp20106 +a(g827 +g972 +tp20107 +a(g413 +g1505 +tp20108 +a(g827 +g972 +tp20109 +a(g436 +Vline +p20110 +tp20111 +a(g706 +g1103 +tp20112 +a(g436 +Vlength +p20113 +tp20114 +a(g706 +g1019 +tp20115 +a(g706 +g1054 +tp20116 +a(g827 +g972 +tp20117 +a(g413 +g4390 +tp20118 +a(g827 +g972 +tp20119 +a(g436 +g1503 +tp20120 +a(g706 +g988 +tp20121 +a(g827 +V\u000a +p20122 +tp20123 +a(g827 +V +p20124 +tp20125 +a(g749 +Vint +p20126 +tp20127 +a(g827 +g972 +tp20128 +a(g436 +VcharDistance +p20129 +tp20130 +a(g827 +g972 +tp20131 +a(g413 +g1505 +tp20132 +a(g827 +g972 +tp20133 +a(g37 +g1507 +tp20134 +a(g706 +g988 +tp20135 +a(g827 +V\u000a +p20136 +tp20137 +a(g827 +V +p20138 +tp20139 +a(g749 +Vint +p20140 +tp20141 +a(g827 +g972 +tp20142 +a(g436 +Vch +p20143 +tp20144 +a(g706 +g988 +tp20145 +a(g827 +V\u000a +p20146 +tp20147 +a(g827 +V\u000a +p20148 +tp20149 +a(g827 +V +p20150 +tp20151 +a(g745 +Vfor +p20152 +tp20153 +a(g827 +g972 +tp20154 +a(g706 +g1019 +tp20155 +a(g436 +VcharDistance +p20156 +tp20157 +a(g827 +g972 +tp20158 +a(g413 +g1505 +tp20159 +a(g827 +g972 +tp20160 +a(g37 +g1507 +tp20161 +a(g706 +g988 +tp20162 +a(g827 +g972 +tp20163 +a(g436 +VcharDistance +p20164 +tp20165 +a(g827 +g972 +tp20166 +a(g413 +g1075 +tp20167 +a(g827 +g972 +tp20168 +a(g436 +VremainingCharNum +p20169 +tp20170 +a(g706 +g988 +tp20171 +a(g827 +g972 +tp20172 +a(g436 +VcharDistance +p20173 +tp20174 +a(g413 +g1517 +tp20175 +a(g413 +g1517 +tp20176 +a(g706 +g1054 +tp20177 +a(g827 +V\u000a +p20178 +tp20179 +a(g827 +V +p20180 +tp20181 +a(g706 +g1000 +tp20182 +a(g827 +V\u000a +p20183 +tp20184 +a(g827 +V +p20185 +tp20186 +a(g436 +Vch +p20187 +tp20188 +a(g827 +g972 +tp20189 +a(g413 +g1505 +tp20190 +a(g827 +g972 +tp20191 +a(g436 +Vline +p20192 +tp20193 +a(g706 +g1083 +tp20194 +a(g436 +g1503 +tp20195 +a(g827 +g972 +tp20196 +a(g413 +g1517 +tp20197 +a(g827 +g972 +tp20198 +a(g436 +VcharDistance +p20199 +tp20200 +a(g706 +g7909 +tp20201 +a(g706 +g988 +tp20202 +a(g827 +V\u000a +p20203 +tp20204 +a(g827 +V +p20205 +tp20206 +a(g745 +Vif +p20207 +tp20208 +a(g827 +g972 +tp20209 +a(g706 +g1019 +tp20210 +a(g436 +VinComment +p20211 +tp20212 +a(g706 +g1054 +tp20213 +a(g827 +V\u000a +p20214 +tp20215 +a(g827 +V +p20216 +tp20217 +a(g706 +g1000 +tp20218 +a(g827 +V\u000a +p20219 +tp20220 +a(g827 +V +p20221 +tp20222 +a(g745 +Vif +p20223 +tp20224 +a(g827 +g972 +tp20225 +a(g706 +g1019 +tp20226 +a(g436 +Vline +p20227 +tp20228 +a(g706 +g1103 +tp20229 +a(g436 +VCOMPARE +p20230 +tp20231 +a(g706 +g1019 +tp20232 +a(g436 +g1503 +tp20233 +a(g827 +g972 +tp20234 +a(g413 +g1517 +tp20235 +a(g827 +g972 +tp20236 +a(g436 +VcharDistance +p20237 +tp20238 +a(g706 +g1030 +tp20239 +a(g827 +g972 +tp20240 +a(g37 +g6659 +tp20241 +a(g706 +g1030 +tp20242 +a(g827 +g972 +tp20243 +a(g436 +VAS_CLOSE_COMMENT +p20244 +tp20245 +a(g706 +g1054 +tp20246 +a(g827 +g972 +tp20247 +a(g413 +g1505 +tp20248 +a(g413 +g1505 +tp20249 +a(g827 +g972 +tp20250 +a(g37 +g6082 +tp20251 +a(g706 +g1054 +tp20252 +a(g827 +V\u000a +p20253 +tp20254 +a(g827 +V +p20255 +tp20256 +a(g706 +g1000 +tp20257 +a(g827 +V\u000a +p20258 +tp20259 +a(g827 +V +p20260 +tp20261 +a(g436 +VcharDistance +p20262 +tp20263 +a(g413 +g1517 +tp20264 +a(g413 +g1517 +tp20265 +a(g706 +g988 +tp20266 +a(g827 +V\u000a +p20267 +tp20268 +a(g827 +V +p20269 +tp20270 +a(g436 +VinComment +p20271 +tp20272 +a(g827 +g972 +tp20273 +a(g413 +g1505 +tp20274 +a(g827 +g972 +tp20275 +a(g682 +Vfalse +p20276 +tp20277 +a(g706 +g988 +tp20278 +a(g827 +V\u000a +p20279 +tp20280 +a(g827 +V +p20281 +tp20282 +a(g706 +g1188 +tp20283 +a(g827 +V\u000a +p20284 +tp20285 +a(g827 +V +p20286 +tp20287 +a(g745 +Vcontinue +p20288 +tp20289 +a(g706 +g988 +tp20290 +a(g827 +V\u000a +p20291 +tp20292 +a(g827 +V +p20293 +tp20294 +a(g706 +g1188 +tp20295 +a(g827 +V\u000a +p20296 +tp20297 +a(g827 +V +p20298 +tp20299 +a(g745 +Velse +p20300 +tp20301 +a(g827 +g972 +tp20302 +a(g745 +Vif +p20303 +tp20304 +a(g827 +g972 +tp20305 +a(g706 +g1019 +tp20306 +a(g436 +VisWhiteSpace +p20307 +tp20308 +a(g706 +g1019 +tp20309 +a(g436 +Vch +p20310 +tp20311 +a(g706 +g1054 +tp20312 +a(g706 +g1054 +tp20313 +a(g827 +V\u000a +p20314 +tp20315 +a(g827 +V +p20316 +tp20317 +a(g745 +Vcontinue +p20318 +tp20319 +a(g706 +g988 +tp20320 +a(g827 +V\u000a +p20321 +tp20322 +a(g827 +V +p20323 +tp20324 +a(g745 +Velse +p20325 +tp20326 +a(g827 +g972 +tp20327 +a(g574 +Vif +p20328 +tp20329 +a(g827 +g972 +tp20330 +a(g706 +g1019 +tp20331 +a(g436 +Vch +p20332 +tp20333 +a(g827 +g972 +tp20334 +a(g413 +g1505 +tp20335 +a(g413 +g1505 +tp20336 +a(g827 +g972 +tp20337 +a(g93 +g6333 +tp20338 +a(g93 +g10976 +tp20339 +a(g93 +g6333 +tp20340 +a(g706 +g1054 +tp20341 +a(g827 +V\u000a +p20342 +tp20343 +a(g827 +V +p20344 +tp20345 +a(g706 +g1000 +tp20346 +a(g827 +V\u000a +p20347 +tp20348 +a(g827 +V +p20349 +tp20350 +a(g745 +Vif +p20351 +tp20352 +a(g827 +g972 +tp20353 +a(g706 +g1019 +tp20354 +a(g436 +Vline +p20355 +tp20356 +a(g706 +g1103 +tp20357 +a(g436 +VCOMPARE +p20358 +tp20359 +a(g706 +g1019 +tp20360 +a(g436 +g1503 +tp20361 +a(g827 +g972 +tp20362 +a(g413 +g1517 +tp20363 +a(g827 +g972 +tp20364 +a(g436 +VcharDistance +p20365 +tp20366 +a(g706 +g1030 +tp20367 +a(g827 +g972 +tp20368 +a(g37 +g6659 +tp20369 +a(g706 +g1030 +tp20370 +a(g827 +g972 +tp20371 +a(g436 +VAS_OPEN_LINE_COMMENT +p20372 +tp20373 +a(g706 +g1054 +tp20374 +a(g827 +g972 +tp20375 +a(g413 +g1505 +tp20376 +a(g413 +g1505 +tp20377 +a(g827 +g972 +tp20378 +a(g37 +g6082 +tp20379 +a(g706 +g1054 +tp20380 +a(g827 +V\u000a +p20381 +tp20382 +a(g827 +V +p20383 +tp20384 +a(g745 +Vreturn +p20385 +tp20386 +a(g827 +g972 +tp20387 +a(g436 +VremainingCharNum +p20388 +tp20389 +a(g706 +g988 +tp20390 +a(g827 +V\u000a +p20391 +tp20392 +a(g827 +V +p20393 +tp20394 +a(g745 +Velse +p20395 +tp20396 +a(g827 +g972 +tp20397 +a(g745 +Vif +p20398 +tp20399 +a(g827 +g972 +tp20400 +a(g706 +g1019 +tp20401 +a(g436 +Vline +p20402 +tp20403 +a(g706 +g1103 +tp20404 +a(g436 +VCOMPARE +p20405 +tp20406 +a(g706 +g1019 +tp20407 +a(g436 +g1503 +tp20408 +a(g827 +g972 +tp20409 +a(g413 +g1517 +tp20410 +a(g827 +g972 +tp20411 +a(g436 +VcharDistance +p20412 +tp20413 +a(g706 +g1030 +tp20414 +a(g827 +g972 +tp20415 +a(g37 +g6659 +tp20416 +a(g706 +g1030 +tp20417 +a(g827 +g972 +tp20418 +a(g436 +VAS_OPEN_COMMENT +p20419 +tp20420 +a(g706 +g1054 +tp20421 +a(g827 +g972 +tp20422 +a(g413 +g1505 +tp20423 +a(g413 +g1505 +tp20424 +a(g827 +g972 +tp20425 +a(g37 +g6082 +tp20426 +a(g706 +g1054 +tp20427 +a(g827 +V\u000a +p20428 +tp20429 +a(g827 +V +p20430 +tp20431 +a(g706 +g1000 +tp20432 +a(g827 +V\u000a +p20433 +tp20434 +a(g827 +V +p20435 +tp20436 +a(g436 +VcharDistance +p20437 +tp20438 +a(g413 +g1517 +tp20439 +a(g413 +g1517 +tp20440 +a(g706 +g988 +tp20441 +a(g827 +V\u000a +p20442 +tp20443 +a(g827 +V +p20444 +tp20445 +a(g436 +VinComment +p20446 +tp20447 +a(g827 +g972 +tp20448 +a(g413 +g1505 +tp20449 +a(g827 +g972 +tp20450 +a(g682 +Vtrue +p20451 +tp20452 +a(g706 +g988 +tp20453 +a(g827 +V\u000a +p20454 +tp20455 +a(g827 +V +p20456 +tp20457 +a(g706 +g1188 +tp20458 +a(g827 +V\u000a +p20459 +tp20460 +a(g827 +V +p20461 +tp20462 +a(g706 +g1188 +tp20463 +a(g827 +V\u000a +p20464 +tp20465 +a(g827 +V +p20466 +tp20467 +a(g745 +Velse +p20468 +tp20469 +a(g827 +V\u000a +p20470 +tp20471 +a(g827 +V +p20472 +tp20473 +a(g745 +Vreturn +p20474 +tp20475 +a(g827 +g972 +tp20476 +a(g436 +VcharDistance +p20477 +tp20478 +a(g706 +g988 +tp20479 +a(g827 +V\u000a +p20480 +tp20481 +a(g827 +V +p20482 +tp20483 +a(g706 +g1188 +tp20484 +a(g827 +V\u000a +p20485 +tp20486 +a(g827 +V\u000a +p20487 +tp20488 +a(g827 +V +p20489 +tp20490 +a(g745 +Vreturn +p20491 +tp20492 +a(g827 +g972 +tp20493 +a(g436 +VcharDistance +p20494 +tp20495 +a(g706 +g988 +tp20496 +a(g827 +V\u000a +p20497 +tp20498 +a(g827 +V +p20499 +tp20500 +a(g706 +g1188 +tp20501 +a(g827 +V\u000a +p20502 +tp20503 +a(g827 +V\u000a +p20504 +tp20505 +a(g827 +V\u000a +p20506 +tp20507 +a(g827 +V +p20508 +tp20509 +a(g8 +V/**\u000a * check if a specific character can be used in a legal variable/method/class name\u000a *\u000a * @return legality of the char.\u000a * @param ch the character to be checked.\u000a */ +p20510 +tp20511 +a(g827 +V\u000a +p20512 +tp20513 +a(g827 +V +p20514 +tp20515 +a(g749 +Vbool +p20516 +tp20517 +a(g827 +g972 +tp20518 +a(g436 +VASBeautifier +p20519 +tp20520 +a(g413 +g1014 +tp20521 +a(g413 +g1014 +tp20522 +a(g436 +VisLegalNameChar +p20523 +tp20524 +a(g706 +g1019 +tp20525 +a(g749 +Vchar +p20526 +tp20527 +a(g827 +g972 +tp20528 +a(g436 +Vch +p20529 +tp20530 +a(g706 +g1054 +tp20531 +a(g827 +g972 +tp20532 +a(g745 +Vconst +p20533 +tp20534 +a(g827 +V\u000a +p20535 +tp20536 +a(g827 +V +p20537 +tp20538 +a(g706 +g1000 +tp20539 +a(g827 +V\u000a +p20540 +tp20541 +a(g827 +V +p20542 +tp20543 +a(g745 +Vreturn +p20544 +tp20545 +a(g827 +g972 +tp20546 +a(g706 +g1019 +tp20547 +a(g436 +Visalnum +p20548 +tp20549 +a(g706 +g1019 +tp20550 +a(g436 +Vch +p20551 +tp20552 +a(g706 +g1054 +tp20553 +a(g827 +g972 +tp20554 +a(g906 +V//(ch>='a' && ch<='z') || (ch>='A' && ch<='Z') || (ch>='0' && ch<='9') ||\u000a +p20555 +tp20556 +a(g827 +V +p20557 +tp20558 +a(g413 +g8191 +tp20559 +a(g413 +g8191 +tp20560 +a(g827 +g972 +tp20561 +a(g436 +Vch +p20562 +tp20563 +a(g413 +g1505 +tp20564 +a(g413 +g1505 +tp20565 +a(g93 +g6333 +tp20566 +a(g93 +g1103 +tp20567 +a(g93 +g6333 +tp20568 +a(g827 +g972 +tp20569 +a(g413 +g8191 +tp20570 +a(g413 +g8191 +tp20571 +a(g827 +g972 +tp20572 +a(g436 +Vch +p20573 +tp20574 +a(g413 +g1505 +tp20575 +a(g413 +g1505 +tp20576 +a(g93 +g6333 +tp20577 +a(g93 +g12801 +tp20578 +a(g93 +g6333 +tp20579 +a(g827 +g972 +tp20580 +a(g413 +g8191 +tp20581 +a(g413 +g8191 +tp20582 +a(g827 +g972 +tp20583 +a(g706 +g1019 +tp20584 +a(g413 +g1099 +tp20585 +a(g436 +VisCStyle +p20586 +tp20587 +a(g827 +g972 +tp20588 +a(g413 +g1026 +tp20589 +a(g413 +g1026 +tp20590 +a(g827 +g972 +tp20591 +a(g436 +Vch +p20592 +tp20593 +a(g413 +g1505 +tp20594 +a(g413 +g1505 +tp20595 +a(g93 +g6333 +tp20596 +a(g93 +V$ +p20597 +tp20598 +a(g93 +g6333 +tp20599 +a(g706 +g1054 +tp20600 +a(g827 +g972 +tp20601 +a(g413 +g8191 +tp20602 +a(g413 +g8191 +tp20603 +a(g827 +g972 +tp20604 +a(g706 +g1019 +tp20605 +a(g436 +VisCStyle +p20606 +tp20607 +a(g827 +g972 +tp20608 +a(g413 +g1026 +tp20609 +a(g413 +g1026 +tp20610 +a(g827 +g972 +tp20611 +a(g436 +Vch +p20612 +tp20613 +a(g413 +g1505 +tp20614 +a(g413 +g1505 +tp20615 +a(g93 +g6333 +tp20616 +a(g93 +g1597 +tp20617 +a(g93 +g6333 +tp20618 +a(g706 +g1054 +tp20619 +a(g706 +g1054 +tp20620 +a(g706 +g988 +tp20621 +a(g827 +V\u000a +p20622 +tp20623 +a(g827 +V +p20624 +tp20625 +a(g706 +g1188 +tp20626 +a(g827 +V\u000a +p20627 +tp20628 +a(g827 +V\u000a +p20629 +tp20630 +a(g827 +V\u000a +p20631 +tp20632 +a(g827 +V +p20633 +tp20634 +a(g8 +V/**\u000a * check if a specific line position contains a header, out of several possible headers.\u000a *\u000a * @return a pointer to the found header. if no header was found then return NULL.\u000a */ +p20635 +tp20636 +a(g827 +V\u000a +p20637 +tp20638 +a(g827 +V +p20639 +tp20640 +a(g745 +Vconst +p20641 +tp20642 +a(g827 +g972 +tp20643 +a(g436 +Vstring +p20644 +tp20645 +a(g827 +g972 +tp20646 +a(g413 +g2456 +tp20647 +a(g436 +VASBeautifier +p20648 +tp20649 +a(g413 +g1014 +tp20650 +a(g413 +g1014 +tp20651 +a(g436 +VfindHeader +p20652 +tp20653 +a(g706 +g1019 +tp20654 +a(g745 +Vconst +p20655 +tp20656 +a(g827 +g972 +tp20657 +a(g436 +Vstring +p20658 +tp20659 +a(g827 +g972 +tp20660 +a(g413 +g1026 +tp20661 +a(g436 +Vline +p20662 +tp20663 +a(g706 +g1030 +tp20664 +a(g827 +g972 +tp20665 +a(g749 +Vint +p20666 +tp20667 +a(g827 +g972 +tp20668 +a(g436 +g1503 +tp20669 +a(g706 +g1030 +tp20670 +a(g827 +g972 +tp20671 +a(g745 +Vconst +p20672 +tp20673 +a(g827 +g972 +tp20674 +a(g436 +Vvector +p20675 +tp20676 +a(g413 +g1075 +tp20677 +a(g745 +Vconst +p20678 +tp20679 +a(g827 +g972 +tp20680 +a(g436 +Vstring +p20681 +tp20682 +a(g413 +g2456 +tp20683 +a(g413 +g2458 +tp20684 +a(g827 +g972 +tp20685 +a(g413 +g1026 +tp20686 +a(g436 +VpossibleHeaders +p20687 +tp20688 +a(g706 +g1030 +tp20689 +a(g827 +g972 +tp20690 +a(g749 +Vbool +p20691 +tp20692 +a(g827 +g972 +tp20693 +a(g436 +VcheckBoundry +p20694 +tp20695 +a(g706 +g1054 +tp20696 +a(g827 +V\u000a +p20697 +tp20698 +a(g827 +V +p20699 +tp20700 +a(g706 +g1000 +tp20701 +a(g827 +V\u000a +p20702 +tp20703 +a(g827 +V +p20704 +tp20705 +a(g749 +Vint +p20706 +tp20707 +a(g827 +g972 +tp20708 +a(g436 +VmaxHeaders +p20709 +tp20710 +a(g827 +g972 +tp20711 +a(g413 +g1505 +tp20712 +a(g827 +g972 +tp20713 +a(g436 +VpossibleHeaders +p20714 +tp20715 +a(g706 +g1103 +tp20716 +a(g436 +Vsize +p20717 +tp20718 +a(g706 +g1019 +tp20719 +a(g706 +g1054 +tp20720 +a(g706 +g988 +tp20721 +a(g827 +V\u000a +p20722 +tp20723 +a(g827 +V +p20724 +tp20725 +a(g745 +Vconst +p20726 +tp20727 +a(g827 +g972 +tp20728 +a(g436 +Vstring +p20729 +tp20730 +a(g827 +g972 +tp20731 +a(g413 +g2456 +tp20732 +a(g436 +Vheader +p20733 +tp20734 +a(g827 +g972 +tp20735 +a(g413 +g1505 +tp20736 +a(g827 +g972 +tp20737 +a(g682 +VNULL +p20738 +tp20739 +a(g706 +g988 +tp20740 +a(g827 +V\u000a +p20741 +tp20742 +a(g827 +V +p20743 +tp20744 +a(g749 +Vint +p20745 +tp20746 +a(g827 +g972 +tp20747 +a(g436 +Vp +p20748 +tp20749 +a(g706 +g988 +tp20750 +a(g827 +V\u000a +p20751 +tp20752 +a(g827 +V\u000a +p20753 +tp20754 +a(g827 +V +p20755 +tp20756 +a(g745 +Vfor +p20757 +tp20758 +a(g827 +g972 +tp20759 +a(g706 +g1019 +tp20760 +a(g436 +g20748 +tp20761 +a(g413 +g1505 +tp20762 +a(g37 +g6082 +tp20763 +a(g706 +g988 +tp20764 +a(g827 +g972 +tp20765 +a(g436 +g20748 +tp20766 +a(g827 +g972 +tp20767 +a(g413 +g1075 +tp20768 +a(g827 +g972 +tp20769 +a(g436 +VmaxHeaders +p20770 +tp20771 +a(g706 +g988 +tp20772 +a(g827 +g972 +tp20773 +a(g436 +g20748 +tp20774 +a(g413 +g1517 +tp20775 +a(g413 +g1517 +tp20776 +a(g706 +g1054 +tp20777 +a(g827 +V\u000a +p20778 +tp20779 +a(g827 +V +p20780 +tp20781 +a(g706 +g1000 +tp20782 +a(g827 +V\u000a +p20783 +tp20784 +a(g827 +V +p20785 +tp20786 +a(g436 +Vheader +p20787 +tp20788 +a(g827 +g972 +tp20789 +a(g413 +g1505 +tp20790 +a(g827 +g972 +tp20791 +a(g436 +VpossibleHeaders +p20792 +tp20793 +a(g706 +g1083 +tp20794 +a(g436 +g20748 +tp20795 +a(g706 +g7909 +tp20796 +a(g706 +g988 +tp20797 +a(g827 +V\u000a +p20798 +tp20799 +a(g827 +V\u000a +p20800 +tp20801 +a(g827 +V +p20802 +tp20803 +a(g745 +Vif +p20804 +tp20805 +a(g827 +g972 +tp20806 +a(g706 +g1019 +tp20807 +a(g436 +Vline +p20808 +tp20809 +a(g706 +g1103 +tp20810 +a(g436 +VCOMPARE +p20811 +tp20812 +a(g706 +g1019 +tp20813 +a(g436 +g1503 +tp20814 +a(g706 +g1030 +tp20815 +a(g827 +g972 +tp20816 +a(g436 +Vheader +p20817 +tp20818 +a(g413 +g4390 +tp20819 +a(g413 +g2458 +tp20820 +a(g436 +Vlength +p20821 +tp20822 +a(g706 +g1019 +tp20823 +a(g706 +g1054 +tp20824 +a(g706 +g1030 +tp20825 +a(g827 +g972 +tp20826 +a(g413 +g2456 +tp20827 +a(g436 +Vheader +p20828 +tp20829 +a(g706 +g1054 +tp20830 +a(g827 +g972 +tp20831 +a(g413 +g1505 +tp20832 +a(g413 +g1505 +tp20833 +a(g827 +g972 +tp20834 +a(g37 +g6082 +tp20835 +a(g706 +g1054 +tp20836 +a(g827 +V\u000a +p20837 +tp20838 +a(g827 +V +p20839 +tp20840 +a(g706 +g1000 +tp20841 +a(g827 +V\u000a +p20842 +tp20843 +a(g827 +V +p20844 +tp20845 +a(g906 +V// check that this is a header and not a part of a longer word\u000a +p20846 +tp20847 +a(g827 +V +p20848 +tp20849 +a(g906 +V// (e.g. not at its begining, not at its middle...)\u000a +p20850 +tp20851 +a(g827 +V\u000a +p20852 +tp20853 +a(g827 +V +p20854 +tp20855 +a(g749 +Vint +p20856 +tp20857 +a(g827 +g972 +tp20858 +a(g436 +VlineLength +p20859 +tp20860 +a(g827 +g972 +tp20861 +a(g413 +g1505 +tp20862 +a(g827 +g972 +tp20863 +a(g436 +Vline +p20864 +tp20865 +a(g706 +g1103 +tp20866 +a(g436 +Vlength +p20867 +tp20868 +a(g706 +g1019 +tp20869 +a(g706 +g1054 +tp20870 +a(g706 +g988 +tp20871 +a(g827 +V\u000a +p20872 +tp20873 +a(g827 +V +p20874 +tp20875 +a(g749 +Vint +p20876 +tp20877 +a(g827 +g972 +tp20878 +a(g436 +VheaderEnd +p20879 +tp20880 +a(g827 +g972 +tp20881 +a(g413 +g1505 +tp20882 +a(g827 +g972 +tp20883 +a(g436 +g1503 +tp20884 +a(g827 +g972 +tp20885 +a(g413 +g1517 +tp20886 +a(g827 +g972 +tp20887 +a(g436 +Vheader +p20888 +tp20889 +a(g413 +g4390 +tp20890 +a(g413 +g2458 +tp20891 +a(g436 +Vlength +p20892 +tp20893 +a(g706 +g1019 +tp20894 +a(g706 +g1054 +tp20895 +a(g706 +g988 +tp20896 +a(g827 +V\u000a +p20897 +tp20898 +a(g827 +V +p20899 +tp20900 +a(g749 +Vchar +p20901 +tp20902 +a(g827 +g972 +tp20903 +a(g436 +VstartCh +p20904 +tp20905 +a(g827 +g972 +tp20906 +a(g413 +g1505 +tp20907 +a(g827 +g972 +tp20908 +a(g706 +g1019 +tp20909 +a(g413 +g2456 +tp20910 +a(g436 +Vheader +p20911 +tp20912 +a(g706 +g1054 +tp20913 +a(g706 +g1083 +tp20914 +a(g37 +g6082 +tp20915 +a(g706 +g7909 +tp20916 +a(g706 +g988 +tp20917 +a(g827 +V +p20918 +tp20919 +a(g906 +V// first char of header\u000a +p20920 +tp20921 +a(g827 +V +p20922 +tp20923 +a(g749 +Vchar +p20924 +tp20925 +a(g827 +g972 +tp20926 +a(g436 +VendCh +p20927 +tp20928 +a(g827 +g972 +tp20929 +a(g413 +g1505 +tp20930 +a(g827 +g972 +tp20931 +a(g37 +g6082 +tp20932 +a(g706 +g988 +tp20933 +a(g827 +V +p20934 +tp20935 +a(g906 +V// char just after header\u000a +p20936 +tp20937 +a(g827 +V +p20938 +tp20939 +a(g749 +Vchar +p20940 +tp20941 +a(g827 +g972 +tp20942 +a(g436 +VprevCh +p20943 +tp20944 +a(g827 +g972 +tp20945 +a(g413 +g1505 +tp20946 +a(g827 +g972 +tp20947 +a(g37 +g6082 +tp20948 +a(g706 +g988 +tp20949 +a(g827 +V +p20950 +tp20951 +a(g906 +V// char just before header\u000a +p20952 +tp20953 +a(g827 +V\u000a +p20954 +tp20955 +a(g827 +V +p20956 +tp20957 +a(g745 +Vif +p20958 +tp20959 +a(g827 +g972 +tp20960 +a(g706 +g1019 +tp20961 +a(g436 +VheaderEnd +p20962 +tp20963 +a(g827 +g972 +tp20964 +a(g413 +g1075 +tp20965 +a(g827 +g972 +tp20966 +a(g436 +VlineLength +p20967 +tp20968 +a(g706 +g1054 +tp20969 +a(g827 +V\u000a +p20970 +tp20971 +a(g827 +V +p20972 +tp20973 +a(g706 +g1000 +tp20974 +a(g827 +V\u000a +p20975 +tp20976 +a(g827 +V +p20977 +tp20978 +a(g436 +VendCh +p20979 +tp20980 +a(g827 +g972 +tp20981 +a(g413 +g1505 +tp20982 +a(g827 +g972 +tp20983 +a(g436 +Vline +p20984 +tp20985 +a(g706 +g1083 +tp20986 +a(g436 +VheaderEnd +p20987 +tp20988 +a(g706 +g7909 +tp20989 +a(g706 +g988 +tp20990 +a(g827 +V\u000a +p20991 +tp20992 +a(g827 +V +p20993 +tp20994 +a(g706 +g1188 +tp20995 +a(g827 +V\u000a +p20996 +tp20997 +a(g827 +V +p20998 +tp20999 +a(g745 +Vif +p21000 +tp21001 +a(g827 +g972 +tp21002 +a(g706 +g1019 +tp21003 +a(g436 +g1503 +tp21004 +a(g827 +g972 +tp21005 +a(g413 +g2458 +tp21006 +a(g827 +g972 +tp21007 +a(g37 +g6082 +tp21008 +a(g706 +g1054 +tp21009 +a(g827 +V\u000a +p21010 +tp21011 +a(g827 +V +p21012 +tp21013 +a(g706 +g1000 +tp21014 +a(g827 +V\u000a +p21015 +tp21016 +a(g827 +V +p21017 +tp21018 +a(g436 +VprevCh +p21019 +tp21020 +a(g827 +g972 +tp21021 +a(g413 +g1505 +tp21022 +a(g827 +g972 +tp21023 +a(g436 +Vline +p21024 +tp21025 +a(g706 +g1083 +tp21026 +a(g436 +g1503 +tp21027 +a(g413 +g4390 +tp21028 +a(g37 +g1507 +tp21029 +a(g706 +g7909 +tp21030 +a(g706 +g988 +tp21031 +a(g827 +V\u000a +p21032 +tp21033 +a(g827 +V +p21034 +tp21035 +a(g706 +g1188 +tp21036 +a(g827 +V\u000a +p21037 +tp21038 +a(g827 +V\u000a +p21039 +tp21040 +a(g827 +V +p21041 +tp21042 +a(g745 +Vif +p21043 +tp21044 +a(g827 +g972 +tp21045 +a(g706 +g1019 +tp21046 +a(g413 +g1099 +tp21047 +a(g436 +VcheckBoundry +p21048 +tp21049 +a(g706 +g1054 +tp21050 +a(g827 +V\u000a +p21051 +tp21052 +a(g827 +V +p21053 +tp21054 +a(g706 +g1000 +tp21055 +a(g827 +V\u000a +p21056 +tp21057 +a(g827 +V +p21058 +tp21059 +a(g745 +Vreturn +p21060 +tp21061 +a(g827 +g972 +tp21062 +a(g436 +Vheader +p21063 +tp21064 +a(g706 +g988 +tp21065 +a(g827 +V\u000a +p21066 +tp21067 +a(g827 +V +p21068 +tp21069 +a(g706 +g1188 +tp21070 +a(g827 +V\u000a +p21071 +tp21072 +a(g827 +V +p21073 +tp21074 +a(g745 +Velse +p21075 +tp21076 +a(g827 +g972 +tp21077 +a(g745 +Vif +p21078 +tp21079 +a(g827 +g972 +tp21080 +a(g706 +g1019 +tp21081 +a(g436 +VprevCh +p21082 +tp21083 +a(g827 +g972 +tp21084 +a(g413 +g1099 +tp21085 +a(g413 +g1505 +tp21086 +a(g827 +g972 +tp21087 +a(g37 +g6082 +tp21088 +a(g827 +V\u000a +p21089 +tp21090 +a(g827 +V +p21091 +tp21092 +a(g413 +g1026 +tp21093 +a(g413 +g1026 +tp21094 +a(g827 +g972 +tp21095 +a(g436 +VisLegalNameChar +p21096 +tp21097 +a(g706 +g1019 +tp21098 +a(g436 +VstartCh +p21099 +tp21100 +a(g706 +g1054 +tp21101 +a(g827 +V\u000a +p21102 +tp21103 +a(g827 +V +p21104 +tp21105 +a(g413 +g1026 +tp21106 +a(g413 +g1026 +tp21107 +a(g827 +g972 +tp21108 +a(g436 +VisLegalNameChar +p21109 +tp21110 +a(g706 +g1019 +tp21111 +a(g436 +VprevCh +p21112 +tp21113 +a(g706 +g1054 +tp21114 +a(g706 +g1054 +tp21115 +a(g827 +V\u000a +p21116 +tp21117 +a(g827 +V +p21118 +tp21119 +a(g706 +g1000 +tp21120 +a(g827 +V\u000a +p21121 +tp21122 +a(g827 +V +p21123 +tp21124 +a(g745 +Vreturn +p21125 +tp21126 +a(g827 +g972 +tp21127 +a(g682 +VNULL +p21128 +tp21129 +a(g706 +g988 +tp21130 +a(g827 +V\u000a +p21131 +tp21132 +a(g827 +V +p21133 +tp21134 +a(g706 +g1188 +tp21135 +a(g827 +V\u000a +p21136 +tp21137 +a(g827 +V +p21138 +tp21139 +a(g745 +Velse +p21140 +tp21141 +a(g827 +g972 +tp21142 +a(g745 +Vif +p21143 +tp21144 +a(g827 +g972 +tp21145 +a(g706 +g1019 +tp21146 +a(g436 +VheaderEnd +p21147 +tp21148 +a(g827 +g972 +tp21149 +a(g413 +g2458 +tp21150 +a(g413 +g1505 +tp21151 +a(g827 +g972 +tp21152 +a(g436 +VlineLength +p21153 +tp21154 +a(g827 +V\u000a +p21155 +tp21156 +a(g827 +V +p21157 +tp21158 +a(g413 +g8191 +tp21159 +a(g413 +g8191 +tp21160 +a(g827 +g972 +tp21161 +a(g413 +g1099 +tp21162 +a(g436 +VisLegalNameChar +p21163 +tp21164 +a(g706 +g1019 +tp21165 +a(g436 +VstartCh +p21166 +tp21167 +a(g706 +g1054 +tp21168 +a(g827 +V\u000a +p21169 +tp21170 +a(g827 +V +p21171 +tp21172 +a(g413 +g8191 +tp21173 +a(g413 +g8191 +tp21174 +a(g827 +g972 +tp21175 +a(g413 +g1099 +tp21176 +a(g436 +VisLegalNameChar +p21177 +tp21178 +a(g706 +g1019 +tp21179 +a(g436 +VendCh +p21180 +tp21181 +a(g706 +g1054 +tp21182 +a(g706 +g1054 +tp21183 +a(g827 +V\u000a +p21184 +tp21185 +a(g827 +V +p21186 +tp21187 +a(g706 +g1000 +tp21188 +a(g827 +V\u000a +p21189 +tp21190 +a(g827 +V +p21191 +tp21192 +a(g745 +Vreturn +p21193 +tp21194 +a(g827 +g972 +tp21195 +a(g436 +Vheader +p21196 +tp21197 +a(g706 +g988 +tp21198 +a(g827 +V\u000a +p21199 +tp21200 +a(g827 +V +p21201 +tp21202 +a(g706 +g1188 +tp21203 +a(g827 +V\u000a +p21204 +tp21205 +a(g827 +V +p21206 +tp21207 +a(g745 +Velse +p21208 +tp21209 +a(g827 +V\u000a +p21210 +tp21211 +a(g827 +V +p21212 +tp21213 +a(g706 +g1000 +tp21214 +a(g827 +V\u000a +p21215 +tp21216 +a(g827 +V +p21217 +tp21218 +a(g745 +Vreturn +p21219 +tp21220 +a(g827 +g972 +tp21221 +a(g682 +VNULL +p21222 +tp21223 +a(g706 +g988 +tp21224 +a(g827 +V\u000a +p21225 +tp21226 +a(g827 +V +p21227 +tp21228 +a(g706 +g1188 +tp21229 +a(g827 +V\u000a +p21230 +tp21231 +a(g827 +V +p21232 +tp21233 +a(g706 +g1188 +tp21234 +a(g827 +V\u000a +p21235 +tp21236 +a(g827 +V +p21237 +tp21238 +a(g706 +g1188 +tp21239 +a(g827 +V\u000a +p21240 +tp21241 +a(g827 +V\u000a +p21242 +tp21243 +a(g827 +V +p21244 +tp21245 +a(g745 +Vreturn +p21246 +tp21247 +a(g827 +g972 +tp21248 +a(g682 +VNULL +p21249 +tp21250 +a(g706 +g988 +tp21251 +a(g827 +V\u000a +p21252 +tp21253 +a(g827 +V +p21254 +tp21255 +a(g706 +g1188 +tp21256 +a(g827 +V\u000a +p21257 +tp21258 +a(g827 +V\u000a +p21259 +tp21260 +a(g827 +V\u000a +p21261 +tp21262 +a(g827 +V +p21263 +tp21264 +a(g8 +V/**\u000a * check if a specific character can be used in a legal variable/method/class name\u000a *\u000a * @return legality of the char.\u000a * @param ch the character to be checked.\u000a */ +p21265 +tp21266 +a(g827 +V\u000a +p21267 +tp21268 +a(g827 +V +p21269 +tp21270 +a(g749 +Vbool +p21271 +tp21272 +a(g827 +g972 +tp21273 +a(g436 +VASBeautifier +p21274 +tp21275 +a(g413 +g1014 +tp21276 +a(g413 +g1014 +tp21277 +a(g436 +VisWhiteSpace +p21278 +tp21279 +a(g706 +g1019 +tp21280 +a(g749 +Vchar +p21281 +tp21282 +a(g827 +g972 +tp21283 +a(g436 +Vch +p21284 +tp21285 +a(g706 +g1054 +tp21286 +a(g827 +g972 +tp21287 +a(g745 +Vconst +p21288 +tp21289 +a(g827 +V\u000a +p21290 +tp21291 +a(g827 +V +p21292 +tp21293 +a(g706 +g1000 +tp21294 +a(g827 +V\u000a +p21295 +tp21296 +a(g827 +V +p21297 +tp21298 +a(g745 +Vreturn +p21299 +tp21300 +a(g827 +g972 +tp21301 +a(g706 +g1019 +tp21302 +a(g436 +Vch +p21303 +tp21304 +a(g827 +g972 +tp21305 +a(g413 +g1505 +tp21306 +a(g413 +g1505 +tp21307 +a(g827 +g972 +tp21308 +a(g93 +g6333 +tp21309 +a(g93 +g972 +tp21310 +a(g93 +g6333 +tp21311 +a(g827 +g972 +tp21312 +a(g413 +g8191 +tp21313 +a(g413 +g8191 +tp21314 +a(g827 +g972 +tp21315 +a(g436 +Vch +p21316 +tp21317 +a(g827 +g972 +tp21318 +a(g413 +g1505 +tp21319 +a(g413 +g1505 +tp21320 +a(g827 +g972 +tp21321 +a(g93 +g6333 +tp21322 +a(g93 +V\u005ct +p21323 +tp21324 +a(g93 +g6333 +tp21325 +a(g706 +g1054 +tp21326 +a(g706 +g988 +tp21327 +a(g827 +V\u000a +p21328 +tp21329 +a(g827 +V +p21330 +tp21331 +a(g706 +g1188 +tp21332 +a(g827 +V\u000a +p21333 +tp21334 +a(g827 +V\u000a +p21335 +tp21336 +a(g827 +V +p21337 +tp21338 +a(g8 +V/**\u000a * find the index number of a string element in a container of strings\u000a *\u000a * @return the index number of element in the ocntainer. -1 if element not found.\u000a * @param container a vector of strings.\u000a * @param element the element to find .\u000a */ +p21339 +tp21340 +a(g827 +V\u000a +p21341 +tp21342 +a(g827 +V +p21343 +tp21344 +a(g749 +Vint +p21345 +tp21346 +a(g827 +g972 +tp21347 +a(g436 +VASBeautifier +p21348 +tp21349 +a(g413 +g1014 +tp21350 +a(g413 +g1014 +tp21351 +a(g436 +VindexOf +p21352 +tp21353 +a(g706 +g1019 +tp21354 +a(g436 +Vvector +p21355 +tp21356 +a(g413 +g1075 +tp21357 +a(g745 +Vconst +p21358 +tp21359 +a(g827 +g972 +tp21360 +a(g436 +Vstring +p21361 +tp21362 +a(g413 +g2456 +tp21363 +a(g413 +g2458 +tp21364 +a(g827 +g972 +tp21365 +a(g413 +g1026 +tp21366 +a(g436 +Vcontainer +p21367 +tp21368 +a(g706 +g1030 +tp21369 +a(g827 +g972 +tp21370 +a(g745 +Vconst +p21371 +tp21372 +a(g827 +g972 +tp21373 +a(g436 +Vstring +p21374 +tp21375 +a(g827 +g972 +tp21376 +a(g413 +g2456 +tp21377 +a(g436 +Velement +p21378 +tp21379 +a(g706 +g1054 +tp21380 +a(g827 +V\u000a +p21381 +tp21382 +a(g827 +V +p21383 +tp21384 +a(g706 +g1000 +tp21385 +a(g827 +V\u000a +p21386 +tp21387 +a(g827 +V +p21388 +tp21389 +a(g436 +Vvector +p21390 +tp21391 +a(g413 +g1075 +tp21392 +a(g745 +Vconst +p21393 +tp21394 +a(g827 +g972 +tp21395 +a(g436 +Vstring +p21396 +tp21397 +a(g413 +g2456 +tp21398 +a(g413 +g2458 +tp21399 +a(g413 +g1014 +tp21400 +a(g413 +g1014 +tp21401 +a(g436 +Vconst_iterator +p21402 +tp21403 +a(g827 +g972 +tp21404 +a(g436 +Vwhere +p21405 +tp21406 +a(g706 +g988 +tp21407 +a(g827 +V\u000a +p21408 +tp21409 +a(g827 +V\u000a +p21410 +tp21411 +a(g827 +V +p21412 +tp21413 +a(g436 +Vwhere +p21414 +tp21415 +a(g413 +g1505 +tp21416 +a(g827 +g972 +tp21417 +a(g436 +Vfind +p21418 +tp21419 +a(g706 +g1019 +tp21420 +a(g436 +Vcontainer +p21421 +tp21422 +a(g706 +g1103 +tp21423 +a(g436 +Vbegin +p21424 +tp21425 +a(g706 +g1019 +tp21426 +a(g706 +g1054 +tp21427 +a(g706 +g1030 +tp21428 +a(g827 +g972 +tp21429 +a(g436 +Vcontainer +p21430 +tp21431 +a(g706 +g1103 +tp21432 +a(g436 +Vend +p21433 +tp21434 +a(g706 +g1019 +tp21435 +a(g706 +g1054 +tp21436 +a(g706 +g1030 +tp21437 +a(g827 +g972 +tp21438 +a(g436 +Velement +p21439 +tp21440 +a(g706 +g1054 +tp21441 +a(g706 +g988 +tp21442 +a(g827 +V\u000a +p21443 +tp21444 +a(g827 +V +p21445 +tp21446 +a(g745 +Vif +p21447 +tp21448 +a(g827 +g972 +tp21449 +a(g706 +g1019 +tp21450 +a(g436 +Vwhere +p21451 +tp21452 +a(g827 +g972 +tp21453 +a(g413 +g1505 +tp21454 +a(g413 +g1505 +tp21455 +a(g827 +g972 +tp21456 +a(g436 +Vcontainer +p21457 +tp21458 +a(g706 +g1103 +tp21459 +a(g436 +Vend +p21460 +tp21461 +a(g706 +g1019 +tp21462 +a(g706 +g1054 +tp21463 +a(g706 +g1054 +tp21464 +a(g827 +V\u000a +p21465 +tp21466 +a(g827 +V +p21467 +tp21468 +a(g745 +Vreturn +p21469 +tp21470 +a(g827 +g972 +tp21471 +a(g413 +g4390 +tp21472 +a(g37 +g1507 +tp21473 +a(g706 +g988 +tp21474 +a(g827 +V\u000a +p21475 +tp21476 +a(g827 +V +p21477 +tp21478 +a(g745 +Velse +p21479 +tp21480 +a(g827 +V\u000a +p21481 +tp21482 +a(g827 +V +p21483 +tp21484 +a(g745 +Vreturn +p21485 +tp21486 +a(g827 +g972 +tp21487 +a(g436 +Vwhere +p21488 +tp21489 +a(g827 +g972 +tp21490 +a(g413 +g4390 +tp21491 +a(g827 +g972 +tp21492 +a(g436 +Vcontainer +p21493 +tp21494 +a(g706 +g1103 +tp21495 +a(g436 +Vbegin +p21496 +tp21497 +a(g706 +g1019 +tp21498 +a(g706 +g1054 +tp21499 +a(g706 +g988 +tp21500 +a(g827 +V\u000a +p21501 +tp21502 +a(g827 +V +p21503 +tp21504 +a(g706 +g1188 +tp21505 +a(g827 +V\u000a +p21506 +tp21507 +a(g827 +V\u000a +p21508 +tp21509 +a(g827 +V +p21510 +tp21511 +a(g8 +V/**\u000a * trim removes the white space surrounding a line.\u000a *\u000a * @return the trimmed line.\u000a * @param str the line to trim.\u000a */ +p21512 +tp21513 +a(g827 +V\u000a +p21514 +tp21515 +a(g827 +V +p21516 +tp21517 +a(g436 +Vstring +p21518 +tp21519 +a(g827 +g972 +tp21520 +a(g436 +VASBeautifier +p21521 +tp21522 +a(g413 +g1014 +tp21523 +a(g413 +g1014 +tp21524 +a(g436 +Vtrim +p21525 +tp21526 +a(g706 +g1019 +tp21527 +a(g745 +Vconst +p21528 +tp21529 +a(g827 +g972 +tp21530 +a(g436 +Vstring +p21531 +tp21532 +a(g827 +g972 +tp21533 +a(g413 +g1026 +tp21534 +a(g436 +Vstr +p21535 +tp21536 +a(g706 +g1054 +tp21537 +a(g827 +V\u000a +p21538 +tp21539 +a(g827 +V +p21540 +tp21541 +a(g706 +g1000 +tp21542 +a(g827 +V\u000a +p21543 +tp21544 +a(g827 +V\u000a +p21545 +tp21546 +a(g827 +V +p21547 +tp21548 +a(g749 +Vint +p21549 +tp21550 +a(g827 +g972 +tp21551 +a(g436 +Vstart +p21552 +tp21553 +a(g827 +g972 +tp21554 +a(g413 +g1505 +tp21555 +a(g827 +g972 +tp21556 +a(g37 +g6082 +tp21557 +a(g706 +g988 +tp21558 +a(g827 +V\u000a +p21559 +tp21560 +a(g827 +V +p21561 +tp21562 +a(g749 +Vint +p21563 +tp21564 +a(g827 +g972 +tp21565 +a(g436 +Vend +p21566 +tp21567 +a(g827 +g972 +tp21568 +a(g413 +g1505 +tp21569 +a(g827 +g972 +tp21570 +a(g436 +Vstr +p21571 +tp21572 +a(g706 +g1103 +tp21573 +a(g436 +Vlength +p21574 +tp21575 +a(g706 +g1019 +tp21576 +a(g706 +g1054 +tp21577 +a(g827 +g972 +tp21578 +a(g413 +g4390 +tp21579 +a(g827 +g972 +tp21580 +a(g37 +g1507 +tp21581 +a(g706 +g988 +tp21582 +a(g827 +V\u000a +p21583 +tp21584 +a(g827 +V\u000a +p21585 +tp21586 +a(g827 +V +p21587 +tp21588 +a(g745 +Vwhile +p21589 +tp21590 +a(g827 +g972 +tp21591 +a(g706 +g1019 +tp21592 +a(g436 +Vstart +p21593 +tp21594 +a(g827 +g972 +tp21595 +a(g413 +g1075 +tp21596 +a(g827 +g972 +tp21597 +a(g436 +Vend +p21598 +tp21599 +a(g827 +g972 +tp21600 +a(g413 +g1026 +tp21601 +a(g413 +g1026 +tp21602 +a(g827 +g972 +tp21603 +a(g436 +VisWhiteSpace +p21604 +tp21605 +a(g706 +g1019 +tp21606 +a(g436 +Vstr +p21607 +tp21608 +a(g706 +g1083 +tp21609 +a(g436 +Vstart +p21610 +tp21611 +a(g706 +g7909 +tp21612 +a(g706 +g1054 +tp21613 +a(g706 +g1054 +tp21614 +a(g827 +V\u000a +p21615 +tp21616 +a(g827 +V +p21617 +tp21618 +a(g436 +Vstart +p21619 +tp21620 +a(g413 +g1517 +tp21621 +a(g413 +g1517 +tp21622 +a(g706 +g988 +tp21623 +a(g827 +V\u000a +p21624 +tp21625 +a(g827 +V\u000a +p21626 +tp21627 +a(g827 +V +p21628 +tp21629 +a(g745 +Vwhile +p21630 +tp21631 +a(g827 +g972 +tp21632 +a(g706 +g1019 +tp21633 +a(g436 +Vstart +p21634 +tp21635 +a(g827 +g972 +tp21636 +a(g413 +g1075 +tp21637 +a(g413 +g1505 +tp21638 +a(g827 +g972 +tp21639 +a(g436 +Vend +p21640 +tp21641 +a(g827 +g972 +tp21642 +a(g413 +g1026 +tp21643 +a(g413 +g1026 +tp21644 +a(g827 +g972 +tp21645 +a(g436 +VisWhiteSpace +p21646 +tp21647 +a(g706 +g1019 +tp21648 +a(g436 +Vstr +p21649 +tp21650 +a(g706 +g1083 +tp21651 +a(g436 +Vend +p21652 +tp21653 +a(g706 +g7909 +tp21654 +a(g706 +g1054 +tp21655 +a(g706 +g1054 +tp21656 +a(g827 +V\u000a +p21657 +tp21658 +a(g827 +V +p21659 +tp21660 +a(g436 +Vend +p21661 +tp21662 +a(g413 +g4390 +tp21663 +a(g413 +g4390 +tp21664 +a(g706 +g988 +tp21665 +a(g827 +V\u000a +p21666 +tp21667 +a(g827 +V\u000a +p21668 +tp21669 +a(g827 +V +p21670 +tp21671 +a(g436 +Vstring +p21672 +tp21673 +a(g827 +g972 +tp21674 +a(g574 +VreturnStr +p21675 +tp21676 +a(g706 +g1019 +tp21677 +a(g436 +Vstr +p21678 +tp21679 +a(g706 +g1030 +tp21680 +a(g827 +g972 +tp21681 +a(g436 +Vstart +p21682 +tp21683 +a(g706 +g1030 +tp21684 +a(g827 +g972 +tp21685 +a(g436 +Vend +p21686 +tp21687 +a(g413 +g1517 +tp21688 +a(g37 +g1507 +tp21689 +a(g413 +g4390 +tp21690 +a(g436 +Vstart +p21691 +tp21692 +a(g706 +g1054 +tp21693 +a(g706 +g988 +tp21694 +a(g827 +V\u000a +p21695 +tp21696 +a(g827 +V +p21697 +tp21698 +a(g745 +Vreturn +p21699 +tp21700 +a(g827 +g972 +tp21701 +a(g436 +VreturnStr +p21702 +tp21703 +a(g706 +g988 +tp21704 +a(g827 +V\u000a +p21705 +tp21706 +a(g827 +V +p21707 +tp21708 +a(g706 +g1188 +tp21709 +a(g827 +V\u000a +p21710 +tp21711 +a(g827 +V\u000a +p21712 +tp21713 +a(g899 +g968 +tp21714 +a(g899 +Vifdef USES_NAMESPACE +p21715 +tp21716 +a(g899 +V\u000a +p21717 +tp21718 +a(g706 +g1188 +tp21719 +a(g827 +V\u000a +p21720 +tp21721 +a(g899 +g968 +tp21722 +a(g899 +Vendif +p21723 +tp21724 +a(g899 +V\u000a +p21725 +tp21726 +a(g8 +V/*\u000a * Copyright (c) 1998,1999,2000,2001,2002 Tal Davidson. All rights reserved.\u000a *\u000a * compiler_defines.h (1 January 1999)\u000a * by Tal Davidson (davidsont@bigfoot.com)\u000a * This file is a part of "Artistic Style" - an indentater and reformatter\u000a * of C, C++, C# and Java source files.\u000a *\u000a * The "Artistic Style" project, including all files needed to compile it,\u000a * is free software; you can redistribute it and/or use it and/or modify it\u000a * under the terms of the GNU General Public License as published \u000a * by the Free Software Foundation; either version 2 of the License, \u000a * or (at your option) any later version.\u000a *\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.\u000a *\u000a * You should have received a copy of the GNU General Public\u000a * License along with this program.\u000a */ +p21727 +tp21728 +a(g827 +V\u000a +p21729 +tp21730 +a(g827 +V\u000a +p21731 +tp21732 +a(g827 +V\u000a +p21733 +tp21734 +a(g899 +g968 +tp21735 +a(g899 +Vifndef ASBEAUTIFIER_H +p21736 +tp21737 +a(g899 +V\u000a +p21738 +tp21739 +a(g899 +g968 +tp21740 +a(g899 +Vdefine ASBEAUTIFIER_H +p21741 +tp21742 +a(g899 +V\u000a +p21743 +tp21744 +a(g827 +V\u000a +p21745 +tp21746 +a(g899 +g968 +tp21747 +a(g899 +Vinclude +p21748 +tp21749 +a(g827 +g972 +tp21750 +a(g938 +V"ASResource.h" +p21751 +tp21752 +a(g899 +V\u000a +p21753 +tp21754 +a(g899 +g968 +tp21755 +a(g899 +Vinclude +p21756 +tp21757 +a(g827 +g972 +tp21758 +a(g938 +V"compiler_defines.h" +p21759 +tp21760 +a(g899 +V\u000a +p21761 +tp21762 +a(g899 +g968 +tp21763 +a(g899 +Vinclude +p21764 +tp21765 +a(g827 +g972 +tp21766 +a(g938 +V"ASSourceIterator.h" +p21767 +tp21768 +a(g899 +V\u000a +p21769 +tp21770 +a(g827 +V\u000a +p21771 +tp21772 +a(g899 +g968 +tp21773 +a(g899 +Vinclude +p21774 +tp21775 +a(g827 +g972 +tp21776 +a(g938 +V +p21777 +tp21778 +a(g899 +V\u000a +p21779 +tp21780 +a(g899 +g968 +tp21781 +a(g899 +Vinclude +p21782 +tp21783 +a(g827 +g972 +tp21784 +a(g938 +V +p21785 +tp21786 +a(g899 +V\u000a +p21787 +tp21788 +a(g827 +V\u000a +p21789 +tp21790 +a(g827 +V\u000a +p21791 +tp21792 +a(g745 +Vusing +p21793 +tp21794 +a(g827 +g972 +tp21795 +a(g745 +Vnamespace +p21796 +tp21797 +a(g827 +g972 +tp21798 +a(g436 +Vstd +p21799 +tp21800 +a(g706 +g988 +tp21801 +a(g827 +V\u000a +p21802 +tp21803 +a(g827 +V\u000a +p21804 +tp21805 +a(g745 +Vnamespace +p21806 +tp21807 +a(g827 +g972 +tp21808 +a(g436 +Vastyle +p21809 +tp21810 +a(g827 +V\u000a +p21811 +tp21812 +a(g827 +V +p21813 +tp21814 +a(g706 +g1000 +tp21815 +a(g827 +V\u000a +p21816 +tp21817 +a(g827 +V\u000a +p21818 +tp21819 +a(g827 +V +p21820 +tp21821 +a(g745 +Venum +p21822 +tp21823 +a(g827 +g972 +tp21824 +a(g436 +VBracketMode +p21825 +tp21826 +a(g827 +V +p21827 +tp21828 +a(g706 +g1000 +tp21829 +a(g827 +g972 +tp21830 +a(g436 +VNONE_MODE +p21831 +tp21832 +a(g706 +g1030 +tp21833 +a(g827 +g972 +tp21834 +a(g436 +VATTACH_MODE +p21835 +tp21836 +a(g706 +g1030 +tp21837 +a(g827 +g972 +tp21838 +a(g436 +VBREAK_MODE +p21839 +tp21840 +a(g706 +g1030 +tp21841 +a(g827 +g972 +tp21842 +a(g436 +VBDAC_MODE +p21843 +tp21844 +a(g827 +g972 +tp21845 +a(g706 +g1188 +tp21846 +a(g706 +g988 +tp21847 +a(g827 +V\u000a +p21848 +tp21849 +a(g827 +V +p21850 +tp21851 +a(g745 +Venum +p21852 +tp21853 +a(g827 +g972 +tp21854 +a(g436 +VBracketType +p21855 +tp21856 +a(g827 +V +p21857 +tp21858 +a(g706 +g1000 +tp21859 +a(g827 +g972 +tp21860 +a(g436 +VNULL_TYPE +p21861 +tp21862 +a(g827 +g972 +tp21863 +a(g413 +g1505 +tp21864 +a(g827 +g972 +tp21865 +a(g37 +g6082 +tp21866 +a(g706 +g1030 +tp21867 +a(g827 +V\u000a +p21868 +tp21869 +a(g827 +V +p21870 +tp21871 +a(g436 +VDEFINITION_TYPE +p21872 +tp21873 +a(g827 +g972 +tp21874 +a(g413 +g1505 +tp21875 +a(g827 +g972 +tp21876 +a(g37 +g1507 +tp21877 +a(g706 +g1030 +tp21878 +a(g827 +V\u000a +p21879 +tp21880 +a(g827 +V +p21881 +tp21882 +a(g436 +VCOMMAND_TYPE +p21883 +tp21884 +a(g827 +g972 +tp21885 +a(g413 +g1505 +tp21886 +a(g827 +g972 +tp21887 +a(g37 +g6659 +tp21888 +a(g706 +g1030 +tp21889 +a(g827 +V\u000a +p21890 +tp21891 +a(g827 +V +p21892 +tp21893 +a(g436 +VARRAY_TYPE +p21894 +tp21895 +a(g827 +V +p21896 +tp21897 +a(g413 +g1505 +tp21898 +a(g827 +g972 +tp21899 +a(g37 +g4064 +tp21900 +a(g706 +g1030 +tp21901 +a(g827 +V\u000a +p21902 +tp21903 +a(g827 +V +p21904 +tp21905 +a(g436 +VSINGLE_LINE_TYPE +p21906 +tp21907 +a(g827 +g972 +tp21908 +a(g413 +g1505 +tp21909 +a(g827 +g972 +tp21910 +a(g37 +g15256 +tp21911 +a(g706 +g1188 +tp21912 +a(g706 +g988 +tp21913 +a(g827 +V\u000a +p21914 +tp21915 +a(g827 +V\u000a +p21916 +tp21917 +a(g827 +V\u000a +p21918 +tp21919 +a(g827 +V +p21920 +tp21921 +a(g745 +Vclass +p21922 +tp21923 +a(g827 +g972 +tp21924 +a(g629 +VASBeautifier +p21925 +tp21926 +a(g827 +g972 +tp21927 +a(g413 +g1014 +tp21928 +a(g827 +g972 +tp21929 +a(g745 +Vprotected +p21930 +tp21931 +a(g827 +g972 +tp21932 +a(g436 +VASResource +p21933 +tp21934 +a(g827 +V\u000a +p21935 +tp21936 +a(g827 +V +p21937 +tp21938 +a(g706 +g1000 +tp21939 +a(g827 +V\u000a +p21940 +tp21941 +a(g827 +V +p21942 +tp21943 +a(g745 +Vpublic +p21944 +tp21945 +a(g413 +g1014 +tp21946 +a(g827 +V\u000a +p21947 +tp21948 +a(g827 +V +p21949 +tp21950 +a(g436 +VASBeautifier +p21951 +tp21952 +a(g706 +g1019 +tp21953 +a(g706 +g1054 +tp21954 +a(g706 +g988 +tp21955 +a(g827 +V\u000a +p21956 +tp21957 +a(g827 +V +p21958 +tp21959 +a(g745 +Vvirtual +p21960 +tp21961 +a(g827 +g972 +tp21962 +a(g413 +g1597 +tp21963 +a(g436 +VASBeautifier +p21964 +tp21965 +a(g706 +g1019 +tp21966 +a(g706 +g1054 +tp21967 +a(g706 +g988 +tp21968 +a(g827 +V\u000a +p21969 +tp21970 +a(g827 +V +p21971 +tp21972 +a(g745 +Vvirtual +p21973 +tp21974 +a(g827 +g972 +tp21975 +a(g749 +Vvoid +p21976 +tp21977 +a(g827 +g972 +tp21978 +a(g574 +Vinit +p21979 +tp21980 +a(g706 +g1019 +tp21981 +a(g436 +VASSourceIterator +p21982 +tp21983 +a(g413 +g2456 +tp21984 +a(g827 +g972 +tp21985 +a(g436 +Viter +p21986 +tp21987 +a(g706 +g1054 +tp21988 +a(g706 +g988 +tp21989 +a(g827 +g972 +tp21990 +a(g906 +V// pointer to dynamically created iterator.\u000a +p21991 +tp21992 +a(g827 +V +p21993 +tp21994 +a(g745 +Vvirtual +p21995 +tp21996 +a(g827 +g972 +tp21997 +a(g749 +Vvoid +p21998 +tp21999 +a(g827 +g972 +tp22000 +a(g574 +Vinit +p22001 +tp22002 +a(g706 +g1019 +tp22003 +a(g706 +g1054 +tp22004 +a(g706 +g988 +tp22005 +a(g827 +V\u000a +p22006 +tp22007 +a(g827 +V +p22008 +tp22009 +a(g745 +Vvirtual +p22010 +tp22011 +a(g827 +g972 +tp22012 +a(g749 +Vbool +p22013 +tp22014 +a(g827 +g972 +tp22015 +a(g574 +VhasMoreLines +p22016 +tp22017 +a(g706 +g1019 +tp22018 +a(g706 +g1054 +tp22019 +a(g827 +g972 +tp22020 +a(g745 +Vconst +p22021 +tp22022 +a(g706 +g988 +tp22023 +a(g827 +V\u000a +p22024 +tp22025 +a(g827 +V +p22026 +tp22027 +a(g745 +Vvirtual +p22028 +tp22029 +a(g827 +g972 +tp22030 +a(g436 +Vstring +p22031 +tp22032 +a(g827 +g972 +tp22033 +a(g574 +VnextLine +p22034 +tp22035 +a(g706 +g1019 +tp22036 +a(g706 +g1054 +tp22037 +a(g706 +g988 +tp22038 +a(g827 +V\u000a +p22039 +tp22040 +a(g827 +V +p22041 +tp22042 +a(g745 +Vvirtual +p22043 +tp22044 +a(g827 +g972 +tp22045 +a(g436 +Vstring +p22046 +tp22047 +a(g827 +g972 +tp22048 +a(g574 +Vbeautify +p22049 +tp22050 +a(g706 +g1019 +tp22051 +a(g745 +Vconst +p22052 +tp22053 +a(g827 +g972 +tp22054 +a(g436 +Vstring +p22055 +tp22056 +a(g827 +g972 +tp22057 +a(g413 +g1026 +tp22058 +a(g436 +Vline +p22059 +tp22060 +a(g706 +g1054 +tp22061 +a(g706 +g988 +tp22062 +a(g827 +V\u000a +p22063 +tp22064 +a(g827 +V +p22065 +tp22066 +a(g749 +Vvoid +p22067 +tp22068 +a(g827 +g972 +tp22069 +a(g574 +VsetTabIndentation +p22070 +tp22071 +a(g706 +g1019 +tp22072 +a(g749 +Vint +p22073 +tp22074 +a(g827 +g972 +tp22075 +a(g436 +Vlength +p22076 +tp22077 +a(g827 +g972 +tp22078 +a(g413 +g1505 +tp22079 +a(g827 +g972 +tp22080 +a(g37 +g4064 +tp22081 +a(g706 +g1030 +tp22082 +a(g827 +g972 +tp22083 +a(g749 +Vbool +p22084 +tp22085 +a(g827 +g972 +tp22086 +a(g436 +VforceTabs +p22087 +tp22088 +a(g827 +g972 +tp22089 +a(g413 +g1505 +tp22090 +a(g827 +g972 +tp22091 +a(g682 +Vfalse +p22092 +tp22093 +a(g706 +g1054 +tp22094 +a(g706 +g988 +tp22095 +a(g827 +V\u000a +p22096 +tp22097 +a(g827 +V +p22098 +tp22099 +a(g749 +Vvoid +p22100 +tp22101 +a(g827 +g972 +tp22102 +a(g574 +VsetSpaceIndentation +p22103 +tp22104 +a(g706 +g1019 +tp22105 +a(g749 +Vint +p22106 +tp22107 +a(g827 +g972 +tp22108 +a(g436 +Vlength +p22109 +tp22110 +a(g827 +g972 +tp22111 +a(g413 +g1505 +tp22112 +a(g827 +g972 +tp22113 +a(g37 +g4064 +tp22114 +a(g706 +g1054 +tp22115 +a(g706 +g988 +tp22116 +a(g827 +V\u000a +p22117 +tp22118 +a(g827 +V +p22119 +tp22120 +a(g749 +Vvoid +p22121 +tp22122 +a(g827 +g972 +tp22123 +a(g574 +VsetMaxInStatementIndentLength +p22124 +tp22125 +a(g706 +g1019 +tp22126 +a(g749 +Vint +p22127 +tp22128 +a(g827 +g972 +tp22129 +a(g436 +Vmax +p22130 +tp22131 +a(g706 +g1054 +tp22132 +a(g706 +g988 +tp22133 +a(g827 +V\u000a +p22134 +tp22135 +a(g827 +V +p22136 +tp22137 +a(g749 +Vvoid +p22138 +tp22139 +a(g827 +g972 +tp22140 +a(g574 +VsetMinConditionalIndentLength +p22141 +tp22142 +a(g706 +g1019 +tp22143 +a(g749 +Vint +p22144 +tp22145 +a(g827 +g972 +tp22146 +a(g436 +Vmin +p22147 +tp22148 +a(g706 +g1054 +tp22149 +a(g706 +g988 +tp22150 +a(g827 +V\u000a +p22151 +tp22152 +a(g827 +V +p22153 +tp22154 +a(g749 +Vvoid +p22155 +tp22156 +a(g827 +g972 +tp22157 +a(g574 +VsetClassIndent +p22158 +tp22159 +a(g706 +g1019 +tp22160 +a(g749 +Vbool +p22161 +tp22162 +a(g827 +g972 +tp22163 +a(g436 +Vstate +p22164 +tp22165 +a(g706 +g1054 +tp22166 +a(g706 +g988 +tp22167 +a(g827 +V\u000a +p22168 +tp22169 +a(g827 +V +p22170 +tp22171 +a(g749 +Vvoid +p22172 +tp22173 +a(g827 +g972 +tp22174 +a(g574 +VsetSwitchIndent +p22175 +tp22176 +a(g706 +g1019 +tp22177 +a(g749 +Vbool +p22178 +tp22179 +a(g827 +g972 +tp22180 +a(g436 +Vstate +p22181 +tp22182 +a(g706 +g1054 +tp22183 +a(g706 +g988 +tp22184 +a(g827 +V\u000a +p22185 +tp22186 +a(g827 +V +p22187 +tp22188 +a(g749 +Vvoid +p22189 +tp22190 +a(g827 +g972 +tp22191 +a(g574 +VsetCaseIndent +p22192 +tp22193 +a(g706 +g1019 +tp22194 +a(g749 +Vbool +p22195 +tp22196 +a(g827 +g972 +tp22197 +a(g436 +Vstate +p22198 +tp22199 +a(g706 +g1054 +tp22200 +a(g706 +g988 +tp22201 +a(g827 +V\u000a +p22202 +tp22203 +a(g827 +V +p22204 +tp22205 +a(g749 +Vvoid +p22206 +tp22207 +a(g827 +g972 +tp22208 +a(g574 +VsetBracketIndent +p22209 +tp22210 +a(g706 +g1019 +tp22211 +a(g749 +Vbool +p22212 +tp22213 +a(g827 +g972 +tp22214 +a(g436 +Vstate +p22215 +tp22216 +a(g706 +g1054 +tp22217 +a(g706 +g988 +tp22218 +a(g827 +V\u000a +p22219 +tp22220 +a(g827 +V +p22221 +tp22222 +a(g749 +Vvoid +p22223 +tp22224 +a(g827 +g972 +tp22225 +a(g574 +VsetBlockIndent +p22226 +tp22227 +a(g706 +g1019 +tp22228 +a(g749 +Vbool +p22229 +tp22230 +a(g827 +g972 +tp22231 +a(g436 +Vstate +p22232 +tp22233 +a(g706 +g1054 +tp22234 +a(g706 +g988 +tp22235 +a(g827 +V\u000a +p22236 +tp22237 +a(g827 +V +p22238 +tp22239 +a(g749 +Vvoid +p22240 +tp22241 +a(g827 +g972 +tp22242 +a(g574 +VsetNamespaceIndent +p22243 +tp22244 +a(g706 +g1019 +tp22245 +a(g749 +Vbool +p22246 +tp22247 +a(g827 +g972 +tp22248 +a(g436 +Vstate +p22249 +tp22250 +a(g706 +g1054 +tp22251 +a(g706 +g988 +tp22252 +a(g827 +V\u000a +p22253 +tp22254 +a(g827 +V +p22255 +tp22256 +a(g749 +Vvoid +p22257 +tp22258 +a(g827 +g972 +tp22259 +a(g574 +VsetLabelIndent +p22260 +tp22261 +a(g706 +g1019 +tp22262 +a(g749 +Vbool +p22263 +tp22264 +a(g827 +g972 +tp22265 +a(g436 +Vstate +p22266 +tp22267 +a(g706 +g1054 +tp22268 +a(g706 +g988 +tp22269 +a(g827 +V\u000a +p22270 +tp22271 +a(g827 +V +p22272 +tp22273 +a(g749 +Vvoid +p22274 +tp22275 +a(g827 +g972 +tp22276 +a(g574 +VsetCStyle +p22277 +tp22278 +a(g706 +g1019 +tp22279 +a(g706 +g1054 +tp22280 +a(g706 +g988 +tp22281 +a(g827 +V\u000a +p22282 +tp22283 +a(g827 +V +p22284 +tp22285 +a(g749 +Vvoid +p22286 +tp22287 +a(g827 +g972 +tp22288 +a(g574 +VsetJavaStyle +p22289 +tp22290 +a(g706 +g1019 +tp22291 +a(g706 +g1054 +tp22292 +a(g706 +g988 +tp22293 +a(g827 +V\u000a +p22294 +tp22295 +a(g827 +V +p22296 +tp22297 +a(g749 +Vvoid +p22298 +tp22299 +a(g827 +g972 +tp22300 +a(g574 +VsetEmptyLineFill +p22301 +tp22302 +a(g706 +g1019 +tp22303 +a(g749 +Vbool +p22304 +tp22305 +a(g827 +g972 +tp22306 +a(g436 +Vstate +p22307 +tp22308 +a(g706 +g1054 +tp22309 +a(g706 +g988 +tp22310 +a(g827 +V\u000a +p22311 +tp22312 +a(g827 +V +p22313 +tp22314 +a(g749 +Vvoid +p22315 +tp22316 +a(g827 +g972 +tp22317 +a(g574 +VsetPreprocessorIndent +p22318 +tp22319 +a(g706 +g1019 +tp22320 +a(g749 +Vbool +p22321 +tp22322 +a(g827 +g972 +tp22323 +a(g436 +Vstate +p22324 +tp22325 +a(g706 +g1054 +tp22326 +a(g706 +g988 +tp22327 +a(g827 +V\u000a +p22328 +tp22329 +a(g827 +V\u000a +p22330 +tp22331 +a(g827 +V\u000a +p22332 +tp22333 +a(g827 +V +p22334 +tp22335 +a(g745 +Vprotected +p22336 +tp22337 +a(g413 +g1014 +tp22338 +a(g827 +V\u000a +p22339 +tp22340 +a(g827 +V +p22341 +tp22342 +a(g749 +Vint +p22343 +tp22344 +a(g827 +g972 +tp22345 +a(g436 +VgetNextProgramCharDistance +p22346 +tp22347 +a(g706 +g1019 +tp22348 +a(g745 +Vconst +p22349 +tp22350 +a(g827 +g972 +tp22351 +a(g436 +Vstring +p22352 +tp22353 +a(g827 +g972 +tp22354 +a(g413 +g1026 +tp22355 +a(g436 +Vline +p22356 +tp22357 +a(g706 +g1030 +tp22358 +a(g827 +g972 +tp22359 +a(g749 +Vint +p22360 +tp22361 +a(g827 +g972 +tp22362 +a(g436 +g1503 +tp22363 +a(g706 +g1054 +tp22364 +a(g706 +g988 +tp22365 +a(g827 +V\u000a +p22366 +tp22367 +a(g827 +V +p22368 +tp22369 +a(g749 +Vbool +p22370 +tp22371 +a(g827 +g972 +tp22372 +a(g574 +VisLegalNameChar +p22373 +tp22374 +a(g706 +g1019 +tp22375 +a(g749 +Vchar +p22376 +tp22377 +a(g827 +g972 +tp22378 +a(g436 +Vch +p22379 +tp22380 +a(g706 +g1054 +tp22381 +a(g827 +g972 +tp22382 +a(g745 +Vconst +p22383 +tp22384 +a(g706 +g988 +tp22385 +a(g827 +V\u000a +p22386 +tp22387 +a(g827 +V +p22388 +tp22389 +a(g749 +Vbool +p22390 +tp22391 +a(g827 +g972 +tp22392 +a(g574 +VisWhiteSpace +p22393 +tp22394 +a(g706 +g1019 +tp22395 +a(g749 +Vchar +p22396 +tp22397 +a(g827 +g972 +tp22398 +a(g436 +Vch +p22399 +tp22400 +a(g706 +g1054 +tp22401 +a(g827 +g972 +tp22402 +a(g745 +Vconst +p22403 +tp22404 +a(g706 +g988 +tp22405 +a(g827 +V\u000a +p22406 +tp22407 +a(g827 +V +p22408 +tp22409 +a(g745 +Vconst +p22410 +tp22411 +a(g827 +g972 +tp22412 +a(g436 +Vstring +p22413 +tp22414 +a(g827 +g972 +tp22415 +a(g413 +g2456 +tp22416 +a(g574 +VfindHeader +p22417 +tp22418 +a(g706 +g1019 +tp22419 +a(g745 +Vconst +p22420 +tp22421 +a(g827 +g972 +tp22422 +a(g436 +Vstring +p22423 +tp22424 +a(g827 +g972 +tp22425 +a(g413 +g1026 +tp22426 +a(g436 +Vline +p22427 +tp22428 +a(g706 +g1030 +tp22429 +a(g827 +g972 +tp22430 +a(g749 +Vint +p22431 +tp22432 +a(g827 +g972 +tp22433 +a(g436 +g1503 +tp22434 +a(g706 +g1030 +tp22435 +a(g827 +V\u000a +p22436 +tp22437 +a(g827 +V +p22438 +tp22439 +a(g745 +Vconst +p22440 +tp22441 +a(g827 +g972 +tp22442 +a(g436 +Vvector +p22443 +tp22444 +a(g413 +g1075 +tp22445 +a(g745 +Vconst +p22446 +tp22447 +a(g827 +g972 +tp22448 +a(g436 +Vstring +p22449 +tp22450 +a(g413 +g2456 +tp22451 +a(g413 +g2458 +tp22452 +a(g827 +g972 +tp22453 +a(g413 +g1026 +tp22454 +a(g436 +VpossibleHeaders +p22455 +tp22456 +a(g706 +g1030 +tp22457 +a(g827 +V\u000a +p22458 +tp22459 +a(g827 +V +p22460 +tp22461 +a(g749 +Vbool +p22462 +tp22463 +a(g827 +g972 +tp22464 +a(g436 +VcheckBoundry +p22465 +tp22466 +a(g827 +g972 +tp22467 +a(g413 +g1505 +tp22468 +a(g827 +g972 +tp22469 +a(g682 +Vtrue +p22470 +tp22471 +a(g706 +g1054 +tp22472 +a(g706 +g988 +tp22473 +a(g827 +V\u000a +p22474 +tp22475 +a(g827 +V +p22476 +tp22477 +a(g436 +Vstring +p22478 +tp22479 +a(g827 +g972 +tp22480 +a(g574 +Vtrim +p22481 +tp22482 +a(g706 +g1019 +tp22483 +a(g745 +Vconst +p22484 +tp22485 +a(g827 +g972 +tp22486 +a(g436 +Vstring +p22487 +tp22488 +a(g827 +g972 +tp22489 +a(g413 +g1026 +tp22490 +a(g436 +Vstr +p22491 +tp22492 +a(g706 +g1054 +tp22493 +a(g706 +g988 +tp22494 +a(g827 +V\u000a +p22495 +tp22496 +a(g827 +V +p22497 +tp22498 +a(g749 +Vint +p22499 +tp22500 +a(g827 +g972 +tp22501 +a(g574 +VindexOf +p22502 +tp22503 +a(g706 +g1019 +tp22504 +a(g436 +Vvector +p22505 +tp22506 +a(g413 +g1075 +tp22507 +a(g745 +Vconst +p22508 +tp22509 +a(g827 +g972 +tp22510 +a(g436 +Vstring +p22511 +tp22512 +a(g413 +g2456 +tp22513 +a(g413 +g2458 +tp22514 +a(g827 +g972 +tp22515 +a(g413 +g1026 +tp22516 +a(g436 +Vcontainer +p22517 +tp22518 +a(g706 +g1030 +tp22519 +a(g827 +g972 +tp22520 +a(g745 +Vconst +p22521 +tp22522 +a(g827 +g972 +tp22523 +a(g436 +Vstring +p22524 +tp22525 +a(g827 +g972 +tp22526 +a(g413 +g2456 +tp22527 +a(g436 +Velement +p22528 +tp22529 +a(g706 +g1054 +tp22530 +a(g706 +g988 +tp22531 +a(g827 +V\u000a +p22532 +tp22533 +a(g827 +V\u000a +p22534 +tp22535 +a(g827 +V +p22536 +tp22537 +a(g745 +Vprivate +p22538 +tp22539 +a(g413 +g1014 +tp22540 +a(g827 +V\u000a +p22541 +tp22542 +a(g827 +V +p22543 +tp22544 +a(g436 +VASBeautifier +p22545 +tp22546 +a(g706 +g1019 +tp22547 +a(g745 +Vconst +p22548 +tp22549 +a(g827 +g972 +tp22550 +a(g436 +VASBeautifier +p22551 +tp22552 +a(g827 +g972 +tp22553 +a(g413 +g1026 +tp22554 +a(g436 +Vcopy +p22555 +tp22556 +a(g706 +g1054 +tp22557 +a(g706 +g988 +tp22558 +a(g827 +V\u000a +p22559 +tp22560 +a(g827 +V +p22561 +tp22562 +a(g749 +Vvoid +p22563 +tp22564 +a(g827 +g972 +tp22565 +a(g745 +Voperator +p22566 +tp22567 +a(g413 +g1505 +tp22568 +a(g706 +g1019 +tp22569 +a(g436 +VASBeautifier +p22570 +tp22571 +a(g413 +g1026 +tp22572 +a(g706 +g1054 +tp22573 +a(g706 +g988 +tp22574 +a(g827 +g972 +tp22575 +a(g906 +V// not to be implemented\u000a +p22576 +tp22577 +a(g827 +V\u000a +p22578 +tp22579 +a(g827 +V +p22580 +tp22581 +a(g749 +Vvoid +p22582 +tp22583 +a(g827 +g972 +tp22584 +a(g574 +VinitStatic +p22585 +tp22586 +a(g706 +g1019 +tp22587 +a(g706 +g1054 +tp22588 +a(g706 +g988 +tp22589 +a(g827 +V\u000a +p22590 +tp22591 +a(g827 +V +p22592 +tp22593 +a(g749 +Vvoid +p22594 +tp22595 +a(g827 +g972 +tp22596 +a(g574 +VregisterInStatementIndent +p22597 +tp22598 +a(g706 +g1019 +tp22599 +a(g745 +Vconst +p22600 +tp22601 +a(g827 +g972 +tp22602 +a(g436 +Vstring +p22603 +tp22604 +a(g827 +g972 +tp22605 +a(g413 +g1026 +tp22606 +a(g436 +Vline +p22607 +tp22608 +a(g706 +g1030 +tp22609 +a(g827 +g972 +tp22610 +a(g749 +Vint +p22611 +tp22612 +a(g827 +g972 +tp22613 +a(g436 +g1503 +tp22614 +a(g706 +g1030 +tp22615 +a(g827 +g972 +tp22616 +a(g749 +Vint +p22617 +tp22618 +a(g827 +g972 +tp22619 +a(g436 +VspaceTabCount +p22620 +tp22621 +a(g706 +g1030 +tp22622 +a(g827 +V\u000a +p22623 +tp22624 +a(g827 +V +p22625 +tp22626 +a(g749 +Vint +p22627 +tp22628 +a(g827 +g972 +tp22629 +a(g436 +VminIndent +p22630 +tp22631 +a(g706 +g1030 +tp22632 +a(g827 +g972 +tp22633 +a(g749 +Vbool +p22634 +tp22635 +a(g827 +g972 +tp22636 +a(g436 +VupdateParenStack +p22637 +tp22638 +a(g706 +g1054 +tp22639 +a(g706 +g988 +tp22640 +a(g827 +V\u000a +p22641 +tp22642 +a(g827 +V +p22643 +tp22644 +a(g436 +Vstring +p22645 +tp22646 +a(g827 +g972 +tp22647 +a(g574 +VpreLineWS +p22648 +tp22649 +a(g706 +g1019 +tp22650 +a(g749 +Vint +p22651 +tp22652 +a(g827 +g972 +tp22653 +a(g436 +VspaceTabCount +p22654 +tp22655 +a(g706 +g1030 +tp22656 +a(g827 +g972 +tp22657 +a(g749 +Vint +p22658 +tp22659 +a(g827 +g972 +tp22660 +a(g436 +VtabCount +p22661 +tp22662 +a(g706 +g1054 +tp22663 +a(g706 +g988 +tp22664 +a(g827 +V\u000a +p22665 +tp22666 +a(g827 +V\u000a +p22667 +tp22668 +a(g827 +V +p22669 +tp22670 +a(g745 +Vstatic +p22671 +tp22672 +a(g827 +g972 +tp22673 +a(g436 +Vvector +p22674 +tp22675 +a(g413 +g1075 +tp22676 +a(g745 +Vconst +p22677 +tp22678 +a(g827 +g972 +tp22679 +a(g436 +Vstring +p22680 +tp22681 +a(g413 +g2456 +tp22682 +a(g413 +g2458 +tp22683 +a(g827 +g972 +tp22684 +a(g436 +Vheaders +p22685 +tp22686 +a(g706 +g988 +tp22687 +a(g827 +V\u000a +p22688 +tp22689 +a(g827 +V +p22690 +tp22691 +a(g745 +Vstatic +p22692 +tp22693 +a(g827 +g972 +tp22694 +a(g436 +Vvector +p22695 +tp22696 +a(g413 +g1075 +tp22697 +a(g745 +Vconst +p22698 +tp22699 +a(g827 +g972 +tp22700 +a(g436 +Vstring +p22701 +tp22702 +a(g413 +g2456 +tp22703 +a(g413 +g2458 +tp22704 +a(g827 +g972 +tp22705 +a(g436 +VnonParenHeaders +p22706 +tp22707 +a(g706 +g988 +tp22708 +a(g827 +V\u000a +p22709 +tp22710 +a(g827 +V +p22711 +tp22712 +a(g745 +Vstatic +p22713 +tp22714 +a(g827 +g972 +tp22715 +a(g436 +Vvector +p22716 +tp22717 +a(g413 +g1075 +tp22718 +a(g745 +Vconst +p22719 +tp22720 +a(g827 +g972 +tp22721 +a(g436 +Vstring +p22722 +tp22723 +a(g413 +g2456 +tp22724 +a(g413 +g2458 +tp22725 +a(g827 +g972 +tp22726 +a(g436 +VpreprocessorHeaders +p22727 +tp22728 +a(g706 +g988 +tp22729 +a(g827 +V\u000a +p22730 +tp22731 +a(g827 +V +p22732 +tp22733 +a(g745 +Vstatic +p22734 +tp22735 +a(g827 +g972 +tp22736 +a(g436 +Vvector +p22737 +tp22738 +a(g413 +g1075 +tp22739 +a(g745 +Vconst +p22740 +tp22741 +a(g827 +g972 +tp22742 +a(g436 +Vstring +p22743 +tp22744 +a(g413 +g2456 +tp22745 +a(g413 +g2458 +tp22746 +a(g827 +g972 +tp22747 +a(g436 +VpreBlockStatements +p22748 +tp22749 +a(g706 +g988 +tp22750 +a(g827 +V\u000a +p22751 +tp22752 +a(g827 +V +p22753 +tp22754 +a(g745 +Vstatic +p22755 +tp22756 +a(g827 +g972 +tp22757 +a(g436 +Vvector +p22758 +tp22759 +a(g413 +g1075 +tp22760 +a(g745 +Vconst +p22761 +tp22762 +a(g827 +g972 +tp22763 +a(g436 +Vstring +p22764 +tp22765 +a(g413 +g2456 +tp22766 +a(g413 +g2458 +tp22767 +a(g827 +g972 +tp22768 +a(g436 +VassignmentOperators +p22769 +tp22770 +a(g706 +g988 +tp22771 +a(g827 +V\u000a +p22772 +tp22773 +a(g827 +V +p22774 +tp22775 +a(g745 +Vstatic +p22776 +tp22777 +a(g827 +g972 +tp22778 +a(g436 +Vvector +p22779 +tp22780 +a(g413 +g1075 +tp22781 +a(g745 +Vconst +p22782 +tp22783 +a(g827 +g972 +tp22784 +a(g436 +Vstring +p22785 +tp22786 +a(g413 +g2456 +tp22787 +a(g413 +g2458 +tp22788 +a(g827 +g972 +tp22789 +a(g436 +VnonAssignmentOperators +p22790 +tp22791 +a(g706 +g988 +tp22792 +a(g827 +V\u000a +p22793 +tp22794 +a(g827 +V\u000a +p22795 +tp22796 +a(g827 +V +p22797 +tp22798 +a(g745 +Vstatic +p22799 +tp22800 +a(g827 +g972 +tp22801 +a(g749 +Vbool +p22802 +tp22803 +a(g827 +g972 +tp22804 +a(g436 +VcalledInitStatic +p22805 +tp22806 +a(g706 +g988 +tp22807 +a(g827 +V\u000a +p22808 +tp22809 +a(g827 +V\u000a +p22810 +tp22811 +a(g827 +V +p22812 +tp22813 +a(g436 +VASSourceIterator +p22814 +tp22815 +a(g827 +g972 +tp22816 +a(g413 +g2456 +tp22817 +a(g436 +VsourceIterator +p22818 +tp22819 +a(g706 +g988 +tp22820 +a(g827 +V\u000a +p22821 +tp22822 +a(g827 +V +p22823 +tp22824 +a(g436 +Vvector +p22825 +tp22826 +a(g413 +g1075 +tp22827 +a(g436 +VASBeautifier +p22828 +tp22829 +a(g413 +g2456 +tp22830 +a(g413 +g2458 +tp22831 +a(g827 +g972 +tp22832 +a(g413 +g2456 +tp22833 +a(g436 +VwaitingBeautifierStack +p22834 +tp22835 +a(g706 +g988 +tp22836 +a(g827 +V\u000a +p22837 +tp22838 +a(g827 +V +p22839 +tp22840 +a(g436 +Vvector +p22841 +tp22842 +a(g413 +g1075 +tp22843 +a(g436 +VASBeautifier +p22844 +tp22845 +a(g413 +g2456 +tp22846 +a(g413 +g2458 +tp22847 +a(g827 +g972 +tp22848 +a(g413 +g2456 +tp22849 +a(g436 +VactiveBeautifierStack +p22850 +tp22851 +a(g706 +g988 +tp22852 +a(g827 +V\u000a +p22853 +tp22854 +a(g827 +V +p22855 +tp22856 +a(g436 +Vvector +p22857 +tp22858 +a(g413 +g1075 +tp22859 +a(g749 +Vint +p22860 +tp22861 +a(g413 +g2458 +tp22862 +a(g827 +g972 +tp22863 +a(g413 +g2456 +tp22864 +a(g436 +VwaitingBeautifierStackLengthStack +p22865 +tp22866 +a(g706 +g988 +tp22867 +a(g827 +V\u000a +p22868 +tp22869 +a(g827 +V +p22870 +tp22871 +a(g436 +Vvector +p22872 +tp22873 +a(g413 +g1075 +tp22874 +a(g749 +Vint +p22875 +tp22876 +a(g413 +g2458 +tp22877 +a(g827 +g972 +tp22878 +a(g413 +g2456 +tp22879 +a(g436 +VactiveBeautifierStackLengthStack +p22880 +tp22881 +a(g706 +g988 +tp22882 +a(g827 +V\u000a +p22883 +tp22884 +a(g827 +V +p22885 +tp22886 +a(g436 +Vvector +p22887 +tp22888 +a(g413 +g1075 +tp22889 +a(g745 +Vconst +p22890 +tp22891 +a(g827 +g972 +tp22892 +a(g436 +Vstring +p22893 +tp22894 +a(g413 +g2456 +tp22895 +a(g413 +g2458 +tp22896 +a(g827 +g972 +tp22897 +a(g413 +g2456 +tp22898 +a(g436 +VheaderStack +p22899 +tp22900 +a(g706 +g988 +tp22901 +a(g827 +V\u000a +p22902 +tp22903 +a(g827 +V +p22904 +tp22905 +a(g436 +Vvector +p22906 +tp22907 +a(g413 +g1075 +tp22908 +a(g827 +g972 +tp22909 +a(g436 +Vvector +p22910 +tp22911 +a(g413 +g1075 +tp22912 +a(g745 +Vconst +p22913 +tp22914 +a(g827 +g972 +tp22915 +a(g436 +Vstring +p22916 +tp22917 +a(g413 +g2456 +tp22918 +a(g413 +g2458 +tp22919 +a(g413 +g2456 +tp22920 +a(g827 +g972 +tp22921 +a(g413 +g2458 +tp22922 +a(g827 +g972 +tp22923 +a(g413 +g2456 +tp22924 +a(g436 +VtempStacks +p22925 +tp22926 +a(g706 +g988 +tp22927 +a(g827 +V\u000a +p22928 +tp22929 +a(g827 +V +p22930 +tp22931 +a(g436 +Vvector +p22932 +tp22933 +a(g413 +g1075 +tp22934 +a(g749 +Vint +p22935 +tp22936 +a(g413 +g2458 +tp22937 +a(g827 +g972 +tp22938 +a(g413 +g2456 +tp22939 +a(g436 +VblockParenDepthStack +p22940 +tp22941 +a(g706 +g988 +tp22942 +a(g827 +V\u000a +p22943 +tp22944 +a(g827 +V +p22945 +tp22946 +a(g436 +Vvector +p22947 +tp22948 +a(g413 +g1075 +tp22949 +a(g749 +Vbool +p22950 +tp22951 +a(g413 +g2458 +tp22952 +a(g827 +g972 +tp22953 +a(g413 +g2456 +tp22954 +a(g436 +VblockStatementStack +p22955 +tp22956 +a(g706 +g988 +tp22957 +a(g827 +V\u000a +p22958 +tp22959 +a(g827 +V +p22960 +tp22961 +a(g436 +Vvector +p22962 +tp22963 +a(g413 +g1075 +tp22964 +a(g749 +Vbool +p22965 +tp22966 +a(g413 +g2458 +tp22967 +a(g827 +g972 +tp22968 +a(g413 +g2456 +tp22969 +a(g436 +VparenStatementStack +p22970 +tp22971 +a(g706 +g988 +tp22972 +a(g827 +V\u000a +p22973 +tp22974 +a(g827 +V +p22975 +tp22976 +a(g436 +Vvector +p22977 +tp22978 +a(g413 +g1075 +tp22979 +a(g749 +Vint +p22980 +tp22981 +a(g413 +g2458 +tp22982 +a(g827 +g972 +tp22983 +a(g413 +g2456 +tp22984 +a(g436 +VinStatementIndentStack +p22985 +tp22986 +a(g706 +g988 +tp22987 +a(g827 +V\u000a +p22988 +tp22989 +a(g827 +V +p22990 +tp22991 +a(g436 +Vvector +p22992 +tp22993 +a(g413 +g1075 +tp22994 +a(g749 +Vint +p22995 +tp22996 +a(g413 +g2458 +tp22997 +a(g827 +g972 +tp22998 +a(g413 +g2456 +tp22999 +a(g436 +VinStatementIndentStackSizeStack +p23000 +tp23001 +a(g706 +g988 +tp23002 +a(g827 +V\u000a +p23003 +tp23004 +a(g827 +V +p23005 +tp23006 +a(g436 +Vvector +p23007 +tp23008 +a(g413 +g1075 +tp23009 +a(g749 +Vint +p23010 +tp23011 +a(g413 +g2458 +tp23012 +a(g827 +g972 +tp23013 +a(g413 +g2456 +tp23014 +a(g436 +VparenIndentStack +p23015 +tp23016 +a(g706 +g988 +tp23017 +a(g827 +V\u000a +p23018 +tp23019 +a(g827 +V +p23020 +tp23021 +a(g436 +Vvector +p23022 +tp23023 +a(g413 +g1075 +tp23024 +a(g749 +Vbool +p23025 +tp23026 +a(g413 +g2458 +tp23027 +a(g827 +g972 +tp23028 +a(g413 +g2456 +tp23029 +a(g436 +VbracketBlockStateStack +p23030 +tp23031 +a(g706 +g988 +tp23032 +a(g827 +V\u000a +p23033 +tp23034 +a(g827 +V +p23035 +tp23036 +a(g436 +Vstring +p23037 +tp23038 +a(g827 +g972 +tp23039 +a(g436 +VindentString +p23040 +tp23041 +a(g706 +g988 +tp23042 +a(g827 +V\u000a +p23043 +tp23044 +a(g827 +V +p23045 +tp23046 +a(g745 +Vconst +p23047 +tp23048 +a(g827 +g972 +tp23049 +a(g436 +Vstring +p23050 +tp23051 +a(g827 +g972 +tp23052 +a(g413 +g2456 +tp23053 +a(g436 +VcurrentHeader +p23054 +tp23055 +a(g706 +g988 +tp23056 +a(g827 +V\u000a +p23057 +tp23058 +a(g827 +V +p23059 +tp23060 +a(g745 +Vconst +p23061 +tp23062 +a(g827 +g972 +tp23063 +a(g436 +Vstring +p23064 +tp23065 +a(g827 +g972 +tp23066 +a(g413 +g2456 +tp23067 +a(g436 +VpreviousLastLineHeader +p23068 +tp23069 +a(g706 +g988 +tp23070 +a(g827 +V\u000a +p23071 +tp23072 +a(g827 +V +p23073 +tp23074 +a(g745 +Vconst +p23075 +tp23076 +a(g827 +g972 +tp23077 +a(g436 +Vstring +p23078 +tp23079 +a(g827 +g972 +tp23080 +a(g413 +g2456 +tp23081 +a(g436 +VimmediatelyPreviousAssignmentOp +p23082 +tp23083 +a(g706 +g988 +tp23084 +a(g827 +V\u000a +p23085 +tp23086 +a(g827 +V +p23087 +tp23088 +a(g745 +Vconst +p23089 +tp23090 +a(g827 +g972 +tp23091 +a(g436 +Vstring +p23092 +tp23093 +a(g827 +g972 +tp23094 +a(g413 +g2456 +tp23095 +a(g436 +VprobationHeader +p23096 +tp23097 +a(g706 +g988 +tp23098 +a(g827 +V\u000a +p23099 +tp23100 +a(g827 +V +p23101 +tp23102 +a(g749 +Vbool +p23103 +tp23104 +a(g827 +g972 +tp23105 +a(g436 +VisInQuote +p23106 +tp23107 +a(g706 +g988 +tp23108 +a(g827 +V\u000a +p23109 +tp23110 +a(g827 +V +p23111 +tp23112 +a(g749 +Vbool +p23113 +tp23114 +a(g827 +g972 +tp23115 +a(g436 +VisInComment +p23116 +tp23117 +a(g706 +g988 +tp23118 +a(g827 +V\u000a +p23119 +tp23120 +a(g827 +V +p23121 +tp23122 +a(g749 +Vbool +p23123 +tp23124 +a(g827 +g972 +tp23125 +a(g436 +VisInCase +p23126 +tp23127 +a(g706 +g988 +tp23128 +a(g827 +V\u000a +p23129 +tp23130 +a(g827 +V +p23131 +tp23132 +a(g749 +Vbool +p23133 +tp23134 +a(g827 +g972 +tp23135 +a(g436 +VisInQuestion +p23136 +tp23137 +a(g706 +g988 +tp23138 +a(g827 +V\u000a +p23139 +tp23140 +a(g827 +V +p23141 +tp23142 +a(g749 +Vbool +p23143 +tp23144 +a(g827 +g972 +tp23145 +a(g436 +VisInStatement +p23146 +tp23147 +a(g706 +g988 +tp23148 +a(g827 +V\u000a +p23149 +tp23150 +a(g827 +V +p23151 +tp23152 +a(g749 +Vbool +p23153 +tp23154 +a(g827 +g972 +tp23155 +a(g436 +VisInHeader +p23156 +tp23157 +a(g706 +g988 +tp23158 +a(g827 +V\u000a +p23159 +tp23160 +a(g827 +V +p23161 +tp23162 +a(g749 +Vbool +p23163 +tp23164 +a(g827 +g972 +tp23165 +a(g436 +VisCStyle +p23166 +tp23167 +a(g706 +g988 +tp23168 +a(g827 +V\u000a +p23169 +tp23170 +a(g827 +V +p23171 +tp23172 +a(g749 +Vbool +p23173 +tp23174 +a(g827 +g972 +tp23175 +a(g436 +VisInOperator +p23176 +tp23177 +a(g706 +g988 +tp23178 +a(g827 +V\u000a +p23179 +tp23180 +a(g827 +V +p23181 +tp23182 +a(g749 +Vbool +p23183 +tp23184 +a(g827 +g972 +tp23185 +a(g436 +VisInTemplate +p23186 +tp23187 +a(g706 +g988 +tp23188 +a(g827 +V\u000a +p23189 +tp23190 +a(g827 +V +p23191 +tp23192 +a(g749 +Vbool +p23193 +tp23194 +a(g827 +g972 +tp23195 +a(g436 +VisInConst +p23196 +tp23197 +a(g706 +g988 +tp23198 +a(g827 +V\u000a +p23199 +tp23200 +a(g827 +V +p23201 +tp23202 +a(g749 +Vbool +p23203 +tp23204 +a(g827 +g972 +tp23205 +a(g436 +VisInDefine +p23206 +tp23207 +a(g706 +g988 +tp23208 +a(g827 +V\u000a +p23209 +tp23210 +a(g827 +V +p23211 +tp23212 +a(g749 +Vbool +p23213 +tp23214 +a(g827 +g972 +tp23215 +a(g436 +VisInDefineDefinition +p23216 +tp23217 +a(g706 +g988 +tp23218 +a(g827 +V\u000a +p23219 +tp23220 +a(g827 +V +p23221 +tp23222 +a(g749 +Vbool +p23223 +tp23224 +a(g827 +g972 +tp23225 +a(g436 +VclassIndent +p23226 +tp23227 +a(g706 +g988 +tp23228 +a(g827 +V\u000a +p23229 +tp23230 +a(g827 +V +p23231 +tp23232 +a(g749 +Vbool +p23233 +tp23234 +a(g827 +g972 +tp23235 +a(g436 +VisInClassHeader +p23236 +tp23237 +a(g706 +g988 +tp23238 +a(g827 +V\u000a +p23239 +tp23240 +a(g827 +V +p23241 +tp23242 +a(g749 +Vbool +p23243 +tp23244 +a(g827 +g972 +tp23245 +a(g436 +VisInClassHeaderTab +p23246 +tp23247 +a(g706 +g988 +tp23248 +a(g827 +V\u000a +p23249 +tp23250 +a(g827 +V +p23251 +tp23252 +a(g749 +Vbool +p23253 +tp23254 +a(g827 +g972 +tp23255 +a(g436 +VswitchIndent +p23256 +tp23257 +a(g706 +g988 +tp23258 +a(g827 +V\u000a +p23259 +tp23260 +a(g827 +V +p23261 +tp23262 +a(g749 +Vbool +p23263 +tp23264 +a(g827 +g972 +tp23265 +a(g436 +VcaseIndent +p23266 +tp23267 +a(g706 +g988 +tp23268 +a(g827 +V\u000a +p23269 +tp23270 +a(g827 +V +p23271 +tp23272 +a(g749 +Vbool +p23273 +tp23274 +a(g827 +g972 +tp23275 +a(g436 +VnamespaceIndent +p23276 +tp23277 +a(g706 +g988 +tp23278 +a(g827 +V\u000a +p23279 +tp23280 +a(g827 +V +p23281 +tp23282 +a(g749 +Vbool +p23283 +tp23284 +a(g827 +g972 +tp23285 +a(g436 +VbracketIndent +p23286 +tp23287 +a(g706 +g988 +tp23288 +a(g827 +V\u000a +p23289 +tp23290 +a(g827 +V +p23291 +tp23292 +a(g749 +Vbool +p23293 +tp23294 +a(g827 +g972 +tp23295 +a(g436 +VblockIndent +p23296 +tp23297 +a(g706 +g988 +tp23298 +a(g827 +V\u000a +p23299 +tp23300 +a(g827 +V +p23301 +tp23302 +a(g749 +Vbool +p23303 +tp23304 +a(g827 +g972 +tp23305 +a(g436 +VlabelIndent +p23306 +tp23307 +a(g706 +g988 +tp23308 +a(g827 +V\u000a +p23309 +tp23310 +a(g827 +V +p23311 +tp23312 +a(g749 +Vbool +p23313 +tp23314 +a(g827 +g972 +tp23315 +a(g436 +VpreprocessorIndent +p23316 +tp23317 +a(g706 +g988 +tp23318 +a(g827 +V\u000a +p23319 +tp23320 +a(g827 +V +p23321 +tp23322 +a(g749 +Vbool +p23323 +tp23324 +a(g827 +g972 +tp23325 +a(g436 +VisInConditional +p23326 +tp23327 +a(g706 +g988 +tp23328 +a(g827 +V\u000a +p23329 +tp23330 +a(g827 +V +p23331 +tp23332 +a(g749 +Vbool +p23333 +tp23334 +a(g827 +g972 +tp23335 +a(g436 +VisMinimalConditinalIndentSet +p23336 +tp23337 +a(g706 +g988 +tp23338 +a(g827 +V\u000a +p23339 +tp23340 +a(g827 +V +p23341 +tp23342 +a(g749 +Vbool +p23343 +tp23344 +a(g827 +g972 +tp23345 +a(g436 +VshouldForceTabIndentation +p23346 +tp23347 +a(g706 +g988 +tp23348 +a(g827 +V\u000a +p23349 +tp23350 +a(g827 +V +p23351 +tp23352 +a(g749 +Vint +p23353 +tp23354 +a(g827 +g972 +tp23355 +a(g436 +VminConditionalIndent +p23356 +tp23357 +a(g706 +g988 +tp23358 +a(g827 +V\u000a +p23359 +tp23360 +a(g827 +V +p23361 +tp23362 +a(g749 +Vint +p23363 +tp23364 +a(g827 +g972 +tp23365 +a(g436 +VparenDepth +p23366 +tp23367 +a(g706 +g988 +tp23368 +a(g827 +V\u000a +p23369 +tp23370 +a(g827 +V +p23371 +tp23372 +a(g749 +Vint +p23373 +tp23374 +a(g827 +g972 +tp23375 +a(g436 +VindentLength +p23376 +tp23377 +a(g706 +g988 +tp23378 +a(g827 +V\u000a +p23379 +tp23380 +a(g827 +V +p23381 +tp23382 +a(g749 +Vint +p23383 +tp23384 +a(g827 +g972 +tp23385 +a(g436 +VblockTabCount +p23386 +tp23387 +a(g706 +g988 +tp23388 +a(g827 +V\u000a +p23389 +tp23390 +a(g827 +V +p23391 +tp23392 +a(g749 +Vunsigned +p23393 +tp23394 +a(g827 +g972 +tp23395 +a(g749 +Vint +p23396 +tp23397 +a(g827 +g972 +tp23398 +a(g436 +VleadingWhiteSpaces +p23399 +tp23400 +a(g706 +g988 +tp23401 +a(g827 +V\u000a +p23402 +tp23403 +a(g827 +V +p23404 +tp23405 +a(g749 +Vint +p23406 +tp23407 +a(g827 +g972 +tp23408 +a(g436 +VmaxInStatementIndent +p23409 +tp23410 +a(g706 +g988 +tp23411 +a(g827 +V\u000a +p23412 +tp23413 +a(g827 +V +p23414 +tp23415 +a(g749 +Vint +p23416 +tp23417 +a(g827 +g972 +tp23418 +a(g436 +VtemplateDepth +p23419 +tp23420 +a(g706 +g988 +tp23421 +a(g827 +V\u000a +p23422 +tp23423 +a(g827 +V +p23424 +tp23425 +a(g749 +Vchar +p23426 +tp23427 +a(g827 +g972 +tp23428 +a(g436 +VquoteChar +p23429 +tp23430 +a(g706 +g988 +tp23431 +a(g827 +V\u000a +p23432 +tp23433 +a(g827 +V +p23434 +tp23435 +a(g749 +Vchar +p23436 +tp23437 +a(g827 +g972 +tp23438 +a(g436 +VprevNonSpaceCh +p23439 +tp23440 +a(g706 +g988 +tp23441 +a(g827 +V\u000a +p23442 +tp23443 +a(g827 +V +p23444 +tp23445 +a(g749 +Vchar +p23446 +tp23447 +a(g827 +g972 +tp23448 +a(g436 +VcurrentNonSpaceCh +p23449 +tp23450 +a(g706 +g988 +tp23451 +a(g827 +V\u000a +p23452 +tp23453 +a(g827 +V +p23454 +tp23455 +a(g749 +Vchar +p23456 +tp23457 +a(g827 +g972 +tp23458 +a(g436 +VcurrentNonLegalCh +p23459 +tp23460 +a(g706 +g988 +tp23461 +a(g827 +V\u000a +p23462 +tp23463 +a(g827 +V +p23464 +tp23465 +a(g749 +Vchar +p23466 +tp23467 +a(g827 +g972 +tp23468 +a(g436 +VprevNonLegalCh +p23469 +tp23470 +a(g706 +g988 +tp23471 +a(g827 +V\u000a +p23472 +tp23473 +a(g827 +V +p23474 +tp23475 +a(g749 +Vint +p23476 +tp23477 +a(g827 +g972 +tp23478 +a(g436 +VprevFinalLineSpaceTabCount +p23479 +tp23480 +a(g706 +g988 +tp23481 +a(g827 +V\u000a +p23482 +tp23483 +a(g827 +V +p23484 +tp23485 +a(g749 +Vint +p23486 +tp23487 +a(g827 +g972 +tp23488 +a(g436 +VprevFinalLineTabCount +p23489 +tp23490 +a(g706 +g988 +tp23491 +a(g827 +V\u000a +p23492 +tp23493 +a(g827 +V +p23494 +tp23495 +a(g749 +Vbool +p23496 +tp23497 +a(g827 +g972 +tp23498 +a(g436 +VemptyLineFill +p23499 +tp23500 +a(g706 +g988 +tp23501 +a(g827 +V\u000a +p23502 +tp23503 +a(g827 +V +p23504 +tp23505 +a(g749 +Vbool +p23506 +tp23507 +a(g827 +g972 +tp23508 +a(g436 +VbackslashEndsPrevLine +p23509 +tp23510 +a(g706 +g988 +tp23511 +a(g827 +V\u000a +p23512 +tp23513 +a(g827 +V +p23514 +tp23515 +a(g749 +Vint +p23516 +tp23517 +a(g827 +g972 +tp23518 +a(g436 +VdefineTabCount +p23519 +tp23520 +a(g706 +g988 +tp23521 +a(g827 +V\u000a +p23522 +tp23523 +a(g827 +V +p23524 +tp23525 +a(g706 +g1188 +tp23526 +a(g706 +g988 +tp23527 +a(g827 +V\u000a +p23528 +tp23529 +a(g706 +g1188 +tp23530 +a(g827 +V\u000a +p23531 +tp23532 +a(g827 +V\u000a +p23533 +tp23534 +a(g899 +g968 +tp23535 +a(g899 +Vendif +p23536 +tp23537 +a(g899 +V\u000a +p23538 +tp23539 +a(g8 +V/*\u000a * Copyright (c) 1998,1999,2000,2001,2002 Tal Davidson. All rights reserved.\u000a *\u000a * ASFormatter.cpp\u000a * by Tal Davidson (davidsont@bigfoot.com)\u000a * This file is a part of "Artistic Style" - an indentater and reformatter\u000a * of C, C++, C# and Java source files.\u000a *\u000a * The "Artistic Style" project, including all files needed to compile it,\u000a * is free software; you can redistribute it and/or use it and/or modify it\u000a * under the terms of the GNU General Public License as published \u000a * by the Free Software Foundation; either version 2 of the License, \u000a * or (at your option) any later version.\u000a *\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.\u000a *\u000a * You should have received a copy of the GNU General Public\u000a * License along with this program.\u000a *\u000a *\u000a * Patches:\u000a * 26 November 1998 - Richard Bullington -\u000a * A correction of line-breaking in headers following '}',\u000a \u000a * was created using a variation of a patch by Richard Bullington.\u000a * 08 May 2004\u000a * applied ASFormatter450670.patch.bz2, ASFormatter.cpp.patch.bz2,\u000a * patch1_ssvb_patch.tar.gz\u000a */ +p23540 +tp23541 +a(g827 +V\u000a +p23542 +tp23543 +a(g827 +V\u000a +p23544 +tp23545 +a(g899 +g968 +tp23546 +a(g899 +Vinclude +p23547 +tp23548 +a(g827 +g972 +tp23549 +a(g938 +V"compiler_defines.h" +p23550 +tp23551 +a(g899 +V\u000a +p23552 +tp23553 +a(g899 +g968 +tp23554 +a(g899 +Vinclude +p23555 +tp23556 +a(g827 +g972 +tp23557 +a(g938 +V"ASFormatter.h" +p23558 +tp23559 +a(g899 +V\u000a +p23560 +tp23561 +a(g827 +V\u000a +p23562 +tp23563 +a(g827 +V\u000a +p23564 +tp23565 +a(g899 +g968 +tp23566 +a(g899 +Vinclude +p23567 +tp23568 +a(g827 +g972 +tp23569 +a(g938 +V +p23570 +tp23571 +a(g899 +V\u000a +p23572 +tp23573 +a(g899 +g968 +tp23574 +a(g899 +Vinclude +p23575 +tp23576 +a(g827 +g972 +tp23577 +a(g938 +V +p23578 +tp23579 +a(g899 +V\u000a +p23580 +tp23581 +a(g899 +g968 +tp23582 +a(g899 +Vinclude +p23583 +tp23584 +a(g827 +g972 +tp23585 +a(g938 +V +p23586 +tp23587 +a(g899 +V\u000a +p23588 +tp23589 +a(g899 +g968 +tp23590 +a(g899 +Vinclude +p23591 +tp23592 +a(g827 +g972 +tp23593 +a(g938 +V +p23594 +tp23595 +a(g899 +V\u000a +p23596 +tp23597 +a(g899 +g968 +tp23598 +a(g899 +Vinclude +p23599 +tp23600 +a(g827 +g972 +tp23601 +a(g938 +V +p23602 +tp23603 +a(g899 +V\u000a +p23604 +tp23605 +a(g827 +V\u000a +p23606 +tp23607 +a(g827 +V\u000a +p23608 +tp23609 +a(g899 +g968 +tp23610 +a(g899 +Vdefine INIT_CONTAINER(container, value) {if ( (container) != NULL ) delete (container); (container) = (value); } +p23611 +tp23612 +a(g899 +V\u000a +p23613 +tp23614 +a(g899 +g968 +tp23615 +a(g899 +Vdefine DELETE_CONTAINER(container) {if ( (container) != NULL ) delete (container) ; } +p23616 +tp23617 +a(g899 +V\u000a +p23618 +tp23619 +a(g899 +g968 +tp23620 +a(g899 +Vdefine IS_A(a,b) ( ((a) & (b)) == (b)) +p23621 +tp23622 +a(g899 +V\u000a +p23623 +tp23624 +a(g899 +g968 +tp23625 +a(g899 +Vifdef USES_NAMESPACE +p23626 +tp23627 +a(g899 +V\u000a +p23628 +tp23629 +a(g745 +Vusing +p23630 +tp23631 +a(g827 +g972 +tp23632 +a(g745 +Vnamespace +p23633 +tp23634 +a(g827 +g972 +tp23635 +a(g436 +Vstd +p23636 +tp23637 +a(g706 +g988 +tp23638 +a(g827 +V\u000a +p23639 +tp23640 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.e b/tests/examplefiles/output/example.e new file mode 100644 index 0000000..497bf95 --- /dev/null +++ b/tests/examplefiles/output/example.e @@ -0,0 +1,4645 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVnote +p956 +tp957 +a(g831 +V\u000a +p958 +tp959 +a(g831 +V +p960 +tp961 +a(g428 +Vdescription +p962 +tp963 +a(g831 +V +p964 +tp965 +a(g698 +V: +p966 +tp967 +a(g831 +g964 +tp968 +a(g81 +V"[\u000a This is use to have almost every language element."\u000a \u000a That way, I can correctly test the lexer. %]"\u000a \u000a Don't try to understand what it does. It's not even compilling.\u000a ]" +p969 +tp970 +a(g831 +V\u000a +p971 +tp972 +a(g831 +g960 +tp973 +a(g428 +Vdate +p974 +tp975 +a(g831 +V +p976 +tp977 +a(g698 +g966 +tp978 +a(g831 +g964 +tp979 +a(g81 +V"August 6, 2013" +p980 +tp981 +a(g831 +V\u000a +p982 +tp983 +a(g831 +g960 +tp984 +a(g428 +Vrevision +p985 +tp986 +a(g831 +V +p987 +tp988 +a(g698 +g966 +tp989 +a(g831 +g964 +tp990 +a(g81 +V"0.1" +p991 +tp992 +a(g831 +V\u000a\u000a +p993 +tp994 +a(g8 +Vclass +p995 +tp996 +a(g831 +V\u000a +p997 +tp998 +a(g831 +g960 +tp999 +a(g621 +VSAMPLE +p1000 +tp1001 +a(g831 +V\u000a\u000a +p1002 +tp1003 +a(g8 +Vinherit +p1004 +tp1005 +a(g831 +V\u000a +p1006 +tp1007 +a(g831 +g960 +tp1008 +a(g621 +VARGUMENTS +p1009 +tp1010 +a(g831 +V\u000a +p1011 +tp1012 +a(g831 +V +p1013 +tp1014 +a(g8 +Vrename +p1015 +tp1016 +a(g831 +V\u000a +p1017 +tp1018 +a(g831 +V +p1019 +tp1020 +a(g428 +VCommand_line +p1021 +tp1022 +a(g831 +g964 +tp1023 +a(g8 +Vas +p1024 +tp1025 +a(g831 +g964 +tp1026 +a(g428 +VCaller_command +p1027 +tp1028 +a(g698 +V, +p1029 +tp1030 +a(g831 +V\u000a +p1031 +tp1032 +a(g831 +V +p1033 +tp1034 +a(g428 +Vcommand_name +p1035 +tp1036 +a(g831 +g964 +tp1037 +a(g8 +Vas +p1038 +tp1039 +a(g831 +g964 +tp1040 +a(g428 +VApplication_name +p1041 +tp1042 +a(g831 +V\u000a +p1043 +tp1044 +a(g831 +V +p1045 +tp1046 +a(g8 +Vundefine +p1047 +tp1048 +a(g831 +V\u000a +p1049 +tp1050 +a(g831 +V +p1051 +tp1052 +a(g428 +Vout +p1053 +tp1054 +a(g831 +V\u000a +p1055 +tp1056 +a(g831 +V +p1057 +tp1058 +a(g8 +Vend +p1059 +tp1060 +a(g831 +V\u000a +p1061 +tp1062 +a(g831 +g960 +tp1063 +a(g621 +VANY +p1064 +tp1065 +a(g831 +V\u000a +p1066 +tp1067 +a(g831 +V +p1068 +tp1069 +a(g8 +Vexport +p1070 +tp1071 +a(g831 +V\u000a +p1072 +tp1073 +a(g831 +V +p1074 +tp1075 +a(g698 +V{ +p1076 +tp1077 +a(g621 +VANY +p1078 +tp1079 +a(g698 +V} +p1080 +tp1081 +a(g831 +g964 +tp1082 +a(g428 +Vout +p1083 +tp1084 +a(g831 +V\u000a +p1085 +tp1086 +a(g831 +V +p1087 +tp1088 +a(g8 +Vredefine +p1089 +tp1090 +a(g831 +V\u000a +p1091 +tp1092 +a(g831 +V +p1093 +tp1094 +a(g428 +Vout +p1095 +tp1096 +a(g831 +V\u000a +p1097 +tp1098 +a(g831 +V +p1099 +tp1100 +a(g8 +Vend +p1101 +tp1102 +a(g831 +V\u000a\u000a\u000a\u000a +p1103 +tp1104 +a(g8 +Vcreate +p1105 +tp1106 +a(g831 +V\u000a +p1107 +tp1108 +a(g831 +g960 +tp1109 +a(g428 +Vmake +p1110 +tp1111 +a(g831 +V\u000a\u000a +p1112 +tp1113 +a(g8 +Vconvert +p1114 +tp1115 +a(g831 +V\u000a +p1116 +tp1117 +a(g831 +g960 +tp1118 +a(g428 +Vas_boolean +p1119 +tp1120 +a(g698 +g966 +tp1121 +a(g831 +g964 +tp1122 +a(g698 +g1076 +tp1123 +a(g621 +VBOOLEAN +p1124 +tp1125 +a(g698 +g1080 +tp1126 +a(g831 +V\u000a\u000a +p1127 +tp1128 +a(g8 +Vfeature +p1129 +tp1130 +a(g831 +g964 +tp1131 +a(g698 +g1076 +tp1132 +a(g8 +VNONE +p1133 +tp1134 +a(g698 +g1080 +tp1135 +a(g831 +g964 +tp1136 +a(g750 +V-- Initialization\u000a +p1137 +tp1138 +a(g831 +V\u000a +p1139 +tp1140 +a(g831 +g960 +tp1141 +a(g428 +Vmake +p1142 +tp1143 +a(g831 +V\u000a +p1144 +tp1145 +a(g831 +V +p1146 +tp1147 +a(g750 +V-- Run application.\u000a +p1148 +tp1149 +a(g831 +V +p1150 +tp1151 +a(g8 +Vlocal +p1152 +tp1153 +a(g831 +V\u000a +p1154 +tp1155 +a(g831 +V +p1156 +tp1157 +a(g428 +Vi1_ +p1158 +tp1159 +a(g698 +g966 +tp1160 +a(g8 +Vexpanded +p1161 +tp1162 +a(g831 +g964 +tp1163 +a(g621 +VINTEGER +p1164 +tp1165 +a(g831 +V\u000a +p1166 +tp1167 +a(g831 +V +p1168 +tp1169 +a(g428 +Vf_1 +p1170 +tp1171 +a(g698 +g966 +tp1172 +a(g621 +VREAL_64 +p1173 +tp1174 +a(g831 +V\u000a +p1175 +tp1176 +a(g831 +V +p1177 +tp1178 +a(g428 +Vl_char +p1179 +tp1180 +a(g698 +g966 +tp1181 +a(g621 +VCHARACTER_8 +p1182 +tp1183 +a(g831 +V\u000a +p1184 +tp1185 +a(g831 +V +p1186 +tp1187 +a(g8 +Vdo +p1188 +tp1189 +a(g831 +V\u000a +p1190 +tp1191 +a(g831 +V +p1192 +tp1193 +a(g428 +Vl_char +p1194 +tp1195 +a(g405 +V:= +p1196 +tp1197 +a(g85 +V'!' +p1198 +tp1199 +a(g831 +V\u000a +p1200 +tp1201 +a(g831 +V +p1202 +tp1203 +a(g428 +Vl_char +p1204 +tp1205 +a(g405 +V:= +p1206 +tp1207 +a(g85 +V'%'' +p1208 +tp1209 +a(g831 +V\u000a +p1210 +tp1211 +a(g831 +V +p1212 +tp1213 +a(g428 +Vl_char +p1214 +tp1215 +a(g405 +V:= +p1216 +tp1217 +a(g85 +V'%%' +p1218 +tp1219 +a(g831 +V\u000a +p1220 +tp1221 +a(g831 +V +p1222 +tp1223 +a(g428 +Vi1_ +p1224 +tp1225 +a(g405 +V:= +p1226 +tp1227 +a(g27 +V80 +p1228 +tp1229 +a(g831 +g964 +tp1230 +a(g405 +V- +p1231 +tp1232 +a(g831 +g964 +tp1233 +a(g290 +V0x2F0C +p1234 +tp1235 +a(g831 +g964 +tp1236 +a(g405 +V// +p1237 +tp1238 +a(g831 +g964 +tp1239 +a(g274 +V0C70 +p1240 +tp1241 +a(g831 +g964 +tp1242 +a(g405 +V\u005c\u005c +p1243 +tp1244 +a(g831 +g964 +tp1245 +a(g258 +V0b10110 +p1246 +tp1247 +a(g831 +g964 +tp1248 +a(g405 +V* +p1249 +tp1250 +a(g831 +g964 +tp1251 +a(g27 +V1 +p1252 +tp1253 +a(g698 +V; +p1254 +tp1255 +a(g831 +V\u000a +p1256 +tp1257 +a(g831 +V +p1258 +tp1259 +a(g428 +Vf_1 +p1260 +tp1261 +a(g405 +V:= +p1262 +tp1263 +a(g307 +V0.1 +p1264 +tp1265 +a(g831 +g964 +tp1266 +a(g405 +V/ +p1267 +tp1268 +a(g831 +g964 +tp1269 +a(g307 +V.567 +p1270 +tp1271 +a(g831 +V\u000a +p1272 +tp1273 +a(g831 +V +p1274 +tp1275 +a(g428 +Vf_1 +p1276 +tp1277 +a(g405 +V:= +p1278 +tp1279 +a(g307 +V34. +p1280 +tp1281 +a(g831 +V\u000a +p1282 +tp1283 +a(g831 +V +p1284 +tp1285 +a(g428 +Vf_1 +p1286 +tp1287 +a(g405 +V:= +p1288 +tp1289 +a(g307 +V12345.67890 +p1290 +tp1291 +a(g831 +V\u000a +p1292 +tp1293 +a(g831 +V +p1294 +tp1295 +a(g8 +Vinspect +p1296 +tp1297 +a(g831 +g964 +tp1298 +a(g428 +Vi1_ +p1299 +tp1300 +a(g831 +V\u000a +p1301 +tp1302 +a(g831 +V +p1303 +tp1304 +a(g8 +Vwhen +p1305 +tp1306 +a(g831 +g964 +tp1307 +a(g27 +g1252 +tp1308 +a(g831 +g964 +tp1309 +a(g8 +Vthen +p1310 +tp1311 +a(g831 +V\u000a +p1312 +tp1313 +a(g831 +V +p1314 +tp1315 +a(g428 +Vio +p1316 +tp1317 +a(g698 +V. +p1318 +tp1319 +a(g428 +Voutput +p1320 +tp1321 +a(g698 +g1318 +tp1322 +a(g428 +Vput_integer +p1323 +tp1324 +a(g831 +g964 +tp1325 +a(g698 +V( +p1326 +tp1327 +a(g428 +Vi1_ +p1328 +tp1329 +a(g698 +V) +p1330 +tp1331 +a(g831 +V +p1332 +tp1333 +a(g750 +V-- Comment\u000a +p1334 +tp1335 +a(g831 +V +p1336 +tp1337 +a(g8 +Velse +p1338 +tp1339 +a(g831 +V\u000a +p1340 +tp1341 +a(g831 +V +p1342 +tp1343 +a(g428 +Vio +p1344 +tp1345 +a(g698 +g1318 +tp1346 +a(g428 +Voutput +p1347 +tp1348 +a(g698 +g1318 +tp1349 +a(g428 +Vput_real +p1350 +tp1351 +a(g831 +g964 +tp1352 +a(g698 +g1326 +tp1353 +a(g428 +Vf_1 +p1354 +tp1355 +a(g698 +g1318 +tp1356 +a(g428 +Vtruncated_to_real +p1357 +tp1358 +a(g698 +g1330 +tp1359 +a(g831 +V\u000a +p1360 +tp1361 +a(g831 +V +p1362 +tp1363 +a(g8 +Vend +p1364 +tp1365 +a(g831 +V\u000a +p1366 +tp1367 +a(g831 +V +p1368 +tp1369 +a(g428 +Vio +p1370 +tp1371 +a(g698 +g1318 +tp1372 +a(g428 +Voutput +p1373 +tp1374 +a(g698 +g1318 +tp1375 +a(g428 +Vput_string +p1376 +tp1377 +a(g831 +g964 +tp1378 +a(g698 +g1326 +tp1379 +a(g901 +VCuRrEnt +p1380 +tp1381 +a(g698 +g1318 +tp1382 +a(g428 +Vout +p1383 +tp1384 +a(g698 +g1330 +tp1385 +a(g831 +V +p1386 +tp1387 +a(g750 +V-- Comment\u000a +p1388 +tp1389 +a(g831 +V +p1390 +tp1391 +a(g698 +g1326 +tp1392 +a(g8 +Vagent +p1393 +tp1394 +a(g831 +g964 +tp1395 +a(g428 +Vfunct_1 +p1396 +tp1397 +a(g698 +g1330 +tp1398 +a(g698 +g1318 +tp1399 +a(g428 +Vcall +p1400 +tp1401 +a(g698 +g1326 +tp1402 +a(g405 +V[ +p1403 +tp1404 +a(g27 +g1252 +tp1405 +a(g698 +g1029 +tp1406 +a(g27 +V2 +p1407 +tp1408 +a(g698 +g1029 +tp1409 +a(g81 +V"Coucou" +p1410 +tp1411 +a(g405 +V] +p1412 +tp1413 +a(g698 +g1330 +tp1414 +a(g831 +V\u000a +p1415 +tp1416 +a(g831 +V +p1417 +tp1418 +a(g8 +Vend +p1419 +tp1420 +a(g831 +V\u000a\u000a +p1421 +tp1422 +a(g8 +Vfeature +p1423 +tp1424 +a(g831 +g964 +tp1425 +a(g750 +V-- Access\u000a +p1426 +tp1427 +a(g831 +V\u000a +p1428 +tp1429 +a(g831 +g960 +tp1430 +a(g428 +Vfunct_1 +p1431 +tp1432 +a(g698 +g1326 +tp1433 +a(g428 +Vx +p1434 +tp1435 +a(g698 +g1029 +tp1436 +a(g428 +Vy +p1437 +tp1438 +a(g698 +g966 +tp1439 +a(g8 +Vseparate +p1440 +tp1441 +a(g831 +g964 +tp1442 +a(g621 +VINTEGER +p1443 +tp1444 +a(g698 +g1254 +tp1445 +a(g428 +Va_text +p1446 +tp1447 +a(g698 +g966 +tp1448 +a(g621 +VREADABLE_STRING_GENERAL +p1449 +tp1450 +a(g698 +g1330 +tp1451 +a(g698 +g966 +tp1452 +a(g8 +Vdetachable +p1453 +tp1454 +a(g831 +g964 +tp1455 +a(g621 +VBOOLEAN +p1456 +tp1457 +a(g831 +V\u000a +p1458 +tp1459 +a(g831 +V +p1460 +tp1461 +a(g8 +Vobsolete +p1462 +tp1463 +a(g831 +g964 +tp1464 +a(g81 +V"This function is obsolete" +p1465 +tp1466 +a(g831 +V\u000a +p1467 +tp1468 +a(g831 +V +p1469 +tp1470 +a(g8 +Vrequire +p1471 +tp1472 +a(g831 +V\u000a +p1473 +tp1474 +a(g831 +V +p1475 +tp1476 +a(g428 +VIs_Attached +p1477 +tp1478 +a(g698 +g966 +tp1479 +a(g831 +g964 +tp1480 +a(g8 +VAttAched +p1481 +tp1482 +a(g831 +g964 +tp1483 +a(g428 +Va_text +p1484 +tp1485 +a(g831 +V\u000a +p1486 +tp1487 +a(g831 +V +p1488 +tp1489 +a(g8 +Vlocal +p1490 +tp1491 +a(g831 +V\u000a +p1492 +tp1493 +a(g831 +V +p1494 +tp1495 +a(g428 +Vl_list +p1496 +tp1497 +a(g698 +g966 +tp1498 +a(g621 +VLIST +p1499 +tp1500 +a(g405 +g1403 +tp1501 +a(g8 +Vlike +p1502 +tp1503 +a(g831 +g964 +tp1504 +a(g428 +g1434 +tp1505 +a(g405 +g1412 +tp1506 +a(g831 +V\u000a +p1507 +tp1508 +a(g831 +V +p1509 +tp1510 +a(g8 +Vdo +p1511 +tp1512 +a(g831 +V\u000a +p1513 +tp1514 +a(g831 +V +p1515 +tp1516 +a(g8 +Vif +p1517 +tp1518 +a(g831 +g964 +tp1519 +a(g698 +g1326 +tp1520 +a(g419 +VNOT +p1521 +tp1522 +a(g831 +g964 +tp1523 +a(g428 +Va_text +p1524 +tp1525 +a(g698 +g1318 +tp1526 +a(g428 +Vis_empty +p1527 +tp1528 +a(g405 +V= +p1529 +tp1530 +a(g901 +VTrUe +p1531 +tp1532 +a(g831 +g964 +tp1533 +a(g419 +Vor elSe +p1534 +tp1535 +a(g831 +g964 +tp1536 +a(g698 +g1326 +tp1537 +a(g698 +g1326 +tp1538 +a(g428 +g1434 +tp1539 +a(g405 +V< +p1540 +tp1541 +a(g27 +V0 +p1542 +tp1543 +a(g831 +g964 +tp1544 +a(g419 +VaNd +p1545 +tp1546 +a(g831 +g964 +tp1547 +a(g428 +g1434 +tp1548 +a(g405 +V> +p1549 +tp1550 +a(g27 +V10 +p1551 +tp1552 +a(g698 +g1330 +tp1553 +a(g831 +g964 +tp1554 +a(g419 +VoR +p1555 +tp1556 +a(g831 +g964 +tp1557 +a(g698 +g1326 +tp1558 +a(g428 +g1437 +tp1559 +a(g405 +g1549 +tp1560 +a(g27 +g1542 +tp1561 +a(g831 +g964 +tp1562 +a(g419 +Vand then +p1563 +tp1564 +a(g831 +g964 +tp1565 +a(g428 +g1437 +tp1566 +a(g405 +g1540 +tp1567 +a(g27 +V10 +p1568 +tp1569 +a(g698 +g1330 +tp1570 +a(g698 +g1330 +tp1571 +a(g698 +g1330 +tp1572 +a(g831 +g964 +tp1573 +a(g419 +Vxor +p1574 +tp1575 +a(g831 +g964 +tp1576 +a(g901 +VTrue +p1577 +tp1578 +a(g831 +g964 +tp1579 +a(g8 +VthEn +p1580 +tp1581 +a(g831 +V\u000a +p1582 +tp1583 +a(g831 +V +p1584 +tp1585 +a(g901 +VResuLT +p1586 +tp1587 +a(g831 +g964 +tp1588 +a(g405 +V:= +p1589 +tp1590 +a(g831 +g964 +tp1591 +a(g901 +VFalSe +p1592 +tp1593 +a(g831 +V\u000a +p1594 +tp1595 +a(g831 +V +p1596 +tp1597 +a(g8 +Velseif +p1598 +tp1599 +a(g831 +g964 +tp1600 +a(g698 +g1326 +tp1601 +a(g8 +VacROss +p1602 +tp1603 +a(g831 +g964 +tp1604 +a(g428 +Vl_list +p1605 +tp1606 +a(g831 +g964 +tp1607 +a(g8 +Vas +p1608 +tp1609 +a(g831 +g964 +tp1610 +a(g428 +Vla_list +p1611 +tp1612 +a(g831 +g964 +tp1613 +a(g428 +VSoMe +p1614 +tp1615 +a(g831 +g960 +tp1616 +a(g428 +Vla_list +p1617 +tp1618 +a(g698 +g1318 +tp1619 +a(g428 +Vitem +p1620 +tp1621 +a(g405 +g1540 +tp1622 +a(g27 +g1542 +tp1623 +a(g831 +g964 +tp1624 +a(g8 +Vend +p1625 +tp1626 +a(g698 +g1330 +tp1627 +a(g831 +g964 +tp1628 +a(g419 +Vimplies +p1629 +tp1630 +a(g831 +g964 +tp1631 +a(g428 +Va_text +p1632 +tp1633 +a(g698 +g1318 +tp1634 +a(g428 +Vis_boolean +p1635 +tp1636 +a(g831 +g964 +tp1637 +a(g8 +Vthen +p1638 +tp1639 +a(g831 +V\u000a +p1640 +tp1641 +a(g831 +V +p1642 +tp1643 +a(g901 +VResuLT +p1644 +tp1645 +a(g831 +g964 +tp1646 +a(g405 +V:= +p1647 +tp1648 +a(g831 +g964 +tp1649 +a(g901 +VFalSe +p1650 +tp1651 +a(g831 +V\u000a +p1652 +tp1653 +a(g831 +V +p1654 +tp1655 +a(g8 +Velse +p1656 +tp1657 +a(g831 +V\u000a +p1658 +tp1659 +a(g831 +V +p1660 +tp1661 +a(g901 +VResult +p1662 +tp1663 +a(g831 +g964 +tp1664 +a(g405 +V:= +p1665 +tp1666 +a(g831 +g964 +tp1667 +a(g901 +VTruE +p1668 +tp1669 +a(g831 +V\u000a +p1670 +tp1671 +a(g831 +V +p1672 +tp1673 +a(g8 +VeND +p1674 +tp1675 +a(g831 +V\u000a +p1676 +tp1677 +a(g831 +V +p1678 +tp1679 +a(g8 +Vfrom +p1680 +tp1681 +a(g831 +V\u000a +p1682 +tp1683 +a(g831 +V +p1684 +tp1685 +a(g428 +Vl_list +p1686 +tp1687 +a(g698 +g1318 +tp1688 +a(g428 +Vstart +p1689 +tp1690 +a(g831 +V\u000a +p1691 +tp1692 +a(g831 +V +p1693 +tp1694 +a(g8 +Vuntil +p1695 +tp1696 +a(g831 +V\u000a +p1697 +tp1698 +a(g831 +V +p1699 +tp1700 +a(g428 +Vl_list +p1701 +tp1702 +a(g698 +g1318 +tp1703 +a(g428 +Vexhausted +p1704 +tp1705 +a(g831 +V\u000a +p1706 +tp1707 +a(g831 +V +p1708 +tp1709 +a(g8 +Vloop +p1710 +tp1711 +a(g831 +V\u000a +p1712 +tp1713 +a(g831 +V +p1714 +tp1715 +a(g428 +Vl_list +p1716 +tp1717 +a(g698 +g1318 +tp1718 +a(g428 +Vforth +p1719 +tp1720 +a(g831 +V\u000a +p1721 +tp1722 +a(g831 +V +p1723 +tp1724 +a(g8 +Vvariant +p1725 +tp1726 +a(g831 +V\u000a +p1727 +tp1728 +a(g831 +V +p1729 +tp1730 +a(g428 +Vl_list +p1731 +tp1732 +a(g698 +g1318 +tp1733 +a(g428 +Vcount +p1734 +tp1735 +a(g831 +g964 +tp1736 +a(g405 +g1231 +tp1737 +a(g831 +g964 +tp1738 +a(g428 +Vl_list +p1739 +tp1740 +a(g698 +g1318 +tp1741 +a(g428 +Vindex +p1742 +tp1743 +a(g831 +V\u000a +p1744 +tp1745 +a(g831 +V +p1746 +tp1747 +a(g8 +Vend +p1748 +tp1749 +a(g831 +V\u000a +p1750 +tp1751 +a(g831 +V +p1752 +tp1753 +a(g8 +Vcheck +p1754 +tp1755 +a(g831 +g964 +tp1756 +a(g901 +VCurrent +p1757 +tp1758 +a(g831 +g964 +tp1759 +a(g405 +V/= +p1760 +tp1761 +a(g831 +g964 +tp1762 +a(g901 +VVoid +p1763 +tp1764 +a(g831 +g964 +tp1765 +a(g8 +Vend +p1766 +tp1767 +a(g831 +V\u000a +p1768 +tp1769 +a(g831 +V +p1770 +tp1771 +a(g8 +Vdebug +p1772 +tp1773 +a(g831 +g964 +tp1774 +a(g428 +Vprint +p1775 +tp1776 +a(g698 +g1326 +tp1777 +a(g81 +V"%"Here%"%N" +p1778 +tp1779 +a(g698 +g1330 +tp1780 +a(g831 +g964 +tp1781 +a(g8 +Vend +p1782 +tp1783 +a(g831 +V\u000a +p1784 +tp1785 +a(g831 +V +p1786 +tp1787 +a(g8 +Vensure +p1788 +tp1789 +a(g831 +V\u000a +p1790 +tp1791 +a(g831 +V +p1792 +tp1793 +a(g428 +VIs_Cool_Not_Change +p1794 +tp1795 +a(g698 +g966 +tp1796 +a(g831 +g964 +tp1797 +a(g428 +Vis_cool +p1798 +tp1799 +a(g831 +g964 +tp1800 +a(g405 +g1529 +tp1801 +a(g831 +g964 +tp1802 +a(g8 +Vold +p1803 +tp1804 +a(g831 +g964 +tp1805 +a(g428 +Vis_cool +p1806 +tp1807 +a(g831 +V\u000a +p1808 +tp1809 +a(g831 +V +p1810 +tp1811 +a(g8 +Vend +p1812 +tp1813 +a(g831 +V\u000a\u000a +p1814 +tp1815 +a(g831 +g960 +tp1816 +a(g428 +Vis_cool +p1817 +tp1818 +a(g698 +g966 +tp1819 +a(g621 +VBOOLEAN +p1820 +tp1821 +a(g831 +V\u000a +p1822 +tp1823 +a(g831 +V +p1824 +tp1825 +a(g8 +Vattribute +p1826 +tp1827 +a(g831 +V\u000a +p1828 +tp1829 +a(g831 +V +p1830 +tp1831 +a(g901 +VResult +p1832 +tp1833 +a(g405 +V:= +p1834 +tp1835 +a(g901 +VFalse +p1836 +tp1837 +a(g831 +V\u000a +p1838 +tp1839 +a(g831 +V +p1840 +tp1841 +a(g8 +Vend +p1842 +tp1843 +a(g831 +V\u000a\u000a +p1844 +tp1845 +a(g831 +g960 +tp1846 +a(g8 +VfroZen +p1847 +tp1848 +a(g831 +g964 +tp1849 +a(g428 +Vc_malloc +p1850 +tp1851 +a(g698 +g966 +tp1852 +a(g831 +g964 +tp1853 +a(g621 +VPOINTER +p1854 +tp1855 +a(g831 +g964 +tp1856 +a(g428 +Vis +p1857 +tp1858 +a(g831 +V\u000a +p1859 +tp1860 +a(g831 +V +p1861 +tp1862 +a(g8 +VexTErnal +p1863 +tp1864 +a(g831 +V\u000a +p1865 +tp1866 +a(g831 +V +p1867 +tp1868 +a(g81 +V"C inline use " +p1869 +tp1870 +a(g831 +V\u000a +p1871 +tp1872 +a(g831 +V +p1873 +tp1874 +a(g8 +ValIAs +p1875 +tp1876 +a(g831 +V\u000a +p1877 +tp1878 +a(g831 +V +p1879 +tp1880 +a(g81 +V"malloc (1)" +p1881 +tp1882 +a(g831 +V\u000a +p1883 +tp1884 +a(g831 +V +p1885 +tp1886 +a(g8 +Vend +p1887 +tp1888 +a(g831 +V\u000a\u000a +p1889 +tp1890 +a(g831 +g960 +tp1891 +a(g428 +Vas_boolean +p1892 +tp1893 +a(g698 +g966 +tp1894 +a(g621 +VBOOLEAN +p1895 +tp1896 +a(g831 +V\u000a +p1897 +tp1898 +a(g831 +V +p1899 +tp1900 +a(g8 +Vdo +p1901 +tp1902 +a(g831 +V\u000a +p1903 +tp1904 +a(g831 +V +p1905 +tp1906 +a(g901 +VResult +p1907 +tp1908 +a(g405 +V:= +p1909 +tp1910 +a(g901 +VTrue +p1911 +tp1912 +a(g831 +V\u000a +p1913 +tp1914 +a(g831 +V +p1915 +tp1916 +a(g8 +Vrescue +p1917 +tp1918 +a(g831 +V\u000a +p1919 +tp1920 +a(g831 +V +p1921 +tp1922 +a(g8 +Vretry +p1923 +tp1924 +a(g831 +V\u000a +p1925 +tp1926 +a(g831 +V +p1927 +tp1928 +a(g8 +Vend +p1929 +tp1930 +a(g831 +V\u000a\u000a +p1931 +tp1932 +a(g8 +Vfeature +p1933 +tp1934 +a(g831 +g964 +tp1935 +a(g698 +g1076 +tp1936 +a(g621 +VANY +p1937 +tp1938 +a(g698 +g1080 +tp1939 +a(g831 +g964 +tp1940 +a(g750 +V-- The redefine feature\u000a +p1941 +tp1942 +a(g831 +V\u000a +p1943 +tp1944 +a(g831 +g960 +tp1945 +a(g428 +Vout +p1946 +tp1947 +a(g698 +g966 +tp1948 +a(g621 +VSTRING_8 +p1949 +tp1950 +a(g831 +V\u000a +p1951 +tp1952 +a(g831 +V +p1953 +tp1954 +a(g8 +Vonce +p1955 +tp1956 +a(g831 +V\u000a +p1957 +tp1958 +a(g831 +V +p1959 +tp1960 +a(g901 +VreSUlt +p1961 +tp1962 +a(g405 +V:= +p1963 +tp1964 +a(g901 +VPrecursOr +p1965 +tp1966 +a(g831 +g964 +tp1967 +a(g698 +g1076 +tp1968 +a(g621 +VANY +p1969 +tp1970 +a(g698 +g1080 +tp1971 +a(g831 +V\u000a +p1972 +tp1973 +a(g831 +V +p1974 +tp1975 +a(g901 +VResult +p1976 +tp1977 +a(g831 +g964 +tp1978 +a(g405 +V:= +p1979 +tp1980 +a(g831 +g964 +tp1981 +a(g81 +V"Hello Worl" +p1982 +tp1983 +a(g405 +V+ +p1984 +tp1985 +a(g698 +g1326 +tp1986 +a(g85 +V'd' +p1987 +tp1988 +a(g698 +g1330 +tp1989 +a(g698 +g1318 +tp1990 +a(g428 +Vout +p1991 +tp1992 +a(g831 +V\u000a +p1993 +tp1994 +a(g831 +V +p1995 +tp1996 +a(g8 +Vend +p1997 +tp1998 +a(g831 +V\u000a\u000a +p1999 +tp2000 +a(g8 +Vinvariant +p2001 +tp2002 +a(g831 +V\u000a +p2003 +tp2004 +a(g831 +g960 +tp2005 +a(g428 +VAlways_Cool +p2006 +tp2007 +a(g698 +g966 +tp2008 +a(g831 +g964 +tp2009 +a(g428 +Vis_cool +p2010 +tp2011 +a(g831 +V\u000a +p2012 +tp2013 +a(g8 +Vend +p2014 +tp2015 +a(g831 +V\u000a +p2016 +tp2017 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.elm b/tests/examplefiles/output/example.elm new file mode 100644 index 0000000..9cc0fea --- /dev/null +++ b/tests/examplefiles/output/example.elm @@ -0,0 +1,3672 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVimport +p956 +tp957 +a(g620 +VMath.Vector3 +p958 +tp959 +a(g830 +V +p960 +tp961 +a(g566 +V(..) +p962 +tp963 +a(g830 +V\u000a +p964 +tp965 +a(g8 +Vimport +p966 +tp967 +a(g620 +VMath.Matrix4 +p968 +tp969 +a(g830 +g960 +tp970 +a(g566 +V(..) +p971 +tp972 +a(g830 +V\u000a +p973 +tp974 +a(g8 +Vimport +p975 +tp976 +a(g620 +VGraphics.WebGL +p977 +tp978 +a(g830 +g960 +tp979 +a(g566 +V(..) +p980 +tp981 +a(g830 +V\u000a\u000a +p982 +tp983 +a(g749 +V-- Create a mesh with two triangles +p984 +tp985 +a(g830 +V\u000a\u000a +p986 +tp987 +a(g923 +Vtype +p988 +tp989 +a(g830 +g960 +tp990 +a(g885 +VVertex +p991 +tp992 +a(g830 +g960 +tp993 +a(g566 +V= +p994 +tp995 +a(g830 +g960 +tp996 +a(g697 +V{ +p997 +tp998 +a(g830 +g960 +tp999 +a(g433 +Vposition +p1000 +tp1001 +a(g566 +V: +p1002 +tp1003 +a(g885 +VVec3 +p1004 +tp1005 +a(g697 +V, +p1006 +tp1007 +a(g830 +g960 +tp1008 +a(g433 +Vcolor +p1009 +tp1010 +a(g566 +g1002 +tp1011 +a(g885 +VVec3 +p1012 +tp1013 +a(g830 +g960 +tp1014 +a(g697 +V} +p1015 +tp1016 +a(g830 +V\u000a\u000a +p1017 +tp1018 +a(g433 +Vmesh +p1019 +tp1020 +a(g830 +g960 +tp1021 +a(g566 +g1002 +tp1022 +a(g830 +g960 +tp1023 +a(g697 +V[ +p1024 +tp1025 +a(g885 +VTriangle +p1026 +tp1027 +a(g830 +g960 +tp1028 +a(g885 +VVertex +p1029 +tp1030 +a(g697 +V] +p1031 +tp1032 +a(g830 +V\u000a +p1033 +tp1034 +a(g433 +Vmesh +p1035 +tp1036 +a(g830 +g960 +tp1037 +a(g566 +g994 +tp1038 +a(g830 +g960 +tp1039 +a(g697 +g1024 +tp1040 +a(g830 +g960 +tp1041 +a(g697 +V( +p1042 +tp1043 +a(g830 +g960 +tp1044 +a(g885 +VVertex +p1045 +tp1046 +a(g830 +g960 +tp1047 +a(g697 +g1042 +tp1048 +a(g433 +Vvec3 +p1049 +tp1050 +a(g830 +g960 +tp1051 +a(g27 +V0 +p1052 +tp1053 +a(g830 +V +p1054 +tp1055 +a(g27 +g1052 +tp1056 +a(g830 +g960 +tp1057 +a(g27 +g1052 +tp1058 +a(g697 +V) +p1059 +tp1060 +a(g830 +g960 +tp1061 +a(g697 +g1042 +tp1062 +a(g433 +Vvec3 +p1063 +tp1064 +a(g830 +g960 +tp1065 +a(g27 +V1 +p1066 +tp1067 +a(g830 +g960 +tp1068 +a(g27 +g1052 +tp1069 +a(g830 +g960 +tp1070 +a(g27 +g1052 +tp1071 +a(g697 +g1059 +tp1072 +a(g830 +V\u000a +p1073 +tp1074 +a(g697 +g1006 +tp1075 +a(g830 +g960 +tp1076 +a(g885 +VVertex +p1077 +tp1078 +a(g830 +g960 +tp1079 +a(g697 +g1042 +tp1080 +a(g433 +Vvec3 +p1081 +tp1082 +a(g830 +g960 +tp1083 +a(g27 +g1066 +tp1084 +a(g830 +V +p1085 +tp1086 +a(g27 +g1066 +tp1087 +a(g830 +g960 +tp1088 +a(g27 +g1052 +tp1089 +a(g697 +g1059 +tp1090 +a(g830 +g960 +tp1091 +a(g697 +g1042 +tp1092 +a(g433 +Vvec3 +p1093 +tp1094 +a(g830 +g960 +tp1095 +a(g27 +g1052 +tp1096 +a(g830 +g960 +tp1097 +a(g27 +g1066 +tp1098 +a(g830 +g960 +tp1099 +a(g27 +g1052 +tp1100 +a(g697 +g1059 +tp1101 +a(g830 +V\u000a +p1102 +tp1103 +a(g697 +g1006 +tp1104 +a(g830 +g960 +tp1105 +a(g885 +VVertex +p1106 +tp1107 +a(g830 +g960 +tp1108 +a(g697 +g1042 +tp1109 +a(g433 +Vvec3 +p1110 +tp1111 +a(g830 +g960 +tp1112 +a(g27 +g1066 +tp1113 +a(g830 +g960 +tp1114 +a(g566 +V- +p1115 +tp1116 +a(g27 +g1066 +tp1117 +a(g830 +g960 +tp1118 +a(g27 +g1052 +tp1119 +a(g697 +g1059 +tp1120 +a(g830 +g960 +tp1121 +a(g697 +g1042 +tp1122 +a(g433 +Vvec3 +p1123 +tp1124 +a(g830 +g960 +tp1125 +a(g27 +g1052 +tp1126 +a(g830 +g960 +tp1127 +a(g27 +g1052 +tp1128 +a(g830 +g960 +tp1129 +a(g27 +g1066 +tp1130 +a(g697 +g1059 +tp1131 +a(g830 +V\u000a +p1132 +tp1133 +a(g697 +g1059 +tp1134 +a(g830 +V\u000a +p1135 +tp1136 +a(g697 +g1031 +tp1137 +a(g830 +V\u000a\u000a +p1138 +tp1139 +a(g749 +V-- Create the scene +p1140 +tp1141 +a(g830 +V\u000a\u000a +p1142 +tp1143 +a(g923 +Vmain +p1144 +tp1145 +a(g566 +g1002 +tp1146 +a(g830 +g960 +tp1147 +a(g885 +VSignal +p1148 +tp1149 +a(g830 +g960 +tp1150 +a(g885 +VElement +p1151 +tp1152 +a(g830 +V\u000a +p1153 +tp1154 +a(g923 +Vmain +p1155 +tp1156 +a(g566 +g994 +tp1157 +a(g830 +g960 +tp1158 +a(g433 +Vscene +p1159 +tp1160 +a(g830 +g960 +tp1161 +a(g566 +V<~ +p1162 +tp1163 +a(g830 +g960 +tp1164 +a(g433 +Vfoldp +p1165 +tp1166 +a(g830 +g960 +tp1167 +a(g566 +V(+) +p1168 +tp1169 +a(g830 +g960 +tp1170 +a(g27 +g1052 +tp1171 +a(g830 +g960 +tp1172 +a(g697 +g1042 +tp1173 +a(g433 +Vfps +p1174 +tp1175 +a(g830 +g960 +tp1176 +a(g27 +V30 +p1177 +tp1178 +a(g697 +g1059 +tp1179 +a(g830 +V\u000a\u000a +p1180 +tp1181 +a(g433 +Vscene +p1182 +tp1183 +a(g830 +g960 +tp1184 +a(g566 +g1002 +tp1185 +a(g830 +g960 +tp1186 +a(g885 +VFloat +p1187 +tp1188 +a(g830 +g960 +tp1189 +a(g566 +V-> +p1190 +tp1191 +a(g830 +g960 +tp1192 +a(g885 +VElement +p1193 +tp1194 +a(g830 +V\u000a +p1195 +tp1196 +a(g433 +Vscene +p1197 +tp1198 +a(g830 +g960 +tp1199 +a(g433 +Vt +p1200 +tp1201 +a(g830 +g960 +tp1202 +a(g566 +g994 +tp1203 +a(g830 +V\u000a +p1204 +tp1205 +a(g433 +Vwebgl +p1206 +tp1207 +a(g830 +g960 +tp1208 +a(g697 +g1042 +tp1209 +a(g27 +V400 +p1210 +tp1211 +a(g697 +g1006 +tp1212 +a(g27 +V400 +p1213 +tp1214 +a(g697 +g1059 +tp1215 +a(g830 +V\u000a +p1216 +tp1217 +a(g697 +g1024 +tp1218 +a(g830 +g960 +tp1219 +a(g433 +Ventity +p1220 +tp1221 +a(g830 +g960 +tp1222 +a(g433 +VvertexShader +p1223 +tp1224 +a(g830 +g960 +tp1225 +a(g433 +VfragmentShader +p1226 +tp1227 +a(g830 +g960 +tp1228 +a(g433 +Vmesh +p1229 +tp1230 +a(g830 +g960 +tp1231 +a(g697 +g997 +tp1232 +a(g830 +g960 +tp1233 +a(g433 +Vview +p1234 +tp1235 +a(g830 +g960 +tp1236 +a(g566 +g994 +tp1237 +a(g830 +g960 +tp1238 +a(g433 +Vview +p1239 +tp1240 +a(g830 +g960 +tp1241 +a(g697 +g1042 +tp1242 +a(g433 +g1200 +tp1243 +a(g830 +g960 +tp1244 +a(g566 +V/ +p1245 +tp1246 +a(g830 +g960 +tp1247 +a(g27 +V1000 +p1248 +tp1249 +a(g697 +g1059 +tp1250 +a(g830 +g960 +tp1251 +a(g697 +g1015 +tp1252 +a(g830 +g960 +tp1253 +a(g697 +g1031 +tp1254 +a(g830 +V\u000a\u000a +p1255 +tp1256 +a(g433 +Vview +p1257 +tp1258 +a(g830 +g960 +tp1259 +a(g566 +g1002 +tp1260 +a(g830 +g960 +tp1261 +a(g885 +VFloat +p1262 +tp1263 +a(g830 +g960 +tp1264 +a(g566 +V-> +p1265 +tp1266 +a(g830 +g960 +tp1267 +a(g885 +VMat4 +p1268 +tp1269 +a(g830 +V\u000a +p1270 +tp1271 +a(g433 +Vview +p1272 +tp1273 +a(g830 +g960 +tp1274 +a(g433 +g1200 +tp1275 +a(g830 +g960 +tp1276 +a(g566 +g994 +tp1277 +a(g830 +V\u000a +p1278 +tp1279 +a(g433 +Vmul +p1280 +tp1281 +a(g830 +g960 +tp1282 +a(g697 +g1042 +tp1283 +a(g433 +VmakePerspective +p1284 +tp1285 +a(g830 +g960 +tp1286 +a(g27 +V45 +p1287 +tp1288 +a(g830 +g960 +tp1289 +a(g27 +g1066 +tp1290 +a(g830 +g960 +tp1291 +a(g307 +V0. +p1292 +tp1293 +a(g27 +V01 +p1294 +tp1295 +a(g830 +g960 +tp1296 +a(g27 +V100 +p1297 +tp1298 +a(g697 +g1059 +tp1299 +a(g830 +V\u000a +p1300 +tp1301 +a(g697 +g1042 +tp1302 +a(g433 +VmakeLookAt +p1303 +tp1304 +a(g830 +g960 +tp1305 +a(g697 +g1042 +tp1306 +a(g433 +Vvec3 +p1307 +tp1308 +a(g830 +g960 +tp1309 +a(g697 +g1042 +tp1310 +a(g27 +V4 +p1311 +tp1312 +a(g830 +g960 +tp1313 +a(g566 +V* +p1314 +tp1315 +a(g830 +g960 +tp1316 +a(g433 +Vcos +p1317 +tp1318 +a(g830 +g960 +tp1319 +a(g433 +g1200 +tp1320 +a(g697 +g1059 +tp1321 +a(g830 +g960 +tp1322 +a(g27 +g1052 +tp1323 +a(g830 +g960 +tp1324 +a(g697 +g1042 +tp1325 +a(g27 +g1311 +tp1326 +a(g830 +g960 +tp1327 +a(g566 +g1314 +tp1328 +a(g830 +g960 +tp1329 +a(g433 +Vsin +p1330 +tp1331 +a(g830 +g960 +tp1332 +a(g433 +g1200 +tp1333 +a(g697 +g1059 +tp1334 +a(g697 +g1059 +tp1335 +a(g830 +g960 +tp1336 +a(g697 +g1042 +tp1337 +a(g433 +Vvec3 +p1338 +tp1339 +a(g830 +g960 +tp1340 +a(g27 +g1052 +tp1341 +a(g830 +g960 +tp1342 +a(g27 +g1052 +tp1343 +a(g830 +g960 +tp1344 +a(g27 +g1052 +tp1345 +a(g697 +g1059 +tp1346 +a(g830 +g960 +tp1347 +a(g697 +g1042 +tp1348 +a(g433 +Vvec3 +p1349 +tp1350 +a(g830 +g960 +tp1351 +a(g27 +g1052 +tp1352 +a(g830 +g960 +tp1353 +a(g27 +g1066 +tp1354 +a(g830 +g960 +tp1355 +a(g27 +g1052 +tp1356 +a(g697 +g1059 +tp1357 +a(g697 +g1059 +tp1358 +a(g830 +V\u000a\u000a +p1359 +tp1360 +a(g749 +V-- Shaders +p1361 +tp1362 +a(g830 +V\u000a\u000a +p1363 +tp1364 +a(g433 +VvertexShader +p1365 +tp1366 +a(g830 +g960 +tp1367 +a(g566 +g1002 +tp1368 +a(g830 +g960 +tp1369 +a(g885 +VShader +p1370 +tp1371 +a(g830 +g960 +tp1372 +a(g697 +g997 +tp1373 +a(g830 +g960 +tp1374 +a(g433 +Vattr +p1375 +tp1376 +a(g830 +g960 +tp1377 +a(g566 +V| +p1378 +tp1379 +a(g830 +g960 +tp1380 +a(g433 +Vposition +p1381 +tp1382 +a(g566 +g1002 +tp1383 +a(g885 +VVec3 +p1384 +tp1385 +a(g697 +g1006 +tp1386 +a(g830 +g960 +tp1387 +a(g433 +Vcolor +p1388 +tp1389 +a(g566 +g1002 +tp1390 +a(g885 +VVec3 +p1391 +tp1392 +a(g830 +g960 +tp1393 +a(g697 +g1015 +tp1394 +a(g830 +g960 +tp1395 +a(g697 +g997 +tp1396 +a(g830 +g960 +tp1397 +a(g433 +Vunif +p1398 +tp1399 +a(g830 +g960 +tp1400 +a(g566 +g1378 +tp1401 +a(g830 +g960 +tp1402 +a(g433 +Vview +p1403 +tp1404 +a(g566 +g1002 +tp1405 +a(g885 +VMat4 +p1406 +tp1407 +a(g830 +g960 +tp1408 +a(g697 +g1015 +tp1409 +a(g830 +g960 +tp1410 +a(g697 +g997 +tp1411 +a(g830 +g960 +tp1412 +a(g433 +Vvcolor +p1413 +tp1414 +a(g566 +g1002 +tp1415 +a(g885 +VVec3 +p1416 +tp1417 +a(g830 +g960 +tp1418 +a(g697 +g1015 +tp1419 +a(g830 +V\u000a +p1420 +tp1421 +a(g433 +VvertexShader +p1422 +tp1423 +a(g830 +g960 +tp1424 +a(g566 +g994 +tp1425 +a(g830 +g960 +tp1426 +a(g496 +V[glsl| +p1427 +tp1428 +a(g496 +V\u000a +p1429 +tp1430 +a(g496 +V\u000a +p1431 +tp1432 +a(g496 +Vattribute vec3 position;\u000a +p1433 +tp1434 +a(g496 +Vattribute vec3 color;\u000a +p1435 +tp1436 +a(g496 +Vuniform mat4 view;\u000a +p1437 +tp1438 +a(g496 +Vvarying vec3 vcolor;\u000a +p1439 +tp1440 +a(g496 +V\u000a +p1441 +tp1442 +a(g496 +Vvoid main () {\u000a +p1443 +tp1444 +a(g496 +V gl_Position = view * vec4(position, 1.0);\u000a +p1445 +tp1446 +a(g496 +V vcolor = color;\u000a +p1447 +tp1448 +a(g496 +V}\u000a +p1449 +tp1450 +a(g496 +V\u000a +p1451 +tp1452 +a(g496 +V|] +p1453 +tp1454 +a(g830 +V\u000a\u000a +p1455 +tp1456 +a(g433 +VfragmentShader +p1457 +tp1458 +a(g830 +g960 +tp1459 +a(g566 +g1002 +tp1460 +a(g830 +g960 +tp1461 +a(g885 +VShader +p1462 +tp1463 +a(g830 +g960 +tp1464 +a(g697 +g997 +tp1465 +a(g697 +g1015 +tp1466 +a(g830 +g960 +tp1467 +a(g433 +Vu +p1468 +tp1469 +a(g830 +g960 +tp1470 +a(g697 +g997 +tp1471 +a(g830 +g960 +tp1472 +a(g433 +Vvcolor +p1473 +tp1474 +a(g566 +g1002 +tp1475 +a(g885 +VVec3 +p1476 +tp1477 +a(g830 +g960 +tp1478 +a(g697 +g1015 +tp1479 +a(g830 +V\u000a +p1480 +tp1481 +a(g433 +VfragmentShader +p1482 +tp1483 +a(g830 +g960 +tp1484 +a(g566 +g994 +tp1485 +a(g830 +g960 +tp1486 +a(g496 +V[glsl| +p1487 +tp1488 +a(g496 +V\u000a +p1489 +tp1490 +a(g496 +V\u000a +p1491 +tp1492 +a(g496 +Vprecision mediump float;\u000a +p1493 +tp1494 +a(g496 +Vvarying vec3 vcolor;\u000a +p1495 +tp1496 +a(g496 +V\u000a +p1497 +tp1498 +a(g496 +Vvoid main () {\u000a +p1499 +tp1500 +a(g496 +V gl_FragColor = vec4(vcolor, 1.0);\u000a +p1501 +tp1502 +a(g496 +V}\u000a +p1503 +tp1504 +a(g496 +V\u000a +p1505 +tp1506 +a(g496 +V|] +p1507 +tp1508 +a(g830 +V\u000a +p1509 +tp1510 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.ezt b/tests/examplefiles/output/example.ezt new file mode 100644 index 0000000..0fccb5a --- /dev/null +++ b/tests/examplefiles/output/example.ezt @@ -0,0 +1,2930 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV* Easytrieve Plus example programm.\u000a +p956 +tp957 +a(g839 +V\u000a +p958 +tp959 +a(g8 +V* Environtment section.\u000a +p960 +tp961 +a(g809 +VPARM +p962 +tp963 +a(g412 +V +p964 +tp965 +a(g435 +VDEBUG +p966 +tp967 +a(g412 +V( +p968 +tp969 +a(g435 +VFLOW +p970 +tp971 +a(g839 +g964 +tp972 +a(g435 +VFLDCHK +p973 +tp974 +a(g412 +V) +p975 +tp976 +a(g839 +V\u000a\u000a +p977 +tp978 +a(g8 +V* Library Section.\u000a +p979 +tp980 +a(g809 +VFILE +p981 +tp982 +a(g839 +g964 +tp983 +a(g573 +VPERSNL +p984 +tp985 +a(g839 +g964 +tp986 +a(g435 +VFB +p987 +tp988 +a(g412 +g968 +tp989 +a(g37 +V150 +p990 +tp991 +a(g839 +g964 +tp992 +a(g37 +V1800 +p993 +tp994 +a(g412 +g975 +tp995 +a(g839 +V\u000a +p996 +tp997 +a(g839 +V +p998 +tp999 +a(g435 +VNAME +p1000 +tp1001 +a(g839 +V +p1002 +tp1003 +a(g37 +V17 +p1004 +tp1005 +a(g839 +g964 +tp1006 +a(g37 +V8 +p1007 +tp1008 +a(g839 +g964 +tp1009 +a(g435 +VA +p1010 +tp1011 +a(g839 +V\u000a +p1012 +tp1013 +a(g839 +V +p1014 +tp1015 +a(g435 +VEMP# +p1016 +tp1017 +a(g839 +V +p1018 +tp1019 +a(g37 +V9 +p1020 +tp1021 +a(g839 +g964 +tp1022 +a(g37 +V5 +p1023 +tp1024 +a(g839 +g964 +tp1025 +a(g435 +VN +p1026 +tp1027 +a(g839 +g964 +tp1028 +a(g8 +V* Note: '#' is a valid character for names.\u000a +p1029 +tp1030 +a(g839 +V +p1031 +tp1032 +a(g435 +VDEPT +p1033 +tp1034 +a(g839 +V +p1035 +tp1036 +a(g37 +V98 +p1037 +tp1038 +a(g839 +g964 +tp1039 +a(g37 +V3 +p1040 +tp1041 +a(g839 +g964 +tp1042 +a(g435 +g1026 +tp1043 +a(g412 +V. +p1044 +tp1045 +a(g839 +g964 +tp1046 +a(g435 +VGROSS +p1047 +tp1048 +a(g839 +g964 +tp1049 +a(g37 +V94 +p1050 +tp1051 +a(g839 +g964 +tp1052 +a(g37 +V4 +p1053 +tp1054 +a(g839 +g964 +tp1055 +a(g435 +VP +p1056 +tp1057 +a(g839 +g964 +tp1058 +a(g37 +V2 +p1059 +tp1060 +a(g839 +V\u000a +p1061 +tp1062 +a(g839 +V +p1063 +tp1064 +a(g8 +V* ^ 2 field definitions in 1 line.\u000a +p1065 +tp1066 +a(g839 +V\u000a +p1067 +tp1068 +a(g8 +V* Call macro in example.mac.\u000a +p1069 +tp1070 +a(g809 +VFILE +p1071 +tp1072 +a(g839 +g964 +tp1073 +a(g573 +VEXAMPLE +p1074 +tp1075 +a(g839 +g964 +tp1076 +a(g435 +VFB +p1077 +tp1078 +a(g412 +g968 +tp1079 +a(g37 +V80 +p1080 +tp1081 +a(g839 +g964 +tp1082 +a(g37 +V200 +p1083 +tp1084 +a(g412 +g975 +tp1085 +a(g839 +V\u000a +p1086 +tp1087 +a(g440 +V%EXAMPLE +p1088 +tp1089 +a(g839 +g964 +tp1090 +a(g435 +VSOMEFILE +p1091 +tp1092 +a(g839 +g964 +tp1093 +a(g435 +VSOME +p1094 +tp1095 +a(g839 +V\u000a\u000a +p1096 +tp1097 +a(g8 +V* Activity Section.\u000a +p1098 +tp1099 +a(g809 +VJOB +p1100 +tp1101 +a(g412 +g964 +tp1102 +a(g435 +VINPUT +p1103 +tp1104 +a(g839 +g964 +tp1105 +a(g435 +VPERSNL +p1106 +tp1107 +a(g839 +g964 +tp1108 +a(g435 +VNAME +p1109 +tp1110 +a(g839 +g964 +tp1111 +a(g435 +VFIRST-PROGRAM +p1112 +tp1113 +a(g839 +g964 +tp1114 +a(g435 +VSTART +p1115 +tp1116 +a(g839 +g964 +tp1117 +a(g435 +VAT-START +p1118 +tp1119 +a(g839 +g964 +tp1120 +a(g435 +VFINISH +p1121 +tp1122 +a(g839 +g964 +tp1123 +a(g435 +VAT_FINISH +p1124 +tp1125 +a(g839 +V\u000a +p1126 +tp1127 +a(g839 +V +p1128 +tp1129 +a(g793 +VPRINT +p1130 +tp1131 +a(g412 +g964 +tp1132 +a(g435 +VPAY-RPT +p1133 +tp1134 +a(g839 +V\u000a +p1135 +tp1136 +a(g809 +VREPORT +p1137 +tp1138 +a(g839 +g964 +tp1139 +a(g573 +VPAY-RPT +p1140 +tp1141 +a(g839 +g964 +tp1142 +a(g435 +VLINESIZE +p1143 +tp1144 +a(g839 +g964 +tp1145 +a(g37 +V80 +p1146 +tp1147 +a(g839 +V\u000a +p1148 +tp1149 +a(g839 +V +p1150 +tp1151 +a(g793 +VTITLE +p1152 +tp1153 +a(g412 +g964 +tp1154 +a(g37 +V01 +p1155 +tp1156 +a(g839 +g964 +tp1157 +a(g89 +V'PERSONNEL REPORT EXAMPLE-1' +p1158 +tp1159 +a(g839 +V\u000a +p1160 +tp1161 +a(g839 +V +p1162 +tp1163 +a(g793 +VLINE +p1164 +tp1165 +a(g412 +g964 +tp1166 +a(g37 +V01 +p1167 +tp1168 +a(g839 +g964 +tp1169 +a(g435 +VDEPT +p1170 +tp1171 +a(g839 +g964 +tp1172 +a(g435 +VNAME +p1173 +tp1174 +a(g839 +g964 +tp1175 +a(g435 +VEMP# +p1176 +tp1177 +a(g839 +g964 +tp1178 +a(g435 +VGROSS +p1179 +tp1180 +a(g839 +V\u000a\u000a +p1181 +tp1182 +a(g8 +V* Procedure declarations.\u000a +p1183 +tp1184 +a(g573 +VAT-START +p1185 +tp1186 +a(g412 +g1044 +tp1187 +a(g839 +g964 +tp1188 +a(g809 +VPROC +p1189 +tp1190 +a(g839 +V\u000a +p1191 +tp1192 +a(g839 +V +p1193 +tp1194 +a(g793 +VDISPLAY +p1195 +tp1196 +a(g412 +g964 +tp1197 +a(g89 +V'PROCESSING...' +p1198 +tp1199 +a(g839 +V\u000a +p1200 +tp1201 +a(g793 +VEND-PROC +p1202 +tp1203 +a(g412 +V\u000a +p1204 +tp1205 +a(g839 +V\u000a +p1206 +tp1207 +a(g573 +VAT-FINISH +p1208 +tp1209 +a(g839 +V\u000a +p1210 +tp1211 +a(g809 +VPROC +p1212 +tp1213 +a(g839 +V\u000a +p1214 +tp1215 +a(g839 +V +p1216 +tp1217 +a(g793 +VDISPLAY +p1218 +tp1219 +a(g412 +g964 +tp1220 +a(g89 +V'DONE.' +p1221 +tp1222 +a(g839 +V\u000a +p1223 +tp1224 +a(g793 +VEND-PROC +p1225 +tp1226 +a(g412 +V\u000a +p1227 +tp1228 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.f90 b/tests/examplefiles/output/example.f90 new file mode 100644 index 0000000..70ccbd5 --- /dev/null +++ b/tests/examplefiles/output/example.f90 @@ -0,0 +1,2556 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVprogram +p956 +tp957 +a(g423 +Vmain +p958 +tp959 +a(g826 +V\u000a +p960 +tp961 +a(g881 +Vinteger +p962 +tp963 +a(g693 +V, +p964 +tp965 +a(g826 +V +p966 +tp967 +a(g7 +Vparameter +p968 +tp969 +a(g826 +g966 +tp970 +a(g942 +V:: +p971 +tp972 +a(g826 +g966 +tp973 +a(g423 +Vmykind +p974 +tp975 +a(g826 +g966 +tp976 +a(g400 +V= +p977 +tp978 +a(g826 +g966 +tp979 +a(g669 +Vselected_real_kind +p980 +tp981 +a(g693 +V( +p982 +tp983 +a(g693 +V) +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g7 +Vprint +p988 +tp989 +a(g826 +g966 +tp990 +a(g400 +V* +p991 +tp992 +a(g693 +g964 +tp993 +a(g826 +g966 +tp994 +a(g22 +V1 +p995 +tp996 +a(g826 +V\u000a +p997 +tp998 +a(g7 +Vprint +p999 +tp1000 +a(g826 +g966 +tp1001 +a(g400 +g991 +tp1002 +a(g693 +g964 +tp1003 +a(g826 +g966 +tp1004 +a(g22 +V1_mykind +p1005 +tp1006 +a(g826 +V\u000a +p1007 +tp1008 +a(g7 +Vprint +p1009 +tp1010 +a(g826 +g966 +tp1011 +a(g400 +g991 +tp1012 +a(g693 +g964 +tp1013 +a(g826 +g966 +tp1014 +a(g302 +V1. +p1015 +tp1016 +a(g826 +V\u000a +p1017 +tp1018 +a(g7 +Vprint +p1019 +tp1020 +a(g826 +g966 +tp1021 +a(g400 +g991 +tp1022 +a(g693 +g964 +tp1023 +a(g826 +g966 +tp1024 +a(g302 +V1._mykind +p1025 +tp1026 +a(g826 +V\u000a +p1027 +tp1028 +a(g7 +Vprint +p1029 +tp1030 +a(g826 +g966 +tp1031 +a(g400 +g991 +tp1032 +a(g693 +g964 +tp1033 +a(g826 +g966 +tp1034 +a(g693 +g982 +tp1035 +a(g302 +V1. +p1036 +tp1037 +a(g693 +g964 +tp1038 +a(g826 +g966 +tp1039 +a(g302 +V1._mykind +p1040 +tp1041 +a(g693 +g984 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g7 +Vend +p1045 +tp1046 +a(g7 +Vprogram +p1047 +tp1048 +a(g423 +Vmain +p1049 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.feature b/tests/examplefiles/output/example.feature new file mode 100644 index 0000000..0ece0b6 --- /dev/null +++ b/tests/examplefiles/output/example.feature @@ -0,0 +1,2965 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# First comment +p956 +tp957 +a(g569 +V\u000a +p958 +tp959 +a(g740 +VFeature +p960 +tp961 +a(g740 +V: +p962 +tp963 +a(g569 +V My amazing feature +p964 +tp965 +a(g569 +V\u000a +p966 +tp967 +a(g569 +V +p968 +tp969 +a(g569 +g968 +tp970 +a(g569 +VF +p971 +tp972 +a(g569 +Ve +p973 +tp974 +a(g569 +Va +p975 +tp976 +a(g569 +Vt +p977 +tp978 +a(g569 +Vu +p979 +tp980 +a(g569 +Vr +p981 +tp982 +a(g569 +g973 +tp983 +a(g569 +g968 +tp984 +a(g569 +Vd +p985 +tp986 +a(g569 +g973 +tp987 +a(g569 +Vs +p988 +tp989 +a(g569 +Vc +p990 +tp991 +a(g569 +g981 +tp992 +a(g569 +Vi +p993 +tp994 +a(g569 +Vp +p995 +tp996 +a(g569 +g977 +tp997 +a(g569 +g993 +tp998 +a(g569 +Vo +p999 +tp1000 +a(g569 +Vn +p1001 +tp1002 +a(g569 +g968 +tp1003 +a(g569 +Vl +p1004 +tp1005 +a(g569 +g993 +tp1006 +a(g569 +g1001 +tp1007 +a(g569 +g973 +tp1008 +a(g569 +g968 +tp1009 +a(g569 +V1 +p1010 +tp1011 +a(g569 +V\u000a +p1012 +tp1013 +a(g569 +g968 +tp1014 +a(g569 +g968 +tp1015 +a(g569 +g971 +tp1016 +a(g569 +g973 +tp1017 +a(g569 +g975 +tp1018 +a(g569 +g977 +tp1019 +a(g569 +g979 +tp1020 +a(g569 +g981 +tp1021 +a(g569 +g973 +tp1022 +a(g569 +g968 +tp1023 +a(g569 +g985 +tp1024 +a(g569 +g973 +tp1025 +a(g569 +g988 +tp1026 +a(g569 +g990 +tp1027 +a(g569 +g981 +tp1028 +a(g569 +g993 +tp1029 +a(g569 +g995 +tp1030 +a(g569 +g977 +tp1031 +a(g569 +g993 +tp1032 +a(g569 +g999 +tp1033 +a(g569 +g1001 +tp1034 +a(g569 +g968 +tp1035 +a(g569 +g1004 +tp1036 +a(g569 +g993 +tp1037 +a(g569 +g1001 +tp1038 +a(g569 +g973 +tp1039 +a(g569 +g968 +tp1040 +a(g569 +V2 +p1041 +tp1042 +a(g569 +V\u000a +p1043 +tp1044 +a(g7 +V\u000a#comment +p1045 +tp1046 +a(g569 +V\u000a +p1047 +tp1048 +a(g740 +VScenario Outline +p1049 +tp1050 +a(g740 +g962 +tp1051 +a(g569 +V My detailed scenario #string +p1052 +tp1053 +a(g569 +V\u000a +p1054 +tp1055 +a(g740 +V Given +p1056 +tp1057 +a(g569 +VT +p1058 +tp1059 +a(g569 +Vh +p1060 +tp1061 +a(g569 +g975 +tp1062 +a(g569 +g977 +tp1063 +a(g569 +g968 +tp1064 +a(g436 +V +p1065 +tp1066 +a(g569 +g968 +tp1067 +a(g569 +g993 +tp1068 +a(g569 +g988 +tp1069 +a(g569 +g968 +tp1070 +a(g569 +g988 +tp1071 +a(g569 +g973 +tp1072 +a(g569 +g977 +tp1073 +a(g569 +V\u000a +p1074 +tp1075 +a(g569 +V +p1076 +tp1077 +a(g740 +VWhen +p1078 +tp1079 +a(g569 +VW +p1080 +tp1081 +a(g569 +g1060 +tp1082 +a(g569 +g973 +tp1083 +a(g569 +g1001 +tp1084 +a(g569 +g968 +tp1085 +a(g569 +VI +p1086 +tp1087 +a(g569 +g968 +tp1088 +a(g436 +V +p1089 +tp1090 +a(g740 +V +p1091 +tp1092 +a(g569 +V\u000a +p1093 +tp1094 +a(g569 +V +p1095 +tp1096 +a(g740 +VThen +p1097 +tp1098 +a(g569 +g1086 +tp1099 +a(g569 +g968 +tp1100 +a(g569 +g988 +tp1101 +a(g569 +g1060 +tp1102 +a(g569 +g999 +tp1103 +a(g569 +g979 +tp1104 +a(g569 +g1004 +tp1105 +a(g569 +g985 +tp1106 +a(g569 +g968 +tp1107 +a(g569 +Vg +p1108 +tp1109 +a(g569 +g973 +tp1110 +a(g569 +g977 +tp1111 +a(g569 +g968 +tp1112 +a(g569 +g977 +tp1113 +a(g569 +g1060 +tp1114 +a(g569 +g973 +tp1115 +a(g569 +g968 +tp1116 +a(g436 +V +p1117 +tp1118 +a(g740 +g1091 +tp1119 +a(g569 +V\u000a +p1120 +tp1121 +a(g569 +V\u000a +p1122 +tp1123 +a(g7 +V # indented comment +p1124 +tp1125 +a(g569 +V\u000a +p1126 +tp1127 +a(g569 +V +p1128 +tp1129 +a(g740 +VExamples +p1130 +tp1131 +a(g740 +g962 +tp1132 +a(g740 +V\u000a | +p1133 +tp1134 +a(g436 +g968 +tp1135 +a(g436 +Vx +p1136 +tp1137 +a(g740 +V | +p1138 +tp1139 +a(g436 +g968 +tp1140 +a(g436 +g988 +tp1141 +a(g436 +g979 +tp1142 +a(g436 +Vb +p1143 +tp1144 +a(g436 +g977 +tp1145 +a(g436 +g981 +tp1146 +a(g436 +g975 +tp1147 +a(g436 +g990 +tp1148 +a(g436 +g977 +tp1149 +a(g740 +V | +p1150 +tp1151 +a(g436 +g968 +tp1152 +a(g436 +g981 +tp1153 +a(g436 +g973 +tp1154 +a(g436 +Vm +p1155 +tp1156 +a(g436 +g975 +tp1157 +a(g436 +g993 +tp1158 +a(g436 +g1001 +tp1159 +a(g436 +V# +p1160 +tp1161 +a(g436 +g985 +tp1162 +a(g436 +g973 +tp1163 +a(g436 +g981 +tp1164 +a(g740 +V | +p1165 +tp1166 +a(g569 +V\u000a +p1167 +tp1168 +a(g740 +V | +p1169 +tp1170 +a(g84 +g968 +tp1171 +a(g84 +g1010 +tp1172 +a(g84 +g1041 +tp1173 +a(g740 +V | +p1174 +tp1175 +a(g84 +g968 +tp1176 +a(g84 +V5 +p1177 +tp1178 +a(g84 +V\u005c| +p1179 +tp1180 +a(g84 +V3 +p1181 +tp1182 +a(g740 +V | +p1183 +tp1184 +a(g84 +g968 +tp1185 +a(g84 +g968 +tp1186 +a(g84 +g1160 +tp1187 +a(g84 +V7 +p1188 +tp1189 +a(g84 +g1181 +tp1190 +a(g740 +V | +p1191 +tp1192 +a(g569 +V\u000a +p1193 +tp1194 +a(g740 +V | +p1195 +tp1196 +a(g84 +g968 +tp1197 +a(g84 +g1160 +tp1198 +a(g84 +g977 +tp1199 +a(g84 +g1060 +tp1200 +a(g84 +g973 +tp1201 +a(g740 +V | +p1202 +tp1203 +a(g84 +g968 +tp1204 +a(g84 +g1010 +tp1205 +a(g84 +V0 +p1206 +tp1207 +a(g740 +V | +p1208 +tp1209 +a(g84 +g968 +tp1210 +a(g84 +g968 +tp1211 +a(g84 +g1010 +tp1212 +a(g84 +g1177 +tp1213 +a(g740 +V |\u000a +p1214 +tp1215 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.fish b/tests/examplefiles/output/example.fish new file mode 100644 index 0000000..7974b14 --- /dev/null +++ b/tests/examplefiles/output/example.fish @@ -0,0 +1,13229 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# -----------------------------------------------------------------------------\u000a +p956 +tp957 +a(g7 +V# Fishshell Samples\u000a +p958 +tp959 +a(g7 +V# |- Theme / bobthefish\u000a +p960 +tp961 +a(g7 +V# |- Function / funced\u000a +p962 +tp963 +a(g7 +V# |- Configuration / config.fish\u000a +p964 +tp965 +a(g7 +V# -----------------------------------------------------------------------------\u000a +p966 +tp967 +a(g822 +V\u000a +p968 +tp969 +a(g7 +V# name: bobthefish\u000a +p970 +tp971 +a(g7 +V#\u000a +p972 +tp973 +a(g7 +V# bobthefish is a Powerline-style, Git-aware fish theme optimized for awesome.\u000a +p974 +tp975 +a(g7 +V#\u000a +p976 +tp977 +a(g7 +V# You will probably need a Powerline-patched font for this to work:\u000a +p978 +tp979 +a(g7 +V#\u000a +p980 +tp981 +a(g7 +V# https://powerline.readthedocs.org/en/latest/fontpatching.html\u000a +p982 +tp983 +a(g7 +V#\u000a +p984 +tp985 +a(g7 +V# I recommend picking one of these:\u000a +p986 +tp987 +a(g7 +V#\u000a +p988 +tp989 +a(g7 +V# https://github.com/Lokaltog/powerline-fonts\u000a +p990 +tp991 +a(g7 +V#\u000a +p992 +tp993 +a(g7 +V# You can override some default options in your config.fish:\u000a +p994 +tp995 +a(g7 +V#\u000a +p996 +tp997 +a(g7 +V# set -g theme_display_user yes\u000a +p998 +tp999 +a(g7 +V# set -g default_user your_normal_user\u000a +p1000 +tp1001 +a(g822 +V\u000a +p1002 +tp1003 +a(g740 +Vset +p1004 +tp1005 +a(g822 +V +p1006 +tp1007 +a(g822 +V-g +p1008 +tp1009 +a(g822 +g1006 +tp1010 +a(g822 +V__bobthefish_current_bg +p1011 +tp1012 +a(g822 +g1006 +tp1013 +a(g822 +VNONE +p1014 +tp1015 +a(g822 +V\u000a\u000a +p1016 +tp1017 +a(g7 +V# Powerline glyphs\u000a +p1018 +tp1019 +a(g740 +Vset +p1020 +tp1021 +a(g822 +g1006 +tp1022 +a(g822 +V__bobthefish_branch_glyph +p1023 +tp1024 +a(g822 +V +p1025 +tp1026 +a(g208 +V\u005cu +p1027 +tp1028 +a(g822 +VE0A0 +p1029 +tp1030 +a(g822 +V\u000a +p1031 +tp1032 +a(g740 +Vset +p1033 +tp1034 +a(g822 +g1006 +tp1035 +a(g822 +V__bobthefish_ln_glyph +p1036 +tp1037 +a(g822 +V +p1038 +tp1039 +a(g208 +V\u005cu +p1040 +tp1041 +a(g822 +VE0A1 +p1042 +tp1043 +a(g822 +V\u000a +p1044 +tp1045 +a(g740 +Vset +p1046 +tp1047 +a(g822 +g1006 +tp1048 +a(g822 +V__bobthefish_padlock_glyph +p1049 +tp1050 +a(g822 +V +p1051 +tp1052 +a(g208 +V\u005cu +p1053 +tp1054 +a(g822 +VE0A2 +p1055 +tp1056 +a(g822 +V\u000a +p1057 +tp1058 +a(g740 +Vset +p1059 +tp1060 +a(g822 +g1006 +tp1061 +a(g822 +V__bobthefish_right_black_arrow_glyph +p1062 +tp1063 +a(g822 +g1006 +tp1064 +a(g208 +V\u005cu +p1065 +tp1066 +a(g822 +VE0B0 +p1067 +tp1068 +a(g822 +V\u000a +p1069 +tp1070 +a(g740 +Vset +p1071 +tp1072 +a(g822 +g1006 +tp1073 +a(g822 +V__bobthefish_right_arrow_glyph +p1074 +tp1075 +a(g822 +V +p1076 +tp1077 +a(g208 +V\u005cu +p1078 +tp1079 +a(g822 +VE0B1 +p1080 +tp1081 +a(g822 +V\u000a +p1082 +tp1083 +a(g740 +Vset +p1084 +tp1085 +a(g822 +g1006 +tp1086 +a(g822 +V__bobthefish_left_black_arrow_glyph +p1087 +tp1088 +a(g822 +V +p1089 +tp1090 +a(g208 +V\u005cu +p1091 +tp1092 +a(g822 +VE0B2 +p1093 +tp1094 +a(g822 +V\u000a +p1095 +tp1096 +a(g740 +Vset +p1097 +tp1098 +a(g822 +g1006 +tp1099 +a(g822 +V__bobthefish_left_arrow_glyph +p1100 +tp1101 +a(g822 +V +p1102 +tp1103 +a(g208 +V\u005cu +p1104 +tp1105 +a(g822 +VE0B3 +p1106 +tp1107 +a(g822 +V\u000a\u000a +p1108 +tp1109 +a(g7 +V# Additional glyphs\u000a +p1110 +tp1111 +a(g740 +Vset +p1112 +tp1113 +a(g822 +g1006 +tp1114 +a(g822 +V__bobthefish_detached_glyph +p1115 +tp1116 +a(g822 +V +p1117 +tp1118 +a(g208 +V\u005cu +p1119 +tp1120 +a(g822 +V27A6 +p1121 +tp1122 +a(g822 +V\u000a +p1123 +tp1124 +a(g740 +Vset +p1125 +tp1126 +a(g822 +g1006 +tp1127 +a(g822 +V__bobthefish_nonzero_exit_glyph +p1128 +tp1129 +a(g822 +V +p1130 +tp1131 +a(g225 +V'! ' +p1132 +tp1133 +a(g822 +V\u000a +p1134 +tp1135 +a(g740 +Vset +p1136 +tp1137 +a(g822 +g1006 +tp1138 +a(g822 +V__bobthefish_superuser_glyph +p1139 +tp1140 +a(g822 +V +p1141 +tp1142 +a(g225 +V'$ ' +p1143 +tp1144 +a(g822 +V\u000a +p1145 +tp1146 +a(g740 +Vset +p1147 +tp1148 +a(g822 +g1006 +tp1149 +a(g822 +V__bobthefish_bg_job_glyph +p1150 +tp1151 +a(g822 +V +p1152 +tp1153 +a(g225 +V'% ' +p1154 +tp1155 +a(g822 +V\u000a +p1156 +tp1157 +a(g740 +Vset +p1158 +tp1159 +a(g822 +g1006 +tp1160 +a(g822 +V__bobthefish_hg_glyph +p1161 +tp1162 +a(g822 +V +p1163 +tp1164 +a(g208 +V\u005cu +p1165 +tp1166 +a(g822 +V263F +p1167 +tp1168 +a(g822 +V\u000a\u000a +p1169 +tp1170 +a(g7 +V# Python glyphs\u000a +p1171 +tp1172 +a(g740 +Vset +p1173 +tp1174 +a(g822 +g1006 +tp1175 +a(g822 +V__bobthefish_superscript_glyph +p1176 +tp1177 +a(g822 +V +p1178 +tp1179 +a(g208 +V\u005cu +p1180 +tp1181 +a(g822 +V00B9 +p1182 +tp1183 +a(g822 +g1006 +tp1184 +a(g208 +V\u005cu +p1185 +tp1186 +a(g822 +V00B2 +p1187 +tp1188 +a(g822 +g1006 +tp1189 +a(g208 +V\u005cu +p1190 +tp1191 +a(g822 +V00B3 +p1192 +tp1193 +a(g822 +V\u000a +p1194 +tp1195 +a(g740 +Vset +p1196 +tp1197 +a(g822 +g1006 +tp1198 +a(g822 +V__bobthefish_virtualenv_glyph +p1199 +tp1200 +a(g822 +V +p1201 +tp1202 +a(g208 +V\u005cu +p1203 +tp1204 +a(g822 +V25F0 +p1205 +tp1206 +a(g822 +V\u000a +p1207 +tp1208 +a(g740 +Vset +p1209 +tp1210 +a(g822 +g1006 +tp1211 +a(g822 +V__bobthefish_pypy_glyph +p1212 +tp1213 +a(g822 +V +p1214 +tp1215 +a(g208 +V\u005cu +p1216 +tp1217 +a(g822 +V1D56 +p1218 +tp1219 +a(g822 +V\u000a\u000a +p1220 +tp1221 +a(g7 +V# Colors\u000a +p1222 +tp1223 +a(g740 +Vset +p1224 +tp1225 +a(g822 +g1006 +tp1226 +a(g822 +V__bobthefish_lt_green +p1227 +tp1228 +a(g822 +V +p1229 +tp1230 +a(g822 +Vaddc10 +p1231 +tp1232 +a(g822 +V\u000a +p1233 +tp1234 +a(g740 +Vset +p1235 +tp1236 +a(g822 +g1006 +tp1237 +a(g822 +V__bobthefish_med_green +p1238 +tp1239 +a(g822 +V +p1240 +tp1241 +a(g822 +V189303 +p1242 +tp1243 +a(g822 +V\u000a +p1244 +tp1245 +a(g740 +Vset +p1246 +tp1247 +a(g822 +g1006 +tp1248 +a(g822 +V__bobthefish_dk_green +p1249 +tp1250 +a(g822 +V +p1251 +tp1252 +a(g822 +V0c4801 +p1253 +tp1254 +a(g822 +V\u000a\u000a +p1255 +tp1256 +a(g740 +Vset +p1257 +tp1258 +a(g822 +g1006 +tp1259 +a(g822 +V__bobthefish_lt_red +p1260 +tp1261 +a(g822 +V +p1262 +tp1263 +a(g822 +VC99 +p1264 +tp1265 +a(g822 +V\u000a +p1266 +tp1267 +a(g740 +Vset +p1268 +tp1269 +a(g822 +g1006 +tp1270 +a(g822 +V__bobthefish_med_red +p1271 +tp1272 +a(g822 +V +p1273 +tp1274 +a(g822 +Vce000f +p1275 +tp1276 +a(g822 +V\u000a +p1277 +tp1278 +a(g740 +Vset +p1279 +tp1280 +a(g822 +g1006 +tp1281 +a(g822 +V__bobthefish_dk_red +p1282 +tp1283 +a(g822 +V +p1284 +tp1285 +a(g822 +V600 +p1286 +tp1287 +a(g822 +V\u000a\u000a +p1288 +tp1289 +a(g740 +Vset +p1290 +tp1291 +a(g822 +g1006 +tp1292 +a(g822 +V__bobthefish_slate_blue +p1293 +tp1294 +a(g822 +g1006 +tp1295 +a(g822 +V255e87 +p1296 +tp1297 +a(g822 +V\u000a\u000a +p1298 +tp1299 +a(g740 +Vset +p1300 +tp1301 +a(g822 +g1006 +tp1302 +a(g822 +V__bobthefish_lt_orange +p1303 +tp1304 +a(g822 +V +p1305 +tp1306 +a(g822 +Vf6b117 +p1307 +tp1308 +a(g822 +V\u000a +p1309 +tp1310 +a(g740 +Vset +p1311 +tp1312 +a(g822 +g1006 +tp1313 +a(g822 +V__bobthefish_dk_orange +p1314 +tp1315 +a(g822 +V +p1316 +tp1317 +a(g822 +V3a2a03 +p1318 +tp1319 +a(g822 +V\u000a\u000a +p1320 +tp1321 +a(g740 +Vset +p1322 +tp1323 +a(g822 +g1006 +tp1324 +a(g822 +V__bobthefish_dk_grey +p1325 +tp1326 +a(g822 +V +p1327 +tp1328 +a(g822 +V333 +p1329 +tp1330 +a(g822 +V\u000a +p1331 +tp1332 +a(g740 +Vset +p1333 +tp1334 +a(g822 +g1006 +tp1335 +a(g822 +V__bobthefish_med_grey +p1336 +tp1337 +a(g822 +V +p1338 +tp1339 +a(g822 +V999 +p1340 +tp1341 +a(g822 +V\u000a +p1342 +tp1343 +a(g740 +Vset +p1344 +tp1345 +a(g822 +g1006 +tp1346 +a(g822 +V__bobthefish_lt_grey +p1347 +tp1348 +a(g822 +V +p1349 +tp1350 +a(g822 +Vccc +p1351 +tp1352 +a(g822 +V\u000a\u000a +p1353 +tp1354 +a(g740 +Vset +p1355 +tp1356 +a(g822 +g1006 +tp1357 +a(g822 +V__bobthefish_dk_brown +p1358 +tp1359 +a(g822 +V +p1360 +tp1361 +a(g822 +V4d2600 +p1362 +tp1363 +a(g822 +V\u000a +p1364 +tp1365 +a(g740 +Vset +p1366 +tp1367 +a(g822 +g1006 +tp1368 +a(g822 +V__bobthefish_med_brown +p1369 +tp1370 +a(g822 +V +p1371 +tp1372 +a(g822 +V803F00 +p1373 +tp1374 +a(g822 +V\u000a +p1375 +tp1376 +a(g740 +Vset +p1377 +tp1378 +a(g822 +g1006 +tp1379 +a(g822 +V__bobthefish_lt_brown +p1380 +tp1381 +a(g822 +V +p1382 +tp1383 +a(g822 +VBF5E00 +p1384 +tp1385 +a(g822 +V\u000a\u000a +p1386 +tp1387 +a(g740 +Vset +p1388 +tp1389 +a(g822 +g1006 +tp1390 +a(g822 +V__bobthefish_dk_blue +p1391 +tp1392 +a(g822 +V +p1393 +tp1394 +a(g822 +V1E2933 +p1395 +tp1396 +a(g822 +V\u000a +p1397 +tp1398 +a(g740 +Vset +p1399 +tp1400 +a(g822 +g1006 +tp1401 +a(g822 +V__bobthefish_med_blue +p1402 +tp1403 +a(g822 +V +p1404 +tp1405 +a(g822 +V275379 +p1406 +tp1407 +a(g822 +V\u000a +p1408 +tp1409 +a(g740 +Vset +p1410 +tp1411 +a(g822 +g1006 +tp1412 +a(g822 +V__bobthefish_lt_blue +p1413 +tp1414 +a(g822 +V +p1415 +tp1416 +a(g822 +V326D9E +p1417 +tp1418 +a(g822 +V\u000a\u000a +p1419 +tp1420 +a(g7 +V# ===========================\u000a +p1421 +tp1422 +a(g7 +V# Helper methods\u000a +p1423 +tp1424 +a(g7 +V# ===========================\u000a +p1425 +tp1426 +a(g822 +V\u000a +p1427 +tp1428 +a(g740 +Vfunction +p1429 +tp1430 +a(g822 +g1006 +tp1431 +a(g822 +V__bobthefish_in_git +p1432 +tp1433 +a(g822 +g1006 +tp1434 +a(g822 +V-d +p1435 +tp1436 +a(g822 +g1006 +tp1437 +a(g225 +V'Check whether pwd is inside a git repo' +p1438 +tp1439 +a(g822 +V\u000a +p1440 +tp1441 +a(g677 +Vcommand +p1442 +tp1443 +a(g822 +Vwhich +p1444 +tp1445 +a(g822 +g1006 +tp1446 +a(g822 +Vgit +p1447 +tp1448 +a(g822 +g1006 +tp1449 +a(g408 +V> +p1450 +tp1451 +a(g822 +g1006 +tp1452 +a(g822 +V/dev/null +p1453 +tp1454 +a(g822 +g1006 +tp1455 +a(g822 +V2> +p1456 +tp1457 +a(g408 +V& +p1458 +tp1459 +a(g822 +V1 +p1460 +tp1461 +a(g701 +V; +p1462 +tp1463 +a(g822 +g1006 +tp1464 +a(g740 +Vand +p1465 +tp1466 +a(g822 +g1006 +tp1467 +a(g677 +Vcommand +p1468 +tp1469 +a(g822 +Vgit +p1470 +tp1471 +a(g822 +g1006 +tp1472 +a(g822 +Vrev-parse +p1473 +tp1474 +a(g822 +g1006 +tp1475 +a(g822 +V--is-inside-work-tree +p1476 +tp1477 +a(g822 +g1006 +tp1478 +a(g408 +g1450 +tp1479 +a(g822 +V/dev/null +p1480 +tp1481 +a(g822 +g1006 +tp1482 +a(g822 +V2> +p1483 +tp1484 +a(g408 +g1458 +tp1485 +a(g822 +g1460 +tp1486 +a(g822 +V\u000a +p1487 +tp1488 +a(g740 +Vend +p1489 +tp1490 +a(g822 +V\u000a\u000a +p1491 +tp1492 +a(g740 +Vfunction +p1493 +tp1494 +a(g822 +g1006 +tp1495 +a(g822 +V__bobthefish_in_hg +p1496 +tp1497 +a(g822 +g1006 +tp1498 +a(g822 +V-d +p1499 +tp1500 +a(g822 +g1006 +tp1501 +a(g225 +V'Check whether pwd is inside a hg repo' +p1502 +tp1503 +a(g822 +V\u000a +p1504 +tp1505 +a(g677 +Vcommand +p1506 +tp1507 +a(g822 +Vwhich +p1508 +tp1509 +a(g822 +g1006 +tp1510 +a(g822 +Vhg +p1511 +tp1512 +a(g822 +g1006 +tp1513 +a(g408 +g1450 +tp1514 +a(g822 +g1006 +tp1515 +a(g822 +V/dev/null +p1516 +tp1517 +a(g822 +g1006 +tp1518 +a(g822 +V2> +p1519 +tp1520 +a(g408 +g1458 +tp1521 +a(g822 +g1460 +tp1522 +a(g701 +g1462 +tp1523 +a(g822 +g1006 +tp1524 +a(g740 +Vand +p1525 +tp1526 +a(g822 +g1006 +tp1527 +a(g677 +Vcommand +p1528 +tp1529 +a(g822 +Vhg +p1530 +tp1531 +a(g822 +g1006 +tp1532 +a(g822 +Vstat +p1533 +tp1534 +a(g822 +g1006 +tp1535 +a(g408 +g1450 +tp1536 +a(g822 +g1006 +tp1537 +a(g822 +V/dev/null +p1538 +tp1539 +a(g822 +g1006 +tp1540 +a(g822 +V2> +p1541 +tp1542 +a(g408 +g1458 +tp1543 +a(g822 +g1460 +tp1544 +a(g822 +V\u000a +p1545 +tp1546 +a(g740 +Vend +p1547 +tp1548 +a(g822 +V\u000a\u000a +p1549 +tp1550 +a(g740 +Vfunction +p1551 +tp1552 +a(g822 +g1006 +tp1553 +a(g822 +V__bobthefish_git_branch +p1554 +tp1555 +a(g822 +g1006 +tp1556 +a(g822 +V-d +p1557 +tp1558 +a(g822 +g1006 +tp1559 +a(g225 +V'Get the current git branch (or commitish)' +p1560 +tp1561 +a(g822 +V\u000a +p1562 +tp1563 +a(g740 +Vset +p1564 +tp1565 +a(g822 +g1006 +tp1566 +a(g822 +V-l +p1567 +tp1568 +a(g822 +g1006 +tp1569 +a(g822 +Vref +p1570 +tp1571 +a(g822 +g1006 +tp1572 +a(g408 +V( +p1573 +tp1574 +a(g677 +Vcommand +p1575 +tp1576 +a(g822 +Vgit +p1577 +tp1578 +a(g822 +g1006 +tp1579 +a(g822 +Vsymbolic-ref +p1580 +tp1581 +a(g822 +g1006 +tp1582 +a(g822 +VHEAD +p1583 +tp1584 +a(g822 +g1006 +tp1585 +a(g822 +V2> +p1586 +tp1587 +a(g822 +g1006 +tp1588 +a(g822 +V/dev/null +p1589 +tp1590 +a(g408 +V) +p1591 +tp1592 +a(g822 +V\u000a +p1593 +tp1594 +a(g740 +Vif +p1595 +tp1596 +a(g822 +g1006 +tp1597 +a(g408 +V[ +p1598 +tp1599 +a(g822 +g1006 +tp1600 +a(g436 +V$status +p1601 +tp1602 +a(g822 +g1006 +tp1603 +a(g822 +V-gt +p1604 +tp1605 +a(g822 +g1006 +tp1606 +a(g27 +V0 +p1607 +tp1608 +a(g822 +g1006 +tp1609 +a(g408 +V] +p1610 +tp1611 +a(g822 +V\u000a +p1612 +tp1613 +a(g740 +Vset +p1614 +tp1615 +a(g822 +g1006 +tp1616 +a(g822 +V-l +p1617 +tp1618 +a(g822 +g1006 +tp1619 +a(g822 +Vbranch +p1620 +tp1621 +a(g822 +g1006 +tp1622 +a(g408 +g1573 +tp1623 +a(g677 +Vcommand +p1624 +tp1625 +a(g822 +Vgit +p1626 +tp1627 +a(g822 +g1006 +tp1628 +a(g822 +Vshow-ref +p1629 +tp1630 +a(g822 +g1006 +tp1631 +a(g822 +V--head +p1632 +tp1633 +a(g822 +g1006 +tp1634 +a(g822 +V-s +p1635 +tp1636 +a(g822 +g1006 +tp1637 +a(g822 +V--abbrev +p1638 +tp1639 +a(g822 +g1006 +tp1640 +a(g408 +V| +p1641 +tp1642 +a(g822 +Vhead +p1643 +tp1644 +a(g822 +g1006 +tp1645 +a(g822 +V-n1 +p1646 +tp1647 +a(g822 +g1006 +tp1648 +a(g822 +V2> +p1649 +tp1650 +a(g822 +g1006 +tp1651 +a(g822 +V/dev/null +p1652 +tp1653 +a(g408 +g1591 +tp1654 +a(g822 +V\u000a +p1655 +tp1656 +a(g740 +Vset +p1657 +tp1658 +a(g822 +g1006 +tp1659 +a(g822 +Vref +p1660 +tp1661 +a(g822 +g1006 +tp1662 +a(g152 +V" +p1663 +tp1664 +a(g436 +V$__bobthefish_detached_glyph +p1665 +tp1666 +a(g152 +g1006 +tp1667 +a(g436 +V$branch +p1668 +tp1669 +a(g152 +g1663 +tp1670 +a(g822 +V\u000a +p1671 +tp1672 +a(g740 +Vend +p1673 +tp1674 +a(g822 +V\u000a +p1675 +tp1676 +a(g740 +Vecho +p1677 +tp1678 +a(g822 +g1006 +tp1679 +a(g436 +V$ref +p1680 +tp1681 +a(g822 +g1006 +tp1682 +a(g408 +g1641 +tp1683 +a(g822 +g1006 +tp1684 +a(g822 +Vsed +p1685 +tp1686 +a(g822 +V +p1687 +tp1688 +a(g152 +g1663 +tp1689 +a(g152 +Vs-refs/heads/- +p1690 +tp1691 +a(g436 +V$__bobthefish_branch_glyph +p1692 +tp1693 +a(g152 +V - +p1694 +tp1695 +a(g152 +g1663 +tp1696 +a(g822 +V\u000a +p1697 +tp1698 +a(g740 +Vend +p1699 +tp1700 +a(g822 +V\u000a\u000a +p1701 +tp1702 +a(g740 +Vfunction +p1703 +tp1704 +a(g822 +g1006 +tp1705 +a(g822 +V__bobthefish_hg_branch +p1706 +tp1707 +a(g822 +g1006 +tp1708 +a(g822 +V-d +p1709 +tp1710 +a(g822 +g1006 +tp1711 +a(g225 +V'Get the current hg branch' +p1712 +tp1713 +a(g822 +V\u000a +p1714 +tp1715 +a(g740 +Vset +p1716 +tp1717 +a(g822 +g1006 +tp1718 +a(g822 +V-l +p1719 +tp1720 +a(g822 +g1006 +tp1721 +a(g822 +Vbranch +p1722 +tp1723 +a(g822 +g1006 +tp1724 +a(g408 +g1573 +tp1725 +a(g822 +Vhg +p1726 +tp1727 +a(g822 +g1006 +tp1728 +a(g822 +Vbranch +p1729 +tp1730 +a(g822 +g1006 +tp1731 +a(g408 +V^ +p1732 +tp1733 +a(g822 +V/dev/null +p1734 +tp1735 +a(g408 +g1591 +tp1736 +a(g822 +V\u000a +p1737 +tp1738 +a(g740 +Vset +p1739 +tp1740 +a(g822 +g1006 +tp1741 +a(g822 +V-l +p1742 +tp1743 +a(g822 +g1006 +tp1744 +a(g822 +Vbook +p1745 +tp1746 +a(g822 +g1006 +tp1747 +a(g152 +V" @ " +p1748 +tp1749 +a(g408 +g1573 +tp1750 +a(g822 +Vhg +p1751 +tp1752 +a(g822 +g1006 +tp1753 +a(g822 +Vbook +p1754 +tp1755 +a(g822 +g1006 +tp1756 +a(g408 +g1641 +tp1757 +a(g822 +g1006 +tp1758 +a(g822 +Vgrep +p1759 +tp1760 +a(g822 +g1006 +tp1761 +a(g208 +V\u005c* +p1762 +tp1763 +a(g822 +g1006 +tp1764 +a(g408 +g1641 +tp1765 +a(g822 +g1006 +tp1766 +a(g822 +Vcut +p1767 +tp1768 +a(g822 +g1006 +tp1769 +a(g822 +V-d +p1770 +tp1771 +a(g208 +V\u005c +p1772 +tp1773 +a(g822 +g1006 +tp1774 +a(g822 +V-f3 +p1775 +tp1776 +a(g408 +g1591 +tp1777 +a(g822 +V\u000a +p1778 +tp1779 +a(g740 +Vecho +p1780 +tp1781 +a(g822 +g1006 +tp1782 +a(g152 +g1663 +tp1783 +a(g436 +V$__bobthefish_branch_glyph +p1784 +tp1785 +a(g152 +g1006 +tp1786 +a(g436 +V$branch +p1787 +tp1788 +a(g436 +V$book +p1789 +tp1790 +a(g152 +g1663 +tp1791 +a(g822 +V\u000a +p1792 +tp1793 +a(g740 +Vend +p1794 +tp1795 +a(g822 +V\u000a\u000a +p1796 +tp1797 +a(g740 +Vfunction +p1798 +tp1799 +a(g822 +g1006 +tp1800 +a(g822 +V__bobthefish_pretty_parent +p1801 +tp1802 +a(g822 +g1006 +tp1803 +a(g822 +V-d +p1804 +tp1805 +a(g822 +g1006 +tp1806 +a(g225 +V'Print a parent directory, shortened to fit the prompt' +p1807 +tp1808 +a(g822 +V\u000a +p1809 +tp1810 +a(g740 +Vecho +p1811 +tp1812 +a(g822 +g1006 +tp1813 +a(g822 +V-n +p1814 +tp1815 +a(g822 +g1006 +tp1816 +a(g408 +g1573 +tp1817 +a(g822 +Vdirname +p1818 +tp1819 +a(g822 +g1006 +tp1820 +a(g436 +V$argv +p1821 +tp1822 +a(g408 +g1598 +tp1823 +a(g822 +g1460 +tp1824 +a(g408 +g1610 +tp1825 +a(g408 +g1591 +tp1826 +a(g822 +g1006 +tp1827 +a(g408 +g1641 +tp1828 +a(g822 +g1006 +tp1829 +a(g822 +Vsed +p1830 +tp1831 +a(g822 +g1006 +tp1832 +a(g822 +V-e +p1833 +tp1834 +a(g822 +g1006 +tp1835 +a(g225 +V's|/private||' +p1836 +tp1837 +a(g822 +g1006 +tp1838 +a(g822 +V-e +p1839 +tp1840 +a(g822 +g1006 +tp1841 +a(g152 +g1663 +tp1842 +a(g152 +Vs|^ +p1843 +tp1844 +a(g436 +V$HOME +p1845 +tp1846 +a(g152 +V|~| +p1847 +tp1848 +a(g152 +g1663 +tp1849 +a(g822 +g1006 +tp1850 +a(g822 +V-e +p1851 +tp1852 +a(g822 +g1006 +tp1853 +a(g225 +V's-/\u005c(\u005c.\u005c{0,1\u005c}[^/]\u005c)\u005c([^/]*\u005c)-/\u005c1-g' +p1854 +tp1855 +a(g822 +g1006 +tp1856 +a(g822 +V-e +p1857 +tp1858 +a(g822 +g1006 +tp1859 +a(g225 +V's|/$||' +p1860 +tp1861 +a(g822 +V\u000a +p1862 +tp1863 +a(g740 +Vend +p1864 +tp1865 +a(g822 +V\u000a\u000a +p1866 +tp1867 +a(g740 +Vfunction +p1868 +tp1869 +a(g822 +g1006 +tp1870 +a(g822 +V__bobthefish_git_project_dir +p1871 +tp1872 +a(g822 +g1006 +tp1873 +a(g822 +V-d +p1874 +tp1875 +a(g822 +g1006 +tp1876 +a(g225 +V'Print the current git project base directory' +p1877 +tp1878 +a(g822 +V\u000a +p1879 +tp1880 +a(g677 +Vcommand +p1881 +tp1882 +a(g822 +Vgit +p1883 +tp1884 +a(g822 +g1006 +tp1885 +a(g822 +Vrev-parse +p1886 +tp1887 +a(g822 +g1006 +tp1888 +a(g822 +V--show-toplevel +p1889 +tp1890 +a(g822 +g1006 +tp1891 +a(g822 +V2>/dev/null +p1892 +tp1893 +a(g822 +V\u000a +p1894 +tp1895 +a(g740 +Vend +p1896 +tp1897 +a(g822 +V\u000a\u000a +p1898 +tp1899 +a(g740 +Vfunction +p1900 +tp1901 +a(g822 +g1006 +tp1902 +a(g822 +V__bobthefish_hg_project_dir +p1903 +tp1904 +a(g822 +g1006 +tp1905 +a(g822 +V-d +p1906 +tp1907 +a(g822 +g1006 +tp1908 +a(g225 +V'Print the current hg project base directory' +p1909 +tp1910 +a(g822 +V\u000a +p1911 +tp1912 +a(g677 +Vcommand +p1913 +tp1914 +a(g822 +Vhg +p1915 +tp1916 +a(g822 +g1006 +tp1917 +a(g822 +Vroot +p1918 +tp1919 +a(g822 +g1006 +tp1920 +a(g822 +V2>/dev/null +p1921 +tp1922 +a(g822 +V\u000a +p1923 +tp1924 +a(g740 +Vend +p1925 +tp1926 +a(g822 +V\u000a\u000a +p1927 +tp1928 +a(g740 +Vfunction +p1929 +tp1930 +a(g822 +g1006 +tp1931 +a(g822 +V__bobthefish_project_pwd +p1932 +tp1933 +a(g822 +g1006 +tp1934 +a(g822 +V-d +p1935 +tp1936 +a(g822 +g1006 +tp1937 +a(g225 +V'Print the working directory relative to project root' +p1938 +tp1939 +a(g822 +V\u000a +p1940 +tp1941 +a(g740 +Vecho +p1942 +tp1943 +a(g822 +g1006 +tp1944 +a(g152 +g1663 +tp1945 +a(g436 +V$PWD +p1946 +tp1947 +a(g152 +g1663 +tp1948 +a(g822 +g1006 +tp1949 +a(g408 +g1641 +tp1950 +a(g822 +g1006 +tp1951 +a(g822 +Vsed +p1952 +tp1953 +a(g822 +g1006 +tp1954 +a(g822 +V-e +p1955 +tp1956 +a(g822 +g1006 +tp1957 +a(g152 +g1663 +tp1958 +a(g152 +Vs* +p1959 +tp1960 +a(g436 +V$argv +p1961 +tp1962 +a(g152 +V[1]**g +p1963 +tp1964 +a(g152 +g1663 +tp1965 +a(g822 +g1006 +tp1966 +a(g822 +V-e +p1967 +tp1968 +a(g822 +g1006 +tp1969 +a(g225 +V's*^/**' +p1970 +tp1971 +a(g822 +V\u000a +p1972 +tp1973 +a(g740 +Vend +p1974 +tp1975 +a(g822 +V\u000a\u000a\u000a +p1976 +tp1977 +a(g7 +V# ===========================\u000a +p1978 +tp1979 +a(g7 +V# Segment functions\u000a +p1980 +tp1981 +a(g7 +V# ===========================\u000a +p1982 +tp1983 +a(g822 +V\u000a +p1984 +tp1985 +a(g740 +Vfunction +p1986 +tp1987 +a(g822 +g1006 +tp1988 +a(g822 +V__bobthefish_start_segment +p1989 +tp1990 +a(g822 +g1006 +tp1991 +a(g822 +V-d +p1992 +tp1993 +a(g822 +g1006 +tp1994 +a(g225 +V'Start a prompt segment' +p1995 +tp1996 +a(g822 +V\u000a +p1997 +tp1998 +a(g677 +Vset_color +p1999 +tp2000 +a(g822 +g1006 +tp2001 +a(g822 +V-b +p2002 +tp2003 +a(g822 +g1006 +tp2004 +a(g436 +V$argv +p2005 +tp2006 +a(g408 +g1598 +tp2007 +a(g822 +g1460 +tp2008 +a(g408 +g1610 +tp2009 +a(g822 +V\u000a +p2010 +tp2011 +a(g677 +Vset_color +p2012 +tp2013 +a(g822 +g1006 +tp2014 +a(g436 +V$argv +p2015 +tp2016 +a(g408 +g1598 +tp2017 +a(g822 +V2 +p2018 +tp2019 +a(g408 +g1610 +tp2020 +a(g822 +V\u000a +p2021 +tp2022 +a(g740 +Vif +p2023 +tp2024 +a(g822 +g1006 +tp2025 +a(g408 +g1598 +tp2026 +a(g822 +g1006 +tp2027 +a(g152 +g1663 +tp2028 +a(g436 +V$__bobthefish_current_bg +p2029 +tp2030 +a(g152 +g1663 +tp2031 +a(g822 +g1006 +tp2032 +a(g408 +V= +p2033 +tp2034 +a(g822 +g1006 +tp2035 +a(g225 +V'NONE' +p2036 +tp2037 +a(g822 +g1006 +tp2038 +a(g408 +g1610 +tp2039 +a(g822 +V\u000a +p2040 +tp2041 +a(g7 +V# If there's no background, just start one\u000a +p2042 +tp2043 +a(g822 +V +p2044 +tp2045 +a(g740 +Vecho +p2046 +tp2047 +a(g822 +g1006 +tp2048 +a(g822 +V-n +p2049 +tp2050 +a(g822 +g1006 +tp2051 +a(g225 +V' ' +p2052 +tp2053 +a(g822 +V\u000a +p2054 +tp2055 +a(g740 +Velse +p2056 +tp2057 +a(g822 +V\u000a +p2058 +tp2059 +a(g7 +V# If there's already a background...\u000a +p2060 +tp2061 +a(g822 +V +p2062 +tp2063 +a(g740 +Vif +p2064 +tp2065 +a(g822 +g1006 +tp2066 +a(g408 +g1598 +tp2067 +a(g822 +g1006 +tp2068 +a(g152 +g1663 +tp2069 +a(g436 +V$argv +p2070 +tp2071 +a(g152 +V[1] +p2072 +tp2073 +a(g152 +g1663 +tp2074 +a(g822 +g1006 +tp2075 +a(g408 +g2033 +tp2076 +a(g822 +g1006 +tp2077 +a(g152 +g1663 +tp2078 +a(g436 +V$__bobthefish_current_bg +p2079 +tp2080 +a(g152 +g1663 +tp2081 +a(g822 +g1006 +tp2082 +a(g408 +g1610 +tp2083 +a(g822 +V\u000a +p2084 +tp2085 +a(g7 +V# and it's the same color, draw a separator\u000a +p2086 +tp2087 +a(g822 +V +p2088 +tp2089 +a(g740 +Vecho +p2090 +tp2091 +a(g822 +g1006 +tp2092 +a(g822 +V-n +p2093 +tp2094 +a(g822 +g1006 +tp2095 +a(g152 +g1663 +tp2096 +a(g436 +V$__bobthefish_right_arrow_glyph +p2097 +tp2098 +a(g152 +g1006 +tp2099 +a(g152 +g1663 +tp2100 +a(g822 +V\u000a +p2101 +tp2102 +a(g740 +Velse +p2103 +tp2104 +a(g822 +V\u000a +p2105 +tp2106 +a(g7 +V# otherwise, draw the end of the previous segment and the start of the next\u000a +p2107 +tp2108 +a(g822 +V +p2109 +tp2110 +a(g677 +Vset_color +p2111 +tp2112 +a(g822 +g1006 +tp2113 +a(g436 +V$__bobthefish_current_bg +p2114 +tp2115 +a(g822 +V\u000a +p2116 +tp2117 +a(g740 +Vecho +p2118 +tp2119 +a(g822 +g1006 +tp2120 +a(g822 +V-n +p2121 +tp2122 +a(g822 +g1006 +tp2123 +a(g152 +g1663 +tp2124 +a(g436 +V$__bobthefish_right_black_arrow_glyph +p2125 +tp2126 +a(g152 +g1006 +tp2127 +a(g152 +g1663 +tp2128 +a(g822 +V\u000a +p2129 +tp2130 +a(g677 +Vset_color +p2131 +tp2132 +a(g822 +g1006 +tp2133 +a(g436 +V$argv +p2134 +tp2135 +a(g408 +g1598 +tp2136 +a(g822 +g2018 +tp2137 +a(g408 +g1610 +tp2138 +a(g822 +V\u000a +p2139 +tp2140 +a(g740 +Vend +p2141 +tp2142 +a(g822 +V\u000a +p2143 +tp2144 +a(g740 +Vend +p2145 +tp2146 +a(g822 +V\u000a +p2147 +tp2148 +a(g740 +Vset +p2149 +tp2150 +a(g822 +g1006 +tp2151 +a(g822 +V__bobthefish_current_bg +p2152 +tp2153 +a(g822 +g1006 +tp2154 +a(g436 +V$argv +p2155 +tp2156 +a(g408 +g1598 +tp2157 +a(g822 +g1460 +tp2158 +a(g408 +g1610 +tp2159 +a(g822 +V\u000a +p2160 +tp2161 +a(g740 +Vend +p2162 +tp2163 +a(g822 +V\u000a\u000a +p2164 +tp2165 +a(g740 +Vfunction +p2166 +tp2167 +a(g822 +g1006 +tp2168 +a(g822 +V__bobthefish_path_segment +p2169 +tp2170 +a(g822 +g1006 +tp2171 +a(g822 +V-d +p2172 +tp2173 +a(g822 +g1006 +tp2174 +a(g225 +V'Display a shortened form of a directory' +p2175 +tp2176 +a(g822 +V\u000a +p2177 +tp2178 +a(g740 +Vif +p2179 +tp2180 +a(g822 +g1006 +tp2181 +a(g740 +Vtest +p2182 +tp2183 +a(g822 +g1006 +tp2184 +a(g822 +V-w +p2185 +tp2186 +a(g822 +g1006 +tp2187 +a(g152 +g1663 +tp2188 +a(g436 +V$argv +p2189 +tp2190 +a(g152 +V[1] +p2191 +tp2192 +a(g152 +g1663 +tp2193 +a(g822 +V\u000a +p2194 +tp2195 +a(g822 +V__bobthefish_start_segment +p2196 +tp2197 +a(g822 +g1006 +tp2198 +a(g436 +V$__bobthefish_dk_grey +p2199 +tp2200 +a(g822 +g1006 +tp2201 +a(g436 +V$__bobthefish_med_grey +p2202 +tp2203 +a(g822 +V\u000a +p2204 +tp2205 +a(g740 +Velse +p2206 +tp2207 +a(g822 +V\u000a +p2208 +tp2209 +a(g822 +V__bobthefish_start_segment +p2210 +tp2211 +a(g822 +g1006 +tp2212 +a(g436 +V$__bobthefish_dk_red +p2213 +tp2214 +a(g822 +g1006 +tp2215 +a(g436 +V$__bobthefish_lt_red +p2216 +tp2217 +a(g822 +V\u000a +p2218 +tp2219 +a(g740 +Vend +p2220 +tp2221 +a(g822 +V\u000a\u000a +p2222 +tp2223 +a(g740 +Vset +p2224 +tp2225 +a(g822 +g1006 +tp2226 +a(g822 +V-l +p2227 +tp2228 +a(g822 +g1006 +tp2229 +a(g822 +Vdirectory +p2230 +tp2231 +a(g822 +V\u000a +p2232 +tp2233 +a(g740 +Vset +p2234 +tp2235 +a(g822 +g1006 +tp2236 +a(g822 +V-l +p2237 +tp2238 +a(g822 +g1006 +tp2239 +a(g822 +Vparent +p2240 +tp2241 +a(g822 +V\u000a\u000a +p2242 +tp2243 +a(g740 +Vswitch +p2244 +tp2245 +a(g822 +g1006 +tp2246 +a(g152 +g1663 +tp2247 +a(g436 +V$argv +p2248 +tp2249 +a(g152 +V[1] +p2250 +tp2251 +a(g152 +g1663 +tp2252 +a(g822 +V\u000a +p2253 +tp2254 +a(g740 +Vcase +p2255 +tp2256 +a(g822 +g1006 +tp2257 +a(g822 +V/ +p2258 +tp2259 +a(g822 +V\u000a +p2260 +tp2261 +a(g740 +Vset +p2262 +tp2263 +a(g822 +g1006 +tp2264 +a(g822 +Vdirectory +p2265 +tp2266 +a(g822 +g1006 +tp2267 +a(g225 +V'/' +p2268 +tp2269 +a(g822 +V\u000a +p2270 +tp2271 +a(g740 +Vcase +p2272 +tp2273 +a(g822 +g1006 +tp2274 +a(g152 +g1663 +tp2275 +a(g436 +V$HOME +p2276 +tp2277 +a(g152 +g1663 +tp2278 +a(g822 +V\u000a +p2279 +tp2280 +a(g740 +Vset +p2281 +tp2282 +a(g822 +g1006 +tp2283 +a(g822 +Vdirectory +p2284 +tp2285 +a(g822 +g1006 +tp2286 +a(g225 +V'~' +p2287 +tp2288 +a(g822 +V\u000a +p2289 +tp2290 +a(g740 +Vcase +p2291 +tp2292 +a(g822 +g1006 +tp2293 +a(g225 +V'*' +p2294 +tp2295 +a(g822 +V\u000a +p2296 +tp2297 +a(g740 +Vset +p2298 +tp2299 +a(g822 +g1006 +tp2300 +a(g822 +Vparent +p2301 +tp2302 +a(g822 +V +p2303 +tp2304 +a(g408 +g1573 +tp2305 +a(g822 +V__bobthefish_pretty_parent +p2306 +tp2307 +a(g822 +g1006 +tp2308 +a(g152 +g1663 +tp2309 +a(g436 +V$argv +p2310 +tp2311 +a(g152 +V[1] +p2312 +tp2313 +a(g152 +g1663 +tp2314 +a(g408 +g1591 +tp2315 +a(g822 +V\u000a +p2316 +tp2317 +a(g740 +Vset +p2318 +tp2319 +a(g822 +g1006 +tp2320 +a(g822 +Vparent +p2321 +tp2322 +a(g822 +V +p2323 +tp2324 +a(g152 +g1663 +tp2325 +a(g436 +V$parent +p2326 +tp2327 +a(g152 +g2258 +tp2328 +a(g152 +g1663 +tp2329 +a(g822 +V\u000a +p2330 +tp2331 +a(g740 +Vset +p2332 +tp2333 +a(g822 +g1006 +tp2334 +a(g822 +Vdirectory +p2335 +tp2336 +a(g822 +g1006 +tp2337 +a(g408 +g1573 +tp2338 +a(g822 +Vbasename +p2339 +tp2340 +a(g822 +g1006 +tp2341 +a(g152 +g1663 +tp2342 +a(g436 +V$argv +p2343 +tp2344 +a(g152 +V[1] +p2345 +tp2346 +a(g152 +g1663 +tp2347 +a(g408 +g1591 +tp2348 +a(g822 +V\u000a +p2349 +tp2350 +a(g740 +Vend +p2351 +tp2352 +a(g822 +V\u000a\u000a +p2353 +tp2354 +a(g740 +Vtest +p2355 +tp2356 +a(g822 +g1006 +tp2357 +a(g152 +g1663 +tp2358 +a(g436 +V$parent +p2359 +tp2360 +a(g152 +g1663 +tp2361 +a(g701 +g1462 +tp2362 +a(g822 +g1006 +tp2363 +a(g740 +Vand +p2364 +tp2365 +a(g822 +g1006 +tp2366 +a(g740 +Vecho +p2367 +tp2368 +a(g822 +g1006 +tp2369 +a(g822 +V-n +p2370 +tp2371 +a(g822 +g1006 +tp2372 +a(g822 +V-s +p2373 +tp2374 +a(g822 +g1006 +tp2375 +a(g152 +g1663 +tp2376 +a(g436 +V$parent +p2377 +tp2378 +a(g152 +g1663 +tp2379 +a(g822 +V\u000a +p2380 +tp2381 +a(g677 +Vset_color +p2382 +tp2383 +a(g822 +Vfff +p2384 +tp2385 +a(g822 +g1006 +tp2386 +a(g822 +V--bold +p2387 +tp2388 +a(g822 +V\u000a +p2389 +tp2390 +a(g740 +Vecho +p2391 +tp2392 +a(g822 +g1006 +tp2393 +a(g822 +V-n +p2394 +tp2395 +a(g822 +g1006 +tp2396 +a(g152 +g1663 +tp2397 +a(g436 +V$directory +p2398 +tp2399 +a(g152 +g1006 +tp2400 +a(g152 +g1663 +tp2401 +a(g822 +V\u000a +p2402 +tp2403 +a(g677 +Vset_color +p2404 +tp2405 +a(g822 +Vnormal +p2406 +tp2407 +a(g822 +V\u000a +p2408 +tp2409 +a(g740 +Vend +p2410 +tp2411 +a(g822 +V\u000a\u000a +p2412 +tp2413 +a(g740 +Vfunction +p2414 +tp2415 +a(g822 +g1006 +tp2416 +a(g822 +V__bobthefish_finish_segments +p2417 +tp2418 +a(g822 +g1006 +tp2419 +a(g822 +V-d +p2420 +tp2421 +a(g822 +g1006 +tp2422 +a(g225 +V'Close open prompt segments' +p2423 +tp2424 +a(g822 +V\u000a +p2425 +tp2426 +a(g740 +Vif +p2427 +tp2428 +a(g822 +g1006 +tp2429 +a(g408 +g1598 +tp2430 +a(g822 +g1006 +tp2431 +a(g822 +V-n +p2432 +tp2433 +a(g822 +g1006 +tp2434 +a(g436 +V$__bobthefish_current_bg +p2435 +tp2436 +a(g822 +g1006 +tp2437 +a(g822 +V-a +p2438 +tp2439 +a(g822 +g1006 +tp2440 +a(g436 +V$__bobthefish_current_bg +p2441 +tp2442 +a(g822 +g1006 +tp2443 +a(g822 +V! +p2444 +tp2445 +a(g408 +g2033 +tp2446 +a(g822 +g1006 +tp2447 +a(g225 +V'NONE' +p2448 +tp2449 +a(g822 +g1006 +tp2450 +a(g408 +g1610 +tp2451 +a(g822 +V\u000a +p2452 +tp2453 +a(g677 +Vset_color +p2454 +tp2455 +a(g822 +g1006 +tp2456 +a(g822 +V-b +p2457 +tp2458 +a(g822 +g1006 +tp2459 +a(g822 +Vnormal +p2460 +tp2461 +a(g822 +V\u000a +p2462 +tp2463 +a(g677 +Vset_color +p2464 +tp2465 +a(g822 +g1006 +tp2466 +a(g436 +V$__bobthefish_current_bg +p2467 +tp2468 +a(g822 +V\u000a +p2469 +tp2470 +a(g740 +Vecho +p2471 +tp2472 +a(g822 +g1006 +tp2473 +a(g822 +V-n +p2474 +tp2475 +a(g822 +g1006 +tp2476 +a(g152 +g1663 +tp2477 +a(g436 +V$__bobthefish_right_black_arrow_glyph +p2478 +tp2479 +a(g152 +g1006 +tp2480 +a(g152 +g1663 +tp2481 +a(g822 +V\u000a +p2482 +tp2483 +a(g677 +Vset_color +p2484 +tp2485 +a(g822 +Vnormal +p2486 +tp2487 +a(g822 +V\u000a +p2488 +tp2489 +a(g740 +Vend +p2490 +tp2491 +a(g822 +V\u000a +p2492 +tp2493 +a(g740 +Vset +p2494 +tp2495 +a(g822 +g1006 +tp2496 +a(g822 +V-g +p2497 +tp2498 +a(g822 +g1006 +tp2499 +a(g822 +V__bobthefish_current_bg +p2500 +tp2501 +a(g822 +g1006 +tp2502 +a(g822 +VNONE +p2503 +tp2504 +a(g822 +V\u000a +p2505 +tp2506 +a(g740 +Vend +p2507 +tp2508 +a(g822 +V\u000a\u000a\u000a +p2509 +tp2510 +a(g7 +V# ===========================\u000a +p2511 +tp2512 +a(g7 +V# Theme components\u000a +p2513 +tp2514 +a(g7 +V# ===========================\u000a +p2515 +tp2516 +a(g822 +V\u000a +p2517 +tp2518 +a(g740 +Vfunction +p2519 +tp2520 +a(g822 +g1006 +tp2521 +a(g822 +V__bobthefish_prompt_status +p2522 +tp2523 +a(g822 +g1006 +tp2524 +a(g822 +V-d +p2525 +tp2526 +a(g822 +g1006 +tp2527 +a(g225 +V'Display symbols for a non zero exit status, root and background jobs' +p2528 +tp2529 +a(g822 +V\u000a +p2530 +tp2531 +a(g740 +Vset +p2532 +tp2533 +a(g822 +g1006 +tp2534 +a(g822 +V-l +p2535 +tp2536 +a(g822 +g1006 +tp2537 +a(g822 +Vnonzero +p2538 +tp2539 +a(g822 +V\u000a +p2540 +tp2541 +a(g740 +Vset +p2542 +tp2543 +a(g822 +g1006 +tp2544 +a(g822 +V-l +p2545 +tp2546 +a(g822 +g1006 +tp2547 +a(g822 +Vsuperuser +p2548 +tp2549 +a(g822 +V\u000a +p2550 +tp2551 +a(g740 +Vset +p2552 +tp2553 +a(g822 +g1006 +tp2554 +a(g822 +V-l +p2555 +tp2556 +a(g822 +g1006 +tp2557 +a(g822 +Vbg_jobs +p2558 +tp2559 +a(g822 +V\u000a\u000a +p2560 +tp2561 +a(g7 +V# Last exit was nonzero\u000a +p2562 +tp2563 +a(g822 +V +p2564 +tp2565 +a(g740 +Vif +p2566 +tp2567 +a(g822 +g1006 +tp2568 +a(g408 +g1598 +tp2569 +a(g822 +g1006 +tp2570 +a(g436 +V$status +p2571 +tp2572 +a(g822 +g1006 +tp2573 +a(g822 +V-ne +p2574 +tp2575 +a(g822 +g1006 +tp2576 +a(g27 +g1607 +tp2577 +a(g822 +g1006 +tp2578 +a(g408 +g1610 +tp2579 +a(g822 +V\u000a +p2580 +tp2581 +a(g740 +Vset +p2582 +tp2583 +a(g822 +g1006 +tp2584 +a(g822 +Vnonzero +p2585 +tp2586 +a(g822 +g1006 +tp2587 +a(g436 +V$__bobthefish_nonzero_exit_glyph +p2588 +tp2589 +a(g822 +V\u000a +p2590 +tp2591 +a(g740 +Vend +p2592 +tp2593 +a(g822 +V\u000a\u000a +p2594 +tp2595 +a(g7 +V# if superuser (uid == 0)\u000a +p2596 +tp2597 +a(g822 +V +p2598 +tp2599 +a(g740 +Vset +p2600 +tp2601 +a(g822 +g1006 +tp2602 +a(g822 +V-l +p2603 +tp2604 +a(g822 +g1006 +tp2605 +a(g822 +Vuid +p2606 +tp2607 +a(g822 +g1006 +tp2608 +a(g408 +g1573 +tp2609 +a(g822 +Vid +p2610 +tp2611 +a(g822 +g1006 +tp2612 +a(g822 +V-u +p2613 +tp2614 +a(g822 +g1006 +tp2615 +a(g436 +V$USER +p2616 +tp2617 +a(g408 +g1591 +tp2618 +a(g822 +V\u000a +p2619 +tp2620 +a(g740 +Vif +p2621 +tp2622 +a(g822 +g1006 +tp2623 +a(g408 +g1598 +tp2624 +a(g822 +g1006 +tp2625 +a(g436 +V$uid +p2626 +tp2627 +a(g822 +g1006 +tp2628 +a(g822 +V-eq +p2629 +tp2630 +a(g822 +g1006 +tp2631 +a(g27 +g1607 +tp2632 +a(g822 +g1006 +tp2633 +a(g408 +g1610 +tp2634 +a(g822 +V\u000a +p2635 +tp2636 +a(g740 +Vset +p2637 +tp2638 +a(g822 +g1006 +tp2639 +a(g822 +Vsuperuser +p2640 +tp2641 +a(g822 +g1006 +tp2642 +a(g436 +V$__bobthefish_superuser_glyph +p2643 +tp2644 +a(g822 +V\u000a +p2645 +tp2646 +a(g740 +Vend +p2647 +tp2648 +a(g822 +V\u000a\u000a +p2649 +tp2650 +a(g7 +V# Jobs display\u000a +p2651 +tp2652 +a(g822 +V +p2653 +tp2654 +a(g740 +Vif +p2655 +tp2656 +a(g822 +g1006 +tp2657 +a(g408 +g1598 +tp2658 +a(g822 +g1006 +tp2659 +a(g408 +g1573 +tp2660 +a(g677 +Vjobs +p2661 +tp2662 +a(g822 +g1006 +tp2663 +a(g822 +V-l +p2664 +tp2665 +a(g822 +g1006 +tp2666 +a(g408 +g1641 +tp2667 +a(g822 +g1006 +tp2668 +a(g822 +Vwc +p2669 +tp2670 +a(g822 +g1006 +tp2671 +a(g822 +V-l +p2672 +tp2673 +a(g408 +g1591 +tp2674 +a(g822 +g1006 +tp2675 +a(g822 +V-gt +p2676 +tp2677 +a(g822 +g1006 +tp2678 +a(g27 +g1607 +tp2679 +a(g822 +g1006 +tp2680 +a(g408 +g1610 +tp2681 +a(g822 +V\u000a +p2682 +tp2683 +a(g740 +Vset +p2684 +tp2685 +a(g822 +g1006 +tp2686 +a(g822 +Vbg_jobs +p2687 +tp2688 +a(g822 +g1006 +tp2689 +a(g436 +V$__bobthefish_bg_job_glyph +p2690 +tp2691 +a(g822 +V\u000a +p2692 +tp2693 +a(g740 +Vend +p2694 +tp2695 +a(g822 +V\u000a\u000a +p2696 +tp2697 +a(g740 +Vset +p2698 +tp2699 +a(g822 +g1006 +tp2700 +a(g822 +V-l +p2701 +tp2702 +a(g822 +g1006 +tp2703 +a(g822 +Vstatus_flags +p2704 +tp2705 +a(g822 +g1006 +tp2706 +a(g152 +g1663 +tp2707 +a(g436 +V$nonzero +p2708 +tp2709 +a(g436 +V$superuser +p2710 +tp2711 +a(g436 +V$bg_jobs +p2712 +tp2713 +a(g152 +g1663 +tp2714 +a(g822 +V\u000a\u000a +p2715 +tp2716 +a(g740 +Vif +p2717 +tp2718 +a(g822 +g1006 +tp2719 +a(g740 +Vtest +p2720 +tp2721 +a(g822 +g1006 +tp2722 +a(g152 +g1663 +tp2723 +a(g436 +V$nonzero +p2724 +tp2725 +a(g152 +g1663 +tp2726 +a(g822 +g1006 +tp2727 +a(g822 +V-o +p2728 +tp2729 +a(g822 +g1006 +tp2730 +a(g152 +g1663 +tp2731 +a(g436 +V$superuser +p2732 +tp2733 +a(g152 +g1663 +tp2734 +a(g822 +g1006 +tp2735 +a(g822 +V-o +p2736 +tp2737 +a(g822 +g1006 +tp2738 +a(g152 +g1663 +tp2739 +a(g436 +V$bg_jobs +p2740 +tp2741 +a(g152 +g1663 +tp2742 +a(g822 +V\u000a +p2743 +tp2744 +a(g822 +V__bobthefish_start_segment +p2745 +tp2746 +a(g822 +g1006 +tp2747 +a(g822 +Vfff +p2748 +tp2749 +a(g822 +g1006 +tp2750 +a(g822 +V000 +p2751 +tp2752 +a(g822 +V\u000a +p2753 +tp2754 +a(g740 +Vif +p2755 +tp2756 +a(g822 +g1006 +tp2757 +a(g408 +g1598 +tp2758 +a(g822 +g1006 +tp2759 +a(g152 +g1663 +tp2760 +a(g436 +V$nonzero +p2761 +tp2762 +a(g152 +g1663 +tp2763 +a(g822 +g1006 +tp2764 +a(g408 +g1610 +tp2765 +a(g822 +V\u000a +p2766 +tp2767 +a(g677 +Vset_color +p2768 +tp2769 +a(g822 +g1006 +tp2770 +a(g436 +V$__bobthefish_med_red +p2771 +tp2772 +a(g822 +g1006 +tp2773 +a(g822 +V--bold +p2774 +tp2775 +a(g822 +V\u000a +p2776 +tp2777 +a(g740 +Vecho +p2778 +tp2779 +a(g822 +g1006 +tp2780 +a(g822 +V-n +p2781 +tp2782 +a(g822 +g1006 +tp2783 +a(g436 +V$__bobthefish_nonzero_exit_glyph +p2784 +tp2785 +a(g822 +V\u000a +p2786 +tp2787 +a(g740 +Vend +p2788 +tp2789 +a(g822 +V\u000a\u000a +p2790 +tp2791 +a(g740 +Vif +p2792 +tp2793 +a(g822 +g1006 +tp2794 +a(g408 +g1598 +tp2795 +a(g822 +g1006 +tp2796 +a(g152 +g1663 +tp2797 +a(g436 +V$superuser +p2798 +tp2799 +a(g152 +g1663 +tp2800 +a(g822 +g1006 +tp2801 +a(g408 +g1610 +tp2802 +a(g822 +V\u000a +p2803 +tp2804 +a(g677 +Vset_color +p2805 +tp2806 +a(g822 +g1006 +tp2807 +a(g436 +V$__bobthefish_med_green +p2808 +tp2809 +a(g822 +g1006 +tp2810 +a(g822 +V--bold +p2811 +tp2812 +a(g822 +V\u000a +p2813 +tp2814 +a(g740 +Vecho +p2815 +tp2816 +a(g822 +g1006 +tp2817 +a(g822 +V-n +p2818 +tp2819 +a(g822 +g1006 +tp2820 +a(g436 +V$__bobthefish_superuser_glyph +p2821 +tp2822 +a(g822 +V\u000a +p2823 +tp2824 +a(g740 +Vend +p2825 +tp2826 +a(g822 +V\u000a\u000a +p2827 +tp2828 +a(g740 +Vif +p2829 +tp2830 +a(g822 +g1006 +tp2831 +a(g408 +g1598 +tp2832 +a(g822 +g1006 +tp2833 +a(g152 +g1663 +tp2834 +a(g436 +V$bg_jobs +p2835 +tp2836 +a(g152 +g1663 +tp2837 +a(g822 +g1006 +tp2838 +a(g408 +g1610 +tp2839 +a(g822 +V\u000a +p2840 +tp2841 +a(g677 +Vset_color +p2842 +tp2843 +a(g822 +g1006 +tp2844 +a(g436 +V$__bobthefish_slate_blue +p2845 +tp2846 +a(g822 +g1006 +tp2847 +a(g822 +V--bold +p2848 +tp2849 +a(g822 +V\u000a +p2850 +tp2851 +a(g740 +Vecho +p2852 +tp2853 +a(g822 +g1006 +tp2854 +a(g822 +V-n +p2855 +tp2856 +a(g822 +g1006 +tp2857 +a(g436 +V$__bobthefish_bg_job_glyph +p2858 +tp2859 +a(g822 +V\u000a +p2860 +tp2861 +a(g740 +Vend +p2862 +tp2863 +a(g822 +V\u000a\u000a +p2864 +tp2865 +a(g677 +Vset_color +p2866 +tp2867 +a(g822 +Vnormal +p2868 +tp2869 +a(g822 +V\u000a +p2870 +tp2871 +a(g740 +Vend +p2872 +tp2873 +a(g822 +V\u000a +p2874 +tp2875 +a(g740 +Vend +p2876 +tp2877 +a(g822 +V\u000a\u000a +p2878 +tp2879 +a(g740 +Vfunction +p2880 +tp2881 +a(g822 +g1006 +tp2882 +a(g822 +V__bobthefish_prompt_user +p2883 +tp2884 +a(g822 +g1006 +tp2885 +a(g822 +V-d +p2886 +tp2887 +a(g822 +g1006 +tp2888 +a(g225 +V'Display actual user if different from $default_user' +p2889 +tp2890 +a(g822 +V\u000a +p2891 +tp2892 +a(g740 +Vif +p2893 +tp2894 +a(g822 +g1006 +tp2895 +a(g408 +g1598 +tp2896 +a(g822 +g1006 +tp2897 +a(g152 +g1663 +tp2898 +a(g436 +V$theme_display_user +p2899 +tp2900 +a(g152 +g1663 +tp2901 +a(g822 +g1006 +tp2902 +a(g408 +g2033 +tp2903 +a(g822 +g1006 +tp2904 +a(g225 +V'yes' +p2905 +tp2906 +a(g822 +g1006 +tp2907 +a(g408 +g1610 +tp2908 +a(g822 +V\u000a +p2909 +tp2910 +a(g740 +Vif +p2911 +tp2912 +a(g822 +g1006 +tp2913 +a(g408 +g1598 +tp2914 +a(g822 +g1006 +tp2915 +a(g152 +g1663 +tp2916 +a(g436 +V$USER +p2917 +tp2918 +a(g152 +g1663 +tp2919 +a(g822 +g1006 +tp2920 +a(g822 +g2444 +tp2921 +a(g408 +g2033 +tp2922 +a(g822 +g1006 +tp2923 +a(g152 +g1663 +tp2924 +a(g436 +V$default_user +p2925 +tp2926 +a(g152 +g1663 +tp2927 +a(g822 +g1006 +tp2928 +a(g822 +V-o +p2929 +tp2930 +a(g822 +g1006 +tp2931 +a(g822 +V-n +p2932 +tp2933 +a(g822 +g1006 +tp2934 +a(g152 +g1663 +tp2935 +a(g436 +V$SSH_CLIENT +p2936 +tp2937 +a(g152 +g1663 +tp2938 +a(g822 +g1006 +tp2939 +a(g408 +g1610 +tp2940 +a(g822 +V\u000a +p2941 +tp2942 +a(g822 +V__bobthefish_start_segment +p2943 +tp2944 +a(g822 +g1006 +tp2945 +a(g436 +V$__bobthefish_lt_grey +p2946 +tp2947 +a(g822 +g1006 +tp2948 +a(g436 +V$__bobthefish_slate_blue +p2949 +tp2950 +a(g822 +V\u000a +p2951 +tp2952 +a(g740 +Vecho +p2953 +tp2954 +a(g822 +g1006 +tp2955 +a(g822 +V-n +p2956 +tp2957 +a(g822 +g1006 +tp2958 +a(g822 +V-s +p2959 +tp2960 +a(g822 +g1006 +tp2961 +a(g408 +g1573 +tp2962 +a(g822 +Vwhoami +p2963 +tp2964 +a(g408 +g1591 +tp2965 +a(g822 +g1006 +tp2966 +a(g225 +V'@' +p2967 +tp2968 +a(g822 +g1006 +tp2969 +a(g408 +g1573 +tp2970 +a(g822 +Vhostname +p2971 +tp2972 +a(g822 +g1006 +tp2973 +a(g408 +g1641 +tp2974 +a(g822 +g1006 +tp2975 +a(g822 +Vcut +p2976 +tp2977 +a(g822 +g1006 +tp2978 +a(g822 +V-d +p2979 +tp2980 +a(g822 +g1006 +tp2981 +a(g822 +V. +p2982 +tp2983 +a(g822 +g1006 +tp2984 +a(g822 +V-f +p2985 +tp2986 +a(g822 +g1006 +tp2987 +a(g822 +g1460 +tp2988 +a(g408 +g1591 +tp2989 +a(g822 +g1006 +tp2990 +a(g225 +V' ' +p2991 +tp2992 +a(g822 +V\u000a +p2993 +tp2994 +a(g740 +Vend +p2995 +tp2996 +a(g822 +V\u000a +p2997 +tp2998 +a(g740 +Vend +p2999 +tp3000 +a(g822 +V\u000a +p3001 +tp3002 +a(g740 +Vend +p3003 +tp3004 +a(g822 +V\u000a\u000a +p3005 +tp3006 +a(g740 +Vfunction +p3007 +tp3008 +a(g822 +g1006 +tp3009 +a(g822 +V__bobthefish_prompt_hg +p3010 +tp3011 +a(g822 +g1006 +tp3012 +a(g822 +V-d +p3013 +tp3014 +a(g822 +g1006 +tp3015 +a(g225 +V'Display the actual hg state' +p3016 +tp3017 +a(g822 +V\u000a +p3018 +tp3019 +a(g740 +Vset +p3020 +tp3021 +a(g822 +g1006 +tp3022 +a(g822 +V-l +p3023 +tp3024 +a(g822 +g1006 +tp3025 +a(g822 +Vdirty +p3026 +tp3027 +a(g822 +V +p3028 +tp3029 +a(g408 +g1573 +tp3030 +a(g677 +Vcommand +p3031 +tp3032 +a(g822 +Vhg +p3033 +tp3034 +a(g822 +g1006 +tp3035 +a(g822 +Vstat +p3036 +tp3037 +a(g701 +g1462 +tp3038 +a(g822 +g1006 +tp3039 +a(g740 +Vor +p3040 +tp3041 +a(g822 +g1006 +tp3042 +a(g740 +Vecho +p3043 +tp3044 +a(g822 +g1006 +tp3045 +a(g822 +V-n +p3046 +tp3047 +a(g822 +g1006 +tp3048 +a(g225 +V'*' +p3049 +tp3050 +a(g408 +g1591 +tp3051 +a(g822 +V\u000a\u000a +p3052 +tp3053 +a(g740 +Vset +p3054 +tp3055 +a(g822 +g1006 +tp3056 +a(g822 +V-l +p3057 +tp3058 +a(g822 +g1006 +tp3059 +a(g822 +Vflags +p3060 +tp3061 +a(g822 +g1006 +tp3062 +a(g152 +g1663 +tp3063 +a(g436 +V$dirty +p3064 +tp3065 +a(g152 +g1663 +tp3066 +a(g822 +V\u000a +p3067 +tp3068 +a(g740 +Vtest +p3069 +tp3070 +a(g822 +g1006 +tp3071 +a(g152 +g1663 +tp3072 +a(g436 +V$flags +p3073 +tp3074 +a(g152 +g1663 +tp3075 +a(g701 +g1462 +tp3076 +a(g822 +g1006 +tp3077 +a(g740 +Vand +p3078 +tp3079 +a(g822 +g1006 +tp3080 +a(g740 +Vset +p3081 +tp3082 +a(g822 +g1006 +tp3083 +a(g822 +Vflags +p3084 +tp3085 +a(g822 +g1006 +tp3086 +a(g152 +V"" +p3087 +tp3088 +a(g822 +V\u000a\u000a +p3089 +tp3090 +a(g740 +Vset +p3091 +tp3092 +a(g822 +g1006 +tp3093 +a(g822 +V-l +p3094 +tp3095 +a(g822 +g1006 +tp3096 +a(g822 +Vflag_bg +p3097 +tp3098 +a(g822 +g1006 +tp3099 +a(g436 +V$__bobthefish_lt_green +p3100 +tp3101 +a(g822 +V\u000a +p3102 +tp3103 +a(g740 +Vset +p3104 +tp3105 +a(g822 +g1006 +tp3106 +a(g822 +V-l +p3107 +tp3108 +a(g822 +g1006 +tp3109 +a(g822 +Vflag_fg +p3110 +tp3111 +a(g822 +g1006 +tp3112 +a(g436 +V$__bobthefish_dk_green +p3113 +tp3114 +a(g822 +V\u000a +p3115 +tp3116 +a(g740 +Vif +p3117 +tp3118 +a(g822 +g1006 +tp3119 +a(g740 +Vtest +p3120 +tp3121 +a(g822 +g1006 +tp3122 +a(g152 +g1663 +tp3123 +a(g436 +V$dirty +p3124 +tp3125 +a(g152 +g1663 +tp3126 +a(g822 +V\u000a +p3127 +tp3128 +a(g740 +Vset +p3129 +tp3130 +a(g822 +g1006 +tp3131 +a(g822 +Vflag_bg +p3132 +tp3133 +a(g822 +g1006 +tp3134 +a(g436 +V$__bobthefish_med_red +p3135 +tp3136 +a(g822 +V\u000a +p3137 +tp3138 +a(g740 +Vset +p3139 +tp3140 +a(g822 +g1006 +tp3141 +a(g822 +Vflag_fg +p3142 +tp3143 +a(g822 +g1006 +tp3144 +a(g822 +Vfff +p3145 +tp3146 +a(g822 +V\u000a +p3147 +tp3148 +a(g740 +Vend +p3149 +tp3150 +a(g822 +V\u000a\u000a +p3151 +tp3152 +a(g822 +V__bobthefish_path_segment +p3153 +tp3154 +a(g822 +g1006 +tp3155 +a(g408 +g1573 +tp3156 +a(g822 +V__bobthefish_hg_project_dir +p3157 +tp3158 +a(g408 +g1591 +tp3159 +a(g822 +V\u000a\u000a +p3160 +tp3161 +a(g822 +V__bobthefish_start_segment +p3162 +tp3163 +a(g822 +g1006 +tp3164 +a(g436 +V$flag_bg +p3165 +tp3166 +a(g822 +g1006 +tp3167 +a(g436 +V$flag_fg +p3168 +tp3169 +a(g822 +V\u000a +p3170 +tp3171 +a(g740 +Vecho +p3172 +tp3173 +a(g822 +g1006 +tp3174 +a(g822 +V-n +p3175 +tp3176 +a(g822 +g1006 +tp3177 +a(g822 +V-s +p3178 +tp3179 +a(g822 +g1006 +tp3180 +a(g436 +V$__bobthefish_hg_glyph +p3181 +tp3182 +a(g822 +g1006 +tp3183 +a(g225 +V' ' +p3184 +tp3185 +a(g822 +V\u000a\u000a +p3186 +tp3187 +a(g822 +V__bobthefish_start_segment +p3188 +tp3189 +a(g822 +g1006 +tp3190 +a(g436 +V$flag_bg +p3191 +tp3192 +a(g822 +g1006 +tp3193 +a(g436 +V$flag_fg +p3194 +tp3195 +a(g822 +V\u000a +p3196 +tp3197 +a(g677 +Vset_color +p3198 +tp3199 +a(g822 +g1006 +tp3200 +a(g436 +V$flag_fg +p3201 +tp3202 +a(g822 +g1006 +tp3203 +a(g822 +V--bold +p3204 +tp3205 +a(g822 +V\u000a +p3206 +tp3207 +a(g740 +Vecho +p3208 +tp3209 +a(g822 +g1006 +tp3210 +a(g822 +V-n +p3211 +tp3212 +a(g822 +g1006 +tp3213 +a(g822 +V-s +p3214 +tp3215 +a(g822 +g1006 +tp3216 +a(g408 +g1573 +tp3217 +a(g822 +V__bobthefish_hg_branch +p3218 +tp3219 +a(g408 +g1591 +tp3220 +a(g822 +g1006 +tp3221 +a(g436 +V$flags +p3222 +tp3223 +a(g822 +g1006 +tp3224 +a(g225 +V' ' +p3225 +tp3226 +a(g822 +V\u000a +p3227 +tp3228 +a(g677 +Vset_color +p3229 +tp3230 +a(g822 +Vnormal +p3231 +tp3232 +a(g822 +V\u000a\u000a +p3233 +tp3234 +a(g740 +Vset +p3235 +tp3236 +a(g822 +g1006 +tp3237 +a(g822 +V-l +p3238 +tp3239 +a(g822 +g1006 +tp3240 +a(g822 +Vproject_pwd +p3241 +tp3242 +a(g822 +V +p3243 +tp3244 +a(g408 +g1573 +tp3245 +a(g822 +V__bobthefish_project_pwd +p3246 +tp3247 +a(g822 +g1006 +tp3248 +a(g408 +g1573 +tp3249 +a(g822 +V__bobthefish_hg_project_dir +p3250 +tp3251 +a(g408 +g1591 +tp3252 +a(g408 +g1591 +tp3253 +a(g822 +V\u000a +p3254 +tp3255 +a(g740 +Vif +p3256 +tp3257 +a(g822 +g1006 +tp3258 +a(g740 +Vtest +p3259 +tp3260 +a(g822 +g1006 +tp3261 +a(g152 +g1663 +tp3262 +a(g436 +V$project_pwd +p3263 +tp3264 +a(g152 +g1663 +tp3265 +a(g822 +V\u000a +p3266 +tp3267 +a(g740 +Vif +p3268 +tp3269 +a(g822 +g1006 +tp3270 +a(g740 +Vtest +p3271 +tp3272 +a(g822 +g1006 +tp3273 +a(g822 +V-w +p3274 +tp3275 +a(g822 +g1006 +tp3276 +a(g152 +g1663 +tp3277 +a(g436 +V$PWD +p3278 +tp3279 +a(g152 +g1663 +tp3280 +a(g822 +V\u000a +p3281 +tp3282 +a(g822 +V__bobthefish_start_segment +p3283 +tp3284 +a(g822 +g1006 +tp3285 +a(g27 +V333 +p3286 +tp3287 +a(g822 +g1006 +tp3288 +a(g822 +V999 +p3289 +tp3290 +a(g822 +V\u000a +p3291 +tp3292 +a(g740 +Velse +p3293 +tp3294 +a(g822 +V\u000a +p3295 +tp3296 +a(g822 +V__bobthefish_start_segment +p3297 +tp3298 +a(g822 +g1006 +tp3299 +a(g436 +V$__bobthefish_med_red +p3300 +tp3301 +a(g822 +g1006 +tp3302 +a(g436 +V$__bobthefish_lt_red +p3303 +tp3304 +a(g822 +V\u000a +p3305 +tp3306 +a(g740 +Vend +p3307 +tp3308 +a(g822 +V\u000a\u000a +p3309 +tp3310 +a(g740 +Vecho +p3311 +tp3312 +a(g822 +g1006 +tp3313 +a(g822 +V-n +p3314 +tp3315 +a(g822 +g1006 +tp3316 +a(g822 +V-s +p3317 +tp3318 +a(g822 +g1006 +tp3319 +a(g436 +V$project_pwd +p3320 +tp3321 +a(g822 +g1006 +tp3322 +a(g225 +V' ' +p3323 +tp3324 +a(g822 +V\u000a +p3325 +tp3326 +a(g740 +Vend +p3327 +tp3328 +a(g822 +V\u000a +p3329 +tp3330 +a(g740 +Vend +p3331 +tp3332 +a(g822 +V\u000a\u000a +p3333 +tp3334 +a(g7 +V# TODO: clean up the fugly $ahead business\u000a +p3335 +tp3336 +a(g740 +Vfunction +p3337 +tp3338 +a(g822 +g1006 +tp3339 +a(g822 +V__bobthefish_prompt_git +p3340 +tp3341 +a(g822 +g1006 +tp3342 +a(g822 +V-d +p3343 +tp3344 +a(g822 +g1006 +tp3345 +a(g225 +V'Display the actual git state' +p3346 +tp3347 +a(g822 +V\u000a +p3348 +tp3349 +a(g740 +Vset +p3350 +tp3351 +a(g822 +g1006 +tp3352 +a(g822 +V-l +p3353 +tp3354 +a(g822 +g1006 +tp3355 +a(g822 +Vdirty +p3356 +tp3357 +a(g822 +V +p3358 +tp3359 +a(g408 +g1573 +tp3360 +a(g677 +Vcommand +p3361 +tp3362 +a(g822 +Vgit +p3363 +tp3364 +a(g822 +g1006 +tp3365 +a(g822 +Vdiff +p3366 +tp3367 +a(g822 +g1006 +tp3368 +a(g822 +V--no-ext-diff +p3369 +tp3370 +a(g822 +g1006 +tp3371 +a(g822 +V--quiet +p3372 +tp3373 +a(g822 +g1006 +tp3374 +a(g822 +V--exit-code +p3375 +tp3376 +a(g701 +g1462 +tp3377 +a(g822 +g1006 +tp3378 +a(g740 +Vor +p3379 +tp3380 +a(g822 +g1006 +tp3381 +a(g740 +Vecho +p3382 +tp3383 +a(g822 +g1006 +tp3384 +a(g822 +V-n +p3385 +tp3386 +a(g822 +g1006 +tp3387 +a(g225 +V'*' +p3388 +tp3389 +a(g408 +g1591 +tp3390 +a(g822 +V\u000a +p3391 +tp3392 +a(g740 +Vset +p3393 +tp3394 +a(g822 +g1006 +tp3395 +a(g822 +V-l +p3396 +tp3397 +a(g822 +g1006 +tp3398 +a(g822 +Vstaged +p3399 +tp3400 +a(g822 +V +p3401 +tp3402 +a(g408 +g1573 +tp3403 +a(g677 +Vcommand +p3404 +tp3405 +a(g822 +Vgit +p3406 +tp3407 +a(g822 +g1006 +tp3408 +a(g822 +Vdiff +p3409 +tp3410 +a(g822 +g1006 +tp3411 +a(g822 +V--cached +p3412 +tp3413 +a(g822 +g1006 +tp3414 +a(g822 +V--no-ext-diff +p3415 +tp3416 +a(g822 +g1006 +tp3417 +a(g822 +V--quiet +p3418 +tp3419 +a(g822 +g1006 +tp3420 +a(g822 +V--exit-code +p3421 +tp3422 +a(g701 +g1462 +tp3423 +a(g822 +g1006 +tp3424 +a(g740 +Vor +p3425 +tp3426 +a(g822 +g1006 +tp3427 +a(g740 +Vecho +p3428 +tp3429 +a(g822 +g1006 +tp3430 +a(g822 +V-n +p3431 +tp3432 +a(g822 +g1006 +tp3433 +a(g225 +V'~' +p3434 +tp3435 +a(g408 +g1591 +tp3436 +a(g822 +V\u000a +p3437 +tp3438 +a(g740 +Vset +p3439 +tp3440 +a(g822 +g1006 +tp3441 +a(g822 +V-l +p3442 +tp3443 +a(g822 +g1006 +tp3444 +a(g822 +Vstashed +p3445 +tp3446 +a(g822 +g1006 +tp3447 +a(g408 +g1573 +tp3448 +a(g677 +Vcommand +p3449 +tp3450 +a(g822 +Vgit +p3451 +tp3452 +a(g822 +g1006 +tp3453 +a(g822 +Vrev-parse +p3454 +tp3455 +a(g822 +g1006 +tp3456 +a(g822 +V--verify +p3457 +tp3458 +a(g822 +g1006 +tp3459 +a(g822 +Vrefs/stash +p3460 +tp3461 +a(g822 +g1006 +tp3462 +a(g408 +g1450 +tp3463 +a(g822 +g1006 +tp3464 +a(g822 +V/dev/null +p3465 +tp3466 +a(g822 +g1006 +tp3467 +a(g822 +V2> +p3468 +tp3469 +a(g408 +g1458 +tp3470 +a(g822 +g1460 +tp3471 +a(g701 +g1462 +tp3472 +a(g822 +g1006 +tp3473 +a(g740 +Vand +p3474 +tp3475 +a(g822 +g1006 +tp3476 +a(g740 +Vecho +p3477 +tp3478 +a(g822 +g1006 +tp3479 +a(g822 +V-n +p3480 +tp3481 +a(g822 +g1006 +tp3482 +a(g225 +V'$' +p3483 +tp3484 +a(g408 +g1591 +tp3485 +a(g822 +V\u000a +p3486 +tp3487 +a(g740 +Vset +p3488 +tp3489 +a(g822 +g1006 +tp3490 +a(g822 +V-l +p3491 +tp3492 +a(g822 +g1006 +tp3493 +a(g822 +Vahead +p3494 +tp3495 +a(g822 +V +p3496 +tp3497 +a(g408 +g1573 +tp3498 +a(g677 +Vcommand +p3499 +tp3500 +a(g822 +Vgit +p3501 +tp3502 +a(g822 +g1006 +tp3503 +a(g822 +Vbranch +p3504 +tp3505 +a(g822 +g1006 +tp3506 +a(g822 +V-v +p3507 +tp3508 +a(g822 +g1006 +tp3509 +a(g822 +V2> +p3510 +tp3511 +a(g822 +g1006 +tp3512 +a(g822 +V/dev/null +p3513 +tp3514 +a(g822 +g1006 +tp3515 +a(g408 +g1641 +tp3516 +a(g822 +g1006 +tp3517 +a(g822 +Vgrep +p3518 +tp3519 +a(g822 +g1006 +tp3520 +a(g822 +V-Eo +p3521 +tp3522 +a(g822 +g1006 +tp3523 +a(g225 +V'^\u005c* [^ ]* *[^ ]* *\u005c[[^]]*\u005c]' +p3524 +tp3525 +a(g822 +g1006 +tp3526 +a(g408 +g1641 +tp3527 +a(g822 +g1006 +tp3528 +a(g822 +Vgrep +p3529 +tp3530 +a(g822 +g1006 +tp3531 +a(g822 +V-Eo +p3532 +tp3533 +a(g822 +g1006 +tp3534 +a(g225 +V'\u005c[[^]]*\u005c]$' +p3535 +tp3536 +a(g822 +g1006 +tp3537 +a(g408 +g1641 +tp3538 +a(g822 +g1006 +tp3539 +a(g822 +Vawk +p3540 +tp3541 +a(g822 +g1006 +tp3542 +a(g225 +V'ORS="";/ahead/ {print "+"} /behind/ {print "-"}' +p3543 +tp3544 +a(g822 +g1006 +tp3545 +a(g408 +g1641 +tp3546 +a(g822 +g1006 +tp3547 +a(g822 +Vsed +p3548 +tp3549 +a(g822 +g1006 +tp3550 +a(g822 +V-e +p3551 +tp3552 +a(g822 +g1006 +tp3553 +a(g225 +V's/+-/±/' +p3554 +tp3555 +a(g408 +g1591 +tp3556 +a(g822 +V\u000a\u000a +p3557 +tp3558 +a(g740 +Vset +p3559 +tp3560 +a(g822 +g1006 +tp3561 +a(g822 +V-l +p3562 +tp3563 +a(g822 +g1006 +tp3564 +a(g822 +Vnew +p3565 +tp3566 +a(g822 +g1006 +tp3567 +a(g408 +g1573 +tp3568 +a(g677 +Vcommand +p3569 +tp3570 +a(g822 +Vgit +p3571 +tp3572 +a(g822 +g1006 +tp3573 +a(g822 +Vls-files +p3574 +tp3575 +a(g822 +g1006 +tp3576 +a(g822 +V--other +p3577 +tp3578 +a(g822 +g1006 +tp3579 +a(g822 +V--exclude-standard +p3580 +tp3581 +a(g408 +g1591 +tp3582 +a(g701 +g1462 +tp3583 +a(g822 +V\u000a +p3584 +tp3585 +a(g740 +Vtest +p3586 +tp3587 +a(g822 +g1006 +tp3588 +a(g152 +g1663 +tp3589 +a(g436 +V$new +p3590 +tp3591 +a(g152 +g1663 +tp3592 +a(g701 +g1462 +tp3593 +a(g822 +g1006 +tp3594 +a(g740 +Vand +p3595 +tp3596 +a(g822 +g1006 +tp3597 +a(g740 +Vset +p3598 +tp3599 +a(g822 +g1006 +tp3600 +a(g822 +Vnew +p3601 +tp3602 +a(g822 +g1006 +tp3603 +a(g225 +V'\u2026' +p3604 +tp3605 +a(g822 +V\u000a\u000a +p3606 +tp3607 +a(g740 +Vset +p3608 +tp3609 +a(g822 +g1006 +tp3610 +a(g822 +V-l +p3611 +tp3612 +a(g822 +g1006 +tp3613 +a(g822 +Vflags +p3614 +tp3615 +a(g822 +V +p3616 +tp3617 +a(g152 +g1663 +tp3618 +a(g436 +V$dirty +p3619 +tp3620 +a(g436 +V$staged +p3621 +tp3622 +a(g436 +V$stashed +p3623 +tp3624 +a(g436 +V$ahead +p3625 +tp3626 +a(g436 +V$new +p3627 +tp3628 +a(g152 +g1663 +tp3629 +a(g822 +V\u000a +p3630 +tp3631 +a(g740 +Vtest +p3632 +tp3633 +a(g822 +g1006 +tp3634 +a(g152 +g1663 +tp3635 +a(g436 +V$flags +p3636 +tp3637 +a(g152 +g1663 +tp3638 +a(g701 +g1462 +tp3639 +a(g822 +g1006 +tp3640 +a(g740 +Vand +p3641 +tp3642 +a(g822 +g1006 +tp3643 +a(g740 +Vset +p3644 +tp3645 +a(g822 +g1006 +tp3646 +a(g822 +Vflags +p3647 +tp3648 +a(g822 +g1006 +tp3649 +a(g152 +g1663 +tp3650 +a(g152 +g1006 +tp3651 +a(g436 +V$flags +p3652 +tp3653 +a(g152 +g1663 +tp3654 +a(g822 +V\u000a\u000a +p3655 +tp3656 +a(g740 +Vset +p3657 +tp3658 +a(g822 +g1006 +tp3659 +a(g822 +V-l +p3660 +tp3661 +a(g822 +g1006 +tp3662 +a(g822 +Vflag_bg +p3663 +tp3664 +a(g822 +g1006 +tp3665 +a(g436 +V$__bobthefish_lt_green +p3666 +tp3667 +a(g822 +V\u000a +p3668 +tp3669 +a(g740 +Vset +p3670 +tp3671 +a(g822 +g1006 +tp3672 +a(g822 +V-l +p3673 +tp3674 +a(g822 +g1006 +tp3675 +a(g822 +Vflag_fg +p3676 +tp3677 +a(g822 +g1006 +tp3678 +a(g436 +V$__bobthefish_dk_green +p3679 +tp3680 +a(g822 +V\u000a +p3681 +tp3682 +a(g740 +Vif +p3683 +tp3684 +a(g822 +g1006 +tp3685 +a(g740 +Vtest +p3686 +tp3687 +a(g822 +g1006 +tp3688 +a(g152 +g1663 +tp3689 +a(g436 +V$dirty +p3690 +tp3691 +a(g152 +g1663 +tp3692 +a(g822 +g1006 +tp3693 +a(g822 +V-o +p3694 +tp3695 +a(g822 +g1006 +tp3696 +a(g152 +g1663 +tp3697 +a(g436 +V$staged +p3698 +tp3699 +a(g152 +g1663 +tp3700 +a(g822 +V\u000a +p3701 +tp3702 +a(g740 +Vset +p3703 +tp3704 +a(g822 +g1006 +tp3705 +a(g822 +Vflag_bg +p3706 +tp3707 +a(g822 +g1006 +tp3708 +a(g436 +V$__bobthefish_med_red +p3709 +tp3710 +a(g822 +V\u000a +p3711 +tp3712 +a(g740 +Vset +p3713 +tp3714 +a(g822 +g1006 +tp3715 +a(g822 +Vflag_fg +p3716 +tp3717 +a(g822 +g1006 +tp3718 +a(g822 +Vfff +p3719 +tp3720 +a(g822 +V\u000a +p3721 +tp3722 +a(g740 +Velse +p3723 +tp3724 +a(g822 +V\u000a +p3725 +tp3726 +a(g740 +Vif +p3727 +tp3728 +a(g822 +g1006 +tp3729 +a(g740 +Vtest +p3730 +tp3731 +a(g822 +g1006 +tp3732 +a(g152 +g1663 +tp3733 +a(g436 +V$stashed +p3734 +tp3735 +a(g152 +g1663 +tp3736 +a(g822 +V\u000a +p3737 +tp3738 +a(g740 +Vset +p3739 +tp3740 +a(g822 +g1006 +tp3741 +a(g822 +Vflag_bg +p3742 +tp3743 +a(g822 +g1006 +tp3744 +a(g436 +V$__bobthefish_lt_orange +p3745 +tp3746 +a(g822 +V\u000a +p3747 +tp3748 +a(g740 +Vset +p3749 +tp3750 +a(g822 +g1006 +tp3751 +a(g822 +Vflag_fg +p3752 +tp3753 +a(g822 +g1006 +tp3754 +a(g436 +V$__bobthefish_dk_orange +p3755 +tp3756 +a(g822 +V\u000a +p3757 +tp3758 +a(g740 +Vend +p3759 +tp3760 +a(g822 +V\u000a +p3761 +tp3762 +a(g740 +Vend +p3763 +tp3764 +a(g822 +V\u000a\u000a +p3765 +tp3766 +a(g822 +V__bobthefish_path_segment +p3767 +tp3768 +a(g822 +g1006 +tp3769 +a(g408 +g1573 +tp3770 +a(g822 +V__bobthefish_git_project_dir +p3771 +tp3772 +a(g408 +g1591 +tp3773 +a(g822 +V\u000a\u000a +p3774 +tp3775 +a(g822 +V__bobthefish_start_segment +p3776 +tp3777 +a(g822 +g1006 +tp3778 +a(g436 +V$flag_bg +p3779 +tp3780 +a(g822 +g1006 +tp3781 +a(g436 +V$flag_fg +p3782 +tp3783 +a(g822 +V\u000a +p3784 +tp3785 +a(g677 +Vset_color +p3786 +tp3787 +a(g822 +g1006 +tp3788 +a(g436 +V$flag_fg +p3789 +tp3790 +a(g822 +g1006 +tp3791 +a(g822 +V--bold +p3792 +tp3793 +a(g822 +V\u000a +p3794 +tp3795 +a(g740 +Vecho +p3796 +tp3797 +a(g822 +g1006 +tp3798 +a(g822 +V-n +p3799 +tp3800 +a(g822 +g1006 +tp3801 +a(g822 +V-s +p3802 +tp3803 +a(g822 +g1006 +tp3804 +a(g408 +g1573 +tp3805 +a(g822 +V__bobthefish_git_branch +p3806 +tp3807 +a(g408 +g1591 +tp3808 +a(g822 +g1006 +tp3809 +a(g436 +V$flags +p3810 +tp3811 +a(g822 +g1006 +tp3812 +a(g225 +V' ' +p3813 +tp3814 +a(g822 +V\u000a +p3815 +tp3816 +a(g677 +Vset_color +p3817 +tp3818 +a(g822 +Vnormal +p3819 +tp3820 +a(g822 +V\u000a\u000a +p3821 +tp3822 +a(g740 +Vset +p3823 +tp3824 +a(g822 +g1006 +tp3825 +a(g822 +V-l +p3826 +tp3827 +a(g822 +g1006 +tp3828 +a(g822 +Vproject_pwd +p3829 +tp3830 +a(g822 +V +p3831 +tp3832 +a(g408 +g1573 +tp3833 +a(g822 +V__bobthefish_project_pwd +p3834 +tp3835 +a(g822 +g1006 +tp3836 +a(g408 +g1573 +tp3837 +a(g822 +V__bobthefish_git_project_dir +p3838 +tp3839 +a(g408 +g1591 +tp3840 +a(g408 +g1591 +tp3841 +a(g822 +V\u000a +p3842 +tp3843 +a(g740 +Vif +p3844 +tp3845 +a(g822 +g1006 +tp3846 +a(g740 +Vtest +p3847 +tp3848 +a(g822 +g1006 +tp3849 +a(g152 +g1663 +tp3850 +a(g436 +V$project_pwd +p3851 +tp3852 +a(g152 +g1663 +tp3853 +a(g822 +V\u000a +p3854 +tp3855 +a(g740 +Vif +p3856 +tp3857 +a(g822 +g1006 +tp3858 +a(g740 +Vtest +p3859 +tp3860 +a(g822 +g1006 +tp3861 +a(g822 +V-w +p3862 +tp3863 +a(g822 +g1006 +tp3864 +a(g152 +g1663 +tp3865 +a(g436 +V$PWD +p3866 +tp3867 +a(g152 +g1663 +tp3868 +a(g822 +V\u000a +p3869 +tp3870 +a(g822 +V__bobthefish_start_segment +p3871 +tp3872 +a(g822 +g1006 +tp3873 +a(g27 +V333 +p3874 +tp3875 +a(g822 +g1006 +tp3876 +a(g822 +V999 +p3877 +tp3878 +a(g822 +V\u000a +p3879 +tp3880 +a(g740 +Velse +p3881 +tp3882 +a(g822 +V\u000a +p3883 +tp3884 +a(g822 +V__bobthefish_start_segment +p3885 +tp3886 +a(g822 +g1006 +tp3887 +a(g436 +V$__bobthefish_med_red +p3888 +tp3889 +a(g822 +g1006 +tp3890 +a(g436 +V$__bobthefish_lt_red +p3891 +tp3892 +a(g822 +V\u000a +p3893 +tp3894 +a(g740 +Vend +p3895 +tp3896 +a(g822 +V\u000a\u000a +p3897 +tp3898 +a(g740 +Vecho +p3899 +tp3900 +a(g822 +g1006 +tp3901 +a(g822 +V-n +p3902 +tp3903 +a(g822 +g1006 +tp3904 +a(g822 +V-s +p3905 +tp3906 +a(g822 +g1006 +tp3907 +a(g436 +V$project_pwd +p3908 +tp3909 +a(g822 +g1006 +tp3910 +a(g225 +V' ' +p3911 +tp3912 +a(g822 +V\u000a +p3913 +tp3914 +a(g740 +Vend +p3915 +tp3916 +a(g822 +V\u000a +p3917 +tp3918 +a(g740 +Vend +p3919 +tp3920 +a(g822 +V\u000a\u000a +p3921 +tp3922 +a(g740 +Vfunction +p3923 +tp3924 +a(g822 +g1006 +tp3925 +a(g822 +V__bobthefish_prompt_dir +p3926 +tp3927 +a(g822 +g1006 +tp3928 +a(g822 +V-d +p3929 +tp3930 +a(g822 +g1006 +tp3931 +a(g225 +V'Display a shortened form of the current directory' +p3932 +tp3933 +a(g822 +V\u000a +p3934 +tp3935 +a(g822 +V__bobthefish_path_segment +p3936 +tp3937 +a(g822 +g1006 +tp3938 +a(g152 +g1663 +tp3939 +a(g436 +V$PWD +p3940 +tp3941 +a(g152 +g1663 +tp3942 +a(g822 +V\u000a +p3943 +tp3944 +a(g740 +Vend +p3945 +tp3946 +a(g822 +V\u000a\u000a +p3947 +tp3948 +a(g740 +Vfunction +p3949 +tp3950 +a(g822 +g1006 +tp3951 +a(g822 +V__bobthefish_in_virtualfish_virtualenv +p3952 +tp3953 +a(g822 +V\u000a +p3954 +tp3955 +a(g740 +Vset +p3956 +tp3957 +a(g822 +g1006 +tp3958 +a(g822 +V-q +p3959 +tp3960 +a(g822 +g1006 +tp3961 +a(g822 +VVIRTUAL_ENV +p3962 +tp3963 +a(g822 +V\u000a +p3964 +tp3965 +a(g740 +Vend +p3966 +tp3967 +a(g822 +V\u000a\u000a +p3968 +tp3969 +a(g740 +Vfunction +p3970 +tp3971 +a(g822 +g1006 +tp3972 +a(g822 +V__bobthefish_virtualenv_python_version +p3973 +tp3974 +a(g822 +g1006 +tp3975 +a(g822 +V-d +p3976 +tp3977 +a(g822 +g1006 +tp3978 +a(g225 +V'Get current python version' +p3979 +tp3980 +a(g822 +V\u000a +p3981 +tp3982 +a(g740 +Vswitch +p3983 +tp3984 +a(g822 +g1006 +tp3985 +a(g408 +g1573 +tp3986 +a(g822 +Vreadlink +p3987 +tp3988 +a(g822 +g1006 +tp3989 +a(g408 +g1573 +tp3990 +a(g822 +Vwhich +p3991 +tp3992 +a(g822 +g1006 +tp3993 +a(g822 +Vpython +p3994 +tp3995 +a(g408 +g1591 +tp3996 +a(g408 +g1591 +tp3997 +a(g822 +V\u000a +p3998 +tp3999 +a(g740 +Vcase +p4000 +tp4001 +a(g822 +g1006 +tp4002 +a(g822 +Vpython2 +p4003 +tp4004 +a(g822 +V\u000a +p4005 +tp4006 +a(g740 +Vecho +p4007 +tp4008 +a(g822 +g1006 +tp4009 +a(g436 +V$__bobthefish_superscript_glyph +p4010 +tp4011 +a(g408 +g1598 +tp4012 +a(g822 +g2018 +tp4013 +a(g408 +g1610 +tp4014 +a(g822 +V\u000a +p4015 +tp4016 +a(g740 +Vcase +p4017 +tp4018 +a(g822 +g1006 +tp4019 +a(g822 +Vpython3 +p4020 +tp4021 +a(g822 +V\u000a +p4022 +tp4023 +a(g740 +Vecho +p4024 +tp4025 +a(g822 +g1006 +tp4026 +a(g436 +V$__bobthefish_superscript_glyph +p4027 +tp4028 +a(g408 +g1598 +tp4029 +a(g822 +V3 +p4030 +tp4031 +a(g408 +g1610 +tp4032 +a(g822 +V\u000a +p4033 +tp4034 +a(g740 +Vcase +p4035 +tp4036 +a(g822 +g1006 +tp4037 +a(g822 +Vpypy +p4038 +tp4039 +a(g822 +V\u000a +p4040 +tp4041 +a(g740 +Vecho +p4042 +tp4043 +a(g822 +g1006 +tp4044 +a(g436 +V$__bobthefish_pypy_glyph +p4045 +tp4046 +a(g822 +V\u000a +p4047 +tp4048 +a(g740 +Vend +p4049 +tp4050 +a(g822 +V\u000a +p4051 +tp4052 +a(g740 +Vend +p4053 +tp4054 +a(g822 +V\u000a\u000a +p4055 +tp4056 +a(g740 +Vfunction +p4057 +tp4058 +a(g822 +g1006 +tp4059 +a(g822 +V__bobthefish_virtualenv +p4060 +tp4061 +a(g822 +g1006 +tp4062 +a(g822 +V-d +p4063 +tp4064 +a(g822 +g1006 +tp4065 +a(g225 +V'Get the current virtualenv' +p4066 +tp4067 +a(g822 +V\u000a +p4068 +tp4069 +a(g740 +Vecho +p4070 +tp4071 +a(g822 +g1006 +tp4072 +a(g436 +V$__bobthefish_virtualenv_glyph +p4073 +tp4074 +a(g408 +g1573 +tp4075 +a(g822 +V__bobthefish_virtualenv_python_version +p4076 +tp4077 +a(g408 +g1591 +tp4078 +a(g822 +g1006 +tp4079 +a(g408 +g1573 +tp4080 +a(g822 +Vbasename +p4081 +tp4082 +a(g822 +g1006 +tp4083 +a(g152 +g1663 +tp4084 +a(g436 +V$VIRTUAL_ENV +p4085 +tp4086 +a(g152 +g1663 +tp4087 +a(g408 +g1591 +tp4088 +a(g822 +V\u000a +p4089 +tp4090 +a(g740 +Vend +p4091 +tp4092 +a(g822 +V\u000a\u000a +p4093 +tp4094 +a(g740 +Vfunction +p4095 +tp4096 +a(g822 +g1006 +tp4097 +a(g822 +V__bobthefish_prompt_virtualfish +p4098 +tp4099 +a(g822 +g1006 +tp4100 +a(g822 +V-d +p4101 +tp4102 +a(g822 +g1006 +tp4103 +a(g152 +V"Display activated virtual environment (only for virtualfish, virtualenv's activate.fish changes prompt by itself)" +p4104 +tp4105 +a(g822 +V\u000a +p4106 +tp4107 +a(g740 +Vset +p4108 +tp4109 +a(g822 +g1006 +tp4110 +a(g822 +Vflag_bg +p4111 +tp4112 +a(g822 +g1006 +tp4113 +a(g436 +V$__bobthefish_lt_blue +p4114 +tp4115 +a(g822 +V\u000a +p4116 +tp4117 +a(g740 +Vset +p4118 +tp4119 +a(g822 +g1006 +tp4120 +a(g822 +Vflag_fg +p4121 +tp4122 +a(g822 +g1006 +tp4123 +a(g436 +V$__bobthefish_dk_blue +p4124 +tp4125 +a(g822 +V\u000a +p4126 +tp4127 +a(g822 +V__bobthefish_start_segment +p4128 +tp4129 +a(g822 +g1006 +tp4130 +a(g436 +V$flag_bg +p4131 +tp4132 +a(g822 +g1006 +tp4133 +a(g436 +V$flag_fg +p4134 +tp4135 +a(g822 +V\u000a +p4136 +tp4137 +a(g677 +Vset_color +p4138 +tp4139 +a(g822 +g1006 +tp4140 +a(g436 +V$flag_fg +p4141 +tp4142 +a(g822 +g1006 +tp4143 +a(g822 +V--bold +p4144 +tp4145 +a(g822 +V\u000a +p4146 +tp4147 +a(g740 +Vecho +p4148 +tp4149 +a(g822 +g1006 +tp4150 +a(g822 +V-n +p4151 +tp4152 +a(g822 +g1006 +tp4153 +a(g822 +V-s +p4154 +tp4155 +a(g822 +g1006 +tp4156 +a(g408 +g1573 +tp4157 +a(g822 +V__bobthefish_virtualenv +p4158 +tp4159 +a(g408 +g1591 +tp4160 +a(g822 +g1006 +tp4161 +a(g436 +V$flags +p4162 +tp4163 +a(g822 +g1006 +tp4164 +a(g225 +V' ' +p4165 +tp4166 +a(g822 +V\u000a +p4167 +tp4168 +a(g677 +Vset_color +p4169 +tp4170 +a(g822 +Vnormal +p4171 +tp4172 +a(g822 +V\u000a +p4173 +tp4174 +a(g740 +Vend +p4175 +tp4176 +a(g822 +V\u000a\u000a\u000a +p4177 +tp4178 +a(g7 +V# ===========================\u000a +p4179 +tp4180 +a(g7 +V# Apply theme\u000a +p4181 +tp4182 +a(g7 +V# ===========================\u000a +p4183 +tp4184 +a(g822 +V\u000a +p4185 +tp4186 +a(g740 +Vfunction +p4187 +tp4188 +a(g822 +g1006 +tp4189 +a(g677 +Vfish_prompt +p4190 +tp4191 +a(g822 +g1006 +tp4192 +a(g822 +V-d +p4193 +tp4194 +a(g822 +g1006 +tp4195 +a(g225 +V'bobthefish, a fish theme optimized for awesome' +p4196 +tp4197 +a(g822 +V\u000a +p4198 +tp4199 +a(g822 +V__bobthefish_prompt_status +p4200 +tp4201 +a(g822 +V\u000a +p4202 +tp4203 +a(g822 +V__bobthefish_prompt_user +p4204 +tp4205 +a(g822 +V\u000a +p4206 +tp4207 +a(g740 +Vif +p4208 +tp4209 +a(g822 +g1006 +tp4210 +a(g822 +V__bobthefish_in_virtualfish_virtualenv +p4211 +tp4212 +a(g822 +V\u000a +p4213 +tp4214 +a(g822 +V__bobthefish_prompt_virtualfish +p4215 +tp4216 +a(g822 +V\u000a +p4217 +tp4218 +a(g740 +Vend +p4219 +tp4220 +a(g822 +V\u000a +p4221 +tp4222 +a(g740 +Vif +p4223 +tp4224 +a(g822 +g1006 +tp4225 +a(g822 +V__bobthefish_in_git +p4226 +tp4227 +a(g822 +V +p4228 +tp4229 +a(g7 +V# TODO: do this right.\u000a +p4230 +tp4231 +a(g822 +V +p4232 +tp4233 +a(g822 +V__bobthefish_prompt_git +p4234 +tp4235 +a(g822 +V +p4236 +tp4237 +a(g7 +V# if something is in both git and hg, check the length of\u000a +p4238 +tp4239 +a(g822 +V +p4240 +tp4241 +a(g740 +Velse +p4242 +tp4243 +a(g822 +g1006 +tp4244 +a(g740 +Vif +p4245 +tp4246 +a(g822 +g1006 +tp4247 +a(g822 +V__bobthefish_in_hg +p4248 +tp4249 +a(g822 +V +p4250 +tp4251 +a(g7 +V# __bobthefish_git_project_dir vs __bobthefish_hg_project_dir\u000a +p4252 +tp4253 +a(g822 +V +p4254 +tp4255 +a(g822 +V__bobthefish_prompt_hg +p4256 +tp4257 +a(g822 +V +p4258 +tp4259 +a(g7 +V# and pick the longer of the two.\u000a +p4260 +tp4261 +a(g822 +V +p4262 +tp4263 +a(g740 +Velse +p4264 +tp4265 +a(g822 +V\u000a +p4266 +tp4267 +a(g822 +V__bobthefish_prompt_dir +p4268 +tp4269 +a(g822 +V\u000a +p4270 +tp4271 +a(g740 +Vend +p4272 +tp4273 +a(g822 +V\u000a +p4274 +tp4275 +a(g822 +V__bobthefish_finish_segments +p4276 +tp4277 +a(g822 +V\u000a +p4278 +tp4279 +a(g740 +Vend +p4280 +tp4281 +a(g822 +V\u000a\u000a +p4282 +tp4283 +a(g7 +V# -----------------------------------------------------------------------------\u000a +p4284 +tp4285 +a(g7 +V# funced - edit a function interactively\u000a +p4286 +tp4287 +a(g7 +V#\u000a +p4288 +tp4289 +a(g7 +V# Synopsis\u000a +p4290 +tp4291 +a(g7 +V#\u000a +p4292 +tp4293 +a(g7 +V# funced [OPTIONS] NAME\u000a +p4294 +tp4295 +a(g7 +V#\u000a +p4296 +tp4297 +a(g7 +V# Description\u000a +p4298 +tp4299 +a(g7 +V#\u000a +p4300 +tp4301 +a(g7 +V# funced provides an interface to edit the definition of the function NAME.\u000a +p4302 +tp4303 +a(g7 +V# -----------------------------------------------------------------------------\u000a +p4304 +tp4305 +a(g822 +V\u000a +p4306 +tp4307 +a(g740 +Vfunction +p4308 +tp4309 +a(g822 +g1006 +tp4310 +a(g677 +Vfunced +p4311 +tp4312 +a(g822 +g1006 +tp4313 +a(g822 +V--description +p4314 +tp4315 +a(g822 +g1006 +tp4316 +a(g225 +V'Edit function definition' +p4317 +tp4318 +a(g822 +V\u000a +p4319 +tp4320 +a(g740 +Vset +p4321 +tp4322 +a(g822 +g1006 +tp4323 +a(g822 +V-l +p4324 +tp4325 +a(g822 +g1006 +tp4326 +a(g822 +Veditor +p4327 +tp4328 +a(g822 +g1006 +tp4329 +a(g436 +V$EDITOR +p4330 +tp4331 +a(g822 +V\u000a +p4332 +tp4333 +a(g740 +Vset +p4334 +tp4335 +a(g822 +g1006 +tp4336 +a(g822 +V-l +p4337 +tp4338 +a(g822 +g1006 +tp4339 +a(g822 +Vinteractive +p4340 +tp4341 +a(g822 +V\u000a +p4342 +tp4343 +a(g740 +Vset +p4344 +tp4345 +a(g822 +g1006 +tp4346 +a(g822 +V-l +p4347 +tp4348 +a(g822 +g1006 +tp4349 +a(g822 +Vfuncname +p4350 +tp4351 +a(g822 +V\u000a +p4352 +tp4353 +a(g740 +Vwhile +p4354 +tp4355 +a(g822 +g1006 +tp4356 +a(g740 +Vset +p4357 +tp4358 +a(g822 +g1006 +tp4359 +a(g822 +V-q +p4360 +tp4361 +a(g822 +g1006 +tp4362 +a(g822 +Vargv +p4363 +tp4364 +a(g408 +g1598 +tp4365 +a(g822 +g1460 +tp4366 +a(g408 +g1610 +tp4367 +a(g822 +V\u000a +p4368 +tp4369 +a(g740 +Vswitch +p4370 +tp4371 +a(g822 +g1006 +tp4372 +a(g436 +V$argv +p4373 +tp4374 +a(g408 +g1598 +tp4375 +a(g822 +g1460 +tp4376 +a(g408 +g1610 +tp4377 +a(g822 +V\u000a +p4378 +tp4379 +a(g740 +Vcase +p4380 +tp4381 +a(g822 +g1006 +tp4382 +a(g822 +V-h +p4383 +tp4384 +a(g822 +g1006 +tp4385 +a(g822 +V--help +p4386 +tp4387 +a(g822 +V\u000a +p4388 +tp4389 +a(g822 +V__fish_print_help +p4390 +tp4391 +a(g822 +g1006 +tp4392 +a(g677 +Vfunced\u000a +p4393 +tp4394 +a(g740 +Vreturn +p4395 +tp4396 +a(g822 +g1006 +tp4397 +a(g822 +g1607 +tp4398 +a(g822 +V\u000a\u000a +p4399 +tp4400 +a(g740 +Vcase +p4401 +tp4402 +a(g822 +g1006 +tp4403 +a(g822 +V-e +p4404 +tp4405 +a(g822 +g1006 +tp4406 +a(g822 +V--editor +p4407 +tp4408 +a(g822 +V\u000a +p4409 +tp4410 +a(g740 +Vset +p4411 +tp4412 +a(g822 +g1006 +tp4413 +a(g822 +Veditor +p4414 +tp4415 +a(g822 +g1006 +tp4416 +a(g436 +V$argv +p4417 +tp4418 +a(g408 +g1598 +tp4419 +a(g822 +g2018 +tp4420 +a(g408 +g1610 +tp4421 +a(g822 +V\u000a +p4422 +tp4423 +a(g740 +Vset +p4424 +tp4425 +a(g822 +g1006 +tp4426 +a(g822 +V-e +p4427 +tp4428 +a(g822 +g1006 +tp4429 +a(g822 +Vargv +p4430 +tp4431 +a(g408 +g1598 +tp4432 +a(g822 +g2018 +tp4433 +a(g408 +g1610 +tp4434 +a(g822 +V\u000a\u000a +p4435 +tp4436 +a(g740 +Vcase +p4437 +tp4438 +a(g822 +g1006 +tp4439 +a(g822 +V-i +p4440 +tp4441 +a(g822 +g1006 +tp4442 +a(g822 +V--interactive +p4443 +tp4444 +a(g822 +V\u000a +p4445 +tp4446 +a(g740 +Vset +p4447 +tp4448 +a(g822 +g1006 +tp4449 +a(g822 +Vinteractive +p4450 +tp4451 +a(g822 +g1006 +tp4452 +a(g822 +g1460 +tp4453 +a(g822 +V\u000a\u000a +p4454 +tp4455 +a(g740 +Vcase +p4456 +tp4457 +a(g822 +g1006 +tp4458 +a(g822 +V-- +p4459 +tp4460 +a(g822 +V\u000a +p4461 +tp4462 +a(g740 +Vset +p4463 +tp4464 +a(g822 +g1006 +tp4465 +a(g822 +Vfuncname +p4466 +tp4467 +a(g822 +g1006 +tp4468 +a(g436 +V$funcname +p4469 +tp4470 +a(g822 +g1006 +tp4471 +a(g436 +V$argv +p4472 +tp4473 +a(g408 +g1598 +tp4474 +a(g822 +g2018 +tp4475 +a(g408 +g1610 +tp4476 +a(g822 +V\u000a +p4477 +tp4478 +a(g740 +Vset +p4479 +tp4480 +a(g822 +g1006 +tp4481 +a(g822 +V-e +p4482 +tp4483 +a(g822 +g1006 +tp4484 +a(g822 +Vargv +p4485 +tp4486 +a(g408 +g1598 +tp4487 +a(g822 +g2018 +tp4488 +a(g408 +g1610 +tp4489 +a(g822 +V\u000a\u000a +p4490 +tp4491 +a(g740 +Vcase +p4492 +tp4493 +a(g822 +g1006 +tp4494 +a(g225 +V'-*' +p4495 +tp4496 +a(g822 +V\u000a +p4497 +tp4498 +a(g677 +Vset_color +p4499 +tp4500 +a(g822 +Vred +p4501 +tp4502 +a(g822 +V\u000a +p4503 +tp4504 +a(g677 +Vprintf +p4505 +tp4506 +a(g822 +g1006 +tp4507 +a(g408 +g1573 +tp4508 +a(g822 +V_ +p4509 +tp4510 +a(g822 +g1006 +tp4511 +a(g152 +V"%s: Unknown option %s\u005cn" +p4512 +tp4513 +a(g408 +g1591 +tp4514 +a(g822 +g1006 +tp4515 +a(g677 +Vfunced +p4516 +tp4517 +a(g822 +g1006 +tp4518 +a(g436 +V$argv +p4519 +tp4520 +a(g408 +g1598 +tp4521 +a(g822 +g1460 +tp4522 +a(g408 +g1610 +tp4523 +a(g822 +V\u000a +p4524 +tp4525 +a(g677 +Vset_color +p4526 +tp4527 +a(g822 +Vnormal +p4528 +tp4529 +a(g822 +V\u000a +p4530 +tp4531 +a(g740 +Vreturn +p4532 +tp4533 +a(g822 +g1006 +tp4534 +a(g822 +g1460 +tp4535 +a(g822 +V\u000a\u000a +p4536 +tp4537 +a(g740 +Vcase +p4538 +tp4539 +a(g822 +g1006 +tp4540 +a(g225 +V'*' +p4541 +tp4542 +a(g822 +g1006 +tp4543 +a(g225 +V'.*' +p4544 +tp4545 +a(g822 +V\u000a +p4546 +tp4547 +a(g740 +Vset +p4548 +tp4549 +a(g822 +g1006 +tp4550 +a(g822 +Vfuncname +p4551 +tp4552 +a(g822 +g1006 +tp4553 +a(g436 +V$funcname +p4554 +tp4555 +a(g822 +g1006 +tp4556 +a(g436 +V$argv +p4557 +tp4558 +a(g408 +g1598 +tp4559 +a(g822 +g1460 +tp4560 +a(g408 +g1610 +tp4561 +a(g822 +V\u000a +p4562 +tp4563 +a(g740 +Vend +p4564 +tp4565 +a(g822 +V\u000a +p4566 +tp4567 +a(g740 +Vset +p4568 +tp4569 +a(g822 +g1006 +tp4570 +a(g822 +V-e +p4571 +tp4572 +a(g822 +g1006 +tp4573 +a(g822 +Vargv +p4574 +tp4575 +a(g408 +g1598 +tp4576 +a(g822 +g1460 +tp4577 +a(g408 +g1610 +tp4578 +a(g822 +V\u000a +p4579 +tp4580 +a(g740 +Vend +p4581 +tp4582 +a(g822 +V\u000a\u000a +p4583 +tp4584 +a(g740 +Vif +p4585 +tp4586 +a(g822 +g1006 +tp4587 +a(g740 +Vbegin +p4588 +tp4589 +a(g701 +g1462 +tp4590 +a(g822 +g1006 +tp4591 +a(g740 +Vset +p4592 +tp4593 +a(g822 +g1006 +tp4594 +a(g822 +V-q +p4595 +tp4596 +a(g822 +g1006 +tp4597 +a(g822 +Vfuncname +p4598 +tp4599 +a(g408 +g1598 +tp4600 +a(g822 +g2018 +tp4601 +a(g408 +g1610 +tp4602 +a(g701 +g1462 +tp4603 +a(g822 +g1006 +tp4604 +a(g740 +Vor +p4605 +tp4606 +a(g822 +g1006 +tp4607 +a(g740 +Vnot +p4608 +tp4609 +a(g822 +g1006 +tp4610 +a(g740 +Vtest +p4611 +tp4612 +a(g822 +g1006 +tp4613 +a(g152 +g1663 +tp4614 +a(g436 +V$funcname +p4615 +tp4616 +a(g152 +V[1] +p4617 +tp4618 +a(g152 +g1663 +tp4619 +a(g701 +g1462 +tp4620 +a(g822 +g1006 +tp4621 +a(g740 +Vend +p4622 +tp4623 +a(g822 +V\u000a +p4624 +tp4625 +a(g677 +Vset_color +p4626 +tp4627 +a(g822 +Vred +p4628 +tp4629 +a(g822 +V\u000a +p4630 +tp4631 +a(g822 +g4509 +tp4632 +a(g822 +g1006 +tp4633 +a(g152 +V"funced: You must specify one function name\u000a" +p4634 +tp4635 +a(g822 +V\u000a +p4636 +tp4637 +a(g677 +Vset_color +p4638 +tp4639 +a(g822 +Vnormal +p4640 +tp4641 +a(g822 +V\u000a +p4642 +tp4643 +a(g740 +Vreturn +p4644 +tp4645 +a(g822 +g1006 +tp4646 +a(g822 +g1460 +tp4647 +a(g822 +V\u000a +p4648 +tp4649 +a(g740 +Vend +p4650 +tp4651 +a(g822 +V\u000a\u000a +p4652 +tp4653 +a(g740 +Vset +p4654 +tp4655 +a(g822 +g1006 +tp4656 +a(g822 +V-l +p4657 +tp4658 +a(g822 +g1006 +tp4659 +a(g822 +Vinit +p4660 +tp4661 +a(g822 +V\u000a +p4662 +tp4663 +a(g740 +Vswitch +p4664 +tp4665 +a(g822 +g1006 +tp4666 +a(g436 +V$funcname +p4667 +tp4668 +a(g822 +V\u000a +p4669 +tp4670 +a(g740 +Vcase +p4671 +tp4672 +a(g822 +g1006 +tp4673 +a(g225 +V'-*' +p4674 +tp4675 +a(g822 +V\u000a +p4676 +tp4677 +a(g740 +Vset +p4678 +tp4679 +a(g822 +g1006 +tp4680 +a(g822 +Vinit +p4681 +tp4682 +a(g822 +g1006 +tp4683 +a(g740 +Vfunction +p4684 +tp4685 +a(g822 +g1006 +tp4686 +a(g822 +V-- +p4687 +tp4688 +a(g822 +g1006 +tp4689 +a(g436 +V$funcname +p4690 +tp4691 +a(g208 +V\u005cn +p4692 +tp4693 +a(g208 +V\u005cn +p4694 +tp4695 +a(g822 +Vend +p4696 +tp4697 +a(g822 +V\u000a +p4698 +tp4699 +a(g740 +Vcase +p4700 +tp4701 +a(g822 +g1006 +tp4702 +a(g225 +V'*' +p4703 +tp4704 +a(g822 +V\u000a +p4705 +tp4706 +a(g740 +Vset +p4707 +tp4708 +a(g822 +g1006 +tp4709 +a(g822 +Vinit +p4710 +tp4711 +a(g822 +g1006 +tp4712 +a(g740 +Vfunction +p4713 +tp4714 +a(g822 +g1006 +tp4715 +a(g436 +V$funcname +p4716 +tp4717 +a(g208 +V\u005cn +p4718 +tp4719 +a(g208 +V\u005cn +p4720 +tp4721 +a(g822 +Vend +p4722 +tp4723 +a(g822 +V\u000a +p4724 +tp4725 +a(g740 +Vend +p4726 +tp4727 +a(g822 +V\u000a\u000a +p4728 +tp4729 +a(g7 +V# Break editor up to get its first command (i.e. discard flags)\u000a +p4730 +tp4731 +a(g822 +V +p4732 +tp4733 +a(g740 +Vif +p4734 +tp4735 +a(g822 +g1006 +tp4736 +a(g740 +Vtest +p4737 +tp4738 +a(g822 +g1006 +tp4739 +a(g822 +V-n +p4740 +tp4741 +a(g822 +g1006 +tp4742 +a(g152 +g1663 +tp4743 +a(g436 +V$editor +p4744 +tp4745 +a(g152 +g1663 +tp4746 +a(g822 +V\u000a +p4747 +tp4748 +a(g740 +Vset +p4749 +tp4750 +a(g822 +g1006 +tp4751 +a(g822 +V-l +p4752 +tp4753 +a(g822 +g1006 +tp4754 +a(g822 +Veditor_cmd +p4755 +tp4756 +a(g822 +V\u000a +p4757 +tp4758 +a(g677 +Veval +p4759 +tp4760 +a(g740 +Vset +p4761 +tp4762 +a(g822 +g1006 +tp4763 +a(g822 +Veditor_cmd +p4764 +tp4765 +a(g822 +g1006 +tp4766 +a(g436 +V$editor +p4767 +tp4768 +a(g822 +V\u000a +p4769 +tp4770 +a(g740 +Vif +p4771 +tp4772 +a(g822 +g1006 +tp4773 +a(g740 +Vnot +p4774 +tp4775 +a(g822 +g1006 +tp4776 +a(g677 +Vtype +p4777 +tp4778 +a(g822 +g1006 +tp4779 +a(g822 +V-f +p4780 +tp4781 +a(g822 +g1006 +tp4782 +a(g152 +g1663 +tp4783 +a(g436 +V$editor_cmd +p4784 +tp4785 +a(g152 +V[1] +p4786 +tp4787 +a(g152 +g1663 +tp4788 +a(g822 +g1006 +tp4789 +a(g408 +g1450 +tp4790 +a(g822 +V/dev/null +p4791 +tp4792 +a(g822 +V\u000a +p4793 +tp4794 +a(g822 +g4509 +tp4795 +a(g822 +g1006 +tp4796 +a(g152 +g1663 +tp4797 +a(g152 +Vfunced: The value for \u005c$EDITOR ' +p4798 +tp4799 +a(g436 +V$editor +p4800 +tp4801 +a(g152 +V' could not be used because the command ' +p4802 +tp4803 +a(g436 +V$editor_cmd +p4804 +tp4805 +a(g152 +V[1]' could not be found\u000a +p4806 +tp4807 +a(g152 +g1663 +tp4808 +a(g822 +V\u000a +p4809 +tp4810 +a(g740 +Vset +p4811 +tp4812 +a(g822 +g1006 +tp4813 +a(g822 +Veditor +p4814 +tp4815 +a(g822 +g1006 +tp4816 +a(g677 +Vfish\u000a +p4817 +tp4818 +a(g740 +Vend +p4819 +tp4820 +a(g822 +V\u000a +p4821 +tp4822 +a(g740 +Vend +p4823 +tp4824 +a(g822 +V\u000a\u000a +p4825 +tp4826 +a(g7 +V# If no editor is specified, use fish\u000a +p4827 +tp4828 +a(g822 +V +p4829 +tp4830 +a(g740 +Vif +p4831 +tp4832 +a(g822 +g1006 +tp4833 +a(g740 +Vtest +p4834 +tp4835 +a(g822 +g1006 +tp4836 +a(g822 +V-z +p4837 +tp4838 +a(g822 +g1006 +tp4839 +a(g152 +g1663 +tp4840 +a(g436 +V$editor +p4841 +tp4842 +a(g152 +g1663 +tp4843 +a(g822 +V\u000a +p4844 +tp4845 +a(g740 +Vset +p4846 +tp4847 +a(g822 +g1006 +tp4848 +a(g822 +Veditor +p4849 +tp4850 +a(g822 +g1006 +tp4851 +a(g677 +Vfish\u000a +p4852 +tp4853 +a(g740 +Vend +p4854 +tp4855 +a(g822 +V\u000a\u000a +p4856 +tp4857 +a(g740 +Vif +p4858 +tp4859 +a(g822 +g1006 +tp4860 +a(g740 +Vbegin +p4861 +tp4862 +a(g701 +g1462 +tp4863 +a(g822 +g1006 +tp4864 +a(g740 +Vset +p4865 +tp4866 +a(g822 +g1006 +tp4867 +a(g822 +V-q +p4868 +tp4869 +a(g822 +g1006 +tp4870 +a(g822 +Vinteractive +p4871 +tp4872 +a(g408 +g1598 +tp4873 +a(g822 +g1460 +tp4874 +a(g408 +g1610 +tp4875 +a(g701 +g1462 +tp4876 +a(g822 +g1006 +tp4877 +a(g740 +Vor +p4878 +tp4879 +a(g822 +g1006 +tp4880 +a(g740 +Vtest +p4881 +tp4882 +a(g822 +g1006 +tp4883 +a(g152 +g1663 +tp4884 +a(g436 +V$editor +p4885 +tp4886 +a(g152 +g1663 +tp4887 +a(g822 +g1006 +tp4888 +a(g408 +g2033 +tp4889 +a(g822 +g1006 +tp4890 +a(g677 +Vfish +p4891 +tp4892 +a(g701 +g1462 +tp4893 +a(g822 +g1006 +tp4894 +a(g740 +Vend +p4895 +tp4896 +a(g822 +V\u000a +p4897 +tp4898 +a(g740 +Vset +p4899 +tp4900 +a(g822 +g1006 +tp4901 +a(g822 +V-l +p4902 +tp4903 +a(g822 +g1006 +tp4904 +a(g822 +VIFS +p4905 +tp4906 +a(g822 +V\u000a +p4907 +tp4908 +a(g740 +Vif +p4909 +tp4910 +a(g822 +g1006 +tp4911 +a(g677 +Vfunctions +p4912 +tp4913 +a(g822 +g1006 +tp4914 +a(g822 +V-q +p4915 +tp4916 +a(g822 +g1006 +tp4917 +a(g822 +V-- +p4918 +tp4919 +a(g822 +g1006 +tp4920 +a(g436 +V$funcname +p4921 +tp4922 +a(g822 +V\u000a +p4923 +tp4924 +a(g7 +V# Shadow IFS here to avoid array splitting in command substitution\u000a +p4925 +tp4926 +a(g822 +V +p4927 +tp4928 +a(g740 +Vset +p4929 +tp4930 +a(g822 +g1006 +tp4931 +a(g822 +Vinit +p4932 +tp4933 +a(g822 +g1006 +tp4934 +a(g408 +g1573 +tp4935 +a(g677 +Vfunctions +p4936 +tp4937 +a(g822 +g1006 +tp4938 +a(g822 +V-- +p4939 +tp4940 +a(g822 +g1006 +tp4941 +a(g436 +V$funcname +p4942 +tp4943 +a(g822 +g1006 +tp4944 +a(g408 +g1641 +tp4945 +a(g822 +g1006 +tp4946 +a(g677 +Vfish_indent +p4947 +tp4948 +a(g822 +g1006 +tp4949 +a(g822 +V--no-indent +p4950 +tp4951 +a(g408 +g1591 +tp4952 +a(g822 +V\u000a +p4953 +tp4954 +a(g740 +Vend +p4955 +tp4956 +a(g822 +V\u000a\u000a +p4957 +tp4958 +a(g740 +Vset +p4959 +tp4960 +a(g822 +g1006 +tp4961 +a(g822 +V-l +p4962 +tp4963 +a(g822 +g1006 +tp4964 +a(g822 +Vprompt +p4965 +tp4966 +a(g822 +g1006 +tp4967 +a(g225 +V'printf "%s%s%s> " (set_color green) ' +p4968 +tp4969 +a(g436 +V$funcname +p4970 +tp4971 +a(g225 +V' (set_color normal)' +p4972 +tp4973 +a(g822 +V\u000a +p4974 +tp4975 +a(g7 +V# Unshadow IFS since the fish_title breaks otherwise\u000a +p4976 +tp4977 +a(g822 +V +p4978 +tp4979 +a(g740 +Vset +p4980 +tp4981 +a(g822 +g1006 +tp4982 +a(g822 +V-e +p4983 +tp4984 +a(g822 +g1006 +tp4985 +a(g822 +VIFS +p4986 +tp4987 +a(g822 +V\u000a +p4988 +tp4989 +a(g740 +Vif +p4990 +tp4991 +a(g822 +g1006 +tp4992 +a(g677 +Vread +p4993 +tp4994 +a(g822 +g1006 +tp4995 +a(g822 +V-p +p4996 +tp4997 +a(g822 +g1006 +tp4998 +a(g436 +V$prompt +p4999 +tp5000 +a(g822 +g1006 +tp5001 +a(g822 +V-c +p5002 +tp5003 +a(g822 +g1006 +tp5004 +a(g152 +g1663 +tp5005 +a(g436 +V$init +p5006 +tp5007 +a(g152 +g1663 +tp5008 +a(g822 +g1006 +tp5009 +a(g822 +V-s +p5010 +tp5011 +a(g822 +g1006 +tp5012 +a(g822 +Vcmd +p5013 +tp5014 +a(g822 +V\u000a +p5015 +tp5016 +a(g7 +V# Shadow IFS _again_ to avoid array splitting in command substitution\u000a +p5017 +tp5018 +a(g822 +V +p5019 +tp5020 +a(g740 +Vset +p5021 +tp5022 +a(g822 +g1006 +tp5023 +a(g822 +V-l +p5024 +tp5025 +a(g822 +g1006 +tp5026 +a(g822 +VIFS +p5027 +tp5028 +a(g822 +V\u000a +p5029 +tp5030 +a(g677 +Veval +p5031 +tp5032 +a(g822 +g1006 +tp5033 +a(g408 +g1573 +tp5034 +a(g740 +Vecho +p5035 +tp5036 +a(g822 +g1006 +tp5037 +a(g822 +V-n +p5038 +tp5039 +a(g822 +g1006 +tp5040 +a(g436 +V$cmd +p5041 +tp5042 +a(g822 +g1006 +tp5043 +a(g408 +g1641 +tp5044 +a(g822 +g1006 +tp5045 +a(g677 +Vfish_indent +p5046 +tp5047 +a(g408 +g1591 +tp5048 +a(g822 +V\u000a +p5049 +tp5050 +a(g740 +Vend +p5051 +tp5052 +a(g822 +V\u000a +p5053 +tp5054 +a(g740 +Vreturn +p5055 +tp5056 +a(g822 +g1006 +tp5057 +a(g822 +g1607 +tp5058 +a(g822 +V\u000a +p5059 +tp5060 +a(g740 +Vend +p5061 +tp5062 +a(g822 +V\u000a\u000a +p5063 +tp5064 +a(g740 +Vset +p5065 +tp5066 +a(g822 +g1006 +tp5067 +a(g822 +V-q +p5068 +tp5069 +a(g822 +g1006 +tp5070 +a(g822 +VTMPDIR +p5071 +tp5072 +a(g701 +g1462 +tp5073 +a(g822 +g1006 +tp5074 +a(g740 +Vor +p5075 +tp5076 +a(g822 +g1006 +tp5077 +a(g740 +Vset +p5078 +tp5079 +a(g822 +g1006 +tp5080 +a(g822 +V-l +p5081 +tp5082 +a(g822 +g1006 +tp5083 +a(g822 +VTMPDIR +p5084 +tp5085 +a(g822 +g1006 +tp5086 +a(g822 +V/tmp +p5087 +tp5088 +a(g822 +V\u000a +p5089 +tp5090 +a(g740 +Vset +p5091 +tp5092 +a(g822 +g1006 +tp5093 +a(g822 +V-l +p5094 +tp5095 +a(g822 +g1006 +tp5096 +a(g822 +Vtmpname +p5097 +tp5098 +a(g822 +g1006 +tp5099 +a(g408 +g1573 +tp5100 +a(g677 +Vprintf +p5101 +tp5102 +a(g822 +g1006 +tp5103 +a(g152 +g1663 +tp5104 +a(g436 +V$TMPDIR +p5105 +tp5106 +a(g152 +V/fish_funced_%d_%d.fish +p5107 +tp5108 +a(g152 +g1663 +tp5109 +a(g822 +g1006 +tp5110 +a(g822 +V%self +p5111 +tp5112 +a(g822 +g1006 +tp5113 +a(g408 +g1573 +tp5114 +a(g677 +Vrandom +p5115 +tp5116 +a(g408 +g1591 +tp5117 +a(g408 +g1591 +tp5118 +a(g822 +V\u000a +p5119 +tp5120 +a(g740 +Vwhile +p5121 +tp5122 +a(g822 +g1006 +tp5123 +a(g740 +Vtest +p5124 +tp5125 +a(g822 +g1006 +tp5126 +a(g822 +V-f +p5127 +tp5128 +a(g822 +g1006 +tp5129 +a(g436 +V$tmpname +p5130 +tp5131 +a(g822 +V\u000a +p5132 +tp5133 +a(g740 +Vset +p5134 +tp5135 +a(g822 +g1006 +tp5136 +a(g822 +Vtmpname +p5137 +tp5138 +a(g822 +g1006 +tp5139 +a(g408 +g1573 +tp5140 +a(g677 +Vprintf +p5141 +tp5142 +a(g822 +g1006 +tp5143 +a(g152 +g1663 +tp5144 +a(g436 +V$TMPDIR +p5145 +tp5146 +a(g152 +V/fish_funced_%d_%d.fish +p5147 +tp5148 +a(g152 +g1663 +tp5149 +a(g822 +g1006 +tp5150 +a(g822 +V%self +p5151 +tp5152 +a(g822 +g1006 +tp5153 +a(g408 +g1573 +tp5154 +a(g677 +Vrandom +p5155 +tp5156 +a(g408 +g1591 +tp5157 +a(g408 +g1591 +tp5158 +a(g822 +V\u000a +p5159 +tp5160 +a(g740 +Vend +p5161 +tp5162 +a(g822 +V\u000a\u000a +p5163 +tp5164 +a(g740 +Vif +p5165 +tp5166 +a(g822 +g1006 +tp5167 +a(g677 +Vfunctions +p5168 +tp5169 +a(g822 +g1006 +tp5170 +a(g822 +V-q +p5171 +tp5172 +a(g822 +g1006 +tp5173 +a(g822 +V-- +p5174 +tp5175 +a(g822 +g1006 +tp5176 +a(g436 +V$funcname +p5177 +tp5178 +a(g822 +V\u000a +p5179 +tp5180 +a(g677 +Vfunctions +p5181 +tp5182 +a(g822 +g1006 +tp5183 +a(g822 +V-- +p5184 +tp5185 +a(g822 +g1006 +tp5186 +a(g436 +V$funcname +p5187 +tp5188 +a(g822 +g1006 +tp5189 +a(g408 +g1450 +tp5190 +a(g822 +g1006 +tp5191 +a(g436 +V$tmpname +p5192 +tp5193 +a(g822 +V\u000a +p5194 +tp5195 +a(g740 +Velse +p5196 +tp5197 +a(g822 +V\u000a +p5198 +tp5199 +a(g740 +Vecho +p5200 +tp5201 +a(g822 +g1006 +tp5202 +a(g436 +V$init +p5203 +tp5204 +a(g822 +g1006 +tp5205 +a(g408 +g1450 +tp5206 +a(g822 +g1006 +tp5207 +a(g436 +V$tmpname +p5208 +tp5209 +a(g822 +V\u000a +p5210 +tp5211 +a(g740 +Vend +p5212 +tp5213 +a(g822 +V\u000a +p5214 +tp5215 +a(g740 +Vif +p5216 +tp5217 +a(g822 +g1006 +tp5218 +a(g677 +Veval +p5219 +tp5220 +a(g822 +g1006 +tp5221 +a(g436 +V$editor +p5222 +tp5223 +a(g822 +g1006 +tp5224 +a(g436 +V$tmpname +p5225 +tp5226 +a(g822 +V\u000a +p5227 +tp5228 +a(g822 +g2982 +tp5229 +a(g822 +g1006 +tp5230 +a(g436 +V$tmpname +p5231 +tp5232 +a(g822 +V\u000a +p5233 +tp5234 +a(g740 +Vend +p5235 +tp5236 +a(g822 +V\u000a +p5237 +tp5238 +a(g740 +Vset +p5239 +tp5240 +a(g822 +g1006 +tp5241 +a(g822 +V-l +p5242 +tp5243 +a(g822 +g1006 +tp5244 +a(g822 +Vstat +p5245 +tp5246 +a(g822 +g1006 +tp5247 +a(g436 +V$status +p5248 +tp5249 +a(g822 +V\u000a +p5250 +tp5251 +a(g822 +Vrm +p5252 +tp5253 +a(g822 +g1006 +tp5254 +a(g822 +V-f +p5255 +tp5256 +a(g822 +g1006 +tp5257 +a(g436 +V$tmpname +p5258 +tp5259 +a(g822 +g1006 +tp5260 +a(g408 +g1450 +tp5261 +a(g822 +V/dev/null +p5262 +tp5263 +a(g822 +V\u000a +p5264 +tp5265 +a(g740 +Vreturn +p5266 +tp5267 +a(g822 +g1006 +tp5268 +a(g436 +V$stat +p5269 +tp5270 +a(g822 +V\u000a +p5271 +tp5272 +a(g740 +Vend +p5273 +tp5274 +a(g822 +V\u000a\u000a +p5275 +tp5276 +a(g7 +V# -----------------------------------------------------------------------------\u000a +p5277 +tp5278 +a(g7 +V# Main file for fish command completions. This file contains various\u000a +p5279 +tp5280 +a(g7 +V# common helper functions for the command completions. All actual\u000a +p5281 +tp5282 +a(g7 +V# completions are located in the completions subdirectory.\u000a +p5283 +tp5284 +a(g7 +V## -----------------------------------------------------------------------------\u000a +p5285 +tp5286 +a(g822 +V\u000a +p5287 +tp5288 +a(g7 +V#\u000a +p5289 +tp5290 +a(g7 +V# Set default field separators\u000a +p5291 +tp5292 +a(g7 +V#\u000a +p5293 +tp5294 +a(g822 +V\u000a +p5295 +tp5296 +a(g740 +Vset +p5297 +tp5298 +a(g822 +g1006 +tp5299 +a(g822 +V-g +p5300 +tp5301 +a(g822 +g1006 +tp5302 +a(g822 +VIFS +p5303 +tp5304 +a(g822 +g1006 +tp5305 +a(g208 +V\u005cn +p5306 +tp5307 +a(g208 +V\u005c +p5308 +tp5309 +a(g208 +V\u005ct +p5310 +tp5311 +a(g822 +V\u000a\u000a +p5312 +tp5313 +a(g7 +V#\u000a +p5314 +tp5315 +a(g7 +V# Set default search paths for completions and shellscript functions\u000a +p5316 +tp5317 +a(g7 +V# unless they already exist\u000a +p5318 +tp5319 +a(g7 +V#\u000a +p5320 +tp5321 +a(g822 +V\u000a +p5322 +tp5323 +a(g740 +Vset +p5324 +tp5325 +a(g822 +g1006 +tp5326 +a(g822 +V-l +p5327 +tp5328 +a(g822 +g1006 +tp5329 +a(g822 +Vconfigdir +p5330 +tp5331 +a(g822 +g1006 +tp5332 +a(g822 +V~/.config +p5333 +tp5334 +a(g822 +V\u000a\u000a +p5335 +tp5336 +a(g740 +Vif +p5337 +tp5338 +a(g822 +g1006 +tp5339 +a(g740 +Vset +p5340 +tp5341 +a(g822 +g1006 +tp5342 +a(g822 +V-q +p5343 +tp5344 +a(g822 +g1006 +tp5345 +a(g822 +VXDG_CONFIG_HOME +p5346 +tp5347 +a(g822 +V\u000a +p5348 +tp5349 +a(g740 +Vset +p5350 +tp5351 +a(g822 +g1006 +tp5352 +a(g822 +Vconfigdir +p5353 +tp5354 +a(g822 +g1006 +tp5355 +a(g436 +V$XDG_CONFIG_HOME +p5356 +tp5357 +a(g822 +V\u000a +p5358 +tp5359 +a(g740 +Vend +p5360 +tp5361 +a(g822 +V\u000a\u000a +p5362 +tp5363 +a(g7 +V# __fish_datadir, __fish_sysconfdir, __fish_help_dir, __fish_bin_dir\u000a +p5364 +tp5365 +a(g7 +V# are expected to have been set up by read_init from fish.cpp\u000a +p5366 +tp5367 +a(g822 +V\u000a +p5368 +tp5369 +a(g7 +V# Set up function and completion paths. Make sure that the fish\u000a +p5370 +tp5371 +a(g7 +V# default functions/completions are included in the respective path.\u000a +p5372 +tp5373 +a(g822 +V\u000a +p5374 +tp5375 +a(g740 +Vif +p5376 +tp5377 +a(g822 +g1006 +tp5378 +a(g740 +Vnot +p5379 +tp5380 +a(g822 +g1006 +tp5381 +a(g740 +Vset +p5382 +tp5383 +a(g822 +g1006 +tp5384 +a(g822 +V-q +p5385 +tp5386 +a(g822 +g1006 +tp5387 +a(g822 +Vfish_function_path +p5388 +tp5389 +a(g822 +V\u000a +p5390 +tp5391 +a(g740 +Vset +p5392 +tp5393 +a(g822 +g1006 +tp5394 +a(g822 +Vfish_function_path +p5395 +tp5396 +a(g822 +g1006 +tp5397 +a(g436 +V$configdir +p5398 +tp5399 +a(g822 +V/fish/functions +p5400 +tp5401 +a(g822 +V +p5402 +tp5403 +a(g436 +V$__fish_sysconfdir +p5404 +tp5405 +a(g822 +V/functions +p5406 +tp5407 +a(g822 +V +p5408 +tp5409 +a(g436 +V$__fish_datadir +p5410 +tp5411 +a(g822 +V/functions +p5412 +tp5413 +a(g822 +V\u000a +p5414 +tp5415 +a(g740 +Vend +p5416 +tp5417 +a(g822 +V\u000a\u000a +p5418 +tp5419 +a(g740 +Vif +p5420 +tp5421 +a(g822 +g1006 +tp5422 +a(g740 +Vnot +p5423 +tp5424 +a(g822 +g1006 +tp5425 +a(g677 +Vcontains +p5426 +tp5427 +a(g822 +g1006 +tp5428 +a(g436 +V$__fish_datadir +p5429 +tp5430 +a(g822 +V/functions +p5431 +tp5432 +a(g822 +g1006 +tp5433 +a(g436 +V$fish_function_path +p5434 +tp5435 +a(g822 +V\u000a +p5436 +tp5437 +a(g740 +Vset +p5438 +tp5439 +a(g822 +g1006 +tp5440 +a(g822 +Vfish_function_path +p5441 +tp5442 +a(g408 +g1598 +tp5443 +a(g822 +V-1 +p5444 +tp5445 +a(g408 +g1610 +tp5446 +a(g822 +g1006 +tp5447 +a(g436 +V$__fish_datadir +p5448 +tp5449 +a(g822 +V/functions +p5450 +tp5451 +a(g822 +V\u000a +p5452 +tp5453 +a(g740 +Vend +p5454 +tp5455 +a(g822 +V\u000a\u000a +p5456 +tp5457 +a(g740 +Vif +p5458 +tp5459 +a(g822 +g1006 +tp5460 +a(g740 +Vnot +p5461 +tp5462 +a(g822 +g1006 +tp5463 +a(g740 +Vset +p5464 +tp5465 +a(g822 +g1006 +tp5466 +a(g822 +V-q +p5467 +tp5468 +a(g822 +g1006 +tp5469 +a(g822 +Vfish_complete_path +p5470 +tp5471 +a(g822 +V\u000a +p5472 +tp5473 +a(g740 +Vset +p5474 +tp5475 +a(g822 +g1006 +tp5476 +a(g822 +Vfish_complete_path +p5477 +tp5478 +a(g822 +g1006 +tp5479 +a(g436 +V$configdir +p5480 +tp5481 +a(g822 +V/fish/completions +p5482 +tp5483 +a(g822 +V +p5484 +tp5485 +a(g436 +V$__fish_sysconfdir +p5486 +tp5487 +a(g822 +V/completions +p5488 +tp5489 +a(g822 +V +p5490 +tp5491 +a(g436 +V$__fish_datadir +p5492 +tp5493 +a(g822 +V/completions +p5494 +tp5495 +a(g822 +V\u000a +p5496 +tp5497 +a(g740 +Vend +p5498 +tp5499 +a(g822 +V\u000a\u000a +p5500 +tp5501 +a(g740 +Vif +p5502 +tp5503 +a(g822 +g1006 +tp5504 +a(g740 +Vnot +p5505 +tp5506 +a(g822 +g1006 +tp5507 +a(g677 +Vcontains +p5508 +tp5509 +a(g822 +g1006 +tp5510 +a(g436 +V$__fish_datadir +p5511 +tp5512 +a(g822 +V/completions +p5513 +tp5514 +a(g822 +g1006 +tp5515 +a(g436 +V$fish_complete_path +p5516 +tp5517 +a(g822 +V\u000a +p5518 +tp5519 +a(g740 +Vset +p5520 +tp5521 +a(g822 +g1006 +tp5522 +a(g822 +Vfish_complete_path +p5523 +tp5524 +a(g408 +g1598 +tp5525 +a(g822 +V-1 +p5526 +tp5527 +a(g408 +g1610 +tp5528 +a(g822 +g1006 +tp5529 +a(g436 +V$__fish_datadir +p5530 +tp5531 +a(g822 +V/completions +p5532 +tp5533 +a(g822 +V\u000a +p5534 +tp5535 +a(g740 +Vend +p5536 +tp5537 +a(g822 +V\u000a\u000a +p5538 +tp5539 +a(g7 +V#\u000a +p5540 +tp5541 +a(g7 +V# This is a Solaris-specific test to modify the PATH so that\u000a +p5542 +tp5543 +a(g7 +V# Posix-conformant tools are used by default. It is separate from the\u000a +p5544 +tp5545 +a(g7 +V# other PATH code because this directory needs to be prepended, not\u000a +p5546 +tp5547 +a(g7 +V# appended, since it contains POSIX-compliant replacements for various\u000a +p5548 +tp5549 +a(g7 +V# system utilities.\u000a +p5550 +tp5551 +a(g7 +V#\u000a +p5552 +tp5553 +a(g822 +V\u000a +p5554 +tp5555 +a(g740 +Vif +p5556 +tp5557 +a(g822 +g1006 +tp5558 +a(g740 +Vtest +p5559 +tp5560 +a(g822 +g1006 +tp5561 +a(g822 +V-d +p5562 +tp5563 +a(g822 +g1006 +tp5564 +a(g822 +V/usr/xpg4/bin +p5565 +tp5566 +a(g822 +V\u000a +p5567 +tp5568 +a(g740 +Vif +p5569 +tp5570 +a(g822 +g1006 +tp5571 +a(g740 +Vnot +p5572 +tp5573 +a(g822 +g1006 +tp5574 +a(g677 +Vcontains +p5575 +tp5576 +a(g822 +g1006 +tp5577 +a(g822 +V/usr/xpg4/bin +p5578 +tp5579 +a(g822 +g1006 +tp5580 +a(g436 +V$PATH +p5581 +tp5582 +a(g822 +V\u000a +p5583 +tp5584 +a(g740 +Vset +p5585 +tp5586 +a(g822 +g1006 +tp5587 +a(g822 +VPATH +p5588 +tp5589 +a(g822 +g1006 +tp5590 +a(g822 +V/usr/xpg4/bin +p5591 +tp5592 +a(g822 +g1006 +tp5593 +a(g436 +V$PATH +p5594 +tp5595 +a(g822 +V\u000a +p5596 +tp5597 +a(g740 +Vend +p5598 +tp5599 +a(g822 +V\u000a +p5600 +tp5601 +a(g740 +Vend +p5602 +tp5603 +a(g822 +V\u000a\u000a +p5604 +tp5605 +a(g7 +V#\u000a +p5606 +tp5607 +a(g7 +V# Add a few common directories to path, if they exists. Note that pure\u000a +p5608 +tp5609 +a(g7 +V# console programs like makedep sometimes live in /usr/X11R6/bin, so we\u000a +p5610 +tp5611 +a(g7 +V# want this even for text-only terminals.\u000a +p5612 +tp5613 +a(g7 +V#\u000a +p5614 +tp5615 +a(g822 +V\u000a +p5616 +tp5617 +a(g740 +Vset +p5618 +tp5619 +a(g822 +g1006 +tp5620 +a(g822 +V-l +p5621 +tp5622 +a(g822 +g1006 +tp5623 +a(g822 +Vpath_list +p5624 +tp5625 +a(g822 +g1006 +tp5626 +a(g822 +V/bin +p5627 +tp5628 +a(g822 +g1006 +tp5629 +a(g822 +V/usr/bin +p5630 +tp5631 +a(g822 +g1006 +tp5632 +a(g822 +V/usr/X11R6/bin +p5633 +tp5634 +a(g822 +g1006 +tp5635 +a(g822 +V/usr/local/bin +p5636 +tp5637 +a(g822 +g1006 +tp5638 +a(g436 +V$__fish_bin_dir +p5639 +tp5640 +a(g822 +V\u000a\u000a +p5641 +tp5642 +a(g7 +V# Root should also have the sbin directories in the path\u000a +p5643 +tp5644 +a(g740 +Vswitch +p5645 +tp5646 +a(g822 +g1006 +tp5647 +a(g436 +V$USER +p5648 +tp5649 +a(g822 +V\u000a +p5650 +tp5651 +a(g740 +Vcase +p5652 +tp5653 +a(g822 +g1006 +tp5654 +a(g822 +Vroot +p5655 +tp5656 +a(g822 +V\u000a +p5657 +tp5658 +a(g740 +Vset +p5659 +tp5660 +a(g822 +g1006 +tp5661 +a(g822 +Vpath_list +p5662 +tp5663 +a(g822 +g1006 +tp5664 +a(g436 +V$path_list +p5665 +tp5666 +a(g822 +g1006 +tp5667 +a(g822 +V/sbin +p5668 +tp5669 +a(g822 +g1006 +tp5670 +a(g822 +V/usr/sbin +p5671 +tp5672 +a(g822 +g1006 +tp5673 +a(g822 +V/usr/local/sbin +p5674 +tp5675 +a(g822 +V\u000a +p5676 +tp5677 +a(g740 +Vend +p5678 +tp5679 +a(g822 +V\u000a\u000a +p5680 +tp5681 +a(g740 +Vfor +p5682 +tp5683 +a(g822 +g1006 +tp5684 +a(g822 +Vi +p5685 +tp5686 +a(g822 +g1006 +tp5687 +a(g740 +Vin +p5688 +tp5689 +a(g822 +g1006 +tp5690 +a(g436 +V$path_list +p5691 +tp5692 +a(g822 +V\u000a +p5693 +tp5694 +a(g740 +Vif +p5695 +tp5696 +a(g822 +g1006 +tp5697 +a(g740 +Vnot +p5698 +tp5699 +a(g822 +g1006 +tp5700 +a(g677 +Vcontains +p5701 +tp5702 +a(g822 +g1006 +tp5703 +a(g436 +V$i +p5704 +tp5705 +a(g822 +g1006 +tp5706 +a(g436 +V$PATH +p5707 +tp5708 +a(g822 +V\u000a +p5709 +tp5710 +a(g740 +Vif +p5711 +tp5712 +a(g822 +g1006 +tp5713 +a(g740 +Vtest +p5714 +tp5715 +a(g822 +g1006 +tp5716 +a(g822 +V-d +p5717 +tp5718 +a(g822 +g1006 +tp5719 +a(g436 +V$i +p5720 +tp5721 +a(g822 +V\u000a +p5722 +tp5723 +a(g740 +Vset +p5724 +tp5725 +a(g822 +g1006 +tp5726 +a(g822 +VPATH +p5727 +tp5728 +a(g822 +g1006 +tp5729 +a(g436 +V$PATH +p5730 +tp5731 +a(g822 +g1006 +tp5732 +a(g436 +V$i +p5733 +tp5734 +a(g822 +V\u000a +p5735 +tp5736 +a(g740 +Vend +p5737 +tp5738 +a(g822 +V\u000a +p5739 +tp5740 +a(g740 +Vend +p5741 +tp5742 +a(g822 +V\u000a +p5743 +tp5744 +a(g740 +Vend +p5745 +tp5746 +a(g822 +V\u000a\u000a +p5747 +tp5748 +a(g7 +V#\u000a +p5749 +tp5750 +a(g7 +V# Launch debugger on SIGTRAP\u000a +p5751 +tp5752 +a(g7 +V#\u000a +p5753 +tp5754 +a(g740 +Vfunction +p5755 +tp5756 +a(g822 +g1006 +tp5757 +a(g822 +Vfish_sigtrap_handler +p5758 +tp5759 +a(g822 +g1006 +tp5760 +a(g822 +V--on-signal +p5761 +tp5762 +a(g822 +g1006 +tp5763 +a(g822 +VTRAP +p5764 +tp5765 +a(g822 +g1006 +tp5766 +a(g822 +V--no-scope-shadowing +p5767 +tp5768 +a(g822 +g1006 +tp5769 +a(g822 +V--description +p5770 +tp5771 +a(g822 +g1006 +tp5772 +a(g152 +V"Signal handler for the TRAP signal. Lanches a debug prompt." +p5773 +tp5774 +a(g822 +V\u000a +p5775 +tp5776 +a(g677 +Vbreakpoint\u000a +p5777 +tp5778 +a(g740 +Vend +p5779 +tp5780 +a(g822 +V\u000a\u000a +p5781 +tp5782 +a(g7 +V#\u000a +p5783 +tp5784 +a(g7 +V# Whenever a prompt is displayed, make sure that interactive\u000a +p5785 +tp5786 +a(g7 +V# mode-specific initializations have been performed.\u000a +p5787 +tp5788 +a(g7 +V# This handler removes itself after it is first called.\u000a +p5789 +tp5790 +a(g7 +V#\u000a +p5791 +tp5792 +a(g740 +Vfunction +p5793 +tp5794 +a(g822 +g1006 +tp5795 +a(g822 +V__fish_on_interactive +p5796 +tp5797 +a(g822 +g1006 +tp5798 +a(g822 +V--on-event +p5799 +tp5800 +a(g822 +g1006 +tp5801 +a(g677 +Vfish_prompt\u000a +p5802 +tp5803 +a(g822 +V__fish_config_interactive +p5804 +tp5805 +a(g822 +V\u000a +p5806 +tp5807 +a(g677 +Vfunctions +p5808 +tp5809 +a(g822 +g1006 +tp5810 +a(g822 +V-e +p5811 +tp5812 +a(g822 +g1006 +tp5813 +a(g822 +V__fish_on_interactive +p5814 +tp5815 +a(g822 +V\u000a +p5816 +tp5817 +a(g740 +Vend +p5818 +tp5819 +a(g822 +V\u000a +p5820 +tp5821 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.flo b/tests/examplefiles/output/example.flo new file mode 100644 index 0000000..2f6a973 --- /dev/null +++ b/tests/examplefiles/output/example.flo @@ -0,0 +1,3345 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Singleline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsg5 +g8 +sS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag8 +ag899 +ag929 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#example mission box1.flo +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g8 +V#from: https://github.com/ioflo/ioflo +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g827 +V\u000a +p964 +tp965 +a(g745 +Vhouse +p966 +tp967 +a(g827 +V +p968 +tp969 +a(g436 +Vbox1 +p970 +tp971 +a(g827 +V\u000a +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g827 +V +p976 +tp977 +a(g745 +Vframer +p978 +tp979 +a(g827 +g968 +tp980 +a(g436 +Vvehiclesim +p981 +tp982 +a(g827 +g968 +tp983 +a(g427 +Vbe +p984 +tp985 +a(g827 +g968 +tp986 +a(g436 +Vactive +p987 +tp988 +a(g827 +g968 +tp989 +a(g682 +Vfirst +p990 +tp991 +a(g827 +g968 +tp992 +a(g436 +Vvehicle_run +p993 +tp994 +a(g827 +V\u000a +p995 +tp996 +a(g827 +V +p997 +tp998 +a(g745 +Vframe +p999 +tp1000 +a(g827 +g968 +tp1001 +a(g436 +Vvehicle_run +p1002 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g827 +V +p1006 +tp1007 +a(g682 +Vdo +p1008 +tp1009 +a(g827 +g968 +tp1010 +a(g436 +Vsimulator +p1011 +tp1012 +a(g827 +g968 +tp1013 +a(g436 +Vmotion +p1014 +tp1015 +a(g827 +g968 +tp1016 +a(g436 +Vuuv +p1017 +tp1018 +a(g827 +V\u000a +p1019 +tp1020 +a(g827 +V\u000a +p1021 +tp1022 +a(g827 +V +p1023 +tp1024 +a(g745 +Vframer +p1025 +tp1026 +a(g827 +g968 +tp1027 +a(g436 +Vmission +p1028 +tp1029 +a(g827 +g968 +tp1030 +a(g427 +Vbe +p1031 +tp1032 +a(g827 +g968 +tp1033 +a(g436 +Vactive +p1034 +tp1035 +a(g827 +g968 +tp1036 +a(g682 +Vfirst +p1037 +tp1038 +a(g827 +g968 +tp1039 +a(g436 +Vnorthleg +p1040 +tp1041 +a(g827 +V\u000a +p1042 +tp1043 +a(g827 +V +p1044 +tp1045 +a(g745 +Vframe +p1046 +tp1047 +a(g827 +g968 +tp1048 +a(g436 +Vnorthleg +p1049 +tp1050 +a(g827 +V\u000a +p1051 +tp1052 +a(g827 +V +p1053 +tp1054 +a(g682 +Vset +p1055 +tp1056 +a(g827 +g968 +tp1057 +a(g436 +Velapsed +p1058 +tp1059 +a(g827 +g968 +tp1060 +a(g427 +Vwith +p1061 +tp1062 +a(g827 +g968 +tp1063 +a(g315 +V20.0 +p1064 +tp1065 +a(g827 +V\u000a +p1066 +tp1067 +a(g827 +V +p1068 +tp1069 +a(g682 +Vset +p1070 +tp1071 +a(g827 +g968 +tp1072 +a(g436 +Vheading +p1073 +tp1074 +a(g827 +g968 +tp1075 +a(g427 +Vwith +p1076 +tp1077 +a(g827 +g968 +tp1078 +a(g315 +V0.0 +p1079 +tp1080 +a(g827 +V\u000a +p1081 +tp1082 +a(g827 +V +p1083 +tp1084 +a(g682 +Vset +p1085 +tp1086 +a(g827 +g968 +tp1087 +a(g436 +Vdepth +p1088 +tp1089 +a(g827 +g968 +tp1090 +a(g427 +Vwith +p1091 +tp1092 +a(g827 +g968 +tp1093 +a(g315 +V5.0 +p1094 +tp1095 +a(g827 +V\u000a +p1096 +tp1097 +a(g827 +V +p1098 +tp1099 +a(g682 +Vset +p1100 +tp1101 +a(g827 +g968 +tp1102 +a(g436 +Vspeed +p1103 +tp1104 +a(g827 +g968 +tp1105 +a(g427 +Vwith +p1106 +tp1107 +a(g827 +g968 +tp1108 +a(g315 +V2.5 +p1109 +tp1110 +a(g827 +V\u000a +p1111 +tp1112 +a(g827 +V +p1113 +tp1114 +a(g682 +Vgo +p1115 +tp1116 +a(g827 +g968 +tp1117 +a(g682 +Vnext +p1118 +tp1119 +a(g827 +g968 +tp1120 +a(g427 +Vif +p1121 +tp1122 +a(g827 +g968 +tp1123 +a(g436 +Velapsed +p1124 +tp1125 +a(g827 +g968 +tp1126 +a(g413 +V> +p1127 +tp1128 +a(g413 +V= +p1129 +tp1130 +a(g827 +g968 +tp1131 +a(g436 +Vgoal +p1132 +tp1133 +a(g827 +V\u000a +p1134 +tp1135 +a(g827 +V\u000a +p1136 +tp1137 +a(g827 +V +p1138 +tp1139 +a(g745 +Vframe +p1140 +tp1141 +a(g827 +g968 +tp1142 +a(g436 +Veastleg +p1143 +tp1144 +a(g827 +V\u000a +p1145 +tp1146 +a(g827 +V +p1147 +tp1148 +a(g682 +Vset +p1149 +tp1150 +a(g827 +g968 +tp1151 +a(g436 +Vheading +p1152 +tp1153 +a(g827 +g968 +tp1154 +a(g427 +Vwith +p1155 +tp1156 +a(g827 +g968 +tp1157 +a(g315 +V90.0 +p1158 +tp1159 +a(g827 +V\u000a +p1160 +tp1161 +a(g827 +V +p1162 +tp1163 +a(g682 +Vgo +p1164 +tp1165 +a(g827 +g968 +tp1166 +a(g682 +Vnext +p1167 +tp1168 +a(g827 +g968 +tp1169 +a(g427 +Vif +p1170 +tp1171 +a(g827 +g968 +tp1172 +a(g436 +Velapsed +p1173 +tp1174 +a(g827 +g968 +tp1175 +a(g413 +g1127 +tp1176 +a(g413 +g1129 +tp1177 +a(g827 +g968 +tp1178 +a(g436 +Vgoal +p1179 +tp1180 +a(g827 +V\u000a +p1181 +tp1182 +a(g827 +V\u000a +p1183 +tp1184 +a(g827 +V +p1185 +tp1186 +a(g745 +Vframe +p1187 +tp1188 +a(g827 +g968 +tp1189 +a(g436 +Vsouthleg +p1190 +tp1191 +a(g827 +V\u000a +p1192 +tp1193 +a(g827 +V +p1194 +tp1195 +a(g682 +Vset +p1196 +tp1197 +a(g827 +g968 +tp1198 +a(g436 +Vheading +p1199 +tp1200 +a(g827 +g968 +tp1201 +a(g427 +Vwith +p1202 +tp1203 +a(g827 +g968 +tp1204 +a(g315 +V180.0 +p1205 +tp1206 +a(g827 +V\u000a +p1207 +tp1208 +a(g827 +V +p1209 +tp1210 +a(g682 +Vgo +p1211 +tp1212 +a(g827 +g968 +tp1213 +a(g682 +Vnext +p1214 +tp1215 +a(g827 +g968 +tp1216 +a(g427 +Vif +p1217 +tp1218 +a(g827 +g968 +tp1219 +a(g436 +Velapsed +p1220 +tp1221 +a(g827 +g968 +tp1222 +a(g413 +g1127 +tp1223 +a(g413 +g1129 +tp1224 +a(g827 +g968 +tp1225 +a(g436 +Vgoal +p1226 +tp1227 +a(g827 +V\u000a +p1228 +tp1229 +a(g827 +V\u000a +p1230 +tp1231 +a(g827 +V +p1232 +tp1233 +a(g745 +Vframe +p1234 +tp1235 +a(g827 +g968 +tp1236 +a(g436 +Vwestleg +p1237 +tp1238 +a(g827 +V\u000a +p1239 +tp1240 +a(g827 +V +p1241 +tp1242 +a(g682 +Vset +p1243 +tp1244 +a(g827 +g968 +tp1245 +a(g436 +Vheading +p1246 +tp1247 +a(g827 +g968 +tp1248 +a(g427 +Vwith +p1249 +tp1250 +a(g827 +g968 +tp1251 +a(g315 +V270.0 +p1252 +tp1253 +a(g827 +V\u000a +p1254 +tp1255 +a(g827 +V +p1256 +tp1257 +a(g682 +Vgo +p1258 +tp1259 +a(g827 +g968 +tp1260 +a(g682 +Vnext +p1261 +tp1262 +a(g827 +g968 +tp1263 +a(g427 +Vif +p1264 +tp1265 +a(g827 +g968 +tp1266 +a(g436 +Velapsed +p1267 +tp1268 +a(g827 +g968 +tp1269 +a(g413 +g1127 +tp1270 +a(g413 +g1129 +tp1271 +a(g827 +g968 +tp1272 +a(g436 +Vgoal +p1273 +tp1274 +a(g827 +V\u000a +p1275 +tp1276 +a(g827 +V\u000a +p1277 +tp1278 +a(g827 +V +p1279 +tp1280 +a(g745 +Vframe +p1281 +tp1282 +a(g827 +g968 +tp1283 +a(g436 +Vmission_stop +p1284 +tp1285 +a(g827 +V\u000a +p1286 +tp1287 +a(g827 +V +p1288 +tp1289 +a(g682 +Vbid +p1290 +tp1291 +a(g827 +g968 +tp1292 +a(g682 +Vstop +p1293 +tp1294 +a(g827 +g968 +tp1295 +a(g436 +Vvehiclesim +p1296 +tp1297 +a(g827 +V\u000a +p1298 +tp1299 +a(g827 +V +p1300 +tp1301 +a(g682 +Vbid +p1302 +tp1303 +a(g827 +g968 +tp1304 +a(g682 +Vstop +p1305 +tp1306 +a(g827 +g968 +tp1307 +a(g436 +Vautopilot +p1308 +tp1309 +a(g827 +V\u000a +p1310 +tp1311 +a(g827 +V +p1312 +tp1313 +a(g682 +Vbid +p1314 +tp1315 +a(g827 +g968 +tp1316 +a(g682 +Vstop +p1317 +tp1318 +a(g827 +g968 +tp1319 +a(g436 +Vme +p1320 +tp1321 +a(g827 +V\u000a +p1322 +tp1323 +a(g827 +V\u000a +p1324 +tp1325 +a(g827 +V +p1326 +tp1327 +a(g745 +Vframer +p1328 +tp1329 +a(g827 +g968 +tp1330 +a(g436 +Vautopilot +p1331 +tp1332 +a(g827 +g968 +tp1333 +a(g427 +Vbe +p1334 +tp1335 +a(g827 +g968 +tp1336 +a(g436 +Vactive +p1337 +tp1338 +a(g827 +g968 +tp1339 +a(g682 +Vfirst +p1340 +tp1341 +a(g827 +g968 +tp1342 +a(g436 +Vautopilot_run +p1343 +tp1344 +a(g827 +V\u000a +p1345 +tp1346 +a(g827 +V +p1347 +tp1348 +a(g745 +Vframe +p1349 +tp1350 +a(g827 +g968 +tp1351 +a(g436 +Vautopilot_run +p1352 +tp1353 +a(g827 +V\u000a +p1354 +tp1355 +a(g827 +V +p1356 +tp1357 +a(g682 +Vdo +p1358 +tp1359 +a(g827 +g968 +tp1360 +a(g436 +Vcontroller +p1361 +tp1362 +a(g827 +g968 +tp1363 +a(g436 +Vpid +p1364 +tp1365 +a(g827 +g968 +tp1366 +a(g436 +Vspeed +p1367 +tp1368 +a(g827 +V\u000a +p1369 +tp1370 +a(g827 +V +p1371 +tp1372 +a(g682 +Vdo +p1373 +tp1374 +a(g827 +g968 +tp1375 +a(g436 +Vcontroller +p1376 +tp1377 +a(g827 +g968 +tp1378 +a(g436 +Vpid +p1379 +tp1380 +a(g827 +g968 +tp1381 +a(g436 +Vheading +p1382 +tp1383 +a(g827 +V\u000a +p1384 +tp1385 +a(g827 +V +p1386 +tp1387 +a(g682 +Vdo +p1388 +tp1389 +a(g827 +g968 +tp1390 +a(g436 +Vcontroller +p1391 +tp1392 +a(g827 +g968 +tp1393 +a(g436 +Vpid +p1394 +tp1395 +a(g827 +g968 +tp1396 +a(g436 +Vdepth +p1397 +tp1398 +a(g827 +V\u000a +p1399 +tp1400 +a(g827 +V +p1401 +tp1402 +a(g682 +Vdo +p1403 +tp1404 +a(g827 +g968 +tp1405 +a(g436 +Vcontroller +p1406 +tp1407 +a(g827 +g968 +tp1408 +a(g436 +Vpid +p1409 +tp1410 +a(g827 +g968 +tp1411 +a(g436 +Vpitch +p1412 +tp1413 +a(g827 +V\u000a +p1414 +tp1415 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.gd b/tests/examplefiles/output/example.gd new file mode 100644 index 0000000..0e8a651 --- /dev/null +++ b/tests/examplefiles/output/example.gd @@ -0,0 +1,2607 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV############################################################################# +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V## +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V#W example.gd +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V## +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V## This file contains a sample of a GAP declaration file. +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V## +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g681 +VDeclareProperty +p980 +tp981 +a(g705 +V( +p982 +tp983 +a(g826 +V +p984 +tp985 +a(g89 +V"SomeProperty" +p986 +tp987 +a(g412 +V, +p988 +tp989 +a(g826 +g984 +tp990 +a(g440 +VIsLeftModule +p991 +tp992 +a(g826 +g984 +tp993 +a(g705 +V) +p994 +tp995 +a(g412 +V; +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g681 +VDeclareGlobalFunction +p1000 +tp1001 +a(g705 +g982 +tp1002 +a(g826 +g984 +tp1003 +a(g89 +V"SomeGlobalFunction" +p1004 +tp1005 +a(g826 +g984 +tp1006 +a(g705 +g994 +tp1007 +a(g412 +g996 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g826 +V\u000a +p1011 +tp1012 +a(g826 +V\u000a +p1013 +tp1014 +a(g8 +V############################################################################# +p1015 +tp1016 +a(g826 +V\u000a +p1017 +tp1018 +a(g8 +V## +p1019 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g8 +V#C IsQuuxFrobnicator() +p1023 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g8 +V## +p1027 +tp1028 +a(g826 +V\u000a +p1029 +tp1030 +a(g8 +V## +p1031 +tp1032 +a(g826 +V\u000a +p1033 +tp1034 +a(g8 +V## +p1035 +tp1036 +a(g826 +V\u000a +p1037 +tp1038 +a(g8 +V## +p1039 +tp1040 +a(g826 +V\u000a +p1041 +tp1042 +a(g8 +V## +p1043 +tp1044 +a(g826 +V\u000a +p1045 +tp1046 +a(g8 +V## Tests whether R is a quux frobnicator. +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g8 +V## +p1051 +tp1052 +a(g826 +V\u000a +p1053 +tp1054 +a(g8 +V## +p1055 +tp1056 +a(g826 +V\u000a +p1057 +tp1058 +a(g8 +V## +p1059 +tp1060 +a(g826 +V\u000a +p1061 +tp1062 +a(g681 +VDeclareSynonym +p1063 +tp1064 +a(g705 +g982 +tp1065 +a(g826 +g984 +tp1066 +a(g89 +V"IsQuuxFrobnicator" +p1067 +tp1068 +a(g412 +g988 +tp1069 +a(g826 +g984 +tp1070 +a(g440 +VIsField +p1071 +tp1072 +a(g826 +g984 +tp1073 +a(g426 +Vand +p1074 +tp1075 +a(g826 +g984 +tp1076 +a(g440 +VIsGroup +p1077 +tp1078 +a(g826 +g984 +tp1079 +a(g705 +g994 +tp1080 +a(g412 +g996 +tp1081 +a(g826 +V\u000a +p1082 +tp1083 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.gi b/tests/examplefiles/output/example.gi new file mode 100644 index 0000000..86e92fe --- /dev/null +++ b/tests/examplefiles/output/example.gi @@ -0,0 +1,4175 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV############################################################################# +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V## +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V#W example.gd +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V## +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V## This file contains a sample of a GAP implementation file. +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V## +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g8 +V############################################################################# +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g8 +V## +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g8 +V#M SomeOperation( ) +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g8 +V## +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g8 +V## performs some operation on +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g8 +V## +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g681 +VInstallMethod +p1008 +tp1009 +a(g705 +V( +p1010 +tp1011 +a(g826 +V +p1012 +tp1013 +a(g440 +VSomeProperty +p1014 +tp1015 +a(g412 +V, +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g826 +g1012 +tp1020 +a(g826 +g1012 +tp1021 +a(g826 +g1012 +tp1022 +a(g826 +g1012 +tp1023 +a(g89 +V"for left modules" +p1024 +tp1025 +a(g412 +g1016 +tp1026 +a(g826 +V\u000a +p1027 +tp1028 +a(g826 +g1012 +tp1029 +a(g826 +g1012 +tp1030 +a(g826 +g1012 +tp1031 +a(g826 +g1012 +tp1032 +a(g705 +V[ +p1033 +tp1034 +a(g826 +g1012 +tp1035 +a(g440 +VIsLeftModule +p1036 +tp1037 +a(g826 +g1012 +tp1038 +a(g705 +V] +p1039 +tp1040 +a(g412 +g1016 +tp1041 +a(g826 +g1012 +tp1042 +a(g440 +V0 +p1043 +tp1044 +a(g412 +g1016 +tp1045 +a(g826 +V\u000a +p1046 +tp1047 +a(g826 +g1012 +tp1048 +a(g826 +g1012 +tp1049 +a(g826 +g1012 +tp1050 +a(g826 +g1012 +tp1051 +a(g744 +Vfunction +p1052 +tp1053 +a(g705 +g1010 +tp1054 +a(g826 +g1012 +tp1055 +a(g440 +VM +p1056 +tp1057 +a(g826 +g1012 +tp1058 +a(g705 +V) +p1059 +tp1060 +a(g826 +V\u000a +p1061 +tp1062 +a(g826 +g1012 +tp1063 +a(g826 +g1012 +tp1064 +a(g826 +g1012 +tp1065 +a(g826 +g1012 +tp1066 +a(g744 +Vif +p1067 +tp1068 +a(g826 +g1012 +tp1069 +a(g440 +VIsFreeLeftModule +p1070 +tp1071 +a(g705 +g1010 +tp1072 +a(g826 +g1012 +tp1073 +a(g440 +g1056 +tp1074 +a(g826 +g1012 +tp1075 +a(g705 +g1059 +tp1076 +a(g826 +g1012 +tp1077 +a(g426 +Vand +p1078 +tp1079 +a(g826 +g1012 +tp1080 +a(g426 +Vnot +p1081 +tp1082 +a(g826 +g1012 +tp1083 +a(g440 +VIsTrivial +p1084 +tp1085 +a(g705 +g1010 +tp1086 +a(g826 +g1012 +tp1087 +a(g440 +g1056 +tp1088 +a(g826 +g1012 +tp1089 +a(g705 +g1059 +tp1090 +a(g826 +g1012 +tp1091 +a(g744 +Vthen +p1092 +tp1093 +a(g826 +V\u000a +p1094 +tp1095 +a(g826 +g1012 +tp1096 +a(g826 +g1012 +tp1097 +a(g826 +g1012 +tp1098 +a(g826 +g1012 +tp1099 +a(g826 +g1012 +tp1100 +a(g826 +g1012 +tp1101 +a(g744 +Vreturn +p1102 +tp1103 +a(g826 +g1012 +tp1104 +a(g565 +Vtrue +p1105 +tp1106 +a(g412 +V; +p1107 +tp1108 +a(g826 +V\u000a +p1109 +tp1110 +a(g826 +g1012 +tp1111 +a(g826 +g1012 +tp1112 +a(g826 +g1012 +tp1113 +a(g826 +g1012 +tp1114 +a(g744 +Vfi +p1115 +tp1116 +a(g412 +g1107 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g826 +g1012 +tp1120 +a(g826 +g1012 +tp1121 +a(g826 +g1012 +tp1122 +a(g826 +g1012 +tp1123 +a(g744 +VTryNextMethod +p1124 +tp1125 +a(g705 +g1010 +tp1126 +a(g705 +g1059 +tp1127 +a(g412 +g1107 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g826 +g1012 +tp1131 +a(g826 +g1012 +tp1132 +a(g826 +g1012 +tp1133 +a(g826 +g1012 +tp1134 +a(g744 +Vend +p1135 +tp1136 +a(g826 +g1012 +tp1137 +a(g705 +g1059 +tp1138 +a(g412 +g1107 +tp1139 +a(g826 +V\u000a +p1140 +tp1141 +a(g826 +V\u000a +p1142 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g826 +V\u000a +p1146 +tp1147 +a(g8 +V############################################################################# +p1148 +tp1149 +a(g826 +V\u000a +p1150 +tp1151 +a(g8 +V## +p1152 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g8 +V#F SomeGlobalFunction( ) +p1156 +tp1157 +a(g826 +V\u000a +p1158 +tp1159 +a(g8 +V## +p1160 +tp1161 +a(g826 +V\u000a +p1162 +tp1163 +a(g8 +V## A global variadic funfion. +p1164 +tp1165 +a(g826 +V\u000a +p1166 +tp1167 +a(g8 +V## +p1168 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g681 +VInstallGlobalFunction +p1172 +tp1173 +a(g705 +g1010 +tp1174 +a(g826 +g1012 +tp1175 +a(g440 +VSomeGlobalFunction +p1176 +tp1177 +a(g412 +g1016 +tp1178 +a(g826 +g1012 +tp1179 +a(g744 +Vfunction +p1180 +tp1181 +a(g705 +g1010 +tp1182 +a(g826 +g1012 +tp1183 +a(g440 +Varg +p1184 +tp1185 +a(g826 +g1012 +tp1186 +a(g705 +g1059 +tp1187 +a(g826 +V\u000a +p1188 +tp1189 +a(g826 +g1012 +tp1190 +a(g826 +g1012 +tp1191 +a(g826 +g1012 +tp1192 +a(g826 +g1012 +tp1193 +a(g744 +Vif +p1194 +tp1195 +a(g826 +g1012 +tp1196 +a(g440 +VLength +p1197 +tp1198 +a(g705 +g1010 +tp1199 +a(g826 +g1012 +tp1200 +a(g440 +Varg +p1201 +tp1202 +a(g826 +g1012 +tp1203 +a(g705 +g1059 +tp1204 +a(g826 +g1012 +tp1205 +a(g412 +V= +p1206 +tp1207 +a(g826 +g1012 +tp1208 +a(g440 +V3 +p1209 +tp1210 +a(g826 +g1012 +tp1211 +a(g744 +Vthen +p1212 +tp1213 +a(g826 +V\u000a +p1214 +tp1215 +a(g826 +g1012 +tp1216 +a(g826 +g1012 +tp1217 +a(g826 +g1012 +tp1218 +a(g826 +g1012 +tp1219 +a(g826 +g1012 +tp1220 +a(g826 +g1012 +tp1221 +a(g744 +Vreturn +p1222 +tp1223 +a(g826 +g1012 +tp1224 +a(g440 +Varg +p1225 +tp1226 +a(g705 +g1033 +tp1227 +a(g440 +V1 +p1228 +tp1229 +a(g705 +g1039 +tp1230 +a(g826 +g1012 +tp1231 +a(g412 +V+ +p1232 +tp1233 +a(g826 +g1012 +tp1234 +a(g440 +Varg +p1235 +tp1236 +a(g705 +g1033 +tp1237 +a(g440 +V2 +p1238 +tp1239 +a(g705 +g1039 +tp1240 +a(g826 +g1012 +tp1241 +a(g412 +V* +p1242 +tp1243 +a(g826 +g1012 +tp1244 +a(g440 +Varg +p1245 +tp1246 +a(g705 +g1033 +tp1247 +a(g440 +g1209 +tp1248 +a(g705 +g1039 +tp1249 +a(g412 +g1107 +tp1250 +a(g826 +V\u000a +p1251 +tp1252 +a(g826 +g1012 +tp1253 +a(g826 +g1012 +tp1254 +a(g826 +g1012 +tp1255 +a(g826 +g1012 +tp1256 +a(g744 +Velif +p1257 +tp1258 +a(g826 +g1012 +tp1259 +a(g440 +VLength +p1260 +tp1261 +a(g705 +g1010 +tp1262 +a(g826 +g1012 +tp1263 +a(g440 +Varg +p1264 +tp1265 +a(g826 +g1012 +tp1266 +a(g705 +g1059 +tp1267 +a(g826 +g1012 +tp1268 +a(g412 +g1206 +tp1269 +a(g826 +g1012 +tp1270 +a(g440 +g1238 +tp1271 +a(g826 +g1012 +tp1272 +a(g744 +Vthen +p1273 +tp1274 +a(g826 +V\u000a +p1275 +tp1276 +a(g826 +g1012 +tp1277 +a(g826 +g1012 +tp1278 +a(g826 +g1012 +tp1279 +a(g826 +g1012 +tp1280 +a(g826 +g1012 +tp1281 +a(g826 +g1012 +tp1282 +a(g744 +Vreturn +p1283 +tp1284 +a(g826 +g1012 +tp1285 +a(g440 +Varg +p1286 +tp1287 +a(g705 +g1033 +tp1288 +a(g440 +g1228 +tp1289 +a(g705 +g1039 +tp1290 +a(g826 +g1012 +tp1291 +a(g412 +V- +p1292 +tp1293 +a(g826 +g1012 +tp1294 +a(g440 +Varg +p1295 +tp1296 +a(g705 +g1033 +tp1297 +a(g440 +g1238 +tp1298 +a(g705 +g1039 +tp1299 +a(g826 +V\u000a +p1300 +tp1301 +a(g826 +g1012 +tp1302 +a(g826 +g1012 +tp1303 +a(g826 +g1012 +tp1304 +a(g826 +g1012 +tp1305 +a(g744 +Velse +p1306 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g826 +g1012 +tp1310 +a(g826 +g1012 +tp1311 +a(g826 +g1012 +tp1312 +a(g826 +g1012 +tp1313 +a(g826 +g1012 +tp1314 +a(g826 +g1012 +tp1315 +a(g440 +VError +p1316 +tp1317 +a(g705 +g1010 +tp1318 +a(g826 +g1012 +tp1319 +a(g89 +V"usage: SomeGlobalFunction( , [, ] )" +p1320 +tp1321 +a(g826 +g1012 +tp1322 +a(g705 +g1059 +tp1323 +a(g412 +g1107 +tp1324 +a(g826 +V\u000a +p1325 +tp1326 +a(g826 +g1012 +tp1327 +a(g826 +g1012 +tp1328 +a(g826 +g1012 +tp1329 +a(g826 +g1012 +tp1330 +a(g744 +Vfi +p1331 +tp1332 +a(g412 +g1107 +tp1333 +a(g826 +V\u000a +p1334 +tp1335 +a(g826 +g1012 +tp1336 +a(g826 +g1012 +tp1337 +a(g826 +g1012 +tp1338 +a(g826 +g1012 +tp1339 +a(g744 +Vend +p1340 +tp1341 +a(g826 +g1012 +tp1342 +a(g705 +g1059 +tp1343 +a(g412 +g1107 +tp1344 +a(g826 +V\u000a +p1345 +tp1346 +a(g826 +V\u000a +p1347 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g8 +V# +p1351 +tp1352 +a(g826 +V\u000a +p1353 +tp1354 +a(g8 +V# A plain function. +p1355 +tp1356 +a(g826 +V\u000a +p1357 +tp1358 +a(g8 +g1351 +tp1359 +a(g826 +V\u000a +p1360 +tp1361 +a(g440 +VSomeFunc +p1362 +tp1363 +a(g826 +g1012 +tp1364 +a(g412 +V:= +p1365 +tp1366 +a(g826 +g1012 +tp1367 +a(g744 +Vfunction +p1368 +tp1369 +a(g705 +g1010 +tp1370 +a(g440 +Vx +p1371 +tp1372 +a(g412 +g1016 +tp1373 +a(g826 +g1012 +tp1374 +a(g440 +Vy +p1375 +tp1376 +a(g705 +g1059 +tp1377 +a(g826 +V\u000a +p1378 +tp1379 +a(g826 +g1012 +tp1380 +a(g826 +g1012 +tp1381 +a(g826 +g1012 +tp1382 +a(g826 +g1012 +tp1383 +a(g744 +Vlocal +p1384 +tp1385 +a(g826 +g1012 +tp1386 +a(g440 +Vz +p1387 +tp1388 +a(g412 +g1016 +tp1389 +a(g826 +g1012 +tp1390 +a(g440 +Vfunc +p1391 +tp1392 +a(g412 +g1016 +tp1393 +a(g826 +g1012 +tp1394 +a(g440 +Vtmp +p1395 +tp1396 +a(g412 +g1016 +tp1397 +a(g826 +g1012 +tp1398 +a(g440 +Vj +p1399 +tp1400 +a(g412 +g1107 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g826 +g1012 +tp1404 +a(g826 +g1012 +tp1405 +a(g826 +g1012 +tp1406 +a(g826 +g1012 +tp1407 +a(g440 +g1387 +tp1408 +a(g826 +g1012 +tp1409 +a(g412 +V:= +p1410 +tp1411 +a(g826 +g1012 +tp1412 +a(g440 +g1371 +tp1413 +a(g826 +g1012 +tp1414 +a(g412 +g1242 +tp1415 +a(g826 +g1012 +tp1416 +a(g440 +g1228 +tp1417 +a(g412 +V. +p1418 +tp1419 +a(g440 +g1043 +tp1420 +a(g412 +g1107 +tp1421 +a(g826 +V\u000a +p1422 +tp1423 +a(g826 +g1012 +tp1424 +a(g826 +g1012 +tp1425 +a(g826 +g1012 +tp1426 +a(g826 +g1012 +tp1427 +a(g440 +g1375 +tp1428 +a(g826 +g1012 +tp1429 +a(g412 +V:= +p1430 +tp1431 +a(g826 +g1012 +tp1432 +a(g440 +V17 +p1433 +tp1434 +a(g412 +V^ +p1435 +tp1436 +a(g440 +V17 +p1437 +tp1438 +a(g826 +g1012 +tp1439 +a(g412 +g1292 +tp1440 +a(g826 +g1012 +tp1441 +a(g440 +g1375 +tp1442 +a(g412 +g1107 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g826 +g1012 +tp1446 +a(g826 +g1012 +tp1447 +a(g826 +g1012 +tp1448 +a(g826 +g1012 +tp1449 +a(g440 +Vfunc +p1450 +tp1451 +a(g826 +g1012 +tp1452 +a(g412 +V:= +p1453 +tp1454 +a(g826 +g1012 +tp1455 +a(g440 +Va +p1456 +tp1457 +a(g826 +g1012 +tp1458 +a(g412 +g1292 +tp1459 +a(g412 +V> +p1460 +tp1461 +a(g826 +g1012 +tp1462 +a(g440 +g1456 +tp1463 +a(g826 +g1012 +tp1464 +a(g426 +Vmod +p1465 +tp1466 +a(g826 +g1012 +tp1467 +a(g440 +V5 +p1468 +tp1469 +a(g412 +g1107 +tp1470 +a(g826 +V\u000a +p1471 +tp1472 +a(g826 +g1012 +tp1473 +a(g826 +g1012 +tp1474 +a(g826 +g1012 +tp1475 +a(g826 +g1012 +tp1476 +a(g440 +Vtmp +p1477 +tp1478 +a(g826 +g1012 +tp1479 +a(g412 +V:= +p1480 +tp1481 +a(g826 +g1012 +tp1482 +a(g440 +VList +p1483 +tp1484 +a(g705 +g1010 +tp1485 +a(g826 +g1012 +tp1486 +a(g705 +g1033 +tp1487 +a(g440 +g1228 +tp1488 +a(g412 +g1418 +tp1489 +a(g412 +g1418 +tp1490 +a(g440 +V50 +p1491 +tp1492 +a(g705 +g1039 +tp1493 +a(g412 +g1016 +tp1494 +a(g826 +g1012 +tp1495 +a(g440 +Vfunc +p1496 +tp1497 +a(g826 +g1012 +tp1498 +a(g705 +g1059 +tp1499 +a(g412 +g1107 +tp1500 +a(g826 +V\u000a +p1501 +tp1502 +a(g826 +g1012 +tp1503 +a(g826 +g1012 +tp1504 +a(g826 +g1012 +tp1505 +a(g826 +g1012 +tp1506 +a(g744 +Vwhile +p1507 +tp1508 +a(g826 +g1012 +tp1509 +a(g440 +g1375 +tp1510 +a(g826 +g1012 +tp1511 +a(g412 +g1460 +tp1512 +a(g826 +g1012 +tp1513 +a(g440 +g1043 +tp1514 +a(g826 +g1012 +tp1515 +a(g744 +Vdo +p1516 +tp1517 +a(g826 +V\u000a +p1518 +tp1519 +a(g826 +g1012 +tp1520 +a(g826 +g1012 +tp1521 +a(g826 +g1012 +tp1522 +a(g826 +g1012 +tp1523 +a(g826 +g1012 +tp1524 +a(g826 +g1012 +tp1525 +a(g826 +g1012 +tp1526 +a(g826 +g1012 +tp1527 +a(g744 +Vfor +p1528 +tp1529 +a(g826 +g1012 +tp1530 +a(g440 +g1399 +tp1531 +a(g826 +g1012 +tp1532 +a(g426 +Vin +p1533 +tp1534 +a(g826 +g1012 +tp1535 +a(g440 +Vtmp +p1536 +tp1537 +a(g826 +g1012 +tp1538 +a(g744 +Vdo +p1539 +tp1540 +a(g826 +V\u000a +p1541 +tp1542 +a(g826 +g1012 +tp1543 +a(g826 +g1012 +tp1544 +a(g826 +g1012 +tp1545 +a(g826 +g1012 +tp1546 +a(g826 +g1012 +tp1547 +a(g826 +g1012 +tp1548 +a(g826 +g1012 +tp1549 +a(g826 +g1012 +tp1550 +a(g826 +g1012 +tp1551 +a(g826 +g1012 +tp1552 +a(g826 +g1012 +tp1553 +a(g826 +g1012 +tp1554 +a(g440 +VPrint +p1555 +tp1556 +a(g705 +g1010 +tp1557 +a(g440 +g1399 +tp1558 +a(g412 +g1016 +tp1559 +a(g826 +g1012 +tp1560 +a(g89 +V"\u005cn" +p1561 +tp1562 +a(g705 +g1059 +tp1563 +a(g412 +g1107 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +g1012 +tp1567 +a(g826 +g1012 +tp1568 +a(g826 +g1012 +tp1569 +a(g826 +g1012 +tp1570 +a(g826 +g1012 +tp1571 +a(g826 +g1012 +tp1572 +a(g826 +g1012 +tp1573 +a(g826 +g1012 +tp1574 +a(g744 +Vod +p1575 +tp1576 +a(g412 +g1107 +tp1577 +a(g826 +V\u000a +p1578 +tp1579 +a(g826 +g1012 +tp1580 +a(g826 +g1012 +tp1581 +a(g826 +g1012 +tp1582 +a(g826 +g1012 +tp1583 +a(g826 +g1012 +tp1584 +a(g826 +g1012 +tp1585 +a(g826 +g1012 +tp1586 +a(g826 +g1012 +tp1587 +a(g744 +Vrepeat +p1588 +tp1589 +a(g826 +V\u000a +p1590 +tp1591 +a(g826 +g1012 +tp1592 +a(g826 +g1012 +tp1593 +a(g826 +g1012 +tp1594 +a(g826 +g1012 +tp1595 +a(g826 +g1012 +tp1596 +a(g826 +g1012 +tp1597 +a(g826 +g1012 +tp1598 +a(g826 +g1012 +tp1599 +a(g826 +g1012 +tp1600 +a(g826 +g1012 +tp1601 +a(g826 +g1012 +tp1602 +a(g826 +g1012 +tp1603 +a(g440 +g1375 +tp1604 +a(g826 +g1012 +tp1605 +a(g412 +V:= +p1606 +tp1607 +a(g826 +g1012 +tp1608 +a(g440 +g1375 +tp1609 +a(g826 +g1012 +tp1610 +a(g412 +g1292 +tp1611 +a(g826 +g1012 +tp1612 +a(g440 +g1228 +tp1613 +a(g412 +g1107 +tp1614 +a(g826 +V\u000a +p1615 +tp1616 +a(g826 +g1012 +tp1617 +a(g826 +g1012 +tp1618 +a(g826 +g1012 +tp1619 +a(g826 +g1012 +tp1620 +a(g826 +g1012 +tp1621 +a(g826 +g1012 +tp1622 +a(g826 +g1012 +tp1623 +a(g826 +g1012 +tp1624 +a(g744 +Vuntil +p1625 +tp1626 +a(g826 +g1012 +tp1627 +a(g440 +g1043 +tp1628 +a(g826 +g1012 +tp1629 +a(g412 +V< +p1630 +tp1631 +a(g826 +g1012 +tp1632 +a(g440 +g1228 +tp1633 +a(g412 +g1107 +tp1634 +a(g826 +V\u000a +p1635 +tp1636 +a(g826 +g1012 +tp1637 +a(g826 +g1012 +tp1638 +a(g826 +g1012 +tp1639 +a(g826 +g1012 +tp1640 +a(g826 +g1012 +tp1641 +a(g826 +g1012 +tp1642 +a(g826 +g1012 +tp1643 +a(g826 +g1012 +tp1644 +a(g440 +g1375 +tp1645 +a(g826 +g1012 +tp1646 +a(g412 +V:= +p1647 +tp1648 +a(g826 +g1012 +tp1649 +a(g440 +g1375 +tp1650 +a(g826 +g1012 +tp1651 +a(g412 +g1292 +tp1652 +a(g440 +g1228 +tp1653 +a(g412 +g1107 +tp1654 +a(g826 +V\u000a +p1655 +tp1656 +a(g826 +g1012 +tp1657 +a(g826 +g1012 +tp1658 +a(g826 +g1012 +tp1659 +a(g826 +g1012 +tp1660 +a(g744 +Vod +p1661 +tp1662 +a(g412 +g1107 +tp1663 +a(g826 +V\u000a +p1664 +tp1665 +a(g826 +g1012 +tp1666 +a(g826 +g1012 +tp1667 +a(g826 +g1012 +tp1668 +a(g826 +g1012 +tp1669 +a(g744 +Vreturn +p1670 +tp1671 +a(g826 +g1012 +tp1672 +a(g440 +g1387 +tp1673 +a(g412 +g1107 +tp1674 +a(g826 +V\u000a +p1675 +tp1676 +a(g744 +Vend +p1677 +tp1678 +a(g412 +g1107 +tp1679 +a(g826 +V\u000a +p1680 +tp1681 +a(g826 +g1012 +tp1682 +a(g826 +g1012 +tp1683 +a(g826 +g1012 +tp1684 +a(g826 +g1012 +tp1685 +a(g826 +g1012 +tp1686 +a(g826 +g1012 +tp1687 +a(g826 +g1012 +tp1688 +a(g826 +g1012 +tp1689 +a(g826 +V\u000a +p1690 +tp1691 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.golo b/tests/examplefiles/output/example.golo new file mode 100644 index 0000000..4f9d0e0 --- /dev/null +++ b/tests/examplefiles/output/example.golo @@ -0,0 +1,4917 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V# Comments +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +g956 +tp964 +a(g822 +V\u000a +p965 +tp966 +a(g822 +V\u000a +p967 +tp968 +a(g766 +Vmodule +p969 +tp970 +a(g822 +V +p971 +tp972 +a(g616 +Vpygments.Example +p973 +tp974 +a(g822 +V\u000a +p975 +tp976 +a(g822 +V\u000a +p977 +tp978 +a(g766 +Vimport +p979 +tp980 +a(g822 +g971 +tp981 +a(g616 +Vsome.Module +p982 +tp983 +a(g822 +V\u000a +p984 +tp985 +a(g822 +V\u000a +p986 +tp987 +a(g740 +Vlocal +p988 +tp989 +a(g822 +g971 +tp990 +a(g805 +Vfunction +p991 +tp992 +a(g822 +g971 +tp993 +a(g569 +Vfoo +p994 +tp995 +a(g822 +g971 +tp996 +a(g408 +V= +p997 +tp998 +a(g822 +g971 +tp999 +a(g701 +V| +p1000 +tp1001 +a(g431 +Va +p1002 +tp1003 +a(g701 +V, +p1004 +tp1005 +a(g822 +g971 +tp1006 +a(g431 +Vb +p1007 +tp1008 +a(g701 +g1000 +tp1009 +a(g822 +g971 +tp1010 +a(g408 +V-> +p1011 +tp1012 +a(g822 +g971 +tp1013 +a(g431 +g1002 +tp1014 +a(g822 +g971 +tp1015 +a(g408 +V+ +p1016 +tp1017 +a(g822 +g971 +tp1018 +a(g431 +g1007 +tp1019 +a(g822 +V\u000a +p1020 +tp1021 +a(g822 +V\u000a +p1022 +tp1023 +a(g217 +V----\u000agolodoc string\u000a---- +p1024 +tp1025 +a(g822 +V\u000a +p1026 +tp1027 +a(g740 +Vaugment +p1028 +tp1029 +a(g822 +g971 +tp1030 +a(g616 +Vjava.util.Collection +p1031 +tp1032 +a(g822 +g971 +tp1033 +a(g701 +V{ +p1034 +tp1035 +a(g822 +V\u000a +p1036 +tp1037 +a(g822 +V\u000a +p1038 +tp1039 +a(g822 +V +p1040 +tp1041 +a(g217 +V----\u000a sub doc\u000a ---- +p1042 +tp1043 +a(g822 +V\u000a +p1044 +tp1045 +a(g822 +V +p1046 +tp1047 +a(g805 +Vfunction +p1048 +tp1049 +a(g822 +g971 +tp1050 +a(g569 +Vplop +p1051 +tp1052 +a(g822 +g971 +tp1053 +a(g408 +g997 +tp1054 +a(g822 +g971 +tp1055 +a(g701 +g1000 +tp1056 +a(g431 +Vthis +p1057 +tp1058 +a(g701 +g1004 +tp1059 +a(g822 +g971 +tp1060 +a(g431 +Vv +p1061 +tp1062 +a(g701 +g1000 +tp1063 +a(g822 +g971 +tp1064 +a(g701 +g1034 +tp1065 +a(g822 +V\u000a +p1066 +tp1067 +a(g822 +V +p1068 +tp1069 +a(g740 +Vreturn +p1070 +tp1071 +a(g822 +g971 +tp1072 +a(g431 +Vthis +p1073 +tp1074 +a(g408 +V: +p1075 +tp1076 +a(g822 +g971 +tp1077 +a(g569 +Vlength +p1078 +tp1079 +a(g701 +V( +p1080 +tp1081 +a(g701 +V) +p1082 +tp1083 +a(g822 +g971 +tp1084 +a(g408 +g1016 +tp1085 +a(g822 +g971 +tp1086 +a(g431 +g1061 +tp1087 +a(g822 +V\u000a +p1088 +tp1089 +a(g822 +V +p1090 +tp1091 +a(g701 +V} +p1092 +tp1093 +a(g822 +V\u000a +p1094 +tp1095 +a(g701 +g1092 +tp1096 +a(g822 +V\u000a +p1097 +tp1098 +a(g822 +V\u000a +p1099 +tp1100 +a(g805 +Vfunction +p1101 +tp1102 +a(g822 +g971 +tp1103 +a(g569 +Vbar +p1104 +tp1105 +a(g822 +g971 +tp1106 +a(g408 +g997 +tp1107 +a(g822 +g971 +tp1108 +a(g701 +g1000 +tp1109 +a(g431 +g1002 +tp1110 +a(g701 +g1004 +tp1111 +a(g822 +g971 +tp1112 +a(g431 +g1007 +tp1113 +a(g701 +g1000 +tp1114 +a(g822 +g971 +tp1115 +a(g701 +g1034 +tp1116 +a(g822 +V\u000a +p1117 +tp1118 +a(g822 +V +p1119 +tp1120 +a(g805 +Vlet +p1121 +tp1122 +a(g822 +g971 +tp1123 +a(g436 +Vmsg +p1124 +tp1125 +a(g822 +g971 +tp1126 +a(g408 +g997 +tp1127 +a(g822 +g971 +tp1128 +a(g84 +V" +p1129 +tp1130 +a(g84 +Va string +p1131 +tp1132 +a(g152 +g1129 +tp1133 +a(g822 +V\u000a +p1134 +tp1135 +a(g822 +V +p1136 +tp1137 +a(g805 +Vvar +p1138 +tp1139 +a(g822 +g971 +tp1140 +a(g436 +Vtmp +p1141 +tp1142 +a(g822 +g971 +tp1143 +a(g408 +g997 +tp1144 +a(g822 +g971 +tp1145 +a(g84 +g1129 +tp1146 +a(g152 +g1129 +tp1147 +a(g822 +V\u000a +p1148 +tp1149 +a(g822 +V +p1150 +tp1151 +a(g431 +Vtmp +p1152 +tp1153 +a(g822 +g971 +tp1154 +a(g408 +g997 +tp1155 +a(g822 +g971 +tp1156 +a(g431 +Vtmp +p1157 +tp1158 +a(g822 +g971 +tp1159 +a(g408 +g1016 +tp1160 +a(g822 +g971 +tp1161 +a(g431 +g1002 +tp1162 +a(g408 +g1075 +tp1163 +a(g822 +g971 +tp1164 +a(g569 +VtoString +p1165 +tp1166 +a(g701 +g1080 +tp1167 +a(g701 +g1082 +tp1168 +a(g822 +V\u000a +p1169 +tp1170 +a(g822 +V +p1171 +tp1172 +a(g677 +Vprintln +p1173 +tp1174 +a(g701 +g1080 +tp1175 +a(g431 +Vtmp +p1176 +tp1177 +a(g822 +g971 +tp1178 +a(g408 +g1016 +tp1179 +a(g822 +g971 +tp1180 +a(g431 +g1007 +tp1181 +a(g701 +g1082 +tp1182 +a(g822 +V\u000a +p1183 +tp1184 +a(g701 +g1092 +tp1185 +a(g822 +V\u000a +p1186 +tp1187 +a(g822 +V\u000a +p1188 +tp1189 +a(g805 +Vfunction +p1190 +tp1191 +a(g822 +g971 +tp1192 +a(g569 +Vbaz +p1193 +tp1194 +a(g822 +g971 +tp1195 +a(g408 +g997 +tp1196 +a(g822 +g971 +tp1197 +a(g701 +g1034 +tp1198 +a(g822 +V\u000a +p1199 +tp1200 +a(g822 +V +p1201 +tp1202 +a(g740 +Vforeach +p1203 +tp1204 +a(g822 +g971 +tp1205 +a(g431 +Vi +p1206 +tp1207 +a(g822 +g971 +tp1208 +a(g422 +Vin +p1209 +tp1210 +a(g822 +g971 +tp1211 +a(g569 +Vrange +p1212 +tp1213 +a(g701 +g1080 +tp1214 +a(g32 +V0 +p1215 +tp1216 +a(g701 +g1004 +tp1217 +a(g822 +g971 +tp1218 +a(g32 +V5 +p1219 +tp1220 +a(g701 +g1082 +tp1221 +a(g822 +g971 +tp1222 +a(g701 +g1034 +tp1223 +a(g822 +V\u000a +p1224 +tp1225 +a(g822 +V +p1226 +tp1227 +a(g740 +Vif +p1228 +tp1229 +a(g822 +g971 +tp1230 +a(g431 +g1206 +tp1231 +a(g822 +g971 +tp1232 +a(g408 +V% +p1233 +tp1234 +a(g822 +g971 +tp1235 +a(g32 +V2 +p1236 +tp1237 +a(g822 +g971 +tp1238 +a(g408 +V== +p1239 +tp1240 +a(g822 +g971 +tp1241 +a(g32 +g1215 +tp1242 +a(g822 +g971 +tp1243 +a(g422 +Vand +p1244 +tp1245 +a(g822 +g971 +tp1246 +a(g759 +Vtrue +p1247 +tp1248 +a(g822 +g971 +tp1249 +a(g422 +Vor +p1250 +tp1251 +a(g822 +g971 +tp1252 +a(g759 +Vfalse +p1253 +tp1254 +a(g822 +g971 +tp1255 +a(g701 +g1034 +tp1256 +a(g822 +V\u000a +p1257 +tp1258 +a(g822 +V +p1259 +tp1260 +a(g677 +Vprint +p1261 +tp1262 +a(g701 +g1080 +tp1263 +a(g84 +g1129 +tp1264 +a(g84 +Ve +p1265 +tp1266 +a(g152 +g1129 +tp1267 +a(g701 +g1082 +tp1268 +a(g822 +V\u000a +p1269 +tp1270 +a(g822 +V +p1271 +tp1272 +a(g701 +g1092 +tp1273 +a(g822 +g971 +tp1274 +a(g740 +Velse +p1275 +tp1276 +a(g822 +g971 +tp1277 +a(g701 +g1034 +tp1278 +a(g822 +V\u000a +p1279 +tp1280 +a(g822 +V +p1281 +tp1282 +a(g677 +Vprint +p1283 +tp1284 +a(g701 +g1080 +tp1285 +a(g84 +g1129 +tp1286 +a(g84 +Vo +p1287 +tp1288 +a(g152 +g1129 +tp1289 +a(g701 +g1082 +tp1290 +a(g822 +V\u000a +p1291 +tp1292 +a(g822 +V +p1293 +tp1294 +a(g701 +g1092 +tp1295 +a(g822 +V\u000a +p1296 +tp1297 +a(g822 +V +p1298 +tp1299 +a(g701 +g1092 +tp1300 +a(g822 +V\u000a +p1301 +tp1302 +a(g701 +g1092 +tp1303 +a(g822 +V\u000a +p1304 +tp1305 +a(g822 +V\u000a +p1306 +tp1307 +a(g805 +Vfunction +p1308 +tp1309 +a(g822 +g971 +tp1310 +a(g569 +VuserMatch +p1311 +tp1312 +a(g822 +g971 +tp1313 +a(g408 +g997 +tp1314 +a(g822 +g971 +tp1315 +a(g701 +g1000 +tp1316 +a(g431 +g1061 +tp1317 +a(g701 +g1000 +tp1318 +a(g822 +g971 +tp1319 +a(g408 +V-> +p1320 +tp1321 +a(g822 +V\u000a +p1322 +tp1323 +a(g822 +V +p1324 +tp1325 +a(g740 +Vmatch +p1326 +tp1327 +a(g822 +g971 +tp1328 +a(g701 +g1034 +tp1329 +a(g822 +V\u000a +p1330 +tp1331 +a(g822 +V +p1332 +tp1333 +a(g740 +Vwhen +p1334 +tp1335 +a(g822 +g971 +tp1336 +a(g431 +g1061 +tp1337 +a(g822 +g971 +tp1338 +a(g408 +g1233 +tp1339 +a(g822 +g971 +tp1340 +a(g32 +g1236 +tp1341 +a(g822 +g971 +tp1342 +a(g408 +V== +p1343 +tp1344 +a(g822 +g971 +tp1345 +a(g32 +g1215 +tp1346 +a(g822 +g971 +tp1347 +a(g740 +Vthen +p1348 +tp1349 +a(g822 +g971 +tp1350 +a(g84 +g1129 +tp1351 +a(g84 +g1265 +tp1352 +a(g152 +g1129 +tp1353 +a(g822 +V\u000a +p1354 +tp1355 +a(g822 +V +p1356 +tp1357 +a(g740 +Votherwise +p1358 +tp1359 +a(g822 +g971 +tp1360 +a(g84 +g1129 +tp1361 +a(g84 +g1287 +tp1362 +a(g152 +g1129 +tp1363 +a(g822 +V\u000a +p1364 +tp1365 +a(g822 +V +p1366 +tp1367 +a(g701 +g1092 +tp1368 +a(g822 +V\u000a +p1369 +tp1370 +a(g701 +g1092 +tp1371 +a(g822 +V\u000a +p1372 +tp1373 +a(g822 +V\u000a +p1374 +tp1375 +a(g805 +Vfunction +p1376 +tp1377 +a(g822 +g971 +tp1378 +a(g569 +Vadd +p1379 +tp1380 +a(g822 +g971 +tp1381 +a(g408 +g997 +tp1382 +a(g822 +g971 +tp1383 +a(g701 +g1000 +tp1384 +a(g431 +Vx +p1385 +tp1386 +a(g701 +g1000 +tp1387 +a(g822 +g971 +tp1388 +a(g408 +V-> +p1389 +tp1390 +a(g822 +g971 +tp1391 +a(g701 +g1000 +tp1392 +a(g431 +Vy +p1393 +tp1394 +a(g701 +g1000 +tp1395 +a(g822 +g971 +tp1396 +a(g408 +V-> +p1397 +tp1398 +a(g822 +g971 +tp1399 +a(g431 +g1385 +tp1400 +a(g822 +g971 +tp1401 +a(g408 +g1016 +tp1402 +a(g822 +g971 +tp1403 +a(g431 +g1393 +tp1404 +a(g822 +V\u000a +p1405 +tp1406 +a(g822 +V\u000a +p1407 +tp1408 +a(g805 +Vlet +p1409 +tp1410 +a(g822 +g971 +tp1411 +a(g436 +VaChar +p1412 +tp1413 +a(g822 +g971 +tp1414 +a(g408 +g997 +tp1415 +a(g822 +g971 +tp1416 +a(g84 +V' +p1417 +tp1418 +a(g84 +g1002 +tp1419 +a(g84 +g1417 +tp1420 +a(g822 +V\u000a +p1421 +tp1422 +a(g822 +V\u000a +p1423 +tp1424 +a(g805 +Vlet +p1425 +tp1426 +a(g822 +g971 +tp1427 +a(g436 +Vmultiline +p1428 +tp1429 +a(g822 +g971 +tp1430 +a(g408 +g997 +tp1431 +a(g822 +g971 +tp1432 +a(g822 +V\u000a +p1433 +tp1434 +a(g84 +V""" +p1435 +tp1436 +a(g84 +V\u000a +p1437 +tp1438 +a(g84 +Vfoo +p1439 +tp1440 +a(g84 +V\u000a +p1441 +tp1442 +a(g84 +Vbar +p1443 +tp1444 +a(g84 +V\u000a +p1445 +tp1446 +a(g84 +Vbaz +p1447 +tp1448 +a(g84 +V\u000a +p1449 +tp1450 +a(g84 +V""" +p1451 +tp1452 +a(g822 +V\u000a +p1453 +tp1454 +a(g822 +V\u000a +p1455 +tp1456 +a(g740 +Vlocal +p1457 +tp1458 +a(g822 +g971 +tp1459 +a(g805 +Vfunction +p1460 +tp1461 +a(g822 +g971 +tp1462 +a(g569 +VmyObj +p1463 +tp1464 +a(g822 +g971 +tp1465 +a(g408 +g997 +tp1466 +a(g822 +g971 +tp1467 +a(g408 +V-> +p1468 +tp1469 +a(g822 +g971 +tp1470 +a(g569 +VDynamicObject +p1471 +tp1472 +a(g701 +g1080 +tp1473 +a(g701 +g1082 +tp1474 +a(g408 +g1075 +tp1475 +a(g822 +V\u000a +p1476 +tp1477 +a(g822 +V +p1478 +tp1479 +a(g569 +Vname +p1480 +tp1481 +a(g701 +g1080 +tp1482 +a(g84 +g1129 +tp1483 +a(g84 +Vfoo +p1484 +tp1485 +a(g152 +g1129 +tp1486 +a(g701 +g1082 +tp1487 +a(g408 +g1075 +tp1488 +a(g822 +V\u000a +p1489 +tp1490 +a(g822 +V +p1491 +tp1492 +a(g569 +Vage +p1493 +tp1494 +a(g701 +g1080 +tp1495 +a(g32 +V25 +p1496 +tp1497 +a(g701 +g1082 +tp1498 +a(g408 +g1075 +tp1499 +a(g822 +V\u000a +p1500 +tp1501 +a(g822 +V +p1502 +tp1503 +a(g569 +Vdefine +p1504 +tp1505 +a(g701 +g1080 +tp1506 +a(g84 +g1129 +tp1507 +a(g84 +Vmeth +p1508 +tp1509 +a(g152 +g1129 +tp1510 +a(g701 +g1004 +tp1511 +a(g822 +g971 +tp1512 +a(g701 +g1000 +tp1513 +a(g431 +Vthis +p1514 +tp1515 +a(g701 +g1000 +tp1516 +a(g822 +g971 +tp1517 +a(g408 +V-> +p1518 +tp1519 +a(g822 +g971 +tp1520 +a(g431 +Vthis +p1521 +tp1522 +a(g408 +g1075 +tp1523 +a(g822 +g971 +tp1524 +a(g569 +Vname +p1525 +tp1526 +a(g701 +g1080 +tp1527 +a(g701 +g1082 +tp1528 +a(g822 +g971 +tp1529 +a(g408 +g1016 +tp1530 +a(g822 +g971 +tp1531 +a(g431 +Vthis +p1532 +tp1533 +a(g408 +g1075 +tp1534 +a(g822 +g971 +tp1535 +a(g569 +Vage +p1536 +tp1537 +a(g701 +g1080 +tp1538 +a(g701 +g1082 +tp1539 +a(g822 +V\u000a +p1540 +tp1541 +a(g822 +V\u000a +p1542 +tp1543 +a(g217 +V----\u000aGolo doc string\u000a---- +p1544 +tp1545 +a(g822 +V\u000a +p1546 +tp1547 +a(g805 +Vfunction +p1548 +tp1549 +a(g822 +g971 +tp1550 +a(g569 +VnullTest +p1551 +tp1552 +a(g822 +g971 +tp1553 +a(g408 +g997 +tp1554 +a(g822 +g971 +tp1555 +a(g701 +g1034 +tp1556 +a(g822 +V\u000a +p1557 +tp1558 +a(g822 +V +p1559 +tp1560 +a(g805 +Vlet +p1561 +tp1562 +a(g822 +g971 +tp1563 +a(g436 +Vm +p1564 +tp1565 +a(g822 +g971 +tp1566 +a(g408 +g997 +tp1567 +a(g822 +g971 +tp1568 +a(g677 +Vmap +p1569 +tp1570 +a(g701 +V[ +p1571 +tp1572 +a(g822 +V\u000a +p1573 +tp1574 +a(g822 +V +p1575 +tp1576 +a(g701 +g1571 +tp1577 +a(g84 +g1129 +tp1578 +a(g84 +g1002 +tp1579 +a(g152 +g1129 +tp1580 +a(g701 +g1004 +tp1581 +a(g822 +g971 +tp1582 +a(g32 +V1 +p1583 +tp1584 +a(g701 +V] +p1585 +tp1586 +a(g701 +g1004 +tp1587 +a(g822 +V\u000a +p1588 +tp1589 +a(g822 +V +p1590 +tp1591 +a(g701 +g1571 +tp1592 +a(g84 +g1129 +tp1593 +a(g84 +g1007 +tp1594 +a(g152 +g1129 +tp1595 +a(g701 +g1004 +tp1596 +a(g822 +g971 +tp1597 +a(g32 +g1236 +tp1598 +a(g701 +g1585 +tp1599 +a(g822 +V\u000a +p1600 +tp1601 +a(g822 +V +p1602 +tp1603 +a(g701 +g1585 +tp1604 +a(g822 +V\u000a +p1605 +tp1606 +a(g822 +V\u000a +p1607 +tp1608 +a(g822 +V +p1609 +tp1610 +a(g677 +Vprintln +p1611 +tp1612 +a(g701 +g1080 +tp1613 +a(g431 +Vmap +p1614 +tp1615 +a(g408 +g1075 +tp1616 +a(g822 +g971 +tp1617 +a(g569 +Vget +p1618 +tp1619 +a(g701 +g1080 +tp1620 +a(g84 +g1129 +tp1621 +a(g84 +g1002 +tp1622 +a(g152 +g1129 +tp1623 +a(g701 +g1082 +tp1624 +a(g822 +g971 +tp1625 +a(g422 +VorIfNull +p1626 +tp1627 +a(g822 +g971 +tp1628 +a(g32 +g1215 +tp1629 +a(g701 +g1082 +tp1630 +a(g822 +V\u000a +p1631 +tp1632 +a(g822 +V +p1633 +tp1634 +a(g677 +Vprintln +p1635 +tp1636 +a(g701 +g1080 +tp1637 +a(g431 +Vmap +p1638 +tp1639 +a(g408 +g1075 +tp1640 +a(g822 +g971 +tp1641 +a(g569 +Vget +p1642 +tp1643 +a(g701 +g1080 +tp1644 +a(g84 +g1129 +tp1645 +a(g84 +g1007 +tp1646 +a(g152 +g1129 +tp1647 +a(g701 +g1082 +tp1648 +a(g408 +V?: +p1649 +tp1650 +a(g822 +g971 +tp1651 +a(g569 +VtoString +p1652 +tp1653 +a(g701 +g1080 +tp1654 +a(g701 +g1082 +tp1655 +a(g822 +g971 +tp1656 +a(g422 +VorIfNull +p1657 +tp1658 +a(g822 +g971 +tp1659 +a(g84 +g1129 +tp1660 +a(g84 +g1215 +tp1661 +a(g152 +g1129 +tp1662 +a(g701 +g1082 +tp1663 +a(g822 +V\u000a +p1664 +tp1665 +a(g822 +V\u000a +p1666 +tp1667 +a(g701 +g1092 +tp1668 +a(g822 +V\u000a +p1669 +tp1670 +a(g822 +V\u000a +p1671 +tp1672 +a(g805 +Vstruct +p1673 +tp1674 +a(g822 +g971 +tp1675 +a(g624 +VPoint +p1676 +tp1677 +a(g822 +g971 +tp1678 +a(g408 +g997 +tp1679 +a(g822 +g971 +tp1680 +a(g701 +g1034 +tp1681 +a(g822 +g971 +tp1682 +a(g431 +g1385 +tp1683 +a(g701 +g1004 +tp1684 +a(g822 +g971 +tp1685 +a(g431 +g1393 +tp1686 +a(g822 +g971 +tp1687 +a(g701 +g1092 +tp1688 +a(g822 +V\u000a +p1689 +tp1690 +a(g822 +V\u000a +p1691 +tp1692 +a(g805 +Vfunction +p1693 +tp1694 +a(g822 +g971 +tp1695 +a(g569 +Vdeco1 +p1696 +tp1697 +a(g822 +g971 +tp1698 +a(g408 +g997 +tp1699 +a(g822 +g971 +tp1700 +a(g701 +g1000 +tp1701 +a(g677 +Vfun +p1702 +tp1703 +a(g701 +g1000 +tp1704 +a(g822 +g971 +tp1705 +a(g701 +g1034 +tp1706 +a(g822 +V\u000a +p1707 +tp1708 +a(g822 +V +p1709 +tp1710 +a(g740 +Vreturn +p1711 +tp1712 +a(g822 +g971 +tp1713 +a(g701 +g1000 +tp1714 +a(g431 +Vargs +p1715 +tp1716 +a(g408 +V... +p1717 +tp1718 +a(g701 +g1000 +tp1719 +a(g822 +g971 +tp1720 +a(g701 +g1034 +tp1721 +a(g822 +V\u000a +p1722 +tp1723 +a(g822 +V +p1724 +tp1725 +a(g740 +Vreturn +p1726 +tp1727 +a(g822 +g971 +tp1728 +a(g84 +g1129 +tp1729 +a(g84 +Vdeco1 + +p1730 +tp1731 +a(g152 +g1129 +tp1732 +a(g822 +g971 +tp1733 +a(g408 +g1016 +tp1734 +a(g822 +g971 +tp1735 +a(g677 +Vfun +p1736 +tp1737 +a(g408 +g1075 +tp1738 +a(g822 +g971 +tp1739 +a(g569 +VinvokeWithArguments +p1740 +tp1741 +a(g701 +g1080 +tp1742 +a(g431 +Vargs +p1743 +tp1744 +a(g701 +g1082 +tp1745 +a(g822 +V\u000a +p1746 +tp1747 +a(g822 +V +p1748 +tp1749 +a(g701 +g1092 +tp1750 +a(g822 +V\u000a +p1751 +tp1752 +a(g701 +g1092 +tp1753 +a(g822 +V\u000a +p1754 +tp1755 +a(g822 +V\u000a +p1756 +tp1757 +a(g491 +V@deco1 +p1758 +tp1759 +a(g822 +V\u000a +p1760 +tp1761 +a(g805 +Vfunction +p1762 +tp1763 +a(g822 +g971 +tp1764 +a(g569 +Vdecofoo +p1765 +tp1766 +a(g822 +g971 +tp1767 +a(g408 +g997 +tp1768 +a(g822 +g971 +tp1769 +a(g701 +g1000 +tp1770 +a(g431 +g1002 +tp1771 +a(g701 +g1000 +tp1772 +a(g822 +g971 +tp1773 +a(g701 +g1034 +tp1774 +a(g822 +V\u000a +p1775 +tp1776 +a(g822 +V +p1777 +tp1778 +a(g740 +Vreturn +p1779 +tp1780 +a(g822 +g971 +tp1781 +a(g84 +g1129 +tp1782 +a(g84 +Vfoo: +p1783 +tp1784 +a(g152 +g1129 +tp1785 +a(g822 +g971 +tp1786 +a(g408 +g1016 +tp1787 +a(g822 +g971 +tp1788 +a(g431 +g1002 +tp1789 +a(g822 +V\u000a +p1790 +tp1791 +a(g701 +g1092 +tp1792 +a(g822 +V\u000a +p1793 +tp1794 +a(g822 +V\u000a +p1795 +tp1796 +a(g491 +V@deco1 +p1797 +tp1798 +a(g822 +V\u000a +p1799 +tp1800 +a(g805 +Vfunction +p1801 +tp1802 +a(g822 +g971 +tp1803 +a(g569 +Vdecobar +p1804 +tp1805 +a(g822 +g971 +tp1806 +a(g408 +g997 +tp1807 +a(g822 +g971 +tp1808 +a(g701 +g1000 +tp1809 +a(g431 +g1002 +tp1810 +a(g701 +g1000 +tp1811 +a(g822 +g971 +tp1812 +a(g408 +V-> +p1813 +tp1814 +a(g822 +g971 +tp1815 +a(g84 +g1129 +tp1816 +a(g84 +Vbar: +p1817 +tp1818 +a(g152 +g1129 +tp1819 +a(g822 +g971 +tp1820 +a(g408 +g1016 +tp1821 +a(g822 +g971 +tp1822 +a(g431 +g1002 +tp1823 +a(g822 +V\u000a +p1824 +tp1825 +a(g822 +V\u000a +p1826 +tp1827 +a(g805 +Vfunction +p1828 +tp1829 +a(g822 +g971 +tp1830 +a(g569 +Vdeco2 +p1831 +tp1832 +a(g822 +g971 +tp1833 +a(g408 +g997 +tp1834 +a(g822 +g971 +tp1835 +a(g701 +g1000 +tp1836 +a(g677 +Vfun +p1837 +tp1838 +a(g701 +g1000 +tp1839 +a(g822 +g971 +tp1840 +a(g701 +g1034 +tp1841 +a(g822 +V\u000a +p1842 +tp1843 +a(g822 +V +p1844 +tp1845 +a(g740 +Vreturn +p1846 +tp1847 +a(g822 +g971 +tp1848 +a(g701 +g1000 +tp1849 +a(g431 +Vargs +p1850 +tp1851 +a(g408 +V... +p1852 +tp1853 +a(g701 +g1000 +tp1854 +a(g822 +g971 +tp1855 +a(g701 +g1034 +tp1856 +a(g822 +V\u000a +p1857 +tp1858 +a(g822 +V +p1859 +tp1860 +a(g740 +Vreturn +p1861 +tp1862 +a(g822 +g971 +tp1863 +a(g84 +g1129 +tp1864 +a(g84 +Vdeco2 + +p1865 +tp1866 +a(g152 +g1129 +tp1867 +a(g822 +g971 +tp1868 +a(g408 +g1016 +tp1869 +a(g822 +g971 +tp1870 +a(g677 +Vfun +p1871 +tp1872 +a(g408 +g1075 +tp1873 +a(g822 +g971 +tp1874 +a(g569 +VinvokeWithArguments +p1875 +tp1876 +a(g701 +g1080 +tp1877 +a(g431 +Vargs +p1878 +tp1879 +a(g701 +g1082 +tp1880 +a(g822 +V\u000a +p1881 +tp1882 +a(g822 +V +p1883 +tp1884 +a(g701 +g1092 +tp1885 +a(g822 +V\u000a +p1886 +tp1887 +a(g701 +g1092 +tp1888 +a(g822 +V\u000a +p1889 +tp1890 +a(g822 +V\u000a +p1891 +tp1892 +a(g491 +V@deco2 +p1893 +tp1894 +a(g822 +V\u000a +p1895 +tp1896 +a(g491 +V@deco1 +p1897 +tp1898 +a(g822 +V\u000a +p1899 +tp1900 +a(g805 +Vfunction +p1901 +tp1902 +a(g822 +g971 +tp1903 +a(g569 +Vdecobaz +p1904 +tp1905 +a(g822 +g971 +tp1906 +a(g408 +g997 +tp1907 +a(g822 +g971 +tp1908 +a(g701 +g1000 +tp1909 +a(g431 +g1002 +tp1910 +a(g701 +g1000 +tp1911 +a(g822 +g971 +tp1912 +a(g408 +V-> +p1913 +tp1914 +a(g822 +g971 +tp1915 +a(g84 +g1129 +tp1916 +a(g84 +Vbaz: +p1917 +tp1918 +a(g152 +g1129 +tp1919 +a(g822 +g971 +tp1920 +a(g408 +g1016 +tp1921 +a(g822 +g971 +tp1922 +a(g431 +g1002 +tp1923 +a(g822 +V\u000a +p1924 +tp1925 +a(g822 +V\u000a +p1926 +tp1927 +a(g805 +Vlet +p1928 +tp1929 +a(g822 +g971 +tp1930 +a(g436 +Vdeco3 +p1931 +tp1932 +a(g822 +g971 +tp1933 +a(g408 +g997 +tp1934 +a(g822 +g971 +tp1935 +a(g408 +V^ +p1936 +tp1937 +a(g431 +Vdeco1 +p1938 +tp1939 +a(g408 +g1075 +tp1940 +a(g822 +g971 +tp1941 +a(g569 +VandThen +p1942 +tp1943 +a(g701 +g1080 +tp1944 +a(g408 +g1936 +tp1945 +a(g431 +Vdeco2 +p1946 +tp1947 +a(g701 +g1082 +tp1948 +a(g822 +V\u000a +p1949 +tp1950 +a(g822 +V\u000a +p1951 +tp1952 +a(g491 +V@deco3 +p1953 +tp1954 +a(g822 +V\u000a +p1955 +tp1956 +a(g805 +Vfunction +p1957 +tp1958 +a(g822 +g971 +tp1959 +a(g569 +Vdecospam +p1960 +tp1961 +a(g822 +g971 +tp1962 +a(g408 +g997 +tp1963 +a(g822 +g971 +tp1964 +a(g701 +g1000 +tp1965 +a(g431 +g1002 +tp1966 +a(g701 +g1000 +tp1967 +a(g822 +g971 +tp1968 +a(g408 +V-> +p1969 +tp1970 +a(g822 +g971 +tp1971 +a(g84 +g1129 +tp1972 +a(g84 +Vspam: +p1973 +tp1974 +a(g152 +g1129 +tp1975 +a(g822 +g971 +tp1976 +a(g408 +g1016 +tp1977 +a(g822 +g971 +tp1978 +a(g431 +g1002 +tp1979 +a(g822 +V\u000a +p1980 +tp1981 +a(g822 +V\u000a +p1982 +tp1983 +a(g491 +V@another.Module.deco +p1984 +tp1985 +a(g822 +V\u000a +p1986 +tp1987 +a(g805 +Vfunction +p1988 +tp1989 +a(g822 +g971 +tp1990 +a(g569 +Vping +p1991 +tp1992 +a(g822 +g971 +tp1993 +a(g408 +g997 +tp1994 +a(g822 +g971 +tp1995 +a(g408 +V-> +p1996 +tp1997 +a(g822 +g971 +tp1998 +a(g84 +g1129 +tp1999 +a(g84 +Vpong +p2000 +tp2001 +a(g152 +g1129 +tp2002 +a(g822 +V\u000a +p2003 +tp2004 +a(g822 +V\u000a +p2005 +tp2006 +a(g491 +V@deco +p2007 +tp2008 +a(g701 +g1080 +tp2009 +a(g84 +g1129 +tp2010 +a(g84 +Vwith +p2011 +tp2012 +a(g152 +g1129 +tp2013 +a(g701 +g1004 +tp2014 +a(g822 +g971 +tp2015 +a(g431 +Vparams +p2016 +tp2017 +a(g701 +g1082 +tp2018 +a(g822 +V\u000a +p2019 +tp2020 +a(g805 +Vfunction +p2021 +tp2022 +a(g822 +g971 +tp2023 +a(g569 +Vgnop +p2024 +tp2025 +a(g822 +g971 +tp2026 +a(g408 +g997 +tp2027 +a(g822 +g971 +tp2028 +a(g408 +V-> +p2029 +tp2030 +a(g822 +g971 +tp2031 +a(g84 +g1129 +tp2032 +a(g84 +Vgnip +p2033 +tp2034 +a(g152 +g1129 +tp2035 +a(g822 +V\u000a +p2036 +tp2037 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.groovy b/tests/examplefiles/output/example.groovy new file mode 100644 index 0000000..f1eb275 --- /dev/null +++ b/tests/examplefiles/output/example.groovy @@ -0,0 +1,2359 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/usr/bin/env groovy +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g436 +Vprintln +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g157 +V"Hello World" +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.gs b/tests/examplefiles/output/example.gs new file mode 100644 index 0000000..4997b23 --- /dev/null +++ b/tests/examplefiles/output/example.gs @@ -0,0 +1,5792 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVpackage +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g428 +Vexample +p960 +tp961 +a(g830 +V\u000a +p962 +tp963 +a(g830 +V\u000a +p964 +tp965 +a(g8 +Vuses +p966 +tp967 +a(g830 +g958 +tp968 +a(g612 +Vjava.util.* +p969 +tp970 +a(g830 +V\u000a +p971 +tp972 +a(g830 +V\u000a +p973 +tp974 +a(g8 +Vuses +p975 +tp976 +a(g830 +g958 +tp977 +a(g612 +Vjava.io.File +p978 +tp979 +a(g830 +V\u000a +p980 +tp981 +a(g830 +V\u000a +p982 +tp983 +a(g939 +Vclass +p984 +tp985 +a(g830 +g958 +tp986 +a(g620 +VPerson +p987 +tp988 +a(g830 +g958 +tp989 +a(g939 +Vextends +p990 +tp991 +a(g830 +g958 +tp992 +a(g428 +VContact +p993 +tp994 +a(g830 +g958 +tp995 +a(g939 +Vimplements +p996 +tp997 +a(g830 +g958 +tp998 +a(g428 +VIEmailable +p999 +tp1000 +a(g830 +g958 +tp1001 +a(g405 +V{ +p1002 +tp1003 +a(g830 +V\u000a +p1004 +tp1005 +a(g830 +V\u000a +p1006 +tp1007 +a(g830 +V +p1008 +tp1009 +a(g939 +Vvar +p1010 +tp1011 +a(g830 +g958 +tp1012 +a(g428 +V_name +p1013 +tp1014 +a(g830 +g958 +tp1015 +a(g405 +V: +p1016 +tp1017 +a(g830 +g958 +tp1018 +a(g428 +VString +p1019 +tp1020 +a(g830 +V\u000a +p1021 +tp1022 +a(g830 +V +p1023 +tp1024 +a(g939 +Vvar +p1025 +tp1026 +a(g830 +g958 +tp1027 +a(g428 +V_age +p1028 +tp1029 +a(g830 +g958 +tp1030 +a(g405 +g1016 +tp1031 +a(g830 +g958 +tp1032 +a(g428 +VInteger +p1033 +tp1034 +a(g830 +g958 +tp1035 +a(g13 +Vas +p1036 +tp1037 +a(g830 +g958 +tp1038 +a(g428 +VAge +p1039 +tp1040 +a(g830 +g958 +tp1041 +a(g830 +V\u000a +p1042 +tp1043 +a(g830 +V +p1044 +tp1045 +a(g939 +Vvar +p1046 +tp1047 +a(g830 +g958 +tp1048 +a(g428 +V_relationship +p1049 +tp1050 +a(g830 +g958 +tp1051 +a(g405 +g1016 +tp1052 +a(g830 +g958 +tp1053 +a(g428 +VRelationship +p1054 +tp1055 +a(g830 +g958 +tp1056 +a(g13 +Vas +p1057 +tp1058 +a(g830 +g958 +tp1059 +a(g939 +Vreadonly +p1060 +tp1061 +a(g830 +g958 +tp1062 +a(g428 +VRelationshipOfPerson +p1063 +tp1064 +a(g830 +V\u000a +p1065 +tp1066 +a(g830 +V\u000a +p1067 +tp1068 +a(g830 +V +p1069 +tp1070 +a(g939 +Vdelegate +p1071 +tp1072 +a(g830 +g958 +tp1073 +a(g428 +V_emailHelper +p1074 +tp1075 +a(g830 +g958 +tp1076 +a(g939 +Vrepresents +p1077 +tp1078 +a(g830 +g958 +tp1079 +a(g428 +VIEmailable +p1080 +tp1081 +a(g830 +V\u000a +p1082 +tp1083 +a(g830 +V\u000a +p1084 +tp1085 +a(g830 +V +p1086 +tp1087 +a(g939 +Venum +p1088 +tp1089 +a(g830 +g958 +tp1090 +a(g620 +VRelationship +p1091 +tp1092 +a(g830 +g958 +tp1093 +a(g405 +g1002 +tp1094 +a(g830 +V\u000a +p1095 +tp1096 +a(g830 +V +p1097 +tp1098 +a(g428 +VFRIEND +p1099 +tp1100 +a(g405 +V, +p1101 +tp1102 +a(g830 +V\u000a +p1103 +tp1104 +a(g830 +V +p1105 +tp1106 +a(g428 +VFAMILY +p1107 +tp1108 +a(g405 +g1101 +tp1109 +a(g830 +V\u000a +p1110 +tp1111 +a(g830 +V +p1112 +tp1113 +a(g428 +VBUSINESS_CONTACT +p1114 +tp1115 +a(g830 +V\u000a +p1116 +tp1117 +a(g830 +V +p1118 +tp1119 +a(g405 +V} +p1120 +tp1121 +a(g830 +V\u000a +p1122 +tp1123 +a(g830 +V\u000a +p1124 +tp1125 +a(g830 +V +p1126 +tp1127 +a(g749 +V// Map of names to people\u000a +p1128 +tp1129 +a(g830 +V +p1130 +tp1131 +a(g939 +Vstatic +p1132 +tp1133 +a(g830 +g958 +tp1134 +a(g939 +Vvar +p1135 +tp1136 +a(g830 +g958 +tp1137 +a(g428 +VALL_PEOPLE +p1138 +tp1139 +a(g830 +g958 +tp1140 +a(g405 +V= +p1141 +tp1142 +a(g830 +g958 +tp1143 +a(g13 +Vnew +p1144 +tp1145 +a(g830 +g958 +tp1146 +a(g428 +VHashMap +p1147 +tp1148 +a(g405 +V< +p1149 +tp1150 +a(g428 +VString +p1151 +tp1152 +a(g405 +g1101 +tp1153 +a(g830 +g958 +tp1154 +a(g428 +VPerson +p1155 +tp1156 +a(g405 +V> +p1157 +tp1158 +a(g405 +V( +p1159 +tp1160 +a(g405 +V) +p1161 +tp1162 +a(g830 +V\u000a +p1163 +tp1164 +a(g830 +V\u000a +p1165 +tp1166 +a(g830 +V +p1167 +tp1168 +a(g780 +V/* Constructs a new Person */ +p1169 +tp1170 +a(g830 +V\u000a +p1171 +tp1172 +a(g830 +V +p1173 +tp1174 +a(g939 +Vconstruct +p1175 +tp1176 +a(g405 +g1159 +tp1177 +a(g830 +g958 +tp1178 +a(g428 +Vname +p1179 +tp1180 +a(g830 +g958 +tp1181 +a(g405 +g1016 +tp1182 +a(g830 +g958 +tp1183 +a(g428 +VString +p1184 +tp1185 +a(g405 +g1101 +tp1186 +a(g830 +g958 +tp1187 +a(g428 +Vage +p1188 +tp1189 +a(g830 +g958 +tp1190 +a(g405 +g1016 +tp1191 +a(g830 +g958 +tp1192 +a(g428 +VInteger +p1193 +tp1194 +a(g405 +g1101 +tp1195 +a(g830 +g958 +tp1196 +a(g428 +Vrelationship +p1197 +tp1198 +a(g830 +g958 +tp1199 +a(g405 +g1016 +tp1200 +a(g830 +g958 +tp1201 +a(g428 +VRelationship +p1202 +tp1203 +a(g830 +g958 +tp1204 +a(g405 +g1161 +tp1205 +a(g830 +g958 +tp1206 +a(g405 +g1002 +tp1207 +a(g830 +V\u000a +p1208 +tp1209 +a(g830 +V +p1210 +tp1211 +a(g428 +V_name +p1212 +tp1213 +a(g830 +g958 +tp1214 +a(g405 +g1141 +tp1215 +a(g830 +g958 +tp1216 +a(g428 +Vname +p1217 +tp1218 +a(g830 +V\u000a +p1219 +tp1220 +a(g830 +V +p1221 +tp1222 +a(g428 +V_age +p1223 +tp1224 +a(g830 +g958 +tp1225 +a(g405 +g1141 +tp1226 +a(g830 +g958 +tp1227 +a(g428 +Vage +p1228 +tp1229 +a(g830 +V\u000a +p1230 +tp1231 +a(g830 +V +p1232 +tp1233 +a(g428 +V_relationship +p1234 +tp1235 +a(g830 +g958 +tp1236 +a(g405 +g1141 +tp1237 +a(g830 +g958 +tp1238 +a(g428 +Vrelationship +p1239 +tp1240 +a(g830 +V\u000a +p1241 +tp1242 +a(g830 +V +p1243 +tp1244 +a(g428 +V_emailHelper +p1245 +tp1246 +a(g830 +g958 +tp1247 +a(g405 +g1141 +tp1248 +a(g830 +g958 +tp1249 +a(g13 +Vnew +p1250 +tp1251 +a(g830 +g958 +tp1252 +a(g428 +VEmailHelper +p1253 +tp1254 +a(g405 +g1159 +tp1255 +a(g830 +g958 +tp1256 +a(g13 +Vthis +p1257 +tp1258 +a(g830 +g958 +tp1259 +a(g405 +g1161 +tp1260 +a(g830 +V\u000a +p1261 +tp1262 +a(g830 +V +p1263 +tp1264 +a(g405 +g1120 +tp1265 +a(g830 +V\u000a +p1266 +tp1267 +a(g830 +V\u000a +p1268 +tp1269 +a(g830 +V +p1270 +tp1271 +a(g939 +Vproperty get +p1272 +tp1273 +a(g830 +g958 +tp1274 +a(g566 +VName +p1275 +tp1276 +a(g405 +g1159 +tp1277 +a(g405 +g1161 +tp1278 +a(g405 +g1016 +tp1279 +a(g658 +VString +p1280 +tp1281 +a(g405 +g1002 +tp1282 +a(g830 +V\u000a +p1283 +tp1284 +a(g830 +V +p1285 +tp1286 +a(g13 +Vreturn +p1287 +tp1288 +a(g830 +g958 +tp1289 +a(g428 +V_name +p1290 +tp1291 +a(g830 +V\u000a +p1292 +tp1293 +a(g830 +V +p1294 +tp1295 +a(g405 +g1120 +tp1296 +a(g830 +V\u000a +p1297 +tp1298 +a(g830 +V\u000a +p1299 +tp1300 +a(g830 +V +p1301 +tp1302 +a(g939 +Vproperty set +p1303 +tp1304 +a(g830 +g958 +tp1305 +a(g566 +VName +p1306 +tp1307 +a(g405 +g1159 +tp1308 +a(g428 +Vname +p1309 +tp1310 +a(g830 +g958 +tp1311 +a(g405 +g1016 +tp1312 +a(g830 +g958 +tp1313 +a(g428 +VString +p1314 +tp1315 +a(g405 +g1161 +tp1316 +a(g405 +g1002 +tp1317 +a(g830 +V\u000a +p1318 +tp1319 +a(g830 +V +p1320 +tp1321 +a(g428 +V_name +p1322 +tp1323 +a(g830 +g958 +tp1324 +a(g405 +g1141 +tp1325 +a(g830 +g958 +tp1326 +a(g428 +Vname +p1327 +tp1328 +a(g830 +V\u000a +p1329 +tp1330 +a(g830 +V +p1331 +tp1332 +a(g405 +g1120 +tp1333 +a(g830 +V\u000a +p1334 +tp1335 +a(g830 +V\u000a +p1336 +tp1337 +a(g830 +V +p1338 +tp1339 +a(g780 +V/* Implement IEmailable#getEmailName() */ +p1340 +tp1341 +a(g830 +V\u000a +p1342 +tp1343 +a(g830 +V +p1344 +tp1345 +a(g939 +Voverride +p1346 +tp1347 +a(g830 +g958 +tp1348 +a(g939 +Vfunction +p1349 +tp1350 +a(g830 +g958 +tp1351 +a(g566 +VgetEmailName +p1352 +tp1353 +a(g405 +g1159 +tp1354 +a(g405 +g1161 +tp1355 +a(g405 +g1016 +tp1356 +a(g658 +VString +p1357 +tp1358 +a(g405 +g1002 +tp1359 +a(g830 +V\u000a +p1360 +tp1361 +a(g830 +V +p1362 +tp1363 +a(g13 +Vreturn +p1364 +tp1365 +a(g830 +g958 +tp1366 +a(g428 +VName +p1367 +tp1368 +a(g830 +V\u000a +p1369 +tp1370 +a(g830 +V +p1371 +tp1372 +a(g405 +g1120 +tp1373 +a(g830 +V\u000a +p1374 +tp1375 +a(g830 +V\u000a +p1376 +tp1377 +a(g830 +V +p1378 +tp1379 +a(g939 +Vfunction +p1380 +tp1381 +a(g830 +g958 +tp1382 +a(g566 +VincrementAge +p1383 +tp1384 +a(g405 +g1159 +tp1385 +a(g405 +g1161 +tp1386 +a(g830 +g958 +tp1387 +a(g405 +g1002 +tp1388 +a(g830 +V\u000a +p1389 +tp1390 +a(g830 +V +p1391 +tp1392 +a(g428 +V_age +p1393 +tp1394 +a(g405 +V+ +p1395 +tp1396 +a(g405 +g1395 +tp1397 +a(g830 +V\u000a +p1398 +tp1399 +a(g830 +V +p1400 +tp1401 +a(g405 +g1120 +tp1402 +a(g830 +V\u000a +p1403 +tp1404 +a(g830 +V\u000a +p1405 +tp1406 +a(g830 +V +p1407 +tp1408 +a(g488 +V@Deprecated +p1409 +tp1410 +a(g830 +V\u000a +p1411 +tp1412 +a(g830 +V +p1413 +tp1414 +a(g939 +Vfunction +p1415 +tp1416 +a(g830 +g958 +tp1417 +a(g566 +VprintPersonInfo +p1418 +tp1419 +a(g405 +g1159 +tp1420 +a(g405 +g1161 +tp1421 +a(g830 +g958 +tp1422 +a(g405 +g1002 +tp1423 +a(g830 +V\u000a +p1424 +tp1425 +a(g830 +V +p1426 +tp1427 +a(g428 +Vprint +p1428 +tp1429 +a(g405 +g1159 +tp1430 +a(g830 +g958 +tp1431 +a(g81 +V" +p1432 +tp1433 +a(g81 +VP +p1434 +tp1435 +a(g81 +Ve +p1436 +tp1437 +a(g81 +Vr +p1438 +tp1439 +a(g81 +Vs +p1440 +tp1441 +a(g81 +Vo +p1442 +tp1443 +a(g81 +Vn +p1444 +tp1445 +a(g81 +g958 +tp1446 +a(g81 +g1002 +tp1447 +a(g81 +g958 +tp1448 +a(g81 +VN +p1449 +tp1450 +a(g81 +Va +p1451 +tp1452 +a(g81 +Vm +p1453 +tp1454 +a(g81 +g1436 +tp1455 +a(g81 +g958 +tp1456 +a(g81 +g1016 +tp1457 +a(g81 +g958 +tp1458 +a(g405 +V${ +p1459 +tp1460 +a(g428 +VName +p1461 +tp1462 +a(g405 +g1120 +tp1463 +a(g81 +g1101 +tp1464 +a(g81 +g958 +tp1465 +a(g81 +VA +p1466 +tp1467 +a(g81 +Vg +p1468 +tp1469 +a(g81 +g1436 +tp1470 +a(g81 +g958 +tp1471 +a(g81 +g1016 +tp1472 +a(g81 +g958 +tp1473 +a(g405 +V${ +p1474 +tp1475 +a(g428 +VAge +p1476 +tp1477 +a(g405 +g1120 +tp1478 +a(g81 +g1101 +tp1479 +a(g81 +g958 +tp1480 +a(g81 +VR +p1481 +tp1482 +a(g81 +g1436 +tp1483 +a(g81 +Vl +p1484 +tp1485 +a(g81 +g1451 +tp1486 +a(g81 +Vt +p1487 +tp1488 +a(g81 +Vi +p1489 +tp1490 +a(g81 +g1442 +tp1491 +a(g81 +g1444 +tp1492 +a(g81 +g1440 +tp1493 +a(g81 +Vh +p1494 +tp1495 +a(g81 +g1489 +tp1496 +a(g81 +Vp +p1497 +tp1498 +a(g81 +g958 +tp1499 +a(g81 +g1016 +tp1500 +a(g81 +g958 +tp1501 +a(g405 +V${ +p1502 +tp1503 +a(g428 +VRelationshipOfPerson +p1504 +tp1505 +a(g405 +g1120 +tp1506 +a(g81 +g958 +tp1507 +a(g81 +g1120 +tp1508 +a(g81 +g1432 +tp1509 +a(g830 +g958 +tp1510 +a(g405 +g1161 +tp1511 +a(g830 +V\u000a +p1512 +tp1513 +a(g830 +V +p1514 +tp1515 +a(g405 +g1120 +tp1516 +a(g830 +V\u000a +p1517 +tp1518 +a(g830 +V\u000a +p1519 +tp1520 +a(g830 +V +p1521 +tp1522 +a(g939 +Vstatic +p1523 +tp1524 +a(g830 +g958 +tp1525 +a(g939 +Vfunction +p1526 +tp1527 +a(g830 +g958 +tp1528 +a(g566 +VaddPerson +p1529 +tp1530 +a(g405 +g1159 +tp1531 +a(g428 +g1497 +tp1532 +a(g830 +g958 +tp1533 +a(g405 +g1016 +tp1534 +a(g830 +g958 +tp1535 +a(g428 +VPerson +p1536 +tp1537 +a(g405 +g1161 +tp1538 +a(g405 +g1002 +tp1539 +a(g830 +V\u000a +p1540 +tp1541 +a(g830 +V +p1542 +tp1543 +a(g13 +Vif +p1544 +tp1545 +a(g405 +g1159 +tp1546 +a(g428 +VALL_PEOPLE +p1547 +tp1548 +a(g405 +V. +p1549 +tp1550 +a(g658 +VcontainsKey +p1551 +tp1552 +a(g405 +g1159 +tp1553 +a(g428 +g1497 +tp1554 +a(g405 +V?. +p1555 +tp1556 +a(g658 +VName +p1557 +tp1558 +a(g405 +g1161 +tp1559 +a(g405 +g1161 +tp1560 +a(g830 +g958 +tp1561 +a(g405 +g1002 +tp1562 +a(g830 +V\u000a +p1563 +tp1564 +a(g830 +V +p1565 +tp1566 +a(g13 +Vthrow +p1567 +tp1568 +a(g830 +g958 +tp1569 +a(g13 +Vnew +p1570 +tp1571 +a(g830 +g958 +tp1572 +a(g566 +VIllegalArgumentException +p1573 +tp1574 +a(g405 +g1159 +tp1575 +a(g830 +g958 +tp1576 +a(g81 +g1432 +tp1577 +a(g81 +VT +p1578 +tp1579 +a(g81 +g1494 +tp1580 +a(g81 +g1436 +tp1581 +a(g81 +g1438 +tp1582 +a(g81 +g1436 +tp1583 +a(g81 +g958 +tp1584 +a(g81 +g1489 +tp1585 +a(g81 +g1440 +tp1586 +a(g81 +g958 +tp1587 +a(g81 +g1451 +tp1588 +a(g81 +g1484 +tp1589 +a(g81 +g1438 +tp1590 +a(g81 +g1436 +tp1591 +a(g81 +g1451 +tp1592 +a(g81 +Vd +p1593 +tp1594 +a(g81 +Vy +p1595 +tp1596 +a(g81 +g958 +tp1597 +a(g81 +g1440 +tp1598 +a(g81 +g1442 +tp1599 +a(g81 +g1453 +tp1600 +a(g81 +g1436 +tp1601 +a(g81 +g1442 +tp1602 +a(g81 +g1444 +tp1603 +a(g81 +g1436 +tp1604 +a(g81 +g958 +tp1605 +a(g81 +g1444 +tp1606 +a(g81 +g1451 +tp1607 +a(g81 +g1453 +tp1608 +a(g81 +g1436 +tp1609 +a(g81 +g1593 +tp1610 +a(g81 +g958 +tp1611 +a(g81 +V' +p1612 +tp1613 +a(g405 +V${ +p1614 +tp1615 +a(g428 +g1497 +tp1616 +a(g405 +g1549 +tp1617 +a(g658 +VName +p1618 +tp1619 +a(g405 +g1120 +tp1620 +a(g81 +g1612 +tp1621 +a(g81 +g1549 +tp1622 +a(g81 +g1432 +tp1623 +a(g830 +g958 +tp1624 +a(g405 +g1161 +tp1625 +a(g830 +V\u000a +p1626 +tp1627 +a(g830 +V +p1628 +tp1629 +a(g405 +g1120 +tp1630 +a(g830 +V\u000a +p1631 +tp1632 +a(g830 +V +p1633 +tp1634 +a(g428 +VALL_PEOPLE +p1635 +tp1636 +a(g405 +V[ +p1637 +tp1638 +a(g428 +g1497 +tp1639 +a(g405 +g1549 +tp1640 +a(g658 +VName +p1641 +tp1642 +a(g405 +V] +p1643 +tp1644 +a(g830 +g958 +tp1645 +a(g405 +g1141 +tp1646 +a(g830 +g958 +tp1647 +a(g428 +g1497 +tp1648 +a(g830 +V\u000a +p1649 +tp1650 +a(g830 +V +p1651 +tp1652 +a(g405 +g1120 +tp1653 +a(g830 +V\u000a +p1654 +tp1655 +a(g830 +V\u000a +p1656 +tp1657 +a(g830 +V +p1658 +tp1659 +a(g939 +Vstatic +p1660 +tp1661 +a(g830 +g958 +tp1662 +a(g939 +Vfunction +p1663 +tp1664 +a(g830 +g958 +tp1665 +a(g566 +VaddAllPeople +p1666 +tp1667 +a(g405 +g1159 +tp1668 +a(g830 +g958 +tp1669 +a(g428 +Vcontacts +p1670 +tp1671 +a(g830 +g958 +tp1672 +a(g405 +g1016 +tp1673 +a(g830 +g958 +tp1674 +a(g428 +VList +p1675 +tp1676 +a(g405 +g1149 +tp1677 +a(g428 +VContact +p1678 +tp1679 +a(g405 +g1157 +tp1680 +a(g830 +g958 +tp1681 +a(g405 +g1161 +tp1682 +a(g830 +g958 +tp1683 +a(g405 +g1002 +tp1684 +a(g830 +V\u000a +p1685 +tp1686 +a(g830 +V +p1687 +tp1688 +a(g13 +Vfor +p1689 +tp1690 +a(g405 +g1159 +tp1691 +a(g830 +g958 +tp1692 +a(g428 +Vcontact +p1693 +tp1694 +a(g830 +g958 +tp1695 +a(g13 +Vin +p1696 +tp1697 +a(g830 +g958 +tp1698 +a(g428 +Vcontacts +p1699 +tp1700 +a(g830 +g958 +tp1701 +a(g405 +g1161 +tp1702 +a(g830 +g958 +tp1703 +a(g405 +g1002 +tp1704 +a(g830 +V\u000a +p1705 +tp1706 +a(g830 +V +p1707 +tp1708 +a(g13 +Vif +p1709 +tp1710 +a(g405 +g1159 +tp1711 +a(g830 +g958 +tp1712 +a(g428 +Vcontact +p1713 +tp1714 +a(g830 +g958 +tp1715 +a(g13 +Vtypeis +p1716 +tp1717 +a(g830 +g958 +tp1718 +a(g428 +VPerson +p1719 +tp1720 +a(g830 +g958 +tp1721 +a(g428 +Vand +p1722 +tp1723 +a(g830 +g958 +tp1724 +a(g428 +Vnot +p1725 +tp1726 +a(g830 +g958 +tp1727 +a(g428 +VALL_PEOPLE +p1728 +tp1729 +a(g405 +g1549 +tp1730 +a(g658 +VcontainsKey +p1731 +tp1732 +a(g405 +g1159 +tp1733 +a(g830 +g958 +tp1734 +a(g428 +Vcontact +p1735 +tp1736 +a(g405 +g1549 +tp1737 +a(g658 +VName +p1738 +tp1739 +a(g830 +g958 +tp1740 +a(g405 +g1161 +tp1741 +a(g405 +g1161 +tp1742 +a(g830 +g958 +tp1743 +a(g405 +g1002 +tp1744 +a(g830 +V\u000a +p1745 +tp1746 +a(g830 +V +p1747 +tp1748 +a(g428 +VaddPerson +p1749 +tp1750 +a(g405 +g1159 +tp1751 +a(g830 +g958 +tp1752 +a(g428 +Vcontact +p1753 +tp1754 +a(g830 +g958 +tp1755 +a(g405 +g1161 +tp1756 +a(g830 +V\u000a +p1757 +tp1758 +a(g830 +V +p1759 +tp1760 +a(g405 +g1120 +tp1761 +a(g830 +V\u000a +p1762 +tp1763 +a(g830 +V +p1764 +tp1765 +a(g405 +g1120 +tp1766 +a(g830 +V\u000a +p1767 +tp1768 +a(g830 +V +p1769 +tp1770 +a(g405 +g1120 +tp1771 +a(g830 +V\u000a +p1772 +tp1773 +a(g830 +V\u000a +p1774 +tp1775 +a(g830 +V +p1776 +tp1777 +a(g939 +Vstatic +p1778 +tp1779 +a(g830 +g958 +tp1780 +a(g939 +Vfunction +p1781 +tp1782 +a(g830 +g958 +tp1783 +a(g566 +VgetAllPeopleOlderThanNOrderedByName +p1784 +tp1785 +a(g405 +g1159 +tp1786 +a(g830 +g958 +tp1787 +a(g428 +Vage +p1788 +tp1789 +a(g830 +g958 +tp1790 +a(g405 +g1016 +tp1791 +a(g830 +g958 +tp1792 +a(g885 +Vint +p1793 +tp1794 +a(g830 +g958 +tp1795 +a(g405 +g1161 +tp1796 +a(g830 +g958 +tp1797 +a(g405 +g1002 +tp1798 +a(g830 +V\u000a +p1799 +tp1800 +a(g830 +V +p1801 +tp1802 +a(g939 +Vvar +p1803 +tp1804 +a(g830 +g958 +tp1805 +a(g428 +VallPeople +p1806 +tp1807 +a(g830 +g958 +tp1808 +a(g405 +g1141 +tp1809 +a(g830 +g958 +tp1810 +a(g428 +VALL_PEOPLE +p1811 +tp1812 +a(g405 +g1549 +tp1813 +a(g658 +VValues +p1814 +tp1815 +a(g830 +V\u000a +p1816 +tp1817 +a(g830 +V\u000a +p1818 +tp1819 +a(g830 +V +p1820 +tp1821 +a(g13 +Vreturn +p1822 +tp1823 +a(g830 +g958 +tp1824 +a(g428 +VallPeople +p1825 +tp1826 +a(g405 +g1549 +tp1827 +a(g658 +Vwhere +p1828 +tp1829 +a(g405 +g1159 +tp1830 +a(g830 +g958 +tp1831 +a(g405 +V\u005c +p1832 +tp1833 +a(g830 +g958 +tp1834 +a(g428 +g1497 +tp1835 +a(g830 +g958 +tp1836 +a(g405 +V- +p1837 +tp1838 +a(g405 +g1157 +tp1839 +a(g830 +g958 +tp1840 +a(g428 +g1497 +tp1841 +a(g405 +g1549 +tp1842 +a(g658 +VAge +p1843 +tp1844 +a(g830 +g958 +tp1845 +a(g405 +g1157 +tp1846 +a(g830 +g958 +tp1847 +a(g428 +Vage +p1848 +tp1849 +a(g830 +g958 +tp1850 +a(g405 +g1161 +tp1851 +a(g405 +g1549 +tp1852 +a(g658 +VorderBy +p1853 +tp1854 +a(g405 +g1159 +tp1855 +a(g830 +g958 +tp1856 +a(g405 +V\u005c +p1857 +tp1858 +a(g830 +g958 +tp1859 +a(g428 +g1497 +tp1860 +a(g830 +g958 +tp1861 +a(g405 +g1837 +tp1862 +a(g405 +g1157 +tp1863 +a(g830 +g958 +tp1864 +a(g428 +g1497 +tp1865 +a(g405 +g1549 +tp1866 +a(g658 +VName +p1867 +tp1868 +a(g830 +g958 +tp1869 +a(g405 +g1161 +tp1870 +a(g830 +V\u000a +p1871 +tp1872 +a(g830 +V +p1873 +tp1874 +a(g405 +g1120 +tp1875 +a(g830 +V\u000a +p1876 +tp1877 +a(g830 +V\u000a +p1878 +tp1879 +a(g830 +V +p1880 +tp1881 +a(g939 +Vstatic +p1882 +tp1883 +a(g830 +g958 +tp1884 +a(g939 +Vfunction +p1885 +tp1886 +a(g830 +g958 +tp1887 +a(g566 +VloadPersonFromDB +p1888 +tp1889 +a(g405 +g1159 +tp1890 +a(g830 +g958 +tp1891 +a(g428 +Vid +p1892 +tp1893 +a(g830 +g958 +tp1894 +a(g405 +g1016 +tp1895 +a(g830 +g958 +tp1896 +a(g428 +VInteger +p1897 +tp1898 +a(g830 +g958 +tp1899 +a(g405 +g1161 +tp1900 +a(g830 +g958 +tp1901 +a(g405 +g1002 +tp1902 +a(g830 +V\u000a +p1903 +tp1904 +a(g830 +V +p1905 +tp1906 +a(g13 +Vusing +p1907 +tp1908 +a(g405 +g1159 +tp1909 +a(g830 +g958 +tp1910 +a(g939 +Vvar +p1911 +tp1912 +a(g830 +g958 +tp1913 +a(g428 +Vconn +p1914 +tp1915 +a(g830 +g958 +tp1916 +a(g405 +g1141 +tp1917 +a(g830 +g958 +tp1918 +a(g428 +VDBConnectionManager +p1919 +tp1920 +a(g405 +g1549 +tp1921 +a(g658 +VgetConnection +p1922 +tp1923 +a(g405 +g1159 +tp1924 +a(g405 +g1161 +tp1925 +a(g405 +g1101 +tp1926 +a(g830 +V\u000a +p1927 +tp1928 +a(g830 +V +p1929 +tp1930 +a(g939 +Vvar +p1931 +tp1932 +a(g830 +g958 +tp1933 +a(g428 +Vstmt +p1934 +tp1935 +a(g830 +g958 +tp1936 +a(g405 +g1141 +tp1937 +a(g830 +g958 +tp1938 +a(g428 +Vconn +p1939 +tp1940 +a(g405 +g1549 +tp1941 +a(g658 +VprepareStatement +p1942 +tp1943 +a(g405 +g1159 +tp1944 +a(g830 +g958 +tp1945 +a(g81 +g1432 +tp1946 +a(g81 +VS +p1947 +tp1948 +a(g81 +VE +p1949 +tp1950 +a(g81 +VL +p1951 +tp1952 +a(g81 +g1949 +tp1953 +a(g81 +VC +p1954 +tp1955 +a(g81 +g1578 +tp1956 +a(g81 +g958 +tp1957 +a(g81 +g1444 +tp1958 +a(g81 +g1451 +tp1959 +a(g81 +g1453 +tp1960 +a(g81 +g1436 +tp1961 +a(g81 +g1101 +tp1962 +a(g81 +g958 +tp1963 +a(g81 +g1451 +tp1964 +a(g81 +g1468 +tp1965 +a(g81 +g1436 +tp1966 +a(g81 +g1101 +tp1967 +a(g81 +g958 +tp1968 +a(g81 +g1438 +tp1969 +a(g81 +g1436 +tp1970 +a(g81 +g1484 +tp1971 +a(g81 +g1451 +tp1972 +a(g81 +g1487 +tp1973 +a(g81 +g1489 +tp1974 +a(g81 +g1442 +tp1975 +a(g81 +g1444 +tp1976 +a(g81 +g1440 +tp1977 +a(g81 +g1494 +tp1978 +a(g81 +g1489 +tp1979 +a(g81 +g1497 +tp1980 +a(g81 +g958 +tp1981 +a(g81 +VF +p1982 +tp1983 +a(g81 +g1481 +tp1984 +a(g81 +VO +p1985 +tp1986 +a(g81 +VM +p1987 +tp1988 +a(g81 +g958 +tp1989 +a(g81 +g1434 +tp1990 +a(g81 +g1949 +tp1991 +a(g81 +g1985 +tp1992 +a(g81 +g1434 +tp1993 +a(g81 +g1951 +tp1994 +a(g81 +g1949 +tp1995 +a(g81 +g958 +tp1996 +a(g81 +VW +p1997 +tp1998 +a(g81 +VH +p1999 +tp2000 +a(g81 +g1949 +tp2001 +a(g81 +g1481 +tp2002 +a(g81 +g1949 +tp2003 +a(g81 +g958 +tp2004 +a(g81 +VI +p2005 +tp2006 +a(g81 +VD +p2007 +tp2008 +a(g81 +g1141 +tp2009 +a(g81 +V? +p2010 +tp2011 +a(g81 +g1432 +tp2012 +a(g405 +g1161 +tp2013 +a(g830 +g958 +tp2014 +a(g405 +g1161 +tp2015 +a(g405 +g1002 +tp2016 +a(g830 +V\u000a +p2017 +tp2018 +a(g830 +V\u000a +p2019 +tp2020 +a(g830 +V +p2021 +tp2022 +a(g428 +Vstmt +p2023 +tp2024 +a(g405 +g1549 +tp2025 +a(g658 +VsetInt +p2026 +tp2027 +a(g405 +g1159 +tp2028 +a(g830 +g958 +tp2029 +a(g27 +V0 +p2030 +tp2031 +a(g405 +g1101 +tp2032 +a(g830 +g958 +tp2033 +a(g27 +g2030 +tp2034 +a(g830 +g958 +tp2035 +a(g405 +g1161 +tp2036 +a(g830 +V\u000a +p2037 +tp2038 +a(g830 +V +p2039 +tp2040 +a(g939 +Vvar +p2041 +tp2042 +a(g830 +g958 +tp2043 +a(g428 +Vresult +p2044 +tp2045 +a(g830 +g958 +tp2046 +a(g405 +g1141 +tp2047 +a(g830 +g958 +tp2048 +a(g428 +Vstmt +p2049 +tp2050 +a(g405 +g1549 +tp2051 +a(g658 +VexecuteQuery +p2052 +tp2053 +a(g405 +g1159 +tp2054 +a(g405 +g1161 +tp2055 +a(g830 +V\u000a +p2056 +tp2057 +a(g830 +V +p2058 +tp2059 +a(g13 +Vif +p2060 +tp2061 +a(g405 +g1159 +tp2062 +a(g830 +g958 +tp2063 +a(g428 +Vresult +p2064 +tp2065 +a(g405 +g1549 +tp2066 +a(g658 +Vnext +p2067 +tp2068 +a(g405 +g1159 +tp2069 +a(g405 +g1161 +tp2070 +a(g830 +g958 +tp2071 +a(g405 +g1161 +tp2072 +a(g830 +g958 +tp2073 +a(g405 +g1002 +tp2074 +a(g830 +V\u000a +p2075 +tp2076 +a(g830 +V +p2077 +tp2078 +a(g428 +VaddPerson +p2079 +tp2080 +a(g405 +g1159 +tp2081 +a(g830 +g958 +tp2082 +a(g13 +Vnew +p2083 +tp2084 +a(g830 +g958 +tp2085 +a(g428 +VPerson +p2086 +tp2087 +a(g405 +g1159 +tp2088 +a(g830 +g958 +tp2089 +a(g428 +Vresult +p2090 +tp2091 +a(g405 +g1549 +tp2092 +a(g658 +VgetString +p2093 +tp2094 +a(g405 +g1159 +tp2095 +a(g830 +g958 +tp2096 +a(g81 +g1432 +tp2097 +a(g81 +g1444 +tp2098 +a(g81 +g1451 +tp2099 +a(g81 +g1453 +tp2100 +a(g81 +g1436 +tp2101 +a(g81 +g1432 +tp2102 +a(g830 +g958 +tp2103 +a(g405 +g1161 +tp2104 +a(g405 +g1101 +tp2105 +a(g830 +V\u000a +p2106 +tp2107 +a(g830 +V +p2108 +tp2109 +a(g428 +Vresult +p2110 +tp2111 +a(g405 +g1549 +tp2112 +a(g658 +VgetInt +p2113 +tp2114 +a(g405 +g1159 +tp2115 +a(g830 +g958 +tp2116 +a(g81 +g1432 +tp2117 +a(g81 +g1451 +tp2118 +a(g81 +g1468 +tp2119 +a(g81 +g1436 +tp2120 +a(g81 +g1432 +tp2121 +a(g830 +g958 +tp2122 +a(g405 +g1161 +tp2123 +a(g405 +g1101 +tp2124 +a(g830 +V\u000a +p2125 +tp2126 +a(g830 +V +p2127 +tp2128 +a(g428 +VRelationship +p2129 +tp2130 +a(g405 +g1549 +tp2131 +a(g658 +VvalueOf +p2132 +tp2133 +a(g405 +g1159 +tp2134 +a(g830 +g958 +tp2135 +a(g428 +Vresult +p2136 +tp2137 +a(g405 +g1549 +tp2138 +a(g658 +VgetString +p2139 +tp2140 +a(g405 +g1159 +tp2141 +a(g830 +g958 +tp2142 +a(g81 +g1432 +tp2143 +a(g81 +g1438 +tp2144 +a(g81 +g1436 +tp2145 +a(g81 +g1484 +tp2146 +a(g81 +g1451 +tp2147 +a(g81 +g1487 +tp2148 +a(g81 +g1489 +tp2149 +a(g81 +g1442 +tp2150 +a(g81 +g1444 +tp2151 +a(g81 +g1440 +tp2152 +a(g81 +g1494 +tp2153 +a(g81 +g1489 +tp2154 +a(g81 +g1497 +tp2155 +a(g81 +g1432 +tp2156 +a(g830 +g958 +tp2157 +a(g405 +g1161 +tp2158 +a(g830 +g958 +tp2159 +a(g405 +g1161 +tp2160 +a(g830 +g958 +tp2161 +a(g405 +g1161 +tp2162 +a(g830 +g958 +tp2163 +a(g405 +g1161 +tp2164 +a(g830 +V\u000a +p2165 +tp2166 +a(g830 +V\u000a +p2167 +tp2168 +a(g830 +V +p2169 +tp2170 +a(g405 +g1120 +tp2171 +a(g830 +V\u000a +p2172 +tp2173 +a(g830 +V +p2174 +tp2175 +a(g405 +g1120 +tp2176 +a(g830 +V\u000a +p2177 +tp2178 +a(g830 +V +p2179 +tp2180 +a(g405 +g1120 +tp2181 +a(g830 +V\u000a +p2182 +tp2183 +a(g830 +V\u000a +p2184 +tp2185 +a(g830 +V +p2186 +tp2187 +a(g780 +V/* Loads in people from a CSV */ +p2188 +tp2189 +a(g830 +V\u000a +p2190 +tp2191 +a(g830 +V +p2192 +tp2193 +a(g939 +Vstatic +p2194 +tp2195 +a(g830 +g958 +tp2196 +a(g939 +Vfunction +p2197 +tp2198 +a(g830 +g958 +tp2199 +a(g566 +VloadFromFile +p2200 +tp2201 +a(g405 +g1159 +tp2202 +a(g830 +g958 +tp2203 +a(g428 +Vfile +p2204 +tp2205 +a(g830 +g958 +tp2206 +a(g405 +g1016 +tp2207 +a(g830 +g958 +tp2208 +a(g428 +VFile +p2209 +tp2210 +a(g830 +g958 +tp2211 +a(g405 +g1161 +tp2212 +a(g830 +g958 +tp2213 +a(g405 +g1002 +tp2214 +a(g830 +V\u000a +p2215 +tp2216 +a(g830 +V +p2217 +tp2218 +a(g428 +Vfile +p2219 +tp2220 +a(g405 +g1549 +tp2221 +a(g658 +VeachLine +p2222 +tp2223 +a(g405 +g1159 +tp2224 +a(g830 +g958 +tp2225 +a(g405 +V\u005c +p2226 +tp2227 +a(g830 +g958 +tp2228 +a(g428 +Vline +p2229 +tp2230 +a(g830 +g958 +tp2231 +a(g405 +g1837 +tp2232 +a(g405 +g1157 +tp2233 +a(g830 +g958 +tp2234 +a(g405 +g1002 +tp2235 +a(g830 +V\u000a +p2236 +tp2237 +a(g830 +V +p2238 +tp2239 +a(g13 +Vif +p2240 +tp2241 +a(g405 +g1159 +tp2242 +a(g830 +g958 +tp2243 +a(g428 +Vline +p2244 +tp2245 +a(g405 +g1549 +tp2246 +a(g658 +VHasContent +p2247 +tp2248 +a(g830 +g958 +tp2249 +a(g405 +g1161 +tp2250 +a(g830 +g958 +tp2251 +a(g405 +g1002 +tp2252 +a(g830 +V\u000a +p2253 +tp2254 +a(g830 +V +p2255 +tp2256 +a(g428 +VaddPerson +p2257 +tp2258 +a(g405 +g1159 +tp2259 +a(g830 +g958 +tp2260 +a(g428 +Vline +p2261 +tp2262 +a(g405 +g1549 +tp2263 +a(g658 +VtoPerson +p2264 +tp2265 +a(g405 +g1159 +tp2266 +a(g405 +g1161 +tp2267 +a(g830 +g958 +tp2268 +a(g405 +g1161 +tp2269 +a(g830 +V\u000a +p2270 +tp2271 +a(g830 +V +p2272 +tp2273 +a(g405 +g1120 +tp2274 +a(g830 +V\u000a +p2275 +tp2276 +a(g830 +V +p2277 +tp2278 +a(g405 +g1120 +tp2279 +a(g405 +g1161 +tp2280 +a(g830 +V\u000a +p2281 +tp2282 +a(g830 +V +p2283 +tp2284 +a(g405 +g1120 +tp2285 +a(g830 +V\u000a +p2286 +tp2287 +a(g830 +V\u000a +p2288 +tp2289 +a(g830 +V +p2290 +tp2291 +a(g780 +V/* Save people to a CSV */ +p2292 +tp2293 +a(g830 +V\u000a +p2294 +tp2295 +a(g830 +V +p2296 +tp2297 +a(g939 +Vstatic +p2298 +tp2299 +a(g830 +g958 +tp2300 +a(g939 +Vfunction +p2301 +tp2302 +a(g830 +g958 +tp2303 +a(g566 +VsaveToFile +p2304 +tp2305 +a(g405 +g1159 +tp2306 +a(g830 +g958 +tp2307 +a(g428 +Vfile +p2308 +tp2309 +a(g830 +g958 +tp2310 +a(g405 +g1016 +tp2311 +a(g830 +g958 +tp2312 +a(g428 +VFile +p2313 +tp2314 +a(g830 +g958 +tp2315 +a(g405 +g1161 +tp2316 +a(g830 +g958 +tp2317 +a(g405 +g1002 +tp2318 +a(g830 +V\u000a +p2319 +tp2320 +a(g830 +V +p2321 +tp2322 +a(g13 +Vusing +p2323 +tp2324 +a(g405 +g1159 +tp2325 +a(g830 +g958 +tp2326 +a(g939 +Vvar +p2327 +tp2328 +a(g830 +g958 +tp2329 +a(g428 +Vwriter +p2330 +tp2331 +a(g830 +g958 +tp2332 +a(g405 +g1141 +tp2333 +a(g830 +g958 +tp2334 +a(g13 +Vnew +p2335 +tp2336 +a(g830 +g958 +tp2337 +a(g428 +VFileWriter +p2338 +tp2339 +a(g405 +g1159 +tp2340 +a(g830 +g958 +tp2341 +a(g428 +Vfile +p2342 +tp2343 +a(g830 +g958 +tp2344 +a(g405 +g1161 +tp2345 +a(g830 +g958 +tp2346 +a(g405 +g1161 +tp2347 +a(g830 +g958 +tp2348 +a(g405 +g1002 +tp2349 +a(g830 +V\u000a +p2350 +tp2351 +a(g830 +V +p2352 +tp2353 +a(g428 +Vprint +p2354 +tp2355 +a(g405 +g1159 +tp2356 +a(g830 +g958 +tp2357 +a(g428 +VPersonCSVTemplate +p2358 +tp2359 +a(g405 +g1549 +tp2360 +a(g658 +VrenderToString +p2361 +tp2362 +a(g405 +g1159 +tp2363 +a(g830 +g958 +tp2364 +a(g428 +VALL_PEOPLE +p2365 +tp2366 +a(g405 +g1549 +tp2367 +a(g658 +VValues +p2368 +tp2369 +a(g830 +g958 +tp2370 +a(g405 +g1161 +tp2371 +a(g830 +g958 +tp2372 +a(g405 +g1161 +tp2373 +a(g830 +V\u000a +p2374 +tp2375 +a(g830 +V +p2376 +tp2377 +a(g428 +VPersonCSVTemplate +p2378 +tp2379 +a(g405 +g1549 +tp2380 +a(g658 +Vrender +p2381 +tp2382 +a(g405 +g1159 +tp2383 +a(g830 +g958 +tp2384 +a(g428 +Vwriter +p2385 +tp2386 +a(g405 +g1101 +tp2387 +a(g830 +g958 +tp2388 +a(g428 +VALL_PEOPLE +p2389 +tp2390 +a(g405 +g1549 +tp2391 +a(g658 +VValues +p2392 +tp2393 +a(g830 +g958 +tp2394 +a(g405 +g1161 +tp2395 +a(g830 +V\u000a +p2396 +tp2397 +a(g830 +V +p2398 +tp2399 +a(g405 +g1120 +tp2400 +a(g830 +V\u000a +p2401 +tp2402 +a(g830 +V +p2403 +tp2404 +a(g405 +g1120 +tp2405 +a(g830 +V\u000a +p2406 +tp2407 +a(g405 +g1120 +tp2408 +a(g830 +V\u000a +p2409 +tp2410 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.gst b/tests/examplefiles/output/example.gst new file mode 100644 index 0000000..b23d60b --- /dev/null +++ b/tests/examplefiles/output/example.gst @@ -0,0 +1,2579 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV<%!-- defined in example/PersonCSVTemplate.gst --%> +p956 +tp957 +a(g89 +V\u000a +p958 +tp959 +a(g89 +V\u000a +p960 +tp961 +a(g413 +V<%@ +p962 +tp963 +a(g496 +Vparams +p964 +tp965 +a(g413 +V( +p966 +tp967 +a(g827 +V +p968 +tp969 +a(g436 +Vusers +p970 +tp971 +a(g827 +g968 +tp972 +a(g413 +V: +p973 +tp974 +a(g827 +g968 +tp975 +a(g436 +VCollection +p976 +tp977 +a(g827 +g968 +tp978 +a(g413 +V< +p979 +tp980 +a(g436 +VUser +p981 +tp982 +a(g413 +V> +p983 +tp984 +a(g827 +g968 +tp985 +a(g413 +V) +p986 +tp987 +a(g827 +g968 +tp988 +a(g413 +V%> +p989 +tp990 +a(g89 +V\u000a +p991 +tp992 +a(g89 +V\u000a +p993 +tp994 +a(g413 +V<% +p995 +tp996 +a(g827 +V +p997 +tp998 +a(g745 +Vfor +p999 +tp1000 +a(g413 +g966 +tp1001 +a(g827 +g968 +tp1002 +a(g436 +Vuser +p1003 +tp1004 +a(g827 +g968 +tp1005 +a(g745 +Vin +p1006 +tp1007 +a(g827 +g968 +tp1008 +a(g436 +Vusers +p1009 +tp1010 +a(g827 +g968 +tp1011 +a(g413 +g986 +tp1012 +a(g827 +g968 +tp1013 +a(g413 +V{ +p1014 +tp1015 +a(g827 +g968 +tp1016 +a(g413 +V%> +p1017 +tp1018 +a(g89 +V\u000a +p1019 +tp1020 +a(g89 +V\u000a +p1021 +tp1022 +a(g413 +V${ +p1023 +tp1024 +a(g436 +Vuser +p1025 +tp1026 +a(g413 +V. +p1027 +tp1028 +a(g667 +VLastName +p1029 +tp1030 +a(g413 +V} +p1031 +tp1032 +a(g89 +V, +p1033 +tp1034 +a(g89 +g968 +tp1035 +a(g413 +V${ +p1036 +tp1037 +a(g436 +Vuser +p1038 +tp1039 +a(g413 +g1027 +tp1040 +a(g667 +VFirstName +p1041 +tp1042 +a(g413 +g1031 +tp1043 +a(g89 +g1033 +tp1044 +a(g89 +g968 +tp1045 +a(g413 +V${ +p1046 +tp1047 +a(g436 +Vuser +p1048 +tp1049 +a(g413 +g1027 +tp1050 +a(g667 +VDepartment +p1051 +tp1052 +a(g413 +g1031 +tp1053 +a(g89 +g968 +tp1054 +a(g89 +g968 +tp1055 +a(g413 +V<% +p1056 +tp1057 +a(g827 +V +p1058 +tp1059 +a(g413 +g1031 +tp1060 +a(g827 +g968 +tp1061 +a(g413 +V%> +p1062 +tp1063 +a(g89 +V\u000a +p1064 +tp1065 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.hlsl b/tests/examplefiles/output/example.hlsl new file mode 100644 index 0000000..5d5bb6a --- /dev/null +++ b/tests/examplefiles/output/example.hlsl @@ -0,0 +1,6509 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// A few random snippets of HLSL shader code I gathered... +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g705 +V[ +p960 +tp961 +a(g495 +Vnumthreads +p962 +tp963 +a(g705 +V( +p964 +tp965 +a(g37 +V256 +p966 +tp967 +a(g705 +V, +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g37 +V1 +p972 +tp973 +a(g705 +g968 +tp974 +a(g826 +g970 +tp975 +a(g37 +g972 +tp976 +a(g705 +V) +p977 +tp978 +a(g705 +V] +p979 +tp980 +a(g826 +V\u000a +p981 +tp982 +a(g748 +Vvoid +p983 +tp984 +a(g826 +g970 +tp985 +a(g435 +Vcs_main +p986 +tp987 +a(g705 +g964 +tp988 +a(g748 +Vuint3 +p989 +tp990 +a(g826 +g970 +tp991 +a(g435 +VthreadId +p992 +tp993 +a(g826 +g970 +tp994 +a(g412 +V: +p995 +tp996 +a(g826 +g970 +tp997 +a(g495 +VSV_DispatchThreadID +p998 +tp999 +a(g705 +g977 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g705 +V{ +p1003 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g8 +V// Seed the PRNG using the thread ID +p1007 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g435 +Vrng_state +p1011 +tp1012 +a(g826 +g970 +tp1013 +a(g412 +V= +p1014 +tp1015 +a(g826 +g970 +tp1016 +a(g435 +VthreadId +p1017 +tp1018 +a(g705 +V. +p1019 +tp1020 +a(g435 +Vx +p1021 +tp1022 +a(g705 +V; +p1023 +tp1024 +a(g826 +V\u000a\u000a +p1025 +tp1026 +a(g8 +V// Generate a few numbers... +p1027 +tp1028 +a(g826 +V\u000a +p1029 +tp1030 +a(g748 +Vuint +p1031 +tp1032 +a(g826 +g970 +tp1033 +a(g435 +Vr0 +p1034 +tp1035 +a(g826 +g970 +tp1036 +a(g412 +g1014 +tp1037 +a(g826 +g970 +tp1038 +a(g435 +Vrand_xorshift +p1039 +tp1040 +a(g705 +g964 +tp1041 +a(g705 +g977 +tp1042 +a(g705 +g1023 +tp1043 +a(g826 +V\u000a +p1044 +tp1045 +a(g748 +Vuint +p1046 +tp1047 +a(g826 +g970 +tp1048 +a(g435 +Vr1 +p1049 +tp1050 +a(g826 +g970 +tp1051 +a(g412 +g1014 +tp1052 +a(g826 +g970 +tp1053 +a(g435 +Vrand_xorshift +p1054 +tp1055 +a(g705 +g964 +tp1056 +a(g705 +g977 +tp1057 +a(g705 +g1023 +tp1058 +a(g826 +V\u000a +p1059 +tp1060 +a(g8 +V// Do some stuff with them... +p1061 +tp1062 +a(g826 +V\u000a\u000a +p1063 +tp1064 +a(g8 +V// Generate a random float in [0, 1)... +p1065 +tp1066 +a(g826 +V\u000a +p1067 +tp1068 +a(g748 +Vfloat +p1069 +tp1070 +a(g826 +g970 +tp1071 +a(g435 +Vf0 +p1072 +tp1073 +a(g826 +g970 +tp1074 +a(g412 +g1014 +tp1075 +a(g826 +g970 +tp1076 +a(g748 +Vfloat +p1077 +tp1078 +a(g705 +g964 +tp1079 +a(g435 +Vrand_xorshift +p1080 +tp1081 +a(g705 +g964 +tp1082 +a(g705 +g977 +tp1083 +a(g705 +g977 +tp1084 +a(g826 +g970 +tp1085 +a(g412 +V* +p1086 +tp1087 +a(g826 +g970 +tp1088 +a(g705 +g964 +tp1089 +a(g314 +V1.0 +p1090 +tp1091 +a(g826 +g970 +tp1092 +a(g412 +V/ +p1093 +tp1094 +a(g826 +g970 +tp1095 +a(g314 +V4294967296.0 +p1096 +tp1097 +a(g705 +g977 +tp1098 +a(g705 +g1023 +tp1099 +a(g826 +V\u000a\u000a +p1100 +tp1101 +a(g8 +V// ...etc. +p1102 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g705 +V} +p1106 +tp1107 +a(g826 +V\u000a\u000a +p1108 +tp1109 +a(g8 +V// Constant buffer of parameters +p1110 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g744 +Vcbuffer +p1114 +tp1115 +a(g826 +g970 +tp1116 +a(g435 +VIntegratorParams +p1117 +tp1118 +a(g826 +g970 +tp1119 +a(g412 +g995 +tp1120 +a(g826 +g970 +tp1121 +a(g744 +Vregister +p1122 +tp1123 +a(g705 +g964 +tp1124 +a(g435 +Vb0 +p1125 +tp1126 +a(g705 +g977 +tp1127 +a(g826 +V\u000a +p1128 +tp1129 +a(g705 +g1003 +tp1130 +a(g826 +V\u000a +p1131 +tp1132 +a(g748 +Vfloat2 +p1133 +tp1134 +a(g826 +g970 +tp1135 +a(g435 +VspecPow +p1136 +tp1137 +a(g705 +g1023 +tp1138 +a(g826 +V +p1139 +tp1140 +a(g8 +V// Spec powers in XY directions (equal for isotropic BRDFs) +p1141 +tp1142 +a(g826 +V\u000a +p1143 +tp1144 +a(g748 +Vfloat3 +p1145 +tp1146 +a(g826 +g970 +tp1147 +a(g435 +VL +p1148 +tp1149 +a(g705 +g1023 +tp1150 +a(g826 +V +p1151 +tp1152 +a(g8 +V// Unit vector toward light +p1153 +tp1154 +a(g826 +V\u000a +p1155 +tp1156 +a(g748 +Vint2 +p1157 +tp1158 +a(g826 +g970 +tp1159 +a(g435 +VcThread +p1160 +tp1161 +a(g705 +g1023 +tp1162 +a(g826 +V +p1163 +tp1164 +a(g8 +V// Total threads launched in XY dimensions +p1165 +tp1166 +a(g826 +V\u000a +p1167 +tp1168 +a(g748 +Vint2 +p1169 +tp1170 +a(g826 +g970 +tp1171 +a(g435 +VxyOutput +p1172 +tp1173 +a(g705 +g1023 +tp1174 +a(g826 +V +p1175 +tp1176 +a(g8 +V// Where in the output buffer to store the result +p1177 +tp1178 +a(g826 +V\u000a +p1179 +tp1180 +a(g705 +g1106 +tp1181 +a(g826 +V\u000a\u000a +p1182 +tp1183 +a(g744 +Vstatic +p1184 +tp1185 +a(g826 +g970 +tp1186 +a(g744 +Vconst +p1187 +tp1188 +a(g826 +g970 +tp1189 +a(g748 +Vfloat +p1190 +tp1191 +a(g826 +g970 +tp1192 +a(g435 +Vpi +p1193 +tp1194 +a(g826 +g970 +tp1195 +a(g412 +g1014 +tp1196 +a(g826 +g970 +tp1197 +a(g314 +V3.141592654 +p1198 +tp1199 +a(g705 +g1023 +tp1200 +a(g826 +V\u000a\u000a +p1201 +tp1202 +a(g748 +Vfloat +p1203 +tp1204 +a(g826 +g970 +tp1205 +a(g435 +VAshikhminShirleyNDF +p1206 +tp1207 +a(g705 +g964 +tp1208 +a(g748 +Vfloat3 +p1209 +tp1210 +a(g826 +g970 +tp1211 +a(g435 +VH +p1212 +tp1213 +a(g705 +g977 +tp1214 +a(g826 +V\u000a +p1215 +tp1216 +a(g705 +g1003 +tp1217 +a(g826 +V\u000a +p1218 +tp1219 +a(g748 +Vfloat +p1220 +tp1221 +a(g826 +g970 +tp1222 +a(g435 +VnormFactor +p1223 +tp1224 +a(g826 +g970 +tp1225 +a(g412 +g1014 +tp1226 +a(g826 +g970 +tp1227 +a(g681 +Vsqrt +p1228 +tp1229 +a(g705 +g964 +tp1230 +a(g705 +g964 +tp1231 +a(g435 +VspecPow +p1232 +tp1233 +a(g705 +g1019 +tp1234 +a(g435 +g1021 +tp1235 +a(g826 +g970 +tp1236 +a(g412 +V+ +p1237 +tp1238 +a(g826 +g970 +tp1239 +a(g314 +V2.0f +p1240 +tp1241 +a(g705 +g977 +tp1242 +a(g826 +g970 +tp1243 +a(g412 +g1086 +tp1244 +a(g826 +g970 +tp1245 +a(g705 +g964 +tp1246 +a(g435 +VspecPow +p1247 +tp1248 +a(g705 +g1019 +tp1249 +a(g435 +Vy +p1250 +tp1251 +a(g826 +g970 +tp1252 +a(g412 +g1237 +tp1253 +a(g826 +g970 +tp1254 +a(g314 +V2.0 +p1255 +tp1256 +a(g705 +g977 +tp1257 +a(g705 +g977 +tp1258 +a(g826 +g970 +tp1259 +a(g412 +g1086 +tp1260 +a(g826 +g970 +tp1261 +a(g705 +g964 +tp1262 +a(g314 +V0.5f +p1263 +tp1264 +a(g826 +g970 +tp1265 +a(g412 +g1093 +tp1266 +a(g826 +g970 +tp1267 +a(g435 +Vpi +p1268 +tp1269 +a(g705 +g977 +tp1270 +a(g705 +g1023 +tp1271 +a(g826 +V\u000a +p1272 +tp1273 +a(g748 +Vfloat +p1274 +tp1275 +a(g826 +g970 +tp1276 +a(g435 +VNdotH +p1277 +tp1278 +a(g826 +g970 +tp1279 +a(g412 +g1014 +tp1280 +a(g826 +g970 +tp1281 +a(g435 +g1212 +tp1282 +a(g705 +g1019 +tp1283 +a(g435 +Vz +p1284 +tp1285 +a(g705 +g1023 +tp1286 +a(g826 +V\u000a +p1287 +tp1288 +a(g748 +Vfloat2 +p1289 +tp1290 +a(g826 +g970 +tp1291 +a(g435 +VHxy +p1292 +tp1293 +a(g826 +g970 +tp1294 +a(g412 +g1014 +tp1295 +a(g826 +g970 +tp1296 +a(g681 +Vnormalize +p1297 +tp1298 +a(g705 +g964 +tp1299 +a(g435 +g1212 +tp1300 +a(g705 +g1019 +tp1301 +a(g435 +Vxy +p1302 +tp1303 +a(g705 +g977 +tp1304 +a(g705 +g1023 +tp1305 +a(g826 +V\u000a +p1306 +tp1307 +a(g744 +Vreturn +p1308 +tp1309 +a(g826 +g970 +tp1310 +a(g435 +VnormFactor +p1311 +tp1312 +a(g826 +g970 +tp1313 +a(g412 +g1086 +tp1314 +a(g826 +g970 +tp1315 +a(g681 +Vpow +p1316 +tp1317 +a(g705 +g964 +tp1318 +a(g435 +VNdotH +p1319 +tp1320 +a(g705 +g968 +tp1321 +a(g826 +g970 +tp1322 +a(g681 +Vdot +p1323 +tp1324 +a(g705 +g964 +tp1325 +a(g435 +VspecPow +p1326 +tp1327 +a(g705 +g968 +tp1328 +a(g826 +g970 +tp1329 +a(g435 +VHxy +p1330 +tp1331 +a(g826 +g970 +tp1332 +a(g412 +g1086 +tp1333 +a(g826 +g970 +tp1334 +a(g435 +VHxy +p1335 +tp1336 +a(g705 +g977 +tp1337 +a(g705 +g977 +tp1338 +a(g705 +g1023 +tp1339 +a(g826 +V\u000a +p1340 +tp1341 +a(g705 +g1106 +tp1342 +a(g826 +V\u000a\u000a +p1343 +tp1344 +a(g748 +Vfloat +p1345 +tp1346 +a(g826 +g970 +tp1347 +a(g435 +VBeckmannNDF +p1348 +tp1349 +a(g705 +g964 +tp1350 +a(g748 +Vfloat3 +p1351 +tp1352 +a(g826 +g970 +tp1353 +a(g435 +g1212 +tp1354 +a(g705 +g977 +tp1355 +a(g826 +V\u000a +p1356 +tp1357 +a(g705 +g1003 +tp1358 +a(g826 +V\u000a +p1359 +tp1360 +a(g748 +Vfloat +p1361 +tp1362 +a(g826 +g970 +tp1363 +a(g435 +VglossFactor +p1364 +tp1365 +a(g826 +g970 +tp1366 +a(g412 +g1014 +tp1367 +a(g826 +g970 +tp1368 +a(g435 +VspecPow +p1369 +tp1370 +a(g705 +g1019 +tp1371 +a(g435 +g1021 +tp1372 +a(g826 +g970 +tp1373 +a(g412 +g1086 +tp1374 +a(g826 +g970 +tp1375 +a(g314 +V0.5f +p1376 +tp1377 +a(g826 +g970 +tp1378 +a(g412 +g1237 +tp1379 +a(g826 +g970 +tp1380 +a(g314 +V1.0f +p1381 +tp1382 +a(g705 +g1023 +tp1383 +a(g826 +V +p1384 +tp1385 +a(g8 +V// This is 1/m^2 in the usual Beckmann formula +p1386 +tp1387 +a(g826 +V\u000a +p1388 +tp1389 +a(g748 +Vfloat +p1390 +tp1391 +a(g826 +g970 +tp1392 +a(g435 +VnormFactor +p1393 +tp1394 +a(g826 +g970 +tp1395 +a(g412 +g1014 +tp1396 +a(g826 +g970 +tp1397 +a(g435 +VglossFactor +p1398 +tp1399 +a(g826 +g970 +tp1400 +a(g412 +g1086 +tp1401 +a(g826 +g970 +tp1402 +a(g705 +g964 +tp1403 +a(g314 +V1.0f +p1404 +tp1405 +a(g826 +g970 +tp1406 +a(g412 +g1093 +tp1407 +a(g826 +g970 +tp1408 +a(g435 +Vpi +p1409 +tp1410 +a(g705 +g977 +tp1411 +a(g705 +g1023 +tp1412 +a(g826 +V\u000a +p1413 +tp1414 +a(g748 +Vfloat +p1415 +tp1416 +a(g826 +g970 +tp1417 +a(g435 +VNdotHSq +p1418 +tp1419 +a(g826 +g970 +tp1420 +a(g412 +g1014 +tp1421 +a(g826 +g970 +tp1422 +a(g435 +g1212 +tp1423 +a(g705 +g1019 +tp1424 +a(g435 +g1284 +tp1425 +a(g826 +g970 +tp1426 +a(g412 +g1086 +tp1427 +a(g826 +g970 +tp1428 +a(g435 +g1212 +tp1429 +a(g705 +g1019 +tp1430 +a(g435 +g1284 +tp1431 +a(g705 +g1023 +tp1432 +a(g826 +V\u000a +p1433 +tp1434 +a(g744 +Vreturn +p1435 +tp1436 +a(g826 +g970 +tp1437 +a(g435 +VnormFactor +p1438 +tp1439 +a(g826 +g970 +tp1440 +a(g412 +g1093 +tp1441 +a(g826 +g970 +tp1442 +a(g705 +g964 +tp1443 +a(g435 +VNdotHSq +p1444 +tp1445 +a(g826 +g970 +tp1446 +a(g412 +g1086 +tp1447 +a(g826 +g970 +tp1448 +a(g435 +VNdotHSq +p1449 +tp1450 +a(g705 +g977 +tp1451 +a(g826 +g970 +tp1452 +a(g412 +g1086 +tp1453 +a(g826 +g970 +tp1454 +a(g681 +Vexp +p1455 +tp1456 +a(g705 +g964 +tp1457 +a(g435 +VglossFactor +p1458 +tp1459 +a(g826 +g970 +tp1460 +a(g412 +g1086 +tp1461 +a(g826 +g970 +tp1462 +a(g705 +g964 +tp1463 +a(g314 +V1.0f +p1464 +tp1465 +a(g826 +g970 +tp1466 +a(g412 +V- +p1467 +tp1468 +a(g826 +g970 +tp1469 +a(g314 +V1.0f +p1470 +tp1471 +a(g826 +g970 +tp1472 +a(g412 +g1093 +tp1473 +a(g826 +g970 +tp1474 +a(g435 +VNdotHSq +p1475 +tp1476 +a(g705 +g977 +tp1477 +a(g705 +g977 +tp1478 +a(g705 +g1023 +tp1479 +a(g826 +V\u000a +p1480 +tp1481 +a(g705 +g1106 +tp1482 +a(g826 +V\u000a\u000a +p1483 +tp1484 +a(g8 +V// Output buffer for compute shader (actually float, but must be declared as uint +p1485 +tp1486 +a(g826 +V\u000a +p1487 +tp1488 +a(g8 +V// for atomic operations to work) +p1489 +tp1490 +a(g826 +V\u000a +p1491 +tp1492 +a(g744 +Vgloballycoherent +p1493 +tp1494 +a(g826 +g970 +tp1495 +a(g748 +VRWTexture2D +p1496 +tp1497 +a(g412 +V< +p1498 +tp1499 +a(g748 +Vuint +p1500 +tp1501 +a(g412 +V> +p1502 +tp1503 +a(g826 +g970 +tp1504 +a(g435 +Vo_data +p1505 +tp1506 +a(g826 +g970 +tp1507 +a(g412 +g995 +tp1508 +a(g826 +g970 +tp1509 +a(g744 +Vregister +p1510 +tp1511 +a(g705 +g964 +tp1512 +a(g435 +Vu0 +p1513 +tp1514 +a(g705 +g977 +tp1515 +a(g705 +g1023 +tp1516 +a(g826 +V\u000a\u000a +p1517 +tp1518 +a(g8 +V// Sum up the outputs of all threads and store to the output location +p1519 +tp1520 +a(g826 +V\u000a +p1521 +tp1522 +a(g744 +Vstatic +p1523 +tp1524 +a(g826 +g970 +tp1525 +a(g744 +Vconst +p1526 +tp1527 +a(g826 +g970 +tp1528 +a(g748 +Vuint +p1529 +tp1530 +a(g826 +g970 +tp1531 +a(g435 +VthreadGroupSize2D +p1532 +tp1533 +a(g826 +g970 +tp1534 +a(g412 +g1014 +tp1535 +a(g826 +g970 +tp1536 +a(g37 +V16 +p1537 +tp1538 +a(g705 +g1023 +tp1539 +a(g826 +V\u000a +p1540 +tp1541 +a(g744 +Vstatic +p1542 +tp1543 +a(g826 +g970 +tp1544 +a(g744 +Vconst +p1545 +tp1546 +a(g826 +g970 +tp1547 +a(g748 +Vuint +p1548 +tp1549 +a(g826 +g970 +tp1550 +a(g435 +VthreadGroupSize1D +p1551 +tp1552 +a(g826 +g970 +tp1553 +a(g412 +g1014 +tp1554 +a(g826 +g970 +tp1555 +a(g435 +VthreadGroupSize2D +p1556 +tp1557 +a(g826 +g970 +tp1558 +a(g412 +g1086 +tp1559 +a(g826 +g970 +tp1560 +a(g435 +VthreadGroupSize2D +p1561 +tp1562 +a(g705 +g1023 +tp1563 +a(g826 +V\u000a +p1564 +tp1565 +a(g744 +Vgroupshared +p1566 +tp1567 +a(g826 +g970 +tp1568 +a(g748 +Vfloat +p1569 +tp1570 +a(g826 +g970 +tp1571 +a(g435 +Vg_partialSums +p1572 +tp1573 +a(g705 +g960 +tp1574 +a(g435 +VthreadGroupSize1D +p1575 +tp1576 +a(g705 +g979 +tp1577 +a(g705 +g1023 +tp1578 +a(g826 +V\u000a +p1579 +tp1580 +a(g748 +Vvoid +p1581 +tp1582 +a(g826 +g970 +tp1583 +a(g435 +VSumAcrossThreadsAndStore +p1584 +tp1585 +a(g705 +g964 +tp1586 +a(g748 +Vfloat +p1587 +tp1588 +a(g826 +g970 +tp1589 +a(g435 +Vvalue +p1590 +tp1591 +a(g705 +g968 +tp1592 +a(g826 +g970 +tp1593 +a(g748 +Vuint +p1594 +tp1595 +a(g826 +g970 +tp1596 +a(g435 +ViThreadInGroup +p1597 +tp1598 +a(g705 +g977 +tp1599 +a(g826 +V\u000a +p1600 +tp1601 +a(g705 +g1003 +tp1602 +a(g826 +V\u000a +p1603 +tp1604 +a(g8 +V// First reduce within the threadgroup: partial sums of 2, 4, 8... elements +p1605 +tp1606 +a(g826 +V\u000a +p1607 +tp1608 +a(g8 +V// are calculated by 1/2, 1/4, 1/8... of the threads, always keeping the +p1609 +tp1610 +a(g826 +V\u000a +p1611 +tp1612 +a(g8 +V// active threads at the front of the group to minimize divergence. +p1613 +tp1614 +a(g826 +V\u000a\u000a +p1615 +tp1616 +a(g8 +V// NOTE: there are faster ways of doing this...but this is simple to code +p1617 +tp1618 +a(g826 +V\u000a +p1619 +tp1620 +a(g8 +V// and good enough. +p1621 +tp1622 +a(g826 +V\u000a\u000a +p1623 +tp1624 +a(g435 +Vg_partialSums +p1625 +tp1626 +a(g705 +g960 +tp1627 +a(g435 +ViThreadInGroup +p1628 +tp1629 +a(g705 +g979 +tp1630 +a(g826 +g970 +tp1631 +a(g412 +g1014 +tp1632 +a(g826 +g970 +tp1633 +a(g435 +Vvalue +p1634 +tp1635 +a(g705 +g1023 +tp1636 +a(g826 +V\u000a +p1637 +tp1638 +a(g681 +VGroupMemoryBarrierWithGroupSync +p1639 +tp1640 +a(g705 +g964 +tp1641 +a(g705 +g977 +tp1642 +a(g705 +g1023 +tp1643 +a(g826 +V\u000a\u000a +p1644 +tp1645 +a(g705 +g960 +tp1646 +a(g495 +Vunroll +p1647 +tp1648 +a(g705 +g979 +tp1649 +a(g826 +g970 +tp1650 +a(g744 +Vfor +p1651 +tp1652 +a(g826 +g970 +tp1653 +a(g705 +g964 +tp1654 +a(g748 +Vuint +p1655 +tp1656 +a(g826 +g970 +tp1657 +a(g435 +Vi +p1658 +tp1659 +a(g826 +g970 +tp1660 +a(g412 +g1014 +tp1661 +a(g826 +g970 +tp1662 +a(g435 +VthreadGroupSize1D +p1663 +tp1664 +a(g826 +g970 +tp1665 +a(g412 +g1093 +tp1666 +a(g826 +g970 +tp1667 +a(g37 +V2 +p1668 +tp1669 +a(g705 +g1023 +tp1670 +a(g826 +g970 +tp1671 +a(g435 +g1658 +tp1672 +a(g826 +g970 +tp1673 +a(g412 +g1502 +tp1674 +a(g826 +g970 +tp1675 +a(g281 +V0 +p1676 +tp1677 +a(g705 +g1023 +tp1678 +a(g826 +g970 +tp1679 +a(g435 +g1658 +tp1680 +a(g826 +g970 +tp1681 +a(g412 +g1093 +tp1682 +a(g412 +g1014 +tp1683 +a(g826 +g970 +tp1684 +a(g37 +g1668 +tp1685 +a(g705 +g977 +tp1686 +a(g826 +V\u000a +p1687 +tp1688 +a(g705 +g1003 +tp1689 +a(g826 +V\u000a +p1690 +tp1691 +a(g744 +Vif +p1692 +tp1693 +a(g826 +g970 +tp1694 +a(g705 +g964 +tp1695 +a(g435 +ViThreadInGroup +p1696 +tp1697 +a(g826 +g970 +tp1698 +a(g412 +g1498 +tp1699 +a(g826 +g970 +tp1700 +a(g435 +g1658 +tp1701 +a(g705 +g977 +tp1702 +a(g826 +V\u000a +p1703 +tp1704 +a(g705 +g1003 +tp1705 +a(g826 +V\u000a +p1706 +tp1707 +a(g435 +Vg_partialSums +p1708 +tp1709 +a(g705 +g960 +tp1710 +a(g435 +ViThreadInGroup +p1711 +tp1712 +a(g705 +g979 +tp1713 +a(g826 +g970 +tp1714 +a(g412 +g1237 +tp1715 +a(g412 +g1014 +tp1716 +a(g826 +g970 +tp1717 +a(g435 +Vg_partialSums +p1718 +tp1719 +a(g705 +g960 +tp1720 +a(g435 +ViThreadInGroup +p1721 +tp1722 +a(g826 +g970 +tp1723 +a(g412 +g1237 +tp1724 +a(g826 +g970 +tp1725 +a(g435 +g1658 +tp1726 +a(g705 +g979 +tp1727 +a(g705 +g1023 +tp1728 +a(g826 +V\u000a +p1729 +tp1730 +a(g705 +g1106 +tp1731 +a(g826 +V\u000a +p1732 +tp1733 +a(g681 +VGroupMemoryBarrierWithGroupSync +p1734 +tp1735 +a(g705 +g964 +tp1736 +a(g705 +g977 +tp1737 +a(g705 +g1023 +tp1738 +a(g826 +V\u000a +p1739 +tp1740 +a(g705 +g1106 +tp1741 +a(g826 +V\u000a\u000a +p1742 +tp1743 +a(g8 +V// Then reduce across threadgroups: one thread from each group adds the group +p1744 +tp1745 +a(g826 +V\u000a +p1746 +tp1747 +a(g8 +V// total to the final output location, using a software transactional memory +p1748 +tp1749 +a(g826 +V\u000a +p1750 +tp1751 +a(g8 +V// style since D3D11 doesn't support atomic add on floats. +p1752 +tp1753 +a(g826 +V\u000a +p1754 +tp1755 +a(g8 +V// (Assumes the output value has been cleared to zero beforehand.) +p1756 +tp1757 +a(g826 +V\u000a\u000a +p1758 +tp1759 +a(g744 +Vif +p1760 +tp1761 +a(g826 +g970 +tp1762 +a(g705 +g964 +tp1763 +a(g435 +ViThreadInGroup +p1764 +tp1765 +a(g826 +g970 +tp1766 +a(g412 +V== +p1767 +tp1768 +a(g826 +g970 +tp1769 +a(g281 +g1676 +tp1770 +a(g705 +g977 +tp1771 +a(g826 +V\u000a +p1772 +tp1773 +a(g705 +g1003 +tp1774 +a(g826 +V\u000a +p1775 +tp1776 +a(g748 +Vfloat +p1777 +tp1778 +a(g826 +g970 +tp1779 +a(g435 +VthreadGroupSum +p1780 +tp1781 +a(g826 +g970 +tp1782 +a(g412 +g1014 +tp1783 +a(g826 +g970 +tp1784 +a(g435 +Vg_partialSums +p1785 +tp1786 +a(g705 +g960 +tp1787 +a(g281 +g1676 +tp1788 +a(g705 +g979 +tp1789 +a(g705 +g1023 +tp1790 +a(g826 +V\u000a +p1791 +tp1792 +a(g748 +Vuint +p1793 +tp1794 +a(g826 +g970 +tp1795 +a(g435 +VoutputValueRead +p1796 +tp1797 +a(g826 +g970 +tp1798 +a(g412 +g1014 +tp1799 +a(g826 +g970 +tp1800 +a(g435 +Vo_data +p1801 +tp1802 +a(g705 +g960 +tp1803 +a(g435 +VxyOutput +p1804 +tp1805 +a(g705 +g979 +tp1806 +a(g705 +g1023 +tp1807 +a(g826 +V\u000a +p1808 +tp1809 +a(g744 +Vwhile +p1810 +tp1811 +a(g826 +g970 +tp1812 +a(g705 +g964 +tp1813 +a(g763 +Vtrue +p1814 +tp1815 +a(g705 +g977 +tp1816 +a(g826 +V\u000a +p1817 +tp1818 +a(g705 +g1003 +tp1819 +a(g826 +V\u000a +p1820 +tp1821 +a(g748 +Vuint +p1822 +tp1823 +a(g826 +g970 +tp1824 +a(g435 +VnewOutputValue +p1825 +tp1826 +a(g826 +g970 +tp1827 +a(g412 +g1014 +tp1828 +a(g826 +g970 +tp1829 +a(g681 +Vasuint +p1830 +tp1831 +a(g705 +g964 +tp1832 +a(g681 +Vasfloat +p1833 +tp1834 +a(g705 +g964 +tp1835 +a(g435 +VoutputValueRead +p1836 +tp1837 +a(g705 +g977 +tp1838 +a(g826 +g970 +tp1839 +a(g412 +g1237 +tp1840 +a(g826 +g970 +tp1841 +a(g435 +VthreadGroupSum +p1842 +tp1843 +a(g705 +g977 +tp1844 +a(g705 +g1023 +tp1845 +a(g826 +V\u000a +p1846 +tp1847 +a(g748 +Vuint +p1848 +tp1849 +a(g826 +g970 +tp1850 +a(g435 +VpreviousOutputValue +p1851 +tp1852 +a(g705 +g1023 +tp1853 +a(g826 +V\u000a +p1854 +tp1855 +a(g681 +VInterlockedCompareExchange +p1856 +tp1857 +a(g705 +g964 +tp1858 +a(g826 +V\u000a +p1859 +tp1860 +a(g435 +Vo_data +p1861 +tp1862 +a(g705 +g960 +tp1863 +a(g435 +VxyOutput +p1864 +tp1865 +a(g705 +g979 +tp1866 +a(g705 +g968 +tp1867 +a(g826 +g970 +tp1868 +a(g435 +VoutputValueRead +p1869 +tp1870 +a(g705 +g968 +tp1871 +a(g826 +g970 +tp1872 +a(g435 +VnewOutputValue +p1873 +tp1874 +a(g705 +g968 +tp1875 +a(g826 +g970 +tp1876 +a(g435 +VpreviousOutputValue +p1877 +tp1878 +a(g705 +g977 +tp1879 +a(g705 +g1023 +tp1880 +a(g826 +V\u000a +p1881 +tp1882 +a(g744 +Vif +p1883 +tp1884 +a(g826 +g970 +tp1885 +a(g705 +g964 +tp1886 +a(g435 +VpreviousOutputValue +p1887 +tp1888 +a(g826 +g970 +tp1889 +a(g412 +V== +p1890 +tp1891 +a(g826 +g970 +tp1892 +a(g435 +VoutputValueRead +p1893 +tp1894 +a(g705 +g977 +tp1895 +a(g826 +V\u000a +p1896 +tp1897 +a(g744 +Vbreak +p1898 +tp1899 +a(g705 +g1023 +tp1900 +a(g826 +V\u000a +p1901 +tp1902 +a(g435 +VoutputValueRead +p1903 +tp1904 +a(g826 +g970 +tp1905 +a(g412 +g1014 +tp1906 +a(g826 +g970 +tp1907 +a(g435 +VpreviousOutputValue +p1908 +tp1909 +a(g705 +g1023 +tp1910 +a(g826 +V\u000a +p1911 +tp1912 +a(g705 +g1106 +tp1913 +a(g826 +V\u000a +p1914 +tp1915 +a(g705 +g1106 +tp1916 +a(g826 +V\u000a +p1917 +tp1918 +a(g705 +g1106 +tp1919 +a(g826 +V\u000a\u000a +p1920 +tp1921 +a(g748 +Vvoid +p1922 +tp1923 +a(g826 +g970 +tp1924 +a(g435 +Vmain +p1925 +tp1926 +a(g705 +g964 +tp1927 +a(g826 +V\u000a +p1928 +tp1929 +a(g744 +Vin +p1930 +tp1931 +a(g826 +g970 +tp1932 +a(g435 +VVertex +p1933 +tp1934 +a(g826 +g970 +tp1935 +a(g435 +Vi_vtx +p1936 +tp1937 +a(g705 +g968 +tp1938 +a(g826 +V\u000a +p1939 +tp1940 +a(g744 +Vout +p1941 +tp1942 +a(g826 +g970 +tp1943 +a(g435 +VVertex +p1944 +tp1945 +a(g826 +g970 +tp1946 +a(g435 +Vo_vtx +p1947 +tp1948 +a(g705 +g968 +tp1949 +a(g826 +V\u000a +p1950 +tp1951 +a(g744 +Vout +p1952 +tp1953 +a(g826 +g970 +tp1954 +a(g748 +Vfloat3 +p1955 +tp1956 +a(g826 +g970 +tp1957 +a(g435 +Vo_vecCamera +p1958 +tp1959 +a(g826 +g970 +tp1960 +a(g412 +g995 +tp1961 +a(g826 +g970 +tp1962 +a(g435 +VCAMERA +p1963 +tp1964 +a(g705 +g968 +tp1965 +a(g826 +V\u000a +p1966 +tp1967 +a(g744 +Vout +p1968 +tp1969 +a(g826 +g970 +tp1970 +a(g748 +Vfloat4 +p1971 +tp1972 +a(g826 +g970 +tp1973 +a(g435 +Vo_uvzwShadow +p1974 +tp1975 +a(g826 +g970 +tp1976 +a(g412 +g995 +tp1977 +a(g826 +g970 +tp1978 +a(g435 +VUVZW_SHADOW +p1979 +tp1980 +a(g705 +g968 +tp1981 +a(g826 +V\u000a +p1982 +tp1983 +a(g744 +Vout +p1984 +tp1985 +a(g826 +g970 +tp1986 +a(g748 +Vfloat4 +p1987 +tp1988 +a(g826 +g970 +tp1989 +a(g435 +Vo_posClip +p1990 +tp1991 +a(g826 +g970 +tp1992 +a(g412 +g995 +tp1993 +a(g826 +g970 +tp1994 +a(g495 +VSV_Position +p1995 +tp1996 +a(g705 +g977 +tp1997 +a(g826 +V\u000a +p1998 +tp1999 +a(g705 +g1003 +tp2000 +a(g826 +V\u000a +p2001 +tp2002 +a(g435 +Vo_vtx +p2003 +tp2004 +a(g826 +g970 +tp2005 +a(g412 +g1014 +tp2006 +a(g826 +g970 +tp2007 +a(g435 +Vi_vtx +p2008 +tp2009 +a(g705 +g1023 +tp2010 +a(g826 +V\u000a +p2011 +tp2012 +a(g435 +Vo_vecCamera +p2013 +tp2014 +a(g826 +g970 +tp2015 +a(g412 +g1014 +tp2016 +a(g826 +g970 +tp2017 +a(g435 +Vg_posCamera +p2018 +tp2019 +a(g826 +g970 +tp2020 +a(g412 +g1467 +tp2021 +a(g826 +g970 +tp2022 +a(g435 +Vi_vtx +p2023 +tp2024 +a(g705 +g1019 +tp2025 +a(g435 +Vm_pos +p2026 +tp2027 +a(g705 +g1023 +tp2028 +a(g826 +V\u000a +p2029 +tp2030 +a(g435 +Vo_uvzwShadow +p2031 +tp2032 +a(g826 +g970 +tp2033 +a(g412 +g1014 +tp2034 +a(g826 +g970 +tp2035 +a(g681 +Vmul +p2036 +tp2037 +a(g705 +g964 +tp2038 +a(g748 +Vfloat4 +p2039 +tp2040 +a(g705 +g964 +tp2041 +a(g435 +Vi_vtx +p2042 +tp2043 +a(g705 +g1019 +tp2044 +a(g435 +Vm_pos +p2045 +tp2046 +a(g705 +g968 +tp2047 +a(g826 +g970 +tp2048 +a(g314 +V1.0 +p2049 +tp2050 +a(g705 +g977 +tp2051 +a(g705 +g968 +tp2052 +a(g826 +g970 +tp2053 +a(g435 +Vg_matWorldToUvzwShadow +p2054 +tp2055 +a(g705 +g977 +tp2056 +a(g705 +g1023 +tp2057 +a(g826 +V\u000a +p2058 +tp2059 +a(g435 +Vo_posClip +p2060 +tp2061 +a(g826 +g970 +tp2062 +a(g412 +g1014 +tp2063 +a(g826 +g970 +tp2064 +a(g681 +Vmul +p2065 +tp2066 +a(g705 +g964 +tp2067 +a(g748 +Vfloat4 +p2068 +tp2069 +a(g705 +g964 +tp2070 +a(g435 +Vi_vtx +p2071 +tp2072 +a(g705 +g1019 +tp2073 +a(g435 +Vm_pos +p2074 +tp2075 +a(g705 +g968 +tp2076 +a(g826 +g970 +tp2077 +a(g314 +V1.0 +p2078 +tp2079 +a(g705 +g977 +tp2080 +a(g705 +g968 +tp2081 +a(g826 +g970 +tp2082 +a(g435 +Vg_matWorldToClip +p2083 +tp2084 +a(g705 +g977 +tp2085 +a(g705 +g1023 +tp2086 +a(g826 +V\u000a +p2087 +tp2088 +a(g705 +g1106 +tp2089 +a(g826 +V\u000a\u000a +p2090 +tp2091 +a(g898 +V#pragma pack_matrix(row_major) +p2092 +tp2093 +a(g826 +V\u000a\u000a +p2094 +tp2095 +a(g744 +Vstruct +p2096 +tp2097 +a(g826 +g970 +tp2098 +a(g435 +VVertex +p2099 +tp2100 +a(g826 +V\u000a +p2101 +tp2102 +a(g705 +g1003 +tp2103 +a(g826 +V\u000a +p2104 +tp2105 +a(g748 +Vfloat3 +p2106 +tp2107 +a(g826 +V +p2108 +tp2109 +a(g435 +Vm_pos +p2110 +tp2111 +a(g826 +V +p2112 +tp2113 +a(g412 +g995 +tp2114 +a(g826 +g970 +tp2115 +a(g435 +VPOSITION +p2116 +tp2117 +a(g705 +g1023 +tp2118 +a(g826 +V\u000a +p2119 +tp2120 +a(g748 +Vfloat3 +p2121 +tp2122 +a(g826 +V +p2123 +tp2124 +a(g435 +Vm_normal +p2125 +tp2126 +a(g826 +g1384 +tp2127 +a(g412 +g995 +tp2128 +a(g826 +g970 +tp2129 +a(g435 +VNORMAL +p2130 +tp2131 +a(g705 +g1023 +tp2132 +a(g826 +V\u000a +p2133 +tp2134 +a(g748 +Vfloat2 +p2135 +tp2136 +a(g826 +V +p2137 +tp2138 +a(g435 +Vm_uv +p2139 +tp2140 +a(g826 +V +p2141 +tp2142 +a(g412 +g995 +tp2143 +a(g826 +g970 +tp2144 +a(g435 +VUV +p2145 +tp2146 +a(g705 +g1023 +tp2147 +a(g826 +V\u000a +p2148 +tp2149 +a(g705 +g1106 +tp2150 +a(g705 +g1023 +tp2151 +a(g826 +V\u000a\u000a +p2152 +tp2153 +a(g744 +Vcbuffer +p2154 +tp2155 +a(g826 +g970 +tp2156 +a(g435 +VCBFrame +p2157 +tp2158 +a(g826 +g970 +tp2159 +a(g412 +g995 +tp2160 +a(g826 +g970 +tp2161 +a(g435 +VCB_FRAME +p2162 +tp2163 +a(g826 +V +p2164 +tp2165 +a(g8 +V// matches struct CBFrame in test.cpp +p2166 +tp2167 +a(g826 +V\u000a +p2168 +tp2169 +a(g705 +g1003 +tp2170 +a(g826 +V\u000a +p2171 +tp2172 +a(g748 +Vfloat4x4 +p2173 +tp2174 +a(g826 +g1384 +tp2175 +a(g435 +Vg_matWorldToClip +p2176 +tp2177 +a(g705 +g1023 +tp2178 +a(g826 +V\u000a +p2179 +tp2180 +a(g748 +Vfloat4x4 +p2181 +tp2182 +a(g826 +g1384 +tp2183 +a(g435 +Vg_matWorldToUvzwShadow +p2184 +tp2185 +a(g705 +g1023 +tp2186 +a(g826 +V\u000a +p2187 +tp2188 +a(g748 +Vfloat3x3 +p2189 +tp2190 +a(g826 +g1384 +tp2191 +a(g435 +Vg_matWorldToUvzShadowNormal +p2192 +tp2193 +a(g705 +g1023 +tp2194 +a(g826 +V\u000a +p2195 +tp2196 +a(g748 +Vfloat3 +p2197 +tp2198 +a(g826 +V +p2199 +tp2200 +a(g435 +Vg_posCamera +p2201 +tp2202 +a(g705 +g1023 +tp2203 +a(g826 +V\u000a\u000a +p2204 +tp2205 +a(g748 +Vfloat3 +p2206 +tp2207 +a(g826 +V +p2208 +tp2209 +a(g435 +Vg_vecDirectionalLight +p2210 +tp2211 +a(g705 +g1023 +tp2212 +a(g826 +V\u000a +p2213 +tp2214 +a(g748 +Vfloat3 +p2215 +tp2216 +a(g826 +V +p2217 +tp2218 +a(g435 +Vg_rgbDirectionalLight +p2219 +tp2220 +a(g705 +g1023 +tp2221 +a(g826 +V\u000a\u000a +p2222 +tp2223 +a(g748 +Vfloat2 +p2224 +tp2225 +a(g826 +V +p2226 +tp2227 +a(g435 +Vg_dimsShadowMap +p2228 +tp2229 +a(g705 +g1023 +tp2230 +a(g826 +V\u000a +p2231 +tp2232 +a(g748 +Vfloat +p2233 +tp2234 +a(g826 +V +p2235 +tp2236 +a(g435 +Vg_normalOffsetShadow +p2237 +tp2238 +a(g705 +g1023 +tp2239 +a(g826 +V\u000a +p2240 +tp2241 +a(g748 +Vfloat +p2242 +tp2243 +a(g826 +V +p2244 +tp2245 +a(g435 +Vg_shadowSharpening +p2246 +tp2247 +a(g705 +g1023 +tp2248 +a(g826 +V\u000a\u000a +p2249 +tp2250 +a(g748 +Vfloat +p2251 +tp2252 +a(g826 +V +p2253 +tp2254 +a(g435 +Vg_exposure +p2255 +tp2256 +a(g705 +g1023 +tp2257 +a(g826 +V +p2258 +tp2259 +a(g8 +V// Exposure multiplier +p2260 +tp2261 +a(g826 +V\u000a +p2262 +tp2263 +a(g705 +g1106 +tp2264 +a(g826 +V\u000a\u000a +p2265 +tp2266 +a(g748 +VTexture2D +p2267 +tp2268 +a(g412 +g1498 +tp2269 +a(g748 +Vfloat3 +p2270 +tp2271 +a(g412 +g1502 +tp2272 +a(g826 +g970 +tp2273 +a(g435 +Vg_texDiffuse +p2274 +tp2275 +a(g826 +g970 +tp2276 +a(g412 +g995 +tp2277 +a(g826 +g970 +tp2278 +a(g744 +Vregister +p2279 +tp2280 +a(g705 +g964 +tp2281 +a(g435 +Vt0 +p2282 +tp2283 +a(g705 +g977 +tp2284 +a(g705 +g1023 +tp2285 +a(g826 +V\u000a +p2286 +tp2287 +a(g748 +VSamplerState +p2288 +tp2289 +a(g826 +g970 +tp2290 +a(g435 +Vg_ss +p2291 +tp2292 +a(g826 +g970 +tp2293 +a(g412 +g995 +tp2294 +a(g826 +g970 +tp2295 +a(g744 +Vregister +p2296 +tp2297 +a(g705 +g964 +tp2298 +a(g435 +Vs0 +p2299 +tp2300 +a(g705 +g977 +tp2301 +a(g705 +g1023 +tp2302 +a(g826 +V\u000a\u000a +p2303 +tp2304 +a(g748 +Vvoid +p2305 +tp2306 +a(g826 +g970 +tp2307 +a(g435 +Vmain +p2308 +tp2309 +a(g705 +g964 +tp2310 +a(g826 +V\u000a +p2311 +tp2312 +a(g744 +Vin +p2313 +tp2314 +a(g826 +g970 +tp2315 +a(g435 +VVertex +p2316 +tp2317 +a(g826 +g970 +tp2318 +a(g435 +Vi_vtx +p2319 +tp2320 +a(g705 +g968 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g744 +Vin +p2324 +tp2325 +a(g826 +g970 +tp2326 +a(g748 +Vfloat3 +p2327 +tp2328 +a(g826 +g970 +tp2329 +a(g435 +Vi_vecCamera +p2330 +tp2331 +a(g826 +g970 +tp2332 +a(g412 +g995 +tp2333 +a(g826 +g970 +tp2334 +a(g435 +VCAMERA +p2335 +tp2336 +a(g705 +g968 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g744 +Vin +p2340 +tp2341 +a(g826 +g970 +tp2342 +a(g748 +Vfloat4 +p2343 +tp2344 +a(g826 +g970 +tp2345 +a(g435 +Vi_uvzwShadow +p2346 +tp2347 +a(g826 +g970 +tp2348 +a(g412 +g995 +tp2349 +a(g826 +g970 +tp2350 +a(g435 +VUVZW_SHADOW +p2351 +tp2352 +a(g705 +g968 +tp2353 +a(g826 +V\u000a +p2354 +tp2355 +a(g744 +Vout +p2356 +tp2357 +a(g826 +g970 +tp2358 +a(g748 +Vfloat3 +p2359 +tp2360 +a(g826 +g970 +tp2361 +a(g435 +Vo_rgb +p2362 +tp2363 +a(g826 +g970 +tp2364 +a(g412 +g995 +tp2365 +a(g826 +g970 +tp2366 +a(g495 +VSV_Target +p2367 +tp2368 +a(g705 +g977 +tp2369 +a(g826 +V\u000a +p2370 +tp2371 +a(g705 +g1003 +tp2372 +a(g826 +V\u000a +p2373 +tp2374 +a(g748 +Vfloat3 +p2375 +tp2376 +a(g826 +g970 +tp2377 +a(g435 +Vnormal +p2378 +tp2379 +a(g826 +g970 +tp2380 +a(g412 +g1014 +tp2381 +a(g826 +g970 +tp2382 +a(g681 +Vnormalize +p2383 +tp2384 +a(g705 +g964 +tp2385 +a(g435 +Vi_vtx +p2386 +tp2387 +a(g705 +g1019 +tp2388 +a(g435 +Vm_normal +p2389 +tp2390 +a(g705 +g977 +tp2391 +a(g705 +g1023 +tp2392 +a(g826 +V\u000a\u000a +p2393 +tp2394 +a(g8 +V// Sample shadow map +p2395 +tp2396 +a(g826 +V\u000a +p2397 +tp2398 +a(g748 +Vfloat +p2399 +tp2400 +a(g826 +g970 +tp2401 +a(g435 +Vshadow +p2402 +tp2403 +a(g826 +g970 +tp2404 +a(g412 +g1014 +tp2405 +a(g826 +g970 +tp2406 +a(g435 +VEvaluateShadow +p2407 +tp2408 +a(g705 +g964 +tp2409 +a(g435 +Vi_uvzwShadow +p2410 +tp2411 +a(g705 +g968 +tp2412 +a(g826 +g970 +tp2413 +a(g435 +Vnormal +p2414 +tp2415 +a(g705 +g977 +tp2416 +a(g705 +g1023 +tp2417 +a(g826 +V\u000a\u000a +p2418 +tp2419 +a(g8 +V// Evaluate diffuse lighting +p2420 +tp2421 +a(g826 +V\u000a +p2422 +tp2423 +a(g748 +Vfloat3 +p2424 +tp2425 +a(g826 +g970 +tp2426 +a(g435 +VdiffuseColor +p2427 +tp2428 +a(g826 +g970 +tp2429 +a(g412 +g1014 +tp2430 +a(g826 +g970 +tp2431 +a(g435 +Vg_texDiffuse +p2432 +tp2433 +a(g705 +g1019 +tp2434 +a(g435 +VSample +p2435 +tp2436 +a(g705 +g964 +tp2437 +a(g435 +Vg_ss +p2438 +tp2439 +a(g705 +g968 +tp2440 +a(g826 +g970 +tp2441 +a(g435 +Vi_vtx +p2442 +tp2443 +a(g705 +g1019 +tp2444 +a(g435 +Vm_uv +p2445 +tp2446 +a(g705 +g977 +tp2447 +a(g705 +g1023 +tp2448 +a(g826 +V\u000a +p2449 +tp2450 +a(g748 +Vfloat3 +p2451 +tp2452 +a(g826 +g970 +tp2453 +a(g435 +VdiffuseLight +p2454 +tp2455 +a(g826 +g970 +tp2456 +a(g412 +g1014 +tp2457 +a(g826 +g970 +tp2458 +a(g435 +Vg_rgbDirectionalLight +p2459 +tp2460 +a(g826 +g970 +tp2461 +a(g412 +g1086 +tp2462 +a(g826 +g970 +tp2463 +a(g705 +g964 +tp2464 +a(g435 +Vshadow +p2465 +tp2466 +a(g826 +g970 +tp2467 +a(g412 +g1086 +tp2468 +a(g826 +g970 +tp2469 +a(g681 +Vsaturate +p2470 +tp2471 +a(g705 +g964 +tp2472 +a(g681 +Vdot +p2473 +tp2474 +a(g705 +g964 +tp2475 +a(g435 +Vnormal +p2476 +tp2477 +a(g705 +g968 +tp2478 +a(g826 +g970 +tp2479 +a(g435 +Vg_vecDirectionalLight +p2480 +tp2481 +a(g705 +g977 +tp2482 +a(g705 +g977 +tp2483 +a(g705 +g977 +tp2484 +a(g705 +g1023 +tp2485 +a(g826 +V\u000a +p2486 +tp2487 +a(g435 +VdiffuseLight +p2488 +tp2489 +a(g826 +g970 +tp2490 +a(g412 +g1237 +tp2491 +a(g412 +g1014 +tp2492 +a(g826 +g970 +tp2493 +a(g435 +VSimpleAmbient +p2494 +tp2495 +a(g705 +g964 +tp2496 +a(g435 +Vnormal +p2497 +tp2498 +a(g705 +g977 +tp2499 +a(g705 +g1023 +tp2500 +a(g826 +V\u000a\u000a +p2501 +tp2502 +a(g435 +Vo_rgb +p2503 +tp2504 +a(g826 +g970 +tp2505 +a(g412 +g1014 +tp2506 +a(g826 +g970 +tp2507 +a(g435 +VdiffuseColor +p2508 +tp2509 +a(g826 +g970 +tp2510 +a(g412 +g1086 +tp2511 +a(g826 +g970 +tp2512 +a(g435 +VdiffuseLight +p2513 +tp2514 +a(g705 +g1023 +tp2515 +a(g826 +V\u000a +p2516 +tp2517 +a(g705 +g1106 +tp2518 +a(g826 +V\u000a\u000a +p2519 +tp2520 +a(g705 +g960 +tp2521 +a(g495 +Vdomain +p2522 +tp2523 +a(g705 +g964 +tp2524 +a(g89 +V" +p2525 +tp2526 +a(g89 +Vquad +p2527 +tp2528 +a(g89 +g2525 +tp2529 +a(g705 +g977 +tp2530 +a(g705 +g979 +tp2531 +a(g826 +V\u000a +p2532 +tp2533 +a(g748 +Vvoid +p2534 +tp2535 +a(g826 +g970 +tp2536 +a(g435 +Vds +p2537 +tp2538 +a(g705 +g964 +tp2539 +a(g826 +V\u000a +p2540 +tp2541 +a(g744 +Vin +p2542 +tp2543 +a(g826 +g970 +tp2544 +a(g748 +Vfloat +p2545 +tp2546 +a(g826 +g970 +tp2547 +a(g435 +VedgeFactors +p2548 +tp2549 +a(g705 +g960 +tp2550 +a(g37 +V4 +p2551 +tp2552 +a(g705 +g979 +tp2553 +a(g826 +g970 +tp2554 +a(g412 +g995 +tp2555 +a(g826 +g970 +tp2556 +a(g495 +VSV_TessFactor +p2557 +tp2558 +a(g705 +g968 +tp2559 +a(g826 +V\u000a +p2560 +tp2561 +a(g744 +Vin +p2562 +tp2563 +a(g826 +g970 +tp2564 +a(g748 +Vfloat +p2565 +tp2566 +a(g826 +g970 +tp2567 +a(g435 +VinsideFactors +p2568 +tp2569 +a(g705 +g960 +tp2570 +a(g37 +g1668 +tp2571 +a(g705 +g979 +tp2572 +a(g826 +g970 +tp2573 +a(g412 +g995 +tp2574 +a(g826 +g970 +tp2575 +a(g495 +VSV_InsideTessFactor +p2576 +tp2577 +a(g705 +g968 +tp2578 +a(g826 +V\u000a +p2579 +tp2580 +a(g744 +Vin +p2581 +tp2582 +a(g826 +g970 +tp2583 +a(g748 +VOutputPatch +p2584 +tp2585 +a(g412 +g1498 +tp2586 +a(g435 +VVData +p2587 +tp2588 +a(g705 +g968 +tp2589 +a(g826 +g970 +tp2590 +a(g37 +g2551 +tp2591 +a(g412 +g1502 +tp2592 +a(g826 +g970 +tp2593 +a(g435 +Vinp +p2594 +tp2595 +a(g705 +g968 +tp2596 +a(g826 +V\u000a +p2597 +tp2598 +a(g744 +Vin +p2599 +tp2600 +a(g826 +g970 +tp2601 +a(g748 +Vfloat2 +p2602 +tp2603 +a(g826 +g970 +tp2604 +a(g435 +Vuv +p2605 +tp2606 +a(g826 +g970 +tp2607 +a(g412 +g995 +tp2608 +a(g826 +g970 +tp2609 +a(g495 +VSV_DomainLocation +p2610 +tp2611 +a(g705 +g968 +tp2612 +a(g826 +V\u000a +p2613 +tp2614 +a(g744 +Vout +p2615 +tp2616 +a(g826 +g970 +tp2617 +a(g748 +Vfloat4 +p2618 +tp2619 +a(g826 +g970 +tp2620 +a(g435 +Vo_pos +p2621 +tp2622 +a(g826 +g970 +tp2623 +a(g412 +g995 +tp2624 +a(g826 +g970 +tp2625 +a(g495 +VSV_Position +p2626 +tp2627 +a(g705 +g977 +tp2628 +a(g826 +V\u000a +p2629 +tp2630 +a(g705 +g1003 +tp2631 +a(g826 +V\u000a +p2632 +tp2633 +a(g435 +Vo_pos +p2634 +tp2635 +a(g826 +g970 +tp2636 +a(g412 +g1014 +tp2637 +a(g826 +g970 +tp2638 +a(g681 +Vlerp +p2639 +tp2640 +a(g705 +g964 +tp2641 +a(g681 +Vlerp +p2642 +tp2643 +a(g705 +g964 +tp2644 +a(g435 +Vinp +p2645 +tp2646 +a(g705 +g960 +tp2647 +a(g281 +g1676 +tp2648 +a(g705 +g979 +tp2649 +a(g705 +g1019 +tp2650 +a(g435 +Vpos +p2651 +tp2652 +a(g705 +g968 +tp2653 +a(g826 +g970 +tp2654 +a(g435 +Vinp +p2655 +tp2656 +a(g705 +g960 +tp2657 +a(g37 +g972 +tp2658 +a(g705 +g979 +tp2659 +a(g705 +g1019 +tp2660 +a(g435 +Vpos +p2661 +tp2662 +a(g705 +g968 +tp2663 +a(g826 +g970 +tp2664 +a(g435 +Vuv +p2665 +tp2666 +a(g705 +g1019 +tp2667 +a(g435 +g1021 +tp2668 +a(g705 +g977 +tp2669 +a(g705 +g968 +tp2670 +a(g826 +g970 +tp2671 +a(g681 +Vlerp +p2672 +tp2673 +a(g705 +g964 +tp2674 +a(g435 +Vinp +p2675 +tp2676 +a(g705 +g960 +tp2677 +a(g37 +g1668 +tp2678 +a(g705 +g979 +tp2679 +a(g705 +g1019 +tp2680 +a(g435 +Vpos +p2681 +tp2682 +a(g705 +g968 +tp2683 +a(g826 +g970 +tp2684 +a(g435 +Vinp +p2685 +tp2686 +a(g705 +g960 +tp2687 +a(g37 +V3 +p2688 +tp2689 +a(g705 +g979 +tp2690 +a(g705 +g1019 +tp2691 +a(g435 +Vpos +p2692 +tp2693 +a(g705 +g968 +tp2694 +a(g826 +g970 +tp2695 +a(g435 +Vuv +p2696 +tp2697 +a(g705 +g1019 +tp2698 +a(g435 +g1021 +tp2699 +a(g705 +g977 +tp2700 +a(g705 +g968 +tp2701 +a(g826 +g970 +tp2702 +a(g435 +Vuv +p2703 +tp2704 +a(g705 +g1019 +tp2705 +a(g435 +g1250 +tp2706 +a(g705 +g977 +tp2707 +a(g705 +g1023 +tp2708 +a(g826 +V\u000a +p2709 +tp2710 +a(g705 +g1106 +tp2711 +a(g826 +V\u000a +p2712 +tp2713 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.hs b/tests/examplefiles/output/example.hs new file mode 100644 index 0000000..aba5835 --- /dev/null +++ b/tests/examplefiles/output/example.hs @@ -0,0 +1,3242 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVmodule +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g613 +V\u0108razyThings +p960 +tp961 +a(g831 +g958 +tp962 +a(g8 +Vwhere +p963 +tp964 +a(g831 +V\u000a\u000a +p965 +tp966 +a(g8 +Vimport +p967 +tp968 +a(g831 +g958 +tp969 +a(g81 +V" +p970 +tp971 +a(g81 +Vbase +p972 +tp973 +a(g81 +g970 +tp974 +a(g831 +g958 +tp975 +a(g613 +VData.Char +p976 +tp977 +a(g831 +V\u000a +p978 +tp979 +a(g8 +Vimport +p980 +tp981 +a(g831 +g958 +tp982 +a(g81 +g970 +tp983 +a(g81 +Vbase +p984 +tp985 +a(g81 +g970 +tp986 +a(g831 +g958 +tp987 +a(g613 +VData.Char +p988 +tp989 +a(g831 +g958 +tp990 +a(g698 +V( +p991 +tp992 +a(g566 +VisControl +p993 +tp994 +a(g698 +V, +p995 +tp996 +a(g831 +g958 +tp997 +a(g566 +VisSpace +p998 +tp999 +a(g698 +V) +p1000 +tp1001 +a(g831 +V\u000a +p1002 +tp1003 +a(g8 +Vimport +p1004 +tp1005 +a(g831 +g958 +tp1006 +a(g81 +g970 +tp1007 +a(g81 +Vbase +p1008 +tp1009 +a(g81 +g970 +tp1010 +a(g831 +g958 +tp1011 +a(g613 +VData.Char +p1012 +tp1013 +a(g831 +g958 +tp1014 +a(g698 +g991 +tp1015 +a(g566 +VisControl +p1016 +tp1017 +a(g698 +g995 +tp1018 +a(g831 +g958 +tp1019 +a(g750 +V--isSpace) +p1020 +tp1021 +a(g831 +V\u000a +p1022 +tp1023 +a(g566 +VisSpace +p1024 +tp1025 +a(g698 +g1000 +tp1026 +a(g831 +V\u000a +p1027 +tp1028 +a(g8 +Vimport +p1029 +tp1030 +a(g831 +g958 +tp1031 +a(g81 +g970 +tp1032 +a(g81 +Vbase +p1033 +tp1034 +a(g81 +g970 +tp1035 +a(g831 +g958 +tp1036 +a(g613 +VData.Char +p1037 +tp1038 +a(g831 +g958 +tp1039 +a(g698 +g991 +tp1040 +a(g566 +VisControl +p1041 +tp1042 +a(g698 +g995 +tp1043 +a(g831 +g958 +tp1044 +a(g750 +V-- isSpace) +p1045 +tp1046 +a(g831 +V\u000a +p1047 +tp1048 +a(g566 +VisSpace +p1049 +tp1050 +a(g698 +g1000 +tp1051 +a(g831 +V\u000a\u000a +p1052 +tp1053 +a(g698 +g991 +tp1054 +a(g405 +V--> +p1055 +tp1056 +a(g698 +g1000 +tp1057 +a(g831 +g958 +tp1058 +a(g419 +V:: +p1059 +tp1060 +a(g831 +g958 +tp1061 +a(g886 +VNum +p1062 +tp1063 +a(g831 +g958 +tp1064 +a(g428 +Va +p1065 +tp1066 +a(g831 +g958 +tp1067 +a(g419 +V=> +p1068 +tp1069 +a(g831 +g958 +tp1070 +a(g428 +g1065 +tp1071 +a(g831 +g958 +tp1072 +a(g750 +V-- signature +p1073 +tp1074 +a(g831 +V\u000a +p1075 +tp1076 +a(g698 +g991 +tp1077 +a(g405 +V--> +p1078 +tp1079 +a(g698 +g1000 +tp1080 +a(g831 +g958 +tp1081 +a(g419 +V= +p1082 +tp1083 +a(g831 +g958 +tp1084 +a(g27 +V2 +p1085 +tp1086 +a(g831 +g958 +tp1087 +a(g750 +V-- >implementation +p1088 +tp1089 +a(g831 +V\u000a\u000a +p1090 +tp1091 +a(g750 +V--test comment +p1092 +tp1093 +a(g831 +V\u000a +p1094 +tp1095 +a(g750 +V-- test comment +p1096 +tp1097 +a(g831 +V\u000a\u000a +p1098 +tp1099 +a(g566 +Vmain +p1100 +tp1101 +a(g831 +g958 +tp1102 +a(g419 +V:: +p1103 +tp1104 +a(g831 +g958 +tp1105 +a(g886 +VIO +p1106 +tp1107 +a(g831 +g958 +tp1108 +a(g674 +V() +p1109 +tp1110 +a(g831 +V\u000a +p1111 +tp1112 +a(g566 +Vmain +p1113 +tp1114 +a(g831 +g958 +tp1115 +a(g419 +g1082 +tp1116 +a(g831 +g958 +tp1117 +a(g428 +VputStrLn +p1118 +tp1119 +a(g831 +g958 +tp1120 +a(g81 +g970 +tp1121 +a(g81 +Vhello world +p1122 +tp1123 +a(g81 +g970 +tp1124 +a(g831 +V\u000a\u000a +p1125 +tp1126 +a(g566 +Vgádd +p1127 +tp1128 +a(g831 +g958 +tp1129 +a(g428 +Vx +p1130 +tp1131 +a(g831 +g958 +tp1132 +a(g428 +Vy +p1133 +tp1134 +a(g831 +g958 +tp1135 +a(g419 +g1082 +tp1136 +a(g831 +g958 +tp1137 +a(g428 +g1130 +tp1138 +a(g831 +g958 +tp1139 +a(g405 +V+ +p1140 +tp1141 +a(g831 +g958 +tp1142 +a(g428 +g1133 +tp1143 +a(g831 +V\u000a +p1144 +tp1145 +a(g566 +Vádd +p1146 +tp1147 +a(g831 +g958 +tp1148 +a(g428 +g1130 +tp1149 +a(g831 +g958 +tp1150 +a(g428 +g1133 +tp1151 +a(g831 +g958 +tp1152 +a(g419 +g1082 +tp1153 +a(g831 +g958 +tp1154 +a(g428 +g1130 +tp1155 +a(g831 +g958 +tp1156 +a(g405 +g1140 +tp1157 +a(g831 +g958 +tp1158 +a(g428 +g1133 +tp1159 +a(g831 +V\u000a\u000a\u000a +p1160 +tp1161 +a(g8 +Vdata +p1162 +tp1163 +a(g831 +g958 +tp1164 +a(g886 +V\u0108razyThings +p1165 +tp1166 +a(g831 +g958 +tp1167 +a(g419 +g1082 +tp1168 +a(g831 +V\u000a +p1169 +tp1170 +a(g886 +V\u0108ar +p1171 +tp1172 +a(g831 +g958 +tp1173 +a(g405 +V| +p1174 +tp1175 +a(g831 +V\u000a +p1176 +tp1177 +a(g886 +VHouse +p1178 +tp1179 +a(g831 +g958 +tp1180 +a(g405 +g1174 +tp1181 +a(g831 +V\u000a +p1182 +tp1183 +a(g886 +VPeár +p1184 +tp1185 +a(g831 +V\u000a +p1186 +tp1187 +a(g8 +Vderiving +p1188 +tp1189 +a(g831 +g958 +tp1190 +a(g698 +g991 +tp1191 +a(g886 +VShow +p1192 +tp1193 +a(g698 +g995 +tp1194 +a(g831 +g958 +tp1195 +a(g886 +VEq +p1196 +tp1197 +a(g698 +g1000 +tp1198 +a(g831 +V\u000a\u000a +p1199 +tp1200 +a(g750 +V-- some char literals: +p1201 +tp1202 +a(g831 +V\u000a\u000a +p1203 +tp1204 +a(g566 +Vcharl +p1205 +tp1206 +a(g831 +g958 +tp1207 +a(g419 +g1082 +tp1208 +a(g831 +g958 +tp1209 +a(g698 +V[ +p1210 +tp1211 +a(g85 +V'"' +p1212 +tp1213 +a(g698 +g995 +tp1214 +a(g831 +g958 +tp1215 +a(g85 +V'a' +p1216 +tp1217 +a(g698 +g995 +tp1218 +a(g831 +g958 +tp1219 +a(g85 +V' +p1220 +tp1221 +a(g205 +V\u005c +p1222 +tp1223 +a(g205 +VESC +p1224 +tp1225 +a(g85 +g1220 +tp1226 +a(g698 +g995 +tp1227 +a(g831 +g958 +tp1228 +a(g85 +g1220 +tp1229 +a(g205 +V\u005c +p1230 +tp1231 +a(g205 +g1220 +tp1232 +a(g85 +g1220 +tp1233 +a(g698 +g995 +tp1234 +a(g831 +g958 +tp1235 +a(g85 +V' ' +p1236 +tp1237 +a(g698 +V] +p1238 +tp1239 +a(g831 +V\u000a\u000a +p1240 +tp1241 +a(g750 +V-- closed type families +p1242 +tp1243 +a(g831 +V\u000a +p1244 +tp1245 +a(g8 +Vtype +p1246 +tp1247 +a(g831 +g958 +tp1248 +a(g8 +Vfamily +p1249 +tp1250 +a(g831 +g958 +tp1251 +a(g886 +VFam +p1252 +tp1253 +a(g831 +g958 +tp1254 +a(g698 +g991 +tp1255 +a(g428 +g1065 +tp1256 +a(g831 +g958 +tp1257 +a(g419 +V:: +p1258 +tp1259 +a(g831 +g958 +tp1260 +a(g886 +VType +p1261 +tp1262 +a(g698 +g1000 +tp1263 +a(g831 +g958 +tp1264 +a(g419 +g1082 +tp1265 +a(g831 +g958 +tp1266 +a(g428 +Vr +p1267 +tp1268 +a(g831 +g958 +tp1269 +a(g419 +V:: +p1270 +tp1271 +a(g831 +g958 +tp1272 +a(g886 +VType +p1273 +tp1274 +a(g831 +g958 +tp1275 +a(g8 +Vwhere +p1276 +tp1277 +a(g831 +V\u000a +p1278 +tp1279 +a(g886 +VFam +p1280 +tp1281 +a(g831 +g958 +tp1282 +a(g886 +VInt +p1283 +tp1284 +a(g831 +g958 +tp1285 +a(g419 +g1082 +tp1286 +a(g831 +g958 +tp1287 +a(g886 +VTrue +p1288 +tp1289 +a(g831 +V\u000a +p1290 +tp1291 +a(g886 +VFam +p1292 +tp1293 +a(g831 +g958 +tp1294 +a(g428 +g1065 +tp1295 +a(g831 +g958 +tp1296 +a(g419 +g1082 +tp1297 +a(g831 +g958 +tp1298 +a(g886 +VFalse +p1299 +tp1300 +a(g831 +V\u000a\u000a +p1301 +tp1302 +a(g750 +V-- type literals +p1303 +tp1304 +a(g831 +V\u000a +p1305 +tp1306 +a(g8 +Vtype +p1307 +tp1308 +a(g831 +g958 +tp1309 +a(g886 +VIntChar +p1310 +tp1311 +a(g831 +g958 +tp1312 +a(g419 +g1082 +tp1313 +a(g831 +g958 +tp1314 +a(g886 +V'[Int, Char] +p1315 +tp1316 +a(g831 +V\u000a +p1317 +tp1318 +a(g8 +Vtype +p1319 +tp1320 +a(g831 +g958 +tp1321 +a(g886 +VFalsy +p1322 +tp1323 +a(g831 +g958 +tp1324 +a(g419 +g1082 +tp1325 +a(g831 +g958 +tp1326 +a(g886 +V'False +p1327 +tp1328 +a(g831 +V\u000a +p1329 +tp1330 +a(g8 +Vtype +p1331 +tp1332 +a(g831 +g958 +tp1333 +a(g886 +VFalsy +p1334 +tp1335 +a(g831 +g958 +tp1336 +a(g419 +g1082 +tp1337 +a(g831 +g958 +tp1338 +a(g886 +V'(10, 20, 30) +p1339 +tp1340 +a(g831 +V\u000a +p1341 +tp1342 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.hx b/tests/examplefiles/output/example.hx new file mode 100644 index 0000000..2426286 --- /dev/null +++ b/tests/examplefiles/output/example.hx @@ -0,0 +1,7826 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/**\u000a * This is not really a valid Haxe file, but just an demo...\u000a */ +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g771 +Vpackage +p960 +tp961 +a(g706 +V; +p962 +tp963 +a(g827 +V\u000a +p964 +tp965 +a(g771 +Vpackage +p966 +tp967 +a(g827 +V +p968 +tp969 +a(g621 +Vnet +p970 +tp971 +a(g706 +V. +p972 +tp973 +a(g621 +Vonthewings +p974 +tp975 +a(g706 +g962 +tp976 +a(g827 +V\u000a\u000a +p977 +tp978 +a(g771 +Vimport +p979 +tp980 +a(g827 +g968 +tp981 +a(g621 +Vnet +p982 +tp983 +a(g706 +g972 +tp984 +a(g621 +Vonthewings +p985 +tp986 +a(g706 +g972 +tp987 +a(g621 +VTest +p988 +tp989 +a(g706 +g962 +tp990 +a(g827 +V\u000a +p991 +tp992 +a(g771 +Vimport +p993 +tp994 +a(g827 +g968 +tp995 +a(g621 +Vnet +p996 +tp997 +a(g706 +g972 +tp998 +a(g621 +Vonthewings +p999 +tp1000 +a(g706 +g972 +tp1001 +a(g745 +V* +p1002 +tp1003 +a(g706 +g962 +tp1004 +a(g827 +V\u000a\u000a +p1005 +tp1006 +a(g771 +Vusing +p1007 +tp1008 +a(g827 +g968 +tp1009 +a(g621 +VLambda +p1010 +tp1011 +a(g706 +g962 +tp1012 +a(g827 +V\u000a +p1013 +tp1014 +a(g771 +Vusing +p1015 +tp1016 +a(g827 +g968 +tp1017 +a(g621 +Vnet +p1018 +tp1019 +a(g706 +g972 +tp1020 +a(g621 +Vonthewings +p1021 +tp1022 +a(g706 +g972 +tp1023 +a(g621 +VTest +p1024 +tp1025 +a(g706 +g962 +tp1026 +a(g827 +V\u000a\u000a +p1027 +tp1028 +a(g899 +V#if +p1029 +tp1030 +a(g899 +g968 +tp1031 +a(g899 +Vflash8 +p1032 +tp1033 +a(g827 +V\u000a +p1034 +tp1035 +a(g906 +V// Haxe code specific for flash player 8 +p1036 +tp1037 +a(g827 +V\u000a +p1038 +tp1039 +a(g899 +V#elseif +p1040 +tp1041 +a(g899 +g968 +tp1042 +a(g899 +Vflash +p1043 +tp1044 +a(g827 +V\u000a +p1045 +tp1046 +a(g906 +V// Haxe code specific for flash platform (any version) +p1047 +tp1048 +a(g827 +V\u000a +p1049 +tp1050 +a(g899 +V#elseif +p1051 +tp1052 +a(g899 +g968 +tp1053 +a(g899 +Vjs +p1054 +tp1055 +a(g827 +V\u000a +p1056 +tp1057 +a(g906 +V// Haxe code specific for javascript plaform +p1058 +tp1059 +a(g827 +V\u000a +p1060 +tp1061 +a(g899 +V#elseif +p1062 +tp1063 +a(g899 +g968 +tp1064 +a(g899 +Vneko +p1065 +tp1066 +a(g827 +V\u000a +p1067 +tp1068 +a(g906 +V// Haxe code specific for neko plaform +p1069 +tp1070 +a(g827 +V\u000a +p1071 +tp1072 +a(g899 +V#else +p1073 +tp1074 +a(g827 +V \u000a +p1075 +tp1076 +a(g906 +V// do something else +p1077 +tp1078 +a(g827 +V\u000a +p1079 +tp1080 +a(g899 +V#error +p1081 +tp1082 +a(g899 +V +p1083 +tp1084 +a(g906 +V// will display an error "Not implemented on this platform" +p1085 +tp1086 +a(g827 +V\u000a +p1087 +tp1088 +a(g899 +V#error +p1089 +tp1090 +a(g899 +g968 +tp1091 +a(g157 +V" +p1092 +tp1093 +a(g157 +VC +p1094 +tp1095 +a(g157 +Vu +p1096 +tp1097 +a(g157 +Vs +p1098 +tp1099 +a(g157 +Vt +p1100 +tp1101 +a(g157 +Vo +p1102 +tp1103 +a(g157 +Vm +p1104 +tp1105 +a(g157 +g968 +tp1106 +a(g157 +Ve +p1107 +tp1108 +a(g157 +Vr +p1109 +tp1110 +a(g157 +g1109 +tp1111 +a(g157 +g1102 +tp1112 +a(g157 +g1109 +tp1113 +a(g157 +g968 +tp1114 +a(g157 +g1104 +tp1115 +a(g157 +g1107 +tp1116 +a(g157 +g1098 +tp1117 +a(g157 +g1098 +tp1118 +a(g157 +Va +p1119 +tp1120 +a(g157 +Vg +p1121 +tp1122 +a(g157 +g1107 +tp1123 +a(g157 +g1092 +tp1124 +a(g827 +g968 +tp1125 +a(g906 +V// will display an error "Custom error message" +p1126 +tp1127 +a(g827 +V\u000a +p1128 +tp1129 +a(g899 +V#end +p1130 +tp1131 +a(g827 +V\u000a\u000a +p1132 +tp1133 +a(g827 +V +p1134 +tp1135 +a(g37 +V0 +p1136 +tp1137 +a(g706 +g962 +tp1138 +a(g827 +g968 +tp1139 +a(g906 +V// Int +p1140 +tp1141 +a(g827 +V\u000a +p1142 +tp1143 +a(g827 +g1134 +tp1144 +a(g413 +V- +p1145 +tp1146 +a(g37 +V134 +p1147 +tp1148 +a(g706 +g962 +tp1149 +a(g827 +g968 +tp1150 +a(g906 +V// Int +p1151 +tp1152 +a(g827 +V\u000a +p1153 +tp1154 +a(g827 +g1134 +tp1155 +a(g298 +V0xFF00 +p1156 +tp1157 +a(g706 +g962 +tp1158 +a(g827 +g968 +tp1159 +a(g906 +V// Int +p1160 +tp1161 +a(g827 +V\u000a\u000a +p1162 +tp1163 +a(g827 +g1134 +tp1164 +a(g315 +V123.0 +p1165 +tp1166 +a(g706 +g962 +tp1167 +a(g827 +g968 +tp1168 +a(g906 +V// Float +p1169 +tp1170 +a(g827 +V\u000a +p1171 +tp1172 +a(g827 +g1134 +tp1173 +a(g315 +V.14179 +p1174 +tp1175 +a(g706 +g962 +tp1176 +a(g827 +g968 +tp1177 +a(g906 +V// Float +p1178 +tp1179 +a(g827 +V\u000a +p1180 +tp1181 +a(g827 +g1134 +tp1182 +a(g315 +V13e50 +p1183 +tp1184 +a(g706 +g962 +tp1185 +a(g827 +g968 +tp1186 +a(g906 +V// Float +p1187 +tp1188 +a(g827 +V\u000a +p1189 +tp1190 +a(g827 +g1134 +tp1191 +a(g413 +g1145 +tp1192 +a(g315 +V1e-99 +p1193 +tp1194 +a(g706 +g962 +tp1195 +a(g827 +g968 +tp1196 +a(g906 +V// Float +p1197 +tp1198 +a(g827 +V\u000a\u000a +p1199 +tp1200 +a(g827 +g1134 +tp1201 +a(g157 +g1092 +tp1202 +a(g157 +Vh +p1203 +tp1204 +a(g157 +g1107 +tp1205 +a(g157 +Vl +p1206 +tp1207 +a(g157 +g1206 +tp1208 +a(g157 +g1102 +tp1209 +a(g157 +g1092 +tp1210 +a(g706 +g962 +tp1211 +a(g827 +g968 +tp1212 +a(g906 +V// String +p1213 +tp1214 +a(g827 +V\u000a +p1215 +tp1216 +a(g827 +g1134 +tp1217 +a(g157 +g1092 +tp1218 +a(g157 +g1203 +tp1219 +a(g157 +g1107 +tp1220 +a(g157 +g1206 +tp1221 +a(g157 +g1206 +tp1222 +a(g157 +g1102 +tp1223 +a(g157 +g968 +tp1224 +a(g213 +V\u005c" +p1225 +tp1226 +a(g157 +Vw +p1227 +tp1228 +a(g157 +g1102 +tp1229 +a(g157 +g1109 +tp1230 +a(g157 +g1206 +tp1231 +a(g157 +Vd +p1232 +tp1233 +a(g213 +V\u005c" +p1234 +tp1235 +a(g157 +g968 +tp1236 +a(g157 +V! +p1237 +tp1238 +a(g157 +g1092 +tp1239 +a(g706 +g962 +tp1240 +a(g827 +g968 +tp1241 +a(g906 +V// String +p1242 +tp1243 +a(g827 +V\u000a +p1244 +tp1245 +a(g827 +g1134 +tp1246 +a(g230 +V' +p1247 +tp1248 +a(g230 +g1203 +tp1249 +a(g230 +g1107 +tp1250 +a(g230 +g1206 +tp1251 +a(g230 +g1206 +tp1252 +a(g230 +g1102 +tp1253 +a(g230 +g968 +tp1254 +a(g230 +g1092 +tp1255 +a(g230 +g1227 +tp1256 +a(g230 +g1102 +tp1257 +a(g230 +g1109 +tp1258 +a(g230 +g1206 +tp1259 +a(g230 +g1232 +tp1260 +a(g230 +g1092 +tp1261 +a(g230 +g968 +tp1262 +a(g230 +g1237 +tp1263 +a(g230 +g1247 +tp1264 +a(g706 +g962 +tp1265 +a(g827 +g968 +tp1266 +a(g906 +V// String +p1267 +tp1268 +a(g827 +V\u000a\u000a +p1269 +tp1270 +a(g827 +g1134 +tp1271 +a(g764 +Vtrue +p1272 +tp1273 +a(g706 +g962 +tp1274 +a(g827 +g968 +tp1275 +a(g906 +V// Bool +p1276 +tp1277 +a(g827 +V\u000a +p1278 +tp1279 +a(g827 +g1134 +tp1280 +a(g764 +Vfalse +p1281 +tp1282 +a(g706 +g962 +tp1283 +a(g827 +g968 +tp1284 +a(g906 +V// Bool +p1285 +tp1286 +a(g827 +V\u000a\u000a +p1287 +tp1288 +a(g827 +g1134 +tp1289 +a(g764 +Vnull +p1290 +tp1291 +a(g706 +g962 +tp1292 +a(g827 +g968 +tp1293 +a(g906 +V// Unknown<0> +p1294 +tp1295 +a(g827 +V\u000a\u000a +p1296 +tp1297 +a(g827 +g1134 +tp1298 +a(g197 +V~/[a-z]+/i +p1299 +tp1300 +a(g706 +g962 +tp1301 +a(g827 +g968 +tp1302 +a(g906 +V// EReg : regular expression +p1303 +tp1304 +a(g827 +V\u000a\u000a +p1305 +tp1306 +a(g827 +g1134 +tp1307 +a(g810 +Vvar +p1308 +tp1309 +a(g827 +g968 +tp1310 +a(g827 +Vpoint +p1311 +tp1312 +a(g827 +g968 +tp1313 +a(g413 +V= +p1314 +tp1315 +a(g827 +g968 +tp1316 +a(g706 +V{ +p1317 +tp1318 +a(g827 +g968 +tp1319 +a(g157 +g1092 +tp1320 +a(g157 +Vx +p1321 +tp1322 +a(g157 +g1092 +tp1323 +a(g827 +g968 +tp1324 +a(g706 +V: +p1325 +tp1326 +a(g827 +g968 +tp1327 +a(g37 +V1 +p1328 +tp1329 +a(g706 +V, +p1330 +tp1331 +a(g827 +g968 +tp1332 +a(g157 +g1092 +tp1333 +a(g157 +Vy +p1334 +tp1335 +a(g157 +g1092 +tp1336 +a(g827 +g968 +tp1337 +a(g706 +g1325 +tp1338 +a(g827 +g968 +tp1339 +a(g413 +g1145 +tp1340 +a(g37 +V5 +p1341 +tp1342 +a(g827 +g968 +tp1343 +a(g706 +V} +p1344 +tp1345 +a(g706 +g962 +tp1346 +a(g827 +V\u000a\u000a +p1347 +tp1348 +a(g827 +g1134 +tp1349 +a(g706 +g1317 +tp1350 +a(g827 +V\u000a +p1351 +tp1352 +a(g810 +Vvar +p1353 +tp1354 +a(g827 +g968 +tp1355 +a(g827 +g1321 +tp1356 +a(g706 +g962 +tp1357 +a(g827 +V\u000a +p1358 +tp1359 +a(g810 +Vvar +p1360 +tp1361 +a(g827 +g968 +tp1362 +a(g827 +g1334 +tp1363 +a(g827 +g968 +tp1364 +a(g413 +g1314 +tp1365 +a(g827 +g968 +tp1366 +a(g37 +V3 +p1367 +tp1368 +a(g706 +g962 +tp1369 +a(g827 +V\u000a +p1370 +tp1371 +a(g810 +Vvar +p1372 +tp1373 +a(g827 +g968 +tp1374 +a(g827 +Vz +p1375 +tp1376 +a(g827 +g968 +tp1377 +a(g706 +g1325 +tp1378 +a(g827 +g968 +tp1379 +a(g436 +VString +p1380 +tp1381 +a(g706 +g962 +tp1382 +a(g827 +V\u000a +p1383 +tp1384 +a(g810 +Vvar +p1385 +tp1386 +a(g827 +g968 +tp1387 +a(g827 +g1227 +tp1388 +a(g827 +g968 +tp1389 +a(g706 +g1325 +tp1390 +a(g827 +g968 +tp1391 +a(g436 +VString +p1392 +tp1393 +a(g827 +g968 +tp1394 +a(g413 +g1314 +tp1395 +a(g827 +g968 +tp1396 +a(g157 +g1092 +tp1397 +a(g157 +g1092 +tp1398 +a(g706 +g962 +tp1399 +a(g827 +V\u000a +p1400 +tp1401 +a(g810 +Vvar +p1402 +tp1403 +a(g827 +g968 +tp1404 +a(g827 +g1119 +tp1405 +a(g706 +g1330 +tp1406 +a(g827 +g968 +tp1407 +a(g827 +Vb +p1408 +tp1409 +a(g827 +g968 +tp1410 +a(g706 +g1325 +tp1411 +a(g827 +g968 +tp1412 +a(g436 +VBool +p1413 +tp1414 +a(g706 +g1330 +tp1415 +a(g827 +g968 +tp1416 +a(g827 +Vc +p1417 +tp1418 +a(g827 +g968 +tp1419 +a(g706 +g1325 +tp1420 +a(g827 +g968 +tp1421 +a(g436 +VInt +p1422 +tp1423 +a(g827 +g968 +tp1424 +a(g413 +g1314 +tp1425 +a(g827 +g968 +tp1426 +a(g37 +g1136 +tp1427 +a(g706 +g962 +tp1428 +a(g827 +V\u000a +p1429 +tp1430 +a(g706 +g1344 +tp1431 +a(g827 +V\u000a\u000a +p1432 +tp1433 +a(g906 +V//haxe3 pattern matching +p1434 +tp1435 +a(g827 +V\u000a +p1436 +tp1437 +a(g827 +g1134 +tp1438 +a(g745 +Vswitch +p1439 +tp1440 +a(g706 +V( +p1441 +tp1442 +a(g436 +g1107 +tp1443 +a(g706 +g972 +tp1444 +a(g436 +Vexpr +p1445 +tp1446 +a(g706 +V) +p1447 +tp1448 +a(g827 +g968 +tp1449 +a(g706 +g1317 +tp1450 +a(g827 +V\u000a +p1451 +tp1452 +a(g745 +Vcase +p1453 +tp1454 +a(g827 +g968 +tp1455 +a(g436 +VEConst +p1456 +tp1457 +a(g706 +g1441 +tp1458 +a(g436 +VCString +p1459 +tp1460 +a(g706 +g1441 +tp1461 +a(g436 +g1098 +tp1462 +a(g706 +g1447 +tp1463 +a(g706 +g1447 +tp1464 +a(g827 +g968 +tp1465 +a(g745 +Vif +p1466 +tp1467 +a(g827 +g968 +tp1468 +a(g706 +g1441 +tp1469 +a(g436 +VStringTools +p1470 +tp1471 +a(g706 +g972 +tp1472 +a(g436 +VstartsWith +p1473 +tp1474 +a(g706 +g1441 +tp1475 +a(g436 +g1098 +tp1476 +a(g706 +g1330 +tp1477 +a(g827 +g968 +tp1478 +a(g157 +g1092 +tp1479 +a(g157 +Vf +p1480 +tp1481 +a(g157 +g1102 +tp1482 +a(g157 +g1102 +tp1483 +a(g157 +g1092 +tp1484 +a(g706 +g1447 +tp1485 +a(g706 +g1447 +tp1486 +a(g706 +g1325 +tp1487 +a(g827 +V\u000a +p1488 +tp1489 +a(g745 +g1134 +tp1490 +a(g157 +g1092 +tp1491 +a(g157 +g1328 +tp1492 +a(g157 +g1092 +tp1493 +a(g706 +g962 +tp1494 +a(g827 +V\u000a +p1495 +tp1496 +a(g745 +Vcase +p1497 +tp1498 +a(g827 +g968 +tp1499 +a(g436 +VEConst +p1500 +tp1501 +a(g706 +g1441 +tp1502 +a(g436 +VCString +p1503 +tp1504 +a(g706 +g1441 +tp1505 +a(g436 +g1098 +tp1506 +a(g706 +g1447 +tp1507 +a(g706 +g1447 +tp1508 +a(g827 +g968 +tp1509 +a(g745 +Vif +p1510 +tp1511 +a(g827 +g968 +tp1512 +a(g706 +g1441 +tp1513 +a(g436 +VStringTools +p1514 +tp1515 +a(g706 +g972 +tp1516 +a(g436 +VstartsWith +p1517 +tp1518 +a(g706 +g1441 +tp1519 +a(g436 +g1098 +tp1520 +a(g706 +g1330 +tp1521 +a(g827 +g968 +tp1522 +a(g157 +g1092 +tp1523 +a(g157 +g1408 +tp1524 +a(g157 +g1119 +tp1525 +a(g157 +g1109 +tp1526 +a(g157 +g1092 +tp1527 +a(g706 +g1447 +tp1528 +a(g706 +g1447 +tp1529 +a(g706 +g1325 +tp1530 +a(g827 +V\u000a +p1531 +tp1532 +a(g745 +g1134 +tp1533 +a(g157 +g1092 +tp1534 +a(g157 +V2 +p1535 +tp1536 +a(g157 +g1092 +tp1537 +a(g706 +g962 +tp1538 +a(g827 +V\u000a +p1539 +tp1540 +a(g745 +Vcase +p1541 +tp1542 +a(g827 +g968 +tp1543 +a(g436 +VEConst +p1544 +tp1545 +a(g706 +g1441 +tp1546 +a(g436 +VCInt +p1547 +tp1548 +a(g706 +g1441 +tp1549 +a(g436 +Vi +p1550 +tp1551 +a(g706 +g1447 +tp1552 +a(g706 +g1447 +tp1553 +a(g827 +g968 +tp1554 +a(g745 +Vif +p1555 +tp1556 +a(g827 +g968 +tp1557 +a(g706 +g1441 +tp1558 +a(g745 +Vswitch +p1559 +tp1560 +a(g706 +g1441 +tp1561 +a(g436 +VStd +p1562 +tp1563 +a(g706 +g972 +tp1564 +a(g436 +VparseInt +p1565 +tp1566 +a(g706 +g1441 +tp1567 +a(g436 +g1550 +tp1568 +a(g706 +g1447 +tp1569 +a(g827 +g968 +tp1570 +a(g413 +g1002 +tp1571 +a(g827 +g968 +tp1572 +a(g37 +g1535 +tp1573 +a(g706 +g1447 +tp1574 +a(g827 +g968 +tp1575 +a(g706 +g1317 +tp1576 +a(g827 +g968 +tp1577 +a(g745 +Vcase +p1578 +tp1579 +a(g827 +g968 +tp1580 +a(g37 +V4 +p1581 +tp1582 +a(g706 +g1325 +tp1583 +a(g827 +g968 +tp1584 +a(g745 +g1134 +tp1585 +a(g764 +Vtrue +p1586 +tp1587 +a(g706 +g962 +tp1588 +a(g827 +g968 +tp1589 +a(g745 +Vcase +p1590 +tp1591 +a(g827 +g968 +tp1592 +a(g436 +V_ +p1593 +tp1594 +a(g706 +g1325 +tp1595 +a(g827 +g968 +tp1596 +a(g745 +g1134 +tp1597 +a(g764 +Vfalse +p1598 +tp1599 +a(g706 +g962 +tp1600 +a(g827 +g968 +tp1601 +a(g706 +g1344 +tp1602 +a(g706 +g1447 +tp1603 +a(g706 +g1325 +tp1604 +a(g827 +V\u000a +p1605 +tp1606 +a(g745 +g1134 +tp1607 +a(g157 +g1092 +tp1608 +a(g157 +g1367 +tp1609 +a(g157 +g1092 +tp1610 +a(g706 +g962 +tp1611 +a(g827 +V\u000a +p1612 +tp1613 +a(g745 +Vcase +p1614 +tp1615 +a(g827 +g968 +tp1616 +a(g436 +VEConst +p1617 +tp1618 +a(g706 +g1441 +tp1619 +a(g436 +g1593 +tp1620 +a(g706 +g1447 +tp1621 +a(g706 +g1325 +tp1622 +a(g827 +V\u000a +p1623 +tp1624 +a(g745 +g1134 +tp1625 +a(g157 +g1092 +tp1626 +a(g157 +g1581 +tp1627 +a(g157 +g1092 +tp1628 +a(g706 +g962 +tp1629 +a(g827 +V\u000a +p1630 +tp1631 +a(g745 +Vcase +p1632 +tp1633 +a(g827 +g968 +tp1634 +a(g436 +g1593 +tp1635 +a(g706 +g1325 +tp1636 +a(g827 +V\u000a +p1637 +tp1638 +a(g745 +g1134 +tp1639 +a(g157 +g1092 +tp1640 +a(g157 +g1341 +tp1641 +a(g157 +g1092 +tp1642 +a(g706 +g962 +tp1643 +a(g827 +V\u000a +p1644 +tp1645 +a(g706 +g1344 +tp1646 +a(g827 +V\u000a\u000a +p1647 +tp1648 +a(g827 +g1134 +tp1649 +a(g745 +Vswitch +p1650 +tp1651 +a(g827 +g968 +tp1652 +a(g706 +V[ +p1653 +tp1654 +a(g764 +Vtrue +p1655 +tp1656 +a(g706 +g1330 +tp1657 +a(g827 +g968 +tp1658 +a(g37 +g1328 +tp1659 +a(g706 +g1330 +tp1660 +a(g827 +g968 +tp1661 +a(g157 +g1092 +tp1662 +a(g157 +g1480 +tp1663 +a(g157 +g1102 +tp1664 +a(g157 +g1102 +tp1665 +a(g157 +g1092 +tp1666 +a(g706 +V] +p1667 +tp1668 +a(g827 +g968 +tp1669 +a(g706 +g1317 +tp1670 +a(g827 +V\u000a +p1671 +tp1672 +a(g745 +Vcase +p1673 +tp1674 +a(g827 +g968 +tp1675 +a(g706 +g1653 +tp1676 +a(g764 +Vtrue +p1677 +tp1678 +a(g706 +g1330 +tp1679 +a(g827 +g968 +tp1680 +a(g37 +g1328 +tp1681 +a(g706 +g1330 +tp1682 +a(g827 +g968 +tp1683 +a(g157 +g1092 +tp1684 +a(g157 +g1480 +tp1685 +a(g157 +g1102 +tp1686 +a(g157 +g1102 +tp1687 +a(g157 +g1092 +tp1688 +a(g706 +g1667 +tp1689 +a(g706 +g1325 +tp1690 +a(g827 +g968 +tp1691 +a(g745 +g1134 +tp1692 +a(g157 +g1092 +tp1693 +a(g157 +g1136 +tp1694 +a(g157 +g1092 +tp1695 +a(g706 +g962 +tp1696 +a(g827 +V\u000a +p1697 +tp1698 +a(g745 +Vcase +p1699 +tp1700 +a(g827 +g968 +tp1701 +a(g706 +g1653 +tp1702 +a(g764 +Vtrue +p1703 +tp1704 +a(g706 +g1330 +tp1705 +a(g827 +g968 +tp1706 +a(g37 +g1328 +tp1707 +a(g706 +g1330 +tp1708 +a(g827 +g968 +tp1709 +a(g436 +g1593 +tp1710 +a(g706 +g1667 +tp1711 +a(g706 +g1325 +tp1712 +a(g827 +g968 +tp1713 +a(g745 +g1134 +tp1714 +a(g157 +g1092 +tp1715 +a(g157 +g1328 +tp1716 +a(g157 +g1092 +tp1717 +a(g706 +g962 +tp1718 +a(g827 +V\u000a +p1719 +tp1720 +a(g745 +Vcase +p1721 +tp1722 +a(g827 +g968 +tp1723 +a(g436 +g1593 +tp1724 +a(g706 +g1325 +tp1725 +a(g827 +g968 +tp1726 +a(g745 +g1134 +tp1727 +a(g157 +g1092 +tp1728 +a(g157 +g1593 +tp1729 +a(g157 +g1092 +tp1730 +a(g706 +g962 +tp1731 +a(g827 +V\u000a +p1732 +tp1733 +a(g706 +g1344 +tp1734 +a(g827 +V\u000a\u000a\u000a +p1735 +tp1736 +a(g810 +Vclass +p1737 +tp1738 +a(g827 +g968 +tp1739 +a(g436 +VTest +p1740 +tp1741 +a(g827 +g968 +tp1742 +a(g706 +V< +p1743 +tp1744 +a(g436 +VT +p1745 +tp1746 +a(g706 +g1325 +tp1747 +a(g436 +VVoid +p1748 +tp1749 +a(g706 +V-> +p1750 +tp1751 +a(g436 +VVoid +p1752 +tp1753 +a(g706 +V> +p1754 +tp1755 +a(g827 +g968 +tp1756 +a(g706 +g1317 +tp1757 +a(g827 +V\u000a +p1758 +tp1759 +a(g810 +Vprivate +p1760 +tp1761 +a(g827 +g968 +tp1762 +a(g810 +Vfunction +p1763 +tp1764 +a(g827 +g968 +tp1765 +a(g574 +Vnew +p1766 +tp1767 +a(g706 +g1441 +tp1768 +a(g706 +g1447 +tp1769 +a(g706 +g1325 +tp1770 +a(g436 +VVoid +p1771 +tp1772 +a(g827 +g968 +tp1773 +a(g706 +g1317 +tp1774 +a(g827 +V\u000a +p1775 +tp1776 +a(g810 +Vinline +p1777 +tp1778 +a(g827 +g968 +tp1779 +a(g810 +Vfunction +p1780 +tp1781 +a(g827 +g968 +tp1782 +a(g574 +VinnerFun +p1783 +tp1784 +a(g706 +g1441 +tp1785 +a(g436 +g1119 +tp1786 +a(g706 +g1325 +tp1787 +a(g436 +VInt +p1788 +tp1789 +a(g706 +g1330 +tp1790 +a(g827 +g968 +tp1791 +a(g436 +g1408 +tp1792 +a(g706 +g1325 +tp1793 +a(g436 +VInt +p1794 +tp1795 +a(g706 +g1447 +tp1796 +a(g706 +g1325 +tp1797 +a(g436 +VInt +p1798 +tp1799 +a(g827 +g968 +tp1800 +a(g706 +g1317 +tp1801 +a(g827 +V\u000a +p1802 +tp1803 +a(g745 +Vreturn +p1804 +tp1805 +a(g827 +g968 +tp1806 +a(g436 +VreadOnlyField +p1807 +tp1808 +a(g827 +g968 +tp1809 +a(g413 +g1314 +tp1810 +a(g827 +g968 +tp1811 +a(g436 +g1119 +tp1812 +a(g827 +g968 +tp1813 +a(g413 +V+ +p1814 +tp1815 +a(g827 +g968 +tp1816 +a(g436 +g1408 +tp1817 +a(g706 +g962 +tp1818 +a(g827 +V\u000a +p1819 +tp1820 +a(g706 +g1344 +tp1821 +a(g827 +V\u000a \u000a +p1822 +tp1823 +a(g436 +V_innerFun +p1824 +tp1825 +a(g706 +g1441 +tp1826 +a(g37 +g1328 +tp1827 +a(g706 +g1330 +tp1828 +a(g827 +g968 +tp1829 +a(g315 +V2.3 +p1830 +tp1831 +a(g706 +g1447 +tp1832 +a(g706 +g962 +tp1833 +a(g827 +V\u000a +p1834 +tp1835 +a(g706 +g1344 +tp1836 +a(g827 +V\u000a \u000a +p1837 +tp1838 +a(g810 +Vstatic +p1839 +tp1840 +a(g827 +g968 +tp1841 +a(g810 +Vpublic +p1842 +tp1843 +a(g827 +g968 +tp1844 +a(g810 +Vvar +p1845 +tp1846 +a(g827 +g968 +tp1847 +a(g827 +Vinstance +p1848 +tp1849 +a(g706 +g1441 +tp1850 +a(g745 +Vget +p1851 +tp1852 +a(g706 +g1330 +tp1853 +a(g745 +Vnull +p1854 +tp1855 +a(g706 +g1447 +tp1856 +a(g706 +g1325 +tp1857 +a(g436 +VTest +p1858 +tp1859 +a(g706 +g962 +tp1860 +a(g827 +V\u000a +p1861 +tp1862 +a(g810 +Vstatic +p1863 +tp1864 +a(g827 +g968 +tp1865 +a(g810 +Vfunction +p1866 +tp1867 +a(g827 +g968 +tp1868 +a(g574 +Vget_instance +p1869 +tp1870 +a(g706 +g1441 +tp1871 +a(g706 +g1447 +tp1872 +a(g706 +g1325 +tp1873 +a(g436 +VTest +p1874 +tp1875 +a(g827 +g968 +tp1876 +a(g706 +g1317 +tp1877 +a(g827 +V\u000a +p1878 +tp1879 +a(g745 +Vreturn +p1880 +tp1881 +a(g827 +g968 +tp1882 +a(g436 +Vinstance +p1883 +tp1884 +a(g827 +g968 +tp1885 +a(g413 +V!= +p1886 +tp1887 +a(g827 +g968 +tp1888 +a(g764 +Vnull +p1889 +tp1890 +a(g827 +g968 +tp1891 +a(g413 +V? +p1892 +tp1893 +a(g827 +g968 +tp1894 +a(g436 +Vinstance +p1895 +tp1896 +a(g827 +g968 +tp1897 +a(g413 +g1325 +tp1898 +a(g827 +g968 +tp1899 +a(g436 +Vinstance +p1900 +tp1901 +a(g827 +g968 +tp1902 +a(g413 +g1314 +tp1903 +a(g827 +g968 +tp1904 +a(g745 +Vnew +p1905 +tp1906 +a(g827 +g968 +tp1907 +a(g436 +VTest +p1908 +tp1909 +a(g706 +g1441 +tp1910 +a(g706 +g1447 +tp1911 +a(g706 +g962 +tp1912 +a(g827 +V\u000a +p1913 +tp1914 +a(g706 +g1344 +tp1915 +a(g827 +V\u000a +p1916 +tp1917 +a(g706 +g1344 +tp1918 +a(g827 +V\u000a\u000a +p1919 +tp1920 +a(g496 +V@ +p1921 +tp1922 +a(g496 +g1325 +tp1923 +a(g496 +Vnative +p1924 +tp1925 +a(g496 +g1441 +tp1926 +a(g157 +g1092 +tp1927 +a(g157 +g1745 +tp1928 +a(g157 +g1107 +tp1929 +a(g157 +g1098 +tp1930 +a(g157 +g1100 +tp1931 +a(g157 +g1092 +tp1932 +a(g496 +g1447 +tp1933 +a(g827 +g968 +tp1934 +a(g810 +Vprivate +p1935 +tp1936 +a(g827 +g968 +tp1937 +a(g810 +Vclass +p1938 +tp1939 +a(g827 +g968 +tp1940 +a(g436 +VTest2 +p1941 +tp1942 +a(g827 +g968 +tp1943 +a(g706 +g1317 +tp1944 +a(g706 +g1344 +tp1945 +a(g827 +V\u000a\u000a +p1946 +tp1947 +a(g810 +Vextern +p1948 +tp1949 +a(g827 +g968 +tp1950 +a(g810 +Vclass +p1951 +tp1952 +a(g827 +g968 +tp1953 +a(g436 +VExt +p1954 +tp1955 +a(g827 +g968 +tp1956 +a(g706 +g1317 +tp1957 +a(g706 +g1344 +tp1958 +a(g827 +V\u000a\u000a +p1959 +tp1960 +a(g496 +g1921 +tp1961 +a(g496 +g1325 +tp1962 +a(g496 +Vmacro +p1963 +tp1964 +a(g827 +g968 +tp1965 +a(g810 +Vclass +p1966 +tp1967 +a(g827 +g968 +tp1968 +a(g436 +VM +p1969 +tp1970 +a(g827 +g968 +tp1971 +a(g706 +g1317 +tp1972 +a(g827 +V\u000a +p1973 +tp1974 +a(g496 +g1921 +tp1975 +a(g496 +g1325 +tp1976 +a(g496 +Vmacro +p1977 +tp1978 +a(g827 +g968 +tp1979 +a(g810 +Vstatic +p1980 +tp1981 +a(g827 +g968 +tp1982 +a(g810 +Vfunction +p1983 +tp1984 +a(g827 +g968 +tp1985 +a(g574 +Vtest +p1986 +tp1987 +a(g706 +g1441 +tp1988 +a(g436 +g1107 +tp1989 +a(g706 +g1325 +tp1990 +a(g436 +VArray +p1991 +tp1992 +a(g706 +g1743 +tp1993 +a(g436 +VExpr +p1994 +tp1995 +a(g706 +g1754 +tp1996 +a(g706 +g1447 +tp1997 +a(g706 +g1325 +tp1998 +a(g436 +VExprOf +p1999 +tp2000 +a(g706 +g1743 +tp2001 +a(g436 +VString +p2002 +tp2003 +a(g706 +g1754 +tp2004 +a(g827 +g968 +tp2005 +a(g706 +g1317 +tp2006 +a(g827 +V\u000a +p2007 +tp2008 +a(g745 +Vreturn +p2009 +tp2010 +a(g827 +g968 +tp2011 +a(g745 +Vmacro +p2012 +tp2013 +a(g827 +g968 +tp2014 +a(g157 +g1092 +tp2015 +a(g157 +g1102 +tp2016 +a(g157 +Vk +p2017 +tp2018 +a(g157 +g1092 +tp2019 +a(g706 +g962 +tp2020 +a(g827 +V\u000a +p2021 +tp2022 +a(g706 +g1344 +tp2023 +a(g827 +V\u000a +p2024 +tp2025 +a(g706 +g1344 +tp2026 +a(g827 +V\u000a\u000a +p2027 +tp2028 +a(g810 +Venum +p2029 +tp2030 +a(g827 +g968 +tp2031 +a(g436 +VColor +p2032 +tp2033 +a(g827 +g968 +tp2034 +a(g706 +g1317 +tp2035 +a(g827 +V\u000a +p2036 +tp2037 +a(g436 +VRed +p2038 +tp2039 +a(g706 +g962 +tp2040 +a(g827 +V\u000a +p2041 +tp2042 +a(g436 +VGreen +p2043 +tp2044 +a(g706 +g962 +tp2045 +a(g827 +V\u000a +p2046 +tp2047 +a(g436 +VBlue +p2048 +tp2049 +a(g706 +g962 +tp2050 +a(g827 +V\u000a +p2051 +tp2052 +a(g436 +VGrey +p2053 +tp2054 +a(g706 +g1441 +tp2055 +a(g827 +g968 +tp2056 +a(g436 +Vv +p2057 +tp2058 +a(g827 +g968 +tp2059 +a(g706 +g1325 +tp2060 +a(g827 +g968 +tp2061 +a(g436 +VInt +p2062 +tp2063 +a(g827 +g968 +tp2064 +a(g706 +g1447 +tp2065 +a(g706 +g962 +tp2066 +a(g827 +V\u000a +p2067 +tp2068 +a(g436 +VRgb +p2069 +tp2070 +a(g706 +g1441 +tp2071 +a(g827 +g968 +tp2072 +a(g436 +g1109 +tp2073 +a(g827 +g968 +tp2074 +a(g706 +g1325 +tp2075 +a(g827 +g968 +tp2076 +a(g436 +VInt +p2077 +tp2078 +a(g706 +g1330 +tp2079 +a(g827 +g968 +tp2080 +a(g436 +g1121 +tp2081 +a(g827 +g968 +tp2082 +a(g706 +g1325 +tp2083 +a(g827 +g968 +tp2084 +a(g436 +VInt +p2085 +tp2086 +a(g706 +g1330 +tp2087 +a(g827 +g968 +tp2088 +a(g436 +g1408 +tp2089 +a(g827 +g968 +tp2090 +a(g706 +g1325 +tp2091 +a(g827 +g968 +tp2092 +a(g436 +VInt +p2093 +tp2094 +a(g827 +g968 +tp2095 +a(g706 +g1447 +tp2096 +a(g706 +g962 +tp2097 +a(g827 +V\u000a +p2098 +tp2099 +a(g436 +VAlpha +p2100 +tp2101 +a(g706 +g1441 +tp2102 +a(g827 +g968 +tp2103 +a(g436 +g1119 +tp2104 +a(g827 +g968 +tp2105 +a(g706 +g1325 +tp2106 +a(g827 +g968 +tp2107 +a(g436 +VInt +p2108 +tp2109 +a(g706 +g1330 +tp2110 +a(g827 +g968 +tp2111 +a(g436 +Vcol +p2112 +tp2113 +a(g827 +g968 +tp2114 +a(g706 +g1325 +tp2115 +a(g827 +g968 +tp2116 +a(g436 +VColor +p2117 +tp2118 +a(g827 +g968 +tp2119 +a(g706 +g1447 +tp2120 +a(g706 +g962 +tp2121 +a(g827 +V\u000a +p2122 +tp2123 +a(g706 +g1344 +tp2124 +a(g827 +V\u000a\u000a +p2125 +tp2126 +a(g810 +Vclass +p2127 +tp2128 +a(g827 +g968 +tp2129 +a(g436 +VColors +p2130 +tp2131 +a(g827 +g968 +tp2132 +a(g706 +g1317 +tp2133 +a(g827 +V\u000a +p2134 +tp2135 +a(g810 +Vstatic +p2136 +tp2137 +a(g827 +g968 +tp2138 +a(g810 +Vfunction +p2139 +tp2140 +a(g827 +g968 +tp2141 +a(g574 +VtoInt +p2142 +tp2143 +a(g706 +g1441 +tp2144 +a(g827 +g968 +tp2145 +a(g436 +g1417 +tp2146 +a(g827 +g968 +tp2147 +a(g706 +g1325 +tp2148 +a(g827 +g968 +tp2149 +a(g436 +VColor +p2150 +tp2151 +a(g827 +g968 +tp2152 +a(g706 +g1447 +tp2153 +a(g827 +g968 +tp2154 +a(g706 +g1325 +tp2155 +a(g827 +g968 +tp2156 +a(g436 +VInt +p2157 +tp2158 +a(g827 +g968 +tp2159 +a(g706 +g1317 +tp2160 +a(g827 +V\u000a +p2161 +tp2162 +a(g745 +Vreturn +p2163 +tp2164 +a(g827 +g968 +tp2165 +a(g745 +Vswitch +p2166 +tp2167 +a(g706 +g1441 +tp2168 +a(g827 +g968 +tp2169 +a(g436 +g1417 +tp2170 +a(g827 +g968 +tp2171 +a(g706 +g1447 +tp2172 +a(g827 +g968 +tp2173 +a(g706 +g1317 +tp2174 +a(g827 +V\u000a +p2175 +tp2176 +a(g745 +Vcase +p2177 +tp2178 +a(g827 +g968 +tp2179 +a(g436 +VRed +p2180 +tp2181 +a(g706 +g1325 +tp2182 +a(g827 +g968 +tp2183 +a(g745 +g1134 +tp2184 +a(g298 +V0xFF0000 +p2185 +tp2186 +a(g706 +g962 +tp2187 +a(g827 +V\u000a +p2188 +tp2189 +a(g745 +Vcase +p2190 +tp2191 +a(g827 +g968 +tp2192 +a(g436 +VGreen +p2193 +tp2194 +a(g706 +g1325 +tp2195 +a(g827 +g968 +tp2196 +a(g745 +g1134 +tp2197 +a(g298 +V0x00FF00 +p2198 +tp2199 +a(g706 +g962 +tp2200 +a(g827 +V\u000a +p2201 +tp2202 +a(g745 +Vcase +p2203 +tp2204 +a(g827 +g968 +tp2205 +a(g436 +VBlue +p2206 +tp2207 +a(g706 +g1325 +tp2208 +a(g827 +g968 +tp2209 +a(g745 +g1134 +tp2210 +a(g298 +V0x0000FF +p2211 +tp2212 +a(g706 +g962 +tp2213 +a(g827 +V\u000a +p2214 +tp2215 +a(g745 +Vcase +p2216 +tp2217 +a(g827 +g968 +tp2218 +a(g436 +VGrey +p2219 +tp2220 +a(g706 +g1441 +tp2221 +a(g436 +g2057 +tp2222 +a(g706 +g1447 +tp2223 +a(g706 +g1325 +tp2224 +a(g827 +g968 +tp2225 +a(g745 +g1134 +tp2226 +a(g706 +g1441 +tp2227 +a(g436 +g2057 +tp2228 +a(g827 +g968 +tp2229 +a(g413 +V<< +p2230 +tp2231 +a(g827 +g968 +tp2232 +a(g37 +V16 +p2233 +tp2234 +a(g706 +g1447 +tp2235 +a(g827 +g968 +tp2236 +a(g413 +V| +p2237 +tp2238 +a(g827 +g968 +tp2239 +a(g706 +g1441 +tp2240 +a(g436 +g2057 +tp2241 +a(g827 +g968 +tp2242 +a(g413 +V<< +p2243 +tp2244 +a(g827 +g968 +tp2245 +a(g37 +V8 +p2246 +tp2247 +a(g706 +g1447 +tp2248 +a(g827 +g968 +tp2249 +a(g413 +g2237 +tp2250 +a(g827 +g968 +tp2251 +a(g436 +g2057 +tp2252 +a(g706 +g962 +tp2253 +a(g827 +V\u000a +p2254 +tp2255 +a(g745 +Vcase +p2256 +tp2257 +a(g827 +g968 +tp2258 +a(g436 +VRgb +p2259 +tp2260 +a(g706 +g1441 +tp2261 +a(g436 +g1109 +tp2262 +a(g706 +g1330 +tp2263 +a(g436 +g1121 +tp2264 +a(g706 +g1330 +tp2265 +a(g436 +g1408 +tp2266 +a(g706 +g1447 +tp2267 +a(g706 +g1325 +tp2268 +a(g827 +g968 +tp2269 +a(g745 +g1134 +tp2270 +a(g706 +g1441 +tp2271 +a(g436 +g1109 +tp2272 +a(g827 +g968 +tp2273 +a(g413 +V<< +p2274 +tp2275 +a(g827 +g968 +tp2276 +a(g37 +V16 +p2277 +tp2278 +a(g706 +g1447 +tp2279 +a(g827 +g968 +tp2280 +a(g413 +g2237 +tp2281 +a(g827 +g968 +tp2282 +a(g706 +g1441 +tp2283 +a(g436 +g1121 +tp2284 +a(g827 +g968 +tp2285 +a(g413 +V<< +p2286 +tp2287 +a(g827 +g968 +tp2288 +a(g37 +g2246 +tp2289 +a(g706 +g1447 +tp2290 +a(g827 +g968 +tp2291 +a(g413 +g2237 +tp2292 +a(g827 +g968 +tp2293 +a(g436 +g1408 +tp2294 +a(g706 +g962 +tp2295 +a(g827 +V\u000a +p2296 +tp2297 +a(g745 +Vcase +p2298 +tp2299 +a(g827 +g968 +tp2300 +a(g436 +VAlpha +p2301 +tp2302 +a(g706 +g1441 +tp2303 +a(g436 +g1119 +tp2304 +a(g706 +g1330 +tp2305 +a(g436 +g1417 +tp2306 +a(g706 +g1447 +tp2307 +a(g706 +g1325 +tp2308 +a(g827 +g968 +tp2309 +a(g745 +g1134 +tp2310 +a(g706 +g1441 +tp2311 +a(g436 +g1119 +tp2312 +a(g827 +g968 +tp2313 +a(g413 +V<< +p2314 +tp2315 +a(g827 +g968 +tp2316 +a(g37 +V24 +p2317 +tp2318 +a(g706 +g1447 +tp2319 +a(g827 +g968 +tp2320 +a(g413 +g2237 +tp2321 +a(g827 +g968 +tp2322 +a(g706 +g1441 +tp2323 +a(g436 +VtoInt +p2324 +tp2325 +a(g706 +g1441 +tp2326 +a(g436 +g1417 +tp2327 +a(g706 +g1447 +tp2328 +a(g827 +g968 +tp2329 +a(g413 +V& +p2330 +tp2331 +a(g827 +g968 +tp2332 +a(g298 +V0xFFFFFF +p2333 +tp2334 +a(g706 +g1447 +tp2335 +a(g706 +g962 +tp2336 +a(g827 +V\u000a +p2337 +tp2338 +a(g706 +g1344 +tp2339 +a(g827 +V\u000a +p2340 +tp2341 +a(g706 +g1344 +tp2342 +a(g827 +V\u000a +p2343 +tp2344 +a(g706 +g1344 +tp2345 +a(g827 +V\u000a\u000a +p2346 +tp2347 +a(g810 +Vclass +p2348 +tp2349 +a(g827 +g968 +tp2350 +a(g436 +VEvtQueue +p2351 +tp2352 +a(g706 +g1743 +tp2353 +a(g436 +g1745 +tp2354 +a(g827 +g968 +tp2355 +a(g706 +g1325 +tp2356 +a(g827 +g968 +tp2357 +a(g706 +g1441 +tp2358 +a(g436 +VEvent +p2359 +tp2360 +a(g706 +g1330 +tp2361 +a(g827 +g968 +tp2362 +a(g436 +VEventDispatcher +p2363 +tp2364 +a(g706 +g1447 +tp2365 +a(g706 +g1754 +tp2366 +a(g827 +g968 +tp2367 +a(g706 +g1317 +tp2368 +a(g827 +V\u000a +p2369 +tp2370 +a(g810 +Vvar +p2371 +tp2372 +a(g827 +g968 +tp2373 +a(g827 +Vevt +p2374 +tp2375 +a(g827 +g968 +tp2376 +a(g706 +g1325 +tp2377 +a(g827 +g968 +tp2378 +a(g436 +g1745 +tp2379 +a(g706 +g962 +tp2380 +a(g827 +V\u000a +p2381 +tp2382 +a(g706 +g1344 +tp2383 +a(g827 +V\u000a\u000a +p2384 +tp2385 +a(g810 +Vtypedef +p2386 +tp2387 +a(g827 +g968 +tp2388 +a(g436 +VDS +p2389 +tp2390 +a(g827 +g968 +tp2391 +a(g413 +g1314 +tp2392 +a(g827 +g968 +tp2393 +a(g436 +VDynamic +p2394 +tp2395 +a(g706 +g1743 +tp2396 +a(g436 +VString +p2397 +tp2398 +a(g706 +g1754 +tp2399 +a(g706 +g962 +tp2400 +a(g827 +V\u000a +p2401 +tp2402 +a(g810 +Vtypedef +p2403 +tp2404 +a(g827 +g968 +tp2405 +a(g436 +VPt +p2406 +tp2407 +a(g827 +g968 +tp2408 +a(g413 +g1314 +tp2409 +a(g827 +g968 +tp2410 +a(g706 +g1317 +tp2411 +a(g827 +V\u000a +p2412 +tp2413 +a(g810 +Vvar +p2414 +tp2415 +a(g827 +g968 +tp2416 +a(g827 +g1321 +tp2417 +a(g706 +g1325 +tp2418 +a(g436 +VFloat +p2419 +tp2420 +a(g706 +g962 +tp2421 +a(g827 +V\u000a +p2422 +tp2423 +a(g810 +Vvar +p2424 +tp2425 +a(g827 +g968 +tp2426 +a(g827 +g1334 +tp2427 +a(g706 +g1325 +tp2428 +a(g436 +VFloat +p2429 +tp2430 +a(g706 +g962 +tp2431 +a(g827 +V\u000a +p2432 +tp2433 +a(g496 +g1921 +tp2434 +a(g496 +g1325 +tp2435 +a(g496 +Voptional +p2436 +tp2437 +a(g827 +g968 +tp2438 +a(g810 +Vvar +p2439 +tp2440 +a(g827 +g968 +tp2441 +a(g827 +g1375 +tp2442 +a(g706 +g1325 +tp2443 +a(g436 +VFloat +p2444 +tp2445 +a(g706 +g962 +tp2446 +a(g827 +g968 +tp2447 +a(g8 +V/* optional z */ +p2448 +tp2449 +a(g827 +V\u000a +p2450 +tp2451 +a(g810 +Vfunction +p2452 +tp2453 +a(g827 +g968 +tp2454 +a(g574 +Vadd +p2455 +tp2456 +a(g706 +g1441 +tp2457 +a(g436 +Vpt +p2458 +tp2459 +a(g706 +g1325 +tp2460 +a(g436 +VPt +p2461 +tp2462 +a(g706 +g1447 +tp2463 +a(g706 +g1325 +tp2464 +a(g436 +VVoid +p2465 +tp2466 +a(g706 +g962 +tp2467 +a(g827 +V\u000a +p2468 +tp2469 +a(g706 +g1344 +tp2470 +a(g827 +V\u000a +p2471 +tp2472 +a(g810 +Vtypedef +p2473 +tp2474 +a(g827 +g968 +tp2475 +a(g436 +VPt2 +p2476 +tp2477 +a(g827 +g968 +tp2478 +a(g413 +g1314 +tp2479 +a(g827 +g968 +tp2480 +a(g706 +g1317 +tp2481 +a(g827 +V\u000a +p2482 +tp2483 +a(g436 +g1321 +tp2484 +a(g706 +g1325 +tp2485 +a(g436 +VFloat +p2486 +tp2487 +a(g706 +g1330 +tp2488 +a(g827 +V\u000a +p2489 +tp2490 +a(g436 +g1334 +tp2491 +a(g706 +g1325 +tp2492 +a(g436 +VFloat +p2493 +tp2494 +a(g706 +g1330 +tp2495 +a(g827 +V\u000a +p2496 +tp2497 +a(g706 +g1892 +tp2498 +a(g436 +g1375 +tp2499 +a(g706 +g1325 +tp2500 +a(g436 +VFloat +p2501 +tp2502 +a(g706 +g1330 +tp2503 +a(g827 +g968 +tp2504 +a(g906 +V//optional z +p2505 +tp2506 +a(g827 +V\u000a +p2507 +tp2508 +a(g436 +Vadd +p2509 +tp2510 +a(g827 +g968 +tp2511 +a(g706 +g1325 +tp2512 +a(g827 +g968 +tp2513 +a(g436 +VPoint +p2514 +tp2515 +a(g827 +g968 +tp2516 +a(g706 +V-> +p2517 +tp2518 +a(g827 +g968 +tp2519 +a(g436 +VVoid +p2520 +tp2521 +a(g706 +g1330 +tp2522 +a(g827 +V\u000a +p2523 +tp2524 +a(g706 +g1344 +tp2525 +a(g827 +V\u000a\u000a\u000a +p2526 +tp2527 +a(g906 +V//top-level class members +p2528 +tp2529 +a(g827 +V\u000a +p2530 +tp2531 +a(g827 +g1134 +tp2532 +a(g810 +Vpublic +p2533 +tp2534 +a(g827 +g968 +tp2535 +a(g810 +Vfunction +p2536 +tp2537 +a(g827 +g968 +tp2538 +a(g574 +Vtest +p2539 +tp2540 +a(g706 +g1441 +tp2541 +a(g706 +g1447 +tp2542 +a(g706 +g962 +tp2543 +a(g827 +V\u000a +p2544 +tp2545 +a(g810 +Vprivate +p2546 +tp2547 +a(g827 +g968 +tp2548 +a(g827 +g1134 +tp2549 +a(g810 +Vvar +p2550 +tp2551 +a(g827 +g968 +tp2552 +a(g827 +Vattr +p2553 +tp2554 +a(g706 +g1441 +tp2555 +a(g745 +Vget +p2556 +tp2557 +a(g706 +g1330 +tp2558 +a(g827 +g968 +tp2559 +a(g745 +Vset +p2560 +tp2561 +a(g706 +g1447 +tp2562 +a(g827 +g968 +tp2563 +a(g413 +g1314 +tp2564 +a(g827 +g968 +tp2565 +a(g37 +g1328 +tp2566 +a(g706 +g962 +tp2567 +a(g827 +V\u000a\u000a\u000a +p2568 +tp2569 +a(g906 +V//pre-proc number +p2570 +tp2571 +a(g827 +V\u000a +p2572 +tp2573 +a(g827 +g1134 +tp2574 +a(g810 +Vpublic +p2575 +tp2576 +a(g827 +g968 +tp2577 +a(g810 +Vstatic +p2578 +tp2579 +a(g827 +g968 +tp2580 +a(g810 +Vinline +p2581 +tp2582 +a(g827 +g968 +tp2583 +a(g810 +Vfunction +p2584 +tp2585 +a(g827 +g968 +tp2586 +a(g574 +VindexOf +p2587 +tp2588 +a(g706 +g1743 +tp2589 +a(g436 +g1745 +tp2590 +a(g706 +g1754 +tp2591 +a(g706 +g1441 +tp2592 +a(g436 +Varr +p2593 +tp2594 +a(g706 +g1325 +tp2595 +a(g436 +VArray +p2596 +tp2597 +a(g706 +g1743 +tp2598 +a(g436 +g1745 +tp2599 +a(g706 +g1754 +tp2600 +a(g706 +g1330 +tp2601 +a(g827 +g968 +tp2602 +a(g436 +g2057 +tp2603 +a(g706 +g1325 +tp2604 +a(g436 +g1745 +tp2605 +a(g706 +g1447 +tp2606 +a(g827 +g968 +tp2607 +a(g706 +g1325 +tp2608 +a(g827 +g968 +tp2609 +a(g436 +VInt +p2610 +tp2611 +a(g827 +V\u000a +p2612 +tp2613 +a(g706 +g1317 +tp2614 +a(g827 +V\u000a +p2615 +tp2616 +a(g899 +V#if +p2617 +tp2618 +a(g899 +g968 +tp2619 +a(g899 +g1441 +tp2620 +a(g899 +Vhaxe_ver +p2621 +tp2622 +a(g899 +g968 +tp2623 +a(g899 +V>= +p2624 +tp2625 +a(g899 +g968 +tp2626 +a(g315 +V3.1 +p2627 +tp2628 +a(g899 +g1447 +tp2629 +a(g827 +V \u000a +p2630 +tp2631 +a(g745 +Vreturn +p2632 +tp2633 +a(g827 +g968 +tp2634 +a(g436 +Varr +p2635 +tp2636 +a(g706 +g972 +tp2637 +a(g436 +VindexOf +p2638 +tp2639 +a(g706 +g1441 +tp2640 +a(g436 +g2057 +tp2641 +a(g706 +g1447 +tp2642 +a(g706 +g962 +tp2643 +a(g827 +V\u000a +p2644 +tp2645 +a(g899 +V#else +p2646 +tp2647 +a(g827 +V\u000a +p2648 +tp2649 +a(g899 +V#if +p2650 +tp2651 +a(g899 +g968 +tp2652 +a(g899 +g1441 +tp2653 +a(g899 +Vflash +p2654 +tp2655 +a(g899 +g968 +tp2656 +a(g899 +V|| +p2657 +tp2658 +a(g899 +g968 +tp2659 +a(g899 +Vjs +p2660 +tp2661 +a(g899 +g1447 +tp2662 +a(g827 +V\u000a +p2663 +tp2664 +a(g745 +Vreturn +p2665 +tp2666 +a(g827 +g968 +tp2667 +a(g745 +Vuntyped +p2668 +tp2669 +a(g827 +g968 +tp2670 +a(g436 +Varr +p2671 +tp2672 +a(g706 +g972 +tp2673 +a(g436 +VindexOf +p2674 +tp2675 +a(g706 +g1441 +tp2676 +a(g436 +g2057 +tp2677 +a(g706 +g1447 +tp2678 +a(g706 +g962 +tp2679 +a(g827 +V\u000a +p2680 +tp2681 +a(g899 +V#else +p2682 +tp2683 +a(g827 +V\u000a +p2684 +tp2685 +a(g745 +Vreturn +p2686 +tp2687 +a(g827 +g968 +tp2688 +a(g436 +Vstd +p2689 +tp2690 +a(g706 +g972 +tp2691 +a(g436 +VLambda +p2692 +tp2693 +a(g706 +g972 +tp2694 +a(g436 +VindexOf +p2695 +tp2696 +a(g706 +g1441 +tp2697 +a(g436 +Varr +p2698 +tp2699 +a(g706 +g1330 +tp2700 +a(g827 +g968 +tp2701 +a(g436 +g2057 +tp2702 +a(g706 +g1447 +tp2703 +a(g706 +g962 +tp2704 +a(g827 +V\u000a +p2705 +tp2706 +a(g899 +V#end +p2707 +tp2708 +a(g827 +V\u000a +p2709 +tp2710 +a(g899 +V#end +p2711 +tp2712 +a(g827 +V\u000a +p2713 +tp2714 +a(g706 +g1344 +tp2715 +a(g827 +V\u000a\u000a +p2716 +tp2717 +a(g906 +V//macro reification +p2718 +tp2719 +a(g827 +V\u000a +p2720 +tp2721 +a(g827 +g1134 +tp2722 +a(g810 +Vvar +p2723 +tp2724 +a(g827 +g968 +tp2725 +a(g827 +g1107 +tp2726 +a(g827 +g968 +tp2727 +a(g413 +g1314 +tp2728 +a(g827 +g968 +tp2729 +a(g745 +Vmacro +p2730 +tp2731 +a(g827 +g968 +tp2732 +a(g810 +Vvar +p2733 +tp2734 +a(g827 +g968 +tp2735 +a(g827 +V$myVar +p2736 +tp2737 +a(g827 +g968 +tp2738 +a(g413 +g1314 +tp2739 +a(g827 +g968 +tp2740 +a(g37 +g1136 +tp2741 +a(g706 +g962 +tp2742 +a(g827 +V\u000a +p2743 +tp2744 +a(g827 +g1134 +tp2745 +a(g810 +Vvar +p2746 +tp2747 +a(g827 +g968 +tp2748 +a(g827 +g1107 +tp2749 +a(g827 +g968 +tp2750 +a(g413 +g1314 +tp2751 +a(g827 +g968 +tp2752 +a(g745 +Vmacro +p2753 +tp2754 +a(g827 +g968 +tp2755 +a(g436 +V$ +p2756 +tp2757 +a(g706 +g1317 +tp2758 +a(g436 +g2057 +tp2759 +a(g706 +g1344 +tp2760 +a(g706 +g972 +tp2761 +a(g436 +VtoLowerCase +p2762 +tp2763 +a(g706 +g1441 +tp2764 +a(g706 +g1447 +tp2765 +a(g706 +g962 +tp2766 +a(g827 +V\u000a +p2767 +tp2768 +a(g827 +g1134 +tp2769 +a(g810 +Vvar +p2770 +tp2771 +a(g827 +g968 +tp2772 +a(g827 +g1107 +tp2773 +a(g827 +g968 +tp2774 +a(g413 +g1314 +tp2775 +a(g827 +g968 +tp2776 +a(g745 +Vmacro +p2777 +tp2778 +a(g827 +g968 +tp2779 +a(g436 +g1102 +tp2780 +a(g706 +g972 +tp2781 +a(g436 +V$myField +p2782 +tp2783 +a(g706 +g962 +tp2784 +a(g827 +V\u000a +p2785 +tp2786 +a(g827 +g1134 +tp2787 +a(g810 +Vvar +p2788 +tp2789 +a(g827 +g968 +tp2790 +a(g827 +g1107 +tp2791 +a(g827 +g968 +tp2792 +a(g413 +g1314 +tp2793 +a(g827 +g968 +tp2794 +a(g745 +Vmacro +p2795 +tp2796 +a(g827 +g968 +tp2797 +a(g706 +g1317 +tp2798 +a(g827 +g968 +tp2799 +a(g436 +V$myField +p2800 +tp2801 +a(g827 +g968 +tp2802 +a(g706 +g1325 +tp2803 +a(g827 +g968 +tp2804 +a(g37 +g1136 +tp2805 +a(g827 +g968 +tp2806 +a(g706 +g1344 +tp2807 +a(g706 +g962 +tp2808 +a(g827 +V\u000a +p2809 +tp2810 +a(g827 +g1134 +tp2811 +a(g810 +Vvar +p2812 +tp2813 +a(g827 +g968 +tp2814 +a(g827 +g1107 +tp2815 +a(g827 +g968 +tp2816 +a(g413 +g1314 +tp2817 +a(g827 +g968 +tp2818 +a(g745 +Vmacro +p2819 +tp2820 +a(g827 +g968 +tp2821 +a(g436 +V$i +p2822 +tp2823 +a(g706 +g1317 +tp2824 +a(g436 +VvarName +p2825 +tp2826 +a(g706 +g1344 +tp2827 +a(g413 +V++ +p2828 +tp2829 +a(g706 +g962 +tp2830 +a(g827 +V\u000a +p2831 +tp2832 +a(g827 +g1134 +tp2833 +a(g810 +Vvar +p2834 +tp2835 +a(g827 +g968 +tp2836 +a(g827 +g1107 +tp2837 +a(g827 +g968 +tp2838 +a(g413 +g1314 +tp2839 +a(g827 +g968 +tp2840 +a(g745 +Vmacro +p2841 +tp2842 +a(g827 +g968 +tp2843 +a(g436 +V$v +p2844 +tp2845 +a(g706 +g1317 +tp2846 +a(g436 +VmyStr +p2847 +tp2848 +a(g706 +g1344 +tp2849 +a(g706 +g962 +tp2850 +a(g827 +V\u000a +p2851 +tp2852 +a(g827 +g1134 +tp2853 +a(g810 +Vvar +p2854 +tp2855 +a(g827 +g968 +tp2856 +a(g827 +Vargs +p2857 +tp2858 +a(g827 +g968 +tp2859 +a(g413 +g1314 +tp2860 +a(g827 +g968 +tp2861 +a(g706 +g1653 +tp2862 +a(g745 +Vmacro +p2863 +tp2864 +a(g827 +g968 +tp2865 +a(g157 +g1092 +tp2866 +a(g157 +g1098 +tp2867 +a(g157 +g1096 +tp2868 +a(g157 +g1408 +tp2869 +a(g157 +g1092 +tp2870 +a(g706 +g1330 +tp2871 +a(g827 +g968 +tp2872 +a(g745 +Vmacro +p2873 +tp2874 +a(g827 +g968 +tp2875 +a(g37 +g1367 +tp2876 +a(g706 +g1667 +tp2877 +a(g706 +g962 +tp2878 +a(g827 +V\u000a +p2879 +tp2880 +a(g827 +g1134 +tp2881 +a(g810 +Vvar +p2882 +tp2883 +a(g827 +g968 +tp2884 +a(g827 +g1107 +tp2885 +a(g827 +g968 +tp2886 +a(g413 +g1314 +tp2887 +a(g827 +g968 +tp2888 +a(g745 +Vmacro +p2889 +tp2890 +a(g827 +g968 +tp2891 +a(g157 +g1092 +tp2892 +a(g157 +VH +p2893 +tp2894 +a(g157 +g1107 +tp2895 +a(g157 +g1206 +tp2896 +a(g157 +g1206 +tp2897 +a(g157 +g1102 +tp2898 +a(g157 +g1092 +tp2899 +a(g706 +g972 +tp2900 +a(g436 +VtoLowerCase +p2901 +tp2902 +a(g706 +g1441 +tp2903 +a(g436 +V$a +p2904 +tp2905 +a(g706 +g1317 +tp2906 +a(g436 +Vargs +p2907 +tp2908 +a(g706 +g1344 +tp2909 +a(g706 +g1447 +tp2910 +a(g706 +g962 +tp2911 +a(g827 +V\u000a +p2912 +tp2913 +a(g827 +g1134 +tp2914 +a(g706 +g1441 +tp2915 +a(g745 +Vmacro +p2916 +tp2917 +a(g827 +g968 +tp2918 +a(g436 +V$i +p2919 +tp2920 +a(g706 +g1317 +tp2921 +a(g436 +Vtmp +p2922 +tp2923 +a(g706 +g1344 +tp2924 +a(g706 +g972 +tp2925 +a(g436 +VaddAtom +p2926 +tp2927 +a(g706 +g1441 +tp2928 +a(g436 +V$v +p2929 +tp2930 +a(g706 +g1317 +tp2931 +a(g436 +Vname +p2932 +tp2933 +a(g706 +g1344 +tp2934 +a(g706 +g1330 +tp2935 +a(g827 +g968 +tp2936 +a(g436 +V$atom +p2937 +tp2938 +a(g706 +g1447 +tp2939 +a(g706 +g1447 +tp2940 +a(g706 +g972 +tp2941 +a(g436 +Vfinalize +p2942 +tp2943 +a(g706 +g1441 +tp2944 +a(g436 +Vop +p2945 +tp2946 +a(g706 +g972 +tp2947 +a(g436 +Vpos +p2948 +tp2949 +a(g706 +g1447 +tp2950 +a(g706 +g962 +tp2951 +a(g827 +V\u000a\u000a +p2952 +tp2953 +a(g827 +g1134 +tp2954 +a(g810 +Vvar +p2955 +tp2956 +a(g827 +g968 +tp2957 +a(g827 +g1417 +tp2958 +a(g827 +g968 +tp2959 +a(g413 +g1314 +tp2960 +a(g827 +g968 +tp2961 +a(g745 +Vmacro +p2962 +tp2963 +a(g827 +g968 +tp2964 +a(g810 +Vclass +p2965 +tp2966 +a(g827 +g968 +tp2967 +a(g436 +VMyClass +p2968 +tp2969 +a(g827 +g968 +tp2970 +a(g706 +g1317 +tp2971 +a(g827 +V\u000a +p2972 +tp2973 +a(g810 +Vpublic +p2974 +tp2975 +a(g827 +g968 +tp2976 +a(g810 +Vfunction +p2977 +tp2978 +a(g827 +g968 +tp2979 +a(g574 +Vnew +p2980 +tp2981 +a(g706 +g1441 +tp2982 +a(g706 +g1447 +tp2983 +a(g827 +g968 +tp2984 +a(g706 +g1317 +tp2985 +a(g827 +g968 +tp2986 +a(g706 +g1344 +tp2987 +a(g827 +V\u000a +p2988 +tp2989 +a(g810 +Vpublic +p2990 +tp2991 +a(g827 +g968 +tp2992 +a(g810 +Vfunction +p2993 +tp2994 +a(g827 +g968 +tp2995 +a(g574 +V$funcName +p2996 +tp2997 +a(g706 +g1441 +tp2998 +a(g706 +g1447 +tp2999 +a(g827 +g968 +tp3000 +a(g706 +g1317 +tp3001 +a(g827 +V\u000a +p3002 +tp3003 +a(g436 +Vtrace +p3004 +tp3005 +a(g706 +g1441 +tp3006 +a(g436 +V$v +p3007 +tp3008 +a(g706 +g1317 +tp3009 +a(g436 +VfuncName +p3010 +tp3011 +a(g706 +g1344 +tp3012 +a(g827 +g968 +tp3013 +a(g413 +g1814 +tp3014 +a(g827 +g968 +tp3015 +a(g157 +g1092 +tp3016 +a(g157 +g968 +tp3017 +a(g157 +g1227 +tp3018 +a(g157 +g1119 +tp3019 +a(g157 +g1098 +tp3020 +a(g157 +g968 +tp3021 +a(g157 +g1417 +tp3022 +a(g157 +g1119 +tp3023 +a(g157 +g1206 +tp3024 +a(g157 +g1206 +tp3025 +a(g157 +g1107 +tp3026 +a(g157 +g1232 +tp3027 +a(g157 +g1092 +tp3028 +a(g706 +g1447 +tp3029 +a(g706 +g962 +tp3030 +a(g827 +V\u000a +p3031 +tp3032 +a(g706 +g1344 +tp3033 +a(g827 +V\u000a +p3034 +tp3035 +a(g706 +g1344 +tp3036 +a(g827 +V\u000a\u000a +p3037 +tp3038 +a(g827 +g1134 +tp3039 +a(g810 +Vvar +p3040 +tp3041 +a(g827 +g968 +tp3042 +a(g827 +g1417 +tp3043 +a(g827 +g968 +tp3044 +a(g413 +g1314 +tp3045 +a(g827 +g968 +tp3046 +a(g745 +Vmacro +p3047 +tp3048 +a(g827 +g968 +tp3049 +a(g810 +Vinterface +p3050 +tp3051 +a(g827 +g968 +tp3052 +a(g436 +VIClass +p3053 +tp3054 +a(g827 +g968 +tp3055 +a(g706 +g1317 +tp3056 +a(g706 +g1344 +tp3057 +a(g706 +g962 +tp3058 +a(g827 +V\u000a\u000a +p3059 +tp3060 +a(g906 +V//macro class could have no name... +p3061 +tp3062 +a(g827 +V\u000a +p3063 +tp3064 +a(g827 +g1134 +tp3065 +a(g810 +Vvar +p3066 +tp3067 +a(g827 +g968 +tp3068 +a(g827 +Vdef +p3069 +tp3070 +a(g827 +g968 +tp3071 +a(g413 +g1314 +tp3072 +a(g827 +g968 +tp3073 +a(g745 +Vmacro +p3074 +tp3075 +a(g827 +g968 +tp3076 +a(g810 +Vclass +p3077 +tp3078 +a(g827 +g968 +tp3079 +a(g706 +g1317 +tp3080 +a(g827 +V\u000a +p3081 +tp3082 +a(g810 +Vprivate +p3083 +tp3084 +a(g827 +g968 +tp3085 +a(g810 +Vinline +p3086 +tp3087 +a(g827 +g968 +tp3088 +a(g810 +Vfunction +p3089 +tp3090 +a(g827 +g968 +tp3091 +a(g574 +Vnew +p3092 +tp3093 +a(g706 +g1441 +tp3094 +a(g436 +Vloader +p3095 +tp3096 +a(g706 +g1447 +tp3097 +a(g827 +g968 +tp3098 +a(g745 +Vthis +p3099 +tp3100 +a(g827 +g968 +tp3101 +a(g413 +g1314 +tp3102 +a(g827 +g968 +tp3103 +a(g436 +Vloader +p3104 +tp3105 +a(g706 +g962 +tp3106 +a(g827 +V\u000a +p3107 +tp3108 +a(g810 +Vprivate +p3109 +tp3110 +a(g827 +g968 +tp3111 +a(g810 +Vvar +p3112 +tp3113 +a(g827 +g968 +tp3114 +a(g827 +Vloader +p3115 +tp3116 +a(g706 +g1441 +tp3117 +a(g745 +Vget +p3118 +tp3119 +a(g706 +g1330 +tp3120 +a(g745 +Vnever +p3121 +tp3122 +a(g706 +g1447 +tp3123 +a(g827 +g968 +tp3124 +a(g706 +g1325 +tp3125 +a(g827 +g968 +tp3126 +a(g436 +V$loaderType +p3127 +tp3128 +a(g706 +g962 +tp3129 +a(g827 +V\u000a +p3130 +tp3131 +a(g810 +Vinline +p3132 +tp3133 +a(g827 +g968 +tp3134 +a(g810 +Vprivate +p3135 +tp3136 +a(g827 +g968 +tp3137 +a(g810 +Vfunction +p3138 +tp3139 +a(g827 +g968 +tp3140 +a(g574 +Vget_loader +p3141 +tp3142 +a(g706 +g1441 +tp3143 +a(g706 +g1447 +tp3144 +a(g827 +g968 +tp3145 +a(g706 +g1325 +tp3146 +a(g827 +g968 +tp3147 +a(g436 +V$loaderType +p3148 +tp3149 +a(g827 +g968 +tp3150 +a(g745 +Vreturn +p3151 +tp3152 +a(g827 +g968 +tp3153 +a(g745 +Vthis +p3154 +tp3155 +a(g706 +g962 +tp3156 +a(g827 +V\u000a +p3157 +tp3158 +a(g706 +g1344 +tp3159 +a(g706 +g962 +tp3160 +a(g827 +V\u000a\u000a +p3161 +tp3162 +a(g906 +V//ECheckType +p3163 +tp3164 +a(g827 +V\u000a +p3165 +tp3166 +a(g827 +g1134 +tp3167 +a(g810 +Vvar +p3168 +tp3169 +a(g827 +g968 +tp3170 +a(g827 +g1480 +tp3171 +a(g827 +g968 +tp3172 +a(g413 +g1314 +tp3173 +a(g827 +g968 +tp3174 +a(g706 +g1441 +tp3175 +a(g37 +V123 +p3176 +tp3177 +a(g706 +g1325 +tp3178 +a(g436 +VFloat +p3179 +tp3180 +a(g706 +g1447 +tp3181 +a(g706 +g962 +tp3182 +a(g827 +V\u000a +p3183 +tp3184 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.i6t b/tests/examplefiles/output/example.i6t new file mode 100644 index 0000000..e0647ae --- /dev/null +++ b/tests/examplefiles/output/example.i6t @@ -0,0 +1,2614 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbVB/examt: Example Template. +p956 +tp957 +a(g8 +V\u000a\u000a +p958 +tp959 +a(g8 +V@Purpose: +p960 +tp961 +a(g8 +V To show the syntax of I6T, specifically the parts relating to the\u000ainclusion of I7 and at signs in the first column.\u000a\u000a +p962 +tp963 +a(g8 +V@- +p964 +tp965 +a(g8 +V------------------------------------------------------------------------------\u000a\u000a +p966 +tp967 +a(g346 +V@p Lines.\u000a +p968 +tp969 +a(g8 +V\u000a +p970 +tp971 +a(g8 +V@c\u000a +p972 +tp973 +a(g706 +V{- +p974 +tp975 +a(g745 +Vlines +p976 +tp977 +a(g706 +V: +p978 +tp979 +a(g827 +Vtype +p980 +tp981 +a(g706 +V} +p982 +tp983 +a(g827 +V\u000a +p984 +tp985 +a(g898 +V! This is a comment. +p986 +tp987 +a(g827 +V\u000a +p988 +tp989 +a(g706 +V{ +p990 +tp991 +a(g745 +V-endlines +p992 +tp993 +a(g706 +g982 +tp994 +a(g827 +V\u000a\u000a +p995 +tp996 +a(g8 +V@-This line begins with @-, so it is ignored. +p997 +tp998 +a(g827 +V\u000a\u000a +p999 +tp1000 +a(g346 +V@p Paragraph.\u000a +p1001 +tp1002 +a(g8 +VThis is a paragraph.\u000a +p1003 +tp1004 +a(g346 +V@p Another paragraph.\u000a +p1005 +tp1006 +a(g8 +VSo\u000a\u000ais\u000a\u000athis.\u000a\u000a +p1007 +tp1008 +a(g8 +V@Purpose: +p1009 +tp1010 +a(g8 +V This purpose line is ignored.\u000a\u000a +p1011 +tp1012 +a(g8 +V@c At signs and (+ +).\u000a +p1013 +tp1014 +a(g706 +V[ +p1015 +tp1016 +a(g827 +V +p1017 +tp1018 +a(g574 +VFoo +p1019 +tp1020 +a(g827 +g1017 +tp1021 +a(g441 +Vi +p1022 +tp1023 +a(g706 +V; +p1024 +tp1025 +a(g827 +V\u000a +p1026 +tp1027 +a(g745 +Vprint +p1028 +tp1029 +a(g827 +g1017 +tp1030 +a(g706 +V(+ +p1031 +tp1032 +a(g827 +Vscore +p1033 +tp1034 +a(g929 +g1015 +tp1035 +a(g929 +Van I7 value +p1036 +tp1037 +a(g929 +V] +p1038 +tp1039 +a(g706 +V+) +p1040 +tp1041 +a(g706 +V, +p1042 +tp1043 +a(g827 +g1017 +tp1044 +a(g827 +V +p1045 +tp1046 +a(g157 +V" +p1047 +tp1048 +a(g213 +V^ +p1049 +tp1050 +a(g157 +g1047 +tp1051 +a(g706 +g1024 +tp1052 +a(g827 +V\u000a +p1053 +tp1054 +a(g745 +V@ +p1055 +tp1056 +a(g745 +Vadd +p1057 +tp1058 +a(g827 +g1017 +tp1059 +a(g827 +g1045 +tp1060 +a(g786 +Vsp +p1061 +tp1062 +a(g827 +g1017 +tp1063 +a(g827 +g1045 +tp1064 +a(g37 +V1 +p1065 +tp1066 +a(g827 +g1017 +tp1067 +a(g706 +V-> +p1068 +tp1069 +a(g827 +g1017 +tp1070 +a(g827 +g1045 +tp1071 +a(g436 +g1022 +tp1072 +a(g706 +g1024 +tp1073 +a(g827 +g1017 +tp1074 +a(g898 +V! Assembly works even in the first column. +p1075 +tp1076 +a(g827 +V\u000a +p1077 +tp1078 +a(g706 +g1038 +tp1079 +a(g706 +g1045 +tp1080 +a(g706 +g1024 +tp1081 +a(g8 +g1045 +tp1082 +a(g8 +V\u000a +p1083 +tp1084 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.i7x b/tests/examplefiles/output/example.i7x new file mode 100644 index 0000000..bd75664 --- /dev/null +++ b/tests/examplefiles/output/example.i7x @@ -0,0 +1,2873 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbVex +p956 +tp957 +a(g7 +Va +p958 +tp959 +a(g7 +Vmple by D +p960 +tp961 +a(g7 +g958 +tp962 +a(g7 +Vvid Corbett begins here. +p963 +tp964 +a(g7 +V\u000a\u000a +p965 +tp966 +a(g160 +V" +p967 +tp968 +a(g160 +VImplements testable examples. +p969 +tp970 +a(g160 +g967 +tp971 +a(g7 +V\u000a\u000a +p972 +tp973 +a(g7 +VA +p974 +tp975 +a(g7 +Vn ex +p976 +tp977 +a(g7 +g958 +tp978 +a(g7 +Vmple is +p979 +tp980 +a(g7 +g958 +tp981 +a(g7 +V kind of thing. +p982 +tp983 +a(g7 +g974 +tp984 +a(g7 +Vn ex +p985 +tp986 +a(g7 +g958 +tp987 +a(g7 +Vmple c +p988 +tp989 +a(g7 +g958 +tp990 +a(g7 +Vn be tested. +p991 +tp992 +a(g7 +g974 +tp993 +a(g7 +Vn ex +p994 +tp995 +a(g7 +g958 +tp996 +a(g7 +Vmple is seldom tested. +p997 +tp998 +a(g7 +V\u000a\u000a +p999 +tp1000 +a(g7 +Vex +p1001 +tp1002 +a(g7 +g958 +tp1003 +a(g7 +Vmple ends here. +p1004 +tp1005 +a(g7 +V\u000a\u000a +p1006 +tp1007 +a(g7 +V----\u000a +p1008 +tp1009 +a(g792 +V[ +p1010 +tp1011 +a(g792 +VThe +p1012 +tp1013 +a(g792 +V] +p1014 +tp1015 +a(g7 +V +p1016 +tp1017 +a(g7 +Vdocumentation +p1018 +tp1019 +a(g792 +g1010 +tp1020 +a(g792 +Vstarts here. +p1021 +tp1022 +a(g792 +g1014 +tp1023 +a(g7 +V\u000a +p1024 +tp1025 +a(g7 +V----\u000a +p1026 +tp1027 +a(g7 +V\u000a +p1028 +tp1029 +a(g7 +VThis extension adds examples, which may be tested. +p1030 +tp1031 +a(g7 +V\u000a +p1032 +tp1033 +a(g349 +V\u000aChapter: Usage +p1034 +tp1035 +a(g7 +V\u000a +p1036 +tp1037 +a(g7 +V\u000a +p1038 +tp1039 +a(g7 +VTo add an example to the story, we write: +p1040 +tp1041 +a(g7 +V\u000a +p1042 +tp1043 +a(g7 +V\u000a +p1044 +tp1045 +a(g7 +V The foob +p1046 +tp1047 +a(g7 +g958 +tp1048 +a(g7 +Vr is +p1049 +tp1050 +a(g7 +g958 +tp1051 +a(g7 +Vn ex +p1052 +tp1053 +a(g7 +g958 +tp1054 +a(g7 +Vmple. +p1055 +tp1056 +a(g7 +V\u000a +p1057 +tp1058 +a(g7 +V\u000a +p1059 +tp1060 +a(g7 +VTo interact with it in Inform 6, we write something like: +p1061 +tp1062 +a(g7 +V\u000a +p1063 +tp1064 +a(g7 +V\u000a +p1065 +tp1066 +a(g7 +V To s +p1067 +tp1068 +a(g7 +g958 +tp1069 +a(g7 +Vy +p1070 +tp1071 +a(g7 +V( +p1072 +tp1073 +a(g7 +VE - ex +p1074 +tp1075 +a(g7 +g958 +tp1076 +a(g7 +Vmple) +p1077 +tp1078 +a(g7 +V: +p1079 +tp1080 +a(g7 +g1016 +tp1081 +a(g709 +V(- +p1082 +tp1083 +a(g7 +V\u000a +p1084 +tp1085 +a(g842 +Vprint +p1086 +tp1087 +a(g7 +g1016 +tp1088 +a(g709 +g1072 +tp1089 +a(g883 +Vobject +p1090 +tp1091 +a(g709 +V) +p1092 +tp1093 +a(g7 +g1016 +tp1094 +a(g7 +V +p1095 +tp1096 +a(g709 +V{ +p1097 +tp1098 +a(g7 +VE +p1099 +tp1100 +a(g709 +V} +p1101 +tp1102 +a(g709 +V; +p1103 +tp1104 +a(g7 +V\u000a +p1105 +tp1106 +a(g709 +V-) +p1107 +tp1108 +a(g7 +V. +p1109 +tp1110 +a(g7 +V\u000a +p1111 +tp1112 +a(g7 +V +p1113 +tp1114 +a(g792 +g1010 +tp1115 +a(g792 +VThe IDE's documentation viewer does not display the closing -). I don't know how to fix that. +p1116 +tp1117 +a(g792 +g1014 +tp1118 +a(g7 +V\u000a +p1119 +tp1120 +a(g341 +V\u000aSection: Testing +p1121 +tp1122 +a(g7 +V\u000a +p1123 +tp1124 +a(g7 +V\u000a +p1125 +tp1126 +a(g7 +VWe can make an example be tested using: +p1127 +tp1128 +a(g7 +V\u000a +p1129 +tp1130 +a(g7 +V\u000a +p1131 +tp1132 +a(g7 +V now the foob +p1133 +tp1134 +a(g7 +g958 +tp1135 +a(g7 +Vr is tested; +p1136 +tp1137 +a(g7 +V\u000a +p1138 +tp1139 +a(g349 +V\u000aExample: * Exempli Gratia - A simple example. +p1140 +tp1141 +a(g7 +V\u000a +p1142 +tp1143 +a(g7 +V\u000a +p1144 +tp1145 +a(g7 +V * +p1146 +tp1147 +a(g7 +g1079 +tp1148 +a(g7 +g1016 +tp1149 +a(g160 +g967 +tp1150 +a(g160 +VExempli Gratia +p1151 +tp1152 +a(g160 +g967 +tp1153 +a(g7 +V\u000a +p1154 +tp1155 +a(g7 +V\u000a +p1156 +tp1157 +a(g7 +V Include ex +p1158 +tp1159 +a(g7 +g958 +tp1160 +a(g7 +Vmple by D +p1161 +tp1162 +a(g7 +g958 +tp1163 +a(g7 +Vvid Corbett. +p1164 +tp1165 +a(g7 +V\u000a +p1166 +tp1167 +a(g7 +V\u000a +p1168 +tp1169 +a(g7 +V The Kitchen is +p1170 +tp1171 +a(g7 +g958 +tp1172 +a(g7 +V room. The egg is +p1173 +tp1174 +a(g7 +g958 +tp1175 +a(g7 +Vn ex +p1176 +tp1177 +a(g7 +g958 +tp1178 +a(g7 +Vmple, here. +p1179 +tp1180 +a(g7 +V\u000a +p1181 +tp1182 +a(g7 +V\u000a +p1183 +tp1184 +a(g7 +V Before dropping the egg +p1185 +tp1186 +a(g7 +g1079 +tp1187 +a(g7 +V\u000a +p1188 +tp1189 +a(g7 +Vnow the egg is tested. +p1190 +tp1191 +a(g7 +V\u000a +p1192 +tp1193 +a(g7 +g1113 +tp1194 +a(g7 +V\u000a +p1195 +tp1196 +a(g7 +V Test me with +p1197 +tp1198 +a(g160 +g967 +tp1199 +a(g160 +Vget egg / drop egg +p1200 +tp1201 +a(g160 +g967 +tp1202 +a(g7 +g1109 +tp1203 +a(g7 +V\u000a +p1204 +tp1205 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.icn b/tests/examplefiles/output/example.icn new file mode 100644 index 0000000..1f8f4cf --- /dev/null +++ b/tests/examplefiles/output/example.icn @@ -0,0 +1,7630 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#\u000a +p956 +tp957 +a(g8 +V# $Id: button.icn,v 1.7 2006-07-09 23:43:07 rparlett Exp $\u000a +p958 +tp959 +a(g8 +V#\u000a +p960 +tp961 +a(g8 +V# This file is in the public domain.\u000a +p962 +tp963 +a(g8 +V#\u000a +p964 +tp965 +a(g8 +V# Author: Robert Parlett (parlett@dial.pipex.com)\u000a +p966 +tp967 +a(g8 +V#\u000a +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g809 +Vpackage +p972 +tp973 +a(g826 +V +p974 +tp975 +a(g435 +Vgui +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g809 +Vlink +p980 +tp981 +a(g826 +g974 +tp982 +a(g435 +Vgraphics +p983 +tp984 +a(g826 +V\u000a\u000a +p985 +tp986 +a(g778 +V$include +p987 +tp988 +a(g826 +g974 +tp989 +a(g89 +V"guih.icn" +p990 +tp991 +a(g826 +V\u000a\u000a\u000a +p992 +tp993 +a(g8 +V#\u000a +p994 +tp995 +a(g8 +V# This is the parent class of the button classes, including\u000a +p996 +tp997 +a(g8 +V# checkboxes.\u000a +p998 +tp999 +a(g8 +V#\u000a +p1000 +tp1001 +a(g8 +V# A {Button} produces a BUTTON_PRESS_EVENT when the button is\u000a +p1002 +tp1003 +a(g8 +V# depressed, and code BUTTON_RELEASE_EVENT when it is released,\u000a +p1004 +tp1005 +a(g8 +V# as well as an ACTION_EVENT.\u000a +p1006 +tp1007 +a(g8 +V# \u000a +p1008 +tp1009 +a(g8 +V# By default, when a button holds the keyboard focus a dashed\u000a +p1010 +tp1011 +a(g8 +V# line appears just within the button. Then, when return is\u000a +p1012 +tp1013 +a(g8 +V# pressed an ACTION_EVENT is generated. The method\u000a +p1014 +tp1015 +a(g8 +V# {Dialog.set_initial_focus()} can be used to have the button\u000a +p1016 +tp1017 +a(g8 +V# have the focus when the dialog is first displayed.\u000a +p1018 +tp1019 +a(g8 +V#\u000a +p1020 +tp1021 +a(g8 +V# Buttons also repeatedly produce a BUTTON_HELD_EVENT whilst they\u000a +p1022 +tp1023 +a(g8 +V# are held down, rather like a repeating keyboard press. The\u000a +p1024 +tp1025 +a(g8 +V# delay between the initial repeat event and subsequent repeat\u000a +p1026 +tp1027 +a(g8 +V# events is set in the parent dialog (see above).\u000a +p1028 +tp1029 +a(g8 +V#\u000a +p1030 +tp1031 +a(g809 +Vclass +p1032 +tp1033 +a(g826 +g974 +tp1034 +a(g573 +VButton +p1035 +tp1036 +a(g826 +g974 +tp1037 +a(g705 +V: +p1038 +tp1039 +a(g826 +g974 +tp1040 +a(g573 +VToggle +p1041 +tp1042 +a(g826 +g974 +tp1043 +a(g705 +g1038 +tp1044 +a(g826 +g974 +tp1045 +a(g573 +VComponent +p1046 +tp1047 +a(g705 +V( +p1048 +tp1049 +a(g826 +V\u000a +p1050 +tp1051 +a(g826 +V +p1052 +tp1053 +a(g440 +Vis_down +p1054 +tp1055 +a(g705 +V, +p1056 +tp1057 +a(g826 +V +p1058 +tp1059 +a(g8 +V# \u000a +p1060 +tp1061 +a(g826 +V +p1062 +tp1063 +a(g440 +Vis_held +p1064 +tp1065 +a(g705 +g1056 +tp1066 +a(g826 +V +p1067 +tp1068 +a(g8 +V# \u000a +p1069 +tp1070 +a(g826 +V +p1071 +tp1072 +a(g440 +Vis_checked_flag +p1073 +tp1074 +a(g705 +g1056 +tp1075 +a(g826 +V +p1076 +tp1077 +a(g8 +V# \u000a +p1078 +tp1079 +a(g826 +V +p1080 +tp1081 +a(g440 +Vlabel +p1082 +tp1083 +a(g705 +g1056 +tp1084 +a(g826 +V\u000a +p1085 +tp1086 +a(g826 +V +p1087 +tp1088 +a(g440 +Vimg_up +p1089 +tp1090 +a(g705 +g1056 +tp1091 +a(g826 +V +p1092 +tp1093 +a(g8 +V# \u000a +p1094 +tp1095 +a(g826 +V +p1096 +tp1097 +a(g440 +Vimg_down +p1098 +tp1099 +a(g705 +g1056 +tp1100 +a(g826 +V +p1101 +tp1102 +a(g8 +V# \u000a +p1103 +tp1104 +a(g826 +V +p1105 +tp1106 +a(g440 +Vimg_w +p1107 +tp1108 +a(g705 +g1056 +tp1109 +a(g826 +V +p1110 +tp1111 +a(g8 +V# \u000a +p1112 +tp1113 +a(g826 +V +p1114 +tp1115 +a(g440 +Vimg_h +p1116 +tp1117 +a(g705 +g1056 +tp1118 +a(g826 +V +p1119 +tp1120 +a(g8 +V# \u000a +p1121 +tp1122 +a(g826 +V +p1123 +tp1124 +a(g440 +Vparent_check_box_group +p1125 +tp1126 +a(g705 +g1056 +tp1127 +a(g826 +V +p1128 +tp1129 +a(g8 +V#\u000a +p1130 +tp1131 +a(g826 +V +p1132 +tp1133 +a(g440 +Vparent_button_group +p1134 +tp1135 +a(g705 +g1056 +tp1136 +a(g826 +V +p1137 +tp1138 +a(g8 +V# \u000a +p1139 +tp1140 +a(g826 +V +p1141 +tp1142 +a(g440 +Vrepeat_delay +p1143 +tp1144 +a(g705 +g1056 +tp1145 +a(g826 +V\u000a +p1146 +tp1147 +a(g826 +V +p1148 +tp1149 +a(g440 +Vno_keyboard_flag +p1150 +tp1151 +a(g705 +g1056 +tp1152 +a(g826 +V +p1153 +tp1154 +a(g8 +V#\u000a +p1155 +tp1156 +a(g826 +V +p1157 +tp1158 +a(g440 +Vtoggles_flag +p1159 +tp1160 +a(g826 +V\u000a +p1161 +tp1162 +a(g826 +V +p1163 +tp1164 +a(g705 +V) +p1165 +tp1166 +a(g826 +V\u000a\u000a +p1167 +tp1168 +a(g826 +V +p1169 +tp1170 +a(g809 +Vmethod +p1171 +tp1172 +a(g826 +g974 +tp1173 +a(g573 +Vset_parent_button_group +p1174 +tp1175 +a(g705 +g1048 +tp1176 +a(g440 +Vx +p1177 +tp1178 +a(g705 +g1165 +tp1179 +a(g826 +V\u000a +p1180 +tp1181 +a(g826 +V +p1182 +tp1183 +a(g793 +Vreturn +p1184 +tp1185 +a(g826 +g974 +tp1186 +a(g435 +Vself +p1187 +tp1188 +a(g314 +V. +p1189 +tp1190 +a(g435 +Vparent_button_group +p1191 +tp1192 +a(g826 +g974 +tp1193 +a(g412 +V:= +p1194 +tp1195 +a(g826 +g974 +tp1196 +a(g435 +g1177 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g826 +V +p1200 +tp1201 +a(g793 +Vend +p1202 +tp1203 +a(g826 +V\u000a\u000a +p1204 +tp1205 +a(g826 +V +p1206 +tp1207 +a(g8 +V#\u000a +p1208 +tp1209 +a(g826 +V +p1210 +tp1211 +a(g8 +V# Invoking this method disables the keyboard control over the\u000a +p1212 +tp1213 +a(g826 +V +p1214 +tp1215 +a(g8 +V# button described above. No dashed line will ever appear in\u000a +p1216 +tp1217 +a(g826 +V +p1218 +tp1219 +a(g8 +V# the button display and return will have no effect on the\u000a +p1220 +tp1221 +a(g826 +V +p1222 +tp1223 +a(g8 +V# button even if it has the focus.\u000a +p1224 +tp1225 +a(g826 +V +p1226 +tp1227 +a(g8 +V#\u000a +p1228 +tp1229 +a(g826 +V +p1230 +tp1231 +a(g809 +Vmethod +p1232 +tp1233 +a(g826 +g974 +tp1234 +a(g573 +Vset_no_keyboard +p1235 +tp1236 +a(g705 +g1048 +tp1237 +a(g705 +g1165 +tp1238 +a(g826 +V\u000a +p1239 +tp1240 +a(g826 +V +p1241 +tp1242 +a(g435 +Vself +p1243 +tp1244 +a(g314 +g1189 +tp1245 +a(g435 +Vno_keyboard_flag +p1246 +tp1247 +a(g826 +g974 +tp1248 +a(g412 +V:= +p1249 +tp1250 +a(g826 +g974 +tp1251 +a(g37 +V1 +p1252 +tp1253 +a(g826 +V\u000a +p1254 +tp1255 +a(g826 +V +p1256 +tp1257 +a(g435 +Vself +p1258 +tp1259 +a(g314 +g1189 +tp1260 +a(g435 +Vaccepts_focus_flag +p1261 +tp1262 +a(g826 +g974 +tp1263 +a(g412 +V:= +p1264 +tp1265 +a(g826 +g974 +tp1266 +a(g763 +V&null +p1267 +tp1268 +a(g826 +V\u000a +p1269 +tp1270 +a(g826 +V +p1271 +tp1272 +a(g793 +Vend +p1273 +tp1274 +a(g826 +V\u000a +p1275 +tp1276 +a(g826 +V +p1277 +tp1278 +a(g826 +V\u000a +p1279 +tp1280 +a(g826 +V +p1281 +tp1282 +a(g8 +V#\u000a +p1283 +tp1284 +a(g826 +V +p1285 +tp1286 +a(g8 +V# Clear the no keyboard behaviour (the default)\u000a +p1287 +tp1288 +a(g826 +V +p1289 +tp1290 +a(g8 +V#\u000a +p1291 +tp1292 +a(g826 +V +p1293 +tp1294 +a(g809 +Vmethod +p1295 +tp1296 +a(g826 +g974 +tp1297 +a(g573 +Vclear_no_keyboard +p1298 +tp1299 +a(g705 +g1048 +tp1300 +a(g705 +g1165 +tp1301 +a(g826 +V\u000a +p1302 +tp1303 +a(g826 +V +p1304 +tp1305 +a(g435 +Vself +p1306 +tp1307 +a(g314 +g1189 +tp1308 +a(g435 +Vno_keyboard_flag +p1309 +tp1310 +a(g826 +g974 +tp1311 +a(g412 +V:= +p1312 +tp1313 +a(g826 +g974 +tp1314 +a(g763 +V&null +p1315 +tp1316 +a(g826 +V\u000a +p1317 +tp1318 +a(g826 +V +p1319 +tp1320 +a(g435 +Vself +p1321 +tp1322 +a(g314 +g1189 +tp1323 +a(g435 +Vaccepts_focus_flag +p1324 +tp1325 +a(g826 +g974 +tp1326 +a(g412 +V:= +p1327 +tp1328 +a(g826 +g974 +tp1329 +a(g37 +g1252 +tp1330 +a(g826 +V\u000a +p1331 +tp1332 +a(g826 +V +p1333 +tp1334 +a(g793 +Vend +p1335 +tp1336 +a(g826 +V\u000a\u000a +p1337 +tp1338 +a(g826 +V +p1339 +tp1340 +a(g809 +Vmethod +p1341 +tp1342 +a(g826 +g974 +tp1343 +a(g573 +Vtick +p1344 +tp1345 +a(g705 +g1048 +tp1346 +a(g705 +g1165 +tp1347 +a(g826 +V\u000a +p1348 +tp1349 +a(g826 +V +p1350 +tp1351 +a(g793 +Vif +p1352 +tp1353 +a(g826 +g974 +tp1354 +a(g435 +Vdispatcher +p1355 +tp1356 +a(g314 +g1189 +tp1357 +a(g435 +Vcurr_time_of_day +p1358 +tp1359 +a(g705 +g1048 +tp1360 +a(g705 +g1165 +tp1361 +a(g826 +g974 +tp1362 +a(g412 +V> +p1363 +tp1364 +a(g826 +g974 +tp1365 +a(g435 +Vself +p1366 +tp1367 +a(g314 +g1189 +tp1368 +a(g435 +Vrepeat_delay +p1369 +tp1370 +a(g826 +g974 +tp1371 +a(g793 +Vthen +p1372 +tp1373 +a(g826 +V\u000a +p1374 +tp1375 +a(g826 +V +p1376 +tp1377 +a(g435 +Vfire +p1378 +tp1379 +a(g705 +g1048 +tp1380 +a(g435 +VBUTTON_HELD_EVENT +p1381 +tp1382 +a(g705 +g1165 +tp1383 +a(g826 +V\u000a +p1384 +tp1385 +a(g826 +V +p1386 +tp1387 +a(g793 +Vend +p1388 +tp1389 +a(g826 +V\u000a\u000a +p1390 +tp1391 +a(g826 +V +p1392 +tp1393 +a(g809 +Vmethod +p1394 +tp1395 +a(g826 +g974 +tp1396 +a(g573 +Vgo_down +p1397 +tp1398 +a(g705 +g1048 +tp1399 +a(g705 +g1165 +tp1400 +a(g826 +V\u000a +p1401 +tp1402 +a(g826 +V +p1403 +tp1404 +a(g435 +Vself +p1405 +tp1406 +a(g314 +g1189 +tp1407 +a(g435 +Vis_down +p1408 +tp1409 +a(g826 +g974 +tp1410 +a(g412 +V:= +p1411 +tp1412 +a(g826 +g974 +tp1413 +a(g37 +g1252 +tp1414 +a(g826 +V\u000a +p1415 +tp1416 +a(g826 +V +p1417 +tp1418 +a(g435 +Vset_ticker +p1419 +tp1420 +a(g705 +g1048 +tp1421 +a(g435 +Vself +p1422 +tp1423 +a(g314 +g1189 +tp1424 +a(g435 +Vparent_dialog +p1425 +tp1426 +a(g314 +g1189 +tp1427 +a(g435 +Vrepeat_rate +p1428 +tp1429 +a(g705 +g1165 +tp1430 +a(g826 +V\u000a +p1431 +tp1432 +a(g826 +V +p1433 +tp1434 +a(g793 +Vend +p1435 +tp1436 +a(g826 +V\u000a\u000a +p1437 +tp1438 +a(g826 +V +p1439 +tp1440 +a(g809 +Vmethod +p1441 +tp1442 +a(g826 +g974 +tp1443 +a(g573 +Vgo_up +p1444 +tp1445 +a(g705 +g1048 +tp1446 +a(g705 +g1165 +tp1447 +a(g826 +V\u000a +p1448 +tp1449 +a(g826 +V +p1450 +tp1451 +a(g435 +Vself +p1452 +tp1453 +a(g314 +g1189 +tp1454 +a(g435 +Vis_down +p1455 +tp1456 +a(g826 +g974 +tp1457 +a(g412 +V:= +p1458 +tp1459 +a(g826 +g974 +tp1460 +a(g763 +V&null +p1461 +tp1462 +a(g826 +V\u000a +p1463 +tp1464 +a(g826 +V +p1465 +tp1466 +a(g435 +Vstop_ticker +p1467 +tp1468 +a(g705 +g1048 +tp1469 +a(g705 +g1165 +tp1470 +a(g826 +V\u000a +p1471 +tp1472 +a(g826 +V +p1473 +tp1474 +a(g793 +Vend +p1475 +tp1476 +a(g826 +V\u000a\u000a +p1477 +tp1478 +a(g826 +V +p1479 +tp1480 +a(g809 +Vmethod +p1481 +tp1482 +a(g826 +g974 +tp1483 +a(g573 +Vhandle_press +p1484 +tp1485 +a(g705 +g1048 +tp1486 +a(g440 +Ve +p1487 +tp1488 +a(g705 +g1165 +tp1489 +a(g826 +V\u000a +p1490 +tp1491 +a(g826 +V +p1492 +tp1493 +a(g793 +Vlocal +p1494 +tp1495 +a(g826 +g974 +tp1496 +a(g435 +Vb +p1497 +tp1498 +a(g826 +V\u000a +p1499 +tp1500 +a(g826 +V +p1501 +tp1502 +a(g793 +Vif +p1503 +tp1504 +a(g826 +g974 +tp1505 +a(g435 +Vself +p1506 +tp1507 +a(g314 +g1189 +tp1508 +a(g435 +Vin_region +p1509 +tp1510 +a(g705 +g1048 +tp1511 +a(g705 +g1165 +tp1512 +a(g826 +g974 +tp1513 +a(g793 +Vthen +p1514 +tp1515 +a(g826 +g974 +tp1516 +a(g705 +V{ +p1517 +tp1518 +a(g826 +V\u000a +p1519 +tp1520 +a(g826 +V +p1521 +tp1522 +a(g435 +Vgo_down +p1523 +tp1524 +a(g705 +g1048 +tp1525 +a(g705 +g1165 +tp1526 +a(g826 +V\u000a +p1527 +tp1528 +a(g826 +V +p1529 +tp1530 +a(g435 +Vself +p1531 +tp1532 +a(g314 +g1189 +tp1533 +a(g435 +Vrepeat_delay +p1534 +tp1535 +a(g826 +g974 +tp1536 +a(g412 +V:= +p1537 +tp1538 +a(g826 +g974 +tp1539 +a(g435 +Vdispatcher +p1540 +tp1541 +a(g314 +g1189 +tp1542 +a(g435 +Vcurr_time_of_day +p1543 +tp1544 +a(g705 +g1048 +tp1545 +a(g705 +g1165 +tp1546 +a(g826 +g974 +tp1547 +a(g412 +V+ +p1548 +tp1549 +a(g826 +g974 +tp1550 +a(g435 +Vself +p1551 +tp1552 +a(g314 +g1189 +tp1553 +a(g435 +Vparent_dialog +p1554 +tp1555 +a(g314 +g1189 +tp1556 +a(g435 +Vrepeat_delay +p1557 +tp1558 +a(g826 +V\u000a +p1559 +tp1560 +a(g826 +V +p1561 +tp1562 +a(g435 +Vself +p1563 +tp1564 +a(g314 +g1189 +tp1565 +a(g435 +Vis_held +p1566 +tp1567 +a(g826 +g974 +tp1568 +a(g412 +V:= +p1569 +tp1570 +a(g826 +g974 +tp1571 +a(g37 +g1252 +tp1572 +a(g826 +V\u000a +p1573 +tp1574 +a(g826 +V +p1575 +tp1576 +a(g793 +Vevery +p1577 +tp1578 +a(g826 +g974 +tp1579 +a(g435 +g1497 +tp1580 +a(g826 +g974 +tp1581 +a(g412 +V:= +p1582 +tp1583 +a(g826 +g974 +tp1584 +a(g412 +V! +p1585 +tp1586 +a(g705 +g1048 +tp1587 +a(g412 +V\u005c +p1588 +tp1589 +a(g435 +Vself +p1590 +tp1591 +a(g314 +g1189 +tp1592 +a(g435 +Vparent_button_group +p1593 +tp1594 +a(g705 +g1165 +tp1595 +a(g314 +g1189 +tp1596 +a(g435 +Vbuttons +p1597 +tp1598 +a(g826 +g974 +tp1599 +a(g793 +Vdo +p1600 +tp1601 +a(g826 +g974 +tp1602 +a(g705 +g1517 +tp1603 +a(g826 +V\u000a +p1604 +tp1605 +a(g826 +V +p1606 +tp1607 +a(g793 +Vif +p1608 +tp1609 +a(g826 +g974 +tp1610 +a(g435 +g1497 +tp1611 +a(g314 +g1189 +tp1612 +a(g435 +Vis_unhidden +p1613 +tp1614 +a(g705 +g1048 +tp1615 +a(g705 +g1165 +tp1616 +a(g826 +g974 +tp1617 +a(g793 +Vthen +p1618 +tp1619 +a(g826 +g974 +tp1620 +a(g705 +g1517 +tp1621 +a(g826 +V\u000a +p1622 +tp1623 +a(g826 +V +p1624 +tp1625 +a(g435 +g1497 +tp1626 +a(g314 +g1189 +tp1627 +a(g435 +Vis_held +p1628 +tp1629 +a(g826 +g974 +tp1630 +a(g412 +V:= +p1631 +tp1632 +a(g826 +g974 +tp1633 +a(g37 +g1252 +tp1634 +a(g826 +V\u000a +p1635 +tp1636 +a(g826 +V +p1637 +tp1638 +a(g435 +g1497 +tp1639 +a(g314 +g1189 +tp1640 +a(g435 +Vrepeat_delay +p1641 +tp1642 +a(g826 +g974 +tp1643 +a(g412 +V:= +p1644 +tp1645 +a(g826 +g974 +tp1646 +a(g435 +Vself +p1647 +tp1648 +a(g314 +g1189 +tp1649 +a(g435 +Vrepeat_delay +p1650 +tp1651 +a(g826 +V\u000a +p1652 +tp1653 +a(g826 +V +p1654 +tp1655 +a(g705 +V} +p1656 +tp1657 +a(g826 +V\u000a +p1658 +tp1659 +a(g826 +V +p1660 +tp1661 +a(g705 +g1656 +tp1662 +a(g826 +V\u000a +p1663 +tp1664 +a(g826 +V +p1665 +tp1666 +a(g435 +Vself +p1667 +tp1668 +a(g314 +g1189 +tp1669 +a(g435 +Vinvalidate +p1670 +tp1671 +a(g705 +g1048 +tp1672 +a(g705 +g1165 +tp1673 +a(g826 +V\u000a +p1674 +tp1675 +a(g826 +V +p1676 +tp1677 +a(g435 +Vfire +p1678 +tp1679 +a(g705 +g1048 +tp1680 +a(g435 +VBUTTON_PRESS_EVENT +p1681 +tp1682 +a(g705 +g1056 +tp1683 +a(g826 +g974 +tp1684 +a(g435 +g1487 +tp1685 +a(g705 +g1165 +tp1686 +a(g826 +V\u000a +p1687 +tp1688 +a(g826 +V +p1689 +tp1690 +a(g705 +g1656 +tp1691 +a(g826 +V\u000a +p1692 +tp1693 +a(g826 +V +p1694 +tp1695 +a(g793 +Vend +p1696 +tp1697 +a(g826 +V\u000a\u000a +p1698 +tp1699 +a(g826 +V +p1700 +tp1701 +a(g809 +Vmethod +p1702 +tp1703 +a(g826 +g974 +tp1704 +a(g573 +Vhandle_drag +p1705 +tp1706 +a(g705 +g1048 +tp1707 +a(g440 +g1487 +tp1708 +a(g705 +g1165 +tp1709 +a(g826 +V\u000a +p1710 +tp1711 +a(g826 +V +p1712 +tp1713 +a(g793 +Vif +p1714 +tp1715 +a(g826 +g974 +tp1716 +a(g412 +V\u005c +p1717 +tp1718 +a(g435 +Vself +p1719 +tp1720 +a(g314 +g1189 +tp1721 +a(g435 +Vis_held +p1722 +tp1723 +a(g826 +g974 +tp1724 +a(g793 +Vthen +p1725 +tp1726 +a(g826 +g974 +tp1727 +a(g705 +g1517 +tp1728 +a(g826 +V\u000a +p1729 +tp1730 +a(g826 +V +p1731 +tp1732 +a(g8 +V#\u000a +p1733 +tp1734 +a(g826 +V +p1735 +tp1736 +a(g8 +V# Button held down; toggle on/off as it goes over the button \u000a +p1737 +tp1738 +a(g826 +V +p1739 +tp1740 +a(g8 +V#\u000a +p1741 +tp1742 +a(g826 +V +p1743 +tp1744 +a(g793 +Vif +p1745 +tp1746 +a(g826 +g974 +tp1747 +a(g435 +Vself +p1748 +tp1749 +a(g314 +g1189 +tp1750 +a(g435 +Vin_region +p1751 +tp1752 +a(g705 +g1048 +tp1753 +a(g705 +g1165 +tp1754 +a(g826 +g974 +tp1755 +a(g793 +Vthen +p1756 +tp1757 +a(g826 +g974 +tp1758 +a(g705 +g1517 +tp1759 +a(g826 +V\u000a +p1760 +tp1761 +a(g826 +V +p1762 +tp1763 +a(g793 +Vif +p1764 +tp1765 +a(g826 +g974 +tp1766 +a(g412 +V/ +p1767 +tp1768 +a(g435 +Vself +p1769 +tp1770 +a(g314 +g1189 +tp1771 +a(g435 +Vis_down +p1772 +tp1773 +a(g826 +g974 +tp1774 +a(g793 +Vthen +p1775 +tp1776 +a(g826 +g974 +tp1777 +a(g705 +g1517 +tp1778 +a(g826 +V\u000a +p1779 +tp1780 +a(g826 +V +p1781 +tp1782 +a(g435 +Vgo_down +p1783 +tp1784 +a(g705 +g1048 +tp1785 +a(g705 +g1165 +tp1786 +a(g826 +V\u000a +p1787 +tp1788 +a(g826 +V +p1789 +tp1790 +a(g435 +Vinvalidate +p1791 +tp1792 +a(g705 +g1048 +tp1793 +a(g705 +g1165 +tp1794 +a(g826 +V\u000a +p1795 +tp1796 +a(g826 +V +p1797 +tp1798 +a(g705 +g1656 +tp1799 +a(g826 +V\u000a +p1800 +tp1801 +a(g826 +V +p1802 +tp1803 +a(g705 +g1656 +tp1804 +a(g826 +g974 +tp1805 +a(g793 +Velse +p1806 +tp1807 +a(g826 +g974 +tp1808 +a(g705 +g1517 +tp1809 +a(g826 +V\u000a +p1810 +tp1811 +a(g826 +V +p1812 +tp1813 +a(g793 +Vif +p1814 +tp1815 +a(g826 +g974 +tp1816 +a(g412 +V\u005c +p1817 +tp1818 +a(g435 +Vself +p1819 +tp1820 +a(g314 +g1189 +tp1821 +a(g435 +Vis_down +p1822 +tp1823 +a(g826 +g974 +tp1824 +a(g793 +Vthen +p1825 +tp1826 +a(g826 +g974 +tp1827 +a(g705 +g1517 +tp1828 +a(g826 +V\u000a +p1829 +tp1830 +a(g826 +V +p1831 +tp1832 +a(g435 +Vgo_up +p1833 +tp1834 +a(g705 +g1048 +tp1835 +a(g705 +g1165 +tp1836 +a(g826 +V\u000a +p1837 +tp1838 +a(g826 +V +p1839 +tp1840 +a(g435 +Vinvalidate +p1841 +tp1842 +a(g705 +g1048 +tp1843 +a(g705 +g1165 +tp1844 +a(g826 +V\u000a +p1845 +tp1846 +a(g826 +V +p1847 +tp1848 +a(g705 +g1656 +tp1849 +a(g826 +V\u000a +p1850 +tp1851 +a(g826 +V +p1852 +tp1853 +a(g705 +g1656 +tp1854 +a(g826 +V\u000a +p1855 +tp1856 +a(g826 +V +p1857 +tp1858 +a(g705 +g1656 +tp1859 +a(g826 +V\u000a +p1860 +tp1861 +a(g826 +V +p1862 +tp1863 +a(g793 +Vend +p1864 +tp1865 +a(g826 +V\u000a\u000a +p1866 +tp1867 +a(g826 +V +p1868 +tp1869 +a(g809 +Vmethod +p1870 +tp1871 +a(g826 +g974 +tp1872 +a(g573 +Vhandle_release +p1873 +tp1874 +a(g705 +g1048 +tp1875 +a(g440 +g1487 +tp1876 +a(g705 +g1165 +tp1877 +a(g826 +V\u000a +p1878 +tp1879 +a(g826 +V +p1880 +tp1881 +a(g793 +Vif +p1882 +tp1883 +a(g826 +g974 +tp1884 +a(g412 +V\u005c +p1885 +tp1886 +a(g435 +Vself +p1887 +tp1888 +a(g314 +g1189 +tp1889 +a(g435 +Vis_held +p1890 +tp1891 +a(g826 +g974 +tp1892 +a(g793 +Vthen +p1893 +tp1894 +a(g826 +g974 +tp1895 +a(g705 +g1517 +tp1896 +a(g826 +V\u000a +p1897 +tp1898 +a(g826 +V +p1899 +tp1900 +a(g435 +Vself +p1901 +tp1902 +a(g314 +g1189 +tp1903 +a(g435 +Vis_held +p1904 +tp1905 +a(g826 +g974 +tp1906 +a(g412 +V:= +p1907 +tp1908 +a(g826 +g974 +tp1909 +a(g763 +V&null +p1910 +tp1911 +a(g826 +V\u000a +p1912 +tp1913 +a(g826 +V +p1914 +tp1915 +a(g793 +Vif +p1916 +tp1917 +a(g826 +g974 +tp1918 +a(g412 +V\u005c +p1919 +tp1920 +a(g435 +Vself +p1921 +tp1922 +a(g314 +g1189 +tp1923 +a(g435 +Vis_down +p1924 +tp1925 +a(g826 +g974 +tp1926 +a(g793 +Vthen +p1927 +tp1928 +a(g826 +g974 +tp1929 +a(g705 +g1517 +tp1930 +a(g826 +V\u000a +p1931 +tp1932 +a(g826 +V +p1933 +tp1934 +a(g435 +Vgo_up +p1935 +tp1936 +a(g705 +g1048 +tp1937 +a(g705 +g1165 +tp1938 +a(g826 +V\u000a +p1939 +tp1940 +a(g826 +V +p1941 +tp1942 +a(g435 +Vfire +p1943 +tp1944 +a(g705 +g1048 +tp1945 +a(g435 +VBUTTON_RELEASE_EVENT +p1946 +tp1947 +a(g705 +g1056 +tp1948 +a(g826 +g974 +tp1949 +a(g435 +g1487 +tp1950 +a(g705 +g1165 +tp1951 +a(g826 +V\u000a +p1952 +tp1953 +a(g826 +V +p1954 +tp1955 +a(g435 +Von_action +p1956 +tp1957 +a(g705 +g1048 +tp1958 +a(g435 +g1487 +tp1959 +a(g705 +g1165 +tp1960 +a(g826 +V\u000a +p1961 +tp1962 +a(g826 +V +p1963 +tp1964 +a(g705 +g1656 +tp1965 +a(g826 +V\u000a +p1966 +tp1967 +a(g826 +V +p1968 +tp1969 +a(g705 +g1656 +tp1970 +a(g826 +V\u000a +p1971 +tp1972 +a(g826 +V +p1973 +tp1974 +a(g793 +Vend +p1975 +tp1976 +a(g826 +V\u000a\u000a +p1977 +tp1978 +a(g826 +V +p1979 +tp1980 +a(g809 +Vmethod +p1981 +tp1982 +a(g826 +g974 +tp1983 +a(g573 +Von_action +p1984 +tp1985 +a(g705 +g1048 +tp1986 +a(g440 +g1487 +tp1987 +a(g705 +g1165 +tp1988 +a(g826 +V\u000a +p1989 +tp1990 +a(g826 +V +p1991 +tp1992 +a(g793 +Vif +p1993 +tp1994 +a(g826 +g974 +tp1995 +a(g412 +V\u005c +p1996 +tp1997 +a(g435 +Vself +p1998 +tp1999 +a(g314 +g1189 +tp2000 +a(g435 +Vtoggles_flag +p2001 +tp2002 +a(g826 +g974 +tp2003 +a(g793 +Vthen +p2004 +tp2005 +a(g826 +g974 +tp2006 +a(g705 +g1517 +tp2007 +a(g826 +V\u000a +p2008 +tp2009 +a(g826 +V +p2010 +tp2011 +a(g793 +Vif +p2012 +tp2013 +a(g826 +g974 +tp2014 +a(g412 +V\u005c +p2015 +tp2016 +a(g435 +Vself +p2017 +tp2018 +a(g314 +g1189 +tp2019 +a(g435 +Vparent_check_box_group +p2020 +tp2021 +a(g826 +g974 +tp2022 +a(g793 +Vthen +p2023 +tp2024 +a(g826 +V\u000a +p2025 +tp2026 +a(g826 +V +p2027 +tp2028 +a(g435 +Vself +p2029 +tp2030 +a(g314 +g1189 +tp2031 +a(g435 +Vparent_check_box_group +p2032 +tp2033 +a(g314 +g1189 +tp2034 +a(g435 +Vset_which_one +p2035 +tp2036 +a(g705 +g1048 +tp2037 +a(g435 +Vself +p2038 +tp2039 +a(g705 +g1165 +tp2040 +a(g826 +V\u000a +p2041 +tp2042 +a(g826 +V +p2043 +tp2044 +a(g793 +Velse +p2045 +tp2046 +a(g826 +V\u000a +p2047 +tp2048 +a(g826 +V +p2049 +tp2050 +a(g435 +Vself +p2051 +tp2052 +a(g314 +g1189 +tp2053 +a(g435 +Vtoggle_is_checked +p2054 +tp2055 +a(g705 +g1048 +tp2056 +a(g705 +g1165 +tp2057 +a(g826 +V\u000a +p2058 +tp2059 +a(g826 +V +p2060 +tp2061 +a(g705 +g1656 +tp2062 +a(g826 +V\u000a +p2063 +tp2064 +a(g826 +V +p2065 +tp2066 +a(g435 +Vself +p2067 +tp2068 +a(g314 +g1189 +tp2069 +a(g435 +Vinvalidate +p2070 +tp2071 +a(g705 +g1048 +tp2072 +a(g705 +g1165 +tp2073 +a(g826 +V\u000a +p2074 +tp2075 +a(g826 +V +p2076 +tp2077 +a(g435 +Vfire +p2078 +tp2079 +a(g705 +g1048 +tp2080 +a(g435 +VACTION_EVENT +p2081 +tp2082 +a(g705 +g1056 +tp2083 +a(g826 +g974 +tp2084 +a(g435 +g1487 +tp2085 +a(g705 +g1165 +tp2086 +a(g826 +V\u000a +p2087 +tp2088 +a(g826 +V +p2089 +tp2090 +a(g793 +Vend +p2091 +tp2092 +a(g826 +V\u000a\u000a +p2093 +tp2094 +a(g826 +V +p2095 +tp2096 +a(g809 +Vmethod +p2097 +tp2098 +a(g826 +g974 +tp2099 +a(g573 +Vhandle_accel +p2100 +tp2101 +a(g705 +g1048 +tp2102 +a(g440 +g1487 +tp2103 +a(g705 +g1165 +tp2104 +a(g826 +V\u000a +p2105 +tp2106 +a(g826 +V +p2107 +tp2108 +a(g435 +Vself +p2109 +tp2110 +a(g314 +g1189 +tp2111 +a(g435 +VComponent +p2112 +tp2113 +a(g314 +g1189 +tp2114 +a(g435 +Vhandle_accel +p2115 +tp2116 +a(g705 +g1048 +tp2117 +a(g435 +g1487 +tp2118 +a(g705 +g1165 +tp2119 +a(g826 +V\u000a +p2120 +tp2121 +a(g826 +V +p2122 +tp2123 +a(g435 +Von_action +p2124 +tp2125 +a(g705 +g1048 +tp2126 +a(g435 +g1487 +tp2127 +a(g705 +g1165 +tp2128 +a(g826 +V\u000a +p2129 +tp2130 +a(g826 +V +p2131 +tp2132 +a(g793 +Vend +p2133 +tp2134 +a(g826 +V\u000a\u000a +p2135 +tp2136 +a(g826 +V +p2137 +tp2138 +a(g809 +Vmethod +p2139 +tp2140 +a(g826 +g974 +tp2141 +a(g573 +Vhandle_default +p2142 +tp2143 +a(g705 +g1048 +tp2144 +a(g440 +g1487 +tp2145 +a(g705 +g1165 +tp2146 +a(g826 +V\u000a +p2147 +tp2148 +a(g826 +V +p2149 +tp2150 +a(g793 +Vif +p2151 +tp2152 +a(g826 +g974 +tp2153 +a(g412 +V\u005c +p2154 +tp2155 +a(g435 +Vself +p2156 +tp2157 +a(g314 +g1189 +tp2158 +a(g435 +Vhas_focus +p2159 +tp2160 +a(g826 +g974 +tp2161 +a(g793 +Vthen +p2162 +tp2163 +a(g826 +g974 +tp2164 +a(g705 +g1517 +tp2165 +a(g826 +V\u000a +p2166 +tp2167 +a(g826 +V +p2168 +tp2169 +a(g793 +Vif +p2170 +tp2171 +a(g826 +g974 +tp2172 +a(g412 +g1767 +tp2173 +a(g435 +Vself +p2174 +tp2175 +a(g314 +g1189 +tp2176 +a(g435 +Vno_keyboard_flag +p2177 +tp2178 +a(g826 +g974 +tp2179 +a(g412 +V& +p2180 +tp2181 +a(g826 +g974 +tp2182 +a(g435 +g1487 +tp2183 +a(g826 +g974 +tp2184 +a(g412 +V= +p2185 +tp2186 +a(g412 +g2185 +tp2187 +a(g826 +g974 +tp2188 +a(g705 +g1048 +tp2189 +a(g89 +V"\u005cr" +p2190 +tp2191 +a(g826 +g974 +tp2192 +a(g412 +V| +p2193 +tp2194 +a(g826 +g974 +tp2195 +a(g89 +V"\u005cl" +p2196 +tp2197 +a(g826 +g974 +tp2198 +a(g412 +g2193 +tp2199 +a(g826 +g974 +tp2200 +a(g89 +V" " +p2201 +tp2202 +a(g705 +g1165 +tp2203 +a(g826 +g974 +tp2204 +a(g793 +Vthen +p2205 +tp2206 +a(g826 +g974 +tp2207 +a(g705 +g1517 +tp2208 +a(g826 +V\u000a +p2209 +tp2210 +a(g826 +V +p2211 +tp2212 +a(g435 +Von_action +p2213 +tp2214 +a(g705 +g1048 +tp2215 +a(g435 +g1487 +tp2216 +a(g705 +g1165 +tp2217 +a(g826 +V\u000a +p2218 +tp2219 +a(g826 +V +p2220 +tp2221 +a(g705 +g1656 +tp2222 +a(g826 +V\u000a +p2223 +tp2224 +a(g826 +V +p2225 +tp2226 +a(g705 +g1656 +tp2227 +a(g826 +V\u000a +p2228 +tp2229 +a(g826 +V +p2230 +tp2231 +a(g793 +Vend +p2232 +tp2233 +a(g826 +V\u000a\u000a +p2234 +tp2235 +a(g826 +V +p2236 +tp2237 +a(g809 +Vmethod +p2238 +tp2239 +a(g826 +g974 +tp2240 +a(g573 +Vhandle_event +p2241 +tp2242 +a(g705 +g1048 +tp2243 +a(g440 +g1487 +tp2244 +a(g705 +g1165 +tp2245 +a(g826 +V\u000a +p2246 +tp2247 +a(g826 +V +p2248 +tp2249 +a(g793 +Vif +p2250 +tp2251 +a(g826 +g974 +tp2252 +a(g435 +g1487 +tp2253 +a(g826 +g974 +tp2254 +a(g412 +g2185 +tp2255 +a(g412 +g2185 +tp2256 +a(g412 +g2185 +tp2257 +a(g826 +g974 +tp2258 +a(g705 +g1048 +tp2259 +a(g793 +V&lpress +p2260 +tp2261 +a(g826 +g974 +tp2262 +a(g412 +g2193 +tp2263 +a(g826 +g974 +tp2264 +a(g793 +V&rpress +p2265 +tp2266 +a(g826 +g974 +tp2267 +a(g412 +g2193 +tp2268 +a(g826 +g974 +tp2269 +a(g793 +V&mpress +p2270 +tp2271 +a(g705 +g1165 +tp2272 +a(g826 +g974 +tp2273 +a(g793 +Vthen +p2274 +tp2275 +a(g826 +g974 +tp2276 +a(g705 +g1517 +tp2277 +a(g826 +V\u000a +p2278 +tp2279 +a(g826 +V +p2280 +tp2281 +a(g435 +Vhandle_press +p2282 +tp2283 +a(g705 +g1048 +tp2284 +a(g435 +g1487 +tp2285 +a(g705 +g1165 +tp2286 +a(g826 +V\u000a +p2287 +tp2288 +a(g826 +V +p2289 +tp2290 +a(g705 +g1656 +tp2291 +a(g826 +g974 +tp2292 +a(g793 +Velse +p2293 +tp2294 +a(g826 +g974 +tp2295 +a(g793 +Vif +p2296 +tp2297 +a(g826 +g974 +tp2298 +a(g435 +g1487 +tp2299 +a(g826 +g974 +tp2300 +a(g412 +g2185 +tp2301 +a(g412 +g2185 +tp2302 +a(g412 +g2185 +tp2303 +a(g826 +g974 +tp2304 +a(g705 +g1048 +tp2305 +a(g793 +V&ldrag +p2306 +tp2307 +a(g826 +g974 +tp2308 +a(g412 +g2193 +tp2309 +a(g826 +g974 +tp2310 +a(g793 +V&rdrag +p2311 +tp2312 +a(g826 +g974 +tp2313 +a(g412 +g2193 +tp2314 +a(g826 +g974 +tp2315 +a(g793 +V&mdrag +p2316 +tp2317 +a(g705 +g1165 +tp2318 +a(g826 +g974 +tp2319 +a(g793 +Vthen +p2320 +tp2321 +a(g826 +g974 +tp2322 +a(g705 +g1517 +tp2323 +a(g826 +V\u000a +p2324 +tp2325 +a(g826 +V +p2326 +tp2327 +a(g435 +Vhandle_drag +p2328 +tp2329 +a(g705 +g1048 +tp2330 +a(g435 +g1487 +tp2331 +a(g705 +g1165 +tp2332 +a(g826 +V\u000a +p2333 +tp2334 +a(g826 +V +p2335 +tp2336 +a(g705 +g1656 +tp2337 +a(g826 +g974 +tp2338 +a(g793 +Velse +p2339 +tp2340 +a(g826 +g974 +tp2341 +a(g793 +Vif +p2342 +tp2343 +a(g826 +g974 +tp2344 +a(g435 +g1487 +tp2345 +a(g826 +g974 +tp2346 +a(g412 +g2185 +tp2347 +a(g412 +g2185 +tp2348 +a(g412 +g2185 +tp2349 +a(g826 +g974 +tp2350 +a(g705 +g1048 +tp2351 +a(g793 +V&lrelease +p2352 +tp2353 +a(g826 +g974 +tp2354 +a(g412 +g2193 +tp2355 +a(g826 +g974 +tp2356 +a(g793 +V&rrelease +p2357 +tp2358 +a(g826 +g974 +tp2359 +a(g412 +g2193 +tp2360 +a(g826 +g974 +tp2361 +a(g793 +V&mrelease +p2362 +tp2363 +a(g705 +g1165 +tp2364 +a(g826 +g974 +tp2365 +a(g793 +Vthen +p2366 +tp2367 +a(g826 +g974 +tp2368 +a(g705 +g1517 +tp2369 +a(g826 +V\u000a +p2370 +tp2371 +a(g826 +V +p2372 +tp2373 +a(g435 +Vhandle_release +p2374 +tp2375 +a(g705 +g1048 +tp2376 +a(g435 +g1487 +tp2377 +a(g705 +g1165 +tp2378 +a(g826 +V\u000a +p2379 +tp2380 +a(g826 +V +p2381 +tp2382 +a(g705 +g1656 +tp2383 +a(g826 +g974 +tp2384 +a(g793 +Velse +p2385 +tp2386 +a(g826 +g974 +tp2387 +a(g826 +V\u000a +p2388 +tp2389 +a(g826 +V +p2390 +tp2391 +a(g435 +Vhandle_default +p2392 +tp2393 +a(g705 +g1048 +tp2394 +a(g435 +g1487 +tp2395 +a(g705 +g1165 +tp2396 +a(g826 +V\u000a +p2397 +tp2398 +a(g826 +V +p2399 +tp2400 +a(g793 +Vend +p2401 +tp2402 +a(g826 +V\u000a\u000a +p2403 +tp2404 +a(g826 +V +p2405 +tp2406 +a(g8 +V#\u000a +p2407 +tp2408 +a(g826 +V +p2409 +tp2410 +a(g8 +V# Set the up/down images (if any) to the strings provided,\u000a +p2411 +tp2412 +a(g826 +V +p2413 +tp2414 +a(g8 +V# which should be in Icon image format.\u000a +p2415 +tp2416 +a(g826 +V +p2417 +tp2418 +a(g8 +V# The two images must have the same dimensions.\u000a +p2419 +tp2420 +a(g826 +V +p2421 +tp2422 +a(g8 +V# @param x The up image\u000a +p2423 +tp2424 +a(g826 +V +p2425 +tp2426 +a(g8 +V# @param y The down image\u000a +p2427 +tp2428 +a(g826 +V +p2429 +tp2430 +a(g8 +V#\u000a +p2431 +tp2432 +a(g826 +V +p2433 +tp2434 +a(g809 +Vmethod +p2435 +tp2436 +a(g826 +g974 +tp2437 +a(g573 +Vset_imgs +p2438 +tp2439 +a(g705 +g1048 +tp2440 +a(g440 +g1177 +tp2441 +a(g705 +g1056 +tp2442 +a(g826 +g974 +tp2443 +a(g440 +Vy +p2444 +tp2445 +a(g705 +g1165 +tp2446 +a(g826 +V\u000a +p2447 +tp2448 +a(g826 +V +p2449 +tp2450 +a(g435 +Vself +p2451 +tp2452 +a(g314 +g1189 +tp2453 +a(g435 +Vimg_up +p2454 +tp2455 +a(g826 +g974 +tp2456 +a(g412 +V:= +p2457 +tp2458 +a(g826 +g974 +tp2459 +a(g435 +g1177 +tp2460 +a(g826 +V\u000a +p2461 +tp2462 +a(g826 +V +p2463 +tp2464 +a(g435 +Vself +p2465 +tp2466 +a(g314 +g1189 +tp2467 +a(g435 +Vimg_w +p2468 +tp2469 +a(g826 +g974 +tp2470 +a(g412 +V:= +p2471 +tp2472 +a(g826 +g974 +tp2473 +a(g435 +Vimg_width +p2474 +tp2475 +a(g705 +g1048 +tp2476 +a(g435 +g1177 +tp2477 +a(g705 +g1165 +tp2478 +a(g826 +g974 +tp2479 +a(g412 +g2185 +tp2480 +a(g826 +g974 +tp2481 +a(g435 +Vimg_width +p2482 +tp2483 +a(g705 +g1048 +tp2484 +a(g435 +g2444 +tp2485 +a(g705 +g1165 +tp2486 +a(g826 +g974 +tp2487 +a(g412 +g2193 +tp2488 +a(g826 +g974 +tp2489 +a(g435 +Vfatal +p2490 +tp2491 +a(g705 +g1048 +tp2492 +a(g89 +V"Image widths differ" +p2493 +tp2494 +a(g705 +g1165 +tp2495 +a(g826 +V\u000a +p2496 +tp2497 +a(g826 +V +p2498 +tp2499 +a(g435 +Vself +p2500 +tp2501 +a(g314 +g1189 +tp2502 +a(g435 +Vimg_h +p2503 +tp2504 +a(g826 +g974 +tp2505 +a(g412 +V:= +p2506 +tp2507 +a(g826 +g974 +tp2508 +a(g435 +Vimg_height +p2509 +tp2510 +a(g705 +g1048 +tp2511 +a(g435 +g1177 +tp2512 +a(g705 +g1165 +tp2513 +a(g826 +g974 +tp2514 +a(g412 +g2185 +tp2515 +a(g826 +g974 +tp2516 +a(g435 +Vimg_height +p2517 +tp2518 +a(g705 +g1048 +tp2519 +a(g435 +g2444 +tp2520 +a(g705 +g1165 +tp2521 +a(g826 +g974 +tp2522 +a(g412 +g2193 +tp2523 +a(g826 +g974 +tp2524 +a(g435 +Vfatal +p2525 +tp2526 +a(g705 +g1048 +tp2527 +a(g89 +V"Image heights differ" +p2528 +tp2529 +a(g705 +g1165 +tp2530 +a(g826 +V\u000a\u000a +p2531 +tp2532 +a(g826 +V +p2533 +tp2534 +a(g435 +Vself +p2535 +tp2536 +a(g314 +g1189 +tp2537 +a(g435 +Vimg_down +p2538 +tp2539 +a(g826 +g974 +tp2540 +a(g412 +V:= +p2541 +tp2542 +a(g826 +g974 +tp2543 +a(g435 +g2444 +tp2544 +a(g826 +V\u000a\u000a +p2545 +tp2546 +a(g826 +V +p2547 +tp2548 +a(g793 +Vreturn +p2549 +tp2550 +a(g826 +V\u000a +p2551 +tp2552 +a(g826 +V +p2553 +tp2554 +a(g793 +Vend +p2555 +tp2556 +a(g826 +V\u000a\u000a +p2557 +tp2558 +a(g826 +V +p2559 +tp2560 +a(g8 +V#\u000a +p2561 +tp2562 +a(g826 +V +p2563 +tp2564 +a(g8 +V# Set the image (if any) to the given string, which should be in Icon image\u000a +p2565 +tp2566 +a(g826 +V +p2567 +tp2568 +a(g8 +V# format.\u000a +p2569 +tp2570 +a(g826 +V +p2571 +tp2572 +a(g8 +V# @param x The image\u000a +p2573 +tp2574 +a(g826 +V +p2575 +tp2576 +a(g8 +V#\u000a +p2577 +tp2578 +a(g826 +V +p2579 +tp2580 +a(g809 +Vmethod +p2581 +tp2582 +a(g826 +g974 +tp2583 +a(g573 +Vset_img +p2584 +tp2585 +a(g705 +g1048 +tp2586 +a(g440 +g1177 +tp2587 +a(g705 +g1165 +tp2588 +a(g826 +V\u000a +p2589 +tp2590 +a(g826 +V +p2591 +tp2592 +a(g435 +Vself +p2593 +tp2594 +a(g314 +g1189 +tp2595 +a(g435 +Vimg_up +p2596 +tp2597 +a(g826 +g974 +tp2598 +a(g412 +V:= +p2599 +tp2600 +a(g826 +g974 +tp2601 +a(g435 +Vself +p2602 +tp2603 +a(g314 +g1189 +tp2604 +a(g435 +Vimg_down +p2605 +tp2606 +a(g826 +g974 +tp2607 +a(g412 +V:= +p2608 +tp2609 +a(g826 +g974 +tp2610 +a(g435 +g1177 +tp2611 +a(g826 +V\u000a +p2612 +tp2613 +a(g826 +V +p2614 +tp2615 +a(g435 +Vself +p2616 +tp2617 +a(g314 +g1189 +tp2618 +a(g435 +Vimg_w +p2619 +tp2620 +a(g826 +g974 +tp2621 +a(g412 +V:= +p2622 +tp2623 +a(g826 +g974 +tp2624 +a(g435 +Vimg_width +p2625 +tp2626 +a(g705 +g1048 +tp2627 +a(g435 +g1177 +tp2628 +a(g705 +g1165 +tp2629 +a(g826 +V\u000a +p2630 +tp2631 +a(g826 +V +p2632 +tp2633 +a(g435 +Vself +p2634 +tp2635 +a(g314 +g1189 +tp2636 +a(g435 +Vimg_h +p2637 +tp2638 +a(g826 +g974 +tp2639 +a(g412 +V:= +p2640 +tp2641 +a(g826 +g974 +tp2642 +a(g435 +Vimg_height +p2643 +tp2644 +a(g705 +g1048 +tp2645 +a(g435 +g1177 +tp2646 +a(g705 +g1165 +tp2647 +a(g826 +V\u000a +p2648 +tp2649 +a(g826 +V +p2650 +tp2651 +a(g793 +Vreturn +p2652 +tp2653 +a(g826 +g974 +tp2654 +a(g435 +g1177 +tp2655 +a(g826 +V\u000a +p2656 +tp2657 +a(g826 +V +p2658 +tp2659 +a(g793 +Vend +p2660 +tp2661 +a(g826 +V\u000a\u000a +p2662 +tp2663 +a(g826 +V +p2664 +tp2665 +a(g8 +V#\u000a +p2666 +tp2667 +a(g826 +V +p2668 +tp2669 +a(g8 +V# Toggle the checked status of the button. This method, and\u000a +p2670 +tp2671 +a(g826 +V +p2672 +tp2673 +a(g8 +V# the following two methods, may be\u000a +p2674 +tp2675 +a(g826 +V +p2676 +tp2677 +a(g8 +V# inappropriate for non-toggle styles of button.\u000a +p2678 +tp2679 +a(g826 +V +p2680 +tp2681 +a(g8 +V#\u000a +p2682 +tp2683 +a(g826 +V +p2684 +tp2685 +a(g809 +Vmethod +p2686 +tp2687 +a(g826 +g974 +tp2688 +a(g573 +Vtoggle_is_checked +p2689 +tp2690 +a(g705 +g1048 +tp2691 +a(g705 +g1165 +tp2692 +a(g826 +V\u000a +p2693 +tp2694 +a(g826 +V +p2695 +tp2696 +a(g435 +Vself +p2697 +tp2698 +a(g314 +g1189 +tp2699 +a(g435 +VToggle +p2700 +tp2701 +a(g314 +g1189 +tp2702 +a(g435 +Vtoggle_is_checked +p2703 +tp2704 +a(g705 +g1048 +tp2705 +a(g705 +g1165 +tp2706 +a(g826 +V\u000a +p2707 +tp2708 +a(g826 +V +p2709 +tp2710 +a(g435 +Vself +p2711 +tp2712 +a(g314 +g1189 +tp2713 +a(g435 +Vinvalidate +p2714 +tp2715 +a(g705 +g1048 +tp2716 +a(g705 +g1165 +tp2717 +a(g826 +V\u000a +p2718 +tp2719 +a(g826 +V +p2720 +tp2721 +a(g793 +Vend +p2722 +tp2723 +a(g826 +V\u000a\u000a +p2724 +tp2725 +a(g826 +V +p2726 +tp2727 +a(g8 +V#\u000a +p2728 +tp2729 +a(g826 +V +p2730 +tp2731 +a(g8 +V# Set the status to checked.\u000a +p2732 +tp2733 +a(g826 +V +p2734 +tp2735 +a(g8 +V#\u000a +p2736 +tp2737 +a(g826 +V +p2738 +tp2739 +a(g809 +Vmethod +p2740 +tp2741 +a(g826 +g974 +tp2742 +a(g573 +Vset_is_checked +p2743 +tp2744 +a(g705 +g1048 +tp2745 +a(g705 +g1165 +tp2746 +a(g826 +V\u000a +p2747 +tp2748 +a(g826 +V +p2749 +tp2750 +a(g435 +Vself +p2751 +tp2752 +a(g314 +g1189 +tp2753 +a(g435 +VToggle +p2754 +tp2755 +a(g314 +g1189 +tp2756 +a(g435 +Vset_is_checked +p2757 +tp2758 +a(g705 +g1048 +tp2759 +a(g705 +g1165 +tp2760 +a(g826 +V\u000a +p2761 +tp2762 +a(g826 +V +p2763 +tp2764 +a(g435 +Vself +p2765 +tp2766 +a(g314 +g1189 +tp2767 +a(g435 +Vinvalidate +p2768 +tp2769 +a(g705 +g1048 +tp2770 +a(g705 +g1165 +tp2771 +a(g826 +V\u000a +p2772 +tp2773 +a(g826 +V +p2774 +tp2775 +a(g793 +Vend +p2776 +tp2777 +a(g826 +V\u000a\u000a +p2778 +tp2779 +a(g826 +V +p2780 +tp2781 +a(g8 +V#\u000a +p2782 +tp2783 +a(g826 +V +p2784 +tp2785 +a(g8 +V# Set the status to unchecked.\u000a +p2786 +tp2787 +a(g826 +V +p2788 +tp2789 +a(g8 +V#\u000a +p2790 +tp2791 +a(g826 +V +p2792 +tp2793 +a(g809 +Vmethod +p2794 +tp2795 +a(g826 +g974 +tp2796 +a(g573 +Vclear_is_checked +p2797 +tp2798 +a(g705 +g1048 +tp2799 +a(g705 +g1165 +tp2800 +a(g826 +V\u000a +p2801 +tp2802 +a(g826 +V +p2803 +tp2804 +a(g435 +Vself +p2805 +tp2806 +a(g314 +g1189 +tp2807 +a(g435 +VToggle +p2808 +tp2809 +a(g314 +g1189 +tp2810 +a(g435 +Vclear_is_checked +p2811 +tp2812 +a(g705 +g1048 +tp2813 +a(g705 +g1165 +tp2814 +a(g826 +V\u000a +p2815 +tp2816 +a(g826 +V +p2817 +tp2818 +a(g435 +Vself +p2819 +tp2820 +a(g314 +g1189 +tp2821 +a(g435 +Vinvalidate +p2822 +tp2823 +a(g705 +g1048 +tp2824 +a(g705 +g1165 +tp2825 +a(g826 +V\u000a +p2826 +tp2827 +a(g826 +V +p2828 +tp2829 +a(g793 +Vend +p2830 +tp2831 +a(g826 +V\u000a\u000a +p2832 +tp2833 +a(g826 +V +p2834 +tp2835 +a(g8 +V#\u000a +p2836 +tp2837 +a(g826 +V +p2838 +tp2839 +a(g8 +V# Set the button so that when it is pressed, it toggles\u000a +p2840 +tp2841 +a(g826 +V +p2842 +tp2843 +a(g8 +V# between two states, as indicated by the is_checked\u000a +p2844 +tp2845 +a(g826 +V +p2846 +tp2847 +a(g8 +V# flag.\u000a +p2848 +tp2849 +a(g826 +V +p2850 +tp2851 +a(g8 +V#\u000a +p2852 +tp2853 +a(g826 +V +p2854 +tp2855 +a(g8 +V# Instances of Checkbox have this flag on by default, but \u000a +p2856 +tp2857 +a(g826 +V +p2858 +tp2859 +a(g8 +V# TextButton and IconButton do not. When the flag is on,\u000a +p2860 +tp2861 +a(g826 +V +p2862 +tp2863 +a(g8 +V# the latter classes indicate their checked status by\u000a +p2864 +tp2865 +a(g826 +V +p2866 +tp2867 +a(g8 +V# showing the button as being "down".\u000a +p2868 +tp2869 +a(g826 +V +p2870 +tp2871 +a(g8 +V#\u000a +p2872 +tp2873 +a(g826 +V +p2874 +tp2875 +a(g809 +Vmethod +p2876 +tp2877 +a(g826 +g974 +tp2878 +a(g573 +Vset_toggles +p2879 +tp2880 +a(g705 +g1048 +tp2881 +a(g705 +g1165 +tp2882 +a(g826 +V\u000a +p2883 +tp2884 +a(g826 +V +p2885 +tp2886 +a(g435 +Vself +p2887 +tp2888 +a(g314 +g1189 +tp2889 +a(g435 +Vtoggles_flag +p2890 +tp2891 +a(g826 +g974 +tp2892 +a(g412 +V:= +p2893 +tp2894 +a(g826 +g974 +tp2895 +a(g37 +g1252 +tp2896 +a(g826 +V\u000a +p2897 +tp2898 +a(g826 +V +p2899 +tp2900 +a(g435 +Vself +p2901 +tp2902 +a(g314 +g1189 +tp2903 +a(g435 +Vinvalidate +p2904 +tp2905 +a(g705 +g1048 +tp2906 +a(g705 +g1165 +tp2907 +a(g826 +V\u000a +p2908 +tp2909 +a(g826 +V +p2910 +tp2911 +a(g793 +Vend +p2912 +tp2913 +a(g826 +V\u000a\u000a +p2914 +tp2915 +a(g826 +V +p2916 +tp2917 +a(g8 +V#\u000a +p2918 +tp2919 +a(g826 +V +p2920 +tp2921 +a(g8 +V# Clear the toggles flag.\u000a +p2922 +tp2923 +a(g826 +V +p2924 +tp2925 +a(g8 +V#\u000a +p2926 +tp2927 +a(g826 +V +p2928 +tp2929 +a(g809 +Vmethod +p2930 +tp2931 +a(g826 +g974 +tp2932 +a(g573 +Vclear_toggles +p2933 +tp2934 +a(g705 +g1048 +tp2935 +a(g705 +g1165 +tp2936 +a(g826 +V\u000a +p2937 +tp2938 +a(g826 +V +p2939 +tp2940 +a(g435 +Vself +p2941 +tp2942 +a(g314 +g1189 +tp2943 +a(g435 +Vtoggles_flag +p2944 +tp2945 +a(g826 +g974 +tp2946 +a(g412 +V:= +p2947 +tp2948 +a(g826 +g974 +tp2949 +a(g763 +V&null +p2950 +tp2951 +a(g826 +V\u000a +p2952 +tp2953 +a(g826 +V +p2954 +tp2955 +a(g435 +Vself +p2956 +tp2957 +a(g314 +g1189 +tp2958 +a(g435 +Vinvalidate +p2959 +tp2960 +a(g705 +g1048 +tp2961 +a(g705 +g1165 +tp2962 +a(g826 +V\u000a +p2963 +tp2964 +a(g826 +V +p2965 +tp2966 +a(g793 +Vend +p2967 +tp2968 +a(g826 +V\u000a\u000a +p2969 +tp2970 +a(g826 +V +p2971 +tp2972 +a(g8 +V#\u000a +p2973 +tp2974 +a(g826 +V +p2975 +tp2976 +a(g8 +V# Set the label of the button, if any.\u000a +p2977 +tp2978 +a(g826 +V +p2979 +tp2980 +a(g8 +V# @param x The label\u000a +p2981 +tp2982 +a(g826 +V +p2983 +tp2984 +a(g8 +V#\u000a +p2985 +tp2986 +a(g826 +V +p2987 +tp2988 +a(g809 +Vmethod +p2989 +tp2990 +a(g826 +g974 +tp2991 +a(g573 +Vset_label +p2992 +tp2993 +a(g705 +g1048 +tp2994 +a(g440 +g1177 +tp2995 +a(g705 +g1165 +tp2996 +a(g826 +V\u000a +p2997 +tp2998 +a(g826 +V +p2999 +tp3000 +a(g435 +Vself +p3001 +tp3002 +a(g314 +g1189 +tp3003 +a(g435 +Vlabel +p3004 +tp3005 +a(g826 +g974 +tp3006 +a(g412 +V:= +p3007 +tp3008 +a(g826 +g974 +tp3009 +a(g435 +g1177 +tp3010 +a(g826 +V\u000a +p3011 +tp3012 +a(g826 +V +p3013 +tp3014 +a(g435 +Vself +p3015 +tp3016 +a(g314 +g1189 +tp3017 +a(g435 +Vinvalidate +p3018 +tp3019 +a(g705 +g1048 +tp3020 +a(g705 +g1165 +tp3021 +a(g826 +V\u000a +p3022 +tp3023 +a(g826 +V +p3024 +tp3025 +a(g793 +Vreturn +p3026 +tp3027 +a(g826 +g974 +tp3028 +a(g435 +g1177 +tp3029 +a(g826 +V\u000a +p3030 +tp3031 +a(g826 +V +p3032 +tp3033 +a(g793 +Vend +p3034 +tp3035 +a(g826 +V\u000a\u000a +p3036 +tp3037 +a(g826 +V +p3038 +tp3039 +a(g809 +Vmethod +p3040 +tp3041 +a(g826 +g974 +tp3042 +a(g573 +Vset_one +p3043 +tp3044 +a(g705 +g1048 +tp3045 +a(g440 +Vattr +p3046 +tp3047 +a(g705 +g1056 +tp3048 +a(g826 +g974 +tp3049 +a(g440 +Vval +p3050 +tp3051 +a(g705 +g1165 +tp3052 +a(g826 +V\u000a +p3053 +tp3054 +a(g826 +V +p3055 +tp3056 +a(g793 +Vcase +p3057 +tp3058 +a(g826 +g974 +tp3059 +a(g435 +Vattr +p3060 +tp3061 +a(g826 +g974 +tp3062 +a(g793 +Vof +p3063 +tp3064 +a(g826 +g974 +tp3065 +a(g705 +g1517 +tp3066 +a(g826 +V\u000a +p3067 +tp3068 +a(g826 +V +p3069 +tp3070 +a(g89 +V"label" +p3071 +tp3072 +a(g826 +g974 +tp3073 +a(g705 +g1038 +tp3074 +a(g826 +g974 +tp3075 +a(g435 +Vset_label +p3076 +tp3077 +a(g705 +g1048 +tp3078 +a(g435 +Vstring_val +p3079 +tp3080 +a(g705 +g1048 +tp3081 +a(g435 +Vattr +p3082 +tp3083 +a(g705 +g1056 +tp3084 +a(g826 +g974 +tp3085 +a(g435 +Vval +p3086 +tp3087 +a(g705 +g1165 +tp3088 +a(g705 +g1165 +tp3089 +a(g826 +V\u000a +p3090 +tp3091 +a(g826 +V +p3092 +tp3093 +a(g89 +V"is_checked" +p3094 +tp3095 +a(g826 +g974 +tp3096 +a(g705 +g1038 +tp3097 +a(g826 +V\u000a +p3098 +tp3099 +a(g826 +V +p3100 +tp3101 +a(g793 +Vif +p3102 +tp3103 +a(g826 +g974 +tp3104 +a(g435 +Vtest_flag +p3105 +tp3106 +a(g705 +g1048 +tp3107 +a(g435 +Vattr +p3108 +tp3109 +a(g705 +g1056 +tp3110 +a(g826 +g974 +tp3111 +a(g435 +Vval +p3112 +tp3113 +a(g705 +g1165 +tp3114 +a(g826 +g974 +tp3115 +a(g793 +Vthen +p3116 +tp3117 +a(g826 +V\u000a +p3118 +tp3119 +a(g826 +V +p3120 +tp3121 +a(g435 +Vset_is_checked +p3122 +tp3123 +a(g705 +g1048 +tp3124 +a(g705 +g1165 +tp3125 +a(g826 +V\u000a +p3126 +tp3127 +a(g826 +V +p3128 +tp3129 +a(g793 +Velse +p3130 +tp3131 +a(g826 +V\u000a +p3132 +tp3133 +a(g826 +V +p3134 +tp3135 +a(g435 +Vclear_is_checked +p3136 +tp3137 +a(g705 +g1048 +tp3138 +a(g705 +g1165 +tp3139 +a(g826 +V\u000a +p3140 +tp3141 +a(g826 +V +p3142 +tp3143 +a(g89 +V"toggles" +p3144 +tp3145 +a(g826 +g974 +tp3146 +a(g705 +g1038 +tp3147 +a(g826 +V\u000a +p3148 +tp3149 +a(g826 +V +p3150 +tp3151 +a(g793 +Vif +p3152 +tp3153 +a(g826 +g974 +tp3154 +a(g435 +Vtest_flag +p3155 +tp3156 +a(g705 +g1048 +tp3157 +a(g435 +Vattr +p3158 +tp3159 +a(g705 +g1056 +tp3160 +a(g826 +g974 +tp3161 +a(g435 +Vval +p3162 +tp3163 +a(g705 +g1165 +tp3164 +a(g826 +g974 +tp3165 +a(g793 +Vthen +p3166 +tp3167 +a(g826 +V\u000a +p3168 +tp3169 +a(g826 +V +p3170 +tp3171 +a(g435 +Vset_toggles +p3172 +tp3173 +a(g705 +g1048 +tp3174 +a(g705 +g1165 +tp3175 +a(g826 +V\u000a +p3176 +tp3177 +a(g826 +V +p3178 +tp3179 +a(g793 +Velse +p3180 +tp3181 +a(g826 +V\u000a +p3182 +tp3183 +a(g826 +V +p3184 +tp3185 +a(g435 +Vclear_toggles +p3186 +tp3187 +a(g705 +g1048 +tp3188 +a(g705 +g1165 +tp3189 +a(g826 +V\u000a +p3190 +tp3191 +a(g826 +V +p3192 +tp3193 +a(g89 +V"no_keyboard" +p3194 +tp3195 +a(g826 +g974 +tp3196 +a(g705 +g1038 +tp3197 +a(g826 +V\u000a +p3198 +tp3199 +a(g826 +V +p3200 +tp3201 +a(g793 +Vif +p3202 +tp3203 +a(g826 +g974 +tp3204 +a(g435 +Vtest_flag +p3205 +tp3206 +a(g705 +g1048 +tp3207 +a(g435 +Vattr +p3208 +tp3209 +a(g705 +g1056 +tp3210 +a(g826 +g974 +tp3211 +a(g435 +Vval +p3212 +tp3213 +a(g705 +g1165 +tp3214 +a(g826 +g974 +tp3215 +a(g793 +Vthen +p3216 +tp3217 +a(g826 +V\u000a +p3218 +tp3219 +a(g826 +V +p3220 +tp3221 +a(g435 +Vset_no_keyboard +p3222 +tp3223 +a(g705 +g1048 +tp3224 +a(g705 +g1165 +tp3225 +a(g826 +V\u000a +p3226 +tp3227 +a(g826 +V +p3228 +tp3229 +a(g793 +Velse +p3230 +tp3231 +a(g826 +V\u000a +p3232 +tp3233 +a(g826 +V +p3234 +tp3235 +a(g435 +Vclear_no_keyboard +p3236 +tp3237 +a(g705 +g1048 +tp3238 +a(g705 +g1165 +tp3239 +a(g826 +V\u000a +p3240 +tp3241 +a(g826 +V +p3242 +tp3243 +a(g793 +Vdefault +p3244 +tp3245 +a(g705 +g1038 +tp3246 +a(g826 +g974 +tp3247 +a(g435 +Vself +p3248 +tp3249 +a(g314 +g1189 +tp3250 +a(g435 +VComponent +p3251 +tp3252 +a(g314 +g1189 +tp3253 +a(g435 +Vset_one +p3254 +tp3255 +a(g705 +g1048 +tp3256 +a(g435 +Vattr +p3257 +tp3258 +a(g705 +g1056 +tp3259 +a(g826 +g974 +tp3260 +a(g435 +Vval +p3261 +tp3262 +a(g705 +g1165 +tp3263 +a(g826 +V\u000a +p3264 +tp3265 +a(g826 +V +p3266 +tp3267 +a(g705 +g1656 +tp3268 +a(g826 +V\u000a +p3269 +tp3270 +a(g826 +V +p3271 +tp3272 +a(g793 +Vend +p3273 +tp3274 +a(g826 +V\u000a\u000a +p3275 +tp3276 +a(g826 +V +p3277 +tp3278 +a(g793 +Vinitially +p3279 +tp3280 +a(g705 +g1048 +tp3281 +a(g705 +g1165 +tp3282 +a(g826 +V\u000a +p3283 +tp3284 +a(g826 +V +p3285 +tp3286 +a(g435 +Vself +p3287 +tp3288 +a(g314 +g1189 +tp3289 +a(g435 +VComponent +p3290 +tp3291 +a(g314 +g1189 +tp3292 +a(g793 +Vinitially +p3293 +tp3294 +a(g705 +g1048 +tp3295 +a(g705 +g1165 +tp3296 +a(g826 +V\u000a +p3297 +tp3298 +a(g826 +V +p3299 +tp3300 +a(g435 +Vself +p3301 +tp3302 +a(g314 +g1189 +tp3303 +a(g435 +Vaccepts_focus_flag +p3304 +tp3305 +a(g826 +g974 +tp3306 +a(g412 +V:= +p3307 +tp3308 +a(g826 +g974 +tp3309 +a(g37 +g1252 +tp3310 +a(g826 +V\u000a +p3311 +tp3312 +a(g793 +Vend +p3313 +tp3314 +a(g826 +V\u000a +p3315 +tp3316 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.icon b/tests/examplefiles/output/example.icon new file mode 100644 index 0000000..d2b240f --- /dev/null +++ b/tests/examplefiles/output/example.icon @@ -0,0 +1,11712 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV############################################################################\u000a +p956 +tp957 +a(g8 +V#\u000a +p958 +tp959 +a(g8 +V# File: kaleid.icn\u000a +p960 +tp961 +a(g8 +V#\u000a +p962 +tp963 +a(g8 +V# Subject: Program to produce kaleidoscope\u000a +p964 +tp965 +a(g8 +V#\u000a +p966 +tp967 +a(g8 +V# Author: Stephen B. Wampler\u000a +p968 +tp969 +a(g8 +V#\u000a +p970 +tp971 +a(g8 +V# Date: May 2, 2001\u000a +p972 +tp973 +a(g8 +V#\u000a +p974 +tp975 +a(g8 +V############################################################################\u000a +p976 +tp977 +a(g8 +V#\u000a +p978 +tp979 +a(g8 +V# This file is in the public domain.\u000a +p980 +tp981 +a(g8 +V#\u000a +p982 +tp983 +a(g8 +V############################################################################\u000a +p984 +tp985 +a(g8 +V#\u000a +p986 +tp987 +a(g8 +V# Lots of options, most easily set by with the interface after\u000a +p988 +tp989 +a(g8 +V# startup. The only one that isn't set that way is -wn where 'n' is\u000a +p990 +tp991 +a(g8 +V# the size of the kaleidoscope window (default is 600 square).\u000a +p992 +tp993 +a(g8 +V#\u000a +p994 +tp995 +a(g8 +V# Terminology (and options):\u000a +p996 +tp997 +a(g8 +V#\u000a +p998 +tp999 +a(g8 +V# Window_size (-wN): How big of a display window to use.\u000a +p1000 +tp1001 +a(g8 +V# At the current time, this can only be set via a\u000a +p1002 +tp1003 +a(g8 +V# command line argument.\u000a +p1004 +tp1005 +a(g8 +V#\u000a +p1006 +tp1007 +a(g8 +V# Density (-dN): How many circles per octant to keep on display\u000a +p1008 +tp1009 +a(g8 +V# at any one time. There is NO LIMIT to the density.\u000a +p1010 +tp1011 +a(g8 +V#\u000a +p1012 +tp1013 +a(g8 +V# Duration (-lN): How long to keep drawing circles (measured in\u000a +p1014 +tp1015 +a(g8 +V# in circles) once the density is reached. There is NO LIMIT\u000a +p1016 +tp1017 +a(g8 +V# to the duration.\u000a +p1018 +tp1019 +a(g8 +V#\u000a +p1020 +tp1021 +a(g8 +V# MaxRadius (-MN): Maximum radius of any circle.\u000a +p1022 +tp1023 +a(g8 +V#\u000a +p1024 +tp1025 +a(g8 +V# MinRadius (-mN): Preferred minimum radius. Circles with centers\u000a +p1026 +tp1027 +a(g8 +V# near the edge have their radii forced down to fit entirely\u000a +p1028 +tp1029 +a(g8 +V# on the display\u000a +p1030 +tp1031 +a(g8 +V#\u000a +p1032 +tp1033 +a(g8 +V# MaxOffset (-XN): Maximum offset from center of display (may wrap).\u000a +p1034 +tp1035 +a(g8 +V#\u000a +p1036 +tp1037 +a(g8 +V# MinOffset (-xN): Minimum offset\u000a +p1038 +tp1039 +a(g8 +V#\u000a +p1040 +tp1041 +a(g8 +V# Skew (-sN): Shift probability of placing a circle at a 'typical'\u000a +p1042 +tp1043 +a(g8 +V# offset.\u000a +p1044 +tp1045 +a(g8 +V#\u000a +p1046 +tp1047 +a(g8 +V# Fill (-F): Turns off filling the circles.\u000a +p1048 +tp1049 +a(g8 +V#\u000a +p1050 +tp1051 +a(g8 +V# Clear (-C): After the duration, reduces density back to 0 before\u000a +p1052 +tp1053 +a(g8 +V# quitting.\u000a +p1054 +tp1055 +a(g8 +V#\u000a +p1056 +tp1057 +a(g8 +V# Random Seed: (-rN): Sets the random number seed.\u000a +p1058 +tp1059 +a(g8 +V#\u000a +p1060 +tp1061 +a(g8 +V# Thanks to Jon Lipp for help on using vidgets, and to Mary Camaron\u000a +p1062 +tp1063 +a(g8 +V# for her Interface Builder.\u000a +p1064 +tp1065 +a(g8 +V#\u000a +p1066 +tp1067 +a(g8 +V############################################################################\u000a +p1068 +tp1069 +a(g8 +V#\u000a +p1070 +tp1071 +a(g8 +V# Requires: Version 9 graphics\u000a +p1072 +tp1073 +a(g8 +V#\u000a +p1074 +tp1075 +a(g8 +V############################################################################\u000a +p1076 +tp1077 +a(g8 +V#\u000a +p1078 +tp1079 +a(g8 +V# Links: vidgets, vslider, vtext, vbuttons, vradio, wopen, xcompat\u000a +p1080 +tp1081 +a(g8 +V#\u000a +p1082 +tp1083 +a(g8 +V############################################################################\u000a +p1084 +tp1085 +a(g826 +V\u000a +p1086 +tp1087 +a(g809 +Vlink +p1088 +tp1089 +a(g826 +V +p1090 +tp1091 +a(g435 +Vvidgets +p1092 +tp1093 +a(g826 +V\u000a +p1094 +tp1095 +a(g809 +Vlink +p1096 +tp1097 +a(g826 +g1090 +tp1098 +a(g435 +Vvslider +p1099 +tp1100 +a(g826 +V\u000a +p1101 +tp1102 +a(g809 +Vlink +p1103 +tp1104 +a(g826 +g1090 +tp1105 +a(g435 +Vvtext +p1106 +tp1107 +a(g826 +V\u000a +p1108 +tp1109 +a(g809 +Vlink +p1110 +tp1111 +a(g826 +g1090 +tp1112 +a(g435 +Vvbuttons +p1113 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g809 +Vlink +p1117 +tp1118 +a(g826 +g1090 +tp1119 +a(g435 +Vvradio +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g809 +Vlink +p1124 +tp1125 +a(g826 +g1090 +tp1126 +a(g435 +Vwopen +p1127 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g809 +Vlink +p1131 +tp1132 +a(g826 +g1090 +tp1133 +a(g435 +Vxcompat +p1134 +tp1135 +a(g826 +V\u000a\u000a +p1136 +tp1137 +a(g793 +Vglobal +p1138 +tp1139 +a(g826 +g1090 +tp1140 +a(g435 +VClear +p1141 +tp1142 +a(g705 +V, +p1143 +tp1144 +a(g826 +g1090 +tp1145 +a(g435 +Vfill +p1146 +tp1147 +a(g705 +g1143 +tp1148 +a(g826 +g1090 +tp1149 +a(g435 +Vduration +p1150 +tp1151 +a(g705 +g1143 +tp1152 +a(g826 +g1090 +tp1153 +a(g435 +Vdensity +p1154 +tp1155 +a(g705 +g1143 +tp1156 +a(g826 +g1090 +tp1157 +a(g435 +Vmaxoff +p1158 +tp1159 +a(g705 +g1143 +tp1160 +a(g826 +g1090 +tp1161 +a(g435 +Vminoff +p1162 +tp1163 +a(g826 +V\u000a +p1164 +tp1165 +a(g793 +Vglobal +p1166 +tp1167 +a(g826 +g1090 +tp1168 +a(g435 +Vmaxradius +p1169 +tp1170 +a(g705 +g1143 +tp1171 +a(g826 +g1090 +tp1172 +a(g435 +Vminradius +p1173 +tp1174 +a(g705 +g1143 +tp1175 +a(g826 +g1090 +tp1176 +a(g435 +Vr_seed +p1177 +tp1178 +a(g705 +g1143 +tp1179 +a(g826 +g1090 +tp1180 +a(g435 +Vskew +p1181 +tp1182 +a(g705 +g1143 +tp1183 +a(g826 +g1090 +tp1184 +a(g435 +Vwin_size +p1185 +tp1186 +a(g705 +g1143 +tp1187 +a(g826 +g1090 +tp1188 +a(g435 +Vmid_win +p1189 +tp1190 +a(g826 +V\u000a +p1191 +tp1192 +a(g793 +Vglobal +p1193 +tp1194 +a(g826 +g1090 +tp1195 +a(g435 +Vroot +p1196 +tp1197 +a(g705 +g1143 +tp1198 +a(g826 +g1090 +tp1199 +a(g435 +Vcheck1 +p1200 +tp1201 +a(g705 +g1143 +tp1202 +a(g826 +g1090 +tp1203 +a(g435 +Vmainwin +p1204 +tp1205 +a(g705 +g1143 +tp1206 +a(g826 +g1090 +tp1207 +a(g435 +Vuse_dialog +p1208 +tp1209 +a(g826 +V\u000a +p1210 +tp1211 +a(g793 +Vglobal +p1212 +tp1213 +a(g826 +g1090 +tp1214 +a(g435 +Vdraw_circle +p1215 +tp1216 +a(g826 +V\u000a\u000a +p1217 +tp1218 +a(g793 +Vglobal +p1219 +tp1220 +a(g826 +g1090 +tp1221 +a(g435 +Vdu_v +p1222 +tp1223 +a(g705 +g1143 +tp1224 +a(g826 +g1090 +tp1225 +a(g435 +Vde_v +p1226 +tp1227 +a(g705 +g1143 +tp1228 +a(g826 +g1090 +tp1229 +a(g435 +Vrs_v +p1230 +tp1231 +a(g705 +g1143 +tp1232 +a(g826 +g1090 +tp1233 +a(g435 +Vsk_v +p1234 +tp1235 +a(g826 +V\u000a\u000a +p1236 +tp1237 +a(g809 +Vprocedure +p1238 +tp1239 +a(g826 +g1090 +tp1240 +a(g573 +Vmain +p1241 +tp1242 +a(g826 +g1090 +tp1243 +a(g705 +V( +p1244 +tp1245 +a(g440 +Vargs +p1246 +tp1247 +a(g705 +V) +p1248 +tp1249 +a(g826 +V\u000a\u000a +p1250 +tp1251 +a(g826 +V +p1252 +tp1253 +a(g435 +Vdraw_circle +p1254 +tp1255 +a(g826 +g1090 +tp1256 +a(g412 +V:= +p1257 +tp1258 +a(g826 +g1090 +tp1259 +a(g573 +VDrawCircle +p1260 +tp1261 +a(g826 +V\u000a\u000a +p1262 +tp1263 +a(g826 +V +p1264 +tp1265 +a(g435 +Vinit_globs +p1266 +tp1267 +a(g705 +g1244 +tp1268 +a(g705 +g1248 +tp1269 +a(g826 +V\u000a +p1270 +tp1271 +a(g826 +V +p1272 +tp1273 +a(g435 +Vprocess_args +p1274 +tp1275 +a(g705 +g1244 +tp1276 +a(g573 +Vargs +p1277 +tp1278 +a(g705 +g1248 +tp1279 +a(g826 +V\u000a\u000a +p1280 +tp1281 +a(g826 +V +p1282 +tp1283 +a(g793 +Vif +p1284 +tp1285 +a(g826 +g1090 +tp1286 +a(g412 +V\u005c +p1287 +tp1288 +a(g435 +Vuse_dialog +p1289 +tp1290 +a(g826 +g1090 +tp1291 +a(g793 +Vthen +p1292 +tp1293 +a(g826 +g1090 +tp1294 +a(g705 +V{ +p1295 +tp1296 +a(g826 +V +p1297 +tp1298 +a(g8 +V# have vidgets, so use them for args.\u000a +p1299 +tp1300 +a(g826 +V +p1301 +tp1302 +a(g435 +Vmainwin +p1303 +tp1304 +a(g826 +g1090 +tp1305 +a(g412 +V:= +p1306 +tp1307 +a(g826 +g1090 +tp1308 +a(g435 +VWOpen +p1309 +tp1310 +a(g705 +g1244 +tp1311 +a(g89 +V"label=Kaleidoscope" +p1312 +tp1313 +a(g705 +g1143 +tp1314 +a(g826 +g1090 +tp1315 +a(g89 +V"width=404" +p1316 +tp1317 +a(g705 +g1143 +tp1318 +a(g826 +g1090 +tp1319 +a(g89 +V"height=313" +p1320 +tp1321 +a(g705 +g1143 +tp1322 +a(g826 +g1090 +tp1323 +a(g826 +V\u000a +p1324 +tp1325 +a(g826 +V +p1326 +tp1327 +a(g89 +V"font=6x12" +p1328 +tp1329 +a(g705 +g1248 +tp1330 +a(g826 +g1090 +tp1331 +a(g412 +V| +p1332 +tp1333 +a(g826 +V\u000a +p1334 +tp1335 +a(g826 +V +p1336 +tp1337 +a(g573 +Vstop +p1338 +tp1339 +a(g826 +g1090 +tp1340 +a(g705 +g1244 +tp1341 +a(g89 +V"bad mainwin" +p1342 +tp1343 +a(g705 +g1248 +tp1344 +a(g826 +V\u000a +p1345 +tp1346 +a(g826 +V +p1347 +tp1348 +a(g435 +Vroot +p1349 +tp1350 +a(g826 +g1090 +tp1351 +a(g412 +V:= +p1352 +tp1353 +a(g826 +g1090 +tp1354 +a(g435 +Vui +p1355 +tp1356 +a(g826 +g1090 +tp1357 +a(g705 +g1244 +tp1358 +a(g435 +Vmainwin +p1359 +tp1360 +a(g705 +g1248 +tp1361 +a(g826 +V\u000a +p1362 +tp1363 +a(g826 +V +p1364 +tp1365 +a(g435 +VGetEvents +p1366 +tp1367 +a(g826 +g1090 +tp1368 +a(g705 +g1244 +tp1369 +a(g435 +Vroot +p1370 +tp1371 +a(g705 +g1143 +tp1372 +a(g826 +g1090 +tp1373 +a(g435 +Vquit +p1374 +tp1375 +a(g705 +g1248 +tp1376 +a(g826 +V\u000a +p1377 +tp1378 +a(g826 +V +p1379 +tp1380 +a(g705 +V} +p1381 +tp1382 +a(g826 +V\u000a +p1383 +tp1384 +a(g826 +V +p1385 +tp1386 +a(g793 +Velse +p1387 +tp1388 +a(g826 +g1090 +tp1389 +a(g705 +g1295 +tp1390 +a(g826 +V +p1391 +tp1392 +a(g8 +V# just rely on command line arguments\u000a +p1393 +tp1394 +a(g826 +V +p1395 +tp1396 +a(g435 +Vkaleidoscope +p1397 +tp1398 +a(g705 +g1244 +tp1399 +a(g435 +Vr_seed +p1400 +tp1401 +a(g705 +g1248 +tp1402 +a(g826 +V\u000a +p1403 +tp1404 +a(g826 +V +p1405 +tp1406 +a(g705 +g1381 +tp1407 +a(g826 +V\u000a\u000a +p1408 +tp1409 +a(g793 +Vend +p1410 +tp1411 +a(g826 +V\u000a\u000a +p1412 +tp1413 +a(g809 +Vprocedure +p1414 +tp1415 +a(g826 +g1090 +tp1416 +a(g573 +Vinit_globs +p1417 +tp1418 +a(g705 +g1244 +tp1419 +a(g705 +g1248 +tp1420 +a(g826 +V\u000a\u000a +p1421 +tp1422 +a(g826 +V +p1423 +tp1424 +a(g435 +Vduration +p1425 +tp1426 +a(g826 +g1090 +tp1427 +a(g412 +V:= +p1428 +tp1429 +a(g826 +g1090 +tp1430 +a(g37 +V500 +p1431 +tp1432 +a(g826 +V +p1433 +tp1434 +a(g8 +V# set default characteristics\u000a +p1435 +tp1436 +a(g826 +V +p1437 +tp1438 +a(g435 +Vdensity +p1439 +tp1440 +a(g826 +g1090 +tp1441 +a(g412 +V:= +p1442 +tp1443 +a(g826 +g1090 +tp1444 +a(g37 +V30 +p1445 +tp1446 +a(g826 +V\u000a +p1447 +tp1448 +a(g826 +V +p1449 +tp1450 +a(g435 +Vwin_size +p1451 +tp1452 +a(g826 +g1090 +tp1453 +a(g412 +V:= +p1454 +tp1455 +a(g826 +g1090 +tp1456 +a(g37 +V600 +p1457 +tp1458 +a(g826 +V\u000a +p1459 +tp1460 +a(g826 +V +p1461 +tp1462 +a(g435 +Vminoff +p1463 +tp1464 +a(g826 +g1090 +tp1465 +a(g412 +V:= +p1466 +tp1467 +a(g826 +g1090 +tp1468 +a(g37 +V1 +p1469 +tp1470 +a(g826 +V\u000a +p1471 +tp1472 +a(g826 +V +p1473 +tp1474 +a(g435 +Vmaxradius +p1475 +tp1476 +a(g826 +g1090 +tp1477 +a(g412 +V:= +p1478 +tp1479 +a(g826 +g1090 +tp1480 +a(g37 +V150 +p1481 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g826 +V +p1485 +tp1486 +a(g435 +Vminradius +p1487 +tp1488 +a(g826 +g1090 +tp1489 +a(g412 +V:= +p1490 +tp1491 +a(g826 +g1090 +tp1492 +a(g37 +g1469 +tp1493 +a(g826 +V\u000a +p1494 +tp1495 +a(g826 +V +p1496 +tp1497 +a(g435 +Vskew +p1498 +tp1499 +a(g826 +g1090 +tp1500 +a(g412 +V:= +p1501 +tp1502 +a(g826 +g1090 +tp1503 +a(g37 +g1469 +tp1504 +a(g826 +V\u000a +p1505 +tp1506 +a(g826 +V +p1507 +tp1508 +a(g435 +Vfill +p1509 +tp1510 +a(g826 +g1090 +tp1511 +a(g412 +V:= +p1512 +tp1513 +a(g826 +g1090 +tp1514 +a(g89 +V"On" +p1515 +tp1516 +a(g826 +V\u000a +p1517 +tp1518 +a(g826 +V +p1519 +tp1520 +a(g435 +Vdraw_circle +p1521 +tp1522 +a(g826 +g1090 +tp1523 +a(g412 +V:= +p1524 +tp1525 +a(g826 +g1090 +tp1526 +a(g573 +VFillCircle +p1527 +tp1528 +a(g826 +V\u000a +p1529 +tp1530 +a(g826 +V +p1531 +tp1532 +a(g435 +VClear +p1533 +tp1534 +a(g826 +g1090 +tp1535 +a(g412 +V:= +p1536 +tp1537 +a(g826 +g1090 +tp1538 +a(g89 +V"Off" +p1539 +tp1540 +a(g826 +V\u000a +p1541 +tp1542 +a(g826 +V +p1543 +tp1544 +a(g435 +Vr_seed +p1545 +tp1546 +a(g826 +g1090 +tp1547 +a(g412 +V:= +p1548 +tp1549 +a(g826 +g1090 +tp1550 +a(g573 +Vmap +p1551 +tp1552 +a(g705 +g1244 +tp1553 +a(g89 +V"HhMmYy" +p1554 +tp1555 +a(g705 +g1143 +tp1556 +a(g826 +g1090 +tp1557 +a(g89 +V"Hh:Mm:Yy" +p1558 +tp1559 +a(g705 +g1143 +tp1560 +a(g826 +g1090 +tp1561 +a(g793 +V&clock +p1562 +tp1563 +a(g705 +g1248 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +V +p1567 +tp1568 +a(g8 +V# See if the Vidget library is available or not\u000a +p1569 +tp1570 +a(g826 +V +p1571 +tp1572 +a(g793 +Vif +p1573 +tp1574 +a(g826 +g1090 +tp1575 +a(g412 +V\u005c +p1576 +tp1577 +a(g435 +VVSet +p1578 +tp1579 +a(g826 +g1090 +tp1580 +a(g793 +Vthen +p1581 +tp1582 +a(g826 +g1090 +tp1583 +a(g435 +Vuse_dialog +p1584 +tp1585 +a(g826 +g1090 +tp1586 +a(g412 +V:= +p1587 +tp1588 +a(g826 +g1090 +tp1589 +a(g89 +V"yes" +p1590 +tp1591 +a(g826 +V\u000a +p1592 +tp1593 +a(g826 +V +p1594 +tp1595 +a(g793 +Velse +p1596 +tp1597 +a(g826 +g1090 +tp1598 +a(g435 +Vuse_dialog +p1599 +tp1600 +a(g826 +g1090 +tp1601 +a(g412 +V:= +p1602 +tp1603 +a(g826 +g1090 +tp1604 +a(g763 +V&null +p1605 +tp1606 +a(g826 +V\u000a\u000a +p1607 +tp1608 +a(g793 +Vend +p1609 +tp1610 +a(g826 +V\u000a\u000a +p1611 +tp1612 +a(g809 +Vprocedure +p1613 +tp1614 +a(g826 +g1090 +tp1615 +a(g573 +Vprocess_args +p1616 +tp1617 +a(g705 +g1244 +tp1618 +a(g440 +Vargs +p1619 +tp1620 +a(g705 +g1248 +tp1621 +a(g826 +V\u000a +p1622 +tp1623 +a(g826 +V +p1624 +tp1625 +a(g793 +Vlocal +p1626 +tp1627 +a(g826 +g1090 +tp1628 +a(g435 +Varg +p1629 +tp1630 +a(g826 +V\u000a\u000a +p1631 +tp1632 +a(g826 +V +p1633 +tp1634 +a(g8 +V# really only needed if you don't use the dialog box\u000a +p1635 +tp1636 +a(g826 +V +p1637 +tp1638 +a(g793 +Vevery +p1639 +tp1640 +a(g826 +g1090 +tp1641 +a(g435 +Varg +p1642 +tp1643 +a(g826 +g1090 +tp1644 +a(g412 +V:= +p1645 +tp1646 +a(g826 +g1090 +tp1647 +a(g412 +V! +p1648 +tp1649 +a(g573 +Vargs +p1650 +tp1651 +a(g826 +g1090 +tp1652 +a(g793 +Vdo +p1653 +tp1654 +a(g826 +g1090 +tp1655 +a(g793 +Vcase +p1656 +tp1657 +a(g826 +g1090 +tp1658 +a(g435 +Varg +p1659 +tp1660 +a(g705 +V[ +p1661 +tp1662 +a(g37 +g1469 +tp1663 +a(g412 +V+ +p1664 +tp1665 +a(g705 +V: +p1666 +tp1667 +a(g37 +V2 +p1668 +tp1669 +a(g705 +V] +p1670 +tp1671 +a(g826 +g1090 +tp1672 +a(g793 +Vof +p1673 +tp1674 +a(g826 +g1090 +tp1675 +a(g705 +g1295 +tp1676 +a(g826 +V\u000a +p1677 +tp1678 +a(g826 +V +p1679 +tp1680 +a(g89 +V"-w" +p1681 +tp1682 +a(g826 +g1090 +tp1683 +a(g705 +g1666 +tp1684 +a(g826 +g1090 +tp1685 +a(g435 +Vwin_size +p1686 +tp1687 +a(g826 +g1090 +tp1688 +a(g412 +V:= +p1689 +tp1690 +a(g826 +g1090 +tp1691 +a(g573 +Vinteger +p1692 +tp1693 +a(g705 +g1244 +tp1694 +a(g435 +Varg +p1695 +tp1696 +a(g705 +g1661 +tp1697 +a(g37 +V3 +p1698 +tp1699 +a(g705 +g1666 +tp1700 +a(g37 +V0 +p1701 +tp1702 +a(g705 +g1670 +tp1703 +a(g705 +g1248 +tp1704 +a(g826 +V +p1705 +tp1706 +a(g8 +V# window size\u000a +p1707 +tp1708 +a(g826 +V +p1709 +tp1710 +a(g89 +V"-d" +p1711 +tp1712 +a(g826 +g1090 +tp1713 +a(g705 +g1666 +tp1714 +a(g826 +g1090 +tp1715 +a(g435 +Vdensity +p1716 +tp1717 +a(g826 +g1090 +tp1718 +a(g412 +V:= +p1719 +tp1720 +a(g826 +g1090 +tp1721 +a(g573 +Vinteger +p1722 +tp1723 +a(g705 +g1244 +tp1724 +a(g435 +Varg +p1725 +tp1726 +a(g705 +g1661 +tp1727 +a(g37 +g1698 +tp1728 +a(g705 +g1666 +tp1729 +a(g37 +g1701 +tp1730 +a(g705 +g1670 +tp1731 +a(g705 +g1248 +tp1732 +a(g826 +V +p1733 +tp1734 +a(g8 +V# density of circles\u000a +p1735 +tp1736 +a(g826 +V +p1737 +tp1738 +a(g89 +V"-l" +p1739 +tp1740 +a(g826 +g1090 +tp1741 +a(g705 +g1666 +tp1742 +a(g826 +g1090 +tp1743 +a(g435 +Vduration +p1744 +tp1745 +a(g826 +g1090 +tp1746 +a(g412 +V:= +p1747 +tp1748 +a(g826 +g1090 +tp1749 +a(g573 +Vinteger +p1750 +tp1751 +a(g705 +g1244 +tp1752 +a(g435 +Varg +p1753 +tp1754 +a(g705 +g1661 +tp1755 +a(g37 +g1698 +tp1756 +a(g705 +g1666 +tp1757 +a(g37 +g1701 +tp1758 +a(g705 +g1670 +tp1759 +a(g705 +g1248 +tp1760 +a(g826 +V +p1761 +tp1762 +a(g8 +V# duration\u000a +p1763 +tp1764 +a(g826 +V +p1765 +tp1766 +a(g89 +V"-M" +p1767 +tp1768 +a(g826 +g1090 +tp1769 +a(g705 +g1666 +tp1770 +a(g826 +g1090 +tp1771 +a(g435 +Vmaxradius +p1772 +tp1773 +a(g826 +g1090 +tp1774 +a(g412 +V:= +p1775 +tp1776 +a(g826 +g1090 +tp1777 +a(g573 +Vinteger +p1778 +tp1779 +a(g705 +g1244 +tp1780 +a(g435 +Varg +p1781 +tp1782 +a(g705 +g1661 +tp1783 +a(g37 +g1698 +tp1784 +a(g705 +g1666 +tp1785 +a(g37 +g1701 +tp1786 +a(g705 +g1670 +tp1787 +a(g705 +g1248 +tp1788 +a(g826 +V +p1789 +tp1790 +a(g8 +V# maximum radius\u000a +p1791 +tp1792 +a(g826 +V +p1793 +tp1794 +a(g89 +V"-m" +p1795 +tp1796 +a(g826 +g1090 +tp1797 +a(g705 +g1666 +tp1798 +a(g826 +g1090 +tp1799 +a(g435 +Vminradius +p1800 +tp1801 +a(g826 +g1090 +tp1802 +a(g412 +V:= +p1803 +tp1804 +a(g826 +g1090 +tp1805 +a(g573 +Vinteger +p1806 +tp1807 +a(g705 +g1244 +tp1808 +a(g435 +Varg +p1809 +tp1810 +a(g705 +g1661 +tp1811 +a(g37 +g1698 +tp1812 +a(g705 +g1666 +tp1813 +a(g37 +g1701 +tp1814 +a(g705 +g1670 +tp1815 +a(g705 +g1248 +tp1816 +a(g826 +V +p1817 +tp1818 +a(g8 +V# minimum radius\u000a +p1819 +tp1820 +a(g826 +V +p1821 +tp1822 +a(g89 +V"-X" +p1823 +tp1824 +a(g826 +g1090 +tp1825 +a(g705 +g1666 +tp1826 +a(g826 +g1090 +tp1827 +a(g435 +Vmaxoff +p1828 +tp1829 +a(g826 +g1090 +tp1830 +a(g412 +V:= +p1831 +tp1832 +a(g826 +g1090 +tp1833 +a(g573 +Vinteger +p1834 +tp1835 +a(g705 +g1244 +tp1836 +a(g435 +Varg +p1837 +tp1838 +a(g705 +g1661 +tp1839 +a(g37 +g1698 +tp1840 +a(g705 +g1666 +tp1841 +a(g37 +g1701 +tp1842 +a(g705 +g1670 +tp1843 +a(g705 +g1248 +tp1844 +a(g826 +V +p1845 +tp1846 +a(g8 +V# maximum offset\u000a +p1847 +tp1848 +a(g826 +V +p1849 +tp1850 +a(g89 +V"-x" +p1851 +tp1852 +a(g826 +g1090 +tp1853 +a(g705 +g1666 +tp1854 +a(g826 +g1090 +tp1855 +a(g435 +Vminoff +p1856 +tp1857 +a(g826 +g1090 +tp1858 +a(g412 +V:= +p1859 +tp1860 +a(g826 +g1090 +tp1861 +a(g573 +Vinteger +p1862 +tp1863 +a(g705 +g1244 +tp1864 +a(g435 +Varg +p1865 +tp1866 +a(g705 +g1661 +tp1867 +a(g37 +g1698 +tp1868 +a(g705 +g1666 +tp1869 +a(g37 +g1701 +tp1870 +a(g705 +g1670 +tp1871 +a(g705 +g1248 +tp1872 +a(g826 +V +p1873 +tp1874 +a(g8 +V# minimum offset\u000a +p1875 +tp1876 +a(g826 +V +p1877 +tp1878 +a(g89 +V"-s" +p1879 +tp1880 +a(g826 +g1090 +tp1881 +a(g705 +g1666 +tp1882 +a(g826 +g1090 +tp1883 +a(g435 +Vskew +p1884 +tp1885 +a(g826 +g1090 +tp1886 +a(g412 +V:= +p1887 +tp1888 +a(g826 +g1090 +tp1889 +a(g573 +Vnumeric +p1890 +tp1891 +a(g705 +g1244 +tp1892 +a(g435 +Varg +p1893 +tp1894 +a(g705 +g1661 +tp1895 +a(g37 +g1698 +tp1896 +a(g705 +g1666 +tp1897 +a(g37 +g1701 +tp1898 +a(g705 +g1670 +tp1899 +a(g705 +g1248 +tp1900 +a(g826 +V +p1901 +tp1902 +a(g8 +V# set skewedness\u000a +p1903 +tp1904 +a(g826 +V +p1905 +tp1906 +a(g89 +V"-F" +p1907 +tp1908 +a(g826 +g1090 +tp1909 +a(g705 +g1666 +tp1910 +a(g826 +g1090 +tp1911 +a(g435 +Vfill +p1912 +tp1913 +a(g826 +g1090 +tp1914 +a(g412 +V:= +p1915 +tp1916 +a(g826 +g1090 +tp1917 +a(g763 +V&null +p1918 +tp1919 +a(g826 +V +p1920 +tp1921 +a(g8 +V# turn off fill\u000a +p1922 +tp1923 +a(g826 +V +p1924 +tp1925 +a(g89 +V"-C" +p1926 +tp1927 +a(g826 +g1090 +tp1928 +a(g705 +g1666 +tp1929 +a(g826 +g1090 +tp1930 +a(g435 +VClear +p1931 +tp1932 +a(g826 +g1090 +tp1933 +a(g412 +V:= +p1934 +tp1935 +a(g826 +g1090 +tp1936 +a(g89 +V"yes" +p1937 +tp1938 +a(g826 +V +p1939 +tp1940 +a(g8 +V# turn on clear mode\u000a +p1941 +tp1942 +a(g826 +V +p1943 +tp1944 +a(g89 +V"-r" +p1945 +tp1946 +a(g826 +g1090 +tp1947 +a(g705 +g1666 +tp1948 +a(g826 +g1090 +tp1949 +a(g435 +Vr_seed +p1950 +tp1951 +a(g826 +g1090 +tp1952 +a(g412 +V:= +p1953 +tp1954 +a(g826 +g1090 +tp1955 +a(g573 +Vinteger +p1956 +tp1957 +a(g705 +g1244 +tp1958 +a(g435 +Varg +p1959 +tp1960 +a(g705 +g1661 +tp1961 +a(g37 +g1698 +tp1962 +a(g705 +g1666 +tp1963 +a(g37 +g1701 +tp1964 +a(g705 +g1670 +tp1965 +a(g705 +g1248 +tp1966 +a(g826 +V +p1967 +tp1968 +a(g8 +V# random seed\u000a +p1969 +tp1970 +a(g826 +V +p1971 +tp1972 +a(g89 +V"-h" +p1973 +tp1974 +a(g826 +g1090 +tp1975 +a(g705 +g1666 +tp1976 +a(g826 +g1090 +tp1977 +a(g573 +Vstop +p1978 +tp1979 +a(g705 +g1244 +tp1980 +a(g89 +V"usage: kal [-wn] [-dn] [-ln] [-Mn] [-mn] [-Xn] [-xn] _\u000a [-sn] [-F] [-C] [-rn]" +p1981 +tp1982 +a(g705 +g1248 +tp1983 +a(g826 +V\u000a +p1984 +tp1985 +a(g826 +V +p1986 +tp1987 +a(g705 +g1381 +tp1988 +a(g826 +V\u000a +p1989 +tp1990 +a(g826 +V +p1991 +tp1992 +a(g8 +V# adjust parameters that depend on the window size...\u000a +p1993 +tp1994 +a(g826 +V +p1995 +tp1996 +a(g435 +Vmid_win +p1997 +tp1998 +a(g826 +g1090 +tp1999 +a(g412 +V:= +p2000 +tp2001 +a(g826 +g1090 +tp2002 +a(g435 +Vwin_size +p2003 +tp2004 +a(g412 +V/ +p2005 +tp2006 +a(g37 +g1668 +tp2007 +a(g826 +V\u000a +p2008 +tp2009 +a(g826 +V +p2010 +tp2011 +a(g435 +Vmaxoff +p2012 +tp2013 +a(g826 +g1090 +tp2014 +a(g412 +V:= +p2015 +tp2016 +a(g826 +g1090 +tp2017 +a(g435 +Vwin_size +p2018 +tp2019 +a(g37 +V-1 +p2020 +tp2021 +a(g826 +V\u000a +p2022 +tp2023 +a(g793 +Vend +p2024 +tp2025 +a(g826 +V\u000a\u000a +p2026 +tp2027 +a(g8 +V# Lorraine Callahan's kaleidoscope program, translated into icon.\u000a +p2028 +tp2029 +a(g8 +V# (some of the things she did were too sophisticated for me\u000a +p2030 +tp2031 +a(g8 +V# to spend time to figure out, so the output is square instead of\u000a +p2032 +tp2033 +a(g8 +V# round), and I use 'xor' to draw instead of writing to separate\u000a +p2034 +tp2035 +a(g8 +V# bit planes.\u000a +p2036 +tp2037 +a(g826 +V\u000a +p2038 +tp2039 +a(g793 +Vglobal +p2040 +tp2041 +a(g826 +g1090 +tp2042 +a(g435 +Vputcircle +p2043 +tp2044 +a(g705 +g1143 +tp2045 +a(g826 +g1090 +tp2046 +a(g435 +Vclrcircle +p2047 +tp2048 +a(g826 +V\u000a\u000a +p2049 +tp2050 +a(g809 +Vprocedure +p2051 +tp2052 +a(g826 +g1090 +tp2053 +a(g573 +Vkaleidoscope +p2054 +tp2055 +a(g705 +g1244 +tp2056 +a(g440 +Vr +p2057 +tp2058 +a(g705 +g1248 +tp2059 +a(g826 +V\u000a +p2060 +tp2061 +a(g826 +V +p2062 +tp2063 +a(g793 +Vlocal +p2064 +tp2065 +a(g826 +g1090 +tp2066 +a(g435 +Vcolors +p2067 +tp2068 +a(g826 +V\u000a\u000a +p2069 +tp2070 +a(g826 +V +p2071 +tp2072 +a(g8 +V# What colors to use? This can be changed to whatever!\u000a +p2073 +tp2074 +a(g826 +V +p2075 +tp2076 +a(g435 +Vcolors +p2077 +tp2078 +a(g826 +g1090 +tp2079 +a(g412 +V:= +p2080 +tp2081 +a(g826 +g1090 +tp2082 +a(g705 +g1661 +tp2083 +a(g89 +V"red" +p2084 +tp2085 +a(g705 +g1143 +tp2086 +a(g89 +V"green" +p2087 +tp2088 +a(g705 +g1143 +tp2089 +a(g89 +V"blue" +p2090 +tp2091 +a(g705 +g1143 +tp2092 +a(g89 +V"cyan" +p2093 +tp2094 +a(g705 +g1143 +tp2095 +a(g89 +V"magenta" +p2096 +tp2097 +a(g705 +g1143 +tp2098 +a(g89 +V"yellow" +p2099 +tp2100 +a(g705 +g1670 +tp2101 +a(g826 +V\u000a\u000a +p2102 +tp2103 +a(g826 +V +p2104 +tp2105 +a(g793 +V&window +p2106 +tp2107 +a(g826 +g1090 +tp2108 +a(g412 +V:= +p2109 +tp2110 +a(g826 +g1090 +tp2111 +a(g435 +VWOpen +p2112 +tp2113 +a(g705 +g1244 +tp2114 +a(g89 +V"label=Kaleidoscope: 'q' quits" +p2115 +tp2116 +a(g705 +g1143 +tp2117 +a(g826 +g1090 +tp2118 +a(g89 +V"width=" +p2119 +tp2120 +a(g412 +g1332 +tp2121 +a(g412 +g1332 +tp2122 +a(g435 +Vwin_size +p2123 +tp2124 +a(g705 +g1143 +tp2125 +a(g826 +V\u000a +p2126 +tp2127 +a(g826 +V +p2128 +tp2129 +a(g89 +V"height=" +p2130 +tp2131 +a(g412 +g1332 +tp2132 +a(g412 +g1332 +tp2133 +a(g435 +Vwin_size +p2134 +tp2135 +a(g705 +g1143 +tp2136 +a(g826 +g1090 +tp2137 +a(g89 +V"bg=black" +p2138 +tp2139 +a(g705 +g1248 +tp2140 +a(g826 +V\u000a +p2141 +tp2142 +a(g826 +V +p2143 +tp2144 +a(g573 +VWAttrib +p2145 +tp2146 +a(g705 +g1244 +tp2147 +a(g89 +V"drawop=xor" +p2148 +tp2149 +a(g705 +g1248 +tp2150 +a(g826 +V\u000a\u000a +p2151 +tp2152 +a(g826 +V +p2153 +tp2154 +a(g8 +V# Create two *indentical* sequences of circles, one to use when\u000a +p2155 +tp2156 +a(g826 +V +p2157 +tp2158 +a(g8 +V# when drawing, one for erasing. (Since 'xor' is used to\u000a +p2159 +tp2160 +a(g826 +V +p2161 +tp2162 +a(g8 +V# place them, these both just draw the circles!)\u000a +p2163 +tp2164 +a(g826 +V\u000a +p2165 +tp2166 +a(g826 +V +p2167 +tp2168 +a(g435 +Vputcircle +p2169 +tp2170 +a(g826 +g1090 +tp2171 +a(g412 +V:= +p2172 +tp2173 +a(g826 +g1090 +tp2174 +a(g793 +Vcreate +p2175 +tp2176 +a(g826 +g1090 +tp2177 +a(g705 +g1295 +tp2178 +a(g826 +V +p2179 +tp2180 +a(g8 +V# draws sequence of circles\u000a +p2181 +tp2182 +a(g826 +V +p2183 +tp2184 +a(g793 +V&random +p2185 +tp2186 +a(g826 +g1090 +tp2187 +a(g412 +V:= +p2188 +tp2189 +a(g705 +g1666 +tp2190 +a(g826 +g1090 +tp2191 +a(g435 +g2057 +tp2192 +a(g826 +V\u000a +p2193 +tp2194 +a(g826 +V +p2195 +tp2196 +a(g412 +g1332 +tp2197 +a(g705 +g1295 +tp2198 +a(g826 +V\u000a +p2199 +tp2200 +a(g826 +V +p2201 +tp2202 +a(g573 +VFg +p2203 +tp2204 +a(g705 +g1244 +tp2205 +a(g412 +V? +p2206 +tp2207 +a(g435 +Vcolors +p2208 +tp2209 +a(g705 +g1248 +tp2210 +a(g826 +V\u000a +p2211 +tp2212 +a(g826 +V +p2213 +tp2214 +a(g435 +Voutcircle +p2215 +tp2216 +a(g705 +g1244 +tp2217 +a(g705 +g1248 +tp2218 +a(g826 +V\u000a +p2219 +tp2220 +a(g826 +V +p2221 +tp2222 +a(g793 +V&random +p2223 +tp2224 +a(g826 +g1090 +tp2225 +a(g412 +V<- +p2226 +tp2227 +a(g412 +V> +p2228 +tp2229 +a(g826 +g1090 +tp2230 +a(g435 +g2057 +tp2231 +a(g826 +V\u000a +p2232 +tp2233 +a(g826 +V +p2234 +tp2235 +a(g705 +g1381 +tp2236 +a(g826 +V\u000a +p2237 +tp2238 +a(g826 +V +p2239 +tp2240 +a(g705 +g1381 +tp2241 +a(g826 +V\u000a\u000a +p2242 +tp2243 +a(g826 +V +p2244 +tp2245 +a(g435 +Vclrcircle +p2246 +tp2247 +a(g826 +g1090 +tp2248 +a(g412 +V:= +p2249 +tp2250 +a(g826 +g1090 +tp2251 +a(g793 +Vcreate +p2252 +tp2253 +a(g826 +g1090 +tp2254 +a(g705 +g1295 +tp2255 +a(g826 +V +p2256 +tp2257 +a(g8 +V# erases sequence of circles\u000a +p2258 +tp2259 +a(g826 +V +p2260 +tp2261 +a(g793 +V&random +p2262 +tp2263 +a(g826 +g1090 +tp2264 +a(g412 +V:= +p2265 +tp2266 +a(g705 +g1666 +tp2267 +a(g826 +g1090 +tp2268 +a(g435 +g2057 +tp2269 +a(g826 +V\u000a +p2270 +tp2271 +a(g826 +V +p2272 +tp2273 +a(g412 +g1332 +tp2274 +a(g705 +g1295 +tp2275 +a(g826 +V\u000a +p2276 +tp2277 +a(g826 +V +p2278 +tp2279 +a(g573 +VFg +p2280 +tp2281 +a(g705 +g1244 +tp2282 +a(g412 +g2206 +tp2283 +a(g435 +Vcolors +p2284 +tp2285 +a(g705 +g1248 +tp2286 +a(g826 +V\u000a +p2287 +tp2288 +a(g826 +V +p2289 +tp2290 +a(g435 +Voutcircle +p2291 +tp2292 +a(g705 +g1244 +tp2293 +a(g705 +g1248 +tp2294 +a(g826 +V\u000a +p2295 +tp2296 +a(g826 +V +p2297 +tp2298 +a(g793 +V&random +p2299 +tp2300 +a(g826 +g1090 +tp2301 +a(g412 +V<- +p2302 +tp2303 +a(g412 +g2228 +tp2304 +a(g826 +g1090 +tp2305 +a(g435 +g2057 +tp2306 +a(g826 +V\u000a +p2307 +tp2308 +a(g826 +V +p2309 +tp2310 +a(g705 +g1381 +tp2311 +a(g826 +V\u000a +p2312 +tp2313 +a(g826 +V +p2314 +tp2315 +a(g705 +g1381 +tp2316 +a(g826 +V\u000a\u000a +p2317 +tp2318 +a(g826 +V +p2319 +tp2320 +a(g793 +Vevery +p2321 +tp2322 +a(g826 +g1090 +tp2323 +a(g37 +g1469 +tp2324 +a(g826 +g1090 +tp2325 +a(g793 +Vto +p2326 +tp2327 +a(g826 +g1090 +tp2328 +a(g435 +Vdensity +p2329 +tp2330 +a(g826 +g1090 +tp2331 +a(g793 +Vdo +p2332 +tp2333 +a(g826 +g1090 +tp2334 +a(g412 +V@ +p2335 +tp2336 +a(g435 +Vputcircle +p2337 +tp2338 +a(g826 +V +p2339 +tp2340 +a(g8 +V# fill screen to density\u000a +p2341 +tp2342 +a(g826 +V\u000a +p2343 +tp2344 +a(g826 +V +p2345 +tp2346 +a(g793 +Vevery +p2347 +tp2348 +a(g826 +g1090 +tp2349 +a(g37 +g1469 +tp2350 +a(g826 +g1090 +tp2351 +a(g793 +Vto +p2352 +tp2353 +a(g826 +g1090 +tp2354 +a(g435 +Vduration +p2355 +tp2356 +a(g826 +g1090 +tp2357 +a(g793 +Vdo +p2358 +tp2359 +a(g826 +g1090 +tp2360 +a(g705 +g1295 +tp2361 +a(g826 +V +p2362 +tp2363 +a(g8 +V# maintain steady state\u000a +p2364 +tp2365 +a(g826 +V +p2366 +tp2367 +a(g412 +g2335 +tp2368 +a(g435 +Vputcircle +p2369 +tp2370 +a(g826 +V\u000a +p2371 +tp2372 +a(g826 +V +p2373 +tp2374 +a(g412 +g2335 +tp2375 +a(g435 +Vclrcircle +p2376 +tp2377 +a(g826 +V\u000a +p2378 +tp2379 +a(g826 +V +p2380 +tp2381 +a(g793 +Vif +p2382 +tp2383 +a(g826 +g1090 +tp2384 +a(g412 +V* +p2385 +tp2386 +a(g573 +VPending +p2387 +tp2388 +a(g705 +g1244 +tp2389 +a(g793 +V&window +p2390 +tp2391 +a(g705 +g1248 +tp2392 +a(g826 +g1090 +tp2393 +a(g412 +g2228 +tp2394 +a(g826 +g1090 +tp2395 +a(g37 +g1701 +tp2396 +a(g826 +g1090 +tp2397 +a(g793 +Vthen +p2398 +tp2399 +a(g826 +g1090 +tp2400 +a(g793 +Vbreak +p2401 +tp2402 +a(g826 +V\u000a +p2403 +tp2404 +a(g826 +V +p2405 +tp2406 +a(g705 +g1381 +tp2407 +a(g826 +V\u000a\u000a +p2408 +tp2409 +a(g826 +V +p2410 +tp2411 +a(g793 +Vevery +p2412 +tp2413 +a(g826 +g1090 +tp2414 +a(g705 +g1244 +tp2415 +a(g435 +VClear +p2416 +tp2417 +a(g826 +g1090 +tp2418 +a(g412 +V= +p2419 +tp2420 +a(g412 +g2419 +tp2421 +a(g826 +g1090 +tp2422 +a(g89 +V"On" +p2423 +tp2424 +a(g705 +g1248 +tp2425 +a(g826 +g1090 +tp2426 +a(g412 +V& +p2427 +tp2428 +a(g826 +g1090 +tp2429 +a(g37 +g1469 +tp2430 +a(g826 +g1090 +tp2431 +a(g793 +Vto +p2432 +tp2433 +a(g826 +g1090 +tp2434 +a(g435 +Vdensity +p2435 +tp2436 +a(g826 +g1090 +tp2437 +a(g793 +Vdo +p2438 +tp2439 +a(g826 +g1090 +tp2440 +a(g412 +g2335 +tp2441 +a(g435 +Vclrcircle +p2442 +tp2443 +a(g826 +V\u000a\u000a +p2444 +tp2445 +a(g826 +V +p2446 +tp2447 +a(g573 +Vclose +p2448 +tp2449 +a(g705 +g1244 +tp2450 +a(g793 +V&window +p2451 +tp2452 +a(g705 +g1248 +tp2453 +a(g826 +V\u000a +p2454 +tp2455 +a(g793 +Vend +p2456 +tp2457 +a(g826 +V\u000a\u000a\u000a +p2458 +tp2459 +a(g809 +Vprocedure +p2460 +tp2461 +a(g826 +g1090 +tp2462 +a(g573 +Voutcircle +p2463 +tp2464 +a(g705 +g1244 +tp2465 +a(g705 +g1248 +tp2466 +a(g826 +V +p2467 +tp2468 +a(g8 +V# select a circle at random,\u000a +p2469 +tp2470 +a(g793 +Vlocal +p2471 +tp2472 +a(g826 +g1090 +tp2473 +a(g435 +Vradius +p2474 +tp2475 +a(g705 +g1143 +tp2476 +a(g826 +g1090 +tp2477 +a(g435 +Vxoff +p2478 +tp2479 +a(g705 +g1143 +tp2480 +a(g826 +g1090 +tp2481 +a(g435 +Vyoff +p2482 +tp2483 +a(g826 +V +p2484 +tp2485 +a(g8 +V# draw it in kaleidoscopic form\u000a +p2486 +tp2487 +a(g826 +V\u000a +p2488 +tp2489 +a(g826 +V +p2490 +tp2491 +a(g8 +V# get a random center point and radius\u000a +p2492 +tp2493 +a(g826 +V +p2494 +tp2495 +a(g435 +Vxoff +p2496 +tp2497 +a(g826 +g1090 +tp2498 +a(g412 +V:= +p2499 +tp2500 +a(g826 +g1090 +tp2501 +a(g705 +g1244 +tp2502 +a(g412 +g2206 +tp2503 +a(g705 +g1244 +tp2504 +a(g435 +Vmaxoff +p2505 +tp2506 +a(g826 +g1090 +tp2507 +a(g412 +V- +p2508 +tp2509 +a(g826 +g1090 +tp2510 +a(g435 +Vminoff +p2511 +tp2512 +a(g705 +g1248 +tp2513 +a(g826 +g1090 +tp2514 +a(g412 +g1664 +tp2515 +a(g826 +g1090 +tp2516 +a(g435 +Vminoff +p2517 +tp2518 +a(g705 +g1248 +tp2519 +a(g826 +g1090 +tp2520 +a(g705 +V% +p2521 +tp2522 +a(g826 +g1090 +tp2523 +a(g435 +Vmid_win +p2524 +tp2525 +a(g826 +V\u000a +p2526 +tp2527 +a(g826 +V +p2528 +tp2529 +a(g435 +Vyoff +p2530 +tp2531 +a(g826 +g1090 +tp2532 +a(g412 +V:= +p2533 +tp2534 +a(g826 +g1090 +tp2535 +a(g705 +g1244 +tp2536 +a(g412 +g2206 +tp2537 +a(g705 +g1244 +tp2538 +a(g435 +Vmaxoff +p2539 +tp2540 +a(g826 +g1090 +tp2541 +a(g412 +g2508 +tp2542 +a(g826 +g1090 +tp2543 +a(g435 +Vminoff +p2544 +tp2545 +a(g705 +g1248 +tp2546 +a(g826 +g1090 +tp2547 +a(g412 +g1664 +tp2548 +a(g826 +g1090 +tp2549 +a(g435 +Vminoff +p2550 +tp2551 +a(g705 +g1248 +tp2552 +a(g826 +g1090 +tp2553 +a(g705 +g2521 +tp2554 +a(g826 +g1090 +tp2555 +a(g435 +Vmid_win +p2556 +tp2557 +a(g826 +V\u000a +p2558 +tp2559 +a(g826 +V +p2560 +tp2561 +a(g435 +Vradius +p2562 +tp2563 +a(g826 +g1090 +tp2564 +a(g412 +V:= +p2565 +tp2566 +a(g826 +g1090 +tp2567 +a(g412 +g2206 +tp2568 +a(g37 +g1701 +tp2569 +a(g826 +g1090 +tp2570 +a(g705 +V^ +p2571 +tp2572 +a(g826 +g1090 +tp2573 +a(g435 +Vskew +p2574 +tp2575 +a(g826 +V\u000a +p2576 +tp2577 +a(g826 +V +p2578 +tp2579 +a(g8 +V# force radius to 'fit'\u000a +p2580 +tp2581 +a(g826 +V +p2582 +tp2583 +a(g435 +Vradius +p2584 +tp2585 +a(g826 +g1090 +tp2586 +a(g412 +V:= +p2587 +tp2588 +a(g826 +g1090 +tp2589 +a(g705 +g1244 +tp2590 +a(g705 +g1244 +tp2591 +a(g435 +Vmaxradius +p2592 +tp2593 +a(g412 +g2508 +tp2594 +a(g435 +Vminradius +p2595 +tp2596 +a(g705 +g1248 +tp2597 +a(g826 +g1090 +tp2598 +a(g412 +g2385 +tp2599 +a(g826 +g1090 +tp2600 +a(g435 +Vradius +p2601 +tp2602 +a(g826 +g1090 +tp2603 +a(g412 +g1664 +tp2604 +a(g826 +g1090 +tp2605 +a(g435 +Vminradius +p2606 +tp2607 +a(g705 +g1248 +tp2608 +a(g826 +g1090 +tp2609 +a(g705 +g2521 +tp2610 +a(g826 +V\u000a +p2611 +tp2612 +a(g826 +V +p2613 +tp2614 +a(g705 +g1244 +tp2615 +a(g435 +Vmid_win +p2616 +tp2617 +a(g826 +g1090 +tp2618 +a(g412 +g2508 +tp2619 +a(g826 +g1090 +tp2620 +a(g705 +g1244 +tp2621 +a(g705 +g1244 +tp2622 +a(g435 +Vxoff +p2623 +tp2624 +a(g826 +g1090 +tp2625 +a(g412 +V< +p2626 +tp2627 +a(g826 +g1090 +tp2628 +a(g435 +Vyoff +p2629 +tp2630 +a(g705 +g1248 +tp2631 +a(g412 +g1332 +tp2632 +a(g435 +Vxoff +p2633 +tp2634 +a(g705 +g1248 +tp2635 +a(g705 +g1248 +tp2636 +a(g826 +V\u000a\u000a +p2637 +tp2638 +a(g826 +V +p2639 +tp2640 +a(g8 +V# put into all 8 octants\u000a +p2641 +tp2642 +a(g826 +V +p2643 +tp2644 +a(g435 +Vdraw_circle +p2645 +tp2646 +a(g705 +g1244 +tp2647 +a(g435 +Vmid_win +p2648 +tp2649 +a(g412 +g1664 +tp2650 +a(g435 +Vxoff +p2651 +tp2652 +a(g705 +g1143 +tp2653 +a(g826 +g1090 +tp2654 +a(g435 +Vmid_win +p2655 +tp2656 +a(g412 +g1664 +tp2657 +a(g435 +Vyoff +p2658 +tp2659 +a(g705 +g1143 +tp2660 +a(g826 +g1090 +tp2661 +a(g435 +Vradius +p2662 +tp2663 +a(g705 +g1248 +tp2664 +a(g826 +V\u000a +p2665 +tp2666 +a(g826 +V +p2667 +tp2668 +a(g435 +Vdraw_circle +p2669 +tp2670 +a(g705 +g1244 +tp2671 +a(g435 +Vmid_win +p2672 +tp2673 +a(g412 +g1664 +tp2674 +a(g435 +Vxoff +p2675 +tp2676 +a(g705 +g1143 +tp2677 +a(g826 +g1090 +tp2678 +a(g435 +Vmid_win +p2679 +tp2680 +a(g412 +g2508 +tp2681 +a(g435 +Vyoff +p2682 +tp2683 +a(g705 +g1143 +tp2684 +a(g826 +g1090 +tp2685 +a(g435 +Vradius +p2686 +tp2687 +a(g705 +g1248 +tp2688 +a(g826 +V\u000a +p2689 +tp2690 +a(g826 +V +p2691 +tp2692 +a(g435 +Vdraw_circle +p2693 +tp2694 +a(g705 +g1244 +tp2695 +a(g435 +Vmid_win +p2696 +tp2697 +a(g412 +g2508 +tp2698 +a(g435 +Vxoff +p2699 +tp2700 +a(g705 +g1143 +tp2701 +a(g826 +g1090 +tp2702 +a(g435 +Vmid_win +p2703 +tp2704 +a(g412 +g1664 +tp2705 +a(g435 +Vyoff +p2706 +tp2707 +a(g705 +g1143 +tp2708 +a(g826 +g1090 +tp2709 +a(g435 +Vradius +p2710 +tp2711 +a(g705 +g1248 +tp2712 +a(g826 +V\u000a +p2713 +tp2714 +a(g826 +V +p2715 +tp2716 +a(g435 +Vdraw_circle +p2717 +tp2718 +a(g705 +g1244 +tp2719 +a(g435 +Vmid_win +p2720 +tp2721 +a(g412 +g2508 +tp2722 +a(g435 +Vxoff +p2723 +tp2724 +a(g705 +g1143 +tp2725 +a(g826 +g1090 +tp2726 +a(g435 +Vmid_win +p2727 +tp2728 +a(g412 +g2508 +tp2729 +a(g435 +Vyoff +p2730 +tp2731 +a(g705 +g1143 +tp2732 +a(g826 +g1090 +tp2733 +a(g435 +Vradius +p2734 +tp2735 +a(g705 +g1248 +tp2736 +a(g826 +V\u000a\u000a +p2737 +tp2738 +a(g826 +V +p2739 +tp2740 +a(g435 +Vdraw_circle +p2741 +tp2742 +a(g705 +g1244 +tp2743 +a(g435 +Vmid_win +p2744 +tp2745 +a(g412 +g1664 +tp2746 +a(g435 +Vyoff +p2747 +tp2748 +a(g705 +g1143 +tp2749 +a(g826 +g1090 +tp2750 +a(g435 +Vmid_win +p2751 +tp2752 +a(g412 +g1664 +tp2753 +a(g435 +Vxoff +p2754 +tp2755 +a(g705 +g1143 +tp2756 +a(g826 +g1090 +tp2757 +a(g435 +Vradius +p2758 +tp2759 +a(g705 +g1248 +tp2760 +a(g826 +V\u000a +p2761 +tp2762 +a(g826 +V +p2763 +tp2764 +a(g435 +Vdraw_circle +p2765 +tp2766 +a(g705 +g1244 +tp2767 +a(g435 +Vmid_win +p2768 +tp2769 +a(g412 +g1664 +tp2770 +a(g435 +Vyoff +p2771 +tp2772 +a(g705 +g1143 +tp2773 +a(g826 +g1090 +tp2774 +a(g435 +Vmid_win +p2775 +tp2776 +a(g412 +g2508 +tp2777 +a(g435 +Vxoff +p2778 +tp2779 +a(g705 +g1143 +tp2780 +a(g826 +g1090 +tp2781 +a(g435 +Vradius +p2782 +tp2783 +a(g705 +g1248 +tp2784 +a(g826 +V\u000a +p2785 +tp2786 +a(g826 +V +p2787 +tp2788 +a(g435 +Vdraw_circle +p2789 +tp2790 +a(g705 +g1244 +tp2791 +a(g435 +Vmid_win +p2792 +tp2793 +a(g412 +g2508 +tp2794 +a(g435 +Vyoff +p2795 +tp2796 +a(g705 +g1143 +tp2797 +a(g826 +g1090 +tp2798 +a(g435 +Vmid_win +p2799 +tp2800 +a(g412 +g1664 +tp2801 +a(g435 +Vxoff +p2802 +tp2803 +a(g705 +g1143 +tp2804 +a(g826 +g1090 +tp2805 +a(g435 +Vradius +p2806 +tp2807 +a(g705 +g1248 +tp2808 +a(g826 +V\u000a +p2809 +tp2810 +a(g826 +V +p2811 +tp2812 +a(g435 +Vdraw_circle +p2813 +tp2814 +a(g705 +g1244 +tp2815 +a(g435 +Vmid_win +p2816 +tp2817 +a(g412 +g2508 +tp2818 +a(g435 +Vyoff +p2819 +tp2820 +a(g705 +g1143 +tp2821 +a(g826 +g1090 +tp2822 +a(g435 +Vmid_win +p2823 +tp2824 +a(g412 +g2508 +tp2825 +a(g435 +Vxoff +p2826 +tp2827 +a(g705 +g1143 +tp2828 +a(g826 +g1090 +tp2829 +a(g435 +Vradius +p2830 +tp2831 +a(g705 +g1248 +tp2832 +a(g826 +V\u000a\u000a +p2833 +tp2834 +a(g826 +V +p2835 +tp2836 +a(g793 +Vreturn +p2837 +tp2838 +a(g826 +V\u000a +p2839 +tp2840 +a(g793 +Vend +p2841 +tp2842 +a(g826 +V\u000a\u000a\u000a +p2843 +tp2844 +a(g8 +V############################################################################\u000a +p2845 +tp2846 +a(g8 +V#\u000a +p2847 +tp2848 +a(g8 +V# Vidget-based user interface -- developed originally using Mary\u000a +p2849 +tp2850 +a(g8 +V# Camaron's XIB program. Don't expect this to be very readable -\u000a +p2851 +tp2852 +a(g8 +V# you should have to play with it!\u000a +p2853 +tp2854 +a(g8 +V#\u000a +p2855 +tp2856 +a(g8 +V############################################################################\u000a +p2857 +tp2858 +a(g809 +Vprocedure +p2859 +tp2860 +a(g826 +g1090 +tp2861 +a(g573 +Vui +p2862 +tp2863 +a(g826 +g1090 +tp2864 +a(g705 +g1244 +tp2865 +a(g440 +Vwin +p2866 +tp2867 +a(g705 +g1248 +tp2868 +a(g826 +V\u000a +p2869 +tp2870 +a(g826 +V +p2871 +tp2872 +a(g793 +Vlocal +p2873 +tp2874 +a(g826 +g1090 +tp2875 +a(g435 +Vcv1 +p2876 +tp2877 +a(g705 +g1143 +tp2878 +a(g826 +g1090 +tp2879 +a(g435 +Vcv2 +p2880 +tp2881 +a(g705 +g1143 +tp2882 +a(g826 +g1090 +tp2883 +a(g435 +Vcv3 +p2884 +tp2885 +a(g705 +g1143 +tp2886 +a(g826 +g1090 +tp2887 +a(g435 +Vcv4 +p2888 +tp2889 +a(g826 +V\u000a +p2890 +tp2891 +a(g826 +V +p2892 +tp2893 +a(g793 +Vlocal +p2894 +tp2895 +a(g826 +g1090 +tp2896 +a(g826 +V\u000a +p2897 +tp2898 +a(g826 +V +p2899 +tp2900 +a(g435 +Vradio_button2 +p2901 +tp2902 +a(g705 +g1143 +tp2903 +a(g826 +g1090 +tp2904 +a(g826 +V\u000a +p2905 +tp2906 +a(g826 +V +p2907 +tp2908 +a(g435 +Vradio_button1 +p2909 +tp2910 +a(g705 +g1143 +tp2911 +a(g826 +g1090 +tp2912 +a(g826 +V\u000a +p2913 +tp2914 +a(g826 +V +p2915 +tp2916 +a(g435 +Vtext_input6 +p2917 +tp2918 +a(g705 +g1143 +tp2919 +a(g826 +g1090 +tp2920 +a(g826 +V\u000a +p2921 +tp2922 +a(g826 +V +p2923 +tp2924 +a(g435 +Vtext_input5 +p2925 +tp2926 +a(g705 +g1143 +tp2927 +a(g826 +g1090 +tp2928 +a(g826 +V\u000a +p2929 +tp2930 +a(g826 +V +p2931 +tp2932 +a(g435 +Vslider4 +p2933 +tp2934 +a(g705 +g1143 +tp2935 +a(g826 +g1090 +tp2936 +a(g826 +V\u000a +p2937 +tp2938 +a(g826 +V +p2939 +tp2940 +a(g435 +Vslider3 +p2941 +tp2942 +a(g705 +g1143 +tp2943 +a(g826 +g1090 +tp2944 +a(g826 +V\u000a +p2945 +tp2946 +a(g826 +V +p2947 +tp2948 +a(g435 +Vtext_input4 +p2949 +tp2950 +a(g705 +g1143 +tp2951 +a(g826 +g1090 +tp2952 +a(g826 +V\u000a +p2953 +tp2954 +a(g826 +V +p2955 +tp2956 +a(g435 +Vtext_input3 +p2957 +tp2958 +a(g705 +g1143 +tp2959 +a(g826 +g1090 +tp2960 +a(g826 +V\u000a +p2961 +tp2962 +a(g826 +V +p2963 +tp2964 +a(g435 +Vslider2 +p2965 +tp2966 +a(g705 +g1143 +tp2967 +a(g826 +g1090 +tp2968 +a(g826 +V\u000a +p2969 +tp2970 +a(g826 +V +p2971 +tp2972 +a(g435 +Vslider1 +p2973 +tp2974 +a(g826 +g1090 +tp2975 +a(g826 +V\u000a\u000a +p2976 +tp2977 +a(g826 +V +p2978 +tp2979 +a(g412 +g2005 +tp2980 +a(g435 +Vwin +p2981 +tp2982 +a(g826 +g1090 +tp2983 +a(g412 +V:= +p2984 +tp2985 +a(g826 +g1090 +tp2986 +a(g435 +VWOpen +p2987 +tp2988 +a(g705 +g1244 +tp2989 +a(g89 +V"label=ui" +p2990 +tp2991 +a(g705 +g1143 +tp2992 +a(g826 +g1090 +tp2993 +a(g89 +V"width=404" +p2994 +tp2995 +a(g705 +g1143 +tp2996 +a(g826 +g1090 +tp2997 +a(g89 +V"height=313" +p2998 +tp2999 +a(g705 +g1143 +tp3000 +a(g826 +g1090 +tp3001 +a(g89 +V"font=6x12" +p3002 +tp3003 +a(g705 +g1248 +tp3004 +a(g826 +g1090 +tp3005 +a(g412 +g1332 +tp3006 +a(g826 +g1090 +tp3007 +a(g826 +V\u000a +p3008 +tp3009 +a(g826 +V +p3010 +tp3011 +a(g573 +Vstop +p3012 +tp3013 +a(g826 +g1090 +tp3014 +a(g705 +g1244 +tp3015 +a(g89 +V"bad win" +p3016 +tp3017 +a(g705 +g1248 +tp3018 +a(g826 +V\u000a +p3019 +tp3020 +a(g826 +V +p3021 +tp3022 +a(g435 +Vroot +p3023 +tp3024 +a(g826 +g1090 +tp3025 +a(g412 +V:= +p3026 +tp3027 +a(g826 +g1090 +tp3028 +a(g435 +VVroot_frame +p3029 +tp3030 +a(g826 +g1090 +tp3031 +a(g705 +g1244 +tp3032 +a(g435 +Vwin +p3033 +tp3034 +a(g705 +g1248 +tp3035 +a(g826 +V\u000a\u000a +p3036 +tp3037 +a(g826 +V +p3038 +tp3039 +a(g435 +VVInsert +p3040 +tp3041 +a(g826 +g1090 +tp3042 +a(g705 +g1244 +tp3043 +a(g435 +Vroot +p3044 +tp3045 +a(g705 +g1143 +tp3046 +a(g826 +g1090 +tp3047 +a(g435 +VVmessage +p3048 +tp3049 +a(g705 +g1244 +tp3050 +a(g435 +Vwin +p3051 +tp3052 +a(g705 +g1143 +tp3053 +a(g826 +g1090 +tp3054 +a(g435 +Vwin_size +p3055 +tp3056 +a(g412 +g2005 +tp3057 +a(g37 +g1668 +tp3058 +a(g705 +g1248 +tp3059 +a(g705 +g1143 +tp3060 +a(g826 +g1090 +tp3061 +a(g37 +V168 +p3062 +tp3063 +a(g705 +g1143 +tp3064 +a(g826 +g1090 +tp3065 +a(g37 +V98 +p3066 +tp3067 +a(g705 +g1248 +tp3068 +a(g826 +V\u000a +p3069 +tp3070 +a(g826 +V +p3071 +tp3072 +a(g435 +VVInsert +p3073 +tp3074 +a(g826 +g1090 +tp3075 +a(g705 +g1244 +tp3076 +a(g435 +Vroot +p3077 +tp3078 +a(g705 +g1143 +tp3079 +a(g826 +g1090 +tp3080 +a(g435 +VVmessage +p3081 +tp3082 +a(g705 +g1244 +tp3083 +a(g435 +Vwin +p3084 +tp3085 +a(g705 +g1143 +tp3086 +a(g826 +g1090 +tp3087 +a(g89 +V"1" +p3088 +tp3089 +a(g705 +g1248 +tp3090 +a(g705 +g1143 +tp3091 +a(g826 +g1090 +tp3092 +a(g37 +V108 +p3093 +tp3094 +a(g705 +g1143 +tp3095 +a(g826 +g1090 +tp3096 +a(g37 +V97 +p3097 +tp3098 +a(g705 +g1248 +tp3099 +a(g826 +V\u000a\u000a +p3100 +tp3101 +a(g826 +V +p3102 +tp3103 +a(g435 +VVInsert +p3104 +tp3105 +a(g826 +g1090 +tp3106 +a(g705 +g1244 +tp3107 +a(g435 +Vroot +p3108 +tp3109 +a(g705 +g1143 +tp3110 +a(g826 +g1090 +tp3111 +a(g435 +Vsk_v +p3112 +tp3113 +a(g826 +g1090 +tp3114 +a(g412 +V:= +p3115 +tp3116 +a(g826 +g1090 +tp3117 +a(g435 +VVtext +p3118 +tp3119 +a(g705 +g1244 +tp3120 +a(g435 +Vwin +p3121 +tp3122 +a(g705 +g1143 +tp3123 +a(g89 +V"Skew:\u005c\u005c=1" +p3124 +tp3125 +a(g705 +g1143 +tp3126 +a(g435 +Vget_skew +p3127 +tp3128 +a(g705 +g1143 +tp3129 +a(g705 +g1143 +tp3130 +a(g37 +V6 +p3131 +tp3132 +a(g705 +g1248 +tp3133 +a(g705 +g1143 +tp3134 +a(g826 +g1090 +tp3135 +a(g37 +V280 +p3136 +tp3137 +a(g705 +g1143 +tp3138 +a(g826 +g1090 +tp3139 +a(g37 +V39 +p3140 +tp3141 +a(g705 +g1248 +tp3142 +a(g826 +V\u000a\u000a +p3143 +tp3144 +a(g826 +V +p3145 +tp3146 +a(g435 +VVInsert +p3147 +tp3148 +a(g826 +g1090 +tp3149 +a(g705 +g1244 +tp3150 +a(g435 +Vroot +p3151 +tp3152 +a(g705 +g1143 +tp3153 +a(g826 +g1090 +tp3154 +a(g435 +Vdu_v +p3155 +tp3156 +a(g826 +g1090 +tp3157 +a(g412 +V:= +p3158 +tp3159 +a(g826 +g1090 +tp3160 +a(g435 +VVtext +p3161 +tp3162 +a(g705 +g1244 +tp3163 +a(g435 +Vwin +p3164 +tp3165 +a(g705 +g1143 +tp3166 +a(g826 +g1090 +tp3167 +a(g89 +V"Duration:\u005c\u005c=" +p3168 +tp3169 +a(g412 +g1332 +tp3170 +a(g412 +g1332 +tp3171 +a(g435 +Vduration +p3172 +tp3173 +a(g705 +g1143 +tp3174 +a(g826 +g1090 +tp3175 +a(g435 +Vget_duration +p3176 +tp3177 +a(g705 +g1143 +tp3178 +a(g705 +g1143 +tp3179 +a(g37 +V9 +p3180 +tp3181 +a(g705 +g1248 +tp3182 +a(g705 +g1143 +tp3183 +a(g826 +V\u000a +p3184 +tp3185 +a(g826 +V +p3186 +tp3187 +a(g37 +V237 +p3188 +tp3189 +a(g705 +g1143 +tp3190 +a(g826 +g1090 +tp3191 +a(g37 +V15 +p3192 +tp3193 +a(g705 +g1248 +tp3194 +a(g826 +V\u000a\u000a +p3195 +tp3196 +a(g826 +V +p3197 +tp3198 +a(g435 +VVInsert +p3199 +tp3200 +a(g826 +g1090 +tp3201 +a(g705 +g1244 +tp3202 +a(g435 +Vroot +p3203 +tp3204 +a(g705 +g1143 +tp3205 +a(g826 +g1090 +tp3206 +a(g435 +VVmessage +p3207 +tp3208 +a(g705 +g1244 +tp3209 +a(g435 +Vwin +p3210 +tp3211 +a(g705 +g1143 +tp3212 +a(g826 +g1090 +tp3213 +a(g89 +V"Clear at end?" +p3214 +tp3215 +a(g705 +g1248 +tp3216 +a(g705 +g1143 +tp3217 +a(g826 +g1090 +tp3218 +a(g37 +V232 +p3219 +tp3220 +a(g705 +g1143 +tp3221 +a(g826 +g1090 +tp3222 +a(g37 +V145 +p3223 +tp3224 +a(g705 +g1248 +tp3225 +a(g826 +V\u000a +p3226 +tp3227 +a(g826 +V +p3228 +tp3229 +a(g435 +VVInsert +p3230 +tp3231 +a(g826 +g1090 +tp3232 +a(g705 +g1244 +tp3233 +a(g435 +Vroot +p3234 +tp3235 +a(g705 +g1143 +tp3236 +a(g826 +g1090 +tp3237 +a(g435 +VVmessage +p3238 +tp3239 +a(g705 +g1244 +tp3240 +a(g435 +Vwin +p3241 +tp3242 +a(g705 +g1143 +tp3243 +a(g826 +g1090 +tp3244 +a(g89 +V"Fill?" +p3245 +tp3246 +a(g705 +g1248 +tp3247 +a(g705 +g1143 +tp3248 +a(g826 +g1090 +tp3249 +a(g37 +V105 +p3250 +tp3251 +a(g705 +g1143 +tp3252 +a(g826 +g1090 +tp3253 +a(g37 +V142 +p3254 +tp3255 +a(g705 +g1248 +tp3256 +a(g826 +V\u000a +p3257 +tp3258 +a(g826 +V +p3259 +tp3260 +a(g435 +VVInsert +p3261 +tp3262 +a(g826 +g1090 +tp3263 +a(g705 +g1244 +tp3264 +a(g435 +Vroot +p3265 +tp3266 +a(g705 +g1143 +tp3267 +a(g826 +g1090 +tp3268 +a(g435 +VVmessage +p3269 +tp3270 +a(g705 +g1244 +tp3271 +a(g435 +Vwin +p3272 +tp3273 +a(g705 +g1143 +tp3274 +a(g89 +V"Quit?" +p3275 +tp3276 +a(g705 +g1248 +tp3277 +a(g705 +g1143 +tp3278 +a(g826 +g1090 +tp3279 +a(g37 +V267 +p3280 +tp3281 +a(g705 +g1143 +tp3282 +a(g826 +g1090 +tp3283 +a(g37 +V259 +p3284 +tp3285 +a(g705 +g1248 +tp3286 +a(g826 +V\u000a +p3287 +tp3288 +a(g826 +V +p3289 +tp3290 +a(g435 +VVInsert +p3291 +tp3292 +a(g826 +g1090 +tp3293 +a(g705 +g1244 +tp3294 +a(g435 +Vroot +p3295 +tp3296 +a(g705 +g1143 +tp3297 +a(g826 +g1090 +tp3298 +a(g435 +VVmessage +p3299 +tp3300 +a(g705 +g1244 +tp3301 +a(g435 +Vwin +p3302 +tp3303 +a(g705 +g1143 +tp3304 +a(g89 +V"Display it?" +p3305 +tp3306 +a(g705 +g1248 +tp3307 +a(g705 +g1143 +tp3308 +a(g826 +g1090 +tp3309 +a(g37 +V26 +p3310 +tp3311 +a(g705 +g1143 +tp3312 +a(g826 +g1090 +tp3313 +a(g37 +V260 +p3314 +tp3315 +a(g705 +g1248 +tp3316 +a(g826 +V\u000a\u000a +p3317 +tp3318 +a(g826 +V +p3319 +tp3320 +a(g435 +VVInsert +p3321 +tp3322 +a(g826 +g1090 +tp3323 +a(g705 +g1244 +tp3324 +a(g435 +Vroot +p3325 +tp3326 +a(g705 +g1143 +tp3327 +a(g826 +g1090 +tp3328 +a(g435 +VVcheckbox +p3329 +tp3330 +a(g705 +g1244 +tp3331 +a(g435 +Vwin +p3332 +tp3333 +a(g705 +g1143 +tp3334 +a(g826 +g1090 +tp3335 +a(g435 +Vdo_quit +p3336 +tp3337 +a(g705 +g1143 +tp3338 +a(g826 +g1090 +tp3339 +a(g89 +V"check2" +p3340 +tp3341 +a(g705 +g1143 +tp3342 +a(g37 +V20 +p3343 +tp3344 +a(g705 +g1248 +tp3345 +a(g705 +g1143 +tp3346 +a(g826 +g1090 +tp3347 +a(g37 +V305 +p3348 +tp3349 +a(g705 +g1143 +tp3350 +a(g826 +g1090 +tp3351 +a(g37 +V255 +p3352 +tp3353 +a(g705 +g1143 +tp3354 +a(g826 +g1090 +tp3355 +a(g37 +V20 +p3356 +tp3357 +a(g705 +g1143 +tp3358 +a(g826 +g1090 +tp3359 +a(g37 +V20 +p3360 +tp3361 +a(g705 +g1248 +tp3362 +a(g826 +V\u000a\u000a +p3363 +tp3364 +a(g826 +V +p3365 +tp3366 +a(g435 +VVInsert +p3367 +tp3368 +a(g826 +g1090 +tp3369 +a(g705 +g1244 +tp3370 +a(g435 +Vroot +p3371 +tp3372 +a(g705 +g1143 +tp3373 +a(g826 +g1090 +tp3374 +a(g435 +Vcheck1 +p3375 +tp3376 +a(g412 +V:= +p3377 +tp3378 +a(g435 +VVcheckbox +p3379 +tp3380 +a(g705 +g1244 +tp3381 +a(g435 +Vwin +p3382 +tp3383 +a(g705 +g1143 +tp3384 +a(g826 +g1090 +tp3385 +a(g435 +Vdo_display +p3386 +tp3387 +a(g705 +g1143 +tp3388 +a(g826 +g1090 +tp3389 +a(g89 +V"check1" +p3390 +tp3391 +a(g705 +g1143 +tp3392 +a(g37 +V20 +p3393 +tp3394 +a(g705 +g1248 +tp3395 +a(g705 +g1143 +tp3396 +a(g826 +V\u000a +p3397 +tp3398 +a(g826 +V +p3399 +tp3400 +a(g37 +V106 +p3401 +tp3402 +a(g705 +g1143 +tp3403 +a(g826 +g1090 +tp3404 +a(g37 +V258 +p3405 +tp3406 +a(g705 +g1143 +tp3407 +a(g826 +g1090 +tp3408 +a(g37 +V20 +p3409 +tp3410 +a(g705 +g1143 +tp3411 +a(g826 +g1090 +tp3412 +a(g37 +V20 +p3413 +tp3414 +a(g705 +g1248 +tp3415 +a(g826 +V\u000a\u000a +p3416 +tp3417 +a(g826 +V +p3418 +tp3419 +a(g435 +Vradio_button2 +p3420 +tp3421 +a(g826 +g1090 +tp3422 +a(g412 +V:= +p3423 +tp3424 +a(g826 +g1090 +tp3425 +a(g435 +VVradio_buttons +p3426 +tp3427 +a(g826 +g1090 +tp3428 +a(g705 +g1244 +tp3429 +a(g435 +Vwin +p3430 +tp3431 +a(g705 +g1143 +tp3432 +a(g826 +g1090 +tp3433 +a(g705 +g1661 +tp3434 +a(g89 +V"On" +p3435 +tp3436 +a(g705 +g1143 +tp3437 +a(g826 +g1090 +tp3438 +a(g89 +V"Off" +p3439 +tp3440 +a(g705 +g1670 +tp3441 +a(g705 +g1143 +tp3442 +a(g826 +g1090 +tp3443 +a(g435 +Vget_clear +p3444 +tp3445 +a(g705 +g1143 +tp3446 +a(g826 +g1090 +tp3447 +a(g705 +g1143 +tp3448 +a(g826 +g1090 +tp3449 +a(g435 +VV_CIRCLE +p3450 +tp3451 +a(g705 +g1248 +tp3452 +a(g826 +V\u000a +p3453 +tp3454 +a(g826 +V +p3455 +tp3456 +a(g435 +VVSet +p3457 +tp3458 +a(g705 +g1244 +tp3459 +a(g435 +Vradio_button2 +p3460 +tp3461 +a(g705 +g1143 +tp3462 +a(g435 +VClear +p3463 +tp3464 +a(g705 +g1248 +tp3465 +a(g826 +V\u000a +p3466 +tp3467 +a(g826 +V +p3468 +tp3469 +a(g435 +VVInsert +p3470 +tp3471 +a(g826 +g1090 +tp3472 +a(g705 +g1244 +tp3473 +a(g435 +Vroot +p3474 +tp3475 +a(g705 +g1143 +tp3476 +a(g826 +g1090 +tp3477 +a(g435 +Vradio_button2 +p3478 +tp3479 +a(g705 +g1143 +tp3480 +a(g826 +g1090 +tp3481 +a(g37 +V253 +p3482 +tp3483 +a(g705 +g1143 +tp3484 +a(g826 +g1090 +tp3485 +a(g37 +V165 +p3486 +tp3487 +a(g705 +g1248 +tp3488 +a(g826 +V\u000a\u000a +p3489 +tp3490 +a(g826 +V +p3491 +tp3492 +a(g435 +Vradio_button1 +p3493 +tp3494 +a(g826 +g1090 +tp3495 +a(g412 +V:= +p3496 +tp3497 +a(g826 +g1090 +tp3498 +a(g435 +VVradio_buttons +p3499 +tp3500 +a(g826 +g1090 +tp3501 +a(g705 +g1244 +tp3502 +a(g435 +Vwin +p3503 +tp3504 +a(g705 +g1143 +tp3505 +a(g826 +g1090 +tp3506 +a(g705 +g1661 +tp3507 +a(g89 +V"On" +p3508 +tp3509 +a(g705 +g1143 +tp3510 +a(g826 +g1090 +tp3511 +a(g89 +V"Off" +p3512 +tp3513 +a(g705 +g1670 +tp3514 +a(g705 +g1143 +tp3515 +a(g826 +g1090 +tp3516 +a(g435 +Vget_fill +p3517 +tp3518 +a(g705 +g1143 +tp3519 +a(g826 +g1090 +tp3520 +a(g705 +g1143 +tp3521 +a(g826 +g1090 +tp3522 +a(g435 +VV_CIRCLE +p3523 +tp3524 +a(g705 +g1248 +tp3525 +a(g826 +V\u000a +p3526 +tp3527 +a(g826 +V +p3528 +tp3529 +a(g435 +VVSet +p3530 +tp3531 +a(g705 +g1244 +tp3532 +a(g435 +Vradio_button1 +p3533 +tp3534 +a(g705 +g1143 +tp3535 +a(g435 +Vfill +p3536 +tp3537 +a(g705 +g1248 +tp3538 +a(g826 +V\u000a +p3539 +tp3540 +a(g826 +V +p3541 +tp3542 +a(g435 +VVInsert +p3543 +tp3544 +a(g826 +g1090 +tp3545 +a(g705 +g1244 +tp3546 +a(g435 +Vroot +p3547 +tp3548 +a(g705 +g1143 +tp3549 +a(g826 +g1090 +tp3550 +a(g435 +Vradio_button1 +p3551 +tp3552 +a(g705 +g1143 +tp3553 +a(g826 +g1090 +tp3554 +a(g37 +V99 +p3555 +tp3556 +a(g705 +g1143 +tp3557 +a(g826 +g1090 +tp3558 +a(g37 +V165 +p3559 +tp3560 +a(g705 +g1248 +tp3561 +a(g826 +V\u000a\u000a +p3562 +tp3563 +a(g826 +V +p3564 +tp3565 +a(g435 +Vcv1 +p3566 +tp3567 +a(g826 +g1090 +tp3568 +a(g412 +V:= +p3569 +tp3570 +a(g826 +g1090 +tp3571 +a(g435 +VVcoupler +p3572 +tp3573 +a(g705 +g1244 +tp3574 +a(g705 +g1248 +tp3575 +a(g826 +V\u000a +p3576 +tp3577 +a(g826 +V +p3578 +tp3579 +a(g435 +VVAddClient +p3580 +tp3581 +a(g705 +g1244 +tp3582 +a(g435 +Vcv1 +p3583 +tp3584 +a(g705 +g1143 +tp3585 +a(g826 +g1090 +tp3586 +a(g435 +Vget_max_offset +p3587 +tp3588 +a(g705 +g1248 +tp3589 +a(g826 +V\u000a +p3590 +tp3591 +a(g826 +V +p3592 +tp3593 +a(g435 +Vtext_input6 +p3594 +tp3595 +a(g826 +g1090 +tp3596 +a(g412 +V:= +p3597 +tp3598 +a(g826 +g1090 +tp3599 +a(g435 +VVtext +p3600 +tp3601 +a(g826 +g1090 +tp3602 +a(g705 +g1244 +tp3603 +a(g435 +Vwin +p3604 +tp3605 +a(g705 +g1143 +tp3606 +a(g826 +g1090 +tp3607 +a(g89 +V"Max Offset:\u005c\u005c=" +p3608 +tp3609 +a(g412 +g1332 +tp3610 +a(g412 +g1332 +tp3611 +a(g705 +g1244 +tp3612 +a(g435 +Vwin_size +p3613 +tp3614 +a(g37 +V-1 +p3615 +tp3616 +a(g705 +g1248 +tp3617 +a(g705 +g1143 +tp3618 +a(g826 +g1090 +tp3619 +a(g435 +Vcv1 +p3620 +tp3621 +a(g705 +g1143 +tp3622 +a(g826 +g1090 +tp3623 +a(g705 +g1143 +tp3624 +a(g826 +g1090 +tp3625 +a(g37 +g1698 +tp3626 +a(g705 +g1248 +tp3627 +a(g826 +V\u000a +p3628 +tp3629 +a(g826 +V +p3630 +tp3631 +a(g435 +VVAddClient +p3632 +tp3633 +a(g705 +g1244 +tp3634 +a(g435 +Vcv1 +p3635 +tp3636 +a(g705 +g1143 +tp3637 +a(g826 +g1090 +tp3638 +a(g435 +Vtext_input6 +p3639 +tp3640 +a(g705 +g1248 +tp3641 +a(g826 +V\u000a +p3642 +tp3643 +a(g826 +V +p3644 +tp3645 +a(g435 +Vslider4 +p3646 +tp3647 +a(g826 +g1090 +tp3648 +a(g412 +V:= +p3649 +tp3650 +a(g826 +g1090 +tp3651 +a(g435 +VVhoriz_slider +p3652 +tp3653 +a(g826 +g1090 +tp3654 +a(g705 +g1244 +tp3655 +a(g435 +Vwin +p3656 +tp3657 +a(g705 +g1143 +tp3658 +a(g826 +g1090 +tp3659 +a(g435 +Vcv1 +p3660 +tp3661 +a(g705 +g1143 +tp3662 +a(g826 +g1090 +tp3663 +a(g89 +V"slider4" +p3664 +tp3665 +a(g705 +g1143 +tp3666 +a(g826 +g1090 +tp3667 +a(g37 +V70 +p3668 +tp3669 +a(g705 +g1143 +tp3670 +a(g826 +g1090 +tp3671 +a(g37 +V12 +p3672 +tp3673 +a(g705 +g1143 +tp3674 +a(g826 +g1090 +tp3675 +a(g37 +g1701 +tp3676 +a(g705 +g1143 +tp3677 +a(g826 +V\u000a +p3678 +tp3679 +a(g826 +V +p3680 +tp3681 +a(g435 +Vwin_size +p3682 +tp3683 +a(g37 +V-1 +p3684 +tp3685 +a(g705 +g1143 +tp3686 +a(g826 +g1090 +tp3687 +a(g435 +Vwin_size +p3688 +tp3689 +a(g37 +V-1 +p3690 +tp3691 +a(g705 +g1143 +tp3692 +a(g826 +g1090 +tp3693 +a(g705 +g1248 +tp3694 +a(g826 +V\u000a +p3695 +tp3696 +a(g826 +V +p3697 +tp3698 +a(g435 +VVAddClient +p3699 +tp3700 +a(g705 +g1244 +tp3701 +a(g435 +Vcv1 +p3702 +tp3703 +a(g705 +g1143 +tp3704 +a(g826 +g1090 +tp3705 +a(g435 +Vslider4 +p3706 +tp3707 +a(g705 +g1248 +tp3708 +a(g826 +V\u000a +p3709 +tp3710 +a(g826 +V +p3711 +tp3712 +a(g435 +VVInsert +p3713 +tp3714 +a(g826 +g1090 +tp3715 +a(g705 +g1244 +tp3716 +a(g435 +Vroot +p3717 +tp3718 +a(g705 +g1143 +tp3719 +a(g826 +g1090 +tp3720 +a(g435 +Vtext_input6 +p3721 +tp3722 +a(g705 +g1143 +tp3723 +a(g826 +g1090 +tp3724 +a(g37 +V196 +p3725 +tp3726 +a(g705 +g1143 +tp3727 +a(g826 +g1090 +tp3728 +a(g37 +V103 +p3729 +tp3730 +a(g705 +g1248 +tp3731 +a(g826 +V\u000a +p3732 +tp3733 +a(g826 +V +p3734 +tp3735 +a(g435 +VVInsert +p3736 +tp3737 +a(g826 +g1090 +tp3738 +a(g705 +g1244 +tp3739 +a(g435 +Vroot +p3740 +tp3741 +a(g705 +g1143 +tp3742 +a(g826 +g1090 +tp3743 +a(g435 +Vslider4 +p3744 +tp3745 +a(g705 +g1143 +tp3746 +a(g826 +g1090 +tp3747 +a(g37 +V306 +p3748 +tp3749 +a(g705 +g1143 +tp3750 +a(g826 +g1090 +tp3751 +a(g37 +V106 +p3752 +tp3753 +a(g705 +g1248 +tp3754 +a(g826 +V\u000a\u000a +p3755 +tp3756 +a(g826 +V +p3757 +tp3758 +a(g435 +Vcv2 +p3759 +tp3760 +a(g826 +g1090 +tp3761 +a(g412 +V:= +p3762 +tp3763 +a(g826 +g1090 +tp3764 +a(g435 +VVcoupler +p3765 +tp3766 +a(g705 +g1244 +tp3767 +a(g705 +g1248 +tp3768 +a(g826 +V\u000a +p3769 +tp3770 +a(g826 +V +p3771 +tp3772 +a(g435 +VVAddClient +p3773 +tp3774 +a(g705 +g1244 +tp3775 +a(g435 +Vcv2 +p3776 +tp3777 +a(g705 +g1143 +tp3778 +a(g826 +g1090 +tp3779 +a(g435 +Vget_min_offset +p3780 +tp3781 +a(g705 +g1248 +tp3782 +a(g826 +V\u000a +p3783 +tp3784 +a(g826 +V +p3785 +tp3786 +a(g435 +Vtext_input5 +p3787 +tp3788 +a(g826 +g1090 +tp3789 +a(g412 +V:= +p3790 +tp3791 +a(g826 +g1090 +tp3792 +a(g435 +VVtext +p3793 +tp3794 +a(g826 +g1090 +tp3795 +a(g705 +g1244 +tp3796 +a(g435 +Vwin +p3797 +tp3798 +a(g705 +g1143 +tp3799 +a(g826 +g1090 +tp3800 +a(g89 +V"Min Offset\u005c\u005c=1" +p3801 +tp3802 +a(g705 +g1143 +tp3803 +a(g826 +g1090 +tp3804 +a(g435 +Vcv2 +p3805 +tp3806 +a(g705 +g1143 +tp3807 +a(g826 +g1090 +tp3808 +a(g705 +g1143 +tp3809 +a(g826 +g1090 +tp3810 +a(g37 +g1698 +tp3811 +a(g705 +g1248 +tp3812 +a(g826 +V\u000a +p3813 +tp3814 +a(g826 +V +p3815 +tp3816 +a(g435 +VVAddClient +p3817 +tp3818 +a(g705 +g1244 +tp3819 +a(g435 +Vcv2 +p3820 +tp3821 +a(g705 +g1143 +tp3822 +a(g826 +g1090 +tp3823 +a(g435 +Vtext_input5 +p3824 +tp3825 +a(g705 +g1248 +tp3826 +a(g826 +V\u000a +p3827 +tp3828 +a(g826 +V +p3829 +tp3830 +a(g435 +Vslider3 +p3831 +tp3832 +a(g826 +g1090 +tp3833 +a(g412 +V:= +p3834 +tp3835 +a(g826 +g1090 +tp3836 +a(g435 +VVhoriz_slider +p3837 +tp3838 +a(g826 +g1090 +tp3839 +a(g705 +g1244 +tp3840 +a(g435 +Vwin +p3841 +tp3842 +a(g705 +g1143 +tp3843 +a(g826 +g1090 +tp3844 +a(g435 +Vcv2 +p3845 +tp3846 +a(g705 +g1143 +tp3847 +a(g826 +g1090 +tp3848 +a(g89 +V"slider3" +p3849 +tp3850 +a(g705 +g1143 +tp3851 +a(g826 +g1090 +tp3852 +a(g37 +V70 +p3853 +tp3854 +a(g705 +g1143 +tp3855 +a(g826 +g1090 +tp3856 +a(g37 +V12 +p3857 +tp3858 +a(g705 +g1143 +tp3859 +a(g826 +g1090 +tp3860 +a(g37 +g1469 +tp3861 +a(g705 +g1143 +tp3862 +a(g826 +g1090 +tp3863 +a(g435 +Vwin_size +p3864 +tp3865 +a(g37 +V-1 +p3866 +tp3867 +a(g705 +g1143 +tp3868 +a(g826 +g1090 +tp3869 +a(g37 +g1469 +tp3870 +a(g705 +g1143 +tp3871 +a(g826 +g1090 +tp3872 +a(g705 +g1248 +tp3873 +a(g826 +V\u000a +p3874 +tp3875 +a(g826 +V +p3876 +tp3877 +a(g435 +VVAddClient +p3878 +tp3879 +a(g705 +g1244 +tp3880 +a(g435 +Vcv2 +p3881 +tp3882 +a(g705 +g1143 +tp3883 +a(g826 +g1090 +tp3884 +a(g435 +Vslider3 +p3885 +tp3886 +a(g705 +g1248 +tp3887 +a(g826 +V\u000a +p3888 +tp3889 +a(g826 +V +p3890 +tp3891 +a(g435 +VVInsert +p3892 +tp3893 +a(g826 +g1090 +tp3894 +a(g705 +g1244 +tp3895 +a(g435 +Vroot +p3896 +tp3897 +a(g705 +g1143 +tp3898 +a(g826 +g1090 +tp3899 +a(g435 +Vtext_input5 +p3900 +tp3901 +a(g705 +g1143 +tp3902 +a(g826 +g1090 +tp3903 +a(g37 +V201 +p3904 +tp3905 +a(g705 +g1143 +tp3906 +a(g826 +g1090 +tp3907 +a(g37 +V80 +p3908 +tp3909 +a(g705 +g1248 +tp3910 +a(g826 +V\u000a +p3911 +tp3912 +a(g826 +V +p3913 +tp3914 +a(g435 +VVInsert +p3915 +tp3916 +a(g826 +g1090 +tp3917 +a(g705 +g1244 +tp3918 +a(g435 +Vroot +p3919 +tp3920 +a(g705 +g1143 +tp3921 +a(g826 +g1090 +tp3922 +a(g435 +Vslider3 +p3923 +tp3924 +a(g705 +g1143 +tp3925 +a(g826 +g1090 +tp3926 +a(g37 +V307 +p3927 +tp3928 +a(g705 +g1143 +tp3929 +a(g826 +g1090 +tp3930 +a(g37 +V82 +p3931 +tp3932 +a(g705 +g1248 +tp3933 +a(g826 +V\u000a\u000a +p3934 +tp3935 +a(g826 +V +p3936 +tp3937 +a(g435 +Vcv3 +p3938 +tp3939 +a(g826 +g1090 +tp3940 +a(g412 +V:= +p3941 +tp3942 +a(g826 +g1090 +tp3943 +a(g435 +VVcoupler +p3944 +tp3945 +a(g705 +g1244 +tp3946 +a(g705 +g1248 +tp3947 +a(g826 +V\u000a +p3948 +tp3949 +a(g826 +V +p3950 +tp3951 +a(g435 +VVAddClient +p3952 +tp3953 +a(g705 +g1244 +tp3954 +a(g435 +Vcv3 +p3955 +tp3956 +a(g705 +g1143 +tp3957 +a(g826 +g1090 +tp3958 +a(g435 +Vget_max_radius +p3959 +tp3960 +a(g705 +g1248 +tp3961 +a(g826 +V\u000a +p3962 +tp3963 +a(g826 +V +p3964 +tp3965 +a(g435 +Vtext_input4 +p3966 +tp3967 +a(g826 +g1090 +tp3968 +a(g412 +V:= +p3969 +tp3970 +a(g826 +g1090 +tp3971 +a(g435 +VVtext +p3972 +tp3973 +a(g826 +g1090 +tp3974 +a(g705 +g1244 +tp3975 +a(g435 +Vwin +p3976 +tp3977 +a(g705 +g1143 +tp3978 +a(g826 +g1090 +tp3979 +a(g89 +V"Max Radius\u005c\u005c=" +p3980 +tp3981 +a(g412 +g1332 +tp3982 +a(g412 +g1332 +tp3983 +a(g705 +g1244 +tp3984 +a(g435 +Vwin_size +p3985 +tp3986 +a(g412 +g2005 +tp3987 +a(g37 +V4 +p3988 +tp3989 +a(g705 +g1248 +tp3990 +a(g705 +g1143 +tp3991 +a(g826 +g1090 +tp3992 +a(g435 +Vcv3 +p3993 +tp3994 +a(g705 +g1143 +tp3995 +a(g826 +g1090 +tp3996 +a(g705 +g1143 +tp3997 +a(g826 +g1090 +tp3998 +a(g37 +g1698 +tp3999 +a(g705 +g1248 +tp4000 +a(g826 +V\u000a +p4001 +tp4002 +a(g826 +V +p4003 +tp4004 +a(g435 +VVAddClient +p4005 +tp4006 +a(g705 +g1244 +tp4007 +a(g435 +Vcv3 +p4008 +tp4009 +a(g705 +g1143 +tp4010 +a(g826 +g1090 +tp4011 +a(g435 +Vtext_input4 +p4012 +tp4013 +a(g705 +g1248 +tp4014 +a(g826 +V\u000a +p4015 +tp4016 +a(g826 +V +p4017 +tp4018 +a(g435 +Vslider2 +p4019 +tp4020 +a(g826 +g1090 +tp4021 +a(g412 +V:= +p4022 +tp4023 +a(g826 +g1090 +tp4024 +a(g435 +VVhoriz_slider +p4025 +tp4026 +a(g826 +g1090 +tp4027 +a(g705 +g1244 +tp4028 +a(g435 +Vwin +p4029 +tp4030 +a(g705 +g1143 +tp4031 +a(g826 +g1090 +tp4032 +a(g435 +Vcv3 +p4033 +tp4034 +a(g705 +g1143 +tp4035 +a(g826 +g1090 +tp4036 +a(g89 +V"slider2" +p4037 +tp4038 +a(g705 +g1143 +tp4039 +a(g826 +g1090 +tp4040 +a(g37 +V70 +p4041 +tp4042 +a(g705 +g1143 +tp4043 +a(g826 +g1090 +tp4044 +a(g37 +V12 +p4045 +tp4046 +a(g705 +g1143 +tp4047 +a(g826 +g1090 +tp4048 +a(g37 +g1469 +tp4049 +a(g705 +g1143 +tp4050 +a(g826 +g1090 +tp4051 +a(g435 +Vwin_size +p4052 +tp4053 +a(g412 +g2005 +tp4054 +a(g37 +g1668 +tp4055 +a(g705 +g1143 +tp4056 +a(g826 +V\u000a +p4057 +tp4058 +a(g826 +V +p4059 +tp4060 +a(g435 +Vwin_size +p4061 +tp4062 +a(g412 +g2005 +tp4063 +a(g37 +g3988 +tp4064 +a(g705 +g1143 +tp4065 +a(g826 +g1090 +tp4066 +a(g705 +g1248 +tp4067 +a(g826 +V\u000a +p4068 +tp4069 +a(g826 +V +p4070 +tp4071 +a(g435 +VVAddClient +p4072 +tp4073 +a(g705 +g1244 +tp4074 +a(g435 +Vcv3 +p4075 +tp4076 +a(g705 +g1143 +tp4077 +a(g826 +g1090 +tp4078 +a(g435 +Vslider2 +p4079 +tp4080 +a(g705 +g1248 +tp4081 +a(g826 +V\u000a +p4082 +tp4083 +a(g826 +V +p4084 +tp4085 +a(g435 +VVInsert +p4086 +tp4087 +a(g826 +g1090 +tp4088 +a(g705 +g1244 +tp4089 +a(g435 +Vroot +p4090 +tp4091 +a(g705 +g1143 +tp4092 +a(g826 +g1090 +tp4093 +a(g435 +Vtext_input4 +p4094 +tp4095 +a(g705 +g1143 +tp4096 +a(g826 +g1090 +tp4097 +a(g37 +V10 +p4098 +tp4099 +a(g705 +g1143 +tp4100 +a(g826 +g1090 +tp4101 +a(g37 +V104 +p4102 +tp4103 +a(g705 +g1248 +tp4104 +a(g826 +V\u000a +p4105 +tp4106 +a(g826 +V +p4107 +tp4108 +a(g435 +VVInsert +p4109 +tp4110 +a(g826 +g1090 +tp4111 +a(g705 +g1244 +tp4112 +a(g435 +Vroot +p4113 +tp4114 +a(g705 +g1143 +tp4115 +a(g826 +g1090 +tp4116 +a(g435 +Vslider2 +p4117 +tp4118 +a(g705 +g1143 +tp4119 +a(g826 +g1090 +tp4120 +a(g37 +V110 +p4121 +tp4122 +a(g705 +g1143 +tp4123 +a(g826 +g1090 +tp4124 +a(g37 +V108 +p4125 +tp4126 +a(g705 +g1248 +tp4127 +a(g826 +V\u000a\u000a +p4128 +tp4129 +a(g826 +V +p4130 +tp4131 +a(g435 +Vcv4 +p4132 +tp4133 +a(g826 +g1090 +tp4134 +a(g412 +V:= +p4135 +tp4136 +a(g826 +g1090 +tp4137 +a(g435 +VVcoupler +p4138 +tp4139 +a(g705 +g1244 +tp4140 +a(g705 +g1248 +tp4141 +a(g826 +V\u000a +p4142 +tp4143 +a(g826 +V +p4144 +tp4145 +a(g435 +VVAddClient +p4146 +tp4147 +a(g705 +g1244 +tp4148 +a(g435 +Vcv4 +p4149 +tp4150 +a(g705 +g1143 +tp4151 +a(g826 +g1090 +tp4152 +a(g435 +Vget_min_radius +p4153 +tp4154 +a(g705 +g1248 +tp4155 +a(g826 +V\u000a +p4156 +tp4157 +a(g826 +V +p4158 +tp4159 +a(g435 +Vtext_input3 +p4160 +tp4161 +a(g826 +g1090 +tp4162 +a(g412 +V:= +p4163 +tp4164 +a(g826 +g1090 +tp4165 +a(g435 +VVtext +p4166 +tp4167 +a(g826 +g1090 +tp4168 +a(g705 +g1244 +tp4169 +a(g435 +Vwin +p4170 +tp4171 +a(g705 +g1143 +tp4172 +a(g826 +g1090 +tp4173 +a(g89 +V"Min Radius\u005c\u005c=1" +p4174 +tp4175 +a(g705 +g1143 +tp4176 +a(g826 +g1090 +tp4177 +a(g435 +Vcv4 +p4178 +tp4179 +a(g705 +g1143 +tp4180 +a(g826 +g1090 +tp4181 +a(g705 +g1143 +tp4182 +a(g826 +g1090 +tp4183 +a(g37 +g1698 +tp4184 +a(g705 +g1248 +tp4185 +a(g826 +V\u000a +p4186 +tp4187 +a(g826 +V +p4188 +tp4189 +a(g435 +VVAddClient +p4190 +tp4191 +a(g705 +g1244 +tp4192 +a(g435 +Vcv4 +p4193 +tp4194 +a(g705 +g1143 +tp4195 +a(g826 +g1090 +tp4196 +a(g435 +Vtext_input3 +p4197 +tp4198 +a(g705 +g1248 +tp4199 +a(g826 +V\u000a +p4200 +tp4201 +a(g826 +V +p4202 +tp4203 +a(g435 +Vslider1 +p4204 +tp4205 +a(g826 +g1090 +tp4206 +a(g412 +V:= +p4207 +tp4208 +a(g826 +g1090 +tp4209 +a(g435 +VVhoriz_slider +p4210 +tp4211 +a(g826 +g1090 +tp4212 +a(g705 +g1244 +tp4213 +a(g435 +Vwin +p4214 +tp4215 +a(g705 +g1143 +tp4216 +a(g826 +g1090 +tp4217 +a(g435 +Vcv4 +p4218 +tp4219 +a(g705 +g1143 +tp4220 +a(g826 +g1090 +tp4221 +a(g89 +V"slider1" +p4222 +tp4223 +a(g705 +g1143 +tp4224 +a(g826 +g1090 +tp4225 +a(g37 +V70 +p4226 +tp4227 +a(g705 +g1143 +tp4228 +a(g826 +g1090 +tp4229 +a(g37 +V12 +p4230 +tp4231 +a(g705 +g1143 +tp4232 +a(g826 +g1090 +tp4233 +a(g37 +g1469 +tp4234 +a(g705 +g1143 +tp4235 +a(g826 +g1090 +tp4236 +a(g435 +Vwin_size +p4237 +tp4238 +a(g412 +g2005 +tp4239 +a(g37 +g1668 +tp4240 +a(g705 +g1143 +tp4241 +a(g826 +g1090 +tp4242 +a(g37 +g1469 +tp4243 +a(g705 +g1143 +tp4244 +a(g826 +g1090 +tp4245 +a(g705 +g1248 +tp4246 +a(g826 +V\u000a +p4247 +tp4248 +a(g826 +V +p4249 +tp4250 +a(g435 +VVAddClient +p4251 +tp4252 +a(g705 +g1244 +tp4253 +a(g435 +Vcv4 +p4254 +tp4255 +a(g705 +g1143 +tp4256 +a(g826 +g1090 +tp4257 +a(g435 +Vslider1 +p4258 +tp4259 +a(g705 +g1248 +tp4260 +a(g826 +V\u000a +p4261 +tp4262 +a(g826 +V +p4263 +tp4264 +a(g435 +VVInsert +p4265 +tp4266 +a(g826 +g1090 +tp4267 +a(g705 +g1244 +tp4268 +a(g435 +Vroot +p4269 +tp4270 +a(g705 +g1143 +tp4271 +a(g826 +g1090 +tp4272 +a(g435 +Vtext_input3 +p4273 +tp4274 +a(g705 +g1143 +tp4275 +a(g826 +g1090 +tp4276 +a(g37 +V10 +p4277 +tp4278 +a(g705 +g1143 +tp4279 +a(g826 +g1090 +tp4280 +a(g37 +V81 +p4281 +tp4282 +a(g705 +g1248 +tp4283 +a(g826 +V\u000a +p4284 +tp4285 +a(g826 +V +p4286 +tp4287 +a(g435 +VVInsert +p4288 +tp4289 +a(g826 +g1090 +tp4290 +a(g705 +g1244 +tp4291 +a(g435 +Vroot +p4292 +tp4293 +a(g705 +g1143 +tp4294 +a(g826 +g1090 +tp4295 +a(g435 +Vslider1 +p4296 +tp4297 +a(g705 +g1143 +tp4298 +a(g826 +g1090 +tp4299 +a(g37 +V110 +p4300 +tp4301 +a(g705 +g1143 +tp4302 +a(g826 +g1090 +tp4303 +a(g37 +V84 +p4304 +tp4305 +a(g705 +g1248 +tp4306 +a(g826 +V\u000a\u000a +p4307 +tp4308 +a(g826 +V +p4309 +tp4310 +a(g435 +VVInsert +p4311 +tp4312 +a(g826 +g1090 +tp4313 +a(g705 +g1244 +tp4314 +a(g435 +Vroot +p4315 +tp4316 +a(g705 +g1143 +tp4317 +a(g826 +g1090 +tp4318 +a(g435 +Vrs_v +p4319 +tp4320 +a(g826 +g1090 +tp4321 +a(g412 +V:= +p4322 +tp4323 +a(g826 +g1090 +tp4324 +a(g435 +VVtext +p4325 +tp4326 +a(g705 +g1244 +tp4327 +a(g435 +Vwin +p4328 +tp4329 +a(g705 +g1143 +tp4330 +a(g89 +V"Random Seed:\u005c\u005c=" +p4331 +tp4332 +a(g412 +g1332 +tp4333 +a(g412 +g1332 +tp4334 +a(g435 +Vr_seed +p4335 +tp4336 +a(g705 +g1143 +tp4337 +a(g826 +g1090 +tp4338 +a(g435 +Vget_random +p4339 +tp4340 +a(g705 +g1143 +tp4341 +a(g705 +g1143 +tp4342 +a(g826 +g1090 +tp4343 +a(g37 +V11 +p4344 +tp4345 +a(g705 +g1248 +tp4346 +a(g705 +g1143 +tp4347 +a(g826 +V\u000a +p4348 +tp4349 +a(g826 +V +p4350 +tp4351 +a(g37 +V30 +p4352 +tp4353 +a(g705 +g1143 +tp4354 +a(g826 +g1090 +tp4355 +a(g37 +V41 +p4356 +tp4357 +a(g705 +g1248 +tp4358 +a(g826 +V\u000a +p4359 +tp4360 +a(g826 +V +p4361 +tp4362 +a(g435 +VVInsert +p4363 +tp4364 +a(g826 +g1090 +tp4365 +a(g705 +g1244 +tp4366 +a(g435 +Vroot +p4367 +tp4368 +a(g705 +g1143 +tp4369 +a(g826 +g1090 +tp4370 +a(g435 +Vde_v +p4371 +tp4372 +a(g826 +g1090 +tp4373 +a(g412 +V:= +p4374 +tp4375 +a(g826 +g1090 +tp4376 +a(g435 +VVtext +p4377 +tp4378 +a(g705 +g1244 +tp4379 +a(g435 +Vwin +p4380 +tp4381 +a(g705 +g1143 +tp4382 +a(g89 +V"Density:\u005c\u005c=" +p4383 +tp4384 +a(g412 +g1332 +tp4385 +a(g412 +g1332 +tp4386 +a(g435 +Vdensity +p4387 +tp4388 +a(g705 +g1143 +tp4389 +a(g826 +g1090 +tp4390 +a(g435 +Vget_density +p4391 +tp4392 +a(g705 +g1143 +tp4393 +a(g705 +g1143 +tp4394 +a(g37 +V8 +p4395 +tp4396 +a(g705 +g1248 +tp4397 +a(g705 +g1143 +tp4398 +a(g826 +V\u000a +p4399 +tp4400 +a(g826 +V +p4401 +tp4402 +a(g37 +V71 +p4403 +tp4404 +a(g705 +g1143 +tp4405 +a(g826 +g1090 +tp4406 +a(g37 +V16 +p4407 +tp4408 +a(g705 +g1248 +tp4409 +a(g826 +V\u000a\u000a +p4410 +tp4411 +a(g826 +V +p4412 +tp4413 +a(g435 +VVResize +p4414 +tp4415 +a(g826 +g1090 +tp4416 +a(g705 +g1244 +tp4417 +a(g435 +Vroot +p4418 +tp4419 +a(g705 +g1248 +tp4420 +a(g826 +V\u000a +p4421 +tp4422 +a(g826 +V +p4423 +tp4424 +a(g793 +Vreturn +p4425 +tp4426 +a(g826 +g1090 +tp4427 +a(g435 +Vroot +p4428 +tp4429 +a(g826 +V\u000a +p4430 +tp4431 +a(g793 +Vend +p4432 +tp4433 +a(g826 +V\u000a\u000a +p4434 +tp4435 +a(g809 +Vprocedure +p4436 +tp4437 +a(g826 +g1090 +tp4438 +a(g573 +Vget_skew +p4439 +tp4440 +a(g826 +g1090 +tp4441 +a(g705 +g1244 +tp4442 +a(g440 +Vwit +p4443 +tp4444 +a(g705 +g1143 +tp4445 +a(g826 +g1090 +tp4446 +a(g440 +Vvalue +p4447 +tp4448 +a(g705 +g1248 +tp4449 +a(g826 +V\u000a +p4450 +tp4451 +a(g826 +V +p4452 +tp4453 +a(g435 +Vskew +p4454 +tp4455 +a(g826 +g1090 +tp4456 +a(g412 +V:= +p4457 +tp4458 +a(g826 +g1090 +tp4459 +a(g435 +Vvalue +p4460 +tp4461 +a(g826 +V\u000a +p4462 +tp4463 +a(g793 +Vend +p4464 +tp4465 +a(g826 +V\u000a\u000a +p4466 +tp4467 +a(g809 +Vprocedure +p4468 +tp4469 +a(g826 +g1090 +tp4470 +a(g573 +Vget_duration +p4471 +tp4472 +a(g826 +g1090 +tp4473 +a(g705 +g1244 +tp4474 +a(g440 +Vwit +p4475 +tp4476 +a(g705 +g1143 +tp4477 +a(g826 +g1090 +tp4478 +a(g440 +Vvalue +p4479 +tp4480 +a(g705 +g1248 +tp4481 +a(g826 +V\u000a +p4482 +tp4483 +a(g826 +V +p4484 +tp4485 +a(g435 +Vduration +p4486 +tp4487 +a(g826 +g1090 +tp4488 +a(g412 +V:= +p4489 +tp4490 +a(g826 +g1090 +tp4491 +a(g435 +Vvalue +p4492 +tp4493 +a(g826 +V\u000a +p4494 +tp4495 +a(g793 +Vend +p4496 +tp4497 +a(g826 +V\u000a\u000a +p4498 +tp4499 +a(g809 +Vprocedure +p4500 +tp4501 +a(g826 +g1090 +tp4502 +a(g573 +Vdo_quit +p4503 +tp4504 +a(g826 +g1090 +tp4505 +a(g705 +g1244 +tp4506 +a(g440 +Vwit +p4507 +tp4508 +a(g705 +g1143 +tp4509 +a(g826 +g1090 +tp4510 +a(g440 +Vvalue +p4511 +tp4512 +a(g705 +g1248 +tp4513 +a(g826 +V\u000a +p4514 +tp4515 +a(g826 +V +p4516 +tp4517 +a(g573 +Vstop +p4518 +tp4519 +a(g705 +g1244 +tp4520 +a(g705 +g1248 +tp4521 +a(g826 +V\u000a +p4522 +tp4523 +a(g793 +Vend +p4524 +tp4525 +a(g826 +V\u000a\u000a +p4526 +tp4527 +a(g809 +Vprocedure +p4528 +tp4529 +a(g826 +g1090 +tp4530 +a(g573 +Vdo_display +p4531 +tp4532 +a(g826 +g1090 +tp4533 +a(g705 +g1244 +tp4534 +a(g440 +Vwit +p4535 +tp4536 +a(g705 +g1143 +tp4537 +a(g826 +g1090 +tp4538 +a(g440 +Vvalue +p4539 +tp4540 +a(g705 +g1248 +tp4541 +a(g826 +V\u000a +p4542 +tp4543 +a(g826 +V +p4544 +tp4545 +a(g435 +Vr_seed +p4546 +tp4547 +a(g826 +V +p4548 +tp4549 +a(g412 +V:= +p4550 +tp4551 +a(g826 +g1090 +tp4552 +a(g573 +Vnumeric +p4553 +tp4554 +a(g705 +g1244 +tp4555 +a(g435 +Vrs_v +p4556 +tp4557 +a(g314 +V. +p4558 +tp4559 +a(g435 +Vdata +p4560 +tp4561 +a(g705 +g1248 +tp4562 +a(g826 +V\u000a +p4563 +tp4564 +a(g826 +V +p4565 +tp4566 +a(g435 +Vduration +p4567 +tp4568 +a(g826 +g1090 +tp4569 +a(g412 +V:= +p4570 +tp4571 +a(g826 +g1090 +tp4572 +a(g573 +Vinteger +p4573 +tp4574 +a(g705 +g1244 +tp4575 +a(g435 +Vdu_v +p4576 +tp4577 +a(g314 +g4558 +tp4578 +a(g435 +Vdata +p4579 +tp4580 +a(g705 +g1248 +tp4581 +a(g826 +V\u000a +p4582 +tp4583 +a(g826 +V +p4584 +tp4585 +a(g435 +Vdensity +p4586 +tp4587 +a(g826 +V +p4588 +tp4589 +a(g412 +V:= +p4590 +tp4591 +a(g826 +g1090 +tp4592 +a(g573 +Vinteger +p4593 +tp4594 +a(g705 +g1244 +tp4595 +a(g435 +Vde_v +p4596 +tp4597 +a(g314 +g4558 +tp4598 +a(g435 +Vdata +p4599 +tp4600 +a(g705 +g1248 +tp4601 +a(g826 +V\u000a +p4602 +tp4603 +a(g826 +V +p4604 +tp4605 +a(g435 +Vskew +p4606 +tp4607 +a(g826 +V +p4608 +tp4609 +a(g412 +V:= +p4610 +tp4611 +a(g826 +g1090 +tp4612 +a(g573 +Vinteger +p4613 +tp4614 +a(g705 +g1244 +tp4615 +a(g435 +Vsk_v +p4616 +tp4617 +a(g314 +g4558 +tp4618 +a(g435 +Vdata +p4619 +tp4620 +a(g705 +g1248 +tp4621 +a(g826 +V\u000a +p4622 +tp4623 +a(g826 +V +p4624 +tp4625 +a(g435 +Vkaleidoscope +p4626 +tp4627 +a(g705 +g1244 +tp4628 +a(g435 +Vr_seed +p4629 +tp4630 +a(g705 +g1248 +tp4631 +a(g826 +V\u000a +p4632 +tp4633 +a(g826 +V +p4634 +tp4635 +a(g435 +Vwit +p4636 +tp4637 +a(g314 +g4558 +tp4638 +a(g435 +Vcallback +p4639 +tp4640 +a(g314 +g4558 +tp4641 +a(g435 +Vvalue +p4642 +tp4643 +a(g826 +g1090 +tp4644 +a(g412 +V:= +p4645 +tp4646 +a(g826 +g1090 +tp4647 +a(g763 +V&null +p4648 +tp4649 +a(g826 +V\u000a +p4650 +tp4651 +a(g826 +V +p4652 +tp4653 +a(g435 +VVDraw +p4654 +tp4655 +a(g705 +g1244 +tp4656 +a(g435 +Vcheck1 +p4657 +tp4658 +a(g705 +g1248 +tp4659 +a(g826 +V\u000a +p4660 +tp4661 +a(g793 +Vend +p4662 +tp4663 +a(g826 +V\u000a\u000a +p4664 +tp4665 +a(g809 +Vprocedure +p4666 +tp4667 +a(g826 +g1090 +tp4668 +a(g573 +Vget_clear +p4669 +tp4670 +a(g826 +g1090 +tp4671 +a(g705 +g1244 +tp4672 +a(g440 +Vwit +p4673 +tp4674 +a(g705 +g1143 +tp4675 +a(g826 +g1090 +tp4676 +a(g440 +Vvalue +p4677 +tp4678 +a(g705 +g1248 +tp4679 +a(g826 +V\u000a +p4680 +tp4681 +a(g826 +V +p4682 +tp4683 +a(g435 +VClear +p4684 +tp4685 +a(g826 +g1090 +tp4686 +a(g412 +V:= +p4687 +tp4688 +a(g826 +g1090 +tp4689 +a(g435 +Vvalue +p4690 +tp4691 +a(g826 +V\u000a +p4692 +tp4693 +a(g793 +Vend +p4694 +tp4695 +a(g826 +V\u000a\u000a +p4696 +tp4697 +a(g809 +Vprocedure +p4698 +tp4699 +a(g826 +g1090 +tp4700 +a(g573 +Vget_fill +p4701 +tp4702 +a(g826 +g1090 +tp4703 +a(g705 +g1244 +tp4704 +a(g440 +Vwit +p4705 +tp4706 +a(g705 +g1143 +tp4707 +a(g826 +g1090 +tp4708 +a(g440 +Vvalue +p4709 +tp4710 +a(g705 +g1248 +tp4711 +a(g826 +V\u000a +p4712 +tp4713 +a(g826 +V +p4714 +tp4715 +a(g435 +Vfill +p4716 +tp4717 +a(g826 +g1090 +tp4718 +a(g412 +V:= +p4719 +tp4720 +a(g826 +g1090 +tp4721 +a(g435 +Vvalue +p4722 +tp4723 +a(g826 +V\u000a +p4724 +tp4725 +a(g826 +V +p4726 +tp4727 +a(g793 +Vif +p4728 +tp4729 +a(g826 +g1090 +tp4730 +a(g435 +Vfill +p4731 +tp4732 +a(g826 +g1090 +tp4733 +a(g412 +g2419 +tp4734 +a(g412 +g2419 +tp4735 +a(g826 +g1090 +tp4736 +a(g89 +V"Off" +p4737 +tp4738 +a(g826 +g1090 +tp4739 +a(g793 +Vthen +p4740 +tp4741 +a(g826 +g1090 +tp4742 +a(g435 +Vdraw_circle +p4743 +tp4744 +a(g826 +g1090 +tp4745 +a(g412 +V:= +p4746 +tp4747 +a(g826 +g1090 +tp4748 +a(g573 +VDrawCircle +p4749 +tp4750 +a(g826 +V\u000a +p4751 +tp4752 +a(g826 +V +p4753 +tp4754 +a(g793 +Velse +p4755 +tp4756 +a(g826 +g1090 +tp4757 +a(g435 +Vdraw_circle +p4758 +tp4759 +a(g826 +g1090 +tp4760 +a(g412 +V:= +p4761 +tp4762 +a(g826 +g1090 +tp4763 +a(g573 +VFillCircle +p4764 +tp4765 +a(g826 +V\u000a +p4766 +tp4767 +a(g793 +Vend +p4768 +tp4769 +a(g826 +V\u000a\u000a +p4770 +tp4771 +a(g809 +Vprocedure +p4772 +tp4773 +a(g826 +g1090 +tp4774 +a(g573 +Vget_max_offset +p4775 +tp4776 +a(g826 +g1090 +tp4777 +a(g705 +g1244 +tp4778 +a(g440 +Vwit +p4779 +tp4780 +a(g705 +g1143 +tp4781 +a(g826 +g1090 +tp4782 +a(g440 +Vvalue +p4783 +tp4784 +a(g705 +g1248 +tp4785 +a(g826 +V\u000a +p4786 +tp4787 +a(g826 +V +p4788 +tp4789 +a(g435 +Vmaxoff +p4790 +tp4791 +a(g826 +g1090 +tp4792 +a(g412 +V:= +p4793 +tp4794 +a(g826 +g1090 +tp4795 +a(g435 +Vvalue +p4796 +tp4797 +a(g826 +V\u000a +p4798 +tp4799 +a(g793 +Vend +p4800 +tp4801 +a(g826 +V\u000a\u000a +p4802 +tp4803 +a(g809 +Vprocedure +p4804 +tp4805 +a(g826 +g1090 +tp4806 +a(g573 +Vget_min_offset +p4807 +tp4808 +a(g826 +g1090 +tp4809 +a(g705 +g1244 +tp4810 +a(g440 +Vwit +p4811 +tp4812 +a(g705 +g1143 +tp4813 +a(g826 +g1090 +tp4814 +a(g440 +Vvalue +p4815 +tp4816 +a(g705 +g1248 +tp4817 +a(g826 +V\u000a +p4818 +tp4819 +a(g826 +V +p4820 +tp4821 +a(g435 +Vminoff +p4822 +tp4823 +a(g826 +g1090 +tp4824 +a(g412 +V:= +p4825 +tp4826 +a(g826 +g1090 +tp4827 +a(g435 +Vvalue +p4828 +tp4829 +a(g826 +V\u000a +p4830 +tp4831 +a(g793 +Vend +p4832 +tp4833 +a(g826 +V\u000a\u000a +p4834 +tp4835 +a(g809 +Vprocedure +p4836 +tp4837 +a(g826 +g1090 +tp4838 +a(g573 +Vget_max_radius +p4839 +tp4840 +a(g826 +g1090 +tp4841 +a(g705 +g1244 +tp4842 +a(g440 +Vwit +p4843 +tp4844 +a(g705 +g1143 +tp4845 +a(g826 +g1090 +tp4846 +a(g440 +Vvalue +p4847 +tp4848 +a(g705 +g1248 +tp4849 +a(g826 +V\u000a +p4850 +tp4851 +a(g826 +V +p4852 +tp4853 +a(g435 +Vmaxradius +p4854 +tp4855 +a(g826 +g1090 +tp4856 +a(g412 +V:= +p4857 +tp4858 +a(g826 +g1090 +tp4859 +a(g435 +Vvalue +p4860 +tp4861 +a(g826 +V\u000a +p4862 +tp4863 +a(g793 +Vend +p4864 +tp4865 +a(g826 +V\u000a\u000a +p4866 +tp4867 +a(g809 +Vprocedure +p4868 +tp4869 +a(g826 +g1090 +tp4870 +a(g573 +Vget_min_radius +p4871 +tp4872 +a(g826 +g1090 +tp4873 +a(g705 +g1244 +tp4874 +a(g440 +Vwit +p4875 +tp4876 +a(g705 +g1143 +tp4877 +a(g826 +g1090 +tp4878 +a(g440 +Vvalue +p4879 +tp4880 +a(g705 +g1248 +tp4881 +a(g826 +V\u000a +p4882 +tp4883 +a(g826 +V +p4884 +tp4885 +a(g435 +Vminradius +p4886 +tp4887 +a(g826 +g1090 +tp4888 +a(g412 +V:= +p4889 +tp4890 +a(g826 +g1090 +tp4891 +a(g435 +Vvalue +p4892 +tp4893 +a(g826 +V\u000a +p4894 +tp4895 +a(g793 +Vend +p4896 +tp4897 +a(g826 +V\u000a\u000a +p4898 +tp4899 +a(g809 +Vprocedure +p4900 +tp4901 +a(g826 +g1090 +tp4902 +a(g573 +Vget_random +p4903 +tp4904 +a(g826 +g1090 +tp4905 +a(g705 +g1244 +tp4906 +a(g440 +Vwit +p4907 +tp4908 +a(g705 +g1143 +tp4909 +a(g826 +g1090 +tp4910 +a(g440 +Vvalue +p4911 +tp4912 +a(g705 +g1248 +tp4913 +a(g826 +V\u000a +p4914 +tp4915 +a(g826 +V +p4916 +tp4917 +a(g435 +Vr_seed +p4918 +tp4919 +a(g826 +g1090 +tp4920 +a(g412 +V:= +p4921 +tp4922 +a(g826 +g1090 +tp4923 +a(g573 +Vinteger +p4924 +tp4925 +a(g705 +g1244 +tp4926 +a(g435 +Vvalue +p4927 +tp4928 +a(g705 +g1248 +tp4929 +a(g826 +V\u000a +p4930 +tp4931 +a(g793 +Vend +p4932 +tp4933 +a(g826 +V\u000a\u000a +p4934 +tp4935 +a(g809 +Vprocedure +p4936 +tp4937 +a(g826 +g1090 +tp4938 +a(g573 +Vget_density +p4939 +tp4940 +a(g826 +g1090 +tp4941 +a(g705 +g1244 +tp4942 +a(g440 +Vwit +p4943 +tp4944 +a(g705 +g1143 +tp4945 +a(g826 +g1090 +tp4946 +a(g440 +Vvalue +p4947 +tp4948 +a(g705 +g1248 +tp4949 +a(g826 +V\u000a +p4950 +tp4951 +a(g826 +V +p4952 +tp4953 +a(g435 +Vdensity +p4954 +tp4955 +a(g826 +g1090 +tp4956 +a(g412 +V:= +p4957 +tp4958 +a(g826 +g1090 +tp4959 +a(g573 +Vinteger +p4960 +tp4961 +a(g705 +g1244 +tp4962 +a(g435 +Vvalue +p4963 +tp4964 +a(g705 +g1248 +tp4965 +a(g826 +V\u000a +p4966 +tp4967 +a(g793 +Vend +p4968 +tp4969 +a(g826 +V\u000a\u000a +p4970 +tp4971 +a(g809 +Vprocedure +p4972 +tp4973 +a(g826 +g1090 +tp4974 +a(g573 +Vquit +p4975 +tp4976 +a(g705 +g1244 +tp4977 +a(g440 +Ve +p4978 +tp4979 +a(g705 +g1248 +tp4980 +a(g826 +V\u000a +p4981 +tp4982 +a(g826 +V +p4983 +tp4984 +a(g793 +Vif +p4985 +tp4986 +a(g826 +g1090 +tp4987 +a(g435 +g4978 +tp4988 +a(g826 +g1090 +tp4989 +a(g412 +g2419 +tp4990 +a(g412 +g2419 +tp4991 +a(g412 +g2419 +tp4992 +a(g826 +g1090 +tp4993 +a(g89 +V"q" +p4994 +tp4995 +a(g826 +g1090 +tp4996 +a(g793 +Vthen +p4997 +tp4998 +a(g826 +g1090 +tp4999 +a(g573 +Vstop +p5000 +tp5001 +a(g826 +g1090 +tp5002 +a(g705 +g1244 +tp5003 +a(g89 +V"Exiting Kaleidoscope" +p5004 +tp5005 +a(g705 +g1248 +tp5006 +a(g826 +V\u000a +p5007 +tp5008 +a(g793 +Vend +p5009 +tp5010 +a(g826 +V\u000a +p5011 +tp5012 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.j b/tests/examplefiles/output/example.j new file mode 100644 index 0000000..c2db467 --- /dev/null +++ b/tests/examplefiles/output/example.j @@ -0,0 +1,12334 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV; Example JVM assembly +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V; Tested with JasminXT 2.4 +p960 +tp961 +a(g826 +V\u000a\u000a +p962 +tp963 +a(g793 +V.bytecode +p964 +tp965 +a(g826 +V +p966 +tp967 +a(g314 +V49.0 +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g793 +V.source +p972 +tp973 +a(g826 +g966 +tp974 +a(g236 +VHelloWorld.java +p975 +tp976 +a(g826 +V\u000a +p977 +tp978 +a(g793 +V.class +p979 +tp980 +a(g826 +g966 +tp981 +a(g793 +Vpublic +p982 +tp983 +a(g826 +g966 +tp984 +a(g793 +Vfinal +p985 +tp986 +a(g826 +g966 +tp987 +a(g793 +Venum +p988 +tp989 +a(g826 +g966 +tp990 +a(g628 +VHelloWorld +p991 +tp992 +a(g826 +V\u000a +p993 +tp994 +a(g793 +V.super +p995 +tp996 +a(g826 +g966 +tp997 +a(g620 +Vjava/lang/ +p998 +tp999 +a(g628 +VObject +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g793 +V.implements +p1004 +tp1005 +a(g826 +g966 +tp1006 +a(g620 +Vjava/io/ +p1007 +tp1008 +a(g628 +VSerializable +p1009 +tp1010 +a(g826 +V\u000a +p1011 +tp1012 +a(g793 +V.signature +p1013 +tp1014 +a(g826 +g966 +tp1015 +a(g157 +V" +p1016 +tp1017 +a(g157 +VLjava/lang/Object;Ljava/io/Serializable; +p1018 +tp1019 +a(g157 +g1016 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g793 +V.enclosing +p1023 +tp1024 +a(g826 +g966 +tp1025 +a(g793 +Vmethod +p1026 +tp1027 +a(g826 +g966 +tp1028 +a(g826 +V +p1029 +tp1030 +a(g620 +Vhw/jasmin. +p1031 +tp1032 +a(g628 +VHelloWorldRunner. +p1033 +tp1034 +a(g573 +Vrun +p1035 +tp1036 +a(g705 +V( +p1037 +tp1038 +a(g705 +V) +p1039 +tp1040 +a(g748 +VV +p1041 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g793 +V.deprecated +p1045 +tp1046 +a(g826 +V\u000a +p1047 +tp1048 +a(g793 +V.annotation +p1049 +tp1050 +a(g826 +g966 +tp1051 +a(g793 +Vvisible +p1052 +tp1053 +a(g826 +g966 +tp1054 +a(g628 +VHelloWorld +p1055 +tp1056 +a(g826 +V\u000a +p1057 +tp1058 +a(g826 +V +p1059 +tp1060 +a(g236 +VI +p1061 +tp1062 +a(g826 +g966 +tp1063 +a(g748 +g1061 +tp1064 +a(g826 +g966 +tp1065 +a(g705 +V= +p1066 +tp1067 +a(g826 +g966 +tp1068 +a(g37 +V0 +p1069 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a(g793 +V.end +p1073 +tp1074 +a(g826 +g966 +tp1075 +a(g793 +Vannotation +p1076 +tp1077 +a(g826 +V\u000a +p1078 +tp1079 +a(g793 +V.debug +p1080 +tp1081 +a(g826 +g966 +tp1082 +a(g157 +g1016 +tp1083 +a(g157 +VHappy debugging! +p1084 +tp1085 +a(g157 +g1016 +tp1086 +a(g826 +V\u000a\u000a +p1087 +tp1088 +a(g793 +V.inner +p1089 +tp1090 +a(g826 +g966 +tp1091 +a(g793 +Vinterface +p1092 +tp1093 +a(g826 +g966 +tp1094 +a(g793 +Vpublic +p1095 +tp1096 +a(g826 +g966 +tp1097 +a(g628 +VInnerInterface +p1098 +tp1099 +a(g826 +g966 +tp1100 +a(g793 +Vinner +p1101 +tp1102 +a(g826 +g966 +tp1103 +a(g229 +V' +p1104 +tp1105 +a(g229 +VHelloWorld$InnerInterface +p1106 +tp1107 +a(g229 +g1104 +tp1108 +a(g826 +g966 +tp1109 +a(g793 +Vouter +p1110 +tp1111 +a(g826 +g966 +tp1112 +a(g628 +VHelloWorld +p1113 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g793 +V.inner +p1117 +tp1118 +a(g826 +g966 +tp1119 +a(g793 +Vclass +p1120 +tp1121 +a(g826 +g966 +tp1122 +a(g793 +Vpublic +p1123 +tp1124 +a(g826 +g966 +tp1125 +a(g628 +VInnerClass +p1126 +tp1127 +a(g826 +g966 +tp1128 +a(g793 +Vinner +p1129 +tp1130 +a(g826 +g966 +tp1131 +a(g628 +VHelloWorld$InnerClass +p1132 +tp1133 +a(g826 +g966 +tp1134 +a(g793 +Vouter +p1135 +tp1136 +a(g826 +g966 +tp1137 +a(g229 +g1104 +tp1138 +a(g229 +VHelloWorld +p1139 +tp1140 +a(g229 +g1104 +tp1141 +a(g826 +V\u000a\u000a +p1142 +tp1143 +a(g793 +V.field +p1144 +tp1145 +a(g826 +g966 +tp1146 +a(g793 +Vpublic +p1147 +tp1148 +a(g826 +g966 +tp1149 +a(g793 +Vvolatile +p1150 +tp1151 +a(g826 +g966 +tp1152 +a(g793 +Vtransient +p1153 +tp1154 +a(g826 +g966 +tp1155 +a(g461 +g1061 +tp1156 +a(g826 +g966 +tp1157 +a(g748 +g1061 +tp1158 +a(g826 +V\u000a +p1159 +tp1160 +a(g793 +V.field +p1161 +tp1162 +a(g826 +g966 +tp1163 +a(g793 +Vstatic +p1164 +tp1165 +a(g826 +g966 +tp1166 +a(g793 +Vprotected +p1167 +tp1168 +a(g826 +g966 +tp1169 +a(g793 +Vfinal +p1170 +tp1171 +a(g826 +g966 +tp1172 +a(g445 +VserialVersionUID +p1173 +tp1174 +a(g826 +g966 +tp1175 +a(g229 +g1104 +tp1176 +a(g229 +VJ +p1177 +tp1178 +a(g229 +g1104 +tp1179 +a(g826 +g966 +tp1180 +a(g793 +Vsignature +p1181 +tp1182 +a(g826 +g966 +tp1183 +a(g157 +g1016 +tp1184 +a(g157 +VTJ; +p1185 +tp1186 +a(g157 +g1016 +tp1187 +a(g826 +g966 +tp1188 +a(g705 +g1066 +tp1189 +a(g826 +g966 +tp1190 +a(g37 +V2147483648 +p1191 +tp1192 +a(g826 +V\u000a +p1193 +tp1194 +a(g793 +V.field +p1195 +tp1196 +a(g826 +g966 +tp1197 +a(g793 +Vannotation +p1198 +tp1199 +a(g826 +g966 +tp1200 +a(g793 +Vprotected +p1201 +tp1202 +a(g826 +g966 +tp1203 +a(g229 +g1104 +tp1204 +a(g229 +Vprotected +p1205 +tp1206 +a(g229 +g1104 +tp1207 +a(g826 +g966 +tp1208 +a(g705 +V[[[ +p1209 +tp1210 +a(g748 +VL +p1211 +tp1212 +a(g620 +Vcom/oracle/util/ +p1213 +tp1214 +a(g628 +VChecksums +p1215 +tp1216 +a(g705 +V; +p1217 +tp1218 +a(g826 +V\u000a +p1219 +tp1220 +a(g826 +V +p1221 +tp1222 +a(g793 +V.deprecated +p1223 +tp1224 +a(g826 +V\u000a +p1225 +tp1226 +a(g826 +g1221 +tp1227 +a(g793 +V.signature +p1228 +tp1229 +a(g826 +g966 +tp1230 +a(g157 +g1016 +tp1231 +a(g157 +V[[[Lcom/oracle/util/Checksums; +p1232 +tp1233 +a(g157 +g1016 +tp1234 +a(g826 +V\u000a +p1235 +tp1236 +a(g826 +g1221 +tp1237 +a(g793 +V.attribute +p1238 +tp1239 +a(g826 +g966 +tp1240 +a(g236 +Vfoo +p1241 +tp1242 +a(g826 +g966 +tp1243 +a(g157 +g1016 +tp1244 +a(g157 +Vfoo.txt +p1245 +tp1246 +a(g157 +g1016 +tp1247 +a(g826 +V\u000a +p1248 +tp1249 +a(g826 +g1221 +tp1250 +a(g793 +V.attribute +p1251 +tp1252 +a(g826 +g966 +tp1253 +a(g229 +g1104 +tp1254 +a(g229 +Vfoo +p1255 +tp1256 +a(g229 +g1104 +tp1257 +a(g826 +g966 +tp1258 +a(g157 +g1016 +tp1259 +a(g157 +Vfoo.txt +p1260 +tp1261 +a(g157 +g1016 +tp1262 +a(g826 +V\u000a +p1263 +tp1264 +a(g793 +V.end +p1265 +tp1266 +a(g826 +g966 +tp1267 +a(g793 +Vfield +p1268 +tp1269 +a(g826 +V\u000a +p1270 +tp1271 +a(g793 +V.field +p1272 +tp1273 +a(g826 +g966 +tp1274 +a(g793 +Vpublic +p1275 +tp1276 +a(g826 +g966 +tp1277 +a(g461 +Vnewline +p1278 +tp1279 +a(g826 +g966 +tp1280 +a(g748 +g1061 +tp1281 +a(g826 +V\u000a +p1282 +tp1283 +a(g793 +V.field +p1284 +tp1285 +a(g826 +g966 +tp1286 +a(g793 +Vpublic +p1287 +tp1288 +a(g826 +g966 +tp1289 +a(g793 +Vstatic +p1290 +tp1291 +a(g826 +g966 +tp1292 +a(g445 +VdefaultString +p1293 +tp1294 +a(g826 +g966 +tp1295 +a(g229 +g1104 +tp1296 +a(g229 +VLjava/lang/String; +p1297 +tp1298 +a(g229 +g1104 +tp1299 +a(g826 +V\u000a\u000a +p1300 +tp1301 +a(g793 +V.method +p1302 +tp1303 +a(g826 +g966 +tp1304 +a(g793 +Vpublic +p1305 +tp1306 +a(g826 +g966 +tp1307 +a(g573 +V +p1308 +tp1309 +a(g705 +g1037 +tp1310 +a(g705 +g1039 +tp1311 +a(g748 +g1041 +tp1312 +a(g826 +V\u000a +p1313 +tp1314 +a(g826 +V +p1315 +tp1316 +a(g793 +V.limit +p1317 +tp1318 +a(g826 +g966 +tp1319 +a(g793 +Vstack +p1320 +tp1321 +a(g826 +g966 +tp1322 +a(g37 +V3 +p1323 +tp1324 +a(g826 +V\u000a +p1325 +tp1326 +a(g793 +V.line +p1327 +tp1328 +a(g826 +g966 +tp1329 +a(g37 +V7 +p1330 +tp1331 +a(g826 +V\u000a +p1332 +tp1333 +a(g826 +V +p1334 +tp1335 +a(g793 +V.var +p1336 +tp1337 +a(g826 +g966 +tp1338 +a(g37 +g1069 +tp1339 +a(g826 +g966 +tp1340 +a(g793 +Vis +p1341 +tp1342 +a(g826 +g966 +tp1343 +a(g440 +Vself +p1344 +tp1345 +a(g826 +g966 +tp1346 +a(g748 +g1211 +tp1347 +a(g628 +VHelloWorld +p1348 +tp1349 +a(g705 +g1217 +tp1350 +a(g826 +g966 +tp1351 +a(g793 +Vfrom +p1352 +tp1353 +a(g826 +g966 +tp1354 +a(g37 +g1069 +tp1355 +a(g826 +g966 +tp1356 +a(g793 +Vto +p1357 +tp1358 +a(g826 +g966 +tp1359 +a(g37 +V1 +p1360 +tp1361 +a(g826 +V\u000a +p1362 +tp1363 +a(g826 +V +p1364 +tp1365 +a(g793 +Vaload_0 +p1366 +tp1367 +a(g826 +V\u000a +p1368 +tp1369 +a(g826 +V +p1370 +tp1371 +a(g793 +Vinvokenonvirtual +p1372 +tp1373 +a(g826 +g966 +tp1374 +a(g620 +Vjava/lang/ +p1375 +tp1376 +a(g628 +VObject/ +p1377 +tp1378 +a(g573 +V +p1379 +tp1380 +a(g705 +g1037 +tp1381 +a(g705 +g1039 +tp1382 +a(g748 +g1041 +tp1383 +a(g826 +V\u000a +p1384 +tp1385 +a(g826 +V +p1386 +tp1387 +a(g793 +Vreturn +p1388 +tp1389 +a(g826 +V\u000a +p1390 +tp1391 +a(g793 +V.end +p1392 +tp1393 +a(g826 +g966 +tp1394 +a(g793 +Vmethod +p1395 +tp1396 +a(g826 +V\u000a\u000a +p1397 +tp1398 +a(g793 +V.method +p1399 +tp1400 +a(g826 +g966 +tp1401 +a(g793 +Vstatic +p1402 +tp1403 +a(g826 +g966 +tp1404 +a(g793 +Vpublic +p1405 +tp1406 +a(g826 +g966 +tp1407 +a(g573 +Vmain +p1408 +tp1409 +a(g705 +g1037 +tp1410 +a(g705 +V[ +p1411 +tp1412 +a(g748 +g1211 +tp1413 +a(g620 +Vjava/lang/ +p1414 +tp1415 +a(g628 +VString +p1416 +tp1417 +a(g705 +g1217 +tp1418 +a(g705 +g1039 +tp1419 +a(g748 +g1041 +tp1420 +a(g826 +V\u000a +p1421 +tp1422 +a(g826 +V +p1423 +tp1424 +a(g793 +V.limit +p1425 +tp1426 +a(g826 +g966 +tp1427 +a(g793 +Vlocals +p1428 +tp1429 +a(g826 +g966 +tp1430 +a(g37 +g1330 +tp1431 +a(g826 +V\u000a +p1432 +tp1433 +a(g826 +V +p1434 +tp1435 +a(g793 +V.limit +p1436 +tp1437 +a(g826 +g966 +tp1438 +a(g793 +Vstack +p1439 +tp1440 +a(g826 +g966 +tp1441 +a(g37 +V10 +p1442 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g826 +V +p1446 +tp1447 +a(g793 +V.throws +p1448 +tp1449 +a(g826 +g966 +tp1450 +a(g620 +Vjava.lang/ +p1451 +tp1452 +a(g612 +VRuntimeException +p1453 +tp1454 +a(g826 +V\u000a +p1455 +tp1456 +a(g826 +V +p1457 +tp1458 +a(g793 +V.catch +p1459 +tp1460 +a(g826 +g966 +tp1461 +a(g620 +Vjava/lang. +p1462 +tp1463 +a(g612 +VClassCastException +p1464 +tp1465 +a(g826 +g966 +tp1466 +a(g793 +Vfrom +p1467 +tp1468 +a(g826 +g966 +tp1469 +a(g596 +Vcast +p1470 +tp1471 +a(g826 +g966 +tp1472 +a(g793 +Vto +p1473 +tp1474 +a(g826 +g966 +tp1475 +a(g229 +g1104 +tp1476 +a(g229 +Vextra_l +p1477 +tp1478 +a(g229 +g1104 +tp1479 +a(g826 +g966 +tp1480 +a(g793 +Vusing +p1481 +tp1482 +a(g826 +g966 +tp1483 +a(g596 +V/extra +p1484 +tp1485 +a(g826 +V\u000a +p1486 +tp1487 +a(g826 +V +p1488 +tp1489 +a(g793 +V.signature +p1490 +tp1491 +a(g826 +g966 +tp1492 +a(g157 +g1016 +tp1493 +a(g157 +V([Ljava/lang/String;)V +p1494 +tp1495 +a(g157 +g1016 +tp1496 +a(g826 +V\u000a +p1497 +tp1498 +a(g826 +V +p1499 +tp1500 +a(g793 +V.stack +p1501 +tp1502 +a(g826 +V\u000a +p1503 +tp1504 +a(g826 +V +p1505 +tp1506 +a(g793 +Voffset +p1507 +tp1508 +a(g826 +g966 +tp1509 +a(g596 +V/Input +p1510 +tp1511 +a(g826 +V\u000a +p1512 +tp1513 +a(g826 +V +p1514 +tp1515 +a(g793 +Vlocals +p1516 +tp1517 +a(g826 +g966 +tp1518 +a(g744 +VObject +p1519 +tp1520 +a(g826 +g966 +tp1521 +a(g620 +Vjava/lang/ +p1522 +tp1523 +a(g628 +VString +p1524 +tp1525 +a(g826 +V\u000a +p1526 +tp1527 +a(g826 +V +p1528 +tp1529 +a(g793 +Vlocals +p1530 +tp1531 +a(g826 +g966 +tp1532 +a(g744 +VUninitialized +p1533 +tp1534 +a(g826 +g966 +tp1535 +a(g229 +g1104 +tp1536 +a(g229 +VEnd +p1537 +tp1538 +a(g229 +g1104 +tp1539 +a(g826 +V\u000a +p1540 +tp1541 +a(g826 +V +p1542 +tp1543 +a(g793 +Vlocals +p1544 +tp1545 +a(g826 +g966 +tp1546 +a(g744 +VUninitialized +p1547 +tp1548 +a(g826 +g966 +tp1549 +a(g37 +g1069 +tp1550 +a(g826 +V\u000a +p1551 +tp1552 +a(g826 +V +p1553 +tp1554 +a(g793 +Vlocals +p1555 +tp1556 +a(g826 +g966 +tp1557 +a(g744 +VTop +p1558 +tp1559 +a(g826 +V\u000a +p1560 +tp1561 +a(g826 +V +p1562 +tp1563 +a(g793 +Vlocals +p1564 +tp1565 +a(g826 +g966 +tp1566 +a(g744 +VInteger +p1567 +tp1568 +a(g826 +V\u000a +p1569 +tp1570 +a(g826 +V +p1571 +tp1572 +a(g793 +Vlocals +p1573 +tp1574 +a(g826 +g966 +tp1575 +a(g744 +VFloat +p1576 +tp1577 +a(g826 +V\u000a +p1578 +tp1579 +a(g826 +V +p1580 +tp1581 +a(g793 +Vlocals +p1582 +tp1583 +a(g826 +g966 +tp1584 +a(g744 +VLong +p1585 +tp1586 +a(g826 +V\u000a +p1587 +tp1588 +a(g826 +V +p1589 +tp1590 +a(g793 +Vlocals +p1591 +tp1592 +a(g826 +g966 +tp1593 +a(g744 +VDouble +p1594 +tp1595 +a(g826 +V\u000a +p1596 +tp1597 +a(g826 +V +p1598 +tp1599 +a(g793 +Vlocals +p1600 +tp1601 +a(g826 +g966 +tp1602 +a(g744 +VNull +p1603 +tp1604 +a(g826 +V\u000a +p1605 +tp1606 +a(g826 +V +p1607 +tp1608 +a(g793 +Vlocals +p1609 +tp1610 +a(g826 +g966 +tp1611 +a(g744 +VUninitializedThis +p1612 +tp1613 +a(g826 +V\u000a +p1614 +tp1615 +a(g826 +V +p1616 +tp1617 +a(g793 +Vstack +p1618 +tp1619 +a(g826 +g966 +tp1620 +a(g744 +VObject +p1621 +tp1622 +a(g826 +g966 +tp1623 +a(g620 +Vjava/lang/ +p1624 +tp1625 +a(g628 +VString +p1626 +tp1627 +a(g826 +V\u000a +p1628 +tp1629 +a(g826 +V +p1630 +tp1631 +a(g793 +Vstack +p1632 +tp1633 +a(g826 +g966 +tp1634 +a(g744 +VUninitialized +p1635 +tp1636 +a(g826 +g966 +tp1637 +a(g596 +VEnd +p1638 +tp1639 +a(g826 +V\u000a +p1640 +tp1641 +a(g826 +V +p1642 +tp1643 +a(g793 +Vstack +p1644 +tp1645 +a(g826 +g966 +tp1646 +a(g229 +g1104 +tp1647 +a(g229 +VUninitialized +p1648 +tp1649 +a(g229 +g1104 +tp1650 +a(g826 +g966 +tp1651 +a(g37 +g1069 +tp1652 +a(g826 +V\u000a +p1653 +tp1654 +a(g826 +V +p1655 +tp1656 +a(g793 +Vstack +p1657 +tp1658 +a(g826 +g966 +tp1659 +a(g229 +g1104 +tp1660 +a(g229 +VTop +p1661 +tp1662 +a(g229 +g1104 +tp1663 +a(g826 +V\u000a +p1664 +tp1665 +a(g826 +V +p1666 +tp1667 +a(g793 +Vstack +p1668 +tp1669 +a(g826 +g966 +tp1670 +a(g744 +VInteger +p1671 +tp1672 +a(g826 +V\u000a +p1673 +tp1674 +a(g826 +V +p1675 +tp1676 +a(g793 +Vstack +p1677 +tp1678 +a(g826 +g966 +tp1679 +a(g744 +VFloat +p1680 +tp1681 +a(g826 +V\u000a +p1682 +tp1683 +a(g826 +V +p1684 +tp1685 +a(g793 +Vstack +p1686 +tp1687 +a(g826 +g966 +tp1688 +a(g744 +VLong +p1689 +tp1690 +a(g826 +V\u000a +p1691 +tp1692 +a(g826 +V +p1693 +tp1694 +a(g793 +Vstack +p1695 +tp1696 +a(g826 +g966 +tp1697 +a(g744 +VDouble +p1698 +tp1699 +a(g826 +V\u000a +p1700 +tp1701 +a(g826 +V +p1702 +tp1703 +a(g793 +Vstack +p1704 +tp1705 +a(g826 +g966 +tp1706 +a(g744 +VNull +p1707 +tp1708 +a(g826 +V\u000a +p1709 +tp1710 +a(g826 +V +p1711 +tp1712 +a(g793 +Vstack +p1713 +tp1714 +a(g826 +g966 +tp1715 +a(g744 +VUninitializedThis +p1716 +tp1717 +a(g826 +V\u000a +p1718 +tp1719 +a(g826 +V +p1720 +tp1721 +a(g793 +V.end +p1722 +tp1723 +a(g826 +g966 +tp1724 +a(g793 +Vstack +p1725 +tp1726 +a(g826 +V\u000a +p1727 +tp1728 +a(g826 +V +p1729 +tp1730 +a(g793 +V.stack +p1731 +tp1732 +a(g826 +g966 +tp1733 +a(g793 +Vuse +p1734 +tp1735 +a(g826 +g966 +tp1736 +a(g37 +g1360 +tp1737 +a(g826 +g966 +tp1738 +a(g793 +Vlocals +p1739 +tp1740 +a(g826 +V\u000a +p1741 +tp1742 +a(g826 +V +p1743 +tp1744 +a(g793 +Voffset +p1745 +tp1746 +a(g826 +g966 +tp1747 +a(g229 +g1104 +tp1748 +a(g229 +Vextra +p1749 +tp1750 +a(g229 +g1104 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g826 +V +p1754 +tp1755 +a(g793 +V.end +p1756 +tp1757 +a(g826 +g966 +tp1758 +a(g793 +Vstack +p1759 +tp1760 +a(g826 +V\u000a +p1761 +tp1762 +a(g826 +V +p1763 +tp1764 +a(g793 +V.stack +p1765 +tp1766 +a(g826 +g966 +tp1767 +a(g793 +Vuse +p1768 +tp1769 +a(g826 +g966 +tp1770 +a(g793 +Vlocals +p1771 +tp1772 +a(g826 +V\u000a +p1773 +tp1774 +a(g826 +V +p1775 +tp1776 +a(g793 +V.end +p1777 +tp1778 +a(g826 +g966 +tp1779 +a(g793 +Vstack +p1780 +tp1781 +a(g826 +V\u000a +p1782 +tp1783 +a(g793 +V.line +p1784 +tp1785 +a(g826 +g966 +tp1786 +a(g297 +V0xd +p1787 +tp1788 +a(g826 +V\u000a +p1789 +tp1790 +a(g826 +V +p1791 +tp1792 +a(g793 +V.var +p1793 +tp1794 +a(g826 +g966 +tp1795 +a(g37 +g1069 +tp1796 +a(g826 +g966 +tp1797 +a(g793 +Vis +p1798 +tp1799 +a(g826 +g966 +tp1800 +a(g440 +Vargs +p1801 +tp1802 +a(g826 +g966 +tp1803 +a(g705 +g1411 +tp1804 +a(g748 +g1211 +tp1805 +a(g620 +Vjava/lang/ +p1806 +tp1807 +a(g628 +VString +p1808 +tp1809 +a(g705 +g1217 +tp1810 +a(g826 +V\u000a +p1811 +tp1812 +a(g826 +V +p1813 +tp1814 +a(g793 +Vaload_w +p1815 +tp1816 +a(g826 +g966 +tp1817 +a(g37 +g1069 +tp1818 +a(g826 +V\u000a +p1819 +tp1820 +a(g826 +V +p1821 +tp1822 +a(g793 +Varraylength +p1823 +tp1824 +a(g826 +V\u000a +p1825 +tp1826 +a(g826 +V +p1827 +tp1828 +a(g793 +Vifne +p1829 +tp1830 +a(g826 +g966 +tp1831 +a(g596 +V/Input +p1832 +tp1833 +a(g826 +V\u000a +p1834 +tp1835 +a(g826 +V +p1836 +tp1837 +a(g793 +Viconst_1 +p1838 +tp1839 +a(g826 +V\u000a +p1840 +tp1841 +a(g826 +V +p1842 +tp1843 +a(g793 +Vanewarray +p1844 +tp1845 +a(g826 +g966 +tp1846 +a(g620 +Vjava/lang/ +p1847 +tp1848 +a(g628 +VString +p1849 +tp1850 +a(g826 +V\u000a +p1851 +tp1852 +a(g826 +V +p1853 +tp1854 +a(g793 +Vcheckcast +p1855 +tp1856 +a(g826 +g966 +tp1857 +a(g705 +g1411 +tp1858 +a(g748 +g1211 +tp1859 +a(g620 +Vjava/lang/ +p1860 +tp1861 +a(g628 +VString +p1862 +tp1863 +a(g705 +g1217 +tp1864 +a(g826 +V\u000a +p1865 +tp1866 +a(g826 +V +p1867 +tp1868 +a(g793 +Vastore_0 +p1869 +tp1870 +a(g826 +V\u000a +p1871 +tp1872 +a(g826 +V +p1873 +tp1874 +a(g793 +Vaload_0 +p1875 +tp1876 +a(g826 +V\u000a +p1877 +tp1878 +a(g826 +V +p1879 +tp1880 +a(g793 +Viconst_0 +p1881 +tp1882 +a(g826 +V\u000a +p1883 +tp1884 +a(g826 +V +p1885 +tp1886 +a(g793 +Vldc +p1887 +tp1888 +a(g826 +g966 +tp1889 +a(g157 +g1016 +tp1890 +a(g157 +VWorld +p1891 +tp1892 +a(g157 +g1016 +tp1893 +a(g826 +V\u000a +p1894 +tp1895 +a(g826 +V +p1896 +tp1897 +a(g793 +Vdup +p1898 +tp1899 +a(g826 +V\u000a +p1900 +tp1901 +a(g826 +V +p1902 +tp1903 +a(g793 +Vputstatic +p1904 +tp1905 +a(g826 +g966 +tp1906 +a(g628 +VHelloWorld. +p1907 +tp1908 +a(g445 +VdefaultString +p1909 +tp1910 +a(g826 +g966 +tp1911 +a(g748 +g1211 +tp1912 +a(g620 +Vjava/lang/ +p1913 +tp1914 +a(g628 +VString +p1915 +tp1916 +a(g705 +g1217 +tp1917 +a(g826 +V\u000a +p1918 +tp1919 +a(g826 +V +p1920 +tp1921 +a(g793 +Vaastore +p1922 +tp1923 +a(g826 +V\u000a +p1924 +tp1925 +a(g596 +V/Input +p1926 +tp1927 +a(g705 +V: +p1928 +tp1929 +a(g826 +V\u000a +p1930 +tp1931 +a(g826 +V +p1932 +tp1933 +a(g793 +Viconst_2 +p1934 +tp1935 +a(g826 +V\u000a +p1936 +tp1937 +a(g826 +V +p1938 +tp1939 +a(g793 +Viconst_3 +p1940 +tp1941 +a(g826 +V\u000a +p1942 +tp1943 +a(g826 +V +p1944 +tp1945 +a(g793 +Vmultianewarray +p1946 +tp1947 +a(g826 +g966 +tp1948 +a(g705 +V[[ +p1949 +tp1950 +a(g748 +VC +p1951 +tp1952 +a(g826 +g966 +tp1953 +a(g37 +V2 +p1954 +tp1955 +a(g826 +V\u000a +p1956 +tp1957 +a(g826 +V +p1958 +tp1959 +a(g793 +Vastore_1 +p1960 +tp1961 +a(g826 +V\u000a +p1962 +tp1963 +a(g826 +V +p1964 +tp1965 +a(g793 +Vaload_1 +p1966 +tp1967 +a(g826 +V\u000a +p1968 +tp1969 +a(g826 +V +p1970 +tp1971 +a(g793 +Viconst_0 +p1972 +tp1973 +a(g826 +V\u000a +p1974 +tp1975 +a(g826 +V +p1976 +tp1977 +a(g793 +Vaaload +p1978 +tp1979 +a(g826 +V\u000a +p1980 +tp1981 +a(g826 +V +p1982 +tp1983 +a(g793 +Vastore_2 +p1984 +tp1985 +a(g826 +V\u000a +p1986 +tp1987 +a(g826 +V +p1988 +tp1989 +a(g793 +Vaload_1 +p1990 +tp1991 +a(g826 +V\u000a +p1992 +tp1993 +a(g826 +V +p1994 +tp1995 +a(g793 +Viconst_1 +p1996 +tp1997 +a(g826 +V\u000a +p1998 +tp1999 +a(g826 +V +p2000 +tp2001 +a(g793 +Vaaload +p2002 +tp2003 +a(g826 +V\u000a +p2004 +tp2005 +a(g826 +V +p2006 +tp2007 +a(g793 +Vastore_3 +p2008 +tp2009 +a(g826 +V\u000a\u000a +p2010 +tp2011 +a(g596 +V< +p3580 +tp3581 +a(g705 +g1037 +tp3582 +a(g705 +g1039 +tp3583 +a(g748 +g1041 +tp3584 +a(g826 +V\u000a +p3585 +tp3586 +a(g826 +V +p3587 +tp3588 +a(g793 +Vastore +p3589 +tp3590 +a(g826 +g966 +tp3591 +a(g37 +g1069 +tp3592 +a(g826 +V\u000a +p3593 +tp3594 +a(g826 +V +p3595 +tp3596 +a(g793 +Vaload +p3597 +tp3598 +a(g826 +g966 +tp3599 +a(g37 +g1069 +tp3600 +a(g826 +V\u000a +p3601 +tp3602 +a(g826 +V +p3603 +tp3604 +a(g793 +Vmonitorenter +p3605 +tp3606 +a(g826 +V\u000a +p3607 +tp3608 +a(g826 +V +p3609 +tp3610 +a(g793 +Vmonitorexit +p3611 +tp3612 +a(g826 +V\u000a +p3613 +tp3614 +a(g826 +V +p3615 +tp3616 +a(g793 +Vnew +p3617 +tp3618 +a(g826 +g966 +tp3619 +a(g620 +Vjava/lang/ +p3620 +tp3621 +a(g628 +VRuntimeException +p3622 +tp3623 +a(g826 +V\u000a +p3624 +tp3625 +a(g826 +V +p3626 +tp3627 +a(g793 +Vdup +p3628 +tp3629 +a(g826 +V\u000a +p3630 +tp3631 +a(g826 +V +p3632 +tp3633 +a(g793 +Vinvokespecial +p3634 +tp3635 +a(g826 +g966 +tp3636 +a(g620 +Vjava/lang/ +p3637 +tp3638 +a(g628 +VRuntimeException/ +p3639 +tp3640 +a(g573 +V +p3641 +tp3642 +a(g705 +g1037 +tp3643 +a(g705 +g1039 +tp3644 +a(g748 +g1041 +tp3645 +a(g826 +V\u000a +p3646 +tp3647 +a(g826 +V +p3648 +tp3649 +a(g793 +Vathrow +p3650 +tp3651 +a(g826 +V\u000a +p3652 +tp3653 +a(g826 +V +p3654 +tp3655 +a(g793 +Vaconst_null +p3656 +tp3657 +a(g826 +V\u000a +p3658 +tp3659 +a(g596 +V/try +p3660 +tp3661 +a(g705 +g1928 +tp3662 +a(g826 +V\u000a +p3663 +tp3664 +a(g826 +V +p3665 +tp3666 +a(g793 +Vdup +p3667 +tp3668 +a(g826 +V\u000a +p3669 +tp3670 +a(g826 +V +p3671 +tp3672 +a(g793 +Vaconst_null +p3673 +tp3674 +a(g826 +V\u000a +p3675 +tp3676 +a(g826 +V +p3677 +tp3678 +a(g793 +Vif_acmpeq +p3679 +tp3680 +a(g826 +g966 +tp3681 +a(g37 +V$+3 +p3682 +tp3683 +a(g826 +V\u000a +p3684 +tp3685 +a(g826 +V +p3686 +tp3687 +a(g793 +Vareturn +p3688 +tp3689 +a(g826 +V\u000a +p3690 +tp3691 +a(g596 +Vcatch +p3692 +tp3693 +a(g705 +g1928 +tp3694 +a(g826 +V\u000a +p3695 +tp3696 +a(g826 +V +p3697 +tp3698 +a(g793 +Vjsr +p3699 +tp3700 +a(g826 +g966 +tp3701 +a(g37 +V$+10 +p3702 +tp3703 +a(g826 +V\u000a +p3704 +tp3705 +a(g826 +V +p3706 +tp3707 +a(g793 +Vaload_0 +p3708 +tp3709 +a(g826 +V\u000a +p3710 +tp3711 +a(g826 +V +p3712 +tp3713 +a(g793 +Vdup +p3714 +tp3715 +a(g826 +V\u000a +p3716 +tp3717 +a(g826 +V +p3718 +tp3719 +a(g793 +Vaconst_null +p3720 +tp3721 +a(g826 +V\u000a +p3722 +tp3723 +a(g826 +V +p3724 +tp3725 +a(g793 +Vif_acmpne +p3726 +tp3727 +a(g826 +g966 +tp3728 +a(g596 +V/try +p3729 +tp3730 +a(g826 +V\u000a +p3731 +tp3732 +a(g826 +V +p3733 +tp3734 +a(g793 +Vareturn +p3735 +tp3736 +a(g826 +V\u000a +p3737 +tp3738 +a(g826 +V +p3739 +tp3740 +a(g793 +Vastore_1 +p3741 +tp3742 +a(g826 +V\u000a +p3743 +tp3744 +a(g826 +V +p3745 +tp3746 +a(g793 +Vaload_0 +p3747 +tp3748 +a(g826 +V\u000a +p3749 +tp3750 +a(g826 +V +p3751 +tp3752 +a(g793 +Vldc +p3753 +tp3754 +a(g826 +g966 +tp3755 +a(g37 +V10 +p3756 +tp3757 +a(g826 +V\u000a +p3758 +tp3759 +a(g826 +V +p3760 +tp3761 +a(g793 +Vjsr_w +p3762 +tp3763 +a(g826 +g966 +tp3764 +a(g596 +Vfinally +p3765 +tp3766 +a(g826 +V\u000a +p3767 +tp3768 +a(g826 +V +p3769 +tp3770 +a(g793 +Vret +p3771 +tp3772 +a(g826 +g966 +tp3773 +a(g37 +g1360 +tp3774 +a(g826 +V\u000a +p3775 +tp3776 +a(g229 +g1104 +tp3777 +a(g229 +Vsingle +p3778 +tp3779 +a(g213 +V\u005cu0020 +p3780 +tp3781 +a(g229 +Vquoted +p3782 +tp3783 +a(g213 +V\u005cu0020 +p3784 +tp3785 +a(g229 +Vlabel +p3786 +tp3787 +a(g229 +g1104 +tp3788 +a(g705 +g1928 +tp3789 +a(g826 +g966 +tp3790 +a(g8 +V; Messes up [@ below if lexed sloppily +p3791 +tp3792 +a(g826 +V\u000a +p3793 +tp3794 +a(g793 +V.end +p3795 +tp3796 +a(g826 +g966 +tp3797 +a(g793 +Vmethod +p3798 +tp3799 +a(g826 +V\u000a\u000a +p3800 +tp3801 +a(g793 +V.method +p3802 +tp3803 +a(g826 +g966 +tp3804 +a(g793 +Vvarargs +p3805 +tp3806 +a(g826 +g966 +tp3807 +a(g793 +Vprivate +p3808 +tp3809 +a(g826 +g966 +tp3810 +a(g793 +Vstatic +p3811 +tp3812 +a(g826 +g966 +tp3813 +a(g573 +Vint +p3814 +tp3815 +a(g705 +g1037 +tp3816 +a(g705 +g1039 +tp3817 +a(g748 +g1061 +tp3818 +a(g826 +V\u000a +p3819 +tp3820 +a(g826 +V +p3821 +tp3822 +a(g793 +V.annotation +p3823 +tp3824 +a(g826 +g966 +tp3825 +a(g793 +Vinvisible +p3826 +tp3827 +a(g826 +g966 +tp3828 +a(g628 +VHelloWorld +p3829 +tp3830 +a(g826 +V\u000a +p3831 +tp3832 +a(g826 +V +p3833 +tp3834 +a(g236 +V[@ +p3835 +tp3836 +a(g826 +g966 +tp3837 +a(g705 +g1411 +tp3838 +a(g748 +V@ +p3839 +tp3840 +a(g826 +g966 +tp3841 +a(g236 +VWhatIsThis??? +p3842 +tp3843 +a(g826 +g966 +tp3844 +a(g705 +g1066 +tp3845 +a(g826 +g966 +tp3846 +a(g793 +V.annotation +p3847 +tp3848 +a(g826 +g966 +tp3849 +a(g8 +V; name, type, exttype +p3850 +tp3851 +a(g826 +V\u000a +p3852 +tp3853 +a(g826 +V +p3854 +tp3855 +a(g236 +g1061 +tp3856 +a(g826 +g966 +tp3857 +a(g748 +g1061 +tp3858 +a(g826 +g966 +tp3859 +a(g705 +g1066 +tp3860 +a(g826 +g966 +tp3861 +a(g37 +g1360 +tp3862 +a(g826 +g966 +tp3863 +a(g8 +V; name, type +p3864 +tp3865 +a(g826 +V\u000a +p3866 +tp3867 +a(g826 +V +p3868 +tp3869 +a(g236 +Vanother-I +p3870 +tp3871 +a(g826 +g966 +tp3872 +a(g748 +g1061 +tp3873 +a(g826 +g966 +tp3874 +a(g705 +g1066 +tp3875 +a(g826 +g966 +tp3876 +a(g37 +g1954 +tp3877 +a(g826 +V\u000a +p3878 +tp3879 +a(g826 +V +p3880 +tp3881 +a(g236 +VEnum +p3882 +tp3883 +a(g826 +g966 +tp3884 +a(g748 +Ve +p3885 +tp3886 +a(g826 +g966 +tp3887 +a(g236 +VLjava/util/logging/Level; +p3888 +tp3889 +a(g826 +g966 +tp3890 +a(g705 +g1066 +tp3891 +a(g826 +g966 +tp3892 +a(g236 +VFINE +p3893 +tp3894 +a(g826 +V\u000a +p3895 +tp3896 +a(g826 +V +p3897 +tp3898 +a(g793 +V.end +p3899 +tp3900 +a(g826 +g966 +tp3901 +a(g793 +Vannotation +p3902 +tp3903 +a(g826 +V\u000a +p3904 +tp3905 +a(g826 +V +p3906 +tp3907 +a(g793 +V.annotation +p3908 +tp3909 +a(g826 +V\u000a +p3910 +tp3911 +a(g826 +V +p3912 +tp3913 +a(g236 +Vs +p3914 +tp3915 +a(g826 +g966 +tp3916 +a(g748 +g3914 +tp3917 +a(g826 +g966 +tp3918 +a(g705 +g1066 +tp3919 +a(g826 +g966 +tp3920 +a(g157 +g1016 +tp3921 +a(g157 +Vfoo +p3922 +tp3923 +a(g157 +g1016 +tp3924 +a(g826 +V\u000a +p3925 +tp3926 +a(g826 +V +p3927 +tp3928 +a(g236 +Vanother-s +p3929 +tp3930 +a(g826 +g966 +tp3931 +a(g748 +g3914 +tp3932 +a(g826 +g966 +tp3933 +a(g705 +g1066 +tp3934 +a(g826 +g966 +tp3935 +a(g157 +g1016 +tp3936 +a(g157 +Vbar +p3937 +tp3938 +a(g157 +g1016 +tp3939 +a(g826 +V\u000a +p3940 +tp3941 +a(g826 +V +p3942 +tp3943 +a(g236 +VEnum +p3944 +tp3945 +a(g826 +g966 +tp3946 +a(g705 +g1411 +tp3947 +a(g748 +g3885 +tp3948 +a(g826 +g966 +tp3949 +a(g236 +VLjava/util/logging/Level; +p3950 +tp3951 +a(g826 +g966 +tp3952 +a(g705 +g1066 +tp3953 +a(g826 +g966 +tp3954 +a(g236 +VFINE +p3955 +tp3956 +a(g826 +g966 +tp3957 +a(g236 +VFINE +p3958 +tp3959 +a(g826 +g966 +tp3960 +a(g229 +g1104 +tp3961 +a(g229 +VFINE +p3962 +tp3963 +a(g229 +g1104 +tp3964 +a(g826 +g966 +tp3965 +a(g236 +VFINE +p3966 +tp3967 +a(g826 +V\u000a +p3968 +tp3969 +a(g826 +V +p3970 +tp3971 +a(g793 +V.end +p3972 +tp3973 +a(g826 +g966 +tp3974 +a(g793 +Vannotation +p3975 +tp3976 +a(g826 +V\u000a +p3977 +tp3978 +a(g826 +V +p3979 +tp3980 +a(g236 +Vfloat +p3981 +tp3982 +a(g826 +g966 +tp3983 +a(g748 +g2277 +tp3984 +a(g826 +g966 +tp3985 +a(g705 +g1066 +tp3986 +a(g826 +g966 +tp3987 +a(g314 +V123.456 +p3988 +tp3989 +a(g826 +V\u000a +p3990 +tp3991 +a(g826 +V +p3992 +tp3993 +a(g793 +V.end +p3994 +tp3995 +a(g826 +g966 +tp3996 +a(g793 +Vannotation +p3997 +tp3998 +a(g826 +V\u000a +p3999 +tp4000 +a(g826 +V +p4001 +tp4002 +a(g793 +V.annotation +p4003 +tp4004 +a(g826 +g966 +tp4005 +a(g793 +Vvisibleparam +p4006 +tp4007 +a(g826 +g966 +tp4008 +a(g37 +g1360 +tp4009 +a(g826 +g966 +tp4010 +a(g748 +g1211 +tp4011 +a(g628 +VHelloWorld +p4012 +tp4013 +a(g705 +g1217 +tp4014 +a(g826 +V\u000a +p4015 +tp4016 +a(g826 +V +p4017 +tp4018 +a(g236 +Vx +p4019 +tp4020 +a(g826 +g966 +tp4021 +a(g705 +g1411 +tp4022 +a(g748 +g1061 +tp4023 +a(g826 +g966 +tp4024 +a(g705 +g1066 +tp4025 +a(g826 +g966 +tp4026 +a(g297 +V0x01 +p4027 +tp4028 +a(g826 +g966 +tp4029 +a(g297 +V0x02 +p4030 +tp4031 +a(g826 +g966 +tp4032 +a(g297 +V0x03 +p4033 +tp4034 +a(g826 +V\u000a +p4035 +tp4036 +a(g826 +V +p4037 +tp4038 +a(g236 +Vy +p4039 +tp4040 +a(g826 +g966 +tp4041 +a(g748 +g1061 +tp4042 +a(g826 +g966 +tp4043 +a(g705 +g1066 +tp4044 +a(g826 +g966 +tp4045 +a(g37 +g1954 +tp4046 +a(g826 +V\u000a +p4047 +tp4048 +a(g826 +V +p4049 +tp4050 +a(g793 +V.end +p4051 +tp4052 +a(g826 +g966 +tp4053 +a(g793 +Vannotation +p4054 +tp4055 +a(g826 +V\u000a +p4056 +tp4057 +a(g826 +V +p4058 +tp4059 +a(g793 +V.annotation +p4060 +tp4061 +a(g826 +g966 +tp4062 +a(g793 +Vinvisibleparam +p4063 +tp4064 +a(g826 +g966 +tp4065 +a(g37 +V255 +p4066 +tp4067 +a(g826 +g966 +tp4068 +a(g628 +VHelloWorld +p4069 +tp4070 +a(g826 +V\u000a +p4071 +tp4072 +a(g826 +V +p4073 +tp4074 +a(g236 +Va +p4075 +tp4076 +a(g826 +g966 +tp4077 +a(g748 +g2277 +tp4078 +a(g826 +g966 +tp4079 +a(g705 +g1066 +tp4080 +a(g826 +g966 +tp4081 +a(g314 +V1.2 +p4082 +tp4083 +a(g826 +V\u000a +p4084 +tp4085 +a(g826 +V +p4086 +tp4087 +a(g236 +Vb +p4088 +tp4089 +a(g826 +g966 +tp4090 +a(g748 +g2095 +tp4091 +a(g826 +g966 +tp4092 +a(g705 +g1066 +tp4093 +a(g826 +g966 +tp4094 +a(g314 +V3.4 +p4095 +tp4096 +a(g826 +V\u000a +p4097 +tp4098 +a(g826 +V +p4099 +tp4100 +a(g793 +V.end +p4101 +tp4102 +a(g826 +g966 +tp4103 +a(g793 +Vannotation +p4104 +tp4105 +a(g826 +V\u000a +p4106 +tp4107 +a(g826 +V +p4108 +tp4109 +a(g793 +V.annotation +p4110 +tp4111 +a(g826 +g966 +tp4112 +a(g793 +Vdefault +p4113 +tp4114 +a(g826 +V\u000a +p4115 +tp4116 +a(g826 +V +p4117 +tp4118 +a(g748 +g1061 +tp4119 +a(g826 +g966 +tp4120 +a(g705 +g1066 +tp4121 +a(g826 +g966 +tp4122 +a(g37 +g1069 +tp4123 +a(g826 +V\u000a +p4124 +tp4125 +a(g826 +V +p4126 +tp4127 +a(g793 +V.end +p4128 +tp4129 +a(g826 +g966 +tp4130 +a(g793 +Vannotation +p4131 +tp4132 +a(g826 +V\u000a +p4133 +tp4134 +a(g826 +V +p4135 +tp4136 +a(g793 +V.limit +p4137 +tp4138 +a(g826 +g966 +tp4139 +a(g793 +Vlocals +p4140 +tp4141 +a(g826 +g966 +tp4142 +a(g37 +g2581 +tp4143 +a(g826 +V\u000a +p4144 +tp4145 +a(g826 +V +p4146 +tp4147 +a(g793 +V.limit +p4148 +tp4149 +a(g826 +g966 +tp4150 +a(g793 +Vstack +p4151 +tp4152 +a(g826 +g966 +tp4153 +a(g37 +V20 +p4154 +tp4155 +a(g826 +V\u000a +p4156 +tp4157 +a(g826 +V +p4158 +tp4159 +a(g793 +Viconst_1 +p4160 +tp4161 +a(g826 +V\u000a +p4162 +tp4163 +a(g826 +V +p4164 +tp4165 +a(g793 +Vnewarray +p4166 +tp4167 +a(g826 +g966 +tp4168 +a(g748 +Vint +p4169 +tp4170 +a(g826 +V\u000a +p4171 +tp4172 +a(g826 +V +p4173 +tp4174 +a(g793 +Vdup +p4175 +tp4176 +a(g826 +V\u000a +p4177 +tp4178 +a(g826 +V +p4179 +tp4180 +a(g793 +Vdup +p4181 +tp4182 +a(g826 +V\u000a +p4183 +tp4184 +a(g826 +V +p4185 +tp4186 +a(g793 +Vinstanceof +p4187 +tp4188 +a(g826 +g966 +tp4189 +a(g705 +g1411 +tp4190 +a(g748 +g3186 +tp4191 +a(g826 +V\u000a +p4192 +tp4193 +a(g826 +V +p4194 +tp4195 +a(g793 +Vbipush +p4196 +tp4197 +a(g826 +g966 +tp4198 +a(g297 +V0x9 +p4199 +tp4200 +a(g826 +V\u000a +p4201 +tp4202 +a(g826 +V +p4203 +tp4204 +a(g793 +Vbipush +p4205 +tp4206 +a(g826 +g966 +tp4207 +a(g297 +V0xB +p4208 +tp4209 +a(g826 +V\u000a +p4210 +tp4211 +a(g826 +V +p4212 +tp4213 +a(g793 +Viand +p4214 +tp4215 +a(g826 +V\u000a +p4216 +tp4217 +a(g826 +V +p4218 +tp4219 +a(g793 +Viconst_5 +p4220 +tp4221 +a(g826 +V\u000a +p4222 +tp4223 +a(g826 +V +p4224 +tp4225 +a(g793 +Viconst_4 +p4226 +tp4227 +a(g826 +V\u000a +p4228 +tp4229 +a(g826 +V +p4230 +tp4231 +a(g793 +Vdup_x1 +p4232 +tp4233 +a(g826 +V\u000a +p4234 +tp4235 +a(g826 +V +p4236 +tp4237 +a(g793 +Viconst_m1 +p4238 +tp4239 +a(g826 +V\u000a +p4240 +tp4241 +a(g826 +V +p4242 +tp4243 +a(g793 +Viadd +p4244 +tp4245 +a(g826 +V\u000a +p4246 +tp4247 +a(g826 +V +p4248 +tp4249 +a(g793 +Vbipush +p4250 +tp4251 +a(g826 +g966 +tp4252 +a(g37 +V+-111 +p4253 +tp4254 +a(g826 +V\u000a +p4255 +tp4256 +a(g826 +V +p4257 +tp4258 +a(g793 +Vineg +p4259 +tp4260 +a(g826 +V\u000a +p4261 +tp4262 +a(g826 +V +p4263 +tp4264 +a(g793 +Vswap +p4265 +tp4266 +a(g826 +V\u000a +p4267 +tp4268 +a(g826 +V +p4269 +tp4270 +a(g793 +Vidiv +p4271 +tp4272 +a(g826 +V\u000a +p4273 +tp4274 +a(g826 +V +p4275 +tp4276 +a(g793 +Vdup_x2 +p4277 +tp4278 +a(g826 +V\u000a +p4279 +tp4280 +a(g826 +V +p4281 +tp4282 +a(g793 +Vdup +p4283 +tp4284 +a(g826 +V\u000a +p4285 +tp4286 +a(g826 +V +p4287 +tp4288 +a(g793 +Vishr +p4289 +tp4290 +a(g826 +V\u000a +p4291 +tp4292 +a(g826 +V +p4293 +tp4294 +a(g793 +Vishl +p4295 +tp4296 +a(g826 +V\u000a +p4297 +tp4298 +a(g826 +V +p4299 +tp4300 +a(g793 +Vimul +p4301 +tp4302 +a(g826 +V\u000a +p4303 +tp4304 +a(g826 +V +p4305 +tp4306 +a(g793 +Vior +p4307 +tp4308 +a(g826 +V\u000a +p4309 +tp4310 +a(g826 +V +p4311 +tp4312 +a(g793 +Vbipush +p4313 +tp4314 +a(g826 +g966 +tp4315 +a(g37 +V-73 +p4316 +tp4317 +a(g826 +V\u000a +p4318 +tp4319 +a(g826 +V +p4320 +tp4321 +a(g793 +Vixor +p4322 +tp4323 +a(g826 +V\u000a +p4324 +tp4325 +a(g826 +V +p4326 +tp4327 +a(g793 +Visub +p4328 +tp4329 +a(g826 +V\u000a +p4330 +tp4331 +a(g826 +V +p4332 +tp4333 +a(g793 +Vdup +p4334 +tp4335 +a(g826 +V\u000a +p4336 +tp4337 +a(g826 +V +p4338 +tp4339 +a(g793 +Viconst_1 +p4340 +tp4341 +a(g826 +V\u000a +p4342 +tp4343 +a(g826 +V +p4344 +tp4345 +a(g793 +Viadd +p4346 +tp4347 +a(g826 +V\u000a +p4348 +tp4349 +a(g826 +V +p4350 +tp4351 +a(g793 +Virem +p4352 +tp4353 +a(g826 +V\u000a +p4354 +tp4355 +a(g826 +V +p4356 +tp4357 +a(g793 +Viastore +p4358 +tp4359 +a(g826 +V\u000a +p4360 +tp4361 +a(g826 +V +p4362 +tp4363 +a(g793 +Viconst_0 +p4364 +tp4365 +a(g826 +V\u000a +p4366 +tp4367 +a(g826 +V +p4368 +tp4369 +a(g793 +Viaload +p4370 +tp4371 +a(g826 +V\u000a +p4372 +tp4373 +a(g826 +V +p4374 +tp4375 +a(g793 +Vistore_0 +p4376 +tp4377 +a(g826 +V\u000a +p4378 +tp4379 +a(g826 +V +p4380 +tp4381 +a(g793 +Viload_0 +p4382 +tp4383 +a(g826 +V\u000a +p4384 +tp4385 +a(g826 +V +p4386 +tp4387 +a(g793 +Vistore_1 +p4388 +tp4389 +a(g826 +V\u000a +p4390 +tp4391 +a(g826 +V +p4392 +tp4393 +a(g793 +Viload_1 +p4394 +tp4395 +a(g826 +V\u000a +p4396 +tp4397 +a(g826 +V +p4398 +tp4399 +a(g793 +Vistore_2 +p4400 +tp4401 +a(g826 +V\u000a +p4402 +tp4403 +a(g826 +V +p4404 +tp4405 +a(g793 +Viload_2 +p4406 +tp4407 +a(g826 +V\u000a +p4408 +tp4409 +a(g826 +V +p4410 +tp4411 +a(g793 +Vistore_3 +p4412 +tp4413 +a(g826 +V\u000a +p4414 +tp4415 +a(g826 +V +p4416 +tp4417 +a(g793 +Viload_3 +p4418 +tp4419 +a(g826 +V\u000a +p4420 +tp4421 +a(g826 +V +p4422 +tp4423 +a(g793 +Vdup +p4424 +tp4425 +a(g826 +V\u000a +p4426 +tp4427 +a(g826 +V +p4428 +tp4429 +a(g793 +Vdup +p4430 +tp4431 +a(g826 +V\u000a +p4432 +tp4433 +a(g826 +V +p4434 +tp4435 +a(g793 +Vdup2_x1 +p4436 +tp4437 +a(g826 +V\u000a +p4438 +tp4439 +a(g826 +V +p4440 +tp4441 +a(g793 +Vif_icmpeq +p4442 +tp4443 +a(g826 +g966 +tp4444 +a(g37 +V$+33 +p4445 +tp4446 +a(g826 +V\u000a +p4447 +tp4448 +a(g826 +V +p4449 +tp4450 +a(g793 +Vdup +p4451 +tp4452 +a(g826 +V\u000a +p4453 +tp4454 +a(g826 +V +p4455 +tp4456 +a(g793 +Vdup +p4457 +tp4458 +a(g826 +V\u000a +p4459 +tp4460 +a(g826 +V +p4461 +tp4462 +a(g793 +Vif_icmpge +p4463 +tp4464 +a(g826 +g966 +tp4465 +a(g37 +V$+28 +p4466 +tp4467 +a(g826 +V\u000a +p4468 +tp4469 +a(g826 +V +p4470 +tp4471 +a(g793 +Vdup +p4472 +tp4473 +a(g826 +V\u000a +p4474 +tp4475 +a(g826 +V +p4476 +tp4477 +a(g793 +Vdup +p4478 +tp4479 +a(g826 +V\u000a +p4480 +tp4481 +a(g826 +V +p4482 +tp4483 +a(g793 +Vif_icmple +p4484 +tp4485 +a(g826 +g966 +tp4486 +a(g37 +V$+23 +p4487 +tp4488 +a(g826 +V\u000a +p4489 +tp4490 +a(g826 +V +p4491 +tp4492 +a(g793 +Vdup +p4493 +tp4494 +a(g826 +V\u000a +p4495 +tp4496 +a(g826 +V +p4497 +tp4498 +a(g793 +Vifle +p4499 +tp4500 +a(g826 +g966 +tp4501 +a(g37 +V$+19 +p4502 +tp4503 +a(g826 +V\u000a +p4504 +tp4505 +a(g826 +V +p4506 +tp4507 +a(g793 +Vdup +p4508 +tp4509 +a(g826 +V\u000a +p4510 +tp4511 +a(g826 +V +p4512 +tp4513 +a(g793 +Vifeq +p4514 +tp4515 +a(g826 +g966 +tp4516 +a(g37 +V$+15 +p4517 +tp4518 +a(g826 +V\u000a +p4519 +tp4520 +a(g826 +V +p4521 +tp4522 +a(g793 +Vdup +p4523 +tp4524 +a(g826 +V\u000a +p4525 +tp4526 +a(g826 +V +p4527 +tp4528 +a(g793 +Viflt +p4529 +tp4530 +a(g826 +g966 +tp4531 +a(g37 +V$+11 +p4532 +tp4533 +a(g826 +V\u000a +p4534 +tp4535 +a(g826 +V +p4536 +tp4537 +a(g793 +Vdup +p4538 +tp4539 +a(g826 +V\u000a +p4540 +tp4541 +a(g826 +V +p4542 +tp4543 +a(g793 +Vifgt +p4544 +tp4545 +a(g826 +g966 +tp4546 +a(g37 +V$+7 +p4547 +tp4548 +a(g826 +V\u000a +p4549 +tp4550 +a(g826 +V +p4551 +tp4552 +a(g793 +Vdup +p4553 +tp4554 +a(g826 +V\u000a +p4555 +tp4556 +a(g826 +V +p4557 +tp4558 +a(g793 +Vifge +p4559 +tp4560 +a(g826 +g966 +tp4561 +a(g37 +V$+3 +p4562 +tp4563 +a(g826 +V\u000a +p4564 +tp4565 +a(g826 +V +p4566 +tp4567 +a(g793 +Vireturn +p4568 +tp4569 +a(g826 +V\u000a +p4570 +tp4571 +a(g793 +V.end +p4572 +tp4573 +a(g826 +g966 +tp4574 +a(g793 +Vmethod +p4575 +tp4576 +a(g826 +V\u000a\u000a +p4577 +tp4578 +a(g793 +V.method +p4579 +tp4580 +a(g826 +g966 +tp4581 +a(g793 +Vstatic +p4582 +tp4583 +a(g826 +g966 +tp4584 +a(g793 +Vprivate +p4585 +tp4586 +a(g826 +g966 +tp4587 +a(g793 +Vfpstrict +p4588 +tp4589 +a(g826 +g966 +tp4590 +a(g573 +Vdouble +p4591 +tp4592 +a(g705 +g1037 +tp4593 +a(g705 +g1039 +tp4594 +a(g748 +g2095 +tp4595 +a(g826 +V\u000a +p4596 +tp4597 +a(g826 +V +p4598 +tp4599 +a(g793 +V.limit +p4600 +tp4601 +a(g826 +g966 +tp4602 +a(g793 +Vlocals +p4603 +tp4604 +a(g826 +g966 +tp4605 +a(g37 +g1330 +tp4606 +a(g826 +V\u000a +p4607 +tp4608 +a(g826 +V +p4609 +tp4610 +a(g793 +V.limit +p4611 +tp4612 +a(g826 +g966 +tp4613 +a(g793 +Vstack +p4614 +tp4615 +a(g826 +g966 +tp4616 +a(g37 +V11 +p4617 +tp4618 +a(g826 +V\u000a +p4619 +tp4620 +a(g826 +V +p4621 +tp4622 +a(g793 +Vdconst_1 +p4623 +tp4624 +a(g826 +V\u000a +p4625 +tp4626 +a(g826 +V +p4627 +tp4628 +a(g793 +Vdconst_0 +p4629 +tp4630 +a(g826 +V\u000a +p4631 +tp4632 +a(g826 +V +p4633 +tp4634 +a(g793 +Vdcmpg +p4635 +tp4636 +a(g826 +V\u000a +p4637 +tp4638 +a(g826 +V +p4639 +tp4640 +a(g793 +Vnewarray +p4641 +tp4642 +a(g826 +g966 +tp4643 +a(g748 +Vdouble +p4644 +tp4645 +a(g826 +V\u000a +p4646 +tp4647 +a(g826 +V +p4648 +tp4649 +a(g793 +Vdup +p4650 +tp4651 +a(g826 +V\u000a +p4652 +tp4653 +a(g826 +V +p4654 +tp4655 +a(g793 +Vdconst_0 +p4656 +tp4657 +a(g826 +V\u000a +p4658 +tp4659 +a(g826 +V +p4660 +tp4661 +a(g793 +Vdup2 +p4662 +tp4663 +a(g826 +V\u000a +p4664 +tp4665 +a(g826 +V +p4666 +tp4667 +a(g793 +Vdcmpl +p4668 +tp4669 +a(g826 +V\u000a +p4670 +tp4671 +a(g826 +V +p4672 +tp4673 +a(g793 +Vldc2_w +p4674 +tp4675 +a(g826 +g966 +tp4676 +a(g314 +V128. +p4677 +tp4678 +a(g826 +V\u000a +p4679 +tp4680 +a(g826 +V +p4681 +tp4682 +a(g793 +Vldc2_w +p4683 +tp4684 +a(g826 +g966 +tp4685 +a(g314 +V-240.221d +p4686 +tp4687 +a(g826 +V\u000a +p4688 +tp4689 +a(g826 +V +p4690 +tp4691 +a(g793 +Vdneg +p4692 +tp4693 +a(g826 +V\u000a +p4694 +tp4695 +a(g826 +V +p4696 +tp4697 +a(g793 +Vldc2_w +p4698 +tp4699 +a(g826 +g966 +tp4700 +a(g314 +V158.d +p4701 +tp4702 +a(g826 +V\u000a +p4703 +tp4704 +a(g826 +V +p4705 +tp4706 +a(g793 +Vdup2 +p4707 +tp4708 +a(g826 +V\u000a +p4709 +tp4710 +a(g826 +V +p4711 +tp4712 +a(g793 +Vdadd +p4713 +tp4714 +a(g826 +V\u000a +p4715 +tp4716 +a(g826 +V +p4717 +tp4718 +a(g793 +Vdup2_x2 +p4719 +tp4720 +a(g826 +V\u000a +p4721 +tp4722 +a(g826 +V +p4723 +tp4724 +a(g793 +Vdrem +p4725 +tp4726 +a(g826 +V\u000a +p4727 +tp4728 +a(g826 +V +p4729 +tp4730 +a(g793 +Vddiv +p4731 +tp4732 +a(g826 +V\u000a +p4733 +tp4734 +a(g826 +V +p4735 +tp4736 +a(g793 +Vpop2 +p4737 +tp4738 +a(g826 +V\u000a +p4739 +tp4740 +a(g826 +V +p4741 +tp4742 +a(g793 +Vdconst_1 +p4743 +tp4744 +a(g826 +V\u000a +p4745 +tp4746 +a(g826 +V +p4747 +tp4748 +a(g793 +Vdmul +p4749 +tp4750 +a(g826 +V\u000a +p4751 +tp4752 +a(g826 +V +p4753 +tp4754 +a(g793 +Vd2f +p4755 +tp4756 +a(g826 +V\u000a +p4757 +tp4758 +a(g826 +V +p4759 +tp4760 +a(g793 +Vf2d +p4761 +tp4762 +a(g826 +V\u000a +p4763 +tp4764 +a(g826 +V +p4765 +tp4766 +a(g793 +Vd2l +p4767 +tp4768 +a(g826 +V\u000a +p4769 +tp4770 +a(g826 +V +p4771 +tp4772 +a(g793 +Vl2i +p4773 +tp4774 +a(g826 +V\u000a +p4775 +tp4776 +a(g826 +V +p4777 +tp4778 +a(g793 +Viconst_2 +p4779 +tp4780 +a(g826 +V\u000a +p4781 +tp4782 +a(g826 +V +p4783 +tp4784 +a(g793 +Viushr +p4785 +tp4786 +a(g826 +V\u000a +p4787 +tp4788 +a(g826 +V +p4789 +tp4790 +a(g793 +Vi2d +p4791 +tp4792 +a(g826 +V\u000a +p4793 +tp4794 +a(g826 +V +p4795 +tp4796 +a(g793 +Vdastore +p4797 +tp4798 +a(g826 +V\u000a +p4799 +tp4800 +a(g826 +V +p4801 +tp4802 +a(g793 +Viconst_0 +p4803 +tp4804 +a(g826 +V\u000a +p4805 +tp4806 +a(g826 +V +p4807 +tp4808 +a(g793 +Vdaload +p4809 +tp4810 +a(g826 +V\u000a +p4811 +tp4812 +a(g826 +V +p4813 +tp4814 +a(g793 +Vdstore_0 +p4815 +tp4816 +a(g826 +V\u000a +p4817 +tp4818 +a(g826 +V +p4819 +tp4820 +a(g793 +Vdload_0 +p4821 +tp4822 +a(g826 +V\u000a +p4823 +tp4824 +a(g826 +V +p4825 +tp4826 +a(g793 +Vdstore_1 +p4827 +tp4828 +a(g826 +V\u000a +p4829 +tp4830 +a(g826 +V +p4831 +tp4832 +a(g793 +Vdload_1 +p4833 +tp4834 +a(g826 +V\u000a +p4835 +tp4836 +a(g826 +V +p4837 +tp4838 +a(g793 +Vdstore_2 +p4839 +tp4840 +a(g826 +V\u000a +p4841 +tp4842 +a(g826 +V +p4843 +tp4844 +a(g793 +Vdload_2 +p4845 +tp4846 +a(g826 +V\u000a +p4847 +tp4848 +a(g826 +V +p4849 +tp4850 +a(g793 +Vdstore_3 +p4851 +tp4852 +a(g826 +V\u000a +p4853 +tp4854 +a(g826 +V +p4855 +tp4856 +a(g793 +Vdload_3 +p4857 +tp4858 +a(g826 +V\u000a +p4859 +tp4860 +a(g826 +V +p4861 +tp4862 +a(g793 +Vdstore +p4863 +tp4864 +a(g826 +g966 +tp4865 +a(g37 +g2581 +tp4866 +a(g826 +V\u000a +p4867 +tp4868 +a(g826 +V +p4869 +tp4870 +a(g793 +Vdload +p4871 +tp4872 +a(g826 +g966 +tp4873 +a(g37 +g2581 +tp4874 +a(g826 +V\u000a +p4875 +tp4876 +a(g826 +V +p4877 +tp4878 +a(g793 +Vdstore_w +p4879 +tp4880 +a(g826 +g966 +tp4881 +a(g37 +g2595 +tp4882 +a(g826 +V\u000a +p4883 +tp4884 +a(g826 +V +p4885 +tp4886 +a(g793 +Vdload_w +p4887 +tp4888 +a(g826 +g966 +tp4889 +a(g37 +g2595 +tp4890 +a(g826 +V\u000a +p4891 +tp4892 +a(g826 +V +p4893 +tp4894 +a(g793 +Vdreturn +p4895 +tp4896 +a(g826 +V\u000a +p4897 +tp4898 +a(g793 +V.end +p4899 +tp4900 +a(g826 +g966 +tp4901 +a(g793 +Vmethod +p4902 +tp4903 +a(g826 +V\u000a\u000a +p4904 +tp4905 +a(g793 +V.method +p4906 +tp4907 +a(g826 +g966 +tp4908 +a(g793 +Vstatic +p4909 +tp4910 +a(g826 +g966 +tp4911 +a(g573 +Vlong +p4912 +tp4913 +a(g705 +g1037 +tp4914 +a(g705 +g1039 +tp4915 +a(g748 +g1177 +tp4916 +a(g826 +V\u000a +p4917 +tp4918 +a(g826 +V +p4919 +tp4920 +a(g793 +V.limit +p4921 +tp4922 +a(g826 +g966 +tp4923 +a(g793 +Vlocals +p4924 +tp4925 +a(g826 +g966 +tp4926 +a(g37 +g1330 +tp4927 +a(g826 +V\u000a +p4928 +tp4929 +a(g826 +V +p4930 +tp4931 +a(g793 +V.limit +p4932 +tp4933 +a(g826 +g966 +tp4934 +a(g793 +Vstack +p4935 +tp4936 +a(g826 +g966 +tp4937 +a(g37 +V11 +p4938 +tp4939 +a(g826 +V\u000a +p4940 +tp4941 +a(g826 +V +p4942 +tp4943 +a(g793 +Viconst_1 +p4944 +tp4945 +a(g826 +V\u000a +p4946 +tp4947 +a(g826 +V +p4948 +tp4949 +a(g793 +Vnewarray +p4950 +tp4951 +a(g826 +g966 +tp4952 +a(g748 +Vlong +p4953 +tp4954 +a(g826 +V\u000a +p4955 +tp4956 +a(g826 +V +p4957 +tp4958 +a(g793 +Vdup +p4959 +tp4960 +a(g826 +V\u000a +p4961 +tp4962 +a(g826 +V +p4963 +tp4964 +a(g793 +Viconst_0 +p4965 +tp4966 +a(g826 +V\u000a +p4967 +tp4968 +a(g826 +V +p4969 +tp4970 +a(g793 +Vldc2_w +p4971 +tp4972 +a(g826 +g966 +tp4973 +a(g37 +V5718613688 +p4974 +tp4975 +a(g826 +V\u000a +p4976 +tp4977 +a(g826 +V +p4978 +tp4979 +a(g793 +Vldc2_w +p4980 +tp4981 +a(g826 +g966 +tp4982 +a(g37 +V3143486100 +p4983 +tp4984 +a(g826 +V\u000a +p4985 +tp4986 +a(g826 +V +p4987 +tp4988 +a(g793 +Vldc2_w +p4989 +tp4990 +a(g826 +g966 +tp4991 +a(g297 +V0x3 +p4992 +tp4993 +a(g826 +V\u000a +p4994 +tp4995 +a(g826 +V +p4996 +tp4997 +a(g793 +Vldiv +p4998 +tp4999 +a(g826 +V\u000a +p5000 +tp5001 +a(g826 +V +p5002 +tp5003 +a(g793 +Vlmul +p5004 +tp5005 +a(g826 +V\u000a +p5006 +tp5007 +a(g826 +V +p5008 +tp5009 +a(g793 +Vldc2_w +p5010 +tp5011 +a(g826 +g966 +tp5012 +a(g37 +V-10000000000 +p5013 +tp5014 +a(g826 +V\u000a +p5015 +tp5016 +a(g826 +V +p5017 +tp5018 +a(g793 +Vlrem +p5019 +tp5020 +a(g826 +V\u000a +p5021 +tp5022 +a(g826 +V +p5023 +tp5024 +a(g793 +Vldc_w +p5025 +tp5026 +a(g826 +g966 +tp5027 +a(g297 +V0x60 +p5028 +tp5029 +a(g826 +V\u000a +p5030 +tp5031 +a(g826 +V +p5032 +tp5033 +a(g793 +Vi2l +p5034 +tp5035 +a(g826 +V\u000a +p5036 +tp5037 +a(g826 +V +p5038 +tp5039 +a(g793 +Vlor +p5040 +tp5041 +a(g826 +V\u000a +p5042 +tp5043 +a(g826 +V +p5044 +tp5045 +a(g793 +Vldc +p5046 +tp5047 +a(g826 +g966 +tp5048 +a(g297 +V0x33 +p5049 +tp5050 +a(g826 +V\u000a +p5051 +tp5052 +a(g826 +V +p5053 +tp5054 +a(g793 +Vi2l +p5055 +tp5056 +a(g826 +V\u000a +p5057 +tp5058 +a(g826 +V +p5059 +tp5060 +a(g793 +Vland +p5061 +tp5062 +a(g826 +V\u000a +p5063 +tp5064 +a(g826 +V +p5065 +tp5066 +a(g793 +Vdup2 +p5067 +tp5068 +a(g826 +V\u000a +p5069 +tp5070 +a(g826 +V +p5071 +tp5072 +a(g793 +Viconst_1 +p5073 +tp5074 +a(g826 +V\u000a +p5075 +tp5076 +a(g826 +V +p5077 +tp5078 +a(g793 +Vlshl +p5079 +tp5080 +a(g826 +V\u000a +p5081 +tp5082 +a(g826 +V +p5083 +tp5084 +a(g793 +Viconst_3 +p5085 +tp5086 +a(g826 +V\u000a +p5087 +tp5088 +a(g826 +V +p5089 +tp5090 +a(g793 +Vlshr +p5091 +tp5092 +a(g826 +V\u000a +p5093 +tp5094 +a(g826 +V +p5095 +tp5096 +a(g793 +Viconst_3 +p5097 +tp5098 +a(g826 +V\u000a +p5099 +tp5100 +a(g826 +V +p5101 +tp5102 +a(g793 +Vlushr +p5103 +tp5104 +a(g826 +V\u000a +p5105 +tp5106 +a(g826 +V +p5107 +tp5108 +a(g793 +Vladd +p5109 +tp5110 +a(g826 +V\u000a +p5111 +tp5112 +a(g826 +V +p5113 +tp5114 +a(g793 +Vl2d +p5115 +tp5116 +a(g826 +V\u000a +p5117 +tp5118 +a(g826 +V +p5119 +tp5120 +a(g793 +Vd2l +p5121 +tp5122 +a(g826 +V\u000a +p5123 +tp5124 +a(g826 +V +p5125 +tp5126 +a(g793 +Vl2f +p5127 +tp5128 +a(g826 +V\u000a +p5129 +tp5130 +a(g826 +V +p5131 +tp5132 +a(g793 +Vf2l +p5133 +tp5134 +a(g826 +V\u000a +p5135 +tp5136 +a(g826 +V +p5137 +tp5138 +a(g793 +Vlastore +p5139 +tp5140 +a(g826 +V\u000a +p5141 +tp5142 +a(g826 +V +p5143 +tp5144 +a(g793 +Viconst_0 +p5145 +tp5146 +a(g826 +V\u000a +p5147 +tp5148 +a(g826 +V +p5149 +tp5150 +a(g793 +Vlaload +p5151 +tp5152 +a(g826 +V\u000a +p5153 +tp5154 +a(g826 +V +p5155 +tp5156 +a(g793 +Vlstore_0 +p5157 +tp5158 +a(g826 +V\u000a +p5159 +tp5160 +a(g826 +V +p5161 +tp5162 +a(g793 +Vlload_0 +p5163 +tp5164 +a(g826 +V\u000a +p5165 +tp5166 +a(g826 +V +p5167 +tp5168 +a(g793 +Vlstore_1 +p5169 +tp5170 +a(g826 +V\u000a +p5171 +tp5172 +a(g826 +V +p5173 +tp5174 +a(g793 +Vlload_1 +p5175 +tp5176 +a(g826 +V\u000a +p5177 +tp5178 +a(g826 +V +p5179 +tp5180 +a(g793 +Vlstore_2 +p5181 +tp5182 +a(g826 +V\u000a +p5183 +tp5184 +a(g826 +V +p5185 +tp5186 +a(g793 +Vlload_2 +p5187 +tp5188 +a(g826 +V\u000a +p5189 +tp5190 +a(g826 +V +p5191 +tp5192 +a(g793 +Vlstore_3 +p5193 +tp5194 +a(g826 +V\u000a +p5195 +tp5196 +a(g826 +V +p5197 +tp5198 +a(g793 +Vlload_3 +p5199 +tp5200 +a(g826 +V\u000a +p5201 +tp5202 +a(g826 +V +p5203 +tp5204 +a(g793 +Vlstore +p5205 +tp5206 +a(g826 +g966 +tp5207 +a(g37 +g2581 +tp5208 +a(g826 +V\u000a +p5209 +tp5210 +a(g826 +V +p5211 +tp5212 +a(g793 +Vlload +p5213 +tp5214 +a(g826 +g966 +tp5215 +a(g37 +g2581 +tp5216 +a(g826 +V\u000a +p5217 +tp5218 +a(g826 +V +p5219 +tp5220 +a(g793 +Vlstore_w +p5221 +tp5222 +a(g826 +g966 +tp5223 +a(g37 +g2595 +tp5224 +a(g826 +V\u000a +p5225 +tp5226 +a(g826 +V +p5227 +tp5228 +a(g793 +Vlload_w +p5229 +tp5230 +a(g826 +g966 +tp5231 +a(g37 +g2595 +tp5232 +a(g826 +V\u000a +p5233 +tp5234 +a(g826 +V +p5235 +tp5236 +a(g793 +Vlreturn +p5237 +tp5238 +a(g826 +V\u000a +p5239 +tp5240 +a(g793 +V.end +p5241 +tp5242 +a(g826 +g966 +tp5243 +a(g793 +Vmethod +p5244 +tp5245 +a(g826 +V\u000a\u000a +p5246 +tp5247 +a(g793 +V.method +p5248 +tp5249 +a(g826 +g966 +tp5250 +a(g793 +Vprivate +p5251 +tp5252 +a(g826 +g966 +tp5253 +a(g793 +Vstatic +p5254 +tp5255 +a(g826 +g966 +tp5256 +a(g573 +Vfloat +p5257 +tp5258 +a(g705 +g1037 +tp5259 +a(g748 +g2277 +tp5260 +a(g705 +g1039 +tp5261 +a(g748 +g2277 +tp5262 +a(g826 +V\u000a +p5263 +tp5264 +a(g826 +V +p5265 +tp5266 +a(g793 +V.limit +p5267 +tp5268 +a(g826 +g966 +tp5269 +a(g793 +Vlocals +p5270 +tp5271 +a(g826 +g966 +tp5272 +a(g37 +g2975 +tp5273 +a(g826 +V\u000a +p5274 +tp5275 +a(g826 +V +p5276 +tp5277 +a(g793 +V.limit +p5278 +tp5279 +a(g826 +g966 +tp5280 +a(g793 +Vstack +p5281 +tp5282 +a(g826 +g966 +tp5283 +a(g37 +V9 +p5284 +tp5285 +a(g826 +V\u000a +p5286 +tp5287 +a(g826 +V +p5288 +tp5289 +a(g793 +Viconst_1 +p5290 +tp5291 +a(g826 +V\u000a +p5292 +tp5293 +a(g826 +V +p5294 +tp5295 +a(g793 +Vnewarray +p5296 +tp5297 +a(g826 +g966 +tp5298 +a(g748 +Vfloat +p5299 +tp5300 +a(g826 +V\u000a +p5301 +tp5302 +a(g826 +V +p5303 +tp5304 +a(g793 +Vdup +p5305 +tp5306 +a(g826 +V\u000a +p5307 +tp5308 +a(g826 +V +p5309 +tp5310 +a(g793 +Vfload_0 +p5311 +tp5312 +a(g826 +V\u000a +p5313 +tp5314 +a(g826 +V +p5315 +tp5316 +a(g793 +Vdup +p5317 +tp5318 +a(g826 +V\u000a +p5319 +tp5320 +a(g826 +V +p5321 +tp5322 +a(g793 +Vfcmpg +p5323 +tp5324 +a(g826 +V\u000a +p5325 +tp5326 +a(g826 +V +p5327 +tp5328 +a(g793 +Vfload_0 +p5329 +tp5330 +a(g826 +V\u000a +p5331 +tp5332 +a(g826 +V +p5333 +tp5334 +a(g793 +Vdup +p5335 +tp5336 +a(g826 +V\u000a +p5337 +tp5338 +a(g826 +V +p5339 +tp5340 +a(g793 +Vdup +p5341 +tp5342 +a(g826 +V\u000a +p5343 +tp5344 +a(g826 +V +p5345 +tp5346 +a(g793 +Vdup +p5347 +tp5348 +a(g826 +V\u000a +p5349 +tp5350 +a(g826 +V +p5351 +tp5352 +a(g793 +Vdup2_x2 +p5353 +tp5354 +a(g826 +V\u000a +p5355 +tp5356 +a(g826 +V +p5357 +tp5358 +a(g793 +Vfadd +p5359 +tp5360 +a(g826 +V\u000a +p5361 +tp5362 +a(g826 +V +p5363 +tp5364 +a(g793 +Vfsub +p5365 +tp5366 +a(g826 +V\u000a +p5367 +tp5368 +a(g826 +V +p5369 +tp5370 +a(g793 +Vfneg +p5371 +tp5372 +a(g826 +V\u000a +p5373 +tp5374 +a(g826 +V +p5375 +tp5376 +a(g793 +Vfrem +p5377 +tp5378 +a(g826 +V\u000a +p5379 +tp5380 +a(g826 +V +p5381 +tp5382 +a(g793 +Vldc +p5383 +tp5384 +a(g826 +g966 +tp5385 +a(g37 +V70 +p5386 +tp5387 +a(g826 +V\u000a +p5388 +tp5389 +a(g826 +V +p5390 +tp5391 +a(g793 +Vi2f +p5392 +tp5393 +a(g826 +V\u000a +p5394 +tp5395 +a(g826 +V +p5396 +tp5397 +a(g793 +Vfadd +p5398 +tp5399 +a(g826 +V\u000a +p5400 +tp5401 +a(g826 +V +p5402 +tp5403 +a(g793 +Vfadd +p5404 +tp5405 +a(g826 +V\u000a +p5406 +tp5407 +a(g826 +V +p5408 +tp5409 +a(g793 +Vswap +p5410 +tp5411 +a(g826 +V\u000a +p5412 +tp5413 +a(g826 +V +p5414 +tp5415 +a(g793 +Vpop +p5416 +tp5417 +a(g826 +V\u000a +p5418 +tp5419 +a(g826 +V +p5420 +tp5421 +a(g793 +Vfastore +p5422 +tp5423 +a(g826 +V\u000a +p5424 +tp5425 +a(g826 +V +p5426 +tp5427 +a(g793 +Vfload_0 +p5428 +tp5429 +a(g826 +V\u000a +p5430 +tp5431 +a(g826 +V +p5432 +tp5433 +a(g793 +Vdup +p5434 +tp5435 +a(g826 +V\u000a +p5436 +tp5437 +a(g826 +V +p5438 +tp5439 +a(g793 +Vfcmpl +p5440 +tp5441 +a(g826 +V\u000a +p5442 +tp5443 +a(g826 +V +p5444 +tp5445 +a(g793 +Vfaload +p5446 +tp5447 +a(g826 +V\u000a +p5448 +tp5449 +a(g826 +V +p5450 +tp5451 +a(g793 +Vfstore_0 +p5452 +tp5453 +a(g826 +V\u000a +p5454 +tp5455 +a(g826 +V +p5456 +tp5457 +a(g793 +Vfload_0 +p5458 +tp5459 +a(g826 +V\u000a +p5460 +tp5461 +a(g826 +V +p5462 +tp5463 +a(g793 +Vfstore_1 +p5464 +tp5465 +a(g826 +V\u000a +p5466 +tp5467 +a(g826 +V +p5468 +tp5469 +a(g793 +Vfload_1 +p5470 +tp5471 +a(g826 +V\u000a +p5472 +tp5473 +a(g826 +V +p5474 +tp5475 +a(g793 +Vfstore_2 +p5476 +tp5477 +a(g826 +V\u000a +p5478 +tp5479 +a(g826 +V +p5480 +tp5481 +a(g793 +Vfload_2 +p5482 +tp5483 +a(g826 +V\u000a +p5484 +tp5485 +a(g826 +V +p5486 +tp5487 +a(g793 +Vfstore_3 +p5488 +tp5489 +a(g826 +V\u000a +p5490 +tp5491 +a(g826 +V +p5492 +tp5493 +a(g793 +Vfload_3 +p5494 +tp5495 +a(g826 +V\u000a +p5496 +tp5497 +a(g826 +V +p5498 +tp5499 +a(g793 +Vfstore +p5500 +tp5501 +a(g826 +g966 +tp5502 +a(g37 +g2581 +tp5503 +a(g826 +V\u000a +p5504 +tp5505 +a(g826 +V +p5506 +tp5507 +a(g793 +Vfload +p5508 +tp5509 +a(g826 +g966 +tp5510 +a(g37 +g2581 +tp5511 +a(g826 +V\u000a +p5512 +tp5513 +a(g826 +V +p5514 +tp5515 +a(g793 +Vfstore_w +p5516 +tp5517 +a(g826 +g966 +tp5518 +a(g37 +g2595 +tp5519 +a(g826 +V\u000a +p5520 +tp5521 +a(g826 +V +p5522 +tp5523 +a(g793 +Vfload_w +p5524 +tp5525 +a(g826 +g966 +tp5526 +a(g37 +g2595 +tp5527 +a(g826 +V\u000a +p5528 +tp5529 +a(g826 +V +p5530 +tp5531 +a(g793 +Vfreturn +p5532 +tp5533 +a(g826 +V\u000a +p5534 +tp5535 +a(g793 +V.end +p5536 +tp5537 +a(g826 +g966 +tp5538 +a(g793 +Vmethod +p5539 +tp5540 +a(g826 +V\u000a\u000a +p5541 +tp5542 +a(g793 +V.method +p5543 +tp5544 +a(g826 +g966 +tp5545 +a(g793 +Vabstract +p5546 +tp5547 +a(g826 +g966 +tp5548 +a(g793 +Vbridge +p5549 +tp5550 +a(g826 +g966 +tp5551 +a(g793 +Vsynthetic +p5552 +tp5553 +a(g826 +g966 +tp5554 +a(g229 +g1104 +tp5555 +a(g229 +Vacc1()V +p5556 +tp5557 +a(g229 +g1104 +tp5558 +a(g826 +V\u000a +p5559 +tp5560 +a(g826 +V +p5561 +tp5562 +a(g793 +Vbreakpoint +p5563 +tp5564 +a(g826 +V\u000a +p5565 +tp5566 +a(g793 +V.end +p5567 +tp5568 +a(g826 +g966 +tp5569 +a(g793 +Vmethod +p5570 +tp5571 +a(g826 +V\u000a\u000a +p5572 +tp5573 +a(g793 +V.method +p5574 +tp5575 +a(g826 +g966 +tp5576 +a(g793 +Vnative +p5577 +tp5578 +a(g826 +g966 +tp5579 +a(g793 +Vsynchronized +p5580 +tp5581 +a(g826 +g966 +tp5582 +a(g573 +Vacc2 +p5583 +tp5584 +a(g705 +g1037 +tp5585 +a(g705 +g1039 +tp5586 +a(g748 +g1041 +tp5587 +a(g826 +V\u000a +p5588 +tp5589 +a(g793 +V.end +p5590 +tp5591 +a(g826 +g966 +tp5592 +a(g793 +Vmethod +p5593 +tp5594 +a(g826 +V\u000a +p5595 +tp5596 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.jag b/tests/examplefiles/output/example.jag new file mode 100644 index 0000000..07ad4e5 --- /dev/null +++ b/tests/examplefiles/output/example.jag @@ -0,0 +1,4219 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# lsat.jags example from classic-bugs examples in JAGS +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# See http://sourceforge.net/projects/mcmc-jags/files/Examples/2.x/ +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g809 +Vvar +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g435 +Vresponse +p968 +tp969 +a(g705 +V[ +p970 +tp971 +a(g435 +VR +p972 +tp973 +a(g705 +V, +p974 +tp975 +a(g435 +VT +p976 +tp977 +a(g705 +V] +p978 +tp979 +a(g705 +g974 +tp980 +a(g826 +V +p981 +tp982 +a(g435 +Vm +p983 +tp984 +a(g705 +g970 +tp985 +a(g435 +g972 +tp986 +a(g705 +g978 +tp987 +a(g705 +g974 +tp988 +a(g826 +g981 +tp989 +a(g435 +Vculm +p990 +tp991 +a(g705 +g970 +tp992 +a(g435 +g972 +tp993 +a(g705 +g978 +tp994 +a(g705 +g974 +tp995 +a(g826 +g981 +tp996 +a(g435 +Valpha +p997 +tp998 +a(g705 +g970 +tp999 +a(g435 +g976 +tp1000 +a(g705 +g978 +tp1001 +a(g705 +g974 +tp1002 +a(g826 +g981 +tp1003 +a(g435 +Va +p1004 +tp1005 +a(g705 +g970 +tp1006 +a(g435 +g976 +tp1007 +a(g705 +g978 +tp1008 +a(g705 +g974 +tp1009 +a(g826 +g981 +tp1010 +a(g435 +Vtheta +p1011 +tp1012 +a(g705 +g970 +tp1013 +a(g435 +VN +p1014 +tp1015 +a(g705 +g978 +tp1016 +a(g705 +g974 +tp1017 +a(g826 +g981 +tp1018 +a(g435 +Vr +p1019 +tp1020 +a(g705 +g970 +tp1021 +a(g435 +g1014 +tp1022 +a(g705 +g974 +tp1023 +a(g435 +g976 +tp1024 +a(g705 +g978 +tp1025 +a(g705 +g974 +tp1026 +a(g826 +V\u000a +p1027 +tp1028 +a(g435 +Vp +p1029 +tp1030 +a(g705 +g970 +tp1031 +a(g435 +g1014 +tp1032 +a(g705 +g974 +tp1033 +a(g435 +g976 +tp1034 +a(g705 +g978 +tp1035 +a(g705 +g974 +tp1036 +a(g826 +g981 +tp1037 +a(g435 +Vbeta +p1038 +tp1039 +a(g705 +g974 +tp1040 +a(g826 +g981 +tp1041 +a(g435 +Vtheta.new +p1042 +tp1043 +a(g705 +g974 +tp1044 +a(g826 +g981 +tp1045 +a(g435 +Vp.theta +p1046 +tp1047 +a(g705 +g970 +tp1048 +a(g435 +g976 +tp1049 +a(g705 +g978 +tp1050 +a(g705 +g974 +tp1051 +a(g826 +g981 +tp1052 +a(g435 +Vp.item +p1053 +tp1054 +a(g705 +g970 +tp1055 +a(g435 +g972 +tp1056 +a(g705 +g974 +tp1057 +a(g435 +g976 +tp1058 +a(g705 +g978 +tp1059 +a(g705 +g974 +tp1060 +a(g826 +g981 +tp1061 +a(g435 +VP.theta +p1062 +tp1063 +a(g705 +g970 +tp1064 +a(g435 +g972 +tp1065 +a(g705 +g978 +tp1066 +a(g705 +V; +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g770 +Vdata +p1071 +tp1072 +a(g826 +g981 +tp1073 +a(g705 +V{ +p1074 +tp1075 +a(g826 +V\u000a +p1076 +tp1077 +a(g793 +Vfor +p1078 +tp1079 +a(g826 +g981 +tp1080 +a(g705 +V( +p1081 +tp1082 +a(g435 +Vj +p1083 +tp1084 +a(g826 +g981 +tp1085 +a(g793 +Vin +p1086 +tp1087 +a(g826 +g981 +tp1088 +a(g32 +V1 +p1089 +tp1090 +a(g705 +V: +p1091 +tp1092 +a(g435 +Vculm +p1093 +tp1094 +a(g705 +g970 +tp1095 +a(g32 +g1089 +tp1096 +a(g705 +g978 +tp1097 +a(g705 +V) +p1098 +tp1099 +a(g826 +g981 +tp1100 +a(g705 +g1074 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g435 +g1019 +tp1104 +a(g705 +g970 +tp1105 +a(g435 +g1083 +tp1106 +a(g705 +g974 +tp1107 +a(g826 +g981 +tp1108 +a(g705 +g978 +tp1109 +a(g826 +g981 +tp1110 +a(g412 +V<- +p1111 +tp1112 +a(g826 +g981 +tp1113 +a(g435 +Vresponse +p1114 +tp1115 +a(g705 +g970 +tp1116 +a(g32 +g1089 +tp1117 +a(g705 +g974 +tp1118 +a(g826 +g981 +tp1119 +a(g705 +g978 +tp1120 +a(g705 +g1067 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g705 +V} +p1124 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g793 +Vfor +p1128 +tp1129 +a(g826 +g981 +tp1130 +a(g705 +g1081 +tp1131 +a(g435 +Vi +p1132 +tp1133 +a(g826 +g981 +tp1134 +a(g793 +Vin +p1135 +tp1136 +a(g826 +g981 +tp1137 +a(g32 +V2 +p1138 +tp1139 +a(g705 +g1091 +tp1140 +a(g435 +g972 +tp1141 +a(g705 +g1098 +tp1142 +a(g826 +g981 +tp1143 +a(g705 +g1074 +tp1144 +a(g826 +V\u000a +p1145 +tp1146 +a(g793 +Vfor +p1147 +tp1148 +a(g826 +g981 +tp1149 +a(g705 +g1081 +tp1150 +a(g435 +g1083 +tp1151 +a(g826 +g981 +tp1152 +a(g793 +Vin +p1153 +tp1154 +a(g826 +g981 +tp1155 +a(g705 +g1081 +tp1156 +a(g435 +Vculm +p1157 +tp1158 +a(g705 +g970 +tp1159 +a(g435 +g1132 +tp1160 +a(g826 +g981 +tp1161 +a(g412 +V- +p1162 +tp1163 +a(g826 +g981 +tp1164 +a(g32 +g1089 +tp1165 +a(g705 +g978 +tp1166 +a(g826 +g981 +tp1167 +a(g412 +V+ +p1168 +tp1169 +a(g826 +g981 +tp1170 +a(g32 +g1089 +tp1171 +a(g705 +g1098 +tp1172 +a(g705 +g1091 +tp1173 +a(g435 +Vculm +p1174 +tp1175 +a(g705 +g970 +tp1176 +a(g435 +g1132 +tp1177 +a(g705 +g978 +tp1178 +a(g705 +g1098 +tp1179 +a(g826 +g981 +tp1180 +a(g705 +g1074 +tp1181 +a(g826 +V\u000a +p1182 +tp1183 +a(g435 +g1019 +tp1184 +a(g705 +g970 +tp1185 +a(g435 +g1083 +tp1186 +a(g705 +g974 +tp1187 +a(g826 +g981 +tp1188 +a(g705 +g978 +tp1189 +a(g826 +g981 +tp1190 +a(g412 +V<- +p1191 +tp1192 +a(g826 +g981 +tp1193 +a(g435 +Vresponse +p1194 +tp1195 +a(g705 +g970 +tp1196 +a(g435 +g1132 +tp1197 +a(g705 +g974 +tp1198 +a(g826 +g981 +tp1199 +a(g705 +g978 +tp1200 +a(g705 +g1067 +tp1201 +a(g826 +V\u000a +p1202 +tp1203 +a(g705 +g1124 +tp1204 +a(g826 +V\u000a +p1205 +tp1206 +a(g705 +g1124 +tp1207 +a(g826 +V\u000a +p1208 +tp1209 +a(g705 +g1124 +tp1210 +a(g826 +V\u000a +p1211 +tp1212 +a(g770 +Vmodel +p1213 +tp1214 +a(g826 +g981 +tp1215 +a(g705 +g1074 +tp1216 +a(g826 +V\u000a +p1217 +tp1218 +a(g8 +V# 2-parameter Rasch model +p1219 +tp1220 +a(g826 +V\u000a +p1221 +tp1222 +a(g793 +Vfor +p1223 +tp1224 +a(g826 +g981 +tp1225 +a(g705 +g1081 +tp1226 +a(g435 +g1083 +tp1227 +a(g826 +g981 +tp1228 +a(g793 +Vin +p1229 +tp1230 +a(g826 +g981 +tp1231 +a(g32 +g1089 +tp1232 +a(g705 +g1091 +tp1233 +a(g435 +g1014 +tp1234 +a(g705 +g1098 +tp1235 +a(g826 +g981 +tp1236 +a(g705 +g1074 +tp1237 +a(g826 +V\u000a +p1238 +tp1239 +a(g793 +Vfor +p1240 +tp1241 +a(g826 +g981 +tp1242 +a(g705 +g1081 +tp1243 +a(g435 +Vk +p1244 +tp1245 +a(g826 +g981 +tp1246 +a(g793 +Vin +p1247 +tp1248 +a(g826 +g981 +tp1249 +a(g32 +g1089 +tp1250 +a(g705 +g1091 +tp1251 +a(g435 +g976 +tp1252 +a(g705 +g1098 +tp1253 +a(g826 +g981 +tp1254 +a(g705 +g1074 +tp1255 +a(g826 +V\u000a +p1256 +tp1257 +a(g681 +Vprobit +p1258 +tp1259 +a(g705 +g1081 +tp1260 +a(g435 +g1029 +tp1261 +a(g705 +g970 +tp1262 +a(g435 +g1083 +tp1263 +a(g705 +g974 +tp1264 +a(g435 +g1244 +tp1265 +a(g705 +g978 +tp1266 +a(g705 +g1098 +tp1267 +a(g826 +g981 +tp1268 +a(g412 +V<- +p1269 +tp1270 +a(g826 +g981 +tp1271 +a(g435 +Vdelta +p1272 +tp1273 +a(g705 +g970 +tp1274 +a(g435 +g1244 +tp1275 +a(g705 +g978 +tp1276 +a(g412 +V* +p1277 +tp1278 +a(g435 +Vtheta +p1279 +tp1280 +a(g705 +g970 +tp1281 +a(g435 +g1083 +tp1282 +a(g705 +g978 +tp1283 +a(g826 +g981 +tp1284 +a(g412 +g1162 +tp1285 +a(g826 +g981 +tp1286 +a(g435 +Veta +p1287 +tp1288 +a(g705 +g970 +tp1289 +a(g435 +g1244 +tp1290 +a(g705 +g978 +tp1291 +a(g705 +g1067 +tp1292 +a(g826 +V\u000a +p1293 +tp1294 +a(g435 +g1019 +tp1295 +a(g705 +g970 +tp1296 +a(g435 +g1083 +tp1297 +a(g705 +g974 +tp1298 +a(g435 +g1244 +tp1299 +a(g705 +g978 +tp1300 +a(g826 +g981 +tp1301 +a(g412 +V~ +p1302 +tp1303 +a(g826 +g981 +tp1304 +a(g681 +Vdbern +p1305 +tp1306 +a(g705 +g1081 +tp1307 +a(g435 +g1029 +tp1308 +a(g705 +g970 +tp1309 +a(g435 +g1083 +tp1310 +a(g705 +g974 +tp1311 +a(g435 +g1244 +tp1312 +a(g705 +g978 +tp1313 +a(g705 +g1098 +tp1314 +a(g705 +g1067 +tp1315 +a(g826 +V\u000a +p1316 +tp1317 +a(g705 +g1124 +tp1318 +a(g826 +V\u000a +p1319 +tp1320 +a(g435 +Vtheta +p1321 +tp1322 +a(g705 +g970 +tp1323 +a(g435 +g1083 +tp1324 +a(g705 +g978 +tp1325 +a(g826 +g981 +tp1326 +a(g412 +g1302 +tp1327 +a(g826 +g981 +tp1328 +a(g681 +Vdnorm +p1329 +tp1330 +a(g705 +g1081 +tp1331 +a(g32 +V0 +p1332 +tp1333 +a(g705 +g974 +tp1334 +a(g32 +g1089 +tp1335 +a(g705 +g1098 +tp1336 +a(g705 +g1067 +tp1337 +a(g826 +V\u000a +p1338 +tp1339 +a(g705 +g1124 +tp1340 +a(g826 +V\u000a\u000a +p1341 +tp1342 +a(g8 +V# Priors +p1343 +tp1344 +a(g826 +V\u000a +p1345 +tp1346 +a(g793 +Vfor +p1347 +tp1348 +a(g826 +g981 +tp1349 +a(g705 +g1081 +tp1350 +a(g435 +g1244 +tp1351 +a(g826 +g981 +tp1352 +a(g793 +Vin +p1353 +tp1354 +a(g826 +g981 +tp1355 +a(g32 +g1089 +tp1356 +a(g705 +g1091 +tp1357 +a(g435 +g976 +tp1358 +a(g705 +g1098 +tp1359 +a(g826 +g981 +tp1360 +a(g705 +g1074 +tp1361 +a(g826 +V\u000a +p1362 +tp1363 +a(g435 +Veta +p1364 +tp1365 +a(g705 +g970 +tp1366 +a(g435 +g1244 +tp1367 +a(g705 +g978 +tp1368 +a(g826 +g981 +tp1369 +a(g412 +g1302 +tp1370 +a(g826 +g981 +tp1371 +a(g681 +Vdnorm +p1372 +tp1373 +a(g705 +g1081 +tp1374 +a(g32 +g1332 +tp1375 +a(g705 +g974 +tp1376 +a(g32 +V0.0001 +p1377 +tp1378 +a(g705 +g1098 +tp1379 +a(g705 +g1067 +tp1380 +a(g826 +V \u000a +p1381 +tp1382 +a(g435 +Ve +p1383 +tp1384 +a(g705 +g970 +tp1385 +a(g435 +g1244 +tp1386 +a(g705 +g978 +tp1387 +a(g826 +g981 +tp1388 +a(g412 +V<- +p1389 +tp1390 +a(g826 +g981 +tp1391 +a(g435 +Veta +p1392 +tp1393 +a(g705 +g970 +tp1394 +a(g435 +g1244 +tp1395 +a(g705 +g978 +tp1396 +a(g826 +g981 +tp1397 +a(g412 +g1162 +tp1398 +a(g826 +g981 +tp1399 +a(g681 +Vmean +p1400 +tp1401 +a(g705 +g1081 +tp1402 +a(g435 +Veta +p1403 +tp1404 +a(g705 +g970 +tp1405 +a(g705 +g978 +tp1406 +a(g705 +g1098 +tp1407 +a(g705 +g1067 +tp1408 +a(g826 +V +p1409 +tp1410 +a(g8 +V# sum-to-zero constraint +p1411 +tp1412 +a(g826 +V\u000a\u000a +p1413 +tp1414 +a(g435 +Vdelta +p1415 +tp1416 +a(g705 +g970 +tp1417 +a(g435 +g1244 +tp1418 +a(g705 +g978 +tp1419 +a(g826 +g981 +tp1420 +a(g412 +g1302 +tp1421 +a(g826 +g981 +tp1422 +a(g681 +Vdnorm +p1423 +tp1424 +a(g705 +g1081 +tp1425 +a(g32 +g1332 +tp1426 +a(g705 +g974 +tp1427 +a(g32 +g1089 +tp1428 +a(g705 +g1098 +tp1429 +a(g826 +g981 +tp1430 +a(g681 +g976 +tp1431 +a(g705 +g1081 +tp1432 +a(g32 +g1332 +tp1433 +a(g705 +g974 +tp1434 +a(g705 +g1098 +tp1435 +a(g705 +g1067 +tp1436 +a(g826 +V +p1437 +tp1438 +a(g8 +V# constrain variance to 1, slope +ve +p1439 +tp1440 +a(g826 +V\u000a +p1441 +tp1442 +a(g435 +Vd +p1443 +tp1444 +a(g705 +g970 +tp1445 +a(g435 +g1244 +tp1446 +a(g705 +g978 +tp1447 +a(g826 +g981 +tp1448 +a(g412 +V<- +p1449 +tp1450 +a(g826 +g981 +tp1451 +a(g435 +Vdelta +p1452 +tp1453 +a(g705 +g970 +tp1454 +a(g435 +g1244 +tp1455 +a(g705 +g978 +tp1456 +a(g412 +V/ +p1457 +tp1458 +a(g681 +Vpow +p1459 +tp1460 +a(g705 +g1081 +tp1461 +a(g681 +Vprod +p1462 +tp1463 +a(g705 +g1081 +tp1464 +a(g435 +Vdelta +p1465 +tp1466 +a(g705 +g1098 +tp1467 +a(g705 +g974 +tp1468 +a(g826 +g981 +tp1469 +a(g32 +g1089 +tp1470 +a(g412 +g1457 +tp1471 +a(g435 +g976 +tp1472 +a(g705 +g1098 +tp1473 +a(g705 +g1067 +tp1474 +a(g826 +g981 +tp1475 +a(g8 +V# PRODUCT_k (d_k) = 1 +p1476 +tp1477 +a(g826 +V\u000a \u000a +p1478 +tp1479 +a(g435 +Vg +p1480 +tp1481 +a(g705 +g970 +tp1482 +a(g435 +g1244 +tp1483 +a(g705 +g978 +tp1484 +a(g826 +g981 +tp1485 +a(g412 +V<- +p1486 +tp1487 +a(g826 +g981 +tp1488 +a(g435 +g1383 +tp1489 +a(g705 +g970 +tp1490 +a(g435 +g1244 +tp1491 +a(g705 +g978 +tp1492 +a(g412 +g1457 +tp1493 +a(g435 +g1443 +tp1494 +a(g705 +g970 +tp1495 +a(g435 +g1244 +tp1496 +a(g705 +g978 +tp1497 +a(g705 +g1067 +tp1498 +a(g826 +V +p1499 +tp1500 +a(g8 +V# equivalent to B&A's threshold parameters +p1501 +tp1502 +a(g826 +V\u000a +p1503 +tp1504 +a(g705 +g1124 +tp1505 +a(g826 +V\u000a\u000a +p1506 +tp1507 +a(g8 +V# Compute probability of response pattern i, for later use in computing G^2 +p1508 +tp1509 +a(g826 +V\u000a +p1510 +tp1511 +a(g435 +Vtheta.new +p1512 +tp1513 +a(g826 +g981 +tp1514 +a(g412 +g1302 +tp1515 +a(g826 +g981 +tp1516 +a(g681 +Vdnorm +p1517 +tp1518 +a(g705 +g1081 +tp1519 +a(g32 +g1332 +tp1520 +a(g705 +g974 +tp1521 +a(g32 +g1089 +tp1522 +a(g705 +g1098 +tp1523 +a(g705 +g1067 +tp1524 +a(g826 +V +p1525 +tp1526 +a(g8 +V# ability parameter for random student +p1527 +tp1528 +a(g826 +V\u000a +p1529 +tp1530 +a(g793 +Vfor +p1531 +tp1532 +a(g705 +g1081 +tp1533 +a(g435 +g1244 +tp1534 +a(g826 +g981 +tp1535 +a(g793 +Vin +p1536 +tp1537 +a(g826 +g981 +tp1538 +a(g32 +g1089 +tp1539 +a(g705 +g1091 +tp1540 +a(g435 +g976 +tp1541 +a(g705 +g1098 +tp1542 +a(g826 +g981 +tp1543 +a(g705 +g1074 +tp1544 +a(g826 +V\u000a +p1545 +tp1546 +a(g681 +Vprobit +p1547 +tp1548 +a(g705 +g1081 +tp1549 +a(g435 +Vp.theta +p1550 +tp1551 +a(g705 +g970 +tp1552 +a(g435 +g1244 +tp1553 +a(g705 +g978 +tp1554 +a(g705 +g1098 +tp1555 +a(g826 +g981 +tp1556 +a(g412 +V<- +p1557 +tp1558 +a(g826 +g981 +tp1559 +a(g435 +Vdelta +p1560 +tp1561 +a(g705 +g970 +tp1562 +a(g435 +g1244 +tp1563 +a(g705 +g978 +tp1564 +a(g412 +g1277 +tp1565 +a(g435 +Vtheta.new +p1566 +tp1567 +a(g826 +g981 +tp1568 +a(g412 +g1162 +tp1569 +a(g826 +g981 +tp1570 +a(g435 +Veta +p1571 +tp1572 +a(g705 +g970 +tp1573 +a(g435 +g1244 +tp1574 +a(g705 +g978 +tp1575 +a(g705 +g1067 +tp1576 +a(g826 +V\u000a +p1577 +tp1578 +a(g793 +Vfor +p1579 +tp1580 +a(g705 +g1081 +tp1581 +a(g435 +g1132 +tp1582 +a(g826 +g981 +tp1583 +a(g793 +Vin +p1584 +tp1585 +a(g826 +g981 +tp1586 +a(g32 +g1089 +tp1587 +a(g705 +g1091 +tp1588 +a(g435 +g972 +tp1589 +a(g705 +g1098 +tp1590 +a(g826 +g981 +tp1591 +a(g705 +g1074 +tp1592 +a(g826 +V\u000a +p1593 +tp1594 +a(g435 +Vp.item +p1595 +tp1596 +a(g705 +g970 +tp1597 +a(g435 +g1132 +tp1598 +a(g705 +g974 +tp1599 +a(g435 +g1244 +tp1600 +a(g705 +g978 +tp1601 +a(g826 +g981 +tp1602 +a(g412 +V<- +p1603 +tp1604 +a(g826 +g981 +tp1605 +a(g435 +Vp.theta +p1606 +tp1607 +a(g705 +g970 +tp1608 +a(g435 +g1244 +tp1609 +a(g705 +g978 +tp1610 +a(g412 +V^ +p1611 +tp1612 +a(g435 +Vresponse +p1613 +tp1614 +a(g705 +g970 +tp1615 +a(g435 +g1132 +tp1616 +a(g705 +g974 +tp1617 +a(g435 +g1244 +tp1618 +a(g705 +g978 +tp1619 +a(g826 +g981 +tp1620 +a(g412 +g1277 +tp1621 +a(g826 +g981 +tp1622 +a(g705 +g1081 +tp1623 +a(g32 +g1089 +tp1624 +a(g412 +g1162 +tp1625 +a(g435 +Vp.theta +p1626 +tp1627 +a(g705 +g970 +tp1628 +a(g435 +g1244 +tp1629 +a(g705 +g978 +tp1630 +a(g705 +g1098 +tp1631 +a(g412 +g1611 +tp1632 +a(g705 +g1081 +tp1633 +a(g32 +g1089 +tp1634 +a(g412 +g1162 +tp1635 +a(g435 +Vresponse +p1636 +tp1637 +a(g705 +g970 +tp1638 +a(g435 +g1132 +tp1639 +a(g705 +g974 +tp1640 +a(g435 +g1244 +tp1641 +a(g705 +g978 +tp1642 +a(g705 +g1098 +tp1643 +a(g705 +g1067 +tp1644 +a(g826 +V\u000a +p1645 +tp1646 +a(g705 +g1124 +tp1647 +a(g826 +V\u000a +p1648 +tp1649 +a(g705 +g1124 +tp1650 +a(g826 +V \u000a +p1651 +tp1652 +a(g793 +Vfor +p1653 +tp1654 +a(g705 +g1081 +tp1655 +a(g435 +g1132 +tp1656 +a(g826 +g981 +tp1657 +a(g793 +Vin +p1658 +tp1659 +a(g826 +g981 +tp1660 +a(g32 +g1089 +tp1661 +a(g705 +g1091 +tp1662 +a(g435 +g972 +tp1663 +a(g705 +g1098 +tp1664 +a(g826 +g981 +tp1665 +a(g705 +g1074 +tp1666 +a(g826 +V \u000a +p1667 +tp1668 +a(g435 +VP.theta +p1669 +tp1670 +a(g705 +g970 +tp1671 +a(g435 +g1132 +tp1672 +a(g705 +g978 +tp1673 +a(g826 +g981 +tp1674 +a(g412 +V<- +p1675 +tp1676 +a(g826 +g981 +tp1677 +a(g681 +Vprod +p1678 +tp1679 +a(g705 +g1081 +tp1680 +a(g435 +Vp.item +p1681 +tp1682 +a(g705 +g970 +tp1683 +a(g435 +g1132 +tp1684 +a(g705 +g974 +tp1685 +a(g705 +g978 +tp1686 +a(g705 +g1098 +tp1687 +a(g826 +V\u000a +p1688 +tp1689 +a(g705 +g1124 +tp1690 +a(g826 +V\u000a +p1691 +tp1692 +a(g705 +g1124 +tp1693 +a(g826 +V\u000a +p1694 +tp1695 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.java b/tests/examplefiles/output/example.java new file mode 100644 index 0000000..2971ef3 --- /dev/null +++ b/tests/examplefiles/output/example.java @@ -0,0 +1,2634 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Declaration' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsS'Reserved' +p928 +g1 +(g2 +g3 +(g4 +g928 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbsg34 +g35 +((lp936 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag894 +ag8 +ag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag931 +ag916 +atp951 +Rp952 +sg4 +g946 +sg5 +g8 +sg416 +g939 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVclass +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g621 +V_PostUnico$deClassá +p960 +tp961 +a(g831 +V\u000a +p962 +tp963 +a(g405 +V{ +p964 +tp965 +a(g886 +Vvoid +p966 +tp967 +a(g831 +g958 +tp968 +a(g566 +Vfo$o +p969 +tp970 +a(g405 +V( +p971 +tp972 +a(g405 +V) +p973 +tp974 +a(g831 +g958 +tp975 +a(g405 +g964 +tp976 +a(g405 +V} +p977 +tp978 +a(g831 +V\u000a +p979 +tp980 +a(g831 +V\u000a +p981 +tp982 +a(g831 +V +p983 +tp984 +a(g886 +Vvoid +p985 +tp986 +a(g831 +g958 +tp987 +a(g566 +VPostUnicodeFunctioná +p988 +tp989 +a(g405 +g971 +tp990 +a(g405 +g973 +tp991 +a(g831 +g958 +tp992 +a(g405 +g964 +tp993 +a(g831 +V\u000a +p994 +tp995 +a(g831 +V +p996 +tp997 +a(g428 +Vláb$el +p998 +tp999 +a(g405 +V: +p1000 +tp1001 +a(g831 +V\u000a +p1002 +tp1003 +a(g831 +V +p1004 +tp1005 +a(g13 +Vbreak +p1006 +tp1007 +a(g831 +g958 +tp1008 +a(g428 +Vláb$el +p1009 +tp1010 +a(g405 +V; +p1011 +tp1012 +a(g831 +V\u000a +p1013 +tp1014 +a(g831 +V +p1015 +tp1016 +a(g831 +V\u000a +p1017 +tp1018 +a(g831 +V +p1019 +tp1020 +a(g405 +g977 +tp1021 +a(g831 +V\u000a +p1022 +tp1023 +a(g405 +g977 +tp1024 +a(g831 +V\u000a +p1025 +tp1026 +a(g831 +V\u000a +p1027 +tp1028 +a(g8 +Vclass +p1029 +tp1030 +a(g831 +g958 +tp1031 +a(g621 +VáPreUnicode$Class +p1032 +tp1033 +a(g831 +V\u000a +p1034 +tp1035 +a(g405 +g964 +tp1036 +a(g831 +V\u000a +p1037 +tp1038 +a(g831 +V +p1039 +tp1040 +a(g8 +Vpublic +p1041 +tp1042 +a(g831 +V\u2008 +p1043 +tp1044 +a(g886 +Vint +p1045 +tp1046 +a(g831 +g958 +tp1047 +a(g428 +V$foo +p1048 +tp1049 +a(g405 +g1011 +tp1050 +a(g831 +V\u000a +p1051 +tp1052 +a(g831 +V +p1053 +tp1054 +a(g8 +Vpublic +p1055 +tp1056 +a(g831 +g958 +tp1057 +a(g886 +Vint +p1058 +tp1059 +a(g831 +g958 +tp1060 +a(g428 +Vá$foo +p1061 +tp1062 +a(g405 +g1011 +tp1063 +a(g831 +V\u000a +p1064 +tp1065 +a(g831 +V +p1066 +tp1067 +a(g428 +V_PostUnico$deClassá +p1068 +tp1069 +a(g831 +g958 +tp1070 +a(g566 +VáPreUnicodeFunction +p1071 +tp1072 +a(g405 +g971 +tp1073 +a(g405 +g973 +tp1074 +a(g831 +g958 +tp1075 +a(g405 +g964 +tp1076 +a(g831 +g958 +tp1077 +a(g13 +Vreturn +p1078 +tp1079 +a(g831 +g958 +tp1080 +a(g901 +Vnull +p1081 +tp1082 +a(g405 +g1011 +tp1083 +a(g831 +g958 +tp1084 +a(g405 +g977 +tp1085 +a(g831 +V\u000a +p1086 +tp1087 +a(g405 +g977 +tp1088 +a(g831 +V\u000a +p1089 +tp1090 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.jcl b/tests/examplefiles/output/example.jcl new file mode 100644 index 0000000..f7fce9e --- /dev/null +++ b/tests/examplefiles/output/example.jcl @@ -0,0 +1,3603 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Pseudo' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp523 +tp524 +Rp525 +(dp526 +g10 +g428 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsS'Type' +p530 +g1 +(g2 +g3 +(g178 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g428 +sg34 +g35 +((lp535 +tp536 +Rp537 +sbsS'Classes' +p538 +g1 +(g2 +g3 +(g178 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g428 +sg34 +g35 +((lp543 +tp544 +Rp545 +sbsS'Tag' +p546 +g1 +(g2 +g3 +(g178 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g428 +sg34 +g35 +((lp551 +tp552 +Rp553 +sbsS'Constant' +p554 +g1 +(g2 +g3 +(g178 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g428 +sg34 +g35 +((lp559 +tp560 +Rp561 +sbsS'Function' +p562 +g1 +(g2 +g3 +(g178 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g428 +sg34 +g35 +((lp567 +g1 +(g2 +g3 +(g178 +g562 +g468 +tp568 +tp569 +Rp570 +(dp571 +g10 +g565 +sg34 +g35 +((lp572 +tp573 +Rp574 +sbatp575 +Rp576 +sg468 +g570 +sbsS'Blubb' +p577 +g1 +(g2 +g3 +(g178 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g428 +sg34 +g35 +((lp582 +tp583 +Rp584 +sbsS'Label' +p585 +g1 +(g2 +g3 +(g178 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g428 +sg34 +g35 +((lp590 +tp591 +Rp592 +sbsS'Field' +p593 +g1 +(g2 +g3 +(g178 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g428 +sg34 +g35 +((lp598 +tp599 +Rp600 +sbsS'Exception' +p601 +g1 +(g2 +g3 +(g178 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g428 +sg34 +g35 +((lp606 +tp607 +Rp608 +sbsS'Namespace' +p609 +g1 +(g2 +g3 +(g178 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag580 +ag525 +ag496 +ag433 +ag604 +ag518 +ag549 +ag565 +ag541 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag588 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag557 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg530 +g1 +(g2 +g3 +(g178 +g670 +g530 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g5 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg5 +g685 +sbag596 +ag533 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg530 +g1 +(g2 +g3 +(g4 +g530 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg554 +g1 +(g2 +g3 +(g4 +g554 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg609 +g1 +(g2 +g3 +(g4 +g609 +tp905 +tp906 +Rp907 +(dp908 +g10 +g13 +sg34 +g35 +((lp909 +tp910 +Rp911 +sbsS'PreProc' +p912 +g1 +(g2 +g3 +(g4 +g912 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsg5 +g8 +sS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g907 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag8 +ag900 +ag885 +ag923 +ag915 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV// +p956 +tp957 +a(g588 +VIS198CPY +p958 +tp959 +a(g843 +V +p960 +tp961 +a(g923 +VJOB +p962 +tp963 +a(g843 +g960 +tp964 +a(g697 +V( +p965 +tp966 +a(g428 +VPYGM +p967 +tp968 +a(g405 +V- +p969 +tp970 +a(g428 +VTEST +p971 +tp972 +a(g405 +g969 +tp973 +a(g27 +V001 +p974 +tp975 +a(g697 +V) +p976 +tp977 +a(g697 +V, +p978 +tp979 +a(g81 +V' +p980 +tp981 +a(g81 +VP +p982 +tp983 +a(g81 +VY +p984 +tp985 +a(g81 +VG +p986 +tp987 +a(g81 +VM +p988 +tp989 +a(g81 +VE +p990 +tp991 +a(g81 +VN +p992 +tp993 +a(g81 +VT +p994 +tp995 +a(g81 +VS +p996 +tp997 +a(g81 +g960 +tp998 +a(g81 +g994 +tp999 +a(g81 +g990 +tp1000 +a(g81 +g996 +tp1001 +a(g81 +g994 +tp1002 +a(g81 +g960 +tp1003 +a(g81 +VJ +p1004 +tp1005 +a(g81 +VO +p1006 +tp1007 +a(g81 +VB +p1008 +tp1009 +a(g81 +g980 +tp1010 +a(g697 +g978 +tp1011 +a(g830 +V\u000a +p1012 +tp1013 +a(g8 +V// +p1014 +tp1015 +a(g843 +V +p1016 +tp1017 +a(g428 +VCLASS +p1018 +tp1019 +a(g405 +V= +p1020 +tp1021 +a(g428 +VL +p1022 +tp1023 +a(g697 +g978 +tp1024 +a(g428 +VMSGCLASS +p1025 +tp1026 +a(g405 +g1020 +tp1027 +a(g428 +VX +p1028 +tp1029 +a(g697 +g978 +tp1030 +a(g428 +VTIME +p1031 +tp1032 +a(g405 +g1020 +tp1033 +a(g697 +g965 +tp1034 +a(g27 +V00 +p1035 +tp1036 +a(g697 +g978 +tp1037 +a(g27 +V10 +p1038 +tp1039 +a(g697 +g976 +tp1040 +a(g830 +V\u000a +p1041 +tp1042 +a(g749 +V//* Copy 'OLDFILE' to 'NEWFILE'.\u000a +p1043 +tp1044 +a(g8 +V// +p1045 +tp1046 +a(g588 +VCOPY01 +p1047 +tp1048 +a(g843 +V +p1049 +tp1050 +a(g923 +VEXEC +p1051 +tp1052 +a(g843 +g960 +tp1053 +a(g428 +VPGM +p1054 +tp1055 +a(g405 +g1020 +tp1056 +a(g428 +VIEBGENER +p1057 +tp1058 +a(g830 +V\u000a +p1059 +tp1060 +a(g8 +V// +p1061 +tp1062 +a(g433 +VSYSPRINT +p1063 +tp1064 +a(g923 +V DD +p1065 +tp1066 +a(g428 +VSYSOUT +p1067 +tp1068 +a(g405 +g1020 +tp1069 +a(g673 +V* +p1070 +tp1071 +a(g830 +V\u000a +p1072 +tp1073 +a(g8 +V// +p1074 +tp1075 +a(g433 +VSYSUT1 +p1076 +tp1077 +a(g923 +V DD +p1078 +tp1079 +a(g428 +VDSN +p1080 +tp1081 +a(g405 +g1020 +tp1082 +a(g428 +VOLDFILE +p1083 +tp1084 +a(g697 +g978 +tp1085 +a(g428 +VDISP +p1086 +tp1087 +a(g405 +g1020 +tp1088 +a(g428 +VSHR +p1089 +tp1090 +a(g830 +V\u000a +p1091 +tp1092 +a(g8 +V// +p1093 +tp1094 +a(g433 +VSYSUT2 +p1095 +tp1096 +a(g923 +V DD +p1097 +tp1098 +a(g428 +VDSN +p1099 +tp1100 +a(g405 +g1020 +tp1101 +a(g428 +VNEWFILE +p1102 +tp1103 +a(g697 +g978 +tp1104 +a(g830 +V\u000a +p1105 +tp1106 +a(g8 +V// +p1107 +tp1108 +a(g843 +V +p1109 +tp1110 +a(g428 +VDISP +p1111 +tp1112 +a(g405 +g1020 +tp1113 +a(g697 +g965 +tp1114 +a(g428 +VNEW +p1115 +tp1116 +a(g697 +g978 +tp1117 +a(g428 +VCATLG +p1118 +tp1119 +a(g697 +g978 +tp1120 +a(g428 +VDELETE +p1121 +tp1122 +a(g697 +g976 +tp1123 +a(g697 +g978 +tp1124 +a(g830 +V\u000a +p1125 +tp1126 +a(g8 +V// +p1127 +tp1128 +a(g843 +V +p1129 +tp1130 +a(g428 +VSPACE +p1131 +tp1132 +a(g405 +g1020 +tp1133 +a(g697 +g965 +tp1134 +a(g428 +VCYL +p1135 +tp1136 +a(g697 +g978 +tp1137 +a(g697 +g965 +tp1138 +a(g27 +V40 +p1139 +tp1140 +a(g697 +g978 +tp1141 +a(g27 +V5 +p1142 +tp1143 +a(g697 +g976 +tp1144 +a(g697 +g978 +tp1145 +a(g428 +VRLSE +p1146 +tp1147 +a(g697 +g976 +tp1148 +a(g697 +g978 +tp1149 +a(g843 +V +p1150 +tp1151 +a(g749 +VSome comment +p1152 +tp1153 +a(g830 +V\u000a +p1154 +tp1155 +a(g8 +V// +p1156 +tp1157 +a(g843 +V +p1158 +tp1159 +a(g428 +VDCB +p1160 +tp1161 +a(g405 +g1020 +tp1162 +a(g697 +g965 +tp1163 +a(g428 +VLRECL +p1164 +tp1165 +a(g405 +g1020 +tp1166 +a(g27 +V115 +p1167 +tp1168 +a(g697 +g978 +tp1169 +a(g428 +VBLKSIZE +p1170 +tp1171 +a(g405 +g1020 +tp1172 +a(g27 +V1150 +p1173 +tp1174 +a(g697 +g976 +tp1175 +a(g830 +V\u000a +p1176 +tp1177 +a(g8 +V// +p1178 +tp1179 +a(g433 +VSYSIN +p1180 +tp1181 +a(g923 +V DD +p1182 +tp1183 +a(g428 +VDUMMY +p1184 +tp1185 +a(g830 +V\u000a +p1186 +tp1187 +a(g8 +V/* +p1188 +tp1189 +a(g843 +V\u000a +p1190 +tp1191 +a(g749 +V//* Test line continuation in strings.\u000a +p1192 +tp1193 +a(g8 +V// +p1194 +tp1195 +a(g588 +VCONT01 +p1196 +tp1197 +a(g843 +V +p1198 +tp1199 +a(g923 +VEXEC +p1200 +tp1201 +a(g843 +g960 +tp1202 +a(g428 +VPGM +p1203 +tp1204 +a(g405 +g1020 +tp1205 +a(g428 +VIEFBR14 +p1206 +tp1207 +a(g697 +g978 +tp1208 +a(g428 +VPARM +p1209 +tp1210 +a(g405 +g1020 +tp1211 +a(g81 +g980 +tp1212 +a(g81 +g994 +tp1213 +a(g81 +VH +p1214 +tp1215 +a(g81 +VI +p1216 +tp1217 +a(g81 +g996 +tp1218 +a(g81 +g960 +tp1219 +a(g81 +g1216 +tp1220 +a(g81 +g996 +tp1221 +a(g81 +g960 +tp1222 +a(g81 +VA +p1223 +tp1224 +a(g81 +g960 +tp1225 +a(g81 +g1022 +tp1226 +a(g81 +g1006 +tp1227 +a(g81 +g992 +tp1228 +a(g81 +g986 +tp1229 +a(g81 +g960 +tp1230 +a(g81 +g982 +tp1231 +a(g81 +g1223 +tp1232 +a(g81 +VR +p1233 +tp1234 +a(g81 +g1223 +tp1235 +a(g81 +g988 +tp1236 +a(g81 +g990 +tp1237 +a(g81 +g994 +tp1238 +a(g81 +g990 +tp1239 +a(g81 +g1233 +tp1240 +a(g81 +g960 +tp1241 +a(g81 +VW +p1242 +tp1243 +a(g81 +g1216 +tp1244 +a(g81 +g994 +tp1245 +a(g81 +g1214 +tp1246 +a(g81 +g1216 +tp1247 +a(g81 +g992 +tp1248 +a(g81 +g960 +tp1249 +a(g81 +g1223 +tp1250 +a(g81 +g982 +tp1251 +a(g81 +g1006 +tp1252 +a(g81 +g996 +tp1253 +a(g81 +g994 +tp1254 +a(g830 +V\u000a +p1255 +tp1256 +a(g8 +V// +p1257 +tp1258 +a(g81 +g960 +tp1259 +a(g81 +g960 +tp1260 +a(g81 +g960 +tp1261 +a(g81 +g960 +tp1262 +a(g81 +g960 +tp1263 +a(g81 +g960 +tp1264 +a(g81 +g960 +tp1265 +a(g81 +g960 +tp1266 +a(g81 +g960 +tp1267 +a(g81 +g960 +tp1268 +a(g81 +g960 +tp1269 +a(g81 +g1233 +tp1270 +a(g81 +g1006 +tp1271 +a(g81 +g982 +tp1272 +a(g81 +g1214 +tp1273 +a(g81 +g990 +tp1274 +a(g81 +g996 +tp1275 +a(g81 +g978 +tp1276 +a(g81 +g960 +tp1277 +a(g81 +VC +p1278 +tp1279 +a(g81 +g1006 +tp1280 +a(g81 +g992 +tp1281 +a(g81 +g994 +tp1282 +a(g81 +g1216 +tp1283 +a(g81 +g992 +tp1284 +a(g81 +VU +p1285 +tp1286 +a(g81 +g990 +tp1287 +a(g81 +VD +p1288 +tp1289 +a(g81 +g960 +tp1290 +a(g81 +g1216 +tp1291 +a(g81 +g992 +tp1292 +a(g81 +g960 +tp1293 +a(g81 +g1278 +tp1294 +a(g81 +g1006 +tp1295 +a(g81 +g1022 +tp1296 +a(g81 +g1285 +tp1297 +a(g81 +g988 +tp1298 +a(g81 +g992 +tp1299 +a(g81 +g960 +tp1300 +a(g81 +V1 +p1301 +tp1302 +a(g81 +g1142 +tp1303 +a(g81 +g960 +tp1304 +a(g81 +g1006 +tp1305 +a(g81 +VF +p1306 +tp1307 +a(g81 +g960 +tp1308 +a(g81 +g994 +tp1309 +a(g81 +g1214 +tp1310 +a(g81 +g990 +tp1311 +a(g81 +g960 +tp1312 +a(g81 +g992 +tp1313 +a(g81 +g990 +tp1314 +a(g81 +g1028 +tp1315 +a(g81 +g994 +tp1316 +a(g81 +g960 +tp1317 +a(g81 +g1233 +tp1318 +a(g81 +g990 +tp1319 +a(g81 +g1278 +tp1320 +a(g81 +g1006 +tp1321 +a(g81 +g1233 +tp1322 +a(g81 +g1288 +tp1323 +a(g81 +g980 +tp1324 +a(g830 +V\u000a +p1325 +tp1326 +a(g749 +V//* Sort a couple of lines and show the result in the job log.\u000a +p1327 +tp1328 +a(g8 +V// +p1329 +tp1330 +a(g588 +VSORT01 +p1331 +tp1332 +a(g843 +V +p1333 +tp1334 +a(g923 +VEXEC +p1335 +tp1336 +a(g843 +g960 +tp1337 +a(g428 +VPGM +p1338 +tp1339 +a(g405 +g1020 +tp1340 +a(g428 +VIEFBR14 +p1341 +tp1342 +a(g830 +V\u000a +p1343 +tp1344 +a(g8 +V// +p1345 +tp1346 +a(g433 +VSORTIN +p1347 +tp1348 +a(g923 +V DD +p1349 +tp1350 +a(g673 +g1070 +tp1351 +a(g830 +V\u000a +p1352 +tp1353 +a(g806 +Vspam\u000a +p1354 +tp1355 +a(g806 +Veggs\u000a +p1356 +tp1357 +a(g806 +Vham\u000a +p1358 +tp1359 +a(g8 +V/* +p1360 +tp1361 +a(g843 +V\u000a +p1362 +tp1363 +a(g8 +V// +p1364 +tp1365 +a(g433 +VSORTOUT +p1366 +tp1367 +a(g923 +V DD +p1368 +tp1369 +a(g428 +VSYSOUT +p1370 +tp1371 +a(g405 +g1020 +tp1372 +a(g673 +g1070 +tp1373 +a(g830 +V\u000a +p1374 +tp1375 +a(g8 +V/* +p1376 +tp1377 +a(g843 +V\u000a +p1378 +tp1379 +a(g749 +V//* Test line continuation with comment at end of line continued by a\u000a +p1380 +tp1381 +a(g749 +V//* character at column 72 (in this case 'X').\u000a +p1382 +tp1383 +a(g8 +V// +p1384 +tp1385 +a(g588 +VSTP4 +p1386 +tp1387 +a(g843 +V +p1388 +tp1389 +a(g923 +VEXEC +p1390 +tp1391 +a(g843 +g960 +tp1392 +a(g428 +VPROC +p1393 +tp1394 +a(g405 +g1020 +tp1395 +a(g428 +VBILLING +p1396 +tp1397 +a(g697 +g978 +tp1398 +a(g428 +VCOND +p1399 +tp1400 +a(g697 +V. +p1401 +tp1402 +a(g428 +VPAID +p1403 +tp1404 +a(g405 +g1020 +tp1405 +a(g697 +g965 +tp1406 +a(g697 +g965 +tp1407 +a(g27 +V20 +p1408 +tp1409 +a(g697 +g978 +tp1410 +a(g428 +VLT +p1411 +tp1412 +a(g697 +g976 +tp1413 +a(g697 +g978 +tp1414 +a(g428 +VEVEN +p1415 +tp1416 +a(g697 +g976 +tp1417 +a(g697 +g978 +tp1418 +a(g830 +V\u000a +p1419 +tp1420 +a(g8 +V// +p1421 +tp1422 +a(g843 +V +p1423 +tp1424 +a(g428 +VCOND +p1425 +tp1426 +a(g697 +g1401 +tp1427 +a(g428 +VLATE +p1428 +tp1429 +a(g405 +g1020 +tp1430 +a(g697 +g965 +tp1431 +a(g27 +V60 +p1432 +tp1433 +a(g697 +g978 +tp1434 +a(g428 +VGT +p1435 +tp1436 +a(g697 +g978 +tp1437 +a(g428 +VFIND +p1438 +tp1439 +a(g697 +g976 +tp1440 +a(g697 +g978 +tp1441 +a(g830 +V\u000a +p1442 +tp1443 +a(g8 +V// +p1444 +tp1445 +a(g843 +V +p1446 +tp1447 +a(g428 +VCOND +p1448 +tp1449 +a(g697 +g1401 +tp1450 +a(g428 +VBILL +p1451 +tp1452 +a(g405 +g1020 +tp1453 +a(g697 +g965 +tp1454 +a(g697 +g965 +tp1455 +a(g27 +V20 +p1456 +tp1457 +a(g697 +g978 +tp1458 +a(g428 +VGE +p1459 +tp1460 +a(g697 +g976 +tp1461 +a(g697 +g978 +tp1462 +a(g697 +g965 +tp1463 +a(g27 +V30 +p1464 +tp1465 +a(g697 +g978 +tp1466 +a(g428 +VLT +p1467 +tp1468 +a(g697 +g978 +tp1469 +a(g428 +VCHGE +p1470 +tp1471 +a(g697 +g976 +tp1472 +a(g697 +g976 +tp1473 +a(g843 +V +p1474 +tp1475 +a(g749 +VTHIS STATEMENT CALLS THE X +p1476 +tp1477 +a(g830 +V\u000a +p1478 +tp1479 +a(g8 +V// +p1480 +tp1481 +a(g843 +V +p1482 +tp1483 +a(g428 +VBILLING +p1484 +tp1485 +a(g843 +g960 +tp1486 +a(g749 +VPROCEDURE AND SPECIFIES RETURN CODE TESTS FOR THREEX +p1487 +tp1488 +a(g830 +V\u000a +p1489 +tp1490 +a(g8 +V// +p1491 +tp1492 +a(g843 +V +p1493 +tp1494 +a(g428 +VPROCEDURE +p1495 +tp1496 +a(g843 +g960 +tp1497 +a(g749 +VSTEPS. +p1498 +tp1499 +a(g830 +V\u000a +p1500 +tp1501 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.jsgf b/tests/examplefiles/output/example.jsgf new file mode 100644 index 0000000..7fb69b2 --- /dev/null +++ b/tests/examplefiles/output/example.jsgf @@ -0,0 +1,2998 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#JSGF V1.0 UTF-8 en +p956 +tp957 +a(g706 +V; +p958 +tp959 +a(g827 +V\u000a\u000a +p960 +tp961 +a(g794 +Vgrammar +p962 +tp963 +a(g827 +V +p964 +tp965 +a(g621 +Vorg +p966 +tp967 +a(g706 +V. +p968 +tp969 +a(g621 +Vpygments +p970 +tp971 +a(g706 +g968 +tp972 +a(g621 +Vexample +p973 +tp974 +a(g706 +g958 +tp975 +a(g827 +V\u000a\u000a +p976 +tp977 +a(g898 +V// comment /* +p978 +tp979 +a(g827 +V\u000a +p980 +tp981 +a(g929 +V/*\u000a multi-line\u000a comment\u000a /* without nesting\u000a @example doesn't mean anything here.\u000a*/ +p982 +tp983 +a(g827 +V\u000a +p984 +tp985 +a(g929 +V/**/ +p986 +tp987 +a(g827 +V\u000a\u000a +p988 +tp989 +a(g929 +V/** +p990 +tp991 +a(g929 +V\u000a +p992 +tp993 +a(g929 +V +p994 +tp995 +a(g929 +V* +p996 +tp997 +a(g929 +V A silly +p998 +tp999 +a(g929 +V@ +p1000 +tp1001 +a(g929 +Vexample grammar. +p1002 +tp1003 +a(g929 +V\u000a +p1004 +tp1005 +a(g929 +V +p1006 +tp1007 +a(g929 +g996 +tp1008 +a(g929 +V\u000a +p1009 +tp1010 +a(g929 +V * +p1011 +tp1012 +a(g883 +V@author +p1013 +tp1014 +a(g929 +V David Corbett +p1015 +tp1016 +a(g929 +V\u000a +p1017 +tp1018 +a(g929 +V * +p1019 +tp1020 +a(g883 +V@version +p1021 +tp1022 +a(g929 +V 1 +p1023 +tp1024 +a(g929 +V\u000a +p1025 +tp1026 +a(g929 +V* +p1027 +tp1028 +a(g883 +V@see +p1029 +tp1030 +a(g706 +V< +p1031 +tp1032 +a(g621 +Vorg +p1033 +tp1034 +a(g706 +g968 +tp1035 +a(g621 +Vpygments +p1036 +tp1037 +a(g706 +g968 +tp1038 +a(g621 +Vexample +p1039 +tp1040 +a(g706 +g968 +tp1041 +a(g566 +Ven +p1042 +tp1043 +a(g706 +V> +p1044 +tp1045 +a(g827 +V\u000a +p1046 +tp1047 +a(g929 +V * +p1048 +tp1049 +a(g883 +V@example +p1050 +tp1051 +a(g827 +Vhello +p1052 +tp1053 +a(g827 +g964 +tp1054 +a(g827 +Vworld +p1055 +tp1056 +a(g827 +V\u000a +p1057 +tp1058 +a(g929 +V +p1059 +tp1060 +a(g883 +V@example +p1061 +tp1062 +a(g827 +Vhello +p1063 +tp1064 +a(g827 +g964 +tp1065 +a(g157 +V" +p1066 +tp1067 +a(g157 +V, +p1068 +tp1069 +a(g157 +g1066 +tp1070 +a(g929 +V\u000a * +p1071 +tp1072 +a(g929 +V\u000a * +p1073 +tp1074 +a(g413 +g996 +tp1075 +a(g827 +g1000 +tp1076 +a(g827 +Vblah +p1077 +tp1078 +a(g929 +V\u000a * +p1079 +tp1080 +a(g413 +g996 +tp1081 +a(g827 +Vworld +p1082 +tp1083 +a(g827 +V\u000a +p1084 +tp1085 +a(g929 +V*/ +p1086 +tp1087 +a(g827 +V\u000a +p1088 +tp1089 +a(g794 +Vpublic +p1090 +tp1091 +a(g827 +g964 +tp1092 +a(g706 +g1031 +tp1093 +a(g566 +Vsentence +p1094 +tp1095 +a(g706 +g1044 +tp1096 +a(g827 +g964 +tp1097 +a(g413 +V= +p1098 +tp1099 +a(g827 +g964 +tp1100 +a(g413 +V( +p1101 +tp1102 +a(g315 +V/1/ +p1103 +tp1104 +a(g706 +g1031 +tp1105 +a(g566 +Ven +p1106 +tp1107 +a(g706 +g1044 +tp1108 +a(g827 +g964 +tp1109 +a(g413 +V| +p1110 +tp1111 +a(g827 +g964 +tp1112 +a(g315 +V/ 0.8f / +p1113 +tp1114 +a(g706 +g1031 +tp1115 +a(g566 +Vfr +p1116 +tp1117 +a(g706 +g1044 +tp1118 +a(g827 +g964 +tp1119 +a(g413 +g1110 +tp1120 +a(g315 +V/0/ +p1121 +tp1122 +a(g706 +g1031 +tp1123 +a(g682 +VVOID +p1124 +tp1125 +a(g706 +g1044 +tp1126 +a(g157 +g1066 +tp1127 +a(g157 +g1066 +tp1128 +a(g157 +g1066 +tp1129 +a(g157 +g1066 +tp1130 +a(g237 +V{ +p1131 +tp1132 +a(g237 +V} +p1133 +tp1134 +a(g237 +g1131 +tp1135 +a(g237 +g1133 +tp1136 +a(g413 +V) +p1137 +tp1138 +a(g413 +g996 +tp1139 +a(g706 +g1031 +tp1140 +a(g682 +VNULL +p1141 +tp1142 +a(g706 +g1044 +tp1143 +a(g706 +g958 +tp1144 +a(g827 +V\u000a +p1145 +tp1146 +a(g706 +g1031 +tp1147 +a(g621 +Vorg +p1148 +tp1149 +a(g706 +g968 +tp1150 +a(g621 +Vpygments +p1151 +tp1152 +a(g706 +g968 +tp1153 +a(g621 +Vexample +p1154 +tp1155 +a(g706 +g968 +tp1156 +a(g566 +Vfr +p1157 +tp1158 +a(g706 +g1044 +tp1159 +a(g827 +g964 +tp1160 +a(g413 +g1098 +tp1161 +a(g827 +g964 +tp1162 +a(g827 +Vbonjour +p1163 +tp1164 +a(g827 +g964 +tp1165 +a(g237 +g1131 +tp1166 +a(g237 +Vhello +p1167 +tp1168 +a(g237 +g1133 +tp1169 +a(g827 +g964 +tp1170 +a(g413 +V[ +p1171 +tp1172 +a(g827 +g1068 +tp1173 +a(g413 +V] +p1174 +tp1175 +a(g827 +g964 +tp1176 +a(g157 +g1066 +tp1177 +a(g157 +Vle monde +p1178 +tp1179 +a(g157 +g1066 +tp1180 +a(g827 +g964 +tp1181 +a(g237 +g1131 +tp1182 +a(g237 +Vworld +p1183 +tp1184 +a(g237 +g1133 +tp1185 +a(g706 +g958 +tp1186 +a(g827 +V\u000a +p1187 +tp1188 +a(g706 +g1031 +tp1189 +a(g566 +Ven +p1190 +tp1191 +a(g706 +g1044 +tp1192 +a(g827 +g964 +tp1193 +a(g413 +g1098 +tp1194 +a(g827 +g964 +tp1195 +a(g413 +g1101 +tp1196 +a(g315 +V/56/ +p1197 +tp1198 +a(g827 +Vhello +p1199 +tp1200 +a(g413 +g1110 +tp1201 +a(g315 +V/3.14e3/ +p1202 +tp1203 +a(g157 +g1066 +tp1204 +a(g213 +V\u005c" +p1205 +tp1206 +a(g157 +Vhello +p1207 +tp1208 +a(g213 +V\u005c" +p1209 +tp1210 +a(g157 +g1066 +tp1211 +a(g413 +g1137 +tp1212 +a(g827 +g964 +tp1213 +a(g237 +g1131 +tp1214 +a(g237 +Vhello +p1215 +tp1216 +a(g237 +g1133 +tp1217 +a(g827 +g964 +tp1218 +a(g237 +g1131 +tp1219 +a(g237 +V{ { +p1220 +tp1221 +a(g213 +V\u005c\u005c +p1222 +tp1223 +a(g237 +V// +p1224 +tp1225 +a(g213 +V\u005c} +p1226 +tp1227 +a(g237 +g964 +tp1228 +a(g237 +g1133 +tp1229 +a(g827 +g964 +tp1230 +a(g827 +Vworld +p1231 +tp1232 +a(g827 +g964 +tp1233 +a(g237 +g1131 +tp1234 +a(g237 +Vworld +p1235 +tp1236 +a(g237 +g1133 +tp1237 +a(g827 +g964 +tp1238 +a(g827 +V! +p1239 +tp1240 +a(g413 +V+ +p1241 +tp1242 +a(g827 +g964 +tp1243 +a(g706 +g958 +tp1244 +a(g827 +V\u000a +p1245 +tp1246 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.jsonld b/tests/examplefiles/output/example.jsonld new file mode 100644 index 0000000..1f213e4 --- /dev/null +++ b/tests/examplefiles/output/example.jsonld @@ -0,0 +1,2786 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV{ +p956 +tp957 +a(g892 +V\u000a +p958 +tp959 +a(g483 +V"@context" +p960 +tp961 +a(g7 +V: +p962 +tp963 +a(g892 +V +p964 +tp965 +a(g7 +g956 +tp966 +a(g892 +V\u000a +p967 +tp968 +a(g545 +V"schema" +p969 +tp970 +a(g7 +g962 +tp971 +a(g892 +g964 +tp972 +a(g144 +V"http://schema.org/" +p973 +tp974 +a(g7 +V, +p975 +tp976 +a(g892 +V\u000a +p977 +tp978 +a(g545 +V"name" +p979 +tp980 +a(g7 +g962 +tp981 +a(g892 +g964 +tp982 +a(g144 +V"schema:name" +p983 +tp984 +a(g7 +g975 +tp985 +a(g892 +V\u000a +p986 +tp987 +a(g545 +V"body" +p988 +tp989 +a(g7 +g962 +tp990 +a(g892 +g964 +tp991 +a(g144 +V"schema:articleBody" +p992 +tp993 +a(g7 +g975 +tp994 +a(g892 +V\u000a +p995 +tp996 +a(g545 +V"words" +p997 +tp998 +a(g7 +g962 +tp999 +a(g892 +g964 +tp1000 +a(g144 +V"schema:wordCount" +p1001 +tp1002 +a(g7 +g975 +tp1003 +a(g892 +V\u000a +p1004 +tp1005 +a(g545 +V"post" +p1006 +tp1007 +a(g7 +g962 +tp1008 +a(g892 +g964 +tp1009 +a(g7 +g956 +tp1010 +a(g892 +V\u000a +p1011 +tp1012 +a(g483 +V"@id" +p1013 +tp1014 +a(g7 +g962 +tp1015 +a(g892 +g964 +tp1016 +a(g144 +V"schema:blogPost" +p1017 +tp1018 +a(g7 +g975 +tp1019 +a(g892 +V\u000a +p1020 +tp1021 +a(g483 +V"@container" +p1022 +tp1023 +a(g7 +g962 +tp1024 +a(g892 +g964 +tp1025 +a(g144 +V"@index" +p1026 +tp1027 +a(g892 +V\u000a +p1028 +tp1029 +a(g7 +V} +p1030 +tp1031 +a(g892 +V\u000a +p1032 +tp1033 +a(g7 +g1030 +tp1034 +a(g7 +g975 +tp1035 +a(g892 +V\u000a +p1036 +tp1037 +a(g483 +V"@id" +p1038 +tp1039 +a(g7 +g962 +tp1040 +a(g892 +g964 +tp1041 +a(g144 +V"http://example.com/" +p1042 +tp1043 +a(g7 +g975 +tp1044 +a(g892 +V\u000a +p1045 +tp1046 +a(g483 +V"@type" +p1047 +tp1048 +a(g7 +g962 +tp1049 +a(g892 +g964 +tp1050 +a(g144 +V"schema:Blog" +p1051 +tp1052 +a(g7 +g975 +tp1053 +a(g892 +V\u000a +p1054 +tp1055 +a(g545 +V"name" +p1056 +tp1057 +a(g7 +g962 +tp1058 +a(g892 +g964 +tp1059 +a(g144 +V"World Financial News" +p1060 +tp1061 +a(g7 +g975 +tp1062 +a(g892 +V\u000a +p1063 +tp1064 +a(g545 +V"post" +p1065 +tp1066 +a(g7 +g962 +tp1067 +a(g892 +g964 +tp1068 +a(g7 +g956 +tp1069 +a(g892 +V\u000a +p1070 +tp1071 +a(g545 +V"en" +p1072 +tp1073 +a(g7 +g962 +tp1074 +a(g892 +g964 +tp1075 +a(g7 +g956 +tp1076 +a(g892 +V\u000a +p1077 +tp1078 +a(g483 +V"@id" +p1079 +tp1080 +a(g7 +g962 +tp1081 +a(g892 +g964 +tp1082 +a(g144 +V"http://example.com/posts/1/en" +p1083 +tp1084 +a(g7 +g975 +tp1085 +a(g892 +V\u000a +p1086 +tp1087 +a(g545 +V"body" +p1088 +tp1089 +a(g7 +g962 +tp1090 +a(g892 +g964 +tp1091 +a(g144 +V"World commodities were up today with heavy trading of crude oil..." +p1092 +tp1093 +a(g7 +g975 +tp1094 +a(g892 +V\u000a +p1095 +tp1096 +a(g545 +V"words" +p1097 +tp1098 +a(g7 +g962 +tp1099 +a(g892 +g964 +tp1100 +a(g22 +V1539 +p1101 +tp1102 +a(g892 +V\u000a +p1103 +tp1104 +a(g7 +g1030 +tp1105 +a(g7 +g975 +tp1106 +a(g892 +V\u000a +p1107 +tp1108 +a(g545 +V"de" +p1109 +tp1110 +a(g7 +g962 +tp1111 +a(g892 +g964 +tp1112 +a(g7 +g956 +tp1113 +a(g892 +V\u000a +p1114 +tp1115 +a(g483 +V"@id" +p1116 +tp1117 +a(g7 +g962 +tp1118 +a(g892 +g964 +tp1119 +a(g144 +V"http://example.com/posts/1/de" +p1120 +tp1121 +a(g7 +g975 +tp1122 +a(g892 +V\u000a +p1123 +tp1124 +a(g545 +V"body" +p1125 +tp1126 +a(g7 +g962 +tp1127 +a(g892 +g964 +tp1128 +a(g144 +V"Die Werte an Warenbörsen stiegen im Sog eines starken Handels von Rohöl..." +p1129 +tp1130 +a(g7 +g975 +tp1131 +a(g892 +V\u000a +p1132 +tp1133 +a(g545 +V"words" +p1134 +tp1135 +a(g7 +g962 +tp1136 +a(g892 +g964 +tp1137 +a(g22 +V1204 +p1138 +tp1139 +a(g892 +V\u000a +p1140 +tp1141 +a(g7 +g1030 +tp1142 +a(g892 +V\u000a +p1143 +tp1144 +a(g7 +g1030 +tp1145 +a(g892 +V\u000a +p1146 +tp1147 +a(g7 +g1030 +tp1148 +a(g892 +V\u000a +p1149 +tp1150 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.juttle b/tests/examplefiles/output/example.juttle new file mode 100644 index 0000000..b6aed68 --- /dev/null +++ b/tests/examplefiles/output/example.juttle @@ -0,0 +1,3995 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g792 +V/* Block comment */ +p958 +tp959 +a(g7 +V\u000a +p960 +tp961 +a(g792 +V/*\u000a Multiline block\u000a comment\u000a*/ +p962 +tp963 +a(g7 +V\u000a\u000a +p964 +tp965 +a(g761 +V// inline comment\u000a +p966 +tp967 +a(g907 +Vfunction +p968 +tp969 +a(g7 +V +p970 +tp971 +a(g654 +VjuttleFunction +p972 +tp973 +a(g709 +V( +p974 +tp975 +a(g654 +Varg +p976 +tp977 +a(g709 +V) +p978 +tp979 +a(g7 +g970 +tp980 +a(g709 +V{ +p981 +tp982 +a(g7 +V\u000a +p983 +tp984 +a(g842 +Vif +p985 +tp986 +a(g7 +g970 +tp987 +a(g709 +g974 +tp988 +a(g654 +Varg +p989 +tp990 +a(g7 +g970 +tp991 +a(g416 +V== +p992 +tp993 +a(g7 +g970 +tp994 +a(g861 +Vnull +p995 +tp996 +a(g709 +g978 +tp997 +a(g7 +g970 +tp998 +a(g709 +g981 +tp999 +a(g7 +V\u000a +p1000 +tp1001 +a(g842 +Vreturn +p1002 +tp1003 +a(g7 +g970 +tp1004 +a(g861 +Vnull +p1005 +tp1006 +a(g709 +V; +p1007 +tp1008 +a(g7 +V\u000a +p1009 +tp1010 +a(g709 +V} +p1011 +tp1012 +a(g7 +V\u000a +p1013 +tp1014 +a(g842 +Velse +p1015 +tp1016 +a(g7 +g970 +tp1017 +a(g842 +Vif +p1018 +tp1019 +a(g7 +g970 +tp1020 +a(g709 +g974 +tp1021 +a(g654 +Varg +p1022 +tp1023 +a(g7 +g970 +tp1024 +a(g416 +V== +p1025 +tp1026 +a(g7 +g970 +tp1027 +a(g40 +V0 +p1028 +tp1029 +a(g709 +g978 +tp1030 +a(g7 +g970 +tp1031 +a(g709 +g981 +tp1032 +a(g7 +V\u000a +p1033 +tp1034 +a(g842 +Vreturn +p1035 +tp1036 +a(g7 +g970 +tp1037 +a(g233 +V'zero' +p1038 +tp1039 +a(g709 +g1007 +tp1040 +a(g7 +V\u000a +p1041 +tp1042 +a(g709 +g1011 +tp1043 +a(g7 +V\u000a +p1044 +tp1045 +a(g842 +Velse +p1046 +tp1047 +a(g7 +g970 +tp1048 +a(g842 +Vif +p1049 +tp1050 +a(g7 +g970 +tp1051 +a(g709 +g974 +tp1052 +a(g654 +Varg +p1053 +tp1054 +a(g7 +g970 +tp1055 +a(g416 +V== +p1056 +tp1057 +a(g7 +g970 +tp1058 +a(g40 +V1 +p1059 +tp1060 +a(g709 +g978 +tp1061 +a(g7 +g970 +tp1062 +a(g709 +g981 +tp1063 +a(g7 +V\u000a +p1064 +tp1065 +a(g842 +Vreturn +p1066 +tp1067 +a(g7 +g970 +tp1068 +a(g160 +V"one" +p1069 +tp1070 +a(g709 +g1007 +tp1071 +a(g7 +V\u000a +p1072 +tp1073 +a(g709 +g1011 +tp1074 +a(g7 +V\u000a +p1075 +tp1076 +a(g842 +Velse +p1077 +tp1078 +a(g7 +g970 +tp1079 +a(g709 +g981 +tp1080 +a(g7 +V\u000a +p1081 +tp1082 +a(g842 +Vreturn +p1083 +tp1084 +a(g7 +g970 +tp1085 +a(g318 +V1.1 +p1086 +tp1087 +a(g709 +g1007 +tp1088 +a(g7 +V\u000a +p1089 +tp1090 +a(g709 +g1011 +tp1091 +a(g7 +V\u000a +p1092 +tp1093 +a(g709 +g1011 +tp1094 +a(g7 +V\u000a\u000a +p1095 +tp1096 +a(g907 +Vreducer +p1097 +tp1098 +a(g7 +g970 +tp1099 +a(g654 +VjuttleReducer +p1100 +tp1101 +a(g709 +g974 +tp1102 +a(g654 +Vfield +p1103 +tp1104 +a(g709 +g978 +tp1105 +a(g7 +g970 +tp1106 +a(g709 +g981 +tp1107 +a(g7 +V\u000a +p1108 +tp1109 +a(g907 +Vvar +p1110 +tp1111 +a(g7 +g970 +tp1112 +a(g654 +Vx +p1113 +tp1114 +a(g7 +g970 +tp1115 +a(g416 +V= +p1116 +tp1117 +a(g7 +g970 +tp1118 +a(g40 +g1028 +tp1119 +a(g709 +g1007 +tp1120 +a(g7 +V\u000a +p1121 +tp1122 +a(g907 +Vfunction +p1123 +tp1124 +a(g7 +g970 +tp1125 +a(g654 +Vupdate +p1126 +tp1127 +a(g709 +g974 +tp1128 +a(g709 +g978 +tp1129 +a(g7 +g970 +tp1130 +a(g709 +g981 +tp1131 +a(g7 +V\u000a +p1132 +tp1133 +a(g654 +g1113 +tp1134 +a(g7 +g970 +tp1135 +a(g416 +g1116 +tp1136 +a(g7 +g970 +tp1137 +a(g416 +V* +p1138 +tp1139 +a(g654 +Vfield +p1140 +tp1141 +a(g709 +g1007 +tp1142 +a(g7 +V\u000a +p1143 +tp1144 +a(g709 +g1011 +tp1145 +a(g7 +V\u000a\u000a +p1146 +tp1147 +a(g907 +Vfunction +p1148 +tp1149 +a(g7 +g970 +tp1150 +a(g654 +Vresult +p1151 +tp1152 +a(g709 +g974 +tp1153 +a(g709 +g978 +tp1154 +a(g7 +g970 +tp1155 +a(g709 +g981 +tp1156 +a(g7 +V\u000a +p1157 +tp1158 +a(g842 +Vreturn +p1159 +tp1160 +a(g7 +g970 +tp1161 +a(g654 +g1113 +tp1162 +a(g709 +g1007 +tp1163 +a(g7 +V\u000a +p1164 +tp1165 +a(g709 +g1011 +tp1166 +a(g7 +V\u000a +p1167 +tp1168 +a(g709 +g1011 +tp1169 +a(g7 +V\u000a\u000a +p1170 +tp1171 +a(g907 +Vsub +p1172 +tp1173 +a(g7 +g970 +tp1174 +a(g654 +Vmyemit +p1175 +tp1176 +a(g709 +g974 +tp1177 +a(g654 +Vlimit +p1178 +tp1179 +a(g709 +g978 +tp1180 +a(g7 +g970 +tp1181 +a(g709 +g981 +tp1182 +a(g7 +V\u000a +p1183 +tp1184 +a(g891 +Vemit +p1185 +tp1186 +a(g7 +g970 +tp1187 +a(g416 +V- +p1188 +tp1189 +a(g654 +Vlimit +p1190 +tp1191 +a(g7 +g970 +tp1192 +a(g654 +Vlimit +p1193 +tp1194 +a(g7 +V\u000a +p1195 +tp1196 +a(g709 +g1011 +tp1197 +a(g7 +V\u000a\u000a +p1198 +tp1199 +a(g907 +Vinput +p1200 +tp1201 +a(g7 +g970 +tp1202 +a(g654 +Vtest +p1203 +tp1204 +a(g416 +V: +p1205 +tp1206 +a(g7 +g970 +tp1207 +a(g654 +Vtext +p1208 +tp1209 +a(g7 +g970 +tp1210 +a(g416 +g1188 +tp1211 +a(g654 +Vdefault +p1212 +tp1213 +a(g7 +g970 +tp1214 +a(g233 +V'input' +p1215 +tp1216 +a(g709 +g1007 +tp1217 +a(g7 +V\u000a +p1218 +tp1219 +a(g907 +Vconst +p1220 +tp1221 +a(g7 +g970 +tp1222 +a(g654 +Vobject +p1223 +tp1224 +a(g7 +g970 +tp1225 +a(g416 +g1116 +tp1226 +a(g7 +g970 +tp1227 +a(g709 +g981 +tp1228 +a(g7 +V\u000a +p1229 +tp1230 +a(g654 +Vxyz +p1231 +tp1232 +a(g416 +g1205 +tp1233 +a(g7 +g970 +tp1234 +a(g40 +V123 +p1235 +tp1236 +a(g709 +V, +p1237 +tp1238 +a(g7 +V\u000a +p1239 +tp1240 +a(g654 +Vname +p1241 +tp1242 +a(g416 +g1205 +tp1243 +a(g7 +g970 +tp1244 +a(g233 +V'something' +p1245 +tp1246 +a(g7 +V\u000a +p1247 +tp1248 +a(g709 +g1011 +tp1249 +a(g709 +g1007 +tp1250 +a(g7 +V\u000a\u000a +p1251 +tp1252 +a(g907 +Vconst +p1253 +tp1254 +a(g7 +g970 +tp1255 +a(g654 +Varray +p1256 +tp1257 +a(g7 +g970 +tp1258 +a(g416 +g1116 +tp1259 +a(g7 +g970 +tp1260 +a(g709 +V[ +p1261 +tp1262 +a(g7 +V\u000a +p1263 +tp1264 +a(g248 +V:2016-01-01: +p1265 +tp1266 +a(g709 +g1237 +tp1267 +a(g7 +V\u000a +p1268 +tp1269 +a(g248 +V:2016-01-01T01:00:00: +p1270 +tp1271 +a(g709 +g1237 +tp1272 +a(g7 +V\u000a +p1273 +tp1274 +a(g248 +V:2016-01-01T01:00:00.000: +p1275 +tp1276 +a(g709 +g1237 +tp1277 +a(g7 +V\u000a +p1278 +tp1279 +a(g248 +V:2016-01-01T01:00:00.000Z: +p1280 +tp1281 +a(g709 +g1237 +tp1282 +a(g7 +V\u000a +p1283 +tp1284 +a(g248 +V:2016-01-01T01:00:00.000-0800: +p1285 +tp1286 +a(g709 +g1237 +tp1287 +a(g7 +V\u000a +p1288 +tp1289 +a(g248 +V:2016-01-01T01:00:00.000-08:00: +p1290 +tp1291 +a(g709 +g1237 +tp1292 +a(g7 +V\u000a +p1293 +tp1294 +a(g248 +V:00:00:01: +p1295 +tp1296 +a(g709 +g1237 +tp1297 +a(g7 +V\u000a +p1298 +tp1299 +a(g248 +V:00:00:00.001: +p1300 +tp1301 +a(g709 +g1237 +tp1302 +a(g7 +V\u000a +p1303 +tp1304 +a(g248 +V:now: +p1305 +tp1306 +a(g709 +g1237 +tp1307 +a(g7 +V\u000a +p1308 +tp1309 +a(g248 +V:beginning: +p1310 +tp1311 +a(g709 +g1237 +tp1312 +a(g7 +V\u000a +p1313 +tp1314 +a(g248 +V:end: +p1315 +tp1316 +a(g709 +g1237 +tp1317 +a(g7 +V\u000a +p1318 +tp1319 +a(g248 +V:forever: +p1320 +tp1321 +a(g709 +g1237 +tp1322 +a(g7 +V\u000a +p1323 +tp1324 +a(g248 +V:yesterday: +p1325 +tp1326 +a(g709 +g1237 +tp1327 +a(g7 +V\u000a +p1328 +tp1329 +a(g248 +V:today: +p1330 +tp1331 +a(g709 +g1237 +tp1332 +a(g7 +V\u000a +p1333 +tp1334 +a(g248 +V:tomorrow: +p1335 +tp1336 +a(g709 +g1237 +tp1337 +a(g7 +V\u000a +p1338 +tp1339 +a(g248 +V:1: +p1340 +tp1341 +a(g709 +g1237 +tp1342 +a(g7 +V\u000a +p1343 +tp1344 +a(g248 +V:1.1: +p1345 +tp1346 +a(g709 +g1237 +tp1347 +a(g7 +V\u000a +p1348 +tp1349 +a(g248 +V:1s: +p1350 +tp1351 +a(g709 +g1237 +tp1352 +a(g7 +V\u000a +p1353 +tp1354 +a(g248 +V:1 second: +p1355 +tp1356 +a(g709 +g1237 +tp1357 +a(g7 +V\u000a +p1358 +tp1359 +a(g248 +V:1 seconds: +p1360 +tp1361 +a(g709 +g1237 +tp1362 +a(g7 +V\u000a +p1363 +tp1364 +a(g248 +V:100ms: +p1365 +tp1366 +a(g709 +g1237 +tp1367 +a(g7 +V\u000a +p1368 +tp1369 +a(g248 +V:100 millisecond: +p1370 +tp1371 +a(g709 +g1237 +tp1372 +a(g7 +V\u000a +p1373 +tp1374 +a(g248 +V:100 milliseconds: +p1375 +tp1376 +a(g709 +g1237 +tp1377 +a(g7 +V\u000a +p1378 +tp1379 +a(g248 +V:1d: +p1380 +tp1381 +a(g709 +g1237 +tp1382 +a(g7 +V\u000a +p1383 +tp1384 +a(g248 +V:1 day: +p1385 +tp1386 +a(g709 +g1237 +tp1387 +a(g7 +V\u000a +p1388 +tp1389 +a(g248 +V:1 days: +p1390 +tp1391 +a(g709 +g1237 +tp1392 +a(g7 +V\u000a +p1393 +tp1394 +a(g248 +V:.2h: +p1395 +tp1396 +a(g709 +g1237 +tp1397 +a(g7 +V\u000a +p1398 +tp1399 +a(g248 +V:1.2h: +p1400 +tp1401 +a(g709 +g1237 +tp1402 +a(g7 +V\u000a +p1403 +tp1404 +a(g248 +V:.2 hour: +p1405 +tp1406 +a(g709 +g1237 +tp1407 +a(g7 +V\u000a +p1408 +tp1409 +a(g248 +V:1.2 hours: +p1410 +tp1411 +a(g709 +g1237 +tp1412 +a(g7 +V\u000a +p1413 +tp1414 +a(g248 +V:.5d: +p1415 +tp1416 +a(g709 +g1237 +tp1417 +a(g7 +V\u000a +p1418 +tp1419 +a(g248 +V:1.5d: +p1420 +tp1421 +a(g709 +g1237 +tp1422 +a(g7 +V\u000a +p1423 +tp1424 +a(g248 +V:.5 day: +p1425 +tp1426 +a(g709 +g1237 +tp1427 +a(g7 +V\u000a +p1428 +tp1429 +a(g248 +V:1.5 days: +p1430 +tp1431 +a(g709 +g1237 +tp1432 +a(g7 +V\u000a +p1433 +tp1434 +a(g248 +V:5m: +p1435 +tp1436 +a(g709 +g1237 +tp1437 +a(g7 +V\u000a +p1438 +tp1439 +a(g248 +V:5 minutes: +p1440 +tp1441 +a(g709 +g1237 +tp1442 +a(g7 +V\u000a +p1443 +tp1444 +a(g248 +V:10w: +p1445 +tp1446 +a(g709 +g1237 +tp1447 +a(g7 +V\u000a +p1448 +tp1449 +a(g248 +V:10 weeks: +p1450 +tp1451 +a(g709 +g1237 +tp1452 +a(g7 +V\u000a +p1453 +tp1454 +a(g248 +V:10M: +p1455 +tp1456 +a(g709 +g1237 +tp1457 +a(g7 +V\u000a +p1458 +tp1459 +a(g248 +V:10 months: +p1460 +tp1461 +a(g709 +g1237 +tp1462 +a(g7 +V\u000a +p1463 +tp1464 +a(g248 +V:100y: +p1465 +tp1466 +a(g709 +g1237 +tp1467 +a(g7 +V\u000a +p1468 +tp1469 +a(g248 +V:100 years: +p1470 +tp1471 +a(g709 +g1237 +tp1472 +a(g7 +V\u000a +p1473 +tp1474 +a(g248 +V:1 year and 2 months and 2 days: +p1475 +tp1476 +a(g7 +V\u000a +p1477 +tp1478 +a(g709 +V] +p1479 +tp1480 +a(g709 +g1007 +tp1481 +a(g7 +V\u000a\u000a +p1482 +tp1483 +a(g891 +Vemit +p1484 +tp1485 +a(g7 +V\u000a +p1486 +tp1487 +a(g416 +V| +p1488 +tp1489 +a(g7 +g970 +tp1490 +a(g891 +Vbatch +p1491 +tp1492 +a(g7 +g970 +tp1493 +a(g248 +V:10 minutes: +p1494 +tp1495 +a(g7 +V\u000a +p1496 +tp1497 +a(g416 +g1488 +tp1498 +a(g7 +g970 +tp1499 +a(g891 +Vfilter +p1500 +tp1501 +a(g7 +g970 +tp1502 +a(g654 +g1113 +tp1503 +a(g416 +g1116 +tp1504 +a(g861 +Vtrue +p1505 +tp1506 +a(g7 +V\u000a +p1507 +tp1508 +a(g416 +g1488 +tp1509 +a(g7 +g970 +tp1510 +a(g891 +Vhead +p1511 +tp1512 +a(g7 +g970 +tp1513 +a(g40 +g1059 +tp1514 +a(g7 +V\u000a +p1515 +tp1516 +a(g416 +g1488 +tp1517 +a(g7 +g970 +tp1518 +a(g891 +Vjoin +p1519 +tp1520 +a(g7 +V\u000a +p1521 +tp1522 +a(g416 +g1488 +tp1523 +a(g7 +g970 +tp1524 +a(g891 +Vkeep +p1525 +tp1526 +a(g7 +g970 +tp1527 +a(g654 +g1113 +tp1528 +a(g7 +V\u000a +p1529 +tp1530 +a(g416 +g1488 +tp1531 +a(g7 +g970 +tp1532 +a(g891 +Vpace +p1533 +tp1534 +a(g7 +g970 +tp1535 +a(g416 +g1188 +tp1536 +a(g654 +Vevery +p1537 +tp1538 +a(g7 +g970 +tp1539 +a(g248 +V:1 minute: +p1540 +tp1541 +a(g7 +V\u000a +p1542 +tp1543 +a(g416 +g1488 +tp1544 +a(g7 +g970 +tp1545 +a(g891 +Vpass +p1546 +tp1547 +a(g7 +V\u000a +p1548 +tp1549 +a(g416 +g1488 +tp1550 +a(g7 +g970 +tp1551 +a(g891 +Vput +p1552 +tp1553 +a(g7 +g970 +tp1554 +a(g654 +Vy +p1555 +tp1556 +a(g416 +g1116 +tp1557 +a(g861 +Vfalse +p1558 +tp1559 +a(g7 +V\u000a +p1560 +tp1561 +a(g416 +g1488 +tp1562 +a(g7 +g970 +tp1563 +a(g891 +Vremove +p1564 +tp1565 +a(g7 +g970 +tp1566 +a(g654 +Vz +p1567 +tp1568 +a(g7 +V\u000a +p1569 +tp1570 +a(g416 +g1488 +tp1571 +a(g7 +g970 +tp1572 +a(g891 +Vsequence +p1573 +tp1574 +a(g7 +V\u000a +p1575 +tp1576 +a(g416 +g1488 +tp1577 +a(g7 +g970 +tp1578 +a(g891 +Vskip +p1579 +tp1580 +a(g7 +g970 +tp1581 +a(g40 +g1059 +tp1582 +a(g7 +V\u000a +p1583 +tp1584 +a(g416 +g1488 +tp1585 +a(g7 +g970 +tp1586 +a(g891 +Vsort +p1587 +tp1588 +a(g7 +g970 +tp1589 +a(g654 +Vfield +p1590 +tp1591 +a(g7 +g970 +tp1592 +a(g416 +g1188 +tp1593 +a(g654 +Vdesc +p1594 +tp1595 +a(g7 +V\u000a +p1596 +tp1597 +a(g416 +g1488 +tp1598 +a(g7 +g970 +tp1599 +a(g891 +Vsplit +p1600 +tp1601 +a(g7 +g970 +tp1602 +a(g654 +Vfield +p1603 +tp1604 +a(g7 +V\u000a +p1605 +tp1606 +a(g416 +g1488 +tp1607 +a(g7 +g970 +tp1608 +a(g891 +Vtail +p1609 +tp1610 +a(g7 +g970 +tp1611 +a(g40 +V10 +p1612 +tp1613 +a(g7 +V\u000a +p1614 +tp1615 +a(g416 +g1488 +tp1616 +a(g7 +g970 +tp1617 +a(g891 +Vunbatch +p1618 +tp1619 +a(g7 +V\u000a +p1620 +tp1621 +a(g416 +g1488 +tp1622 +a(g7 +g970 +tp1623 +a(g891 +Vuniq +p1624 +tp1625 +a(g7 +g970 +tp1626 +a(g654 +Vfield +p1627 +tp1628 +a(g7 +V\u000a +p1629 +tp1630 +a(g709 +g1007 +tp1631 +a(g7 +V\u000a\u000a +p1632 +tp1633 +a(g891 +Vread +p1634 +tp1635 +a(g7 +g970 +tp1636 +a(g654 +Vadapter +p1637 +tp1638 +a(g7 +g970 +tp1639 +a(g416 +g1188 +tp1640 +a(g654 +Vlast +p1641 +tp1642 +a(g7 +g970 +tp1643 +a(g248 +V:day: +p1644 +tp1645 +a(g7 +g970 +tp1646 +a(g233 +V'search' +p1647 +tp1648 +a(g7 +g970 +tp1649 +a(g654 +VAND +p1650 +tp1651 +a(g7 +g970 +tp1652 +a(g654 +Vfield +p1653 +tp1654 +a(g416 +V~ +p1655 +tp1656 +a(g200 +V/pattern/ +p1657 +tp1658 +a(g7 +g970 +tp1659 +a(g654 +VOR +p1660 +tp1661 +a(g7 +g970 +tp1662 +a(g654 +Vfield +p1663 +tp1664 +a(g7 +g970 +tp1665 +a(g416 +V== +p1666 +tp1667 +a(g7 +g970 +tp1668 +a(g233 +V'string' +p1669 +tp1670 +a(g7 +V\u000a +p1671 +tp1672 +a(g416 +g1488 +tp1673 +a(g7 +g970 +tp1674 +a(g891 +Vwrite +p1675 +tp1676 +a(g7 +g970 +tp1677 +a(g654 +Vadapter +p1678 +tp1679 +a(g7 +V\u000a +p1680 +tp1681 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.kal b/tests/examplefiles/output/example.kal new file mode 100644 index 0000000..b5d8977 --- /dev/null +++ b/tests/examplefiles/output/example.kal @@ -0,0 +1,3364 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/usr/bin/env kal\u000a +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# This demo executes GET requests in parallel and in series\u000a +p960 +tp961 +a(g8 +V# using `for` loops and `wait for` statements.\u000a +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g8 +V# Notice how the serial GET requests always return in order\u000a +p966 +tp967 +a(g8 +V# and take longer in total. Parallel requests come back in\u000a +p968 +tp969 +a(g8 +V# order of receipt.\u000a +p970 +tp971 +a(g826 +V\u000a +p972 +tp973 +a(g440 +Vhttp +p974 +tp975 +a(g826 +V +p976 +tp977 +a(g412 +V= +p978 +tp979 +a(g826 +g976 +tp980 +a(g440 +Vrequire +p981 +tp982 +a(g826 +g976 +tp983 +a(g89 +V' +p984 +tp985 +a(g89 +Vhttp +p986 +tp987 +a(g89 +g984 +tp988 +a(g826 +V\u000a\u000a +p989 +tp990 +a(g440 +Vurls +p991 +tp992 +a(g826 +g976 +tp993 +a(g412 +g978 +tp994 +a(g826 +g976 +tp995 +a(g705 +V[ +p996 +tp997 +a(g89 +g984 +tp998 +a(g89 +Vhttp://www.google.com +p999 +tp1000 +a(g89 +g984 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g89 +g984 +tp1004 +a(g89 +Vhttp://www.apple.com +p1005 +tp1006 +a(g89 +g984 +tp1007 +a(g826 +V\u000a +p1008 +tp1009 +a(g89 +g984 +tp1010 +a(g89 +Vhttp://www.microsoft.com +p1011 +tp1012 +a(g89 +g984 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g89 +g984 +tp1016 +a(g89 +Vhttp://www.nodejs.org +p1017 +tp1018 +a(g89 +g984 +tp1019 +a(g826 +V\u000a +p1020 +tp1021 +a(g89 +g984 +tp1022 +a(g89 +Vhttp://www.yahoo.com +p1023 +tp1024 +a(g89 +g984 +tp1025 +a(g705 +V] +p1026 +tp1027 +a(g826 +V\u000a\u000a +p1028 +tp1029 +a(g8 +V# This function does a GET request for each URL in series\u000a +p1030 +tp1031 +a(g8 +V# It will wait for a response from each request before moving on\u000a +p1032 +tp1033 +a(g8 +V# to the next request. Notice the output will be in the same order as the\u000a +p1034 +tp1035 +a(g8 +V# urls variable every time regardless of response time.\u000a +p1036 +tp1037 +a(g8 +V# It is a task rather than a function because it is called asynchronously\u000a +p1038 +tp1039 +a(g8 +V# This allows us to use `return` to implicitly call back\u000a +p1040 +tp1041 +a(g809 +Vtask +p1042 +tp1043 +a(g826 +g976 +tp1044 +a(g573 +Vseries_demo +p1045 +tp1046 +a(g705 +V( +p1047 +tp1048 +a(g705 +V) +p1049 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a(g8 +V# The `series` keyword is optional here (for loops are serial by default)\u000a +p1053 +tp1054 +a(g826 +V +p1055 +tp1056 +a(g440 +Vtotal_time +p1057 +tp1058 +a(g826 +g976 +tp1059 +a(g412 +g978 +tp1060 +a(g826 +g976 +tp1061 +a(g37 +V0 +p1062 +tp1063 +a(g826 +V\u000a\u000a +p1064 +tp1065 +a(g744 +Vfor series +p1066 +tp1067 +a(g826 +g976 +tp1068 +a(g440 +Vurl +p1069 +tp1070 +a(g744 +Vin +p1071 +tp1072 +a(g826 +g976 +tp1073 +a(g440 +Vurls\u000a +p1074 +tp1075 +a(g440 +Vtimer +p1076 +tp1077 +a(g826 +g976 +tp1078 +a(g412 +g978 +tp1079 +a(g826 +g976 +tp1080 +a(g744 +Vnew +p1081 +tp1082 +a(g826 +g976 +tp1083 +a(g681 +VDate +p1084 +tp1085 +a(g826 +V\u000a\u000a +p1086 +tp1087 +a(g8 +V# we use the `safe` keyword because get is a "nonstandard" task\u000a +p1088 +tp1089 +a(g826 +V +p1090 +tp1091 +a(g8 +V# that does not call back with an error argument\u000a +p1092 +tp1093 +a(g826 +V +p1094 +tp1095 +a(g744 +Vsafe wait for +p1096 +tp1097 +a(g826 +g976 +tp1098 +a(g440 +Vresponse +p1099 +tp1100 +a(g744 +Vfrom +p1101 +tp1102 +a(g826 +g976 +tp1103 +a(g440 +Vhttp.get +p1104 +tp1105 +a(g440 +Vurl\u000a\u000a +p1106 +tp1107 +a(g440 +Vdelay +p1108 +tp1109 +a(g826 +g976 +tp1110 +a(g412 +g978 +tp1111 +a(g826 +g976 +tp1112 +a(g744 +Vnew +p1113 +tp1114 +a(g826 +g976 +tp1115 +a(g681 +VDate +p1116 +tp1117 +a(g705 +g1047 +tp1118 +a(g705 +g1049 +tp1119 +a(g826 +g976 +tp1120 +a(g412 +V- +p1121 +tp1122 +a(g826 +g976 +tp1123 +a(g440 +Vtimer\u000a +p1124 +tp1125 +a(g440 +Vtotal_time +p1126 +tp1127 +a(g826 +g976 +tp1128 +a(g412 +V+= +p1129 +tp1130 +a(g826 +g976 +tp1131 +a(g440 +Vdelay\u000a\u000a +p1132 +tp1133 +a(g681 +Vprint +p1134 +tp1135 +a(g826 +g976 +tp1136 +a(g89 +V" +p1137 +tp1138 +a(g89 +VGET +p1139 +tp1140 +a(g125 +V#{ +p1141 +tp1142 +a(g440 +Vurl +p1143 +tp1144 +a(g125 +V} +p1145 +tp1146 +a(g89 +V - +p1147 +tp1148 +a(g125 +V#{ +p1149 +tp1150 +a(g440 +Vresponse.statusCode +p1151 +tp1152 +a(g125 +g1145 +tp1153 +a(g89 +V - +p1154 +tp1155 +a(g125 +V#{ +p1156 +tp1157 +a(g440 +Vresponse.connection.bytesRead +p1158 +tp1159 +a(g125 +g1145 +tp1160 +a(g89 +V bytes - +p1161 +tp1162 +a(g125 +V#{ +p1163 +tp1164 +a(g440 +Vdelay +p1165 +tp1166 +a(g125 +g1145 +tp1167 +a(g89 +V ms +p1168 +tp1169 +a(g89 +g1137 +tp1170 +a(g705 +V\u000a +p1171 +tp1172 +a(g826 +V\u000a +p1173 +tp1174 +a(g8 +V# because we are in a task rather than a function, this actually exectutes a callback\u000a +p1175 +tp1176 +a(g826 +V +p1177 +tp1178 +a(g744 +Vreturn +p1179 +tp1180 +a(g826 +g976 +tp1181 +a(g440 +Vtotal_time +p1182 +tp1183 +a(g826 +V\u000a\u000a +p1184 +tp1185 +a(g8 +V# This function does a GET request for each URL in parallel\u000a +p1186 +tp1187 +a(g8 +V# It will NOT wait for a response from each request before moving on\u000a +p1188 +tp1189 +a(g8 +V# to the next request. Notice the output will be determined by the order in which\u000a +p1190 +tp1191 +a(g8 +V# the requests complete!\u000a +p1192 +tp1193 +a(g809 +Vtask +p1194 +tp1195 +a(g826 +g976 +tp1196 +a(g573 +Vparallel_demo +p1197 +tp1198 +a(g705 +g1047 +tp1199 +a(g705 +g1049 +tp1200 +a(g826 +V\u000a +p1201 +tp1202 +a(g440 +Vtotal_time +p1203 +tp1204 +a(g826 +g976 +tp1205 +a(g412 +g978 +tp1206 +a(g826 +g976 +tp1207 +a(g37 +g1062 +tp1208 +a(g826 +V\u000a\u000a +p1209 +tp1210 +a(g8 +V# The `parallel` keyword is only meaningful here because the loop contains\u000a +p1211 +tp1212 +a(g826 +V +p1213 +tp1214 +a(g8 +V# a `wait for` statement (meaning callbacks are used)\u000a +p1215 +tp1216 +a(g826 +V +p1217 +tp1218 +a(g744 +Vfor parallel +p1219 +tp1220 +a(g826 +g976 +tp1221 +a(g440 +Vurl +p1222 +tp1223 +a(g744 +Vin +p1224 +tp1225 +a(g826 +g976 +tp1226 +a(g440 +Vurls\u000a +p1227 +tp1228 +a(g440 +Vtimer +p1229 +tp1230 +a(g826 +g976 +tp1231 +a(g412 +g978 +tp1232 +a(g826 +g976 +tp1233 +a(g744 +Vnew +p1234 +tp1235 +a(g826 +g976 +tp1236 +a(g681 +VDate +p1237 +tp1238 +a(g826 +V\u000a\u000a +p1239 +tp1240 +a(g8 +V# we use the `safe` keyword because get is a "nonstandard" task\u000a +p1241 +tp1242 +a(g826 +V +p1243 +tp1244 +a(g8 +V# that does not call back with an error argument\u000a +p1245 +tp1246 +a(g826 +V +p1247 +tp1248 +a(g744 +Vsafe wait for +p1249 +tp1250 +a(g826 +g976 +tp1251 +a(g440 +Vresponse +p1252 +tp1253 +a(g744 +Vfrom +p1254 +tp1255 +a(g826 +g976 +tp1256 +a(g440 +Vhttp.get +p1257 +tp1258 +a(g440 +Vurl\u000a\u000a +p1259 +tp1260 +a(g440 +Vdelay +p1261 +tp1262 +a(g826 +g976 +tp1263 +a(g412 +g978 +tp1264 +a(g826 +g976 +tp1265 +a(g744 +Vnew +p1266 +tp1267 +a(g826 +g976 +tp1268 +a(g681 +VDate +p1269 +tp1270 +a(g705 +g1047 +tp1271 +a(g705 +g1049 +tp1272 +a(g826 +g976 +tp1273 +a(g412 +g1121 +tp1274 +a(g826 +g976 +tp1275 +a(g440 +Vtimer\u000a +p1276 +tp1277 +a(g440 +Vtotal_time +p1278 +tp1279 +a(g826 +g976 +tp1280 +a(g412 +V+= +p1281 +tp1282 +a(g826 +g976 +tp1283 +a(g440 +Vdelay\u000a\u000a +p1284 +tp1285 +a(g681 +Vprint +p1286 +tp1287 +a(g826 +g976 +tp1288 +a(g89 +g1137 +tp1289 +a(g89 +VGET +p1290 +tp1291 +a(g125 +V#{ +p1292 +tp1293 +a(g440 +Vurl +p1294 +tp1295 +a(g125 +g1145 +tp1296 +a(g89 +V - +p1297 +tp1298 +a(g125 +V#{ +p1299 +tp1300 +a(g440 +Vresponse.statusCode +p1301 +tp1302 +a(g125 +g1145 +tp1303 +a(g89 +V - +p1304 +tp1305 +a(g125 +V#{ +p1306 +tp1307 +a(g440 +Vresponse.connection.bytesRead +p1308 +tp1309 +a(g125 +g1145 +tp1310 +a(g89 +V bytes - +p1311 +tp1312 +a(g125 +V#{ +p1313 +tp1314 +a(g440 +Vdelay +p1315 +tp1316 +a(g125 +g1145 +tp1317 +a(g89 +Vms +p1318 +tp1319 +a(g89 +g1137 +tp1320 +a(g705 +V\u000a +p1321 +tp1322 +a(g826 +V\u000a +p1323 +tp1324 +a(g8 +V# because we are in a task rather than a function, this actually exectutes a callback\u000a +p1325 +tp1326 +a(g826 +V +p1327 +tp1328 +a(g744 +Vreturn +p1329 +tp1330 +a(g826 +g976 +tp1331 +a(g440 +Vtotal_time\u000a\u000a +p1332 +tp1333 +a(g681 +Vprint +p1334 +tp1335 +a(g826 +g976 +tp1336 +a(g89 +g984 +tp1337 +a(g89 +VSeries Requests... +p1338 +tp1339 +a(g89 +g984 +tp1340 +a(g826 +V\u000a +p1341 +tp1342 +a(g744 +Vwait for +p1343 +tp1344 +a(g826 +g976 +tp1345 +a(g440 +Vtime1 +p1346 +tp1347 +a(g744 +Vfrom +p1348 +tp1349 +a(g826 +g976 +tp1350 +a(g440 +Vseries_demo +p1351 +tp1352 +a(g705 +g1047 +tp1353 +a(g705 +g1049 +tp1354 +a(g705 +V\u000a +p1355 +tp1356 +a(g681 +Vprint +p1357 +tp1358 +a(g826 +g976 +tp1359 +a(g89 +g1137 +tp1360 +a(g89 +VTotal duration +p1361 +tp1362 +a(g125 +V#{ +p1363 +tp1364 +a(g440 +Vtime1 +p1365 +tp1366 +a(g125 +g1145 +tp1367 +a(g89 +Vms +p1368 +tp1369 +a(g89 +g1137 +tp1370 +a(g826 +V\u000a\u000a +p1371 +tp1372 +a(g681 +Vprint +p1373 +tp1374 +a(g826 +g976 +tp1375 +a(g89 +g984 +tp1376 +a(g89 +g984 +tp1377 +a(g826 +V\u000a\u000a +p1378 +tp1379 +a(g681 +Vprint +p1380 +tp1381 +a(g826 +g976 +tp1382 +a(g89 +g984 +tp1383 +a(g89 +VParallel Requests... +p1384 +tp1385 +a(g89 +g984 +tp1386 +a(g826 +V\u000a +p1387 +tp1388 +a(g744 +Vwait for +p1389 +tp1390 +a(g826 +g976 +tp1391 +a(g440 +Vtime2 +p1392 +tp1393 +a(g744 +Vfrom +p1394 +tp1395 +a(g826 +g976 +tp1396 +a(g440 +Vparallel_demo +p1397 +tp1398 +a(g705 +g1047 +tp1399 +a(g705 +g1049 +tp1400 +a(g705 +V\u000a +p1401 +tp1402 +a(g681 +Vprint +p1403 +tp1404 +a(g826 +g976 +tp1405 +a(g89 +g1137 +tp1406 +a(g89 +VTotal duration +p1407 +tp1408 +a(g125 +V#{ +p1409 +tp1410 +a(g440 +Vtime2 +p1411 +tp1412 +a(g125 +g1145 +tp1413 +a(g89 +Vms +p1414 +tp1415 +a(g89 +g1137 +tp1416 +a(g826 +V\u000a +p1417 +tp1418 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.kt b/tests/examplefiles/output/example.kt new file mode 100644 index 0000000..6971411 --- /dev/null +++ b/tests/examplefiles/output/example.kt @@ -0,0 +1,3545 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVpackage +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g608 +Vaddressbook +p960 +tp961 +a(g826 +V\u000a\u000a +p962 +tp963 +a(g7 +Vclass +p964 +tp965 +a(g826 +g958 +tp966 +a(g616 +VContact +p967 +tp968 +a(g693 +V( +p969 +tp970 +a(g826 +V\u000a +p971 +tp972 +a(g7 +Vval +p973 +tp974 +a(g826 +g958 +tp975 +a(g513 +Vname +p976 +tp977 +a(g826 +g958 +tp978 +a(g693 +V: +p979 +tp980 +a(g826 +g958 +tp981 +a(g423 +VString +p982 +tp983 +a(g693 +V, +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g7 +Vval +p988 +tp989 +a(g826 +g958 +tp990 +a(g513 +Vemails +p991 +tp992 +a(g826 +g958 +tp993 +a(g693 +g979 +tp994 +a(g826 +g958 +tp995 +a(g423 +VList +p996 +tp997 +a(g693 +V< +p998 +tp999 +a(g423 +VEmailAddress +p1000 +tp1001 +a(g693 +V> +p1002 +tp1003 +a(g693 +g984 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g7 +Vval +p1007 +tp1008 +a(g826 +g958 +tp1009 +a(g513 +Vaddresses +p1010 +tp1011 +a(g826 +g958 +tp1012 +a(g693 +g979 +tp1013 +a(g826 +g958 +tp1014 +a(g423 +VList +p1015 +tp1016 +a(g693 +g998 +tp1017 +a(g423 +VPostalAddress +p1018 +tp1019 +a(g693 +g1002 +tp1020 +a(g693 +g984 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g7 +Vval +p1024 +tp1025 +a(g826 +g958 +tp1026 +a(g513 +Vphonenums +p1027 +tp1028 +a(g826 +g958 +tp1029 +a(g693 +g979 +tp1030 +a(g826 +g958 +tp1031 +a(g423 +VList +p1032 +tp1033 +a(g693 +g998 +tp1034 +a(g423 +VPhoneNumber +p1035 +tp1036 +a(g693 +g1002 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g693 +V) +p1040 +tp1041 +a(g826 +V\u000a\u000a +p1042 +tp1043 +a(g7 +Vclass +p1044 +tp1045 +a(g826 +g958 +tp1046 +a(g616 +VEmailAddress +p1047 +tp1048 +a(g693 +g969 +tp1049 +a(g826 +V\u000a +p1050 +tp1051 +a(g7 +Vval +p1052 +tp1053 +a(g826 +g958 +tp1054 +a(g513 +Vuser +p1055 +tp1056 +a(g826 +g958 +tp1057 +a(g693 +g979 +tp1058 +a(g826 +g958 +tp1059 +a(g423 +VString +p1060 +tp1061 +a(g693 +g984 +tp1062 +a(g826 +V\u000a +p1063 +tp1064 +a(g7 +Vval +p1065 +tp1066 +a(g826 +g958 +tp1067 +a(g513 +Vhost +p1068 +tp1069 +a(g826 +g958 +tp1070 +a(g693 +g979 +tp1071 +a(g826 +g958 +tp1072 +a(g423 +VString +p1073 +tp1074 +a(g826 +V\u000a +p1075 +tp1076 +a(g693 +g1040 +tp1077 +a(g826 +V\u000a\u000a +p1078 +tp1079 +a(g7 +Vclass +p1080 +tp1081 +a(g826 +g958 +tp1082 +a(g616 +VPostalAddress +p1083 +tp1084 +a(g693 +g969 +tp1085 +a(g826 +V\u000a +p1086 +tp1087 +a(g7 +Vval +p1088 +tp1089 +a(g826 +g958 +tp1090 +a(g513 +VstreetAddress +p1091 +tp1092 +a(g826 +g958 +tp1093 +a(g693 +g979 +tp1094 +a(g826 +g958 +tp1095 +a(g423 +VString +p1096 +tp1097 +a(g693 +g984 +tp1098 +a(g826 +V\u000a +p1099 +tp1100 +a(g7 +Vval +p1101 +tp1102 +a(g826 +g958 +tp1103 +a(g513 +Vcity +p1104 +tp1105 +a(g826 +g958 +tp1106 +a(g693 +g979 +tp1107 +a(g826 +g958 +tp1108 +a(g423 +VString +p1109 +tp1110 +a(g693 +g984 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g7 +Vval +p1114 +tp1115 +a(g826 +g958 +tp1116 +a(g513 +Vzip +p1117 +tp1118 +a(g826 +g958 +tp1119 +a(g693 +g979 +tp1120 +a(g826 +g958 +tp1121 +a(g423 +VString +p1122 +tp1123 +a(g693 +g984 +tp1124 +a(g826 +V\u000a +p1125 +tp1126 +a(g7 +Vval +p1127 +tp1128 +a(g826 +g958 +tp1129 +a(g513 +Vstate +p1130 +tp1131 +a(g826 +g958 +tp1132 +a(g693 +g979 +tp1133 +a(g826 +g958 +tp1134 +a(g423 +VUSState +p1135 +tp1136 +a(g693 +V? +p1137 +tp1138 +a(g693 +g984 +tp1139 +a(g826 +V\u000a +p1140 +tp1141 +a(g7 +Vval +p1142 +tp1143 +a(g826 +g958 +tp1144 +a(g513 +Vcountry +p1145 +tp1146 +a(g826 +g958 +tp1147 +a(g693 +g979 +tp1148 +a(g826 +g958 +tp1149 +a(g423 +VCountry +p1150 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g693 +g1040 +tp1154 +a(g826 +g958 +tp1155 +a(g693 +V{ +p1156 +tp1157 +a(g826 +V\u000a +p1158 +tp1159 +a(g423 +Vassert +p1160 +tp1161 +a(g826 +g958 +tp1162 +a(g693 +g1156 +tp1163 +a(g693 +g969 +tp1164 +a(g423 +Vstate +p1165 +tp1166 +a(g826 +g958 +tp1167 +a(g693 +V= +p1168 +tp1169 +a(g693 +g1168 +tp1170 +a(g826 +g958 +tp1171 +a(g7 +Vnull +p1172 +tp1173 +a(g693 +g1040 +tp1174 +a(g826 +g958 +tp1175 +a(g423 +Vxor +p1176 +tp1177 +a(g826 +g958 +tp1178 +a(g693 +g969 +tp1179 +a(g423 +Vcountry +p1180 +tp1181 +a(g826 +g958 +tp1182 +a(g693 +g1168 +tp1183 +a(g693 +g1168 +tp1184 +a(g826 +g958 +tp1185 +a(g423 +VCountries +p1186 +tp1187 +a(g693 +V[ +p1188 +tp1189 +a(g76 +V"US" +p1190 +tp1191 +a(g693 +V] +p1192 +tp1193 +a(g693 +g1040 +tp1194 +a(g826 +g958 +tp1195 +a(g693 +V} +p1196 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g693 +g1196 +tp1200 +a(g826 +V\u000a\u000a +p1201 +tp1202 +a(g7 +Vclass +p1203 +tp1204 +a(g826 +g958 +tp1205 +a(g616 +VPhoneNumber +p1206 +tp1207 +a(g693 +g969 +tp1208 +a(g826 +V\u000a +p1209 +tp1210 +a(g7 +Vval +p1211 +tp1212 +a(g826 +g958 +tp1213 +a(g513 +Vcountry +p1214 +tp1215 +a(g826 +g958 +tp1216 +a(g693 +g979 +tp1217 +a(g826 +g958 +tp1218 +a(g423 +VCountry +p1219 +tp1220 +a(g693 +g984 +tp1221 +a(g826 +V\u000a +p1222 +tp1223 +a(g7 +Vval +p1224 +tp1225 +a(g826 +g958 +tp1226 +a(g513 +VareaCode +p1227 +tp1228 +a(g826 +g958 +tp1229 +a(g693 +g979 +tp1230 +a(g826 +g958 +tp1231 +a(g423 +VInt +p1232 +tp1233 +a(g693 +g984 +tp1234 +a(g826 +V\u000a +p1235 +tp1236 +a(g7 +Vval +p1237 +tp1238 +a(g826 +g958 +tp1239 +a(g513 +Vnumber +p1240 +tp1241 +a(g826 +g958 +tp1242 +a(g693 +g979 +tp1243 +a(g826 +g958 +tp1244 +a(g423 +VLong +p1245 +tp1246 +a(g826 +V\u000a +p1247 +tp1248 +a(g693 +g1040 +tp1249 +a(g826 +V\u000a\u000a +p1250 +tp1251 +a(g7 +Vobject +p1252 +tp1253 +a(g826 +g958 +tp1254 +a(g616 +VCountries +p1255 +tp1256 +a(g826 +g958 +tp1257 +a(g693 +g1156 +tp1258 +a(g826 +V\u000a +p1259 +tp1260 +a(g7 +Vfun +p1261 +tp1262 +a(g826 +g958 +tp1263 +a(g561 +Vget +p1264 +tp1265 +a(g693 +g969 +tp1266 +a(g423 +Vid +p1267 +tp1268 +a(g826 +g958 +tp1269 +a(g693 +g979 +tp1270 +a(g826 +g958 +tp1271 +a(g423 +VCountryID +p1272 +tp1273 +a(g693 +g1040 +tp1274 +a(g826 +g958 +tp1275 +a(g693 +g979 +tp1276 +a(g826 +g958 +tp1277 +a(g423 +VCountry +p1278 +tp1279 +a(g826 +g958 +tp1280 +a(g693 +g1168 +tp1281 +a(g826 +g958 +tp1282 +a(g423 +VcountryTable +p1283 +tp1284 +a(g693 +g1188 +tp1285 +a(g423 +Vid +p1286 +tp1287 +a(g693 +g1192 +tp1288 +a(g826 +V\u000a \u000a +p1289 +tp1290 +a(g7 +Vprivate +p1291 +tp1292 +a(g826 +g958 +tp1293 +a(g7 +Vvar +p1294 +tp1295 +a(g826 +g958 +tp1296 +a(g513 +Vtable +p1297 +tp1298 +a(g826 +g958 +tp1299 +a(g693 +g979 +tp1300 +a(g826 +g958 +tp1301 +a(g423 +VMap +p1302 +tp1303 +a(g693 +g998 +tp1304 +a(g423 +VString +p1305 +tp1306 +a(g693 +g984 +tp1307 +a(g826 +g958 +tp1308 +a(g423 +VCountry +p1309 +tp1310 +a(g693 +g1002 +tp1311 +a(g693 +g1137 +tp1312 +a(g826 +g958 +tp1313 +a(g693 +g1168 +tp1314 +a(g826 +g958 +tp1315 +a(g7 +Vnull +p1316 +tp1317 +a(g826 +V\u000a +p1318 +tp1319 +a(g7 +Vprivate +p1320 +tp1321 +a(g826 +g958 +tp1322 +a(g7 +Vval +p1323 +tp1324 +a(g826 +g958 +tp1325 +a(g513 +VcountryTable +p1326 +tp1327 +a(g826 +g958 +tp1328 +a(g693 +g979 +tp1329 +a(g826 +g958 +tp1330 +a(g423 +VMap +p1331 +tp1332 +a(g693 +g998 +tp1333 +a(g423 +VString +p1334 +tp1335 +a(g693 +g984 +tp1336 +a(g826 +g958 +tp1337 +a(g423 +VCountry +p1338 +tp1339 +a(g693 +g1002 +tp1340 +a(g826 +V\u000a +p1341 +tp1342 +a(g7 +Vget +p1343 +tp1344 +a(g693 +g969 +tp1345 +a(g693 +g1040 +tp1346 +a(g826 +g958 +tp1347 +a(g693 +g1156 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g7 +Vif +p1351 +tp1352 +a(g826 +g958 +tp1353 +a(g693 +g969 +tp1354 +a(g423 +Vtable +p1355 +tp1356 +a(g826 +g958 +tp1357 +a(g693 +g1168 +tp1358 +a(g693 +g1168 +tp1359 +a(g826 +g958 +tp1360 +a(g7 +Vnull +p1361 +tp1362 +a(g693 +g1040 +tp1363 +a(g826 +g958 +tp1364 +a(g693 +g1156 +tp1365 +a(g826 +V\u000a +p1366 +tp1367 +a(g423 +Vtable +p1368 +tp1369 +a(g826 +g958 +tp1370 +a(g693 +g1168 +tp1371 +a(g826 +g958 +tp1372 +a(g423 +VHashMap +p1373 +tp1374 +a(g693 +g969 +tp1375 +a(g693 +g1040 +tp1376 +a(g826 +V\u000a +p1377 +tp1378 +a(g7 +Vfor +p1379 +tp1380 +a(g826 +g958 +tp1381 +a(g693 +g969 +tp1382 +a(g423 +Vline +p1383 +tp1384 +a(g826 +g958 +tp1385 +a(g7 +Vin +p1386 +tp1387 +a(g826 +g958 +tp1388 +a(g423 +VTextFile +p1389 +tp1390 +a(g693 +g969 +tp1391 +a(g76 +V"countries.txt" +p1392 +tp1393 +a(g693 +g1040 +tp1394 +a(g693 +V. +p1395 +tp1396 +a(g423 +Vlines +p1397 +tp1398 +a(g693 +g969 +tp1399 +a(g423 +VstripWhiteSpace +p1400 +tp1401 +a(g826 +g958 +tp1402 +a(g693 +g1168 +tp1403 +a(g826 +g958 +tp1404 +a(g7 +Vtrue +p1405 +tp1406 +a(g693 +g1040 +tp1407 +a(g693 +g1040 +tp1408 +a(g826 +g958 +tp1409 +a(g693 +g1156 +tp1410 +a(g826 +V\u000a +p1411 +tp1412 +a(g423 +Vtable +p1413 +tp1414 +a(g693 +g1188 +tp1415 +a(g423 +Vline +p1416 +tp1417 +a(g693 +g1192 +tp1418 +a(g826 +g958 +tp1419 +a(g693 +g1168 +tp1420 +a(g826 +g958 +tp1421 +a(g423 +VCountry +p1422 +tp1423 +a(g693 +g969 +tp1424 +a(g423 +Vline +p1425 +tp1426 +a(g693 +g1040 +tp1427 +a(g826 +V\u000a +p1428 +tp1429 +a(g693 +g1196 +tp1430 +a(g826 +V\u000a +p1431 +tp1432 +a(g693 +g1196 +tp1433 +a(g826 +V\u000a +p1434 +tp1435 +a(g7 +Vreturn +p1436 +tp1437 +a(g826 +g958 +tp1438 +a(g423 +Vtable +p1439 +tp1440 +a(g826 +V\u000a +p1441 +tp1442 +a(g693 +g1196 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g693 +g1196 +tp1446 +a(g826 +V\u000a\u000a +p1447 +tp1448 +a(g7 +Vclass +p1449 +tp1450 +a(g826 +g958 +tp1451 +a(g616 +VCountry +p1452 +tp1453 +a(g693 +g969 +tp1454 +a(g7 +Vval +p1455 +tp1456 +a(g826 +g958 +tp1457 +a(g513 +Vname +p1458 +tp1459 +a(g826 +g958 +tp1460 +a(g693 +g979 +tp1461 +a(g826 +g958 +tp1462 +a(g423 +VString +p1463 +tp1464 +a(g693 +g1040 +tp1465 +a(g826 +V\u000a +p1466 +tp1467 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.lagda b/tests/examplefiles/output/example.lagda new file mode 100644 index 0000000..7f104f4 --- /dev/null +++ b/tests/examplefiles/output/example.lagda @@ -0,0 +1,2673 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g13 +V\u005cdocumentclass +p958 +tp959 +a(g674 +V{ +p960 +tp961 +a(g831 +Varticle +p962 +tp963 +a(g674 +V} +p964 +tp965 +a(g831 +V\u000a +p966 +tp967 +a(g714 +V% this is a LaTeX comment\u000a +p968 +tp969 +a(g13 +V\u005cusepackage +p970 +tp971 +a(g674 +g960 +tp972 +a(g831 +Vagda +p973 +tp974 +a(g674 +g964 +tp975 +a(g831 +V\u000a\u000a +p976 +tp977 +a(g13 +V\u005cbegin +p978 +tp979 +a(g674 +g960 +tp980 +a(g831 +Vdocument +p981 +tp982 +a(g674 +g964 +tp983 +a(g831 +V\u000a\u000aHere's how you can define +p984 +tp985 +a(g13 +V\u005cemph +p986 +tp987 +a(g674 +g960 +tp988 +a(g831 +VRGB +p989 +tp990 +a(g674 +g964 +tp991 +a(g831 +V colors in Agda:\u000a\u000a +p992 +tp993 +a(g13 +V\u005cbegin +p994 +tp995 +a(g674 +g960 +tp996 +a(g831 +Vcode +p997 +tp998 +a(g674 +g964 +tp999 +a(g831 +V\u000a +p1000 +tp1001 +a(g8 +Vmodule +p1002 +tp1003 +a(g831 +V +p1004 +tp1005 +a(g428 +Vexample +p1006 +tp1007 +a(g831 +g1004 +tp1008 +a(g8 +Vwhere +p1009 +tp1010 +a(g831 +V\u000a +p1011 +tp1012 +a(g831 +V\u000a +p1013 +tp1014 +a(g8 +Vopen +p1015 +tp1016 +a(g831 +g1004 +tp1017 +a(g8 +Vimport +p1018 +tp1019 +a(g831 +g1004 +tp1020 +a(g428 +VData.Fin +p1021 +tp1022 +a(g831 +V\u000a +p1023 +tp1024 +a(g8 +Vopen +p1025 +tp1026 +a(g831 +g1004 +tp1027 +a(g8 +Vimport +p1028 +tp1029 +a(g831 +g1004 +tp1030 +a(g428 +VData.Nat +p1031 +tp1032 +a(g831 +V\u000a +p1033 +tp1034 +a(g831 +V\u000a +p1035 +tp1036 +a(g8 +Vdata +p1037 +tp1038 +a(g831 +g1004 +tp1039 +a(g831 +VColor +p1040 +tp1041 +a(g831 +g1004 +tp1042 +a(g419 +V: +p1043 +tp1044 +a(g831 +g1004 +tp1045 +a(g886 +VSet +p1046 +tp1047 +a(g831 +g1004 +tp1048 +a(g8 +Vwhere +p1049 +tp1050 +a(g831 +V\u000a +p1051 +tp1052 +a(g831 +V +p1053 +tp1054 +a(g566 +VRGB +p1055 +tp1056 +a(g831 +g1004 +tp1057 +a(g419 +g1043 +tp1058 +a(g831 +g1004 +tp1059 +a(g831 +VFin +p1060 +tp1061 +a(g831 +g1004 +tp1062 +a(g27 +V256 +p1063 +tp1064 +a(g831 +g1004 +tp1065 +a(g419 +V\u2192 +p1066 +tp1067 +a(g831 +g1004 +tp1068 +a(g831 +VFin +p1069 +tp1070 +a(g831 +g1004 +tp1071 +a(g27 +V256 +p1072 +tp1073 +a(g831 +g1004 +tp1074 +a(g419 +V\u2192 +p1075 +tp1076 +a(g831 +g1004 +tp1077 +a(g831 +VFin +p1078 +tp1079 +a(g831 +g1004 +tp1080 +a(g27 +V256 +p1081 +tp1082 +a(g831 +g1004 +tp1083 +a(g419 +V\u2192 +p1084 +tp1085 +a(g831 +g1004 +tp1086 +a(g831 +VColor +p1087 +tp1088 +a(g831 +V\u000a +p1089 +tp1090 +a(g13 +V\u005cend +p1091 +tp1092 +a(g674 +g960 +tp1093 +a(g831 +Vcode +p1094 +tp1095 +a(g674 +g964 +tp1096 +a(g831 +V\u000a\u000a +p1097 +tp1098 +a(g13 +V\u005cend +p1099 +tp1100 +a(g674 +g960 +tp1101 +a(g831 +Vdocument +p1102 +tp1103 +a(g674 +g964 +tp1104 +a(g831 +V\u000a +p1105 +tp1106 +a(g831 +g956 +tp1107 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.liquid b/tests/examplefiles/output/example.liquid new file mode 100644 index 0000000..968cdb0 --- /dev/null +++ b/tests/examplefiles/output/example.liquid @@ -0,0 +1,3447 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV# This is an example file. Process it with `./pygmentize -O full -f html -o /liquid-example.html example.liquid`.\u000a\u000a +p956 +tp957 +a(g709 +V{% +p958 +tp959 +a(g23 +V +p960 +tp961 +a(g561 +Vraw +p962 +tp963 +a(g23 +g960 +tp964 +a(g709 +V%} +p965 +tp966 +a(g7 +V\u000asome +p967 +tp968 +a(g7 +V{ +p969 +tp970 +a(g7 +g969 +tp971 +a(g7 +Vraw}} liquid syntax\u000a\u000a +p972 +tp973 +a(g7 +g969 +tp974 +a(g7 +V% raw %}\u000a +p975 +tp976 +a(g709 +V{% +p977 +tp978 +a(g23 +g960 +tp979 +a(g561 +Vendraw +p980 +tp981 +a(g23 +g960 +tp982 +a(g709 +V%} +p983 +tp984 +a(g7 +V\u000a +p985 +tp986 +a(g7 +V\u000aJust regular text - what happens?\u000a\u000a +p987 +tp988 +a(g709 +V{% +p989 +tp990 +a(g23 +g960 +tp991 +a(g561 +Vcomment +p992 +tp993 +a(g23 +g960 +tp994 +a(g709 +V%} +p995 +tp996 +a(g725 +VM +p997 +tp998 +a(g725 +Vy +p999 +tp1000 +a(g725 +g960 +tp1001 +a(g725 +Vl +p1002 +tp1003 +a(g725 +Vo +p1004 +tp1005 +a(g725 +Vv +p1006 +tp1007 +a(g725 +Ve +p1008 +tp1009 +a(g725 +g1002 +tp1010 +a(g725 +g999 +tp1011 +a(g725 +g960 +tp1012 +a(g725 +g969 +tp1013 +a(g725 +g969 +tp1014 +a(g725 +Vc +p1015 +tp1016 +a(g725 +g1004 +tp1017 +a(g725 +Vm +p1018 +tp1019 +a(g725 +g1018 +tp1020 +a(g725 +g1008 +tp1021 +a(g725 +Vn +p1022 +tp1023 +a(g725 +Vt +p1024 +tp1025 +a(g725 +V} +p1026 +tp1027 +a(g725 +g1026 +tp1028 +a(g725 +g960 +tp1029 +a(g725 +g969 +tp1030 +a(g725 +V% +p1031 +tp1032 +a(g725 +g960 +tp1033 +a(g725 +g1015 +tp1034 +a(g725 +g1004 +tp1035 +a(g725 +g1018 +tp1036 +a(g725 +g1018 +tp1037 +a(g725 +g1008 +tp1038 +a(g725 +g1022 +tp1039 +a(g725 +g1024 +tp1040 +a(g725 +g960 +tp1041 +a(g725 +g1031 +tp1042 +a(g725 +g1026 +tp1043 +a(g709 +V{% +p1044 +tp1045 +a(g23 +g960 +tp1046 +a(g561 +Vendcomment +p1047 +tp1048 +a(g23 +g960 +tp1049 +a(g709 +V%} +p1050 +tp1051 +a(g7 +V\u000a\u000a +p1052 +tp1053 +a(g709 +V{% +p1054 +tp1055 +a(g23 +g960 +tp1056 +a(g561 +Vcustom_tag +p1057 +tp1058 +a(g23 +g960 +tp1059 +a(g670 +Vparams +p1060 +tp1061 +a(g416 +V: +p1062 +tp1063 +a(g23 +g960 +tp1064 +a(g861 +Vtrue +p1065 +tp1066 +a(g23 +g960 +tp1067 +a(g709 +V%} +p1068 +tp1069 +a(g7 +V\u000a +p1070 +tp1071 +a(g709 +V{% +p1072 +tp1073 +a(g23 +g960 +tp1074 +a(g561 +Vcustom_block +p1075 +tp1076 +a(g23 +g960 +tp1077 +a(g670 +Vmy +p1078 +tp1079 +a(g416 +V= +p1080 +tp1081 +a(g160 +V"abc" +p1082 +tp1083 +a(g23 +g960 +tp1084 +a(g670 +g1015 +tp1085 +a(g23 +g960 +tp1086 +a(g416 +g1080 +tp1087 +a(g23 +g960 +tp1088 +a(g861 +Vfalse +p1089 +tp1090 +a(g23 +g960 +tp1091 +a(g709 +V%} +p1092 +tp1093 +a(g7 +V\u000a Just usual +p1094 +tp1095 +a(g709 +V{{ +p1096 +tp1097 +a(g444 +Vliquid +p1098 +tp1099 +a(g709 +V}} +p1100 +tp1101 +a(g7 +V.\u000a +p1102 +tp1103 +a(g709 +V{% +p1104 +tp1105 +a(g23 +g960 +tp1106 +a(g561 +Vendcustom_block +p1107 +tp1108 +a(g23 +g960 +tp1109 +a(g709 +V%} +p1110 +tp1111 +a(g7 +V\u000a\u000a +p1112 +tp1113 +a(g709 +V{% +p1114 +tp1115 +a(g23 +g960 +tp1116 +a(g561 +Vanother_tag +p1117 +tp1118 +a(g23 +g960 +tp1119 +a(g160 +V"my string param" +p1120 +tp1121 +a(g23 +g960 +tp1122 +a(g709 +V%} +p1123 +tp1124 +a(g7 +V\u000a\u000a +p1125 +tp1126 +a(g709 +V{{ +p1127 +tp1128 +a(g23 +g960 +tp1129 +a(g444 +Vvariable +p1130 +tp1131 +a(g23 +g960 +tp1132 +a(g709 +V| +p1133 +tp1134 +a(g23 +g960 +tp1135 +a(g577 +Vupcase +p1136 +tp1137 +a(g23 +g960 +tp1138 +a(g709 +V}} +p1139 +tp1140 +a(g7 +V\u000a +p1141 +tp1142 +a(g709 +V{{ +p1143 +tp1144 +a(g23 +g960 +tp1145 +a(g444 +Vvar +p1146 +tp1147 +a(g709 +V. +p1148 +tp1149 +a(g444 +Vfield +p1150 +tp1151 +a(g23 +g960 +tp1152 +a(g709 +g1133 +tp1153 +a(g23 +g960 +tp1154 +a(g577 +Vtextilize +p1155 +tp1156 +a(g23 +g960 +tp1157 +a(g709 +g1133 +tp1158 +a(g23 +g960 +tp1159 +a(g577 +Vmarkdownify +p1160 +tp1161 +a(g23 +g960 +tp1162 +a(g709 +V}} +p1163 +tp1164 +a(g7 +V\u000a +p1165 +tp1166 +a(g709 +V{{ +p1167 +tp1168 +a(g23 +g960 +tp1169 +a(g444 +Vvar +p1170 +tp1171 +a(g709 +g1148 +tp1172 +a(g444 +Vfield +p1173 +tp1174 +a(g709 +g1148 +tp1175 +a(g444 +Vproperty +p1176 +tp1177 +a(g23 +g960 +tp1178 +a(g709 +g1133 +tp1179 +a(g23 +g960 +tp1180 +a(g577 +Vtextilize +p1181 +tp1182 +a(g23 +g960 +tp1183 +a(g709 +g1133 +tp1184 +a(g23 +g960 +tp1185 +a(g577 +Vmarkdownify +p1186 +tp1187 +a(g23 +g960 +tp1188 +a(g709 +V}} +p1189 +tp1190 +a(g7 +V\u000a +p1191 +tp1192 +a(g709 +V{{ +p1193 +tp1194 +a(g23 +g960 +tp1195 +a(g233 +V'string' +p1196 +tp1197 +a(g23 +g960 +tp1198 +a(g709 +g1133 +tp1199 +a(g23 +g960 +tp1200 +a(g577 +Vtruncate +p1201 +tp1202 +a(g709 +g1062 +tp1203 +a(g23 +g960 +tp1204 +a(g40 +V100 +p1205 +tp1206 +a(g23 +g960 +tp1207 +a(g670 +Vparam +p1208 +tp1209 +a(g416 +g1080 +tp1210 +a(g233 +V'df"g' +p1211 +tp1212 +a(g23 +g960 +tp1213 +a(g709 +V}} +p1214 +tp1215 +a(g7 +V\u000a +p1216 +tp1217 +a(g7 +V\u000a +p1218 +tp1219 +a(g709 +V{% +p1220 +tp1221 +a(g23 +g960 +tp1222 +a(g561 +Vcycle +p1223 +tp1224 +a(g23 +g960 +tp1225 +a(g233 +V'1' +p1226 +tp1227 +a(g709 +V, +p1228 +tp1229 +a(g23 +g960 +tp1230 +a(g40 +V2 +p1231 +tp1232 +a(g709 +g1228 +tp1233 +a(g23 +g960 +tp1234 +a(g444 +Vvar +p1235 +tp1236 +a(g23 +g960 +tp1237 +a(g709 +V%} +p1238 +tp1239 +a(g7 +V\u000a +p1240 +tp1241 +a(g709 +V{% +p1242 +tp1243 +a(g23 +g960 +tp1244 +a(g561 +Vcycle +p1245 +tp1246 +a(g23 +g960 +tp1247 +a(g233 +V'group1' +p1248 +tp1249 +a(g709 +g1062 +tp1250 +a(g23 +g960 +tp1251 +a(g233 +V'1' +p1252 +tp1253 +a(g709 +g1228 +tp1254 +a(g23 +g960 +tp1255 +a(g444 +Vvar +p1256 +tp1257 +a(g709 +g1228 +tp1258 +a(g23 +g960 +tp1259 +a(g40 +g1231 +tp1260 +a(g23 +g960 +tp1261 +a(g709 +V%} +p1262 +tp1263 +a(g7 +V\u000a +p1264 +tp1265 +a(g709 +V{% +p1266 +tp1267 +a(g23 +g960 +tp1268 +a(g561 +Vcycle +p1269 +tp1270 +a(g23 +g960 +tp1271 +a(g444 +Vgroup2 +p1272 +tp1273 +a(g709 +g1062 +tp1274 +a(g23 +g960 +tp1275 +a(g233 +V'1' +p1276 +tp1277 +a(g709 +g1228 +tp1278 +a(g23 +g960 +tp1279 +a(g444 +Vvar +p1280 +tp1281 +a(g709 +g1228 +tp1282 +a(g23 +g960 +tp1283 +a(g40 +g1231 +tp1284 +a(g23 +g960 +tp1285 +a(g709 +V%} +p1286 +tp1287 +a(g7 +V\u000a\u000a +p1288 +tp1289 +a(g709 +V{% +p1290 +tp1291 +a(g23 +g960 +tp1292 +a(g891 +Vif +p1293 +tp1294 +a(g23 +g960 +tp1295 +a(g444 +Va +p1296 +tp1297 +a(g23 +g960 +tp1298 +a(g416 +V== +p1299 +tp1300 +a(g23 +g960 +tp1301 +a(g233 +V'B' +p1302 +tp1303 +a(g23 +g960 +tp1304 +a(g709 +V%} +p1305 +tp1306 +a(g7 +V\u000a +p1307 +tp1308 +a(g709 +V{% +p1309 +tp1310 +a(g23 +g960 +tp1311 +a(g891 +Velsif +p1312 +tp1313 +a(g23 +g960 +tp1314 +a(g444 +g1296 +tp1315 +a(g23 +g960 +tp1316 +a(g416 +V== +p1317 +tp1318 +a(g23 +g960 +tp1319 +a(g233 +V'C%}' +p1320 +tp1321 +a(g23 +g960 +tp1322 +a(g709 +V%} +p1323 +tp1324 +a(g7 +V\u000a +p1325 +tp1326 +a(g709 +V{% +p1327 +tp1328 +a(g23 +g960 +tp1329 +a(g891 +Velse +p1330 +tp1331 +a(g23 +g960 +tp1332 +a(g709 +V%} +p1333 +tp1334 +a(g7 +V\u000a +p1335 +tp1336 +a(g709 +V{% +p1337 +tp1338 +a(g23 +g960 +tp1339 +a(g891 +Vendif +p1340 +tp1341 +a(g23 +g960 +tp1342 +a(g709 +V%} +p1343 +tp1344 +a(g7 +V\u000a\u000a +p1345 +tp1346 +a(g709 +V{% +p1347 +tp1348 +a(g23 +g960 +tp1349 +a(g891 +Vunless +p1350 +tp1351 +a(g23 +g960 +tp1352 +a(g430 +Vnot +p1353 +tp1354 +a(g23 +g960 +tp1355 +a(g444 +g1296 +tp1356 +a(g23 +g960 +tp1357 +a(g709 +V%} +p1358 +tp1359 +a(g7 +V\u000a +p1360 +tp1361 +a(g709 +V{% +p1362 +tp1363 +a(g23 +g960 +tp1364 +a(g891 +Velse +p1365 +tp1366 +a(g23 +g960 +tp1367 +a(g709 +V%} +p1368 +tp1369 +a(g7 +V\u000a +p1370 +tp1371 +a(g709 +V{% +p1372 +tp1373 +a(g23 +g960 +tp1374 +a(g891 +Vendunless +p1375 +tp1376 +a(g23 +g960 +tp1377 +a(g709 +V%} +p1378 +tp1379 +a(g7 +V\u000a\u000a +p1380 +tp1381 +a(g709 +V{% +p1382 +tp1383 +a(g23 +g960 +tp1384 +a(g891 +Vcase +p1385 +tp1386 +a(g23 +g960 +tp1387 +a(g444 +g1296 +tp1388 +a(g23 +g960 +tp1389 +a(g709 +V%} +p1390 +tp1391 +a(g7 +V\u000a +p1392 +tp1393 +a(g709 +V{% +p1394 +tp1395 +a(g23 +g960 +tp1396 +a(g891 +Vwhen +p1397 +tp1398 +a(g23 +g960 +tp1399 +a(g233 +V'B' +p1400 +tp1401 +a(g23 +g960 +tp1402 +a(g709 +V%} +p1403 +tp1404 +a(g7 +V\u000a +p1405 +tp1406 +a(g709 +V{% +p1407 +tp1408 +a(g23 +g960 +tp1409 +a(g891 +Vwhen +p1410 +tp1411 +a(g23 +g960 +tp1412 +a(g233 +V'C' +p1413 +tp1414 +a(g23 +g960 +tp1415 +a(g709 +V%} +p1416 +tp1417 +a(g7 +V\u000a +p1418 +tp1419 +a(g709 +V{% +p1420 +tp1421 +a(g23 +g960 +tp1422 +a(g891 +Velse +p1423 +tp1424 +a(g23 +g960 +tp1425 +a(g709 +V%} +p1426 +tp1427 +a(g7 +V\u000a +p1428 +tp1429 +a(g709 +V{% +p1430 +tp1431 +a(g23 +g960 +tp1432 +a(g891 +Vendcase +p1433 +tp1434 +a(g23 +g960 +tp1435 +a(g709 +V%} +p1436 +tp1437 +a(g7 +V\u000a +p1438 +tp1439 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.lua b/tests/examplefiles/output/example.lua new file mode 100644 index 0000000..ab2eed8 --- /dev/null +++ b/tests/examplefiles/output/example.lua @@ -0,0 +1,8635 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV--[[\u000a Auctioneer Advanced\u000a Version: <%version%> (<%codename%>)\u000a Revision: $Id: CoreMain.lua 2233 2007-09-25 03:57:33Z norganna $\u000a URL: http://auctioneeraddon.com/\u000a\u000a This is an addon for World of Warcraft that adds statistical history to the auction data that is collected\u000a when the auction is scanned, so that you can easily determine what price\u000a you will be able to sell an item for at auction or at a vendor whenever you\u000a mouse-over an item in the game\u000a\u000a License:\u000a This program is free software; you can redistribute it and/or\u000a modify it under the terms of the GNU General Public License\u000a as published by the Free Software Foundation; either version 2\u000a of the License, or (at your option) any later version.\u000a\u000a This program is distributed in the hope that it will be useful,\u000a but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\u000a GNU General Public License for more details.\u000a\u000a You should have received a copy of the GNU General Public License\u000a along with this program(see GPL.txt); if not, write to the Free Software\u000a Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.\u000a\u000a Note:\u000a This AddOn's source code is specifically designed to work with\u000a World of Warcraft's interpreted AddOn system.\u000a You have an implicit licence to use this AddOn with these facilities\u000a since that is its designated purpose as per:\u000a http://www.fsf.org/licensing/licenses/gpl-faq.html#InterpreterIncompat\u000a]] +p956 +tp957 +a(g827 +V\u000a\u000a\u000a +p958 +tp959 +a(g8 +V--[[\u000a See CoreAPI.lua for a description of the modules API\u000a]] +p960 +tp961 +a(g827 +V\u000a\u000a +p962 +tp963 +a(g794 +Vif +p964 +tp965 +a(g827 +V +p966 +tp967 +a(g706 +V( +p968 +tp969 +a(g427 +Vnot +p970 +tp971 +a(g827 +g966 +tp972 +a(g436 +VAucAdvanced +p973 +tp974 +a(g706 +V) +p975 +tp976 +a(g827 +g966 +tp977 +a(g794 +Vthen +p978 +tp979 +a(g827 +g966 +tp980 +a(g436 +VAucAdvanced +p981 +tp982 +a(g827 +g966 +tp983 +a(g413 +V= +p984 +tp985 +a(g827 +g966 +tp986 +a(g706 +V{ +p987 +tp988 +a(g706 +V} +p989 +tp990 +a(g827 +g966 +tp991 +a(g794 +Vend +p992 +tp993 +a(g827 +V\u000a +p994 +tp995 +a(g794 +Vif +p996 +tp997 +a(g827 +g966 +tp998 +a(g706 +g968 +tp999 +a(g427 +Vnot +p1000 +tp1001 +a(g827 +g966 +tp1002 +a(g436 +VAucAdvancedData +p1003 +tp1004 +a(g706 +g975 +tp1005 +a(g827 +g966 +tp1006 +a(g794 +Vthen +p1007 +tp1008 +a(g827 +g966 +tp1009 +a(g436 +VAucAdvancedData +p1010 +tp1011 +a(g827 +g966 +tp1012 +a(g413 +g984 +tp1013 +a(g827 +g966 +tp1014 +a(g706 +g987 +tp1015 +a(g706 +g989 +tp1016 +a(g827 +g966 +tp1017 +a(g794 +Vend +p1018 +tp1019 +a(g827 +V\u000a +p1020 +tp1021 +a(g794 +Vif +p1022 +tp1023 +a(g827 +g966 +tp1024 +a(g706 +g968 +tp1025 +a(g427 +Vnot +p1026 +tp1027 +a(g827 +g966 +tp1028 +a(g436 +VAucAdvancedLocal +p1029 +tp1030 +a(g706 +g975 +tp1031 +a(g827 +g966 +tp1032 +a(g794 +Vthen +p1033 +tp1034 +a(g827 +g966 +tp1035 +a(g436 +VAucAdvancedLocal +p1036 +tp1037 +a(g827 +g966 +tp1038 +a(g413 +g984 +tp1039 +a(g827 +g966 +tp1040 +a(g706 +g987 +tp1041 +a(g706 +g989 +tp1042 +a(g827 +g966 +tp1043 +a(g794 +Vend +p1044 +tp1045 +a(g827 +V\u000a +p1046 +tp1047 +a(g794 +Vif +p1048 +tp1049 +a(g827 +g966 +tp1050 +a(g706 +g968 +tp1051 +a(g427 +Vnot +p1052 +tp1053 +a(g827 +g966 +tp1054 +a(g436 +VAucAdvancedConfig +p1055 +tp1056 +a(g706 +g975 +tp1057 +a(g827 +g966 +tp1058 +a(g794 +Vthen +p1059 +tp1060 +a(g827 +g966 +tp1061 +a(g436 +VAucAdvancedConfig +p1062 +tp1063 +a(g827 +g966 +tp1064 +a(g413 +g984 +tp1065 +a(g827 +g966 +tp1066 +a(g706 +g987 +tp1067 +a(g706 +g989 +tp1068 +a(g827 +g966 +tp1069 +a(g794 +Vend +p1070 +tp1071 +a(g827 +V\u000a\u000a +p1072 +tp1073 +a(g436 +VAucAdvanced +p1074 +tp1075 +a(g706 +V. +p1076 +tp1077 +a(g436 +VVersion +p1078 +tp1079 +a(g413 +g984 +tp1080 +a(g157 +V" +p1081 +tp1082 +a(g157 +V<%version%> +p1083 +tp1084 +a(g157 +g1081 +tp1085 +a(g706 +V; +p1086 +tp1087 +a(g827 +V\u000a +p1088 +tp1089 +a(g794 +Vif +p1090 +tp1091 +a(g827 +g966 +tp1092 +a(g706 +g968 +tp1093 +a(g436 +VAucAdvanced +p1094 +tp1095 +a(g706 +g1076 +tp1096 +a(g436 +VVersion +p1097 +tp1098 +a(g827 +g966 +tp1099 +a(g413 +V== +p1100 +tp1101 +a(g827 +g966 +tp1102 +a(g157 +g1081 +tp1103 +a(g157 +V< +p1104 +tp1105 +a(g157 +g1081 +tp1106 +a(g413 +V.. +p1107 +tp1108 +a(g157 +g1081 +tp1109 +a(g157 +V%version%> +p1110 +tp1111 +a(g157 +g1081 +tp1112 +a(g706 +g975 +tp1113 +a(g827 +g966 +tp1114 +a(g794 +Vthen +p1115 +tp1116 +a(g827 +V\u000a +p1117 +tp1118 +a(g436 +VAucAdvanced +p1119 +tp1120 +a(g706 +g1076 +tp1121 +a(g436 +VVersion +p1122 +tp1123 +a(g827 +g966 +tp1124 +a(g413 +g984 +tp1125 +a(g827 +g966 +tp1126 +a(g157 +g1081 +tp1127 +a(g157 +V5.0.DEV +p1128 +tp1129 +a(g157 +g1081 +tp1130 +a(g706 +g1086 +tp1131 +a(g827 +V\u000a +p1132 +tp1133 +a(g794 +Vend +p1134 +tp1135 +a(g827 +V\u000a\u000a +p1136 +tp1137 +a(g810 +Vlocal +p1138 +tp1139 +a(g827 +g966 +tp1140 +a(g436 +Vprivate +p1141 +tp1142 +a(g827 +g966 +tp1143 +a(g413 +g984 +tp1144 +a(g827 +g966 +tp1145 +a(g706 +g987 +tp1146 +a(g706 +g989 +tp1147 +a(g827 +V\u000a\u000a +p1148 +tp1149 +a(g906 +V-- For our modular stats system, each stats engine should add their +p1150 +tp1151 +a(g827 +V\u000a +p1152 +tp1153 +a(g906 +V-- subclass to AucAdvanced.Modules.. and store their data into their own +p1154 +tp1155 +a(g827 +V\u000a +p1156 +tp1157 +a(g906 +V-- data table in AucAdvancedData.Stats. +p1158 +tp1159 +a(g827 +V\u000a +p1160 +tp1161 +a(g794 +Vif +p1162 +tp1163 +a(g827 +g966 +tp1164 +a(g706 +g968 +tp1165 +a(g427 +Vnot +p1166 +tp1167 +a(g827 +g966 +tp1168 +a(g436 +VAucAdvanced +p1169 +tp1170 +a(g706 +g1076 +tp1171 +a(g436 +VModules +p1172 +tp1173 +a(g706 +g975 +tp1174 +a(g827 +g966 +tp1175 +a(g794 +Vthen +p1176 +tp1177 +a(g827 +g966 +tp1178 +a(g436 +VAucAdvanced +p1179 +tp1180 +a(g706 +g1076 +tp1181 +a(g436 +VModules +p1182 +tp1183 +a(g827 +g966 +tp1184 +a(g413 +g984 +tp1185 +a(g827 +g966 +tp1186 +a(g706 +g987 +tp1187 +a(g436 +VStat +p1188 +tp1189 +a(g413 +g984 +tp1190 +a(g706 +g987 +tp1191 +a(g706 +g989 +tp1192 +a(g706 +V, +p1193 +tp1194 +a(g436 +VUtil +p1195 +tp1196 +a(g413 +g984 +tp1197 +a(g706 +g987 +tp1198 +a(g706 +g989 +tp1199 +a(g706 +g1193 +tp1200 +a(g436 +VFilter +p1201 +tp1202 +a(g413 +g984 +tp1203 +a(g706 +g987 +tp1204 +a(g706 +g989 +tp1205 +a(g706 +g989 +tp1206 +a(g827 +g966 +tp1207 +a(g794 +Vend +p1208 +tp1209 +a(g827 +V\u000a +p1210 +tp1211 +a(g794 +Vif +p1212 +tp1213 +a(g827 +g966 +tp1214 +a(g706 +g968 +tp1215 +a(g427 +Vnot +p1216 +tp1217 +a(g827 +g966 +tp1218 +a(g436 +VAucAdvancedData +p1219 +tp1220 +a(g706 +g1076 +tp1221 +a(g436 +VStats +p1222 +tp1223 +a(g706 +g975 +tp1224 +a(g827 +g966 +tp1225 +a(g794 +Vthen +p1226 +tp1227 +a(g827 +g966 +tp1228 +a(g436 +VAucAdvancedData +p1229 +tp1230 +a(g706 +g1076 +tp1231 +a(g436 +VStats +p1232 +tp1233 +a(g827 +g966 +tp1234 +a(g413 +g984 +tp1235 +a(g827 +g966 +tp1236 +a(g706 +g987 +tp1237 +a(g706 +g989 +tp1238 +a(g827 +g966 +tp1239 +a(g794 +Vend +p1240 +tp1241 +a(g827 +V\u000a +p1242 +tp1243 +a(g794 +Vif +p1244 +tp1245 +a(g827 +g966 +tp1246 +a(g706 +g968 +tp1247 +a(g427 +Vnot +p1248 +tp1249 +a(g827 +g966 +tp1250 +a(g436 +VAucAdvancedLocal +p1251 +tp1252 +a(g706 +g1076 +tp1253 +a(g436 +VStats +p1254 +tp1255 +a(g706 +g975 +tp1256 +a(g827 +g966 +tp1257 +a(g794 +Vthen +p1258 +tp1259 +a(g827 +g966 +tp1260 +a(g436 +VAucAdvancedLocal +p1261 +tp1262 +a(g706 +g1076 +tp1263 +a(g436 +VStats +p1264 +tp1265 +a(g827 +g966 +tp1266 +a(g413 +g984 +tp1267 +a(g827 +g966 +tp1268 +a(g706 +g987 +tp1269 +a(g706 +g989 +tp1270 +a(g827 +g966 +tp1271 +a(g794 +Vend +p1272 +tp1273 +a(g827 +V\u000a\u000a +p1274 +tp1275 +a(g794 +Vfunction +p1276 +tp1277 +a(g827 +g966 +tp1278 +a(g629 +Vprivate +p1279 +tp1280 +a(g706 +V. +p1281 +tp1282 +a(g574 +VTooltipHook +p1283 +tp1284 +a(g706 +g968 +tp1285 +a(g436 +Vvars +p1286 +tp1287 +a(g706 +g1193 +tp1288 +a(g827 +g966 +tp1289 +a(g436 +Vret +p1290 +tp1291 +a(g706 +g1193 +tp1292 +a(g827 +g966 +tp1293 +a(g436 +Vframe +p1294 +tp1295 +a(g706 +g1193 +tp1296 +a(g827 +g966 +tp1297 +a(g436 +Vname +p1298 +tp1299 +a(g706 +g1193 +tp1300 +a(g827 +g966 +tp1301 +a(g436 +Vhyperlink +p1302 +tp1303 +a(g706 +g1193 +tp1304 +a(g827 +g966 +tp1305 +a(g436 +Vquality +p1306 +tp1307 +a(g706 +g1193 +tp1308 +a(g827 +g966 +tp1309 +a(g436 +Vquantity +p1310 +tp1311 +a(g706 +g1193 +tp1312 +a(g827 +g966 +tp1313 +a(g436 +Vcost +p1314 +tp1315 +a(g706 +g1193 +tp1316 +a(g827 +g966 +tp1317 +a(g436 +Vadditional +p1318 +tp1319 +a(g706 +g975 +tp1320 +a(g827 +V\u000a +p1321 +tp1322 +a(g794 +Vif +p1323 +tp1324 +a(g827 +g966 +tp1325 +a(g436 +VEnhTooltip +p1326 +tp1327 +a(g706 +g1076 +tp1328 +a(g436 +VLinkType +p1329 +tp1330 +a(g706 +g968 +tp1331 +a(g436 +Vhyperlink +p1332 +tp1333 +a(g706 +g975 +tp1334 +a(g827 +g966 +tp1335 +a(g413 +V~= +p1336 +tp1337 +a(g827 +g966 +tp1338 +a(g157 +g1081 +tp1339 +a(g157 +Vitem +p1340 +tp1341 +a(g157 +g1081 +tp1342 +a(g827 +g966 +tp1343 +a(g794 +Vthen +p1344 +tp1345 +a(g827 +V\u000a +p1346 +tp1347 +a(g794 +Vreturn +p1348 +tp1349 +a(g827 +g966 +tp1350 +a(g906 +V-- Auctioneer hooks into item tooltips only +p1351 +tp1352 +a(g827 +V\u000a +p1353 +tp1354 +a(g794 +Vend +p1355 +tp1356 +a(g827 +V\u000a\u000a +p1357 +tp1358 +a(g906 +V-- Check to see if we need to force load scandata +p1359 +tp1360 +a(g827 +V\u000a +p1361 +tp1362 +a(g810 +Vlocal +p1363 +tp1364 +a(g827 +g966 +tp1365 +a(g436 +Vgetter +p1366 +tp1367 +a(g827 +g966 +tp1368 +a(g413 +g984 +tp1369 +a(g827 +g966 +tp1370 +a(g436 +VAucAdvanced +p1371 +tp1372 +a(g706 +g1076 +tp1373 +a(g436 +VSettings +p1374 +tp1375 +a(g706 +g1281 +tp1376 +a(g436 +VGetSetting +p1377 +tp1378 +a(g827 +V\u000a +p1379 +tp1380 +a(g794 +Vif +p1381 +tp1382 +a(g827 +g966 +tp1383 +a(g706 +g968 +tp1384 +a(g436 +Vgetter +p1385 +tp1386 +a(g706 +g968 +tp1387 +a(g157 +g1081 +tp1388 +a(g157 +Vscandata.tooltip.display +p1389 +tp1390 +a(g157 +g1081 +tp1391 +a(g706 +g975 +tp1392 +a(g827 +g966 +tp1393 +a(g427 +Vand +p1394 +tp1395 +a(g827 +g966 +tp1396 +a(g436 +Vgetter +p1397 +tp1398 +a(g706 +g968 +tp1399 +a(g157 +g1081 +tp1400 +a(g157 +Vscandata.force +p1401 +tp1402 +a(g157 +g1081 +tp1403 +a(g706 +g975 +tp1404 +a(g706 +g975 +tp1405 +a(g827 +g966 +tp1406 +a(g794 +Vthen +p1407 +tp1408 +a(g827 +V\u000a +p1409 +tp1410 +a(g436 +VAucAdvanced +p1411 +tp1412 +a(g706 +g1076 +tp1413 +a(g436 +VScan +p1414 +tp1415 +a(g706 +g1281 +tp1416 +a(g436 +VGetImage +p1417 +tp1418 +a(g706 +g968 +tp1419 +a(g706 +g975 +tp1420 +a(g827 +V\u000a +p1421 +tp1422 +a(g794 +Vend +p1423 +tp1424 +a(g827 +V\u000a\u000a +p1425 +tp1426 +a(g794 +Vfor +p1427 +tp1428 +a(g827 +g966 +tp1429 +a(g436 +Vsystem +p1430 +tp1431 +a(g706 +g1193 +tp1432 +a(g827 +g966 +tp1433 +a(g436 +VsystemMods +p1434 +tp1435 +a(g827 +g966 +tp1436 +a(g794 +Vin +p1437 +tp1438 +a(g827 +g966 +tp1439 +a(g682 +Vpairs +p1440 +tp1441 +a(g706 +g968 +tp1442 +a(g436 +VAucAdvanced +p1443 +tp1444 +a(g706 +g1076 +tp1445 +a(g436 +VModules +p1446 +tp1447 +a(g706 +g975 +tp1448 +a(g827 +g966 +tp1449 +a(g794 +Vdo +p1450 +tp1451 +a(g827 +V\u000a +p1452 +tp1453 +a(g794 +Vfor +p1454 +tp1455 +a(g827 +g966 +tp1456 +a(g436 +Vengine +p1457 +tp1458 +a(g706 +g1193 +tp1459 +a(g827 +g966 +tp1460 +a(g436 +VengineLib +p1461 +tp1462 +a(g827 +g966 +tp1463 +a(g794 +Vin +p1464 +tp1465 +a(g827 +g966 +tp1466 +a(g682 +Vpairs +p1467 +tp1468 +a(g706 +g968 +tp1469 +a(g436 +VsystemMods +p1470 +tp1471 +a(g706 +g975 +tp1472 +a(g827 +g966 +tp1473 +a(g794 +Vdo +p1474 +tp1475 +a(g827 +V\u000a +p1476 +tp1477 +a(g794 +Vif +p1478 +tp1479 +a(g827 +g966 +tp1480 +a(g706 +g968 +tp1481 +a(g436 +VengineLib +p1482 +tp1483 +a(g706 +g1076 +tp1484 +a(g436 +VProcessor +p1485 +tp1486 +a(g706 +g975 +tp1487 +a(g827 +g966 +tp1488 +a(g794 +Vthen +p1489 +tp1490 +a(g827 +g966 +tp1491 +a(g436 +VengineLib +p1492 +tp1493 +a(g706 +g1076 +tp1494 +a(g436 +VProcessor +p1495 +tp1496 +a(g706 +g968 +tp1497 +a(g157 +g1081 +tp1498 +a(g157 +Vtooltip +p1499 +tp1500 +a(g157 +g1081 +tp1501 +a(g706 +g1193 +tp1502 +a(g827 +g966 +tp1503 +a(g436 +Vframe +p1504 +tp1505 +a(g706 +g1193 +tp1506 +a(g827 +g966 +tp1507 +a(g436 +Vname +p1508 +tp1509 +a(g706 +g1193 +tp1510 +a(g827 +g966 +tp1511 +a(g436 +Vhyperlink +p1512 +tp1513 +a(g706 +g1193 +tp1514 +a(g827 +g966 +tp1515 +a(g436 +Vquality +p1516 +tp1517 +a(g706 +g1193 +tp1518 +a(g827 +g966 +tp1519 +a(g436 +Vquantity +p1520 +tp1521 +a(g706 +g1193 +tp1522 +a(g827 +g966 +tp1523 +a(g436 +Vcost +p1524 +tp1525 +a(g706 +g1193 +tp1526 +a(g827 +g966 +tp1527 +a(g436 +Vadditional +p1528 +tp1529 +a(g706 +g975 +tp1530 +a(g827 +g966 +tp1531 +a(g794 +Vend +p1532 +tp1533 +a(g827 +V\u000a +p1534 +tp1535 +a(g794 +Vend +p1536 +tp1537 +a(g827 +V\u000a +p1538 +tp1539 +a(g794 +Vend +p1540 +tp1541 +a(g827 +V\u000a +p1542 +tp1543 +a(g794 +Vend +p1544 +tp1545 +a(g827 +V\u000a\u000a +p1546 +tp1547 +a(g794 +Vfunction +p1548 +tp1549 +a(g827 +g966 +tp1550 +a(g629 +Vprivate +p1551 +tp1552 +a(g706 +g1281 +tp1553 +a(g574 +VHookAH +p1554 +tp1555 +a(g706 +g968 +tp1556 +a(g706 +g975 +tp1557 +a(g827 +V\u000a +p1558 +tp1559 +a(g436 +Vhooksecurefunc +p1560 +tp1561 +a(g706 +g968 +tp1562 +a(g157 +g1081 +tp1563 +a(g157 +VAuctionFrameBrowse_Update +p1564 +tp1565 +a(g157 +g1081 +tp1566 +a(g706 +g1193 +tp1567 +a(g827 +g966 +tp1568 +a(g436 +VAucAdvanced +p1569 +tp1570 +a(g706 +g1076 +tp1571 +a(g436 +VAPI +p1572 +tp1573 +a(g706 +g1281 +tp1574 +a(g436 +VListUpdate +p1575 +tp1576 +a(g706 +g975 +tp1577 +a(g827 +V\u000a +p1578 +tp1579 +a(g794 +Vfor +p1580 +tp1581 +a(g827 +g966 +tp1582 +a(g436 +Vsystem +p1583 +tp1584 +a(g706 +g1193 +tp1585 +a(g827 +g966 +tp1586 +a(g436 +VsystemMods +p1587 +tp1588 +a(g827 +g966 +tp1589 +a(g794 +Vin +p1590 +tp1591 +a(g827 +g966 +tp1592 +a(g682 +Vpairs +p1593 +tp1594 +a(g706 +g968 +tp1595 +a(g436 +VAucAdvanced +p1596 +tp1597 +a(g706 +g1076 +tp1598 +a(g436 +VModules +p1599 +tp1600 +a(g706 +g975 +tp1601 +a(g827 +g966 +tp1602 +a(g794 +Vdo +p1603 +tp1604 +a(g827 +V\u000a +p1605 +tp1606 +a(g794 +Vfor +p1607 +tp1608 +a(g827 +g966 +tp1609 +a(g436 +Vengine +p1610 +tp1611 +a(g706 +g1193 +tp1612 +a(g827 +g966 +tp1613 +a(g436 +VengineLib +p1614 +tp1615 +a(g827 +g966 +tp1616 +a(g794 +Vin +p1617 +tp1618 +a(g827 +g966 +tp1619 +a(g682 +Vpairs +p1620 +tp1621 +a(g706 +g968 +tp1622 +a(g436 +VsystemMods +p1623 +tp1624 +a(g706 +g975 +tp1625 +a(g827 +g966 +tp1626 +a(g794 +Vdo +p1627 +tp1628 +a(g827 +V\u000a +p1629 +tp1630 +a(g794 +Vif +p1631 +tp1632 +a(g827 +g966 +tp1633 +a(g706 +g968 +tp1634 +a(g436 +VengineLib +p1635 +tp1636 +a(g706 +g1076 +tp1637 +a(g436 +VProcessor +p1638 +tp1639 +a(g706 +g975 +tp1640 +a(g827 +g966 +tp1641 +a(g794 +Vthen +p1642 +tp1643 +a(g827 +V\u000a +p1644 +tp1645 +a(g436 +VengineLib +p1646 +tp1647 +a(g706 +g1076 +tp1648 +a(g436 +VProcessor +p1649 +tp1650 +a(g706 +g968 +tp1651 +a(g157 +g1081 +tp1652 +a(g157 +Vauctionui +p1653 +tp1654 +a(g157 +g1081 +tp1655 +a(g706 +g975 +tp1656 +a(g827 +V\u000a +p1657 +tp1658 +a(g794 +Vend +p1659 +tp1660 +a(g827 +V\u000a +p1661 +tp1662 +a(g794 +Vend +p1663 +tp1664 +a(g827 +V\u000a +p1665 +tp1666 +a(g794 +Vend +p1667 +tp1668 +a(g827 +V\u000a +p1669 +tp1670 +a(g794 +Vend +p1671 +tp1672 +a(g827 +V\u000a\u000a +p1673 +tp1674 +a(g794 +Vfunction +p1675 +tp1676 +a(g827 +g966 +tp1677 +a(g629 +Vprivate +p1678 +tp1679 +a(g706 +g1281 +tp1680 +a(g574 +VOnLoad +p1681 +tp1682 +a(g706 +g968 +tp1683 +a(g436 +Vaddon +p1684 +tp1685 +a(g706 +g975 +tp1686 +a(g827 +V\u000a +p1687 +tp1688 +a(g436 +Vaddon +p1689 +tp1690 +a(g827 +g966 +tp1691 +a(g413 +g984 +tp1692 +a(g827 +g966 +tp1693 +a(g436 +Vaddon +p1694 +tp1695 +a(g706 +V: +p1696 +tp1697 +a(g436 +Vlower +p1698 +tp1699 +a(g706 +g968 +tp1700 +a(g706 +g975 +tp1701 +a(g827 +V\u000a\u000a +p1702 +tp1703 +a(g906 +V-- Check if the actual addon itself is loading +p1704 +tp1705 +a(g827 +V\u000a +p1706 +tp1707 +a(g794 +Vif +p1708 +tp1709 +a(g827 +g966 +tp1710 +a(g706 +g968 +tp1711 +a(g436 +Vaddon +p1712 +tp1713 +a(g827 +g966 +tp1714 +a(g413 +V== +p1715 +tp1716 +a(g827 +g966 +tp1717 +a(g157 +g1081 +tp1718 +a(g157 +Vauc-advanced +p1719 +tp1720 +a(g157 +g1081 +tp1721 +a(g706 +g975 +tp1722 +a(g827 +g966 +tp1723 +a(g794 +Vthen +p1724 +tp1725 +a(g827 +V\u000a +p1726 +tp1727 +a(g436 +VStubby +p1728 +tp1729 +a(g706 +g1076 +tp1730 +a(g436 +VRegisterAddOnHook +p1731 +tp1732 +a(g706 +g968 +tp1733 +a(g157 +g1081 +tp1734 +a(g157 +VBlizzard_AuctionUi +p1735 +tp1736 +a(g157 +g1081 +tp1737 +a(g706 +g1193 +tp1738 +a(g827 +g966 +tp1739 +a(g157 +g1081 +tp1740 +a(g157 +VAuc-Advanced +p1741 +tp1742 +a(g157 +g1081 +tp1743 +a(g706 +g1193 +tp1744 +a(g827 +g966 +tp1745 +a(g436 +Vprivate +p1746 +tp1747 +a(g706 +g1076 +tp1748 +a(g436 +VHookAH +p1749 +tp1750 +a(g706 +g975 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g436 +VStubby +p1754 +tp1755 +a(g706 +g1076 +tp1756 +a(g436 +VRegisterFunctionHook +p1757 +tp1758 +a(g706 +g968 +tp1759 +a(g157 +g1081 +tp1760 +a(g157 +VEnhTooltip.AddTooltip +p1761 +tp1762 +a(g157 +g1081 +tp1763 +a(g706 +g1193 +tp1764 +a(g827 +g966 +tp1765 +a(g37 +V600 +p1766 +tp1767 +a(g706 +g1193 +tp1768 +a(g827 +g966 +tp1769 +a(g436 +Vprivate +p1770 +tp1771 +a(g706 +g1076 +tp1772 +a(g436 +VTooltipHook +p1773 +tp1774 +a(g706 +g975 +tp1775 +a(g827 +V\u000a +p1776 +tp1777 +a(g794 +Vfor +p1778 +tp1779 +a(g827 +g966 +tp1780 +a(g436 +Vpos +p1781 +tp1782 +a(g706 +g1193 +tp1783 +a(g827 +g966 +tp1784 +a(g436 +Vmodule +p1785 +tp1786 +a(g827 +g966 +tp1787 +a(g794 +Vin +p1788 +tp1789 +a(g827 +g966 +tp1790 +a(g682 +Vipairs +p1791 +tp1792 +a(g706 +g968 +tp1793 +a(g436 +VAucAdvanced +p1794 +tp1795 +a(g706 +g1076 +tp1796 +a(g436 +VEmbeddedModules +p1797 +tp1798 +a(g706 +g975 +tp1799 +a(g827 +g966 +tp1800 +a(g794 +Vdo +p1801 +tp1802 +a(g827 +V\u000a +p1803 +tp1804 +a(g906 +V-- These embedded modules have also just been loaded +p1805 +tp1806 +a(g827 +V\u000a +p1807 +tp1808 +a(g436 +Vprivate +p1809 +tp1810 +a(g706 +g1076 +tp1811 +a(g436 +VOnLoad +p1812 +tp1813 +a(g706 +g968 +tp1814 +a(g436 +Vmodule +p1815 +tp1816 +a(g706 +g975 +tp1817 +a(g827 +V\u000a +p1818 +tp1819 +a(g794 +Vend +p1820 +tp1821 +a(g827 +V\u000a +p1822 +tp1823 +a(g794 +Vend +p1824 +tp1825 +a(g827 +V\u000a\u000a +p1826 +tp1827 +a(g906 +V-- Notify the actual module if it exists +p1828 +tp1829 +a(g827 +V\u000a +p1830 +tp1831 +a(g810 +Vlocal +p1832 +tp1833 +a(g827 +g966 +tp1834 +a(g436 +Vauc +p1835 +tp1836 +a(g706 +g1193 +tp1837 +a(g827 +g966 +tp1838 +a(g436 +Vsys +p1839 +tp1840 +a(g706 +g1193 +tp1841 +a(g827 +g966 +tp1842 +a(g436 +Veng +p1843 +tp1844 +a(g827 +g966 +tp1845 +a(g413 +g984 +tp1846 +a(g827 +g966 +tp1847 +a(g436 +Vstrsplit +p1848 +tp1849 +a(g706 +g968 +tp1850 +a(g157 +g1081 +tp1851 +a(g157 +V- +p1852 +tp1853 +a(g157 +g1081 +tp1854 +a(g706 +g1193 +tp1855 +a(g827 +g966 +tp1856 +a(g436 +Vaddon +p1857 +tp1858 +a(g706 +g975 +tp1859 +a(g827 +V\u000a +p1860 +tp1861 +a(g794 +Vif +p1862 +tp1863 +a(g827 +g966 +tp1864 +a(g706 +g968 +tp1865 +a(g436 +Vauc +p1866 +tp1867 +a(g827 +g966 +tp1868 +a(g413 +V== +p1869 +tp1870 +a(g827 +g966 +tp1871 +a(g157 +g1081 +tp1872 +a(g157 +Vauc +p1873 +tp1874 +a(g157 +g1081 +tp1875 +a(g827 +g966 +tp1876 +a(g427 +Vand +p1877 +tp1878 +a(g827 +g966 +tp1879 +a(g436 +Vsys +p1880 +tp1881 +a(g827 +g966 +tp1882 +a(g427 +Vand +p1883 +tp1884 +a(g827 +g966 +tp1885 +a(g436 +Veng +p1886 +tp1887 +a(g706 +g975 +tp1888 +a(g827 +g966 +tp1889 +a(g794 +Vthen +p1890 +tp1891 +a(g827 +V\u000a +p1892 +tp1893 +a(g794 +Vfor +p1894 +tp1895 +a(g827 +g966 +tp1896 +a(g436 +Vsystem +p1897 +tp1898 +a(g706 +g1193 +tp1899 +a(g827 +g966 +tp1900 +a(g436 +VsystemMods +p1901 +tp1902 +a(g827 +g966 +tp1903 +a(g794 +Vin +p1904 +tp1905 +a(g827 +g966 +tp1906 +a(g682 +Vpairs +p1907 +tp1908 +a(g706 +g968 +tp1909 +a(g436 +VAucAdvanced +p1910 +tp1911 +a(g706 +g1076 +tp1912 +a(g436 +VModules +p1913 +tp1914 +a(g706 +g975 +tp1915 +a(g827 +g966 +tp1916 +a(g794 +Vdo +p1917 +tp1918 +a(g827 +V\u000a +p1919 +tp1920 +a(g794 +Vif +p1921 +tp1922 +a(g827 +g966 +tp1923 +a(g706 +g968 +tp1924 +a(g436 +Vsys +p1925 +tp1926 +a(g827 +g966 +tp1927 +a(g413 +V== +p1928 +tp1929 +a(g827 +g966 +tp1930 +a(g436 +Vsystem +p1931 +tp1932 +a(g706 +g1696 +tp1933 +a(g436 +Vlower +p1934 +tp1935 +a(g706 +g968 +tp1936 +a(g706 +g975 +tp1937 +a(g706 +g975 +tp1938 +a(g827 +g966 +tp1939 +a(g794 +Vthen +p1940 +tp1941 +a(g827 +V\u000a +p1942 +tp1943 +a(g794 +Vfor +p1944 +tp1945 +a(g827 +g966 +tp1946 +a(g436 +Vengine +p1947 +tp1948 +a(g706 +g1193 +tp1949 +a(g827 +g966 +tp1950 +a(g436 +VengineLib +p1951 +tp1952 +a(g827 +g966 +tp1953 +a(g794 +Vin +p1954 +tp1955 +a(g827 +g966 +tp1956 +a(g682 +Vpairs +p1957 +tp1958 +a(g706 +g968 +tp1959 +a(g436 +VsystemMods +p1960 +tp1961 +a(g706 +g975 +tp1962 +a(g827 +g966 +tp1963 +a(g794 +Vdo +p1964 +tp1965 +a(g827 +V\u000a +p1966 +tp1967 +a(g794 +Vif +p1968 +tp1969 +a(g827 +g966 +tp1970 +a(g706 +g968 +tp1971 +a(g436 +Veng +p1972 +tp1973 +a(g827 +g966 +tp1974 +a(g413 +V== +p1975 +tp1976 +a(g827 +g966 +tp1977 +a(g436 +Vengine +p1978 +tp1979 +a(g706 +g1696 +tp1980 +a(g436 +Vlower +p1981 +tp1982 +a(g706 +g968 +tp1983 +a(g706 +g975 +tp1984 +a(g827 +g966 +tp1985 +a(g427 +Vand +p1986 +tp1987 +a(g827 +g966 +tp1988 +a(g436 +VengineLib +p1989 +tp1990 +a(g706 +g1076 +tp1991 +a(g436 +VOnLoad +p1992 +tp1993 +a(g706 +g975 +tp1994 +a(g827 +g966 +tp1995 +a(g794 +Vthen +p1996 +tp1997 +a(g827 +V\u000a +p1998 +tp1999 +a(g436 +VengineLib +p2000 +tp2001 +a(g706 +g1076 +tp2002 +a(g436 +VOnLoad +p2003 +tp2004 +a(g706 +g968 +tp2005 +a(g436 +Vaddon +p2006 +tp2007 +a(g706 +g975 +tp2008 +a(g827 +V\u000a +p2009 +tp2010 +a(g794 +Vend +p2011 +tp2012 +a(g827 +V\u000a +p2013 +tp2014 +a(g794 +Vend +p2015 +tp2016 +a(g827 +V\u000a +p2017 +tp2018 +a(g794 +Vend +p2019 +tp2020 +a(g827 +V\u000a +p2021 +tp2022 +a(g794 +Vend +p2023 +tp2024 +a(g827 +V\u000a +p2025 +tp2026 +a(g794 +Vend +p2027 +tp2028 +a(g827 +V\u000a\u000a +p2029 +tp2030 +a(g906 +V-- Check all modules' load triggers and pass event to processors +p2031 +tp2032 +a(g827 +V\u000a +p2033 +tp2034 +a(g794 +Vfor +p2035 +tp2036 +a(g827 +g966 +tp2037 +a(g436 +Vsystem +p2038 +tp2039 +a(g706 +g1193 +tp2040 +a(g827 +g966 +tp2041 +a(g436 +VsystemMods +p2042 +tp2043 +a(g827 +g966 +tp2044 +a(g794 +Vin +p2045 +tp2046 +a(g827 +g966 +tp2047 +a(g682 +Vpairs +p2048 +tp2049 +a(g706 +g968 +tp2050 +a(g436 +VAucAdvanced +p2051 +tp2052 +a(g706 +g1076 +tp2053 +a(g436 +VModules +p2054 +tp2055 +a(g706 +g975 +tp2056 +a(g827 +g966 +tp2057 +a(g794 +Vdo +p2058 +tp2059 +a(g827 +V\u000a +p2060 +tp2061 +a(g794 +Vfor +p2062 +tp2063 +a(g827 +g966 +tp2064 +a(g436 +Vengine +p2065 +tp2066 +a(g706 +g1193 +tp2067 +a(g827 +g966 +tp2068 +a(g436 +VengineLib +p2069 +tp2070 +a(g827 +g966 +tp2071 +a(g794 +Vin +p2072 +tp2073 +a(g827 +g966 +tp2074 +a(g682 +Vpairs +p2075 +tp2076 +a(g706 +g968 +tp2077 +a(g436 +VsystemMods +p2078 +tp2079 +a(g706 +g975 +tp2080 +a(g827 +g966 +tp2081 +a(g794 +Vdo +p2082 +tp2083 +a(g827 +V\u000a +p2084 +tp2085 +a(g794 +Vif +p2086 +tp2087 +a(g827 +g966 +tp2088 +a(g706 +g968 +tp2089 +a(g436 +VengineLib +p2090 +tp2091 +a(g706 +g1076 +tp2092 +a(g436 +VLoadTriggers +p2093 +tp2094 +a(g827 +g966 +tp2095 +a(g427 +Vand +p2096 +tp2097 +a(g827 +g966 +tp2098 +a(g436 +VengineLib +p2099 +tp2100 +a(g706 +g1076 +tp2101 +a(g436 +VLoadTriggers +p2102 +tp2103 +a(g706 +V[ +p2104 +tp2105 +a(g436 +Vaddon +p2106 +tp2107 +a(g706 +V] +p2108 +tp2109 +a(g706 +g975 +tp2110 +a(g827 +g966 +tp2111 +a(g794 +Vthen +p2112 +tp2113 +a(g827 +V\u000a +p2114 +tp2115 +a(g794 +Vif +p2116 +tp2117 +a(g827 +g966 +tp2118 +a(g706 +g968 +tp2119 +a(g436 +VengineLib +p2120 +tp2121 +a(g706 +g1076 +tp2122 +a(g436 +VOnLoad +p2123 +tp2124 +a(g706 +g975 +tp2125 +a(g827 +g966 +tp2126 +a(g794 +Vthen +p2127 +tp2128 +a(g827 +V\u000a +p2129 +tp2130 +a(g436 +VengineLib +p2131 +tp2132 +a(g706 +g1076 +tp2133 +a(g436 +VOnLoad +p2134 +tp2135 +a(g706 +g968 +tp2136 +a(g436 +Vaddon +p2137 +tp2138 +a(g706 +g975 +tp2139 +a(g827 +V\u000a +p2140 +tp2141 +a(g794 +Vend +p2142 +tp2143 +a(g827 +V\u000a +p2144 +tp2145 +a(g794 +Vend +p2146 +tp2147 +a(g827 +V\u000a +p2148 +tp2149 +a(g794 +Vif +p2150 +tp2151 +a(g827 +g966 +tp2152 +a(g706 +g968 +tp2153 +a(g436 +VengineLib +p2154 +tp2155 +a(g706 +g1076 +tp2156 +a(g436 +VProcessor +p2157 +tp2158 +a(g827 +g966 +tp2159 +a(g427 +Vand +p2160 +tp2161 +a(g827 +g966 +tp2162 +a(g436 +Vauc +p2163 +tp2164 +a(g827 +g966 +tp2165 +a(g413 +V== +p2166 +tp2167 +a(g827 +g966 +tp2168 +a(g157 +g1081 +tp2169 +a(g157 +Vauc +p2170 +tp2171 +a(g157 +g1081 +tp2172 +a(g827 +g966 +tp2173 +a(g427 +Vand +p2174 +tp2175 +a(g827 +g966 +tp2176 +a(g436 +Vsys +p2177 +tp2178 +a(g827 +g966 +tp2179 +a(g427 +Vand +p2180 +tp2181 +a(g827 +g966 +tp2182 +a(g436 +Veng +p2183 +tp2184 +a(g706 +g975 +tp2185 +a(g827 +g966 +tp2186 +a(g794 +Vthen +p2187 +tp2188 +a(g827 +V\u000a +p2189 +tp2190 +a(g436 +VengineLib +p2191 +tp2192 +a(g706 +g1076 +tp2193 +a(g436 +VProcessor +p2194 +tp2195 +a(g706 +g968 +tp2196 +a(g157 +g1081 +tp2197 +a(g157 +Vload +p2198 +tp2199 +a(g157 +g1081 +tp2200 +a(g706 +g1193 +tp2201 +a(g827 +g966 +tp2202 +a(g436 +Vaddon +p2203 +tp2204 +a(g706 +g975 +tp2205 +a(g827 +V\u000a +p2206 +tp2207 +a(g794 +Vend +p2208 +tp2209 +a(g827 +V\u000a +p2210 +tp2211 +a(g794 +Vend +p2212 +tp2213 +a(g827 +V\u000a +p2214 +tp2215 +a(g794 +Vend +p2216 +tp2217 +a(g827 +V\u000a +p2218 +tp2219 +a(g794 +Vend +p2220 +tp2221 +a(g827 +V\u000a\u000a +p2222 +tp2223 +a(g794 +Vfunction +p2224 +tp2225 +a(g827 +g966 +tp2226 +a(g629 +Vprivate +p2227 +tp2228 +a(g706 +g1281 +tp2229 +a(g574 +VOnUnload +p2230 +tp2231 +a(g706 +g968 +tp2232 +a(g706 +g975 +tp2233 +a(g827 +V\u000a +p2234 +tp2235 +a(g794 +Vfor +p2236 +tp2237 +a(g827 +g966 +tp2238 +a(g436 +Vsystem +p2239 +tp2240 +a(g706 +g1193 +tp2241 +a(g827 +g966 +tp2242 +a(g436 +VsystemMods +p2243 +tp2244 +a(g827 +g966 +tp2245 +a(g794 +Vin +p2246 +tp2247 +a(g827 +g966 +tp2248 +a(g682 +Vpairs +p2249 +tp2250 +a(g706 +g968 +tp2251 +a(g436 +VAucAdvanced +p2252 +tp2253 +a(g706 +g1076 +tp2254 +a(g436 +VModules +p2255 +tp2256 +a(g706 +g975 +tp2257 +a(g827 +g966 +tp2258 +a(g794 +Vdo +p2259 +tp2260 +a(g827 +V\u000a +p2261 +tp2262 +a(g794 +Vfor +p2263 +tp2264 +a(g827 +g966 +tp2265 +a(g436 +Vengine +p2266 +tp2267 +a(g706 +g1193 +tp2268 +a(g827 +g966 +tp2269 +a(g436 +VengineLib +p2270 +tp2271 +a(g827 +g966 +tp2272 +a(g794 +Vin +p2273 +tp2274 +a(g827 +g966 +tp2275 +a(g682 +Vpairs +p2276 +tp2277 +a(g706 +g968 +tp2278 +a(g436 +VsystemMods +p2279 +tp2280 +a(g706 +g975 +tp2281 +a(g827 +g966 +tp2282 +a(g794 +Vdo +p2283 +tp2284 +a(g827 +V\u000a +p2285 +tp2286 +a(g794 +Vif +p2287 +tp2288 +a(g827 +g966 +tp2289 +a(g706 +g968 +tp2290 +a(g436 +VengineLib +p2291 +tp2292 +a(g706 +g1076 +tp2293 +a(g436 +VOnUnload +p2294 +tp2295 +a(g706 +g975 +tp2296 +a(g827 +g966 +tp2297 +a(g794 +Vthen +p2298 +tp2299 +a(g827 +V\u000a +p2300 +tp2301 +a(g436 +VengineLib +p2302 +tp2303 +a(g706 +g1076 +tp2304 +a(g436 +VOnUnload +p2305 +tp2306 +a(g706 +g968 +tp2307 +a(g706 +g975 +tp2308 +a(g827 +V\u000a +p2309 +tp2310 +a(g794 +Vend +p2311 +tp2312 +a(g827 +V\u000a +p2313 +tp2314 +a(g794 +Vend +p2315 +tp2316 +a(g827 +V\u000a +p2317 +tp2318 +a(g794 +Vend +p2319 +tp2320 +a(g827 +V\u000a +p2321 +tp2322 +a(g794 +Vend +p2323 +tp2324 +a(g827 +V\u000a\u000a +p2325 +tp2326 +a(g436 +Vprivate +p2327 +tp2328 +a(g706 +g1076 +tp2329 +a(g436 +VSchedule +p2330 +tp2331 +a(g827 +g966 +tp2332 +a(g413 +g984 +tp2333 +a(g827 +g966 +tp2334 +a(g706 +g987 +tp2335 +a(g706 +g989 +tp2336 +a(g827 +V\u000a +p2337 +tp2338 +a(g794 +Vfunction +p2339 +tp2340 +a(g827 +g966 +tp2341 +a(g629 +Vprivate +p2342 +tp2343 +a(g706 +g1281 +tp2344 +a(g574 +VOnEvent +p2345 +tp2346 +a(g706 +g968 +tp2347 +a(g706 +V... +p2348 +tp2349 +a(g706 +g975 +tp2350 +a(g827 +V\u000a +p2351 +tp2352 +a(g810 +Vlocal +p2353 +tp2354 +a(g827 +g966 +tp2355 +a(g436 +Vevent +p2356 +tp2357 +a(g706 +g1193 +tp2358 +a(g827 +g966 +tp2359 +a(g436 +Varg +p2360 +tp2361 +a(g827 +g966 +tp2362 +a(g413 +g984 +tp2363 +a(g827 +g966 +tp2364 +a(g682 +Vselect +p2365 +tp2366 +a(g706 +g968 +tp2367 +a(g37 +V2 +p2368 +tp2369 +a(g706 +g1193 +tp2370 +a(g827 +g966 +tp2371 +a(g706 +V... +p2372 +tp2373 +a(g706 +g975 +tp2374 +a(g827 +V\u000a +p2375 +tp2376 +a(g794 +Vif +p2377 +tp2378 +a(g827 +g966 +tp2379 +a(g706 +g968 +tp2380 +a(g436 +Vevent +p2381 +tp2382 +a(g827 +g966 +tp2383 +a(g413 +V== +p2384 +tp2385 +a(g827 +g966 +tp2386 +a(g157 +g1081 +tp2387 +a(g157 +VADDON_LOADED +p2388 +tp2389 +a(g157 +g1081 +tp2390 +a(g706 +g975 +tp2391 +a(g827 +g966 +tp2392 +a(g794 +Vthen +p2393 +tp2394 +a(g827 +V\u000a +p2395 +tp2396 +a(g810 +Vlocal +p2397 +tp2398 +a(g827 +g966 +tp2399 +a(g436 +Vaddon +p2400 +tp2401 +a(g827 +g966 +tp2402 +a(g413 +g984 +tp2403 +a(g827 +g966 +tp2404 +a(g682 +Vstring.lower +p2405 +tp2406 +a(g706 +g968 +tp2407 +a(g436 +Varg +p2408 +tp2409 +a(g706 +g975 +tp2410 +a(g827 +V\u000a +p2411 +tp2412 +a(g794 +Vif +p2413 +tp2414 +a(g827 +g966 +tp2415 +a(g706 +g968 +tp2416 +a(g436 +Vaddon +p2417 +tp2418 +a(g706 +g1696 +tp2419 +a(g436 +Vsub +p2420 +tp2421 +a(g706 +g968 +tp2422 +a(g37 +V1 +p2423 +tp2424 +a(g706 +g1193 +tp2425 +a(g37 +V4 +p2426 +tp2427 +a(g706 +g975 +tp2428 +a(g827 +g966 +tp2429 +a(g413 +V== +p2430 +tp2431 +a(g827 +g966 +tp2432 +a(g157 +g1081 +tp2433 +a(g157 +Vauc- +p2434 +tp2435 +a(g157 +g1081 +tp2436 +a(g706 +g975 +tp2437 +a(g827 +g966 +tp2438 +a(g794 +Vthen +p2439 +tp2440 +a(g827 +V\u000a +p2441 +tp2442 +a(g436 +Vprivate +p2443 +tp2444 +a(g706 +g1076 +tp2445 +a(g436 +VOnLoad +p2446 +tp2447 +a(g706 +g968 +tp2448 +a(g436 +Vaddon +p2449 +tp2450 +a(g706 +g975 +tp2451 +a(g827 +V\u000a +p2452 +tp2453 +a(g794 +Vend +p2454 +tp2455 +a(g827 +V\u000a +p2456 +tp2457 +a(g794 +Velseif +p2458 +tp2459 +a(g827 +g966 +tp2460 +a(g706 +g968 +tp2461 +a(g436 +Vevent +p2462 +tp2463 +a(g827 +g966 +tp2464 +a(g413 +V== +p2465 +tp2466 +a(g827 +g966 +tp2467 +a(g157 +g1081 +tp2468 +a(g157 +VAUCTION_HOUSE_SHOW +p2469 +tp2470 +a(g157 +g1081 +tp2471 +a(g706 +g975 +tp2472 +a(g827 +g966 +tp2473 +a(g794 +Vthen +p2474 +tp2475 +a(g827 +V\u000a +p2476 +tp2477 +a(g906 +V-- Do Nothing for now +p2478 +tp2479 +a(g827 +V\u000a +p2480 +tp2481 +a(g794 +Velseif +p2482 +tp2483 +a(g827 +g966 +tp2484 +a(g706 +g968 +tp2485 +a(g436 +Vevent +p2486 +tp2487 +a(g827 +g966 +tp2488 +a(g413 +V== +p2489 +tp2490 +a(g827 +g966 +tp2491 +a(g157 +g1081 +tp2492 +a(g157 +VAUCTION_HOUSE_CLOSED +p2493 +tp2494 +a(g157 +g1081 +tp2495 +a(g706 +g975 +tp2496 +a(g827 +g966 +tp2497 +a(g794 +Vthen +p2498 +tp2499 +a(g827 +V\u000a +p2500 +tp2501 +a(g436 +VAucAdvanced +p2502 +tp2503 +a(g706 +g1076 +tp2504 +a(g436 +VScan +p2505 +tp2506 +a(g706 +g1281 +tp2507 +a(g436 +VInterrupt +p2508 +tp2509 +a(g706 +g968 +tp2510 +a(g706 +g975 +tp2511 +a(g827 +V\u000a +p2512 +tp2513 +a(g794 +Velseif +p2514 +tp2515 +a(g827 +g966 +tp2516 +a(g706 +g968 +tp2517 +a(g436 +Vevent +p2518 +tp2519 +a(g827 +g966 +tp2520 +a(g413 +V== +p2521 +tp2522 +a(g827 +g966 +tp2523 +a(g157 +g1081 +tp2524 +a(g157 +VPLAYER_LOGOUT +p2525 +tp2526 +a(g157 +g1081 +tp2527 +a(g706 +g975 +tp2528 +a(g827 +g966 +tp2529 +a(g794 +Vthen +p2530 +tp2531 +a(g827 +V\u000a +p2532 +tp2533 +a(g436 +VAucAdvanced +p2534 +tp2535 +a(g706 +g1076 +tp2536 +a(g436 +VScan +p2537 +tp2538 +a(g706 +g1281 +tp2539 +a(g436 +VCommit +p2540 +tp2541 +a(g706 +g968 +tp2542 +a(g764 +Vtrue +p2543 +tp2544 +a(g706 +g975 +tp2545 +a(g827 +V\u000a +p2546 +tp2547 +a(g436 +Vprivate +p2548 +tp2549 +a(g706 +g1076 +tp2550 +a(g436 +VOnUnload +p2551 +tp2552 +a(g706 +g968 +tp2553 +a(g706 +g975 +tp2554 +a(g827 +V\u000a +p2555 +tp2556 +a(g794 +Velseif +p2557 +tp2558 +a(g827 +g966 +tp2559 +a(g436 +Vevent +p2560 +tp2561 +a(g827 +g966 +tp2562 +a(g413 +V== +p2563 +tp2564 +a(g827 +g966 +tp2565 +a(g157 +g1081 +tp2566 +a(g157 +VUNIT_INVENTORY_CHANGED +p2567 +tp2568 +a(g157 +g1081 +tp2569 +a(g827 +V\u000a +p2570 +tp2571 +a(g427 +Vor +p2572 +tp2573 +a(g827 +g966 +tp2574 +a(g436 +Vevent +p2575 +tp2576 +a(g827 +g966 +tp2577 +a(g413 +V== +p2578 +tp2579 +a(g827 +g966 +tp2580 +a(g157 +g1081 +tp2581 +a(g157 +VITEM_LOCK_CHANGED +p2582 +tp2583 +a(g157 +g1081 +tp2584 +a(g827 +V\u000a +p2585 +tp2586 +a(g427 +Vor +p2587 +tp2588 +a(g827 +g966 +tp2589 +a(g436 +Vevent +p2590 +tp2591 +a(g827 +g966 +tp2592 +a(g413 +V== +p2593 +tp2594 +a(g827 +g966 +tp2595 +a(g157 +g1081 +tp2596 +a(g157 +VCURSOR_UPDATE +p2597 +tp2598 +a(g157 +g1081 +tp2599 +a(g827 +V\u000a +p2600 +tp2601 +a(g427 +Vor +p2602 +tp2603 +a(g827 +g966 +tp2604 +a(g436 +Vevent +p2605 +tp2606 +a(g827 +g966 +tp2607 +a(g413 +V== +p2608 +tp2609 +a(g827 +g966 +tp2610 +a(g157 +g1081 +tp2611 +a(g157 +VBAG_UPDATE +p2612 +tp2613 +a(g157 +g1081 +tp2614 +a(g827 +V\u000a +p2615 +tp2616 +a(g794 +Vthen +p2617 +tp2618 +a(g827 +V\u000a +p2619 +tp2620 +a(g436 +Vprivate +p2621 +tp2622 +a(g706 +g1076 +tp2623 +a(g436 +VSchedule +p2624 +tp2625 +a(g706 +g2104 +tp2626 +a(g157 +g1081 +tp2627 +a(g157 +Vinventory +p2628 +tp2629 +a(g157 +g1081 +tp2630 +a(g706 +g2108 +tp2631 +a(g827 +g966 +tp2632 +a(g413 +g984 +tp2633 +a(g827 +g966 +tp2634 +a(g436 +VGetTime +p2635 +tp2636 +a(g706 +g968 +tp2637 +a(g706 +g975 +tp2638 +a(g827 +g966 +tp2639 +a(g413 +V+ +p2640 +tp2641 +a(g827 +g966 +tp2642 +a(g315 +V0.15 +p2643 +tp2644 +a(g827 +V\u000a +p2645 +tp2646 +a(g794 +Vend +p2647 +tp2648 +a(g827 +V\u000a +p2649 +tp2650 +a(g794 +Vend +p2651 +tp2652 +a(g827 +V\u000a\u000a +p2653 +tp2654 +a(g794 +Vfunction +p2655 +tp2656 +a(g827 +g966 +tp2657 +a(g629 +Vprivate +p2658 +tp2659 +a(g706 +g1281 +tp2660 +a(g574 +VOnUpdate +p2661 +tp2662 +a(g706 +g968 +tp2663 +a(g706 +V... +p2664 +tp2665 +a(g706 +g975 +tp2666 +a(g827 +V\u000a +p2667 +tp2668 +a(g794 +Vif +p2669 +tp2670 +a(g827 +g966 +tp2671 +a(g436 +Vevent +p2672 +tp2673 +a(g827 +g966 +tp2674 +a(g413 +V== +p2675 +tp2676 +a(g827 +g966 +tp2677 +a(g157 +g1081 +tp2678 +a(g157 +Vinventory +p2679 +tp2680 +a(g157 +g1081 +tp2681 +a(g827 +g966 +tp2682 +a(g794 +Vthen +p2683 +tp2684 +a(g827 +V\u000a +p2685 +tp2686 +a(g436 +VAucAdvanced +p2687 +tp2688 +a(g706 +g1076 +tp2689 +a(g436 +VPost +p2690 +tp2691 +a(g706 +g1281 +tp2692 +a(g436 +VAlertBagsChanged +p2693 +tp2694 +a(g706 +g968 +tp2695 +a(g706 +g975 +tp2696 +a(g827 +V\u000a +p2697 +tp2698 +a(g794 +Vend +p2699 +tp2700 +a(g827 +V\u000a\u000a +p2701 +tp2702 +a(g810 +Vlocal +p2703 +tp2704 +a(g827 +g966 +tp2705 +a(g436 +Vnow +p2706 +tp2707 +a(g827 +g966 +tp2708 +a(g413 +g984 +tp2709 +a(g827 +g966 +tp2710 +a(g436 +VGetTime +p2711 +tp2712 +a(g706 +g968 +tp2713 +a(g706 +g975 +tp2714 +a(g827 +V\u000a +p2715 +tp2716 +a(g794 +Vfor +p2717 +tp2718 +a(g827 +g966 +tp2719 +a(g436 +Vevent +p2720 +tp2721 +a(g706 +g1193 +tp2722 +a(g827 +g966 +tp2723 +a(g436 +Vtime +p2724 +tp2725 +a(g827 +g966 +tp2726 +a(g794 +Vin +p2727 +tp2728 +a(g827 +g966 +tp2729 +a(g682 +Vpairs +p2730 +tp2731 +a(g706 +g968 +tp2732 +a(g436 +Vprivate +p2733 +tp2734 +a(g706 +g1076 +tp2735 +a(g436 +VSchedule +p2736 +tp2737 +a(g706 +g975 +tp2738 +a(g827 +g966 +tp2739 +a(g794 +Vdo +p2740 +tp2741 +a(g827 +V\u000a +p2742 +tp2743 +a(g794 +Vif +p2744 +tp2745 +a(g827 +g966 +tp2746 +a(g436 +Vtime +p2747 +tp2748 +a(g827 +g966 +tp2749 +a(g413 +V> +p2750 +tp2751 +a(g827 +g966 +tp2752 +a(g436 +Vnow +p2753 +tp2754 +a(g827 +g966 +tp2755 +a(g794 +Vthen +p2756 +tp2757 +a(g827 +V\u000a +p2758 +tp2759 +a(g794 +Vfor +p2760 +tp2761 +a(g827 +g966 +tp2762 +a(g436 +Vsystem +p2763 +tp2764 +a(g706 +g1193 +tp2765 +a(g827 +g966 +tp2766 +a(g436 +VsystemMods +p2767 +tp2768 +a(g827 +g966 +tp2769 +a(g794 +Vin +p2770 +tp2771 +a(g827 +g966 +tp2772 +a(g682 +Vpairs +p2773 +tp2774 +a(g706 +g968 +tp2775 +a(g436 +VAucAdvanced +p2776 +tp2777 +a(g706 +g1076 +tp2778 +a(g436 +VModules +p2779 +tp2780 +a(g706 +g975 +tp2781 +a(g827 +g966 +tp2782 +a(g794 +Vdo +p2783 +tp2784 +a(g827 +V\u000a +p2785 +tp2786 +a(g794 +Vfor +p2787 +tp2788 +a(g827 +g966 +tp2789 +a(g436 +Vengine +p2790 +tp2791 +a(g706 +g1193 +tp2792 +a(g827 +g966 +tp2793 +a(g436 +VengineLib +p2794 +tp2795 +a(g827 +g966 +tp2796 +a(g794 +Vin +p2797 +tp2798 +a(g827 +g966 +tp2799 +a(g682 +Vpairs +p2800 +tp2801 +a(g706 +g968 +tp2802 +a(g436 +VsystemMods +p2803 +tp2804 +a(g706 +g975 +tp2805 +a(g827 +g966 +tp2806 +a(g794 +Vdo +p2807 +tp2808 +a(g827 +V\u000a +p2809 +tp2810 +a(g794 +Vif +p2811 +tp2812 +a(g827 +g966 +tp2813 +a(g436 +VengineLib +p2814 +tp2815 +a(g706 +g1076 +tp2816 +a(g436 +VProcessor +p2817 +tp2818 +a(g827 +g966 +tp2819 +a(g794 +Vthen +p2820 +tp2821 +a(g827 +V\u000a +p2822 +tp2823 +a(g436 +VengineLib +p2824 +tp2825 +a(g706 +g1076 +tp2826 +a(g436 +VProcessor +p2827 +tp2828 +a(g706 +g968 +tp2829 +a(g436 +Vevent +p2830 +tp2831 +a(g706 +g1193 +tp2832 +a(g827 +g966 +tp2833 +a(g436 +Vtime +p2834 +tp2835 +a(g706 +g975 +tp2836 +a(g827 +V\u000a +p2837 +tp2838 +a(g794 +Vend +p2839 +tp2840 +a(g827 +V\u000a +p2841 +tp2842 +a(g794 +Vend +p2843 +tp2844 +a(g827 +V\u000a +p2845 +tp2846 +a(g794 +Vend +p2847 +tp2848 +a(g827 +V\u000a +p2849 +tp2850 +a(g794 +Vend +p2851 +tp2852 +a(g827 +V\u000a +p2853 +tp2854 +a(g436 +Vprivate +p2855 +tp2856 +a(g706 +g1076 +tp2857 +a(g436 +VSchedule +p2858 +tp2859 +a(g706 +g2104 +tp2860 +a(g436 +Vevent +p2861 +tp2862 +a(g706 +g2108 +tp2863 +a(g827 +g966 +tp2864 +a(g413 +g984 +tp2865 +a(g827 +g966 +tp2866 +a(g764 +Vnil +p2867 +tp2868 +a(g827 +V\u000a +p2869 +tp2870 +a(g794 +Vend +p2871 +tp2872 +a(g827 +V\u000a +p2873 +tp2874 +a(g794 +Vend +p2875 +tp2876 +a(g827 +V\u000a\u000a +p2877 +tp2878 +a(g436 +Vprivate +p2879 +tp2880 +a(g706 +g1076 +tp2881 +a(g436 +VFrame +p2882 +tp2883 +a(g827 +g966 +tp2884 +a(g413 +g984 +tp2885 +a(g827 +g966 +tp2886 +a(g436 +VCreateFrame +p2887 +tp2888 +a(g706 +g968 +tp2889 +a(g157 +g1081 +tp2890 +a(g157 +VFrame +p2891 +tp2892 +a(g157 +g1081 +tp2893 +a(g706 +g975 +tp2894 +a(g827 +V\u000a +p2895 +tp2896 +a(g436 +Vprivate +p2897 +tp2898 +a(g706 +g1076 +tp2899 +a(g436 +VFrame +p2900 +tp2901 +a(g706 +g1696 +tp2902 +a(g436 +VRegisterEvent +p2903 +tp2904 +a(g706 +g968 +tp2905 +a(g157 +g1081 +tp2906 +a(g157 +VADDON_LOADED +p2907 +tp2908 +a(g157 +g1081 +tp2909 +a(g706 +g975 +tp2910 +a(g827 +V\u000a +p2911 +tp2912 +a(g436 +Vprivate +p2913 +tp2914 +a(g706 +g1076 +tp2915 +a(g436 +VFrame +p2916 +tp2917 +a(g706 +g1696 +tp2918 +a(g436 +VRegisterEvent +p2919 +tp2920 +a(g706 +g968 +tp2921 +a(g157 +g1081 +tp2922 +a(g157 +VAUCTION_HOUSE_SHOW +p2923 +tp2924 +a(g157 +g1081 +tp2925 +a(g706 +g975 +tp2926 +a(g827 +V\u000a +p2927 +tp2928 +a(g436 +Vprivate +p2929 +tp2930 +a(g706 +g1076 +tp2931 +a(g436 +VFrame +p2932 +tp2933 +a(g706 +g1696 +tp2934 +a(g436 +VRegisterEvent +p2935 +tp2936 +a(g706 +g968 +tp2937 +a(g157 +g1081 +tp2938 +a(g157 +VAUCTION_HOUSE_CLOSED +p2939 +tp2940 +a(g157 +g1081 +tp2941 +a(g706 +g975 +tp2942 +a(g827 +V\u000a +p2943 +tp2944 +a(g436 +Vprivate +p2945 +tp2946 +a(g706 +g1076 +tp2947 +a(g436 +VFrame +p2948 +tp2949 +a(g706 +g1696 +tp2950 +a(g436 +VRegisterEvent +p2951 +tp2952 +a(g706 +g968 +tp2953 +a(g157 +g1081 +tp2954 +a(g157 +VUNIT_INVENTORY_CHANGED +p2955 +tp2956 +a(g157 +g1081 +tp2957 +a(g706 +g975 +tp2958 +a(g827 +V\u000a +p2959 +tp2960 +a(g436 +Vprivate +p2961 +tp2962 +a(g706 +g1076 +tp2963 +a(g436 +VFrame +p2964 +tp2965 +a(g706 +g1696 +tp2966 +a(g436 +VRegisterEvent +p2967 +tp2968 +a(g706 +g968 +tp2969 +a(g157 +g1081 +tp2970 +a(g157 +VITEM_LOCK_CHANGED +p2971 +tp2972 +a(g157 +g1081 +tp2973 +a(g706 +g975 +tp2974 +a(g827 +V\u000a +p2975 +tp2976 +a(g436 +Vprivate +p2977 +tp2978 +a(g706 +g1076 +tp2979 +a(g436 +VFrame +p2980 +tp2981 +a(g706 +g1696 +tp2982 +a(g436 +VRegisterEvent +p2983 +tp2984 +a(g706 +g968 +tp2985 +a(g157 +g1081 +tp2986 +a(g157 +VCURSOR_UPDATE +p2987 +tp2988 +a(g157 +g1081 +tp2989 +a(g706 +g975 +tp2990 +a(g827 +V\u000a +p2991 +tp2992 +a(g436 +Vprivate +p2993 +tp2994 +a(g706 +g1076 +tp2995 +a(g436 +VFrame +p2996 +tp2997 +a(g706 +g1696 +tp2998 +a(g436 +VRegisterEvent +p2999 +tp3000 +a(g706 +g968 +tp3001 +a(g157 +g1081 +tp3002 +a(g157 +VBAG_UPDATE +p3003 +tp3004 +a(g157 +g1081 +tp3005 +a(g706 +g975 +tp3006 +a(g827 +V\u000a +p3007 +tp3008 +a(g436 +Vprivate +p3009 +tp3010 +a(g706 +g1076 +tp3011 +a(g436 +VFrame +p3012 +tp3013 +a(g706 +g1696 +tp3014 +a(g436 +VRegisterEvent +p3015 +tp3016 +a(g706 +g968 +tp3017 +a(g157 +g1081 +tp3018 +a(g157 +VPLAYER_LOGOUT +p3019 +tp3020 +a(g157 +g1081 +tp3021 +a(g706 +g975 +tp3022 +a(g827 +V\u000a +p3023 +tp3024 +a(g436 +Vprivate +p3025 +tp3026 +a(g706 +g1076 +tp3027 +a(g436 +VFrame +p3028 +tp3029 +a(g706 +g1696 +tp3030 +a(g436 +VSetScript +p3031 +tp3032 +a(g706 +g968 +tp3033 +a(g157 +g1081 +tp3034 +a(g157 +VOnEvent +p3035 +tp3036 +a(g157 +g1081 +tp3037 +a(g706 +g1193 +tp3038 +a(g827 +g966 +tp3039 +a(g436 +Vprivate +p3040 +tp3041 +a(g706 +g1076 +tp3042 +a(g436 +VOnEvent +p3043 +tp3044 +a(g706 +g975 +tp3045 +a(g827 +V\u000a +p3046 +tp3047 +a(g436 +Vprivate +p3048 +tp3049 +a(g706 +g1076 +tp3050 +a(g436 +VFrame +p3051 +tp3052 +a(g706 +g1696 +tp3053 +a(g436 +VSetScript +p3054 +tp3055 +a(g706 +g968 +tp3056 +a(g157 +g1081 +tp3057 +a(g157 +VOnUpdate +p3058 +tp3059 +a(g157 +g1081 +tp3060 +a(g706 +g1193 +tp3061 +a(g827 +g966 +tp3062 +a(g436 +Vprivate +p3063 +tp3064 +a(g706 +g1076 +tp3065 +a(g436 +VOnUpdate +p3066 +tp3067 +a(g706 +g975 +tp3068 +a(g827 +V\u000a\u000a +p3069 +tp3070 +a(g906 +V-- Auctioneer's debug functions +p3071 +tp3072 +a(g827 +V\u000a +p3073 +tp3074 +a(g436 +VAucAdvanced +p3075 +tp3076 +a(g706 +g1076 +tp3077 +a(g436 +VDebug +p3078 +tp3079 +a(g827 +g966 +tp3080 +a(g413 +g984 +tp3081 +a(g827 +g966 +tp3082 +a(g706 +g987 +tp3083 +a(g706 +g989 +tp3084 +a(g827 +V\u000a +p3085 +tp3086 +a(g810 +Vlocal +p3087 +tp3088 +a(g827 +g966 +tp3089 +a(g436 +VaddonName +p3090 +tp3091 +a(g827 +g966 +tp3092 +a(g413 +g984 +tp3093 +a(g827 +g966 +tp3094 +a(g157 +g1081 +tp3095 +a(g157 +VAuctioneer +p3096 +tp3097 +a(g157 +g1081 +tp3098 +a(g827 +g966 +tp3099 +a(g906 +V-- the addon's name as it will be displayed in +p3100 +tp3101 +a(g827 +V\u000a +p3102 +tp3103 +a(g906 +V-- the debug messages +p3104 +tp3105 +a(g827 +V\u000a +p3106 +tp3107 +a(g906 +V------------------------------------------------------------------------------- +p3108 +tp3109 +a(g827 +V\u000a +p3110 +tp3111 +a(g906 +V-- Prints the specified message to nLog. +p3112 +tp3113 +a(g827 +V\u000a +p3114 +tp3115 +a(g906 +V-- +p3116 +tp3117 +a(g827 +V\u000a +p3118 +tp3119 +a(g906 +V-- syntax: +p3120 +tp3121 +a(g827 +V\u000a +p3122 +tp3123 +a(g906 +V-- errorCode, message = debugPrint([message][, category][, title][, errorCode][, level]) +p3124 +tp3125 +a(g827 +V\u000a +p3126 +tp3127 +a(g906 +V-- +p3128 +tp3129 +a(g827 +V\u000a +p3130 +tp3131 +a(g906 +V-- parameters: +p3132 +tp3133 +a(g827 +V\u000a +p3134 +tp3135 +a(g906 +V-- message - (string) the error message +p3136 +tp3137 +a(g827 +V\u000a +p3138 +tp3139 +a(g906 +V-- nil, no error message specified +p3140 +tp3141 +a(g827 +V\u000a +p3142 +tp3143 +a(g906 +V-- category - (string) the category of the debug message +p3144 +tp3145 +a(g827 +V\u000a +p3146 +tp3147 +a(g906 +V-- nil, no category specified +p3148 +tp3149 +a(g827 +V\u000a +p3150 +tp3151 +a(g906 +V-- title - (string) the title for the debug message +p3152 +tp3153 +a(g827 +V\u000a +p3154 +tp3155 +a(g906 +V-- nil, no title specified +p3156 +tp3157 +a(g827 +V\u000a +p3158 +tp3159 +a(g906 +V-- errorCode - (number) the error code +p3160 +tp3161 +a(g827 +V\u000a +p3162 +tp3163 +a(g906 +V-- nil, no error code specified +p3164 +tp3165 +a(g827 +V\u000a +p3166 +tp3167 +a(g906 +V-- level - (string) nLog message level +p3168 +tp3169 +a(g827 +V\u000a +p3170 +tp3171 +a(g906 +V-- Any nLog.levels string is valid. +p3172 +tp3173 +a(g827 +V\u000a +p3174 +tp3175 +a(g906 +V-- nil, no level specified +p3176 +tp3177 +a(g827 +V\u000a +p3178 +tp3179 +a(g906 +V-- +p3180 +tp3181 +a(g827 +V\u000a +p3182 +tp3183 +a(g906 +V-- returns: +p3184 +tp3185 +a(g827 +V\u000a +p3186 +tp3187 +a(g906 +V-- errorCode - (number) errorCode, if one is specified +p3188 +tp3189 +a(g827 +V\u000a +p3190 +tp3191 +a(g906 +V-- nil, otherwise +p3192 +tp3193 +a(g827 +V\u000a +p3194 +tp3195 +a(g906 +V-- message - (string) message, if one is specified +p3196 +tp3197 +a(g827 +V\u000a +p3198 +tp3199 +a(g906 +V-- nil, otherwise +p3200 +tp3201 +a(g827 +V\u000a +p3202 +tp3203 +a(g906 +V------------------------------------------------------------------------------- +p3204 +tp3205 +a(g827 +V\u000a +p3206 +tp3207 +a(g794 +Vfunction +p3208 +tp3209 +a(g827 +g966 +tp3210 +a(g629 +VAucAdvanced +p3211 +tp3212 +a(g706 +g1281 +tp3213 +a(g629 +VDebug +p3214 +tp3215 +a(g706 +g1281 +tp3216 +a(g574 +VDebugPrint +p3217 +tp3218 +a(g706 +g968 +tp3219 +a(g436 +Vmessage +p3220 +tp3221 +a(g706 +g1193 +tp3222 +a(g827 +g966 +tp3223 +a(g436 +Vcategory +p3224 +tp3225 +a(g706 +g1193 +tp3226 +a(g827 +g966 +tp3227 +a(g436 +Vtitle +p3228 +tp3229 +a(g706 +g1193 +tp3230 +a(g827 +g966 +tp3231 +a(g436 +VerrorCode +p3232 +tp3233 +a(g706 +g1193 +tp3234 +a(g827 +g966 +tp3235 +a(g436 +Vlevel +p3236 +tp3237 +a(g706 +g975 +tp3238 +a(g827 +V\u000a +p3239 +tp3240 +a(g794 +Vreturn +p3241 +tp3242 +a(g827 +g966 +tp3243 +a(g436 +VDebugLib +p3244 +tp3245 +a(g706 +g1076 +tp3246 +a(g436 +VDebugPrint +p3247 +tp3248 +a(g706 +g968 +tp3249 +a(g436 +VaddonName +p3250 +tp3251 +a(g706 +g1193 +tp3252 +a(g827 +g966 +tp3253 +a(g436 +Vmessage +p3254 +tp3255 +a(g706 +g1193 +tp3256 +a(g827 +g966 +tp3257 +a(g436 +Vcategory +p3258 +tp3259 +a(g706 +g1193 +tp3260 +a(g827 +g966 +tp3261 +a(g436 +Vtitle +p3262 +tp3263 +a(g706 +g1193 +tp3264 +a(g827 +g966 +tp3265 +a(g436 +VerrorCode +p3266 +tp3267 +a(g706 +g1193 +tp3268 +a(g827 +g966 +tp3269 +a(g436 +Vlevel +p3270 +tp3271 +a(g706 +g975 +tp3272 +a(g827 +V\u000a +p3273 +tp3274 +a(g794 +Vend +p3275 +tp3276 +a(g827 +V\u000a\u000a +p3277 +tp3278 +a(g906 +V------------------------------------------------------------------------------- +p3279 +tp3280 +a(g827 +V\u000a +p3281 +tp3282 +a(g906 +V-- Used to make sure that conditions are met within functions. +p3283 +tp3284 +a(g827 +V\u000a +p3285 +tp3286 +a(g906 +V-- If test is false, the error message will be written to nLog and the user's +p3287 +tp3288 +a(g827 +V\u000a +p3289 +tp3290 +a(g906 +V-- default chat channel. +p3291 +tp3292 +a(g827 +V\u000a +p3293 +tp3294 +a(g906 +V-- +p3295 +tp3296 +a(g827 +V\u000a +p3297 +tp3298 +a(g906 +V-- syntax: +p3299 +tp3300 +a(g827 +V\u000a +p3301 +tp3302 +a(g906 +V-- assertion = assert(test, message) +p3303 +tp3304 +a(g827 +V\u000a +p3305 +tp3306 +a(g906 +V-- +p3307 +tp3308 +a(g827 +V\u000a +p3309 +tp3310 +a(g906 +V-- parameters: +p3311 +tp3312 +a(g827 +V\u000a +p3313 +tp3314 +a(g906 +V-- test - (any) false/nil, if the assertion failed +p3315 +tp3316 +a(g827 +V\u000a +p3317 +tp3318 +a(g906 +V-- anything else, otherwise +p3319 +tp3320 +a(g827 +V\u000a +p3321 +tp3322 +a(g906 +V-- message - (string) the message which will be output to the user +p3323 +tp3324 +a(g827 +V\u000a +p3325 +tp3326 +a(g906 +V-- +p3327 +tp3328 +a(g827 +V\u000a +p3329 +tp3330 +a(g906 +V-- returns: +p3331 +tp3332 +a(g827 +V\u000a +p3333 +tp3334 +a(g906 +V-- assertion - (boolean) true, if the test passed +p3335 +tp3336 +a(g827 +V\u000a +p3337 +tp3338 +a(g906 +V-- false, otherwise +p3339 +tp3340 +a(g827 +V\u000a +p3341 +tp3342 +a(g906 +V------------------------------------------------------------------------------- +p3343 +tp3344 +a(g827 +V\u000a +p3345 +tp3346 +a(g794 +Vfunction +p3347 +tp3348 +a(g827 +g966 +tp3349 +a(g629 +VAucAdvanced +p3350 +tp3351 +a(g706 +g1281 +tp3352 +a(g629 +VDebug +p3353 +tp3354 +a(g706 +g1281 +tp3355 +a(g574 +VAssert +p3356 +tp3357 +a(g706 +g968 +tp3358 +a(g436 +Vtest +p3359 +tp3360 +a(g706 +g1193 +tp3361 +a(g827 +g966 +tp3362 +a(g436 +Vmessage +p3363 +tp3364 +a(g706 +g975 +tp3365 +a(g827 +V\u000a +p3366 +tp3367 +a(g794 +Vreturn +p3368 +tp3369 +a(g827 +g966 +tp3370 +a(g436 +VDebugLib +p3371 +tp3372 +a(g706 +g1076 +tp3373 +a(g436 +VAssert +p3374 +tp3375 +a(g706 +g968 +tp3376 +a(g436 +VaddonName +p3377 +tp3378 +a(g706 +g1193 +tp3379 +a(g827 +g966 +tp3380 +a(g436 +Vtest +p3381 +tp3382 +a(g706 +g1193 +tp3383 +a(g827 +g966 +tp3384 +a(g436 +Vmessage +p3385 +tp3386 +a(g706 +g975 +tp3387 +a(g827 +V\u000a +p3388 +tp3389 +a(g794 +Vend +p3390 +tp3391 +a(g827 +V\u000a\u000a +p3392 +tp3393 +a(g8 +V--[==[\u000aHere follow further tests of Lua syntax.\u000a]]==] +p3394 +tp3395 +a(g827 +V\u000a +p3396 +tp3397 +a(g906 +V---[[ +p3398 +tp3399 +a(g827 +V\u000a +p3400 +tp3401 +a(g810 +Vlocal +p3402 +tp3403 +a(g827 +g966 +tp3404 +a(g436 +Vt +p3405 +tp3406 +a(g827 +g966 +tp3407 +a(g413 +g984 +tp3408 +a(g827 +g966 +tp3409 +a(g706 +g987 +tp3410 +a(g827 +V\u000a +p3411 +tp3412 +a(g706 +g2104 +tp3413 +a(g827 +g966 +tp3414 +a(g89 +V[[\u000ax\u000a]==] \u005c]] +p3415 +tp3416 +a(g706 +g2108 +tp3417 +a(g413 +g984 +tp3418 +a(g37 +g2423 +tp3419 +a(g413 +V| +p3420 +tp3421 +a(g37 +g2368 +tp3422 +a(g706 +g1086 +tp3423 +a(g827 +g966 +tp3424 +a(g436 +Va +p3425 +tp3426 +a(g413 +g984 +tp3427 +a(g706 +g987 +tp3428 +a(g436 +Vb +p3429 +tp3430 +a(g413 +g984 +tp3431 +a(g706 +g987 +tp3432 +a(g436 +Vc +p3433 +tp3434 +a(g413 +g984 +tp3435 +a(g706 +g987 +tp3436 +a(g706 +g989 +tp3437 +a(g706 +g989 +tp3438 +a(g706 +g989 +tp3439 +a(g706 +g1193 +tp3440 +a(g827 +V\u000a +p3441 +tp3442 +a(g37 +g2423 +tp3443 +a(g706 +g1193 +tp3444 +a(g827 +g966 +tp3445 +a(g315 +V1. +p3446 +tp3447 +a(g706 +g1193 +tp3448 +a(g827 +g966 +tp3449 +a(g315 +V1.2 +p3450 +tp3451 +a(g706 +g1193 +tp3452 +a(g827 +g966 +tp3453 +a(g315 +V.2 +p3454 +tp3455 +a(g706 +g1193 +tp3456 +a(g827 +g966 +tp3457 +a(g315 +V1e3 +p3458 +tp3459 +a(g706 +g1193 +tp3460 +a(g827 +g966 +tp3461 +a(g315 +V1.e3 +p3462 +tp3463 +a(g706 +g1193 +tp3464 +a(g827 +g966 +tp3465 +a(g315 +V1.2e3 +p3466 +tp3467 +a(g706 +g1193 +tp3468 +a(g827 +g966 +tp3469 +a(g315 +V.2e3 +p3470 +tp3471 +a(g706 +g1193 +tp3472 +a(g827 +g966 +tp3473 +a(g315 +V1.2e+3 +p3474 +tp3475 +a(g706 +g1193 +tp3476 +a(g827 +g966 +tp3477 +a(g315 +V1.2E-3 +p3478 +tp3479 +a(g706 +g1086 +tp3480 +a(g827 +V\u000a +p3481 +tp3482 +a(g298 +V0xA +p3483 +tp3484 +a(g706 +g1193 +tp3485 +a(g827 +g966 +tp3486 +a(g298 +V0Xa +p3487 +tp3488 +a(g706 +g1193 +tp3489 +a(g827 +g966 +tp3490 +a(g298 +V0xA. +p3491 +tp3492 +a(g706 +g1193 +tp3493 +a(g827 +g966 +tp3494 +a(g298 +V0x.F +p3495 +tp3496 +a(g706 +g1193 +tp3497 +a(g827 +g966 +tp3498 +a(g298 +V0xA.F +p3499 +tp3500 +a(g706 +g1193 +tp3501 +a(g827 +g966 +tp3502 +a(g298 +V0xA.Fp1 +p3503 +tp3504 +a(g706 +g1193 +tp3505 +a(g827 +g966 +tp3506 +a(g298 +V0xA.FP+1 +p3507 +tp3508 +a(g706 +g1193 +tp3509 +a(g827 +g966 +tp3510 +a(g298 +V0Xa.fp-1 +p3511 +tp3512 +a(g706 +g1086 +tp3513 +a(g827 +V\u000a +p3514 +tp3515 +a(g706 +g989 +tp3516 +a(g827 +V\u000a\u000a +p3517 +tp3518 +a(g794 +Vfunction +p3519 +tp3520 +a(g827 +g966 +tp3521 +a(g629 +g3405 +tp3522 +a(g706 +g1281 +tp3523 +a(g574 +Vf +p3524 +tp3525 +a(g706 +g968 +tp3526 +a(g706 +g975 +tp3527 +a(g827 +V\u000a +p3528 +tp3529 +a(g794 +Vgoto +p3530 +tp3531 +a(g827 +g966 +tp3532 +a(g597 +Veof +p3533 +tp3534 +a(g827 +V\u000a +p3535 +tp3536 +a(g682 +Vos.exit +p3537 +tp3538 +a(g706 +g968 +tp3539 +a(g706 +g975 +tp3540 +a(g827 +V\u000a +p3541 +tp3542 +a(g706 +V:: +p3543 +tp3544 +a(g827 +g966 +tp3545 +a(g597 +Veof +p3546 +tp3547 +a(g827 +g966 +tp3548 +a(g706 +V:: +p3549 +tp3550 +a(g827 +V\u000a +p3551 +tp3552 +a(g794 +Vend +p3553 +tp3554 +a(g827 +V\u000a\u000a +p3555 +tp3556 +a(g794 +Vfunction +p3557 +tp3558 +a(g827 +g966 +tp3559 +a(g629 +g3405 +tp3560 +a(g827 +g966 +tp3561 +a(g706 +g1281 +tp3562 +a(g827 +g966 +tp3563 +a(g629 +g3425 +tp3564 +a(g827 +g966 +tp3565 +a(g8 +V--[==[x]==] +p3566 +tp3567 +a(g827 +g966 +tp3568 +a(g706 +g1281 +tp3569 +a(g629 +g3429 +tp3570 +a(g827 +g966 +tp3571 +a(g8 +V--[==[y]==] +p3572 +tp3573 +a(g827 +g966 +tp3574 +a(g906 +V-- +p3575 +tp3576 +a(g827 +V\u000a +p3577 +tp3578 +a(g906 +V-- () end +p3579 +tp3580 +a(g827 +V\u000a +p3581 +tp3582 +a(g706 +g1281 +tp3583 +a(g827 +g966 +tp3584 +a(g629 +g3433 +tp3585 +a(g827 +g966 +tp3586 +a(g706 +g1696 +tp3587 +a(g827 +g966 +tp3588 +a(g574 +Vd +p3589 +tp3590 +a(g827 +g966 +tp3591 +a(g706 +g968 +tp3592 +a(g436 +Vfile +p3593 +tp3594 +a(g706 +g975 +tp3595 +a(g827 +V\u000a +p3596 +tp3597 +a(g794 +Vreturn +p3598 +tp3599 +a(g827 +g966 +tp3600 +a(g230 +V' +p3601 +tp3602 +a(g230 +g1281 +tp3603 +a(g213 +V\u005ca +p3604 +tp3605 +a(g230 +g1281 +tp3606 +a(g213 +V\u005cb +p3607 +tp3608 +a(g230 +g1281 +tp3609 +a(g213 +V\u005cf +p3610 +tp3611 +a(g230 +g1281 +tp3612 +a(g213 +V\u005cn +p3613 +tp3614 +a(g230 +g1281 +tp3615 +a(g213 +V\u005cr +p3616 +tp3617 +a(g230 +g1281 +tp3618 +a(g213 +V\u005ct +p3619 +tp3620 +a(g230 +g1281 +tp3621 +a(g213 +V\u005cv +p3622 +tp3623 +a(g230 +g1281 +tp3624 +a(g213 +V\u005c\u005c +p3625 +tp3626 +a(g230 +g1281 +tp3627 +a(g213 +V\u005c" +p3628 +tp3629 +a(g230 +g1281 +tp3630 +a(g213 +V\u005c' +p3631 +tp3632 +a(g230 +g1281 +tp3633 +a(g213 +V\u005c\u000a +p3634 +tp3635 +a(g230 +g1281 +tp3636 +a(g213 +V\u005cz \u000a +p3637 +tp3638 +a(g230 +g1281 +tp3639 +a(g213 +V\u005c0 +p3640 +tp3641 +a(g230 +g1281 +tp3642 +a(g213 +V\u005c00 +p3643 +tp3644 +a(g230 +g1281 +tp3645 +a(g213 +V\u005c000 +p3646 +tp3647 +a(g230 +g1281 +tp3648 +a(g213 +V\u005c000 +p3649 +tp3650 +a(g230 +V0. +p3651 +tp3652 +a(g213 +V\u005cxFa +p3653 +tp3654 +a(g230 +g1281 +tp3655 +a(g213 +V\u005cu{1} +p3656 +tp3657 +a(g230 +g1281 +tp3658 +a(g213 +V\u005cu{1234} +p3659 +tp3660 +a(g230 +g3601 +tp3661 +a(g827 +V\u000a +p3662 +tp3663 +a(g794 +Vend +p3664 +tp3665 +a(g827 +V\u000a +p3666 +tp3667 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.ma b/tests/examplefiles/output/example.ma new file mode 100644 index 0000000..1a30f51 --- /dev/null +++ b/tests/examplefiles/output/example.ma @@ -0,0 +1,2610 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Literal' +p4 +S'Number' +p5 +S'Integer' +p6 +tp7 +tp8 +Rp9 +(dp10 +S'parent' +p11 +g1 +(g2 +g3 +(g4 +g5 +tp12 +tp13 +Rp14 +(dp15 +g6 +g9 +sg11 +g1 +(g2 +g3 +(g4 +tp16 +tp17 +Rp18 +(dp19 +S'Scalar' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g11 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +g1 +(g2 +g3 +(g4 +g20 +S'Plain' +p28 +tp29 +tp30 +Rp31 +(dp32 +g11 +g23 +sg25 +g26 +((lp33 +tp34 +Rp35 +sbatp36 +Rp37 +sg28 +g31 +sbsg5 +g14 +sg11 +g1 +(g2 +g3 +(ttp38 +Rp39 +(dp40 +g5 +g14 +sS'Generic' +p41 +g1 +(g2 +g3 +(g41 +tp42 +tp43 +Rp44 +(dp45 +g11 +g39 +sS'Deleted' +p46 +g1 +(g2 +g3 +(g41 +g46 +tp47 +tp48 +Rp49 +(dp50 +g11 +g44 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsS'Subheading' +p54 +g1 +(g2 +g3 +(g41 +g54 +tp55 +tp56 +Rp57 +(dp58 +g11 +g44 +sg25 +g26 +((lp59 +tp60 +Rp61 +sbsS'Heading' +p62 +g1 +(g2 +g3 +(g41 +g62 +tp63 +tp64 +Rp65 +(dp66 +g11 +g44 +sg25 +g26 +((lp67 +tp68 +Rp69 +sbsS'Emph' +p70 +g1 +(g2 +g3 +(g41 +g70 +tp71 +tp72 +Rp73 +(dp74 +g11 +g44 +sg25 +g26 +((lp75 +tp76 +Rp77 +sbsS'Prompt' +p78 +g1 +(g2 +g3 +(g41 +g78 +tp79 +tp80 +Rp81 +(dp82 +g11 +g44 +sg25 +g26 +((lp83 +tp84 +Rp85 +sbsS'Inserted' +p86 +g1 +(g2 +g3 +(g41 +g86 +tp87 +tp88 +Rp89 +(dp90 +g11 +g44 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsS'Strong' +p94 +g1 +(g2 +g3 +(g41 +g94 +tp95 +tp96 +Rp97 +(dp98 +g11 +g44 +sg25 +g26 +((lp99 +tp100 +Rp101 +sbsS'Error' +p102 +g1 +(g2 +g3 +(g41 +g102 +tp103 +tp104 +Rp105 +(dp106 +g11 +g44 +sg25 +g26 +((lp107 +tp108 +Rp109 +sbsS'Traceback' +p110 +g1 +(g2 +g3 +(g41 +g110 +tp111 +tp112 +Rp113 +(dp114 +g11 +g44 +sg25 +g26 +((lp115 +tp116 +Rp117 +sbsg25 +g26 +((lp118 +g65 +ag57 +ag1 +(g2 +g3 +(g41 +S'Output' +p119 +tp120 +tp121 +Rp122 +(dp123 +g11 +g44 +sg25 +g26 +((lp124 +tp125 +Rp126 +sbag97 +ag73 +ag105 +ag113 +ag89 +ag81 +ag49 +atp127 +Rp128 +sg119 +g122 +sbsS'Operator' +p129 +g1 +(g2 +g3 +(g129 +tp130 +tp131 +Rp132 +(dp133 +g11 +g39 +sS'DBS' +p134 +g1 +(g2 +g3 +(g129 +g134 +tp135 +tp136 +Rp137 +(dp138 +g11 +g132 +sg25 +g26 +((lp139 +tp140 +Rp141 +sbsg25 +g26 +((lp142 +g137 +ag1 +(g2 +g3 +(g129 +S'Word' +p143 +tp144 +tp145 +Rp146 +(dp147 +g11 +g132 +sg25 +g26 +((lp148 +tp149 +Rp150 +sbatp151 +Rp152 +sg143 +g146 +sbsS'String' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +S'Char' +p158 +g1 +(g2 +g3 +(g4 +g153 +g158 +tp159 +tp160 +Rp161 +(dp162 +g11 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbsS'Backtick' +p166 +g1 +(g2 +g3 +(g4 +g153 +g166 +tp167 +tp168 +Rp169 +(dp170 +g11 +g156 +sg25 +g26 +((lp171 +tp172 +Rp173 +sbsS'Heredoc' +p174 +g1 +(g2 +g3 +(g4 +g153 +g174 +tp175 +tp176 +Rp177 +(dp178 +g11 +g156 +sg25 +g26 +((lp179 +tp180 +Rp181 +sbsS'Symbol' +p182 +g1 +(g2 +g3 +(g4 +g153 +g182 +tp183 +tp184 +Rp185 +(dp186 +g11 +g156 +sg25 +g26 +((lp187 +tp188 +Rp189 +sbsS'Interpol' +p190 +g1 +(g2 +g3 +(g4 +g153 +g190 +tp191 +tp192 +Rp193 +(dp194 +g11 +g156 +sg25 +g26 +((lp195 +tp196 +Rp197 +sbsS'Delimiter' +p198 +g1 +(g2 +g3 +(g4 +g153 +g198 +tp199 +tp200 +Rp201 +(dp202 +g11 +g156 +sg25 +g26 +((lp203 +tp204 +Rp205 +sbsS'Boolean' +p206 +g1 +(g2 +g3 +(g4 +g153 +g206 +tp207 +tp208 +Rp209 +(dp210 +g11 +g156 +sg25 +g26 +((lp211 +tp212 +Rp213 +sbsS'Character' +p214 +g1 +(g2 +g3 +(g4 +g153 +g214 +tp215 +tp216 +Rp217 +(dp218 +g11 +g156 +sg25 +g26 +((lp219 +tp220 +Rp221 +sbsS'Double' +p222 +g1 +(g2 +g3 +(g4 +g153 +g222 +tp223 +tp224 +Rp225 +(dp226 +g11 +g156 +sg25 +g26 +((lp227 +tp228 +Rp229 +sbsS'Delimeter' +p230 +g1 +(g2 +g3 +(g4 +g153 +g230 +tp231 +tp232 +Rp233 +(dp234 +g11 +g156 +sg25 +g26 +((lp235 +tp236 +Rp237 +sbsS'Atom' +p238 +g1 +(g2 +g3 +(g4 +g153 +g238 +tp239 +tp240 +Rp241 +(dp242 +g11 +g156 +sg25 +g26 +((lp243 +tp244 +Rp245 +sbsS'Affix' +p246 +g1 +(g2 +g3 +(g4 +g153 +g246 +tp247 +tp248 +Rp249 +(dp250 +g11 +g156 +sg25 +g26 +((lp251 +tp252 +Rp253 +sbsS'Name' +p254 +g1 +(g2 +g3 +(g4 +g153 +g254 +tp255 +tp256 +Rp257 +(dp258 +g11 +g156 +sg25 +g26 +((lp259 +tp260 +Rp261 +sbsS'Regex' +p262 +g1 +(g2 +g3 +(g4 +g153 +g262 +tp263 +tp264 +Rp265 +(dp266 +g11 +g156 +sg25 +g26 +((lp267 +tp268 +Rp269 +sbsS'Interp' +p270 +g1 +(g2 +g3 +(g4 +g153 +g270 +tp271 +tp272 +Rp273 +(dp274 +g11 +g156 +sg25 +g26 +((lp275 +tp276 +Rp277 +sbsS'Escape' +p278 +g1 +(g2 +g3 +(g4 +g153 +g278 +tp279 +tp280 +Rp281 +(dp282 +g11 +g156 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g201 +ag185 +ag265 +ag1 +(g2 +g3 +(g4 +g153 +S'Doc' +p287 +tp288 +tp289 +Rp290 +(dp291 +g11 +g156 +sg25 +g26 +((lp292 +tp293 +Rp294 +sbag217 +ag209 +ag225 +ag193 +ag241 +ag233 +ag257 +ag281 +ag1 +(g2 +g3 +(g4 +g153 +S'Single' +p295 +tp296 +tp297 +Rp298 +(dp299 +g11 +g156 +sg25 +g26 +((lp300 +tp301 +Rp302 +sbag1 +(g2 +g3 +(g4 +g153 +S'Other' +p303 +tp304 +tp305 +Rp306 +(dp307 +g11 +g156 +sg25 +g26 +((lp308 +tp309 +Rp310 +sbag273 +ag169 +ag249 +ag1 +(g2 +g3 +(g4 +g153 +S'Moment' +p311 +tp312 +tp313 +Rp314 +(dp315 +g11 +g156 +sg25 +g26 +((lp316 +tp317 +Rp318 +sbag161 +ag177 +atp319 +Rp320 +sg295 +g298 +sg311 +g314 +sg11 +g18 +sg303 +g306 +sg287 +g290 +sbsg254 +g1 +(g2 +g3 +(g254 +tp321 +tp322 +Rp323 +(dp324 +S'Variable' +p325 +g1 +(g2 +g3 +(g254 +g325 +tp326 +tp327 +Rp328 +(dp329 +g11 +g323 +sS'Class' +p330 +g1 +(g2 +g3 +(g254 +g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g11 +g328 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'Anonymous' +p338 +g1 +(g2 +g3 +(g254 +g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g11 +g328 +sg25 +g26 +((lp343 +tp344 +Rp345 +sbsS'Instance' +p346 +g1 +(g2 +g3 +(g254 +g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g11 +g328 +sg25 +g26 +((lp351 +tp352 +Rp353 +sbsS'Global' +p354 +g1 +(g2 +g3 +(g254 +g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g11 +g328 +sg25 +g26 +((lp359 +tp360 +Rp361 +sbsg25 +g26 +((lp362 +g341 +ag349 +ag1 +(g2 +g3 +(g254 +g325 +S'Magic' +p363 +tp364 +tp365 +Rp366 +(dp367 +g11 +g328 +sg25 +g26 +((lp368 +tp369 +Rp370 +sbag357 +ag333 +atp371 +Rp372 +sg363 +g366 +sbsg129 +g1 +(g2 +g3 +(g254 +g129 +tp373 +tp374 +Rp375 +(dp376 +g11 +g323 +sg25 +g26 +((lp377 +tp378 +Rp379 +sbsS'Decorator' +p380 +g1 +(g2 +g3 +(g254 +g380 +tp381 +tp382 +Rp383 +(dp384 +g11 +g323 +sg25 +g26 +((lp385 +tp386 +Rp387 +sbsS'Entity' +p388 +g1 +(g2 +g3 +(g254 +g388 +tp389 +tp390 +Rp391 +(dp392 +g11 +g323 +sg134 +g1 +(g2 +g3 +(g254 +g388 +g134 +tp393 +tp394 +Rp395 +(dp396 +g11 +g391 +sg25 +g26 +((lp397 +tp398 +Rp399 +sbsg25 +g26 +((lp400 +g395 +atp401 +Rp402 +sbsg182 +g1 +(g2 +g3 +(g254 +g182 +tp403 +tp404 +Rp405 +(dp406 +g11 +g323 +sg25 +g26 +((lp407 +tp408 +Rp409 +sbsS'Property' +p410 +g1 +(g2 +g3 +(g254 +g410 +tp411 +tp412 +Rp413 +(dp414 +g11 +g323 +sg25 +g26 +((lp415 +tp416 +Rp417 +sbsS'Pseudo' +p418 +g1 +(g2 +g3 +(g254 +g418 +tp419 +tp420 +Rp421 +(dp422 +g11 +g323 +sg25 +g26 +((lp423 +tp424 +Rp425 +sbsS'Type' +p426 +g1 +(g2 +g3 +(g254 +g426 +tp427 +tp428 +Rp429 +(dp430 +g11 +g323 +sg25 +g26 +((lp431 +tp432 +Rp433 +sbsS'Classes' +p434 +g1 +(g2 +g3 +(g254 +g434 +tp435 +tp436 +Rp437 +(dp438 +g11 +g323 +sg25 +g26 +((lp439 +tp440 +Rp441 +sbsS'Tag' +p442 +g1 +(g2 +g3 +(g254 +g442 +tp443 +tp444 +Rp445 +(dp446 +g11 +g323 +sg25 +g26 +((lp447 +tp448 +Rp449 +sbsS'Constant' +p450 +g1 +(g2 +g3 +(g254 +g450 +tp451 +tp452 +Rp453 +(dp454 +g11 +g323 +sg25 +g26 +((lp455 +tp456 +Rp457 +sbsS'Function' +p458 +g1 +(g2 +g3 +(g254 +g458 +tp459 +tp460 +Rp461 +(dp462 +g11 +g323 +sg25 +g26 +((lp463 +g1 +(g2 +g3 +(g254 +g458 +g363 +tp464 +tp465 +Rp466 +(dp467 +g11 +g461 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbatp471 +Rp472 +sg363 +g466 +sbsS'Blubb' +p473 +g1 +(g2 +g3 +(g254 +g473 +tp474 +tp475 +Rp476 +(dp477 +g11 +g323 +sg25 +g26 +((lp478 +tp479 +Rp480 +sbsS'Label' +p481 +g1 +(g2 +g3 +(g254 +g481 +tp482 +tp483 +Rp484 +(dp485 +g11 +g323 +sg25 +g26 +((lp486 +tp487 +Rp488 +sbsS'Field' +p489 +g1 +(g2 +g3 +(g254 +g489 +tp490 +tp491 +Rp492 +(dp493 +g11 +g323 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbsS'Exception' +p497 +g1 +(g2 +g3 +(g254 +g497 +tp498 +tp499 +Rp500 +(dp501 +g11 +g323 +sg25 +g26 +((lp502 +tp503 +Rp504 +sbsS'Namespace' +p505 +g1 +(g2 +g3 +(g254 +g505 +tp506 +tp507 +Rp508 +(dp509 +g11 +g323 +sg25 +g26 +((lp510 +tp511 +Rp512 +sbsg25 +g26 +((lp513 +g383 +ag476 +ag421 +ag391 +ag328 +ag500 +ag413 +ag445 +ag461 +ag437 +ag1 +(g2 +g3 +(g254 +g330 +tp514 +tp515 +Rp516 +(dp517 +g11 +g323 +sg134 +g1 +(g2 +g3 +(g254 +g330 +g134 +tp518 +tp519 +Rp520 +(dp521 +g11 +g516 +sg25 +g26 +((lp522 +tp523 +Rp524 +sbsg25 +g26 +((lp525 +g1 +(g2 +g3 +(g254 +g330 +S'Start' +p526 +tp527 +tp528 +Rp529 +(dp530 +g11 +g516 +sg25 +g26 +((lp531 +tp532 +Rp533 +sbag520 +atp534 +Rp535 +sg526 +g529 +sbag1 +(g2 +g3 +(g254 +g303 +tp536 +tp537 +Rp538 +(dp539 +g11 +g323 +sS'Member' +p540 +g1 +(g2 +g3 +(g254 +g303 +g540 +tp541 +tp542 +Rp543 +(dp544 +g11 +g538 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbsg25 +g26 +((lp548 +g543 +atp549 +Rp550 +sbag484 +ag375 +ag508 +ag1 +(g2 +g3 +(g254 +S'Attribute' +p551 +tp552 +tp553 +Rp554 +(dp555 +g11 +g323 +sg325 +g1 +(g2 +g3 +(g254 +g551 +g325 +tp556 +tp557 +Rp558 +(dp559 +g11 +g554 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbsg25 +g26 +((lp563 +g558 +atp564 +Rp565 +sbag453 +ag1 +(g2 +g3 +(g254 +S'Builtin' +p566 +tp567 +tp568 +Rp569 +(dp570 +g11 +g323 +sg426 +g1 +(g2 +g3 +(g254 +g566 +g426 +tp571 +tp572 +Rp573 +(dp574 +g11 +g569 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsg25 +g26 +((lp578 +g1 +(g2 +g3 +(g254 +g566 +g418 +tp579 +tp580 +Rp581 +(dp582 +g11 +g569 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbag573 +atp586 +Rp587 +sg418 +g581 +sbag492 +ag429 +ag405 +atp588 +Rp589 +sg11 +g39 +sg330 +g516 +sg566 +g569 +sg551 +g554 +sg303 +g538 +sbsS'Punctuation' +p590 +g1 +(g2 +g3 +(g590 +tp591 +tp592 +Rp593 +(dp594 +g11 +g39 +sg25 +g26 +((lp595 +g1 +(g2 +g3 +(g590 +S'Indicator' +p596 +tp597 +tp598 +Rp599 +(dp600 +g11 +g593 +sg25 +g26 +((lp601 +tp602 +Rp603 +sbatp604 +Rp605 +sg596 +g599 +sbsS'Comment' +p606 +g1 +(g2 +g3 +(g606 +tp607 +tp608 +Rp609 +(dp610 +S'Multi' +p611 +g1 +(g2 +g3 +(g606 +g611 +tp612 +tp613 +Rp614 +(dp615 +g11 +g609 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsg11 +g39 +sS'Special' +p619 +g1 +(g2 +g3 +(g606 +g619 +tp620 +tp621 +Rp622 +(dp623 +g11 +g609 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Hashbang' +p627 +g1 +(g2 +g3 +(g606 +g627 +tp628 +tp629 +Rp630 +(dp631 +g11 +g609 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsS'Preproc' +p635 +g1 +(g2 +g3 +(g606 +g635 +tp636 +tp637 +Rp638 +(dp639 +g11 +g609 +sg25 +g26 +((lp640 +tp641 +Rp642 +sbsg295 +g1 +(g2 +g3 +(g606 +g295 +tp643 +tp644 +Rp645 +(dp646 +g11 +g609 +sg25 +g26 +((lp647 +tp648 +Rp649 +sbsS'Directive' +p650 +g1 +(g2 +g3 +(g606 +g650 +tp651 +tp652 +Rp653 +(dp654 +g11 +g609 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbsg287 +g1 +(g2 +g3 +(g606 +g287 +tp658 +tp659 +Rp660 +(dp661 +g11 +g609 +sg25 +g26 +((lp662 +tp663 +Rp664 +sbsS'Singleline' +p665 +g1 +(g2 +g3 +(g606 +g665 +tp666 +tp667 +Rp668 +(dp669 +g11 +g609 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbsS'Multiline' +p673 +g1 +(g2 +g3 +(g606 +g673 +tp674 +tp675 +Rp676 +(dp677 +g11 +g609 +sg25 +g26 +((lp678 +tp679 +Rp680 +sbsg25 +g26 +((lp681 +g660 +ag653 +ag630 +ag614 +ag668 +ag638 +ag676 +ag645 +ag1 +(g2 +g3 +(g606 +S'PreprocFile' +p682 +tp683 +tp684 +Rp685 +(dp686 +g11 +g609 +sg25 +g26 +((lp687 +tp688 +Rp689 +sbag1 +(g2 +g3 +(g606 +S'SingleLine' +p690 +tp691 +tp692 +Rp693 +(dp694 +g11 +g609 +sg25 +g26 +((lp695 +tp696 +Rp697 +sbag622 +atp698 +Rp699 +sg682 +g685 +sg690 +g693 +sbsg4 +g18 +sg303 +g1 +(g2 +g3 +(g303 +tp700 +tp701 +Rp702 +(dp703 +g11 +g39 +sg25 +g26 +((lp704 +tp705 +Rp706 +sbsg102 +g1 +(g2 +g3 +(g102 +tp707 +tp708 +Rp709 +(dp710 +g11 +g39 +sg25 +g26 +((lp711 +tp712 +Rp713 +sbsS'Token' +p714 +g39 +sg278 +g1 +(g2 +g3 +(g278 +tp715 +tp716 +Rp717 +(dp718 +g11 +g39 +sg25 +g26 +((lp719 +tp720 +Rp721 +sbsg25 +g26 +((lp722 +g323 +ag702 +ag1 +(g2 +g3 +(S'Keyword' +p723 +tp724 +tp725 +Rp726 +(dp727 +g11 +g39 +sg426 +g1 +(g2 +g3 +(g723 +g426 +tp728 +tp729 +Rp730 +(dp731 +g11 +g726 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Control' +p735 +g1 +(g2 +g3 +(g723 +g735 +tp736 +tp737 +Rp738 +(dp739 +g11 +g726 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg450 +g1 +(g2 +g3 +(g723 +g450 +tp743 +tp744 +Rp745 +(dp746 +g11 +g726 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg505 +g1 +(g2 +g3 +(g723 +g505 +tp750 +tp751 +Rp752 +(dp753 +g11 +g726 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'PreProc' +p757 +g1 +(g2 +g3 +(g723 +g757 +tp758 +tp759 +Rp760 +(dp761 +g11 +g726 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsg418 +g1 +(g2 +g3 +(g723 +g418 +tp765 +tp766 +Rp767 +(dp768 +g11 +g726 +sg25 +g26 +((lp769 +tp770 +Rp771 +sbsS'Reserved' +p772 +g1 +(g2 +g3 +(g723 +g772 +tp773 +tp774 +Rp775 +(dp776 +g11 +g726 +sg25 +g26 +((lp777 +tp778 +Rp779 +sbsg25 +g26 +((lp780 +g752 +ag1 +(g2 +g3 +(g723 +g143 +tp781 +tp782 +Rp783 +(dp784 +g11 +g726 +sg25 +g26 +((lp785 +tp786 +Rp787 +sbag738 +ag1 +(g2 +g3 +(g723 +S'Declaration' +p788 +tp789 +tp790 +Rp791 +(dp792 +g11 +g726 +sg25 +g26 +((lp793 +tp794 +Rp795 +sbag1 +(g2 +g3 +(g723 +g723 +tp796 +tp797 +Rp798 +(dp799 +g11 +g726 +sg25 +g26 +((lp800 +tp801 +Rp802 +sbag767 +ag745 +ag730 +ag775 +ag760 +atp803 +Rp804 +sg723 +g798 +sg788 +g791 +sg143 +g783 +sbag44 +ag1 +(g2 +g3 +(S'Text' +p805 +tp806 +tp807 +Rp808 +(dp809 +S'Beer' +p810 +g1 +(g2 +g3 +(g805 +g810 +tp811 +tp812 +Rp813 +(dp814 +g11 +g808 +sg25 +g26 +((lp815 +tp816 +Rp817 +sbsS'Whitespace' +p818 +g1 +(g2 +g3 +(g805 +g818 +tp819 +tp820 +Rp821 +(dp822 +g11 +g808 +sg25 +g26 +((lp823 +tp824 +Rp825 +sbsg11 +g39 +sS'Root' +p826 +g1 +(g2 +g3 +(g805 +g826 +tp827 +tp828 +Rp829 +(dp830 +g11 +g808 +sg25 +g26 +((lp831 +tp832 +Rp833 +sbsg182 +g1 +(g2 +g3 +(g805 +g182 +tp834 +tp835 +Rp836 +(dp837 +g11 +g808 +sg25 +g26 +((lp838 +tp839 +Rp840 +sbsg590 +g1 +(g2 +g3 +(g805 +g590 +tp841 +tp842 +Rp843 +(dp844 +g11 +g808 +sg25 +g26 +((lp845 +tp846 +Rp847 +sbsg25 +g26 +((lp848 +g829 +ag836 +ag843 +ag821 +ag813 +ag1 +(g2 +g3 +(g805 +S'Rag' +p849 +tp850 +tp851 +Rp852 +(dp853 +g11 +g808 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbatp857 +Rp858 +sg849 +g852 +sbag132 +ag717 +ag593 +ag609 +ag709 +ag18 +atp859 +Rp860 +sg723 +g726 +sg805 +g808 +sbsg303 +g1 +(g2 +g3 +(g4 +g303 +tp861 +tp862 +Rp863 +(dp864 +g11 +g18 +sg25 +g26 +((lp865 +tp866 +Rp867 +sbsg158 +g1 +(g2 +g3 +(g4 +g158 +tp868 +tp869 +Rp870 +(dp871 +g11 +g18 +sg25 +g26 +((lp872 +tp873 +Rp874 +sbsg153 +g156 +sg25 +g26 +((lp875 +g156 +ag870 +ag863 +ag1 +(g2 +g3 +(g4 +S'Date' +p876 +tp877 +tp878 +Rp879 +(dp880 +g11 +g18 +sg25 +g26 +((lp881 +tp882 +Rp883 +sbag23 +ag14 +atp884 +Rp885 +sg876 +g879 +sbsS'Bin' +p886 +g1 +(g2 +g3 +(g4 +g5 +g886 +tp887 +tp888 +Rp889 +(dp890 +g11 +g14 +sg25 +g26 +((lp891 +tp892 +Rp893 +sbsS'Radix' +p894 +g1 +(g2 +g3 +(g4 +g5 +g894 +tp895 +tp896 +Rp897 +(dp898 +g11 +g14 +sg25 +g26 +((lp899 +tp900 +Rp901 +sbsS'Oct' +p902 +g1 +(g2 +g3 +(g4 +g5 +g902 +tp903 +tp904 +Rp905 +(dp906 +g11 +g14 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Dec' +p910 +g1 +(g2 +g3 +(g4 +g5 +g910 +tp911 +tp912 +Rp913 +(dp914 +g11 +g14 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsS'Hex' +p918 +g1 +(g2 +g3 +(g4 +g5 +g918 +tp919 +tp920 +Rp921 +(dp922 +g11 +g14 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g9 +ag897 +ag913 +ag1 +(g2 +g3 +(g4 +g5 +S'Decimal' +p927 +tp928 +tp929 +Rp930 +(dp931 +g11 +g14 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbag889 +ag1 +(g2 +g3 +(g4 +g5 +S'Float' +p935 +tp936 +tp937 +Rp938 +(dp939 +g11 +g14 +sg25 +g26 +((lp940 +tp941 +Rp942 +sbag905 +ag921 +atp943 +Rp944 +sg927 +g930 +sg935 +g938 +sbsS'Long' +p945 +g1 +(g2 +g3 +(g4 +g5 +g6 +g945 +tp946 +tp947 +Rp948 +(dp949 +g11 +g9 +sg25 +g26 +((lp950 +tp951 +Rp952 +sbsg25 +g26 +((lp953 +g948 +atp954 +Rp955 +sbV1 +p956 +tp957 +a(g821 +V +p958 +tp959 +a(g132 +V+ +p960 +tp961 +a(g821 +g958 +tp962 +a(g9 +g956 +tp963 +a(g821 +g958 +tp964 +a(g609 +V(* This is a comment *) +p965 +tp966 +a(g821 +V\u000a +p967 +tp968 +a(g508 +VGlobal` +p969 +tp970 +a(g821 +V\u000a +p971 +tp972 +a(g508 +VSomeNamespace` +p973 +tp974 +a(g323 +VFoo +p975 +tp976 +a(g821 +V\u000a +p977 +tp978 +a(g323 +Vf +p979 +tp980 +a(g593 +V[ +p981 +tp982 +a(g328 +Vx_ +p983 +tp984 +a(g593 +V, +p985 +tp986 +a(g821 +g958 +tp987 +a(g328 +Vy__ +p988 +tp989 +a(g593 +g985 +tp990 +a(g821 +g958 +tp991 +a(g9 +V3 +p992 +tp993 +a(g593 +g985 +tp994 +a(g821 +g958 +tp995 +a(g328 +Vz___ +p996 +tp997 +a(g593 +V] +p998 +tp999 +a(g821 +g958 +tp1000 +a(g132 +V:= +p1001 +tp1002 +a(g821 +g958 +tp1003 +a(g323 +Vtsneirsnteintie +p1004 +tp1005 +a(g821 +g958 +tp1006 +a(g156 +V"fosrt" +p1007 +tp1008 +a(g821 +g958 +tp1009 +a(g323 +Vneisnrteiasrn +p1010 +tp1011 +a(g821 +V\u000a +p1012 +tp1013 +a(g323 +VE +p1014 +tp1015 +a(g821 +g958 +tp1016 +a(g132 +g960 +tp1017 +a(g821 +g958 +tp1018 +a(g9 +g992 +tp1019 +a(g821 +V\u000a +p1020 +tp1021 +a(g323 +VPlus +p1022 +tp1023 +a(g593 +g981 +tp1024 +a(g9 +g956 +tp1025 +a(g593 +g985 +tp1026 +a(g323 +VTimes +p1027 +tp1028 +a(g593 +g981 +tp1029 +a(g9 +V2 +p1030 +tp1031 +a(g593 +g985 +tp1032 +a(g9 +g992 +tp1033 +a(g593 +g998 +tp1034 +a(g593 +g998 +tp1035 +a(g821 +V\u000a +p1036 +tp1037 +a(g323 +VMap +p1038 +tp1039 +a(g593 +g981 +tp1040 +a(g328 +V#1 +p1041 +tp1042 +a(g821 +g958 +tp1043 +a(g132 +g960 +tp1044 +a(g821 +g958 +tp1045 +a(g328 +V#2 +p1046 +tp1047 +a(g132 +V& +p1048 +tp1049 +a(g593 +g985 +tp1050 +a(g821 +g958 +tp1051 +a(g323 +VSomePairList +p1052 +tp1053 +a(g593 +g998 +tp1054 +a(g821 +V\u000a +p1055 +tp1056 +a(g323 +VPlus +p1057 +tp1058 +a(g593 +g981 +tp1059 +a(g938 +V1. +p1060 +tp1061 +a(g593 +g985 +tp1062 +a(g9 +V-1 +p1063 +tp1064 +a(g593 +g985 +tp1065 +a(g938 +V-1. +p1066 +tp1067 +a(g593 +g985 +tp1068 +a(g938 +V-1.0 +p1069 +tp1070 +a(g593 +g985 +tp1071 +a(g593 +g998 +tp1072 +a(g821 +V\u000a +p1073 +tp1074 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.mac b/tests/examplefiles/output/example.mac new file mode 100644 index 0000000..5c269a1 --- /dev/null +++ b/tests/examplefiles/output/example.mac @@ -0,0 +1,2449 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV* Example Easytrieve macro declaration. For an example on calling this\u000a +p956 +tp957 +a(g8 +V* macro, see example.ezt.\u000a +p958 +tp959 +a(g809 +VMACRO +p960 +tp961 +a(g839 +V +p962 +tp963 +a(g573 +VFILENAME +p964 +tp965 +a(g839 +g962 +tp966 +a(g435 +VPREFIX +p967 +tp968 +a(g839 +V\u000a +p969 +tp970 +a(g440 +V&FILENAME. +p971 +tp972 +a(g839 +V\u000a +p973 +tp974 +a(g440 +V&PREFIX. +p975 +tp976 +a(g412 +V- +p977 +tp978 +a(g793 +VLINE +p979 +tp980 +a(g412 +g962 +tp981 +a(g37 +V1 +p982 +tp983 +a(g839 +g962 +tp984 +a(g37 +V80 +p985 +tp986 +a(g839 +g962 +tp987 +a(g435 +VA +p988 +tp989 +a(g839 +V\u000a +p990 +tp991 +a(g440 +V&PREFIX. +p992 +tp993 +a(g412 +g977 +tp994 +a(g793 +VKEY +p995 +tp996 +a(g412 +g962 +tp997 +a(g839 +g962 +tp998 +a(g37 +g982 +tp999 +a(g839 +V +p1000 +tp1001 +a(g37 +V8 +p1002 +tp1003 +a(g839 +g962 +tp1004 +a(g435 +g988 +tp1005 +a(g839 +V\u000a +p1006 +tp1007 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.md b/tests/examplefiles/output/example.md new file mode 100644 index 0000000..fdd1419 --- /dev/null +++ b/tests/examplefiles/output/example.md @@ -0,0 +1,3560 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Heading' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsg5 +g8 +sS'Emph' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Prompt' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Inserted' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Strong' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg34 +g35 +((lp931 +tp932 +Rp933 +sbsS'Traceback' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg34 +g35 +((lp942 +g8 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag922 +ag898 +ag929 +ag937 +ag914 +ag906 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV# +p956 +tp957 +a(g826 +V this is a header\u000a +p958 +tp959 +a(g826 +V\u000a +p960 +tp961 +a(g890 +V## +p962 +tp963 +a(g826 +V this is a 2nd level header\u000a +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g744 +V* +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g826 +Vlist +p972 +tp973 +a(g826 +g970 +tp974 +a(g826 +Vitem +p975 +tp976 +a(g826 +g970 +tp977 +a(g826 +V1 +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g826 +V +p982 +tp983 +a(g744 +g968 +tp984 +a(g826 +g970 +tp985 +a(g826 +Vlist +p986 +tp987 +a(g826 +g970 +tp988 +a(g826 +Vitem +p989 +tp990 +a(g826 +g970 +tp991 +a(g826 +V1.1 +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g744 +g968 +tp996 +a(g826 +g970 +tp997 +a(g826 +Vlist +p998 +tp999 +a(g826 +g970 +tp1000 +a(g826 +Vitem +p1001 +tp1002 +a(g826 +g970 +tp1003 +a(g826 +V2 +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g744 +V- +p1008 +tp1009 +a(g826 +g970 +tp1010 +a(g826 +Vlist +p1011 +tp1012 +a(g826 +g970 +tp1013 +a(g826 +Vitem +p1014 +tp1015 +a(g826 +g970 +tp1016 +a(g826 +V3 +p1017 +tp1018 +a(g826 +V\u000a +p1019 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g744 +V1. +p1023 +tp1024 +a(g826 +g970 +tp1025 +a(g826 +Vnumbered +p1026 +tp1027 +a(g826 +g970 +tp1028 +a(g826 +Vlist +p1029 +tp1030 +a(g826 +g970 +tp1031 +a(g826 +Vitem +p1032 +tp1033 +a(g826 +g970 +tp1034 +a(g826 +g978 +tp1035 +a(g826 +V\u000a +p1036 +tp1037 +a(g744 +V1. +p1038 +tp1039 +a(g826 +g970 +tp1040 +a(g826 +Vnumbered +p1041 +tp1042 +a(g826 +g970 +tp1043 +a(g826 +Vlist +p1044 +tp1045 +a(g826 +g970 +tp1046 +a(g826 +Vitem +p1047 +tp1048 +a(g826 +g970 +tp1049 +a(g826 +g1004 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a(g826 +V\u000a +p1053 +tp1054 +a(g744 +V- +p1055 +tp1056 +a(g744 +V[ ] +p1057 +tp1058 +a(g826 +g970 +tp1059 +a(g826 +Vtodo +p1060 +tp1061 +a(g826 +V\u000a +p1062 +tp1063 +a(g744 +V- +p1064 +tp1065 +a(g744 +V[x] +p1066 +tp1067 +a(g826 +g970 +tp1068 +a(g826 +Vdone +p1069 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a(g744 +V- +p1073 +tp1074 +a(g744 +V[X] +p1075 +tp1076 +a(g826 +g970 +tp1077 +a(g826 +Vdone +p1078 +tp1079 +a(g826 +V\u000a +p1080 +tp1081 +a(g826 +V\u000a +p1082 +tp1083 +a(g826 +VThe +p1084 +tp1085 +a(g826 +g970 +tp1086 +a(g826 +Vfollowing +p1087 +tp1088 +a(g826 +g970 +tp1089 +a(g826 +Vis +p1090 +tp1091 +a(g826 +g970 +tp1092 +a(g826 +Vitalic: +p1093 +tp1094 +a(g826 +g970 +tp1095 +a(g898 +V*italic* +p1096 +tp1097 +a(g826 +V\u000a +p1098 +tp1099 +a(g826 +VThe +p1100 +tp1101 +a(g826 +g970 +tp1102 +a(g826 +Vfollowing +p1103 +tp1104 +a(g826 +g970 +tp1105 +a(g826 +Vis +p1106 +tp1107 +a(g826 +g970 +tp1108 +a(g826 +Vitalic: +p1109 +tp1110 +a(g826 +g970 +tp1111 +a(g898 +V_italic_ +p1112 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g826 +V\u000a +p1116 +tp1117 +a(g826 +VThe +p1118 +tp1119 +a(g826 +g970 +tp1120 +a(g826 +Vfollowing +p1121 +tp1122 +a(g826 +g970 +tp1123 +a(g826 +Vis +p1124 +tp1125 +a(g826 +g970 +tp1126 +a(g826 +Vnot +p1127 +tp1128 +a(g826 +g970 +tp1129 +a(g826 +Vitalic: +p1130 +tp1131 +a(g826 +g970 +tp1132 +a(g826 +V\u005c* +p1133 +tp1134 +a(g826 +Vitalic +p1135 +tp1136 +a(g826 +V\u005c* +p1137 +tp1138 +a(g826 +V\u000a +p1139 +tp1140 +a(g826 +VThe +p1141 +tp1142 +a(g826 +g970 +tp1143 +a(g826 +Vfollowing +p1144 +tp1145 +a(g826 +g970 +tp1146 +a(g826 +Vis +p1147 +tp1148 +a(g826 +g970 +tp1149 +a(g826 +Vnot +p1150 +tp1151 +a(g826 +g970 +tp1152 +a(g826 +Vitalic: +p1153 +tp1154 +a(g826 +g970 +tp1155 +a(g826 +V\u005c_ +p1156 +tp1157 +a(g826 +Vitalic +p1158 +tp1159 +a(g826 +V\u005c_ +p1160 +tp1161 +a(g826 +V\u000a +p1162 +tp1163 +a(g826 +V\u000a +p1164 +tp1165 +a(g826 +VThe +p1166 +tp1167 +a(g826 +g970 +tp1168 +a(g826 +Vfollowing +p1169 +tp1170 +a(g826 +g970 +tp1171 +a(g826 +Vis +p1172 +tp1173 +a(g826 +g970 +tp1174 +a(g826 +Vnot +p1175 +tp1176 +a(g826 +g970 +tp1177 +a(g826 +Vitalic: +p1178 +tp1179 +a(g826 +g970 +tp1180 +a(g826 +Vsnake*case*word +p1181 +tp1182 +a(g826 +V\u000a +p1183 +tp1184 +a(g826 +VThe +p1185 +tp1186 +a(g826 +g970 +tp1187 +a(g826 +Vfollowing +p1188 +tp1189 +a(g826 +g970 +tp1190 +a(g826 +Vis +p1191 +tp1192 +a(g826 +g970 +tp1193 +a(g826 +Vnot +p1194 +tp1195 +a(g826 +g970 +tp1196 +a(g826 +Vitalic: +p1197 +tp1198 +a(g826 +g970 +tp1199 +a(g826 +Vsnake_case_word +p1200 +tp1201 +a(g826 +V\u000a +p1202 +tp1203 +a(g826 +V\u000a +p1204 +tp1205 +a(g826 +VThe +p1206 +tp1207 +a(g826 +g970 +tp1208 +a(g826 +Vfollowing +p1209 +tp1210 +a(g826 +g970 +tp1211 +a(g826 +Vis +p1212 +tp1213 +a(g826 +g970 +tp1214 +a(g826 +Vbold: +p1215 +tp1216 +a(g826 +g970 +tp1217 +a(g922 +V**bold** **two or more words** +p1218 +tp1219 +a(g826 +V\u000a +p1220 +tp1221 +a(g826 +VThe +p1222 +tp1223 +a(g826 +g970 +tp1224 +a(g826 +Vfollowing +p1225 +tp1226 +a(g826 +g970 +tp1227 +a(g826 +Vis +p1228 +tp1229 +a(g826 +g970 +tp1230 +a(g826 +Vbold: +p1231 +tp1232 +a(g826 +g970 +tp1233 +a(g922 +V__bold__ __two or more words__ +p1234 +tp1235 +a(g826 +V\u000a +p1236 +tp1237 +a(g826 +V\u000a +p1238 +tp1239 +a(g826 +VThe +p1240 +tp1241 +a(g826 +g970 +tp1242 +a(g826 +Vfollowing +p1243 +tp1244 +a(g826 +g970 +tp1245 +a(g826 +Vis +p1246 +tp1247 +a(g826 +g970 +tp1248 +a(g826 +Vnot +p1249 +tp1250 +a(g826 +g970 +tp1251 +a(g826 +Vbold: +p1252 +tp1253 +a(g826 +g970 +tp1254 +a(g826 +Vsnake**case**word +p1255 +tp1256 +a(g826 +V\u000a +p1257 +tp1258 +a(g826 +VThe +p1259 +tp1260 +a(g826 +g970 +tp1261 +a(g826 +Vfollowing +p1262 +tp1263 +a(g826 +g970 +tp1264 +a(g826 +Vis +p1265 +tp1266 +a(g826 +g970 +tp1267 +a(g826 +Vnot +p1268 +tp1269 +a(g826 +g970 +tp1270 +a(g826 +Vbold: +p1271 +tp1272 +a(g826 +g970 +tp1273 +a(g826 +Vsnake__case__word +p1274 +tp1275 +a(g826 +V\u000a +p1276 +tp1277 +a(g826 +V\u000a +p1278 +tp1279 +a(g826 +VThe +p1280 +tp1281 +a(g826 +g970 +tp1282 +a(g826 +Vfollowing +p1283 +tp1284 +a(g826 +g970 +tp1285 +a(g826 +Vis +p1286 +tp1287 +a(g826 +g970 +tp1288 +a(g826 +Vstrikethrough: +p1289 +tp1290 +a(g826 +g970 +tp1291 +a(g882 +V~~bold~~ +p1292 +tp1293 +a(g826 +V\u000a +p1294 +tp1295 +a(g826 +VThe +p1296 +tp1297 +a(g826 +g970 +tp1298 +a(g826 +Vfollowing +p1299 +tp1300 +a(g826 +g970 +tp1301 +a(g826 +Vis +p1302 +tp1303 +a(g826 +g970 +tp1304 +a(g826 +Vnot +p1305 +tp1306 +a(g826 +g970 +tp1307 +a(g826 +Vstrikethrough: +p1308 +tp1309 +a(g826 +g970 +tp1310 +a(g826 +Vsnake~~case~~word +p1311 +tp1312 +a(g826 +V\u000a +p1313 +tp1314 +a(g826 +V\u000a +p1315 +tp1316 +a(g826 +VThe +p1317 +tp1318 +a(g826 +g970 +tp1319 +a(g826 +Vfollowing +p1320 +tp1321 +a(g826 +g970 +tp1322 +a(g826 +Vis +p1323 +tp1324 +a(g826 +g970 +tp1325 +a(g826 +Vbold +p1326 +tp1327 +a(g826 +g970 +tp1328 +a(g826 +Vwith +p1329 +tp1330 +a(g826 +g970 +tp1331 +a(g826 +Vitalics +p1332 +tp1333 +a(g826 +g970 +tp1334 +a(g826 +Vinside: +p1335 +tp1336 +a(g826 +g970 +tp1337 +a(g922 +V**the next _word_ should have been italics** +p1338 +tp1339 +a(g826 +V\u000a +p1340 +tp1341 +a(g744 +V\u000a> +p1342 +tp1343 +a(g898 +Vthis is a quote\u000a +p1344 +tp1345 +a(g744 +V\u000a> +p1346 +tp1347 +a(g898 +Vthis is a multiline\u000a +p1348 +tp1349 +a(g744 +V> +p1350 +tp1351 +a(g898 +Vquote string thing\u000a +p1352 +tp1353 +a(g826 +V\u000a +p1354 +tp1355 +a(g826 +Vthis +p1356 +tp1357 +a(g826 +g970 +tp1358 +a(g826 +Vsentence +p1359 +tp1360 +a(g826 +g970 +tp1361 +a(g93 +V`has monospace` +p1362 +tp1363 +a(g826 +g970 +tp1364 +a(g826 +Vin +p1365 +tp1366 +a(g826 +g970 +tp1367 +a(g826 +Vit +p1368 +tp1369 +a(g826 +V\u000a +p1370 +tp1371 +a(g826 +V\u000a +p1372 +tp1373 +a(g826 +Vthis +p1374 +tp1375 +a(g826 +g970 +tp1376 +a(g826 +Vsentence +p1377 +tp1378 +a(g826 +g970 +tp1379 +a(g408 +V@tweets +p1380 +tp1381 +a(g826 +g970 +tp1382 +a(g826 +Va +p1383 +tp1384 +a(g826 +g970 +tp1385 +a(g826 +Vperson +p1386 +tp1387 +a(g826 +g970 +tp1388 +a(g826 +Vabout +p1389 +tp1390 +a(g826 +g970 +tp1391 +a(g826 +g1383 +tp1392 +a(g826 +g970 +tp1393 +a(g408 +V#topic +p1394 +tp1395 +a(g826 +V. +p1396 +tp1397 +a(g826 +V\u000a +p1398 +tp1399 +a(g826 +V\u000a +p1400 +tp1401 +a(g826 +V[ +p1402 +tp1403 +a(g462 +Vgoogle +p1404 +tp1405 +a(g826 +V] +p1406 +tp1407 +a(g826 +V( +p1408 +tp1409 +a(g571 +Vhttps://google.com/some/path.html +p1410 +tp1411 +a(g826 +V) +p1412 +tp1413 +a(g826 +V\u000a +p1414 +tp1415 +a(g826 +V![ +p1416 +tp1417 +a(g462 +VImage of Yaktocat +p1418 +tp1419 +a(g826 +g1406 +tp1420 +a(g826 +g1408 +tp1421 +a(g571 +Vhttps://octodex.github.com/images/yaktocat.png +p1422 +tp1423 +a(g826 +g1412 +tp1424 +a(g826 +V\u000a +p1425 +tp1426 +a(g826 +V\u000a +p1427 +tp1428 +a(g826 +g1402 +tp1429 +a(g462 +Vreference link +p1430 +tp1431 +a(g826 +g1406 +tp1432 +a(g826 +g1402 +tp1433 +a(g501 +Vid +p1434 +tp1435 +a(g826 +g1406 +tp1436 +a(g826 +V\u000a +p1437 +tp1438 +a(g826 +g1402 +tp1439 +a(g501 +Vid +p1440 +tp1441 +a(g826 +V]: +p1442 +tp1443 +a(g571 +Vhttp://example.com/ +p1444 +tp1445 +a(g826 +V\u000a +p1446 +tp1447 +a(g826 +V\u000a +p1448 +tp1449 +a(g81 +V```\u000a +p1450 +tp1451 +a(g826 +V * this is just unformated\u000a __text__\u000a +p1452 +tp1453 +a(g81 +V``` +p1454 +tp1455 +a(g826 +V\u000a +p1456 +tp1457 +a(g826 +V\u000a +p1458 +tp1459 +a(g826 +Vsome +p1460 +tp1461 +a(g826 +g970 +tp1462 +a(g826 +Vother +p1463 +tp1464 +a(g826 +g970 +tp1465 +a(g826 +Vtext +p1466 +tp1467 +a(g826 +V\u000a +p1468 +tp1469 +a(g826 +V\u000a +p1470 +tp1471 +a(g81 +V``` +p1472 +tp1473 +a(g81 +Vpython +p1474 +tp1475 +a(g826 +V\u000a +p1476 +tp1477 +a(g770 +Vfrom +p1478 +tp1479 +a(g826 +g970 +tp1480 +a(g525 +Vpygments +p1481 +tp1482 +a(g826 +g970 +tp1483 +a(g770 +Vimport +p1484 +tp1485 +a(g826 +g970 +tp1486 +a(g340 +Vtoken +p1487 +tp1488 +a(g826 +V\u000a +p1489 +tp1490 +a(g662 +V# comment +p1491 +tp1492 +a(g826 +V\u000a +p1493 +tp1494 +a(g81 +V``` +p1495 +tp1496 +a(g826 +V\u000a +p1497 +tp1498 +a(g826 +V\u000a +p1499 +tp1500 +a(g826 +Vsome +p1501 +tp1502 +a(g826 +g970 +tp1503 +a(g826 +Vmore +p1504 +tp1505 +a(g826 +g970 +tp1506 +a(g826 +Vtext +p1507 +tp1508 +a(g826 +V\u000a +p1509 +tp1510 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.monkey b/tests/examplefiles/output/example.monkey new file mode 100644 index 0000000..54a7f83 --- /dev/null +++ b/tests/examplefiles/output/example.monkey @@ -0,0 +1,4976 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVStrict\u000a +p956 +tp957 +a(g831 +V\u000a +p958 +tp959 +a(g714 +V' single line comment +p960 +tp961 +a(g831 +V\u000a\u000a +p962 +tp963 +a(g781 +V#rem +p964 +tp965 +a(g781 +V\u000a +p966 +tp967 +a(g781 +Vmulti +p968 +tp969 +a(g781 +V\u000a +p970 +tp971 +a(g781 +Vline +p972 +tp973 +a(g781 +V\u000a +p974 +tp975 +a(g781 +Vcomment +p976 +tp977 +a(g781 +V\u000a +p978 +tp979 +a(g781 +V#end +p980 +tp981 +a(g831 +V\u000a\u000a +p982 +tp983 +a(g781 +V#rem +p984 +tp985 +a(g781 +V\u000a +p986 +tp987 +a(g781 +Vnested +p988 +tp989 +a(g781 +V\u000a +p990 +tp991 +a(g781 +V#rem +p992 +tp993 +a(g781 +V\u000a +p994 +tp995 +a(g781 +Vmulti +p996 +tp997 +a(g781 +V\u000a +p998 +tp999 +a(g781 +Vline +p1000 +tp1001 +a(g781 +V\u000a +p1002 +tp1003 +a(g781 +V#end +p1004 +tp1005 +a(g781 +V\u000a +p1006 +tp1007 +a(g781 +Vcomment +p1008 +tp1009 +a(g781 +V\u000a +p1010 +tp1011 +a(g781 +V#end +p1012 +tp1013 +a(g831 +V\u000a\u000a +p1014 +tp1015 +a(g908 +VImport +p1016 +tp1017 +a(g831 +V +p1018 +tp1019 +a(g613 +Vmojo +p1020 +tp1021 +a(g831 +V\u000a +p1022 +tp1023 +a(g831 +V\u000a +p1024 +tp1025 +a(g939 +VConst +p1026 +tp1027 +a(g831 +g1018 +tp1028 +a(g558 +VONECONST +p1029 +tp1030 +a(g698 +V: +p1031 +tp1032 +a(g886 +VInt +p1033 +tp1034 +a(g831 +g1018 +tp1035 +a(g405 +V= +p1036 +tp1037 +a(g831 +g1018 +tp1038 +a(g27 +V1 +p1039 +tp1040 +a(g831 +V\u000a +p1041 +tp1042 +a(g939 +VConst +p1043 +tp1044 +a(g831 +g1018 +tp1045 +a(g558 +VTWOCONST +p1046 +tp1047 +a(g831 +g1018 +tp1048 +a(g698 +g1031 +tp1049 +a(g405 +g1036 +tp1050 +a(g831 +g1018 +tp1051 +a(g27 +V2 +p1052 +tp1053 +a(g831 +V\u000a +p1054 +tp1055 +a(g939 +VConst +p1056 +tp1057 +a(g831 +g1018 +tp1058 +a(g558 +VTHREECONST +p1059 +tp1060 +a(g831 +g1018 +tp1061 +a(g698 +g1031 +tp1062 +a(g405 +g1036 +tp1063 +a(g831 +g1018 +tp1064 +a(g27 +V3 +p1065 +tp1066 +a(g698 +V, +p1067 +tp1068 +a(g831 +g1018 +tp1069 +a(g558 +VFOURCONST +p1070 +tp1071 +a(g698 +g1031 +tp1072 +a(g886 +VInt +p1073 +tp1074 +a(g831 +g1018 +tp1075 +a(g405 +g1036 +tp1076 +a(g831 +g1018 +tp1077 +a(g27 +V4 +p1078 +tp1079 +a(g831 +V\u000a\u000a +p1080 +tp1081 +a(g939 +VGlobal +p1082 +tp1083 +a(g831 +g1018 +tp1084 +a(g433 +VsomeVariable +p1085 +tp1086 +a(g698 +g1031 +tp1087 +a(g886 +VInt +p1088 +tp1089 +a(g831 +g1018 +tp1090 +a(g405 +g1036 +tp1091 +a(g831 +g1018 +tp1092 +a(g27 +g1078 +tp1093 +a(g831 +V\u000a\u000a +p1094 +tp1095 +a(g714 +V' sample class from the documentation +p1096 +tp1097 +a(g831 +V\u000a +p1098 +tp1099 +a(g8 +VClass +p1100 +tp1101 +a(g831 +g1018 +tp1102 +a(g621 +VGame +p1103 +tp1104 +a(g831 +g1018 +tp1105 +a(g8 +VExtends +p1106 +tp1107 +a(g831 +g1018 +tp1108 +a(g621 +VApp +p1109 +tp1110 +a(g831 +V\u000a\u000a +p1111 +tp1112 +a(g8 +VFunction +p1113 +tp1114 +a(g831 +g1018 +tp1115 +a(g566 +VNew +p1116 +tp1117 +a(g698 +V( +p1118 +tp1119 +a(g698 +V) +p1120 +tp1121 +a(g831 +V\u000a +p1122 +tp1123 +a(g8 +VEnd +p1124 +tp1125 +a(g831 +V\u000a\u000a +p1126 +tp1127 +a(g8 +VFunction +p1128 +tp1129 +a(g831 +g1018 +tp1130 +a(g566 +VDrawSpiral +p1131 +tp1132 +a(g698 +g1118 +tp1133 +a(g433 +Vclock +p1134 +tp1135 +a(g698 +g1120 +tp1136 +a(g831 +V\u000a +p1137 +tp1138 +a(g939 +VLocal +p1139 +tp1140 +a(g831 +g1018 +tp1141 +a(g433 +Vw +p1142 +tp1143 +a(g405 +g1036 +tp1144 +a(g566 +VDeviceWidth +p1145 +tp1146 +a(g405 +V/ +p1147 +tp1148 +a(g27 +g1052 +tp1149 +a(g831 +V\u000a +p1150 +tp1151 +a(g8 +VFor +p1152 +tp1153 +a(g939 +VLocal +p1154 +tp1155 +a(g831 +g1018 +tp1156 +a(g433 +Vi +p1157 +tp1158 +a(g886 +V# +p1159 +tp1160 +a(g405 +g1036 +tp1161 +a(g27 +V0 +p1162 +tp1163 +a(g831 +g1018 +tp1164 +a(g8 +VUntil +p1165 +tp1166 +a(g433 +g1142 +tp1167 +a(g405 +V* +p1168 +tp1169 +a(g307 +V1.5 +p1170 +tp1171 +a(g831 +g1018 +tp1172 +a(g8 +VStep +p1173 +tp1174 +a(g307 +V.2 +p1175 +tp1176 +a(g831 +V\u000a +p1177 +tp1178 +a(g939 +VLocal +p1179 +tp1180 +a(g831 +g1018 +tp1181 +a(g433 +Vx +p1182 +tp1183 +a(g886 +g1159 +tp1184 +a(g698 +g1067 +tp1185 +a(g433 +Vy +p1186 +tp1187 +a(g886 +g1159 +tp1188 +a(g831 +V\u000a +p1189 +tp1190 +a(g433 +g1182 +tp1191 +a(g405 +g1036 +tp1192 +a(g433 +g1142 +tp1193 +a(g405 +V+ +p1194 +tp1195 +a(g433 +g1157 +tp1196 +a(g405 +g1168 +tp1197 +a(g566 +VSin +p1198 +tp1199 +a(g698 +g1118 +tp1200 +a(g433 +g1157 +tp1201 +a(g405 +g1168 +tp1202 +a(g27 +g1065 +tp1203 +a(g405 +g1194 +tp1204 +a(g433 +Vclock +p1205 +tp1206 +a(g698 +g1120 +tp1207 +a(g831 +V\u000a +p1208 +tp1209 +a(g433 +g1186 +tp1210 +a(g405 +g1036 +tp1211 +a(g433 +g1142 +tp1212 +a(g405 +g1194 +tp1213 +a(g433 +g1157 +tp1214 +a(g405 +g1168 +tp1215 +a(g566 +VCos +p1216 +tp1217 +a(g698 +g1118 +tp1218 +a(g433 +g1157 +tp1219 +a(g405 +g1168 +tp1220 +a(g27 +g1052 +tp1221 +a(g405 +g1194 +tp1222 +a(g433 +Vclock +p1223 +tp1224 +a(g698 +g1120 +tp1225 +a(g831 +V\u000a +p1226 +tp1227 +a(g566 +VDrawRect +p1228 +tp1229 +a(g831 +V +p1230 +tp1231 +a(g433 +g1182 +tp1232 +a(g698 +g1067 +tp1233 +a(g433 +g1186 +tp1234 +a(g698 +g1067 +tp1235 +a(g27 +g1039 +tp1236 +a(g698 +g1067 +tp1237 +a(g27 +g1039 +tp1238 +a(g831 +V\u000a +p1239 +tp1240 +a(g8 +VNext\u000a +p1241 +tp1242 +a(g433 +Vhitbox +p1243 +tp1244 +a(g698 +V. +p1245 +tp1246 +a(g566 +VCollide +p1247 +tp1248 +a(g698 +g1118 +tp1249 +a(g433 +Vevent +p1250 +tp1251 +a(g698 +g1245 +tp1252 +a(g433 +Vpos +p1253 +tp1254 +a(g698 +g1120 +tp1255 +a(g831 +V\u000a +p1256 +tp1257 +a(g8 +VEnd +p1258 +tp1259 +a(g831 +V\u000a\u000a +p1260 +tp1261 +a(g939 +VField +p1262 +tp1263 +a(g831 +g1018 +tp1264 +a(g433 +VupdateCount +p1265 +tp1266 +a(g831 +V\u000a\u000a +p1267 +tp1268 +a(g8 +VMethod +p1269 +tp1270 +a(g831 +g1018 +tp1271 +a(g566 +VOnCreate +p1272 +tp1273 +a(g698 +g1118 +tp1274 +a(g698 +g1120 +tp1275 +a(g831 +V\u000a +p1276 +tp1277 +a(g566 +VPrint +p1278 +tp1279 +a(g831 +g1018 +tp1280 +a(g149 +V" +p1281 +tp1282 +a(g149 +Vspiral +p1283 +tp1284 +a(g149 +g1281 +tp1285 +a(g831 +V\u000a\u000a +p1286 +tp1287 +a(g566 +VSetUpdateRate +p1288 +tp1289 +a(g831 +g1018 +tp1290 +a(g27 +V60 +p1291 +tp1292 +a(g831 +V\u000a +p1293 +tp1294 +a(g8 +VEnd +p1295 +tp1296 +a(g831 +V\u000a\u000a +p1297 +tp1298 +a(g8 +VMethod +p1299 +tp1300 +a(g831 +g1018 +tp1301 +a(g566 +VOnUpdate +p1302 +tp1303 +a(g698 +g1118 +tp1304 +a(g698 +g1120 +tp1305 +a(g831 +V\u000a +p1306 +tp1307 +a(g433 +VupdateCount +p1308 +tp1309 +a(g405 +V+= +p1310 +tp1311 +a(g27 +g1039 +tp1312 +a(g831 +V\u000a +p1313 +tp1314 +a(g8 +VEnd +p1315 +tp1316 +a(g831 +V\u000a\u000a +p1317 +tp1318 +a(g8 +VMethod +p1319 +tp1320 +a(g831 +g1018 +tp1321 +a(g566 +VOnRender +p1322 +tp1323 +a(g698 +g1118 +tp1324 +a(g698 +g1120 +tp1325 +a(g831 +V\u000a +p1326 +tp1327 +a(g566 +VCls +p1328 +tp1329 +a(g831 +V\u000a +p1330 +tp1331 +a(g566 +VDrawSpiral +p1332 +tp1333 +a(g831 +g1018 +tp1334 +a(g433 +VupdateCount +p1335 +tp1336 +a(g831 +V\u000a +p1337 +tp1338 +a(g566 +VDrawSpiral +p1339 +tp1340 +a(g831 +g1018 +tp1341 +a(g433 +VupdateCount +p1342 +tp1343 +a(g405 +g1168 +tp1344 +a(g307 +V1.1 +p1345 +tp1346 +a(g831 +V\u000a +p1347 +tp1348 +a(g8 +VEnd +p1349 +tp1350 +a(g831 +V\u000a\u000a +p1351 +tp1352 +a(g8 +VEnd +p1353 +tp1354 +a(g831 +V\u000a\u000a +p1355 +tp1356 +a(g8 +VClass +p1357 +tp1358 +a(g831 +g1018 +tp1359 +a(g621 +VEnemy +p1360 +tp1361 +a(g831 +V\u000a +p1362 +tp1363 +a(g8 +VMethod +p1364 +tp1365 +a(g831 +g1018 +tp1366 +a(g566 +VDie +p1367 +tp1368 +a(g831 +g1018 +tp1369 +a(g698 +g1118 +tp1370 +a(g698 +g1120 +tp1371 +a(g831 +g1018 +tp1372 +a(g8 +VAbstract +p1373 +tp1374 +a(g831 +V\u000a +p1375 +tp1376 +a(g8 +VEnd +p1377 +tp1378 +a(g831 +V\u000a\u000a +p1379 +tp1380 +a(g714 +V' extending +p1381 +tp1382 +a(g831 +V\u000a +p1383 +tp1384 +a(g8 +VClass +p1385 +tp1386 +a(g831 +g1018 +tp1387 +a(g621 +VHoodlum +p1388 +tp1389 +a(g831 +g1018 +tp1390 +a(g8 +VExtends +p1391 +tp1392 +a(g831 +g1018 +tp1393 +a(g621 +VEnemy +p1394 +tp1395 +a(g831 +V\u000a +p1396 +tp1397 +a(g714 +V' field +p1398 +tp1399 +a(g831 +V\u000a +p1400 +tp1401 +a(g939 +VField +p1402 +tp1403 +a(g831 +g1018 +tp1404 +a(g433 +VtestField +p1405 +tp1406 +a(g698 +g1031 +tp1407 +a(g886 +VBool +p1408 +tp1409 +a(g831 +g1018 +tp1410 +a(g405 +g1036 +tp1411 +a(g831 +g1018 +tp1412 +a(g674 +VTrue +p1413 +tp1414 +a(g831 +V\u000a\u000a +p1415 +tp1416 +a(g714 +V' naming class with modulepath +p1417 +tp1418 +a(g831 +V\u000a +p1419 +tp1420 +a(g939 +VLocal +p1421 +tp1422 +a(g831 +g1018 +tp1423 +a(g433 +VcurrentNode +p1424 +tp1425 +a(g698 +g1031 +tp1426 +a(g613 +Vlist. +p1427 +tp1428 +a(g621 +VNode +p1429 +tp1430 +a(g698 +V< +p1431 +tp1432 +a(g621 +VVector2D +p1433 +tp1434 +a(g698 +V> +p1435 +tp1436 +a(g831 +V\u000a\u000a +p1437 +tp1438 +a(g8 +VMethod +p1439 +tp1440 +a(g831 +g1018 +tp1441 +a(g566 +VDie +p1442 +tp1443 +a(g831 +g1018 +tp1444 +a(g698 +g1118 +tp1445 +a(g698 +g1120 +tp1446 +a(g831 +V\u000a +p1447 +tp1448 +a(g566 +VPrint +p1449 +tp1450 +a(g831 +g1018 +tp1451 +a(g149 +g1281 +tp1452 +a(g149 +VB'oss, he-- he killed me, b'oss! +p1453 +tp1454 +a(g149 +g1281 +tp1455 +a(g831 +V\u000a +p1456 +tp1457 +a(g8 +VEnd +p1458 +tp1459 +a(g831 +V\u000a +p1460 +tp1461 +a(g8 +VEnd +p1462 +tp1463 +a(g831 +V\u000a\u000a +p1464 +tp1465 +a(g714 +V' extending with generics +p1466 +tp1467 +a(g831 +V\u000a +p1468 +tp1469 +a(g8 +VClass +p1470 +tp1471 +a(g831 +g1018 +tp1472 +a(g621 +VVectorNode +p1473 +tp1474 +a(g831 +g1018 +tp1475 +a(g8 +VExtends +p1476 +tp1477 +a(g831 +g1018 +tp1478 +a(g621 +VNode +p1479 +tp1480 +a(g698 +g1431 +tp1481 +a(g621 +VVector2D +p1482 +tp1483 +a(g698 +g1435 +tp1484 +a(g831 +V\u000a +p1485 +tp1486 +a(g8 +VEnd +p1487 +tp1488 +a(g831 +V\u000a\u000a +p1489 +tp1490 +a(g714 +V' interfaces +p1491 +tp1492 +a(g831 +V\u000a +p1493 +tp1494 +a(g8 +VInterface +p1495 +tp1496 +a(g831 +g1018 +tp1497 +a(g621 +VComputer +p1498 +tp1499 +a(g831 +V\u000a +p1500 +tp1501 +a(g8 +VMethod +p1502 +tp1503 +a(g831 +g1018 +tp1504 +a(g566 +VBoot +p1505 +tp1506 +a(g831 +g1018 +tp1507 +a(g698 +g1118 +tp1508 +a(g698 +g1120 +tp1509 +a(g831 +V\u000a +p1510 +tp1511 +a(g8 +VMethod +p1512 +tp1513 +a(g831 +g1018 +tp1514 +a(g566 +VProcess +p1515 +tp1516 +a(g831 +g1018 +tp1517 +a(g698 +g1118 +tp1518 +a(g698 +g1120 +tp1519 +a(g831 +V\u000a +p1520 +tp1521 +a(g8 +VMethod +p1522 +tp1523 +a(g831 +g1018 +tp1524 +a(g566 +VDisplay +p1525 +tp1526 +a(g831 +g1018 +tp1527 +a(g698 +g1118 +tp1528 +a(g698 +g1120 +tp1529 +a(g831 +V\u000a +p1530 +tp1531 +a(g8 +VEnd +p1532 +tp1533 +a(g831 +V\u000a\u000a +p1534 +tp1535 +a(g8 +VClass +p1536 +tp1537 +a(g831 +g1018 +tp1538 +a(g621 +VPC +p1539 +tp1540 +a(g831 +g1018 +tp1541 +a(g8 +VImplements +p1542 +tp1543 +a(g831 +g1018 +tp1544 +a(g621 +VComputer +p1545 +tp1546 +a(g831 +V\u000a +p1547 +tp1548 +a(g8 +VEnd +p1549 +tp1550 +a(g831 +V\u000a\u000a +p1551 +tp1552 +a(g714 +V' array syntax +p1553 +tp1554 +a(g831 +V\u000a +p1555 +tp1556 +a(g939 +VGlobal +p1557 +tp1558 +a(g831 +g1018 +tp1559 +a(g433 +VlistOfStuff +p1560 +tp1561 +a(g698 +g1031 +tp1562 +a(g886 +VString +p1563 +tp1564 +a(g698 +V[ +p1565 +tp1566 +a(g27 +V42 +p1567 +tp1568 +a(g698 +V] +p1569 +tp1570 +a(g831 +V\u000a +p1571 +tp1572 +a(g939 +VGlobal +p1573 +tp1574 +a(g831 +g1018 +tp1575 +a(g433 +VlessStuff +p1576 +tp1577 +a(g698 +g1031 +tp1578 +a(g886 +VString +p1579 +tp1580 +a(g698 +g1565 +tp1581 +a(g27 +V5 +p1582 +tp1583 +a(g698 +g1569 +tp1584 +a(g831 +g1018 +tp1585 +a(g405 +g1036 +tp1586 +a(g831 +g1018 +tp1587 +a(g433 +VlistOfStuff +p1588 +tp1589 +a(g698 +g1565 +tp1590 +a(g27 +g1078 +tp1591 +a(g698 +g1245 +tp1592 +a(g307 +V.8 +p1593 +tp1594 +a(g698 +g1569 +tp1595 +a(g831 +V\u000a +p1596 +tp1597 +a(g939 +VGlobal +p1598 +tp1599 +a(g831 +g1018 +tp1600 +a(g433 +VoneStuff +p1601 +tp1602 +a(g698 +g1031 +tp1603 +a(g886 +VString +p1604 +tp1605 +a(g831 +g1018 +tp1606 +a(g405 +g1036 +tp1607 +a(g831 +g1018 +tp1608 +a(g433 +VlistOfStuff +p1609 +tp1610 +a(g698 +g1565 +tp1611 +a(g27 +V23 +p1612 +tp1613 +a(g698 +g1569 +tp1614 +a(g831 +V\u000a\u000a +p1615 +tp1616 +a(g714 +V'a comma separated sequence +p1617 +tp1618 +a(g831 +V\u000a +p1619 +tp1620 +a(g939 +VGlobal +p1621 +tp1622 +a(g831 +g1018 +tp1623 +a(g433 +Vscores +p1624 +tp1625 +a(g698 +g1031 +tp1626 +a(g886 +VInt +p1627 +tp1628 +a(g698 +g1565 +tp1629 +a(g698 +g1569 +tp1630 +a(g405 +g1036 +tp1631 +a(g698 +g1565 +tp1632 +a(g27 +V10 +p1633 +tp1634 +a(g698 +g1067 +tp1635 +a(g27 +V20 +p1636 +tp1637 +a(g698 +g1067 +tp1638 +a(g27 +V30 +p1639 +tp1640 +a(g698 +g1569 +tp1641 +a(g831 +V\u000a +p1642 +tp1643 +a(g714 +V'a comma separated sequence +p1644 +tp1645 +a(g831 +V\u000a +p1646 +tp1647 +a(g939 +VGlobal +p1648 +tp1649 +a(g831 +g1018 +tp1650 +a(g433 +Vtext +p1651 +tp1652 +a(g698 +g1031 +tp1653 +a(g886 +VString +p1654 +tp1655 +a(g698 +g1565 +tp1656 +a(g698 +g1569 +tp1657 +a(g405 +g1036 +tp1658 +a(g698 +g1565 +tp1659 +a(g149 +g1281 +tp1660 +a(g149 +VHello +p1661 +tp1662 +a(g149 +g1281 +tp1663 +a(g698 +g1067 +tp1664 +a(g149 +g1281 +tp1665 +a(g149 +VThere +p1666 +tp1667 +a(g149 +g1281 +tp1668 +a(g698 +g1067 +tp1669 +a(g149 +g1281 +tp1670 +a(g149 +VWorld +p1671 +tp1672 +a(g149 +g1281 +tp1673 +a(g698 +g1569 +tp1674 +a(g831 +V\u000a +p1675 +tp1676 +a(g939 +VGlobal +p1677 +tp1678 +a(g831 +g1018 +tp1679 +a(g433 +VworstCase +p1680 +tp1681 +a(g698 +g1031 +tp1682 +a(g613 +Vworst. +p1683 +tp1684 +a(g621 +VList +p1685 +tp1686 +a(g698 +g1431 +tp1687 +a(g886 +VString +p1688 +tp1689 +a(g698 +g1565 +tp1690 +a(g698 +g1569 +tp1691 +a(g698 +g1435 +tp1692 +a(g831 +V\u000a\u000a +p1693 +tp1694 +a(g714 +V' string type +p1695 +tp1696 +a(g831 +V\u000a +p1697 +tp1698 +a(g939 +VGlobal +p1699 +tp1700 +a(g831 +g1018 +tp1701 +a(g433 +Vstring1 +p1702 +tp1703 +a(g698 +g1031 +tp1704 +a(g886 +VString +p1705 +tp1706 +a(g831 +g1018 +tp1707 +a(g405 +g1036 +tp1708 +a(g831 +g1018 +tp1709 +a(g149 +g1281 +tp1710 +a(g149 +VHello world +p1711 +tp1712 +a(g149 +g1281 +tp1713 +a(g831 +V\u000a +p1714 +tp1715 +a(g939 +VGlobal +p1716 +tp1717 +a(g831 +g1018 +tp1718 +a(g433 +Vstring2 +p1719 +tp1720 +a(g886 +V$ +p1721 +tp1722 +a(g831 +g1018 +tp1723 +a(g405 +g1036 +tp1724 +a(g831 +g1018 +tp1725 +a(g149 +g1281 +tp1726 +a(g149 +VHello world +p1727 +tp1728 +a(g149 +g1281 +tp1729 +a(g831 +V\u000a\u000a +p1730 +tp1731 +a(g714 +V' escape characers in strings +p1732 +tp1733 +a(g831 +V\u000a +p1734 +tp1735 +a(g939 +VGlobal +p1736 +tp1737 +a(g831 +g1018 +tp1738 +a(g433 +Vstring3 +p1739 +tp1740 +a(g831 +g1018 +tp1741 +a(g698 +g1031 +tp1742 +a(g405 +g1036 +tp1743 +a(g831 +g1018 +tp1744 +a(g149 +g1281 +tp1745 +a(g149 +VHello +p1746 +tp1747 +a(g205 +V~z +p1748 +tp1749 +a(g149 +VWorld +p1750 +tp1751 +a(g149 +g1281 +tp1752 +a(g831 +V\u000a +p1753 +tp1754 +a(g939 +VGlobal +p1755 +tp1756 +a(g831 +g1018 +tp1757 +a(g433 +Vstring4 +p1758 +tp1759 +a(g831 +g1018 +tp1760 +a(g698 +g1031 +tp1761 +a(g405 +g1036 +tp1762 +a(g831 +g1018 +tp1763 +a(g149 +g1281 +tp1764 +a(g205 +V~q +p1765 +tp1766 +a(g149 +VHello World +p1767 +tp1768 +a(g205 +V~q +p1769 +tp1770 +a(g149 +g1281 +tp1771 +a(g831 +V\u000a +p1772 +tp1773 +a(g939 +VGlobal +p1774 +tp1775 +a(g831 +g1018 +tp1776 +a(g433 +Vstring5 +p1777 +tp1778 +a(g831 +g1018 +tp1779 +a(g698 +g1031 +tp1780 +a(g405 +g1036 +tp1781 +a(g831 +g1018 +tp1782 +a(g149 +g1281 +tp1783 +a(g205 +V~t +p1784 +tp1785 +a(g149 +VIndented +p1786 +tp1787 +a(g205 +V~n +p1788 +tp1789 +a(g149 +g1281 +tp1790 +a(g831 +V\u000a +p1791 +tp1792 +a(g939 +VGlobal +p1793 +tp1794 +a(g831 +g1018 +tp1795 +a(g433 +Vstring6 +p1796 +tp1797 +a(g831 +g1018 +tp1798 +a(g698 +g1031 +tp1799 +a(g405 +g1036 +tp1800 +a(g831 +g1018 +tp1801 +a(g149 +g1281 +tp1802 +a(g149 +Vtilda is wavey... +p1803 +tp1804 +a(g205 +V~~ +p1805 +tp1806 +a(g149 +g1281 +tp1807 +a(g831 +V\u000a\u000a +p1808 +tp1809 +a(g714 +V' string pseudofunctions +p1810 +tp1811 +a(g831 +V\u000a +p1812 +tp1813 +a(g566 +VPrint +p1814 +tp1815 +a(g831 +g1018 +tp1816 +a(g149 +g1281 +tp1817 +a(g149 +V Hello World +p1818 +tp1819 +a(g205 +V~n +p1820 +tp1821 +a(g149 +g1281 +tp1822 +a(g698 +g1245 +tp1823 +a(g566 +VTrim +p1824 +tp1825 +a(g698 +g1118 +tp1826 +a(g698 +g1120 +tp1827 +a(g831 +V +p1828 +tp1829 +a(g714 +V' prints "Hello World" +p1830 +tp1831 +a(g831 +V\u000a +p1832 +tp1833 +a(g566 +VPrint +p1834 +tp1835 +a(g831 +g1018 +tp1836 +a(g149 +g1281 +tp1837 +a(g149 +VHello World +p1838 +tp1839 +a(g149 +g1281 +tp1840 +a(g698 +g1245 +tp1841 +a(g566 +VToUpper +p1842 +tp1843 +a(g698 +g1118 +tp1844 +a(g698 +g1120 +tp1845 +a(g831 +V +p1846 +tp1847 +a(g714 +V' prints "HELLO WORLD" +p1848 +tp1849 +a(g831 +V\u000a\u000a +p1850 +tp1851 +a(g714 +V' Boolean shorttype +p1852 +tp1853 +a(g831 +V\u000a +p1854 +tp1855 +a(g939 +VGlobal +p1856 +tp1857 +a(g831 +g1018 +tp1858 +a(g433 +VboolVariable1 +p1859 +tp1860 +a(g698 +g1031 +tp1861 +a(g886 +VBool +p1862 +tp1863 +a(g831 +g1018 +tp1864 +a(g405 +g1036 +tp1865 +a(g831 +g1018 +tp1866 +a(g674 +VTrue +p1867 +tp1868 +a(g831 +V\u000a +p1869 +tp1870 +a(g939 +VGlobal +p1871 +tp1872 +a(g831 +g1018 +tp1873 +a(g433 +VboolVariable2 +p1874 +tp1875 +a(g886 +V? +p1876 +tp1877 +a(g831 +g1018 +tp1878 +a(g405 +g1036 +tp1879 +a(g831 +g1018 +tp1880 +a(g674 +VFalse +p1881 +tp1882 +a(g831 +V\u000a\u000a +p1883 +tp1884 +a(g714 +V' number formats +p1885 +tp1886 +a(g831 +V\u000a +p1887 +tp1888 +a(g939 +VGlobal +p1889 +tp1890 +a(g831 +g1018 +tp1891 +a(g433 +VhexNum1 +p1892 +tp1893 +a(g698 +g1031 +tp1894 +a(g886 +VInt +p1895 +tp1896 +a(g831 +g1018 +tp1897 +a(g405 +g1036 +tp1898 +a(g831 +g1018 +tp1899 +a(g290 +V$3d0dead +p1900 +tp1901 +a(g831 +V\u000a +p1902 +tp1903 +a(g939 +VGlobal +p1904 +tp1905 +a(g831 +g1018 +tp1906 +a(g433 +VhexNum2 +p1907 +tp1908 +a(g886 +V% +p1909 +tp1910 +a(g831 +g1018 +tp1911 +a(g405 +g1036 +tp1912 +a(g831 +g1018 +tp1913 +a(g290 +V$CAFEBABE +p1914 +tp1915 +a(g831 +V\u000a\u000a +p1916 +tp1917 +a(g939 +VGlobal +p1918 +tp1919 +a(g831 +g1018 +tp1920 +a(g433 +VfloatNum1 +p1921 +tp1922 +a(g698 +g1031 +tp1923 +a(g886 +VFloat +p1924 +tp1925 +a(g831 +g1018 +tp1926 +a(g405 +g1036 +tp1927 +a(g831 +g1018 +tp1928 +a(g307 +V3.141516 +p1929 +tp1930 +a(g831 +V\u000a +p1931 +tp1932 +a(g939 +VGlobal +p1933 +tp1934 +a(g831 +g1018 +tp1935 +a(g433 +VfloatNum2 +p1936 +tp1937 +a(g886 +g1159 +tp1938 +a(g831 +g1018 +tp1939 +a(g405 +g1036 +tp1940 +a(g831 +g1018 +tp1941 +a(g307 +V3.141516 +p1942 +tp1943 +a(g831 +V\u000a +p1944 +tp1945 +a(g939 +VGlobal +p1946 +tp1947 +a(g831 +g1018 +tp1948 +a(g433 +VfloatNum3 +p1949 +tp1950 +a(g831 +g1018 +tp1951 +a(g698 +g1031 +tp1952 +a(g405 +g1036 +tp1953 +a(g831 +g1018 +tp1954 +a(g307 +V.141516 +p1955 +tp1956 +a(g831 +V\u000a\u000a +p1957 +tp1958 +a(g714 +V' preprocessor keywords +p1959 +tp1960 +a(g831 +V\u000a +p1961 +tp1962 +a(g743 +V#If +p1963 +tp1964 +a(g831 +g1018 +tp1965 +a(g558 +VTARGET +p1966 +tp1967 +a(g831 +g1018 +tp1968 +a(g405 +g1036 +tp1969 +a(g831 +g1018 +tp1970 +a(g149 +g1281 +tp1971 +a(g149 +Vandroid +p1972 +tp1973 +a(g149 +g1281 +tp1974 +a(g831 +V\u000a +p1975 +tp1976 +a(g566 +VDoStuff +p1977 +tp1978 +a(g698 +g1118 +tp1979 +a(g698 +g1120 +tp1980 +a(g831 +V\u000a +p1981 +tp1982 +a(g743 +V#ElseIf +p1983 +tp1984 +a(g831 +g1018 +tp1985 +a(g558 +VTARGET +p1986 +tp1987 +a(g831 +g1018 +tp1988 +a(g405 +g1036 +tp1989 +a(g831 +g1018 +tp1990 +a(g149 +g1281 +tp1991 +a(g149 +Vios +p1992 +tp1993 +a(g149 +g1281 +tp1994 +a(g831 +V\u000a +p1995 +tp1996 +a(g566 +VDoOtherStuff +p1997 +tp1998 +a(g698 +g1118 +tp1999 +a(g698 +g1120 +tp2000 +a(g831 +V\u000a +p2001 +tp2002 +a(g743 +V#End +p2003 +tp2004 +a(g831 +V\u000a\u000a +p2005 +tp2006 +a(g714 +V' preprocessor variable +p2007 +tp2008 +a(g831 +V\u000a +p2009 +tp2010 +a(g743 +g1159 +tp2011 +a(g558 +VSOMETHING +p2012 +tp2013 +a(g831 +g1018 +tp2014 +a(g405 +g1036 +tp2015 +a(g831 +g1018 +tp2016 +a(g674 +VTrue +p2017 +tp2018 +a(g831 +V\u000a +p2019 +tp2020 +a(g743 +V#Print +p2021 +tp2022 +a(g831 +g1018 +tp2023 +a(g558 +VSOMETHING +p2024 +tp2025 +a(g831 +V\u000a +p2026 +tp2027 +a(g743 +V#If +p2028 +tp2029 +a(g831 +g1018 +tp2030 +a(g558 +VSOMETHING +p2031 +tp2032 +a(g831 +V\u000a +p2033 +tp2034 +a(g743 +V#End +p2035 +tp2036 +a(g831 +V\u000a\u000a +p2037 +tp2038 +a(g714 +V' operators +p2039 +tp2040 +a(g831 +V\u000a +p2041 +tp2042 +a(g939 +VGlobal +p2043 +tp2044 +a(g831 +g1018 +tp2045 +a(g433 +Va +p2046 +tp2047 +a(g831 +g1018 +tp2048 +a(g405 +g1036 +tp2049 +a(g831 +g1018 +tp2050 +a(g27 +V32 +p2051 +tp2052 +a(g831 +V\u000a +p2053 +tp2054 +a(g939 +VGlobal +p2055 +tp2056 +a(g831 +g1018 +tp2057 +a(g433 +Vb +p2058 +tp2059 +a(g831 +g1018 +tp2060 +a(g405 +g1036 +tp2061 +a(g831 +g1018 +tp2062 +a(g27 +V32 +p2063 +tp2064 +a(g831 +g1018 +tp2065 +a(g405 +V~ +p2066 +tp2067 +a(g831 +g1018 +tp2068 +a(g27 +g1162 +tp2069 +a(g831 +V\u000a +p2070 +tp2071 +a(g433 +g2058 +tp2072 +a(g831 +g1018 +tp2073 +a(g405 +V~= +p2074 +tp2075 +a(g831 +g1018 +tp2076 +a(g27 +V16 +p2077 +tp2078 +a(g831 +V\u000a +p2079 +tp2080 +a(g433 +g2058 +tp2081 +a(g831 +g1018 +tp2082 +a(g405 +V|= +p2083 +tp2084 +a(g831 +g1018 +tp2085 +a(g27 +V16 +p2086 +tp2087 +a(g831 +V\u000a +p2088 +tp2089 +a(g433 +g2058 +tp2090 +a(g831 +g1018 +tp2091 +a(g405 +V&= +p2092 +tp2093 +a(g831 +g1018 +tp2094 +a(g27 +V16 +p2095 +tp2096 +a(g831 +V\u000a +p2097 +tp2098 +a(g939 +VGlobal +p2099 +tp2100 +a(g831 +g1018 +tp2101 +a(g433 +Vc +p2102 +tp2103 +a(g831 +g1018 +tp2104 +a(g405 +g1036 +tp2105 +a(g831 +g1018 +tp2106 +a(g433 +g2046 +tp2107 +a(g831 +g1018 +tp2108 +a(g405 +V| +p2109 +tp2110 +a(g831 +g1018 +tp2111 +a(g433 +g2058 +tp2112 +a(g831 +V\u000a +p2113 +tp2114 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.moo b/tests/examplefiles/output/example.moo new file mode 100644 index 0000000..2d454f8 --- /dev/null +++ b/tests/examplefiles/output/example.moo @@ -0,0 +1,3083 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVif +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g400 +V( +p960 +tp961 +a(g449 +Vthis +p962 +tp963 +a(g400 +V. +p964 +tp965 +a(g826 +Vrunning +p966 +tp967 +a(g400 +V) +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g449 +Vplayer +p972 +tp973 +a(g400 +V: +p974 +tp975 +a(g561 +Vtell +p976 +tp977 +a(g400 +g960 +tp978 +a(g76 +V"[Train] Error: already a jump in progress" +p979 +tp980 +a(g400 +V); +p981 +tp982 +a(g826 +V\u000a +p983 +tp984 +a(g7 +Vreturn +p985 +tp986 +a(g400 +V; +p987 +tp988 +a(g826 +V\u000a +p989 +tp990 +a(g7 +Vendif +p991 +tp992 +a(g826 +V\u000a +p993 +tp994 +a(g449 +Vthis +p995 +tp996 +a(g400 +g964 +tp997 +a(g826 +Vrunning +p998 +tp999 +a(g826 +g958 +tp1000 +a(g400 +V= +p1001 +tp1002 +a(g826 +g958 +tp1003 +a(g22 +V1 +p1004 +tp1005 +a(g400 +g987 +tp1006 +a(g826 +V\u000a +p1007 +tp1008 +a(g449 +Vthis +p1009 +tp1010 +a(g400 +g964 +tp1011 +a(g826 +Vaborted +p1012 +tp1013 +a(g826 +g958 +tp1014 +a(g400 +g1001 +tp1015 +a(g826 +g958 +tp1016 +a(g22 +V0 +p1017 +tp1018 +a(g400 +g987 +tp1019 +a(g826 +V\u000a +p1020 +tp1021 +a(g449 +Vthis +p1022 +tp1023 +a(g400 +g974 +tp1024 +a(g561 +Vannounce_all +p1025 +tp1026 +a(g400 +g960 +tp1027 +a(g76 +V"[Train] departure in 20 seconds" +p1028 +tp1029 +a(g400 +V); +p1030 +tp1031 +a(g826 +V\u000a +p1032 +tp1033 +a(g826 +Vdest +p1034 +tp1035 +a(g826 +g958 +tp1036 +a(g400 +g1001 +tp1037 +a(g826 +g958 +tp1038 +a(g449 +Vthis +p1039 +tp1040 +a(g400 +g964 +tp1041 +a(g826 +Vtargets +p1042 +tp1043 +a(g400 +V[ +p1044 +tp1045 +a(g669 +Vrandom +p1046 +tp1047 +a(g400 +g960 +tp1048 +a(g669 +Vlength +p1049 +tp1050 +a(g400 +g960 +tp1051 +a(g449 +Vthis +p1052 +tp1053 +a(g400 +g964 +tp1054 +a(g826 +Vtargets +p1055 +tp1056 +a(g400 +V))]; +p1057 +tp1058 +a(g826 +V\u000a +p1059 +tp1060 +a(g449 +Vthis +p1061 +tp1062 +a(g400 +g974 +tp1063 +a(g561 +Vannounce_all +p1064 +tp1065 +a(g400 +g960 +tp1066 +a(g76 +V"[Train] Next stop is '" +p1067 +tp1068 +a(g400 +V, +p1069 +tp1070 +a(g826 +g958 +tp1071 +a(g826 +Vdest +p1072 +tp1073 +a(g400 +g974 +tp1074 +a(g561 +Vtitle +p1075 +tp1076 +a(g400 +g960 +tp1077 +a(g400 +V), +p1078 +tp1079 +a(g826 +g958 +tp1080 +a(g76 +V"'" +p1081 +tp1082 +a(g400 +V); +p1083 +tp1084 +a(g826 +V\u000a +p1085 +tp1086 +a(g449 +Vthis +p1087 +tp1088 +a(g400 +g974 +tp1089 +a(g561 +Vannounce_all +p1090 +tp1091 +a(g400 +g960 +tp1092 +a(g76 +V"You hear the engines starting up" +p1093 +tp1094 +a(g400 +V); +p1095 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g449 +Vthis +p1099 +tp1100 +a(g400 +g964 +tp1101 +a(g826 +Vlocation +p1102 +tp1103 +a(g400 +g974 +tp1104 +a(g561 +Vannounce +p1105 +tp1106 +a(g400 +g960 +tp1107 +a(g76 +V"The MOOTrain starts up his engines" +p1108 +tp1109 +a(g400 +V); +p1110 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g561 +Vsuspend +p1114 +tp1115 +a(g400 +g960 +tp1116 +a(g22 +V20 +p1117 +tp1118 +a(g400 +V); +p1119 +tp1120 +a(g826 +V\u000a +p1121 +tp1122 +a(g7 +Vif +p1123 +tp1124 +a(g826 +g958 +tp1125 +a(g400 +g960 +tp1126 +a(g449 +Vthis +p1127 +tp1128 +a(g400 +g964 +tp1129 +a(g826 +Vaborted +p1130 +tp1131 +a(g400 +g968 +tp1132 +a(g826 +V\u000a +p1133 +tp1134 +a(g449 +Vthis +p1135 +tp1136 +a(g400 +g964 +tp1137 +a(g826 +Vrunning +p1138 +tp1139 +a(g826 +g958 +tp1140 +a(g400 +g1001 +tp1141 +a(g826 +g958 +tp1142 +a(g22 +g1017 +tp1143 +a(g400 +g987 +tp1144 +a(g826 +V\u000a +p1145 +tp1146 +a(g449 +Vthis +p1147 +tp1148 +a(g400 +g964 +tp1149 +a(g826 +Vaborted +p1150 +tp1151 +a(g826 +g958 +tp1152 +a(g400 +g1001 +tp1153 +a(g826 +g958 +tp1154 +a(g22 +g1017 +tp1155 +a(g400 +g987 +tp1156 +a(g826 +V\u000a +p1157 +tp1158 +a(g7 +Vreturn +p1159 +tp1160 +a(g400 +g987 +tp1161 +a(g826 +V\u000a +p1162 +tp1163 +a(g7 +Vendif +p1164 +tp1165 +a(g826 +V\u000a +p1166 +tp1167 +a(g449 +Vthis +p1168 +tp1169 +a(g400 +g974 +tp1170 +a(g561 +Vannounce_all +p1171 +tp1172 +a(g400 +g960 +tp1173 +a(g76 +V"[Train] Departure!" +p1174 +tp1175 +a(g400 +V); +p1176 +tp1177 +a(g826 +V\u000a +p1178 +tp1179 +a(g449 +Vthis +p1180 +tp1181 +a(g400 +g964 +tp1182 +a(g826 +Vlocation +p1183 +tp1184 +a(g400 +g974 +tp1185 +a(g561 +Vannounce_all +p1186 +tp1187 +a(g400 +g960 +tp1188 +a(g76 +V"The MOOTrain leaves into the 42th dimension!" +p1189 +tp1190 +a(g400 +V); +p1191 +tp1192 +a(g826 +V\u000a +p1193 +tp1194 +a(g449 +Vthis +p1195 +tp1196 +a(g400 +g974 +tp1197 +a(g561 +Vannounce_all +p1198 +tp1199 +a(g400 +g960 +tp1200 +a(g76 +V"Outside you see the lights of the 42th dimension" +p1201 +tp1202 +a(g400 +V); +p1203 +tp1204 +a(g826 +V\u000a +p1205 +tp1206 +a(g449 +Vthis +p1207 +tp1208 +a(g400 +g974 +tp1209 +a(g561 +Vmoveto +p1210 +tp1211 +a(g400 +g960 +tp1212 +a(g826 +Vdest +p1213 +tp1214 +a(g400 +V); +p1215 +tp1216 +a(g826 +V\u000a +p1217 +tp1218 +a(g561 +Vsuspend +p1219 +tp1220 +a(g400 +g960 +tp1221 +a(g22 +V4 +p1222 +tp1223 +a(g400 +V); +p1224 +tp1225 +a(g826 +V\u000a +p1226 +tp1227 +a(g449 +Vthis +p1228 +tp1229 +a(g400 +g974 +tp1230 +a(g561 +Vannounce_all +p1231 +tp1232 +a(g400 +g960 +tp1233 +a(g76 +V"The glowing gets less, until you can see the clear shape of the room, the MOOTrain has landed in" +p1234 +tp1235 +a(g400 +V); +p1236 +tp1237 +a(g826 +V\u000a +p1238 +tp1239 +a(g449 +Vthis +p1240 +tp1241 +a(g400 +g964 +tp1242 +a(g826 +Vlocation +p1243 +tp1244 +a(g400 +g974 +tp1245 +a(g561 +Vannounce_all +p1246 +tp1247 +a(g400 +g960 +tp1248 +a(g76 +V"The MOOTrain arrives out of the 42th dimension!" +p1249 +tp1250 +a(g400 +V); +p1251 +tp1252 +a(g826 +V\u000a +p1253 +tp1254 +a(g449 +Vthis +p1255 +tp1256 +a(g400 +g974 +tp1257 +a(g561 +Vannounce_all +p1258 +tp1259 +a(g400 +g960 +tp1260 +a(g76 +V"[Train] arrived in '" +p1261 +tp1262 +a(g400 +g1069 +tp1263 +a(g826 +g958 +tp1264 +a(g826 +Vdest +p1265 +tp1266 +a(g400 +g974 +tp1267 +a(g561 +Vtitle +p1268 +tp1269 +a(g400 +g960 +tp1270 +a(g400 +V), +p1271 +tp1272 +a(g826 +g958 +tp1273 +a(g76 +V"'" +p1274 +tp1275 +a(g400 +V); +p1276 +tp1277 +a(g826 +V\u000a +p1278 +tp1279 +a(g449 +Vthis +p1280 +tp1281 +a(g400 +g964 +tp1282 +a(g826 +Vrunning +p1283 +tp1284 +a(g826 +g958 +tp1285 +a(g400 +g1001 +tp1286 +a(g826 +g958 +tp1287 +a(g22 +g1017 +tp1288 +a(g400 +g987 +tp1289 +a(g826 +V\u000a +p1290 +tp1291 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.moon b/tests/examplefiles/output/example.moon new file mode 100644 index 0000000..1aaf693 --- /dev/null +++ b/tests/examplefiles/output/example.moon @@ -0,0 +1,21599 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV-- transform.moon +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V-- Leaf Corcoran (leafot@gmail.com) 2011 +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V-- +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V-- This is part of the MoonScript compiler. See +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V-- MoonScript is licensed under the MIT License +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V-- +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g435 +Vmodule +p982 +tp983 +a(g826 +V +p984 +tp985 +a(g157 +V" +p986 +tp987 +a(g89 +Vm +p988 +tp989 +a(g89 +Vo +p990 +tp991 +a(g89 +g990 +tp992 +a(g89 +Vn +p993 +tp994 +a(g89 +Vs +p995 +tp996 +a(g89 +Vc +p997 +tp998 +a(g89 +Vr +p999 +tp1000 +a(g89 +Vi +p1001 +tp1002 +a(g89 +Vp +p1003 +tp1004 +a(g89 +Vt +p1005 +tp1006 +a(g89 +V. +p1007 +tp1008 +a(g89 +g1005 +tp1009 +a(g89 +g999 +tp1010 +a(g89 +Va +p1011 +tp1012 +a(g89 +g993 +tp1013 +a(g89 +g995 +tp1014 +a(g89 +Vf +p1015 +tp1016 +a(g89 +g990 +tp1017 +a(g89 +g999 +tp1018 +a(g89 +g988 +tp1019 +a(g157 +g986 +tp1020 +a(g705 +V, +p1021 +tp1022 +a(g826 +g984 +tp1023 +a(g435 +Vpackage +p1024 +tp1025 +a(g412 +V. +p1026 +tp1027 +a(g435 +Vseeall +p1028 +tp1029 +a(g826 +V\u000a +p1030 +tp1031 +a(g826 +V\u000a +p1032 +tp1033 +a(g435 +Vtypes +p1034 +tp1035 +a(g826 +g984 +tp1036 +a(g412 +V= +p1037 +tp1038 +a(g826 +g984 +tp1039 +a(g681 +Vrequire +p1040 +tp1041 +a(g826 +g984 +tp1042 +a(g157 +g986 +tp1043 +a(g89 +g988 +tp1044 +a(g89 +g990 +tp1045 +a(g89 +g990 +tp1046 +a(g89 +g993 +tp1047 +a(g89 +g995 +tp1048 +a(g89 +g997 +tp1049 +a(g89 +g999 +tp1050 +a(g89 +g1001 +tp1051 +a(g89 +g1003 +tp1052 +a(g89 +g1005 +tp1053 +a(g89 +g1007 +tp1054 +a(g89 +g1005 +tp1055 +a(g89 +Vy +p1056 +tp1057 +a(g89 +g1003 +tp1058 +a(g89 +Ve +p1059 +tp1060 +a(g89 +g995 +tp1061 +a(g157 +g986 +tp1062 +a(g826 +V\u000a +p1063 +tp1064 +a(g435 +Vutil +p1065 +tp1066 +a(g826 +g984 +tp1067 +a(g412 +g1037 +tp1068 +a(g826 +g984 +tp1069 +a(g681 +Vrequire +p1070 +tp1071 +a(g826 +g984 +tp1072 +a(g157 +g986 +tp1073 +a(g89 +g988 +tp1074 +a(g89 +g990 +tp1075 +a(g89 +g990 +tp1076 +a(g89 +g993 +tp1077 +a(g89 +g995 +tp1078 +a(g89 +g997 +tp1079 +a(g89 +g999 +tp1080 +a(g89 +g1001 +tp1081 +a(g89 +g1003 +tp1082 +a(g89 +g1005 +tp1083 +a(g89 +g1007 +tp1084 +a(g89 +Vu +p1085 +tp1086 +a(g89 +g1005 +tp1087 +a(g89 +g1001 +tp1088 +a(g89 +Vl +p1089 +tp1090 +a(g157 +g986 +tp1091 +a(g826 +V\u000a +p1092 +tp1093 +a(g435 +Vdata +p1094 +tp1095 +a(g826 +g984 +tp1096 +a(g412 +g1037 +tp1097 +a(g826 +g984 +tp1098 +a(g681 +Vrequire +p1099 +tp1100 +a(g826 +g984 +tp1101 +a(g157 +g986 +tp1102 +a(g89 +g988 +tp1103 +a(g89 +g990 +tp1104 +a(g89 +g990 +tp1105 +a(g89 +g993 +tp1106 +a(g89 +g995 +tp1107 +a(g89 +g997 +tp1108 +a(g89 +g999 +tp1109 +a(g89 +g1001 +tp1110 +a(g89 +g1003 +tp1111 +a(g89 +g1005 +tp1112 +a(g89 +g1007 +tp1113 +a(g89 +Vd +p1114 +tp1115 +a(g89 +g1011 +tp1116 +a(g89 +g1005 +tp1117 +a(g89 +g1011 +tp1118 +a(g157 +g986 +tp1119 +a(g826 +V\u000a +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g744 +Vimport +p1124 +tp1125 +a(g826 +g984 +tp1126 +a(g435 +Vreversed +p1127 +tp1128 +a(g826 +g984 +tp1129 +a(g744 +Vfrom +p1130 +tp1131 +a(g826 +g984 +tp1132 +a(g435 +Vutil +p1133 +tp1134 +a(g826 +V\u000a +p1135 +tp1136 +a(g744 +Vimport +p1137 +tp1138 +a(g826 +g984 +tp1139 +a(g435 +Vntype +p1140 +tp1141 +a(g705 +g1021 +tp1142 +a(g826 +g984 +tp1143 +a(g435 +Vbuild +p1144 +tp1145 +a(g705 +g1021 +tp1146 +a(g826 +g984 +tp1147 +a(g435 +Vsmart_node +p1148 +tp1149 +a(g705 +g1021 +tp1150 +a(g826 +g984 +tp1151 +a(g435 +Vis_slice +p1152 +tp1153 +a(g826 +g984 +tp1154 +a(g744 +Vfrom +p1155 +tp1156 +a(g826 +g984 +tp1157 +a(g435 +Vtypes +p1158 +tp1159 +a(g826 +V\u000a +p1160 +tp1161 +a(g744 +Vimport +p1162 +tp1163 +a(g826 +g984 +tp1164 +a(g435 +Vinsert +p1165 +tp1166 +a(g826 +g984 +tp1167 +a(g744 +Vfrom +p1168 +tp1169 +a(g826 +g984 +tp1170 +a(g435 +Vtable +p1171 +tp1172 +a(g826 +V\u000a +p1173 +tp1174 +a(g826 +V\u000a +p1175 +tp1176 +a(g744 +Vexport +p1177 +tp1178 +a(g826 +g984 +tp1179 +a(g628 +VStatement +p1180 +tp1181 +a(g705 +g1021 +tp1182 +a(g826 +g984 +tp1183 +a(g628 +VValue +p1184 +tp1185 +a(g705 +g1021 +tp1186 +a(g826 +g984 +tp1187 +a(g628 +VNameProxy +p1188 +tp1189 +a(g705 +g1021 +tp1190 +a(g826 +g984 +tp1191 +a(g628 +VLocalName +p1192 +tp1193 +a(g705 +g1021 +tp1194 +a(g826 +g984 +tp1195 +a(g628 +VRun +p1196 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g8 +V-- always declares as local +p1202 +tp1203 +a(g826 +V\u000a +p1204 +tp1205 +a(g744 +Vclass +p1206 +tp1207 +a(g826 +g984 +tp1208 +a(g628 +VLocalName +p1209 +tp1210 +a(g826 +V\u000a +p1211 +tp1212 +a(g826 +V +p1213 +tp1214 +a(g440 +Vnew: +p1215 +tp1216 +a(g826 +g984 +tp1217 +a(g748 +V( +p1218 +tp1219 +a(g445 +V@name +p1220 +tp1221 +a(g748 +V) +p1222 +tp1223 +a(g826 +g984 +tp1224 +a(g573 +V=> +p1225 +tp1226 +a(g826 +g984 +tp1227 +a(g693 +Vself +p1228 +tp1229 +a(g748 +V[ +p1230 +tp1231 +a(g37 +V1 +p1232 +tp1233 +a(g748 +V] +p1234 +tp1235 +a(g826 +g984 +tp1236 +a(g412 +g1037 +tp1237 +a(g826 +g984 +tp1238 +a(g157 +g986 +tp1239 +a(g89 +g1005 +tp1240 +a(g89 +g1059 +tp1241 +a(g89 +g988 +tp1242 +a(g89 +g1003 +tp1243 +a(g89 +V_ +p1244 +tp1245 +a(g89 +g993 +tp1246 +a(g89 +g1011 +tp1247 +a(g89 +g988 +tp1248 +a(g89 +g1059 +tp1249 +a(g157 +g986 +tp1250 +a(g826 +V\u000a +p1251 +tp1252 +a(g826 +V +p1253 +tp1254 +a(g440 +Vget_name: +p1255 +tp1256 +a(g826 +g984 +tp1257 +a(g573 +V=> +p1258 +tp1259 +a(g826 +g984 +tp1260 +a(g445 +V@name +p1261 +tp1262 +a(g826 +V\u000a +p1263 +tp1264 +a(g826 +V\u000a +p1265 +tp1266 +a(g744 +Vclass +p1267 +tp1268 +a(g826 +g984 +tp1269 +a(g628 +VNameProxy +p1270 +tp1271 +a(g826 +V\u000a +p1272 +tp1273 +a(g826 +V +p1274 +tp1275 +a(g440 +Vnew: +p1276 +tp1277 +a(g826 +g984 +tp1278 +a(g748 +g1218 +tp1279 +a(g445 +V@prefix +p1280 +tp1281 +a(g748 +g1222 +tp1282 +a(g826 +g984 +tp1283 +a(g573 +V=> +p1284 +tp1285 +a(g826 +V\u000a +p1286 +tp1287 +a(g826 +V +p1288 +tp1289 +a(g693 +Vself +p1290 +tp1291 +a(g748 +g1230 +tp1292 +a(g37 +g1232 +tp1293 +a(g748 +g1234 +tp1294 +a(g826 +g984 +tp1295 +a(g412 +g1037 +tp1296 +a(g826 +g984 +tp1297 +a(g157 +g986 +tp1298 +a(g89 +g1005 +tp1299 +a(g89 +g1059 +tp1300 +a(g89 +g988 +tp1301 +a(g89 +g1003 +tp1302 +a(g89 +g1244 +tp1303 +a(g89 +g993 +tp1304 +a(g89 +g1011 +tp1305 +a(g89 +g988 +tp1306 +a(g89 +g1059 +tp1307 +a(g157 +g986 +tp1308 +a(g826 +V\u000a +p1309 +tp1310 +a(g826 +V\u000a +p1311 +tp1312 +a(g826 +V +p1313 +tp1314 +a(g440 +Vget_name: +p1315 +tp1316 +a(g826 +g984 +tp1317 +a(g748 +g1218 +tp1318 +a(g435 +Vscope +p1319 +tp1320 +a(g748 +g1222 +tp1321 +a(g826 +g984 +tp1322 +a(g573 +V=> +p1323 +tp1324 +a(g826 +V\u000a +p1325 +tp1326 +a(g826 +V +p1327 +tp1328 +a(g744 +Vif +p1329 +tp1330 +a(g826 +g984 +tp1331 +a(g744 +Vnot +p1332 +tp1333 +a(g826 +g984 +tp1334 +a(g445 +V@name +p1335 +tp1336 +a(g826 +V\u000a +p1337 +tp1338 +a(g826 +V +p1339 +tp1340 +a(g445 +V@name +p1341 +tp1342 +a(g826 +g984 +tp1343 +a(g412 +g1037 +tp1344 +a(g826 +g984 +tp1345 +a(g435 +Vscope +p1346 +tp1347 +a(g412 +V\u005c +p1348 +tp1349 +a(g435 +Vfree_name +p1350 +tp1351 +a(g826 +g984 +tp1352 +a(g445 +V@prefix +p1353 +tp1354 +a(g705 +g1021 +tp1355 +a(g826 +g984 +tp1356 +a(g763 +Vtrue +p1357 +tp1358 +a(g826 +V\u000a +p1359 +tp1360 +a(g826 +V +p1361 +tp1362 +a(g445 +V@name +p1363 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g826 +V\u000a +p1367 +tp1368 +a(g826 +V +p1369 +tp1370 +a(g440 +Vchain: +p1371 +tp1372 +a(g826 +g984 +tp1373 +a(g748 +g1218 +tp1374 +a(g412 +V... +p1375 +tp1376 +a(g748 +g1222 +tp1377 +a(g826 +g984 +tp1378 +a(g573 +V=> +p1379 +tp1380 +a(g826 +V\u000a +p1381 +tp1382 +a(g826 +V +p1383 +tp1384 +a(g435 +Vitems +p1385 +tp1386 +a(g826 +g984 +tp1387 +a(g412 +g1037 +tp1388 +a(g826 +g984 +tp1389 +a(g748 +V{ +p1390 +tp1391 +a(g412 +V... +p1392 +tp1393 +a(g748 +V} +p1394 +tp1395 +a(g826 +g984 +tp1396 +a(g8 +V-- todo: fix ... propagation +p1397 +tp1398 +a(g826 +V\u000a +p1399 +tp1400 +a(g826 +V +p1401 +tp1402 +a(g435 +Vitems +p1403 +tp1404 +a(g826 +g984 +tp1405 +a(g412 +g1037 +tp1406 +a(g826 +g984 +tp1407 +a(g744 +Vfor +p1408 +tp1409 +a(g826 +g984 +tp1410 +a(g435 +g1001 +tp1411 +a(g826 +g984 +tp1412 +a(g744 +Vin +p1413 +tp1414 +a(g826 +g984 +tp1415 +a(g412 +V* +p1416 +tp1417 +a(g435 +Vitems +p1418 +tp1419 +a(g826 +V\u000a +p1420 +tp1421 +a(g826 +V +p1422 +tp1423 +a(g744 +Vif +p1424 +tp1425 +a(g826 +g984 +tp1426 +a(g681 +Vtype +p1427 +tp1428 +a(g748 +g1218 +tp1429 +a(g435 +g1001 +tp1430 +a(g748 +g1222 +tp1431 +a(g826 +g984 +tp1432 +a(g412 +V== +p1433 +tp1434 +a(g826 +g984 +tp1435 +a(g157 +g986 +tp1436 +a(g89 +g995 +tp1437 +a(g89 +g1005 +tp1438 +a(g89 +g999 +tp1439 +a(g89 +g1001 +tp1440 +a(g89 +g993 +tp1441 +a(g89 +Vg +p1442 +tp1443 +a(g157 +g986 +tp1444 +a(g826 +V\u000a +p1445 +tp1446 +a(g826 +V +p1447 +tp1448 +a(g748 +g1390 +tp1449 +a(g157 +g986 +tp1450 +a(g89 +g1114 +tp1451 +a(g89 +g990 +tp1452 +a(g89 +g1005 +tp1453 +a(g157 +g986 +tp1454 +a(g705 +g1021 +tp1455 +a(g826 +g984 +tp1456 +a(g435 +g1001 +tp1457 +a(g748 +g1394 +tp1458 +a(g826 +V\u000a +p1459 +tp1460 +a(g826 +V +p1461 +tp1462 +a(g744 +Velse +p1463 +tp1464 +a(g826 +V\u000a +p1465 +tp1466 +a(g826 +V +p1467 +tp1468 +a(g435 +g1001 +tp1469 +a(g826 +V\u000a +p1470 +tp1471 +a(g826 +V\u000a +p1472 +tp1473 +a(g826 +V +p1474 +tp1475 +a(g435 +Vbuild +p1476 +tp1477 +a(g412 +g1026 +tp1478 +a(g435 +Vchain +p1479 +tp1480 +a(g826 +g984 +tp1481 +a(g748 +g1390 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g826 +V +p1485 +tp1486 +a(g440 +Vbase: +p1487 +tp1488 +a(g826 +g984 +tp1489 +a(g693 +Vself +p1490 +tp1491 +a(g826 +V\u000a +p1492 +tp1493 +a(g826 +V +p1494 +tp1495 +a(g435 +Vunpack +p1496 +tp1497 +a(g826 +g984 +tp1498 +a(g435 +Vitems +p1499 +tp1500 +a(g826 +V\u000a +p1501 +tp1502 +a(g826 +V +p1503 +tp1504 +a(g748 +g1394 +tp1505 +a(g826 +V\u000a +p1506 +tp1507 +a(g826 +V\u000a +p1508 +tp1509 +a(g826 +V +p1510 +tp1511 +a(g440 +Vindex: +p1512 +tp1513 +a(g826 +g984 +tp1514 +a(g748 +g1218 +tp1515 +a(g435 +Vkey +p1516 +tp1517 +a(g748 +g1222 +tp1518 +a(g826 +g984 +tp1519 +a(g573 +V=> +p1520 +tp1521 +a(g826 +V\u000a +p1522 +tp1523 +a(g826 +V +p1524 +tp1525 +a(g435 +Vbuild +p1526 +tp1527 +a(g412 +g1026 +tp1528 +a(g435 +Vchain +p1529 +tp1530 +a(g826 +g984 +tp1531 +a(g748 +g1390 +tp1532 +a(g826 +V\u000a +p1533 +tp1534 +a(g826 +V +p1535 +tp1536 +a(g440 +Vbase: +p1537 +tp1538 +a(g826 +g984 +tp1539 +a(g693 +Vself +p1540 +tp1541 +a(g705 +g1021 +tp1542 +a(g826 +g984 +tp1543 +a(g748 +g1390 +tp1544 +a(g157 +g986 +tp1545 +a(g89 +g1001 +tp1546 +a(g89 +g993 +tp1547 +a(g89 +g1114 +tp1548 +a(g89 +g1059 +tp1549 +a(g89 +Vx +p1550 +tp1551 +a(g157 +g986 +tp1552 +a(g705 +g1021 +tp1553 +a(g826 +g984 +tp1554 +a(g435 +Vkey +p1555 +tp1556 +a(g748 +g1394 +tp1557 +a(g826 +V\u000a +p1558 +tp1559 +a(g826 +V +p1560 +tp1561 +a(g748 +g1394 +tp1562 +a(g826 +V\u000a +p1563 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +V +p1567 +tp1568 +a(g440 +V__tostring: +p1569 +tp1570 +a(g826 +g984 +tp1571 +a(g573 +V=> +p1572 +tp1573 +a(g826 +V\u000a +p1574 +tp1575 +a(g826 +V +p1576 +tp1577 +a(g744 +Vif +p1578 +tp1579 +a(g826 +g984 +tp1580 +a(g445 +V@name +p1581 +tp1582 +a(g826 +V\u000a +p1583 +tp1584 +a(g826 +V +p1585 +tp1586 +a(g748 +g1218 +tp1587 +a(g157 +g986 +tp1588 +a(g89 +g993 +tp1589 +a(g89 +g1011 +tp1590 +a(g89 +g988 +tp1591 +a(g89 +g1059 +tp1592 +a(g89 +V< +p1593 +tp1594 +a(g89 +V% +p1595 +tp1596 +a(g89 +g995 +tp1597 +a(g89 +V> +p1598 +tp1599 +a(g157 +g986 +tp1600 +a(g748 +g1222 +tp1601 +a(g412 +V\u005c +p1602 +tp1603 +a(g435 +Vformat +p1604 +tp1605 +a(g826 +g984 +tp1606 +a(g445 +V@name +p1607 +tp1608 +a(g826 +V\u000a +p1609 +tp1610 +a(g826 +V +p1611 +tp1612 +a(g744 +Velse +p1613 +tp1614 +a(g826 +V\u000a +p1615 +tp1616 +a(g826 +V +p1617 +tp1618 +a(g748 +g1218 +tp1619 +a(g157 +g986 +tp1620 +a(g89 +g993 +tp1621 +a(g89 +g1011 +tp1622 +a(g89 +g988 +tp1623 +a(g89 +g1059 +tp1624 +a(g89 +g1593 +tp1625 +a(g89 +g1003 +tp1626 +a(g89 +g999 +tp1627 +a(g89 +g1059 +tp1628 +a(g89 +g1015 +tp1629 +a(g89 +g1001 +tp1630 +a(g89 +g1550 +tp1631 +a(g89 +g1218 +tp1632 +a(g89 +g1595 +tp1633 +a(g89 +g995 +tp1634 +a(g89 +g1222 +tp1635 +a(g89 +g1598 +tp1636 +a(g157 +g986 +tp1637 +a(g748 +g1222 +tp1638 +a(g412 +V\u005c +p1639 +tp1640 +a(g435 +Vformat +p1641 +tp1642 +a(g826 +g984 +tp1643 +a(g445 +V@prefix +p1644 +tp1645 +a(g826 +V\u000a +p1646 +tp1647 +a(g826 +V\u000a +p1648 +tp1649 +a(g744 +Vclass +p1650 +tp1651 +a(g826 +g984 +tp1652 +a(g628 +VRun +p1653 +tp1654 +a(g826 +V\u000a +p1655 +tp1656 +a(g826 +V +p1657 +tp1658 +a(g440 +Vnew: +p1659 +tp1660 +a(g826 +g984 +tp1661 +a(g748 +g1218 +tp1662 +a(g445 +V@fn +p1663 +tp1664 +a(g748 +g1222 +tp1665 +a(g826 +g984 +tp1666 +a(g573 +V=> +p1667 +tp1668 +a(g826 +V\u000a +p1669 +tp1670 +a(g826 +V +p1671 +tp1672 +a(g693 +Vself +p1673 +tp1674 +a(g748 +g1230 +tp1675 +a(g37 +g1232 +tp1676 +a(g748 +g1234 +tp1677 +a(g826 +g984 +tp1678 +a(g412 +g1037 +tp1679 +a(g826 +g984 +tp1680 +a(g157 +g986 +tp1681 +a(g89 +g999 +tp1682 +a(g89 +g1085 +tp1683 +a(g89 +g993 +tp1684 +a(g157 +g986 +tp1685 +a(g826 +V\u000a +p1686 +tp1687 +a(g826 +V\u000a +p1688 +tp1689 +a(g826 +V +p1690 +tp1691 +a(g440 +Vcall: +p1692 +tp1693 +a(g826 +g984 +tp1694 +a(g748 +g1218 +tp1695 +a(g435 +Vstate +p1696 +tp1697 +a(g748 +g1222 +tp1698 +a(g826 +g984 +tp1699 +a(g573 +V=> +p1700 +tp1701 +a(g826 +V\u000a +p1702 +tp1703 +a(g826 +V +p1704 +tp1705 +a(g693 +Vself +p1706 +tp1707 +a(g412 +g1007 +tp1708 +a(g435 +Vfn +p1709 +tp1710 +a(g826 +g984 +tp1711 +a(g435 +Vstate +p1712 +tp1713 +a(g826 +V\u000a +p1714 +tp1715 +a(g826 +V\u000a +p1716 +tp1717 +a(g8 +V-- transform the last stm is a list of stms +p1718 +tp1719 +a(g826 +V\u000a +p1720 +tp1721 +a(g8 +V-- will puke on group +p1722 +tp1723 +a(g826 +V\u000a +p1724 +tp1725 +a(g435 +Vapply_to_last +p1726 +tp1727 +a(g826 +g984 +tp1728 +a(g412 +g1037 +tp1729 +a(g826 +g984 +tp1730 +a(g748 +g1218 +tp1731 +a(g435 +Vstms +p1732 +tp1733 +a(g705 +g1021 +tp1734 +a(g826 +g984 +tp1735 +a(g435 +Vfn +p1736 +tp1737 +a(g748 +g1222 +tp1738 +a(g826 +g984 +tp1739 +a(g573 +V-> +p1740 +tp1741 +a(g826 +V\u000a +p1742 +tp1743 +a(g826 +V +p1744 +tp1745 +a(g8 +V-- find last (real) exp +p1746 +tp1747 +a(g826 +V\u000a +p1748 +tp1749 +a(g826 +V +p1750 +tp1751 +a(g435 +Vlast_exp_id +p1752 +tp1753 +a(g826 +g984 +tp1754 +a(g412 +g1037 +tp1755 +a(g826 +g984 +tp1756 +a(g37 +V0 +p1757 +tp1758 +a(g826 +V\u000a +p1759 +tp1760 +a(g826 +V +p1761 +tp1762 +a(g744 +Vfor +p1763 +tp1764 +a(g826 +g984 +tp1765 +a(g435 +g1001 +tp1766 +a(g826 +g984 +tp1767 +a(g412 +g1037 +tp1768 +a(g826 +g984 +tp1769 +a(g412 +V# +p1770 +tp1771 +a(g435 +Vstms +p1772 +tp1773 +a(g705 +g1021 +tp1774 +a(g826 +g984 +tp1775 +a(g37 +g1232 +tp1776 +a(g705 +g1021 +tp1777 +a(g826 +g984 +tp1778 +a(g412 +V- +p1779 +tp1780 +a(g37 +g1232 +tp1781 +a(g826 +V\u000a +p1782 +tp1783 +a(g826 +V +p1784 +tp1785 +a(g435 +Vstm +p1786 +tp1787 +a(g826 +g984 +tp1788 +a(g412 +g1037 +tp1789 +a(g826 +g984 +tp1790 +a(g435 +Vstms +p1791 +tp1792 +a(g748 +g1230 +tp1793 +a(g435 +g1001 +tp1794 +a(g748 +g1234 +tp1795 +a(g826 +V\u000a +p1796 +tp1797 +a(g826 +V +p1798 +tp1799 +a(g744 +Vif +p1800 +tp1801 +a(g826 +g984 +tp1802 +a(g435 +Vstm +p1803 +tp1804 +a(g826 +g984 +tp1805 +a(g744 +Vand +p1806 +tp1807 +a(g826 +g984 +tp1808 +a(g435 +Vutil +p1809 +tp1810 +a(g412 +g1026 +tp1811 +a(g435 +Vmoon +p1812 +tp1813 +a(g412 +g1007 +tp1814 +a(g681 +Vtype +p1815 +tp1816 +a(g748 +g1218 +tp1817 +a(g435 +Vstm +p1818 +tp1819 +a(g748 +g1222 +tp1820 +a(g826 +g984 +tp1821 +a(g412 +V!= +p1822 +tp1823 +a(g826 +g984 +tp1824 +a(g628 +VRun +p1825 +tp1826 +a(g826 +V\u000a +p1827 +tp1828 +a(g826 +V +p1829 +tp1830 +a(g435 +Vlast_exp_id +p1831 +tp1832 +a(g826 +g984 +tp1833 +a(g412 +g1037 +tp1834 +a(g826 +g984 +tp1835 +a(g435 +g1001 +tp1836 +a(g826 +V\u000a +p1837 +tp1838 +a(g826 +V +p1839 +tp1840 +a(g744 +Vbreak +p1841 +tp1842 +a(g826 +V\u000a +p1843 +tp1844 +a(g826 +V\u000a +p1845 +tp1846 +a(g826 +V +p1847 +tp1848 +a(g744 +Vreturn +p1849 +tp1850 +a(g826 +g984 +tp1851 +a(g744 +Vfor +p1852 +tp1853 +a(g826 +g984 +tp1854 +a(g435 +g1001 +tp1855 +a(g705 +g1021 +tp1856 +a(g826 +g984 +tp1857 +a(g435 +Vstm +p1858 +tp1859 +a(g826 +g984 +tp1860 +a(g744 +Vin +p1861 +tp1862 +a(g826 +g984 +tp1863 +a(g681 +Vipairs +p1864 +tp1865 +a(g826 +g984 +tp1866 +a(g435 +Vstms +p1867 +tp1868 +a(g826 +V\u000a +p1869 +tp1870 +a(g826 +V +p1871 +tp1872 +a(g744 +Vif +p1873 +tp1874 +a(g826 +g984 +tp1875 +a(g435 +g1001 +tp1876 +a(g826 +g984 +tp1877 +a(g412 +V== +p1878 +tp1879 +a(g826 +g984 +tp1880 +a(g435 +Vlast_exp_id +p1881 +tp1882 +a(g826 +V\u000a +p1883 +tp1884 +a(g826 +V +p1885 +tp1886 +a(g435 +Vfn +p1887 +tp1888 +a(g826 +g984 +tp1889 +a(g435 +Vstm +p1890 +tp1891 +a(g826 +V\u000a +p1892 +tp1893 +a(g826 +V +p1894 +tp1895 +a(g744 +Velse +p1896 +tp1897 +a(g826 +V\u000a +p1898 +tp1899 +a(g826 +V +p1900 +tp1901 +a(g435 +Vstm +p1902 +tp1903 +a(g826 +V\u000a +p1904 +tp1905 +a(g826 +V\u000a +p1906 +tp1907 +a(g8 +V-- is a body a sindle expression/statement +p1908 +tp1909 +a(g826 +V\u000a +p1910 +tp1911 +a(g435 +Vis_singular +p1912 +tp1913 +a(g826 +g984 +tp1914 +a(g412 +g1037 +tp1915 +a(g826 +g984 +tp1916 +a(g748 +g1218 +tp1917 +a(g435 +Vbody +p1918 +tp1919 +a(g748 +g1222 +tp1920 +a(g826 +g984 +tp1921 +a(g573 +V-> +p1922 +tp1923 +a(g826 +V\u000a +p1924 +tp1925 +a(g826 +V +p1926 +tp1927 +a(g744 +Vreturn +p1928 +tp1929 +a(g826 +g984 +tp1930 +a(g763 +Vfalse +p1931 +tp1932 +a(g826 +g984 +tp1933 +a(g744 +Vif +p1934 +tp1935 +a(g826 +g984 +tp1936 +a(g412 +g1770 +tp1937 +a(g435 +Vbody +p1938 +tp1939 +a(g826 +g984 +tp1940 +a(g412 +V!= +p1941 +tp1942 +a(g826 +g984 +tp1943 +a(g37 +g1232 +tp1944 +a(g826 +V\u000a +p1945 +tp1946 +a(g826 +V +p1947 +tp1948 +a(g744 +Vif +p1949 +tp1950 +a(g826 +g984 +tp1951 +a(g157 +g986 +tp1952 +a(g89 +g1442 +tp1953 +a(g89 +g999 +tp1954 +a(g89 +g990 +tp1955 +a(g89 +g1085 +tp1956 +a(g89 +g1003 +tp1957 +a(g157 +g986 +tp1958 +a(g826 +g984 +tp1959 +a(g412 +V== +p1960 +tp1961 +a(g826 +g984 +tp1962 +a(g435 +Vntype +p1963 +tp1964 +a(g826 +g984 +tp1965 +a(g435 +Vbody +p1966 +tp1967 +a(g826 +V\u000a +p1968 +tp1969 +a(g826 +V +p1970 +tp1971 +a(g435 +Vis_singular +p1972 +tp1973 +a(g826 +g984 +tp1974 +a(g435 +Vbody +p1975 +tp1976 +a(g748 +g1230 +tp1977 +a(g37 +V2 +p1978 +tp1979 +a(g748 +g1234 +tp1980 +a(g826 +V\u000a +p1981 +tp1982 +a(g826 +V +p1983 +tp1984 +a(g744 +Velse +p1985 +tp1986 +a(g826 +V\u000a +p1987 +tp1988 +a(g826 +V +p1989 +tp1990 +a(g763 +Vtrue +p1991 +tp1992 +a(g826 +V\u000a +p1993 +tp1994 +a(g826 +V\u000a +p1995 +tp1996 +a(g435 +Vconstructor_name +p1997 +tp1998 +a(g826 +g984 +tp1999 +a(g412 +g1037 +tp2000 +a(g826 +g984 +tp2001 +a(g157 +g986 +tp2002 +a(g89 +g993 +tp2003 +a(g89 +g1059 +tp2004 +a(g89 +Vw +p2005 +tp2006 +a(g157 +g986 +tp2007 +a(g826 +V\u000a +p2008 +tp2009 +a(g826 +V\u000a +p2010 +tp2011 +a(g744 +Vclass +p2012 +tp2013 +a(g826 +g984 +tp2014 +a(g628 +VTransformer +p2015 +tp2016 +a(g826 +V\u000a +p2017 +tp2018 +a(g826 +V +p2019 +tp2020 +a(g440 +Vnew: +p2021 +tp2022 +a(g826 +g984 +tp2023 +a(g748 +g1218 +tp2024 +a(g445 +V@transformers +p2025 +tp2026 +a(g705 +g1021 +tp2027 +a(g826 +g984 +tp2028 +a(g445 +V@scope +p2029 +tp2030 +a(g748 +g1222 +tp2031 +a(g826 +g984 +tp2032 +a(g573 +V=> +p2033 +tp2034 +a(g826 +V\u000a +p2035 +tp2036 +a(g826 +V +p2037 +tp2038 +a(g445 +V@seen_nodes +p2039 +tp2040 +a(g826 +g984 +tp2041 +a(g412 +g1037 +tp2042 +a(g826 +g984 +tp2043 +a(g748 +g1390 +tp2044 +a(g748 +g1394 +tp2045 +a(g826 +V\u000a +p2046 +tp2047 +a(g826 +V\u000a +p2048 +tp2049 +a(g826 +V +p2050 +tp2051 +a(g440 +Vtransform: +p2052 +tp2053 +a(g826 +g984 +tp2054 +a(g748 +g1218 +tp2055 +a(g435 +Vscope +p2056 +tp2057 +a(g705 +g1021 +tp2058 +a(g826 +g984 +tp2059 +a(g435 +Vnode +p2060 +tp2061 +a(g705 +g1021 +tp2062 +a(g826 +g984 +tp2063 +a(g412 +V... +p2064 +tp2065 +a(g748 +g1222 +tp2066 +a(g826 +g984 +tp2067 +a(g573 +V=> +p2068 +tp2069 +a(g826 +V\u000a +p2070 +tp2071 +a(g826 +V +p2072 +tp2073 +a(g8 +V-- print scope, node, ... +p2074 +tp2075 +a(g826 +V\u000a +p2076 +tp2077 +a(g826 +V +p2078 +tp2079 +a(g744 +Vreturn +p2080 +tp2081 +a(g826 +g984 +tp2082 +a(g435 +Vnode +p2083 +tp2084 +a(g826 +g984 +tp2085 +a(g744 +Vif +p2086 +tp2087 +a(g826 +g984 +tp2088 +a(g445 +V@seen_nodes +p2089 +tp2090 +a(g748 +g1230 +tp2091 +a(g435 +Vnode +p2092 +tp2093 +a(g748 +g1234 +tp2094 +a(g826 +V\u000a +p2095 +tp2096 +a(g826 +V +p2097 +tp2098 +a(g445 +V@seen_nodes +p2099 +tp2100 +a(g748 +g1230 +tp2101 +a(g435 +Vnode +p2102 +tp2103 +a(g748 +g1234 +tp2104 +a(g826 +g984 +tp2105 +a(g412 +g1037 +tp2106 +a(g826 +g984 +tp2107 +a(g763 +Vtrue +p2108 +tp2109 +a(g826 +V\u000a +p2110 +tp2111 +a(g826 +V +p2112 +tp2113 +a(g744 +Vwhile +p2114 +tp2115 +a(g826 +g984 +tp2116 +a(g763 +Vtrue +p2117 +tp2118 +a(g826 +V\u000a +p2119 +tp2120 +a(g826 +V +p2121 +tp2122 +a(g435 +Vtransformer +p2123 +tp2124 +a(g826 +g984 +tp2125 +a(g412 +g1037 +tp2126 +a(g826 +g984 +tp2127 +a(g445 +V@transformers +p2128 +tp2129 +a(g748 +g1230 +tp2130 +a(g435 +Vntype +p2131 +tp2132 +a(g826 +g984 +tp2133 +a(g435 +Vnode +p2134 +tp2135 +a(g748 +g1234 +tp2136 +a(g826 +V\u000a +p2137 +tp2138 +a(g826 +V +p2139 +tp2140 +a(g435 +Vres +p2141 +tp2142 +a(g826 +g984 +tp2143 +a(g412 +g1037 +tp2144 +a(g826 +g984 +tp2145 +a(g744 +Vif +p2146 +tp2147 +a(g826 +g984 +tp2148 +a(g435 +Vtransformer +p2149 +tp2150 +a(g826 +V\u000a +p2151 +tp2152 +a(g826 +V +p2153 +tp2154 +a(g435 +Vtransformer +p2155 +tp2156 +a(g748 +g1218 +tp2157 +a(g435 +Vscope +p2158 +tp2159 +a(g705 +g1021 +tp2160 +a(g826 +g984 +tp2161 +a(g435 +Vnode +p2162 +tp2163 +a(g705 +g1021 +tp2164 +a(g826 +g984 +tp2165 +a(g412 +V... +p2166 +tp2167 +a(g748 +g1222 +tp2168 +a(g826 +g984 +tp2169 +a(g744 +Vor +p2170 +tp2171 +a(g826 +g984 +tp2172 +a(g435 +Vnode +p2173 +tp2174 +a(g826 +V\u000a +p2175 +tp2176 +a(g826 +V +p2177 +tp2178 +a(g744 +Velse +p2179 +tp2180 +a(g826 +V\u000a +p2181 +tp2182 +a(g826 +V +p2183 +tp2184 +a(g435 +Vnode +p2185 +tp2186 +a(g826 +V\u000a +p2187 +tp2188 +a(g826 +V +p2189 +tp2190 +a(g744 +Vreturn +p2191 +tp2192 +a(g826 +g984 +tp2193 +a(g435 +Vnode +p2194 +tp2195 +a(g826 +g984 +tp2196 +a(g744 +Vif +p2197 +tp2198 +a(g826 +g984 +tp2199 +a(g435 +Vres +p2200 +tp2201 +a(g826 +g984 +tp2202 +a(g412 +V== +p2203 +tp2204 +a(g826 +g984 +tp2205 +a(g435 +Vnode +p2206 +tp2207 +a(g826 +V\u000a +p2208 +tp2209 +a(g826 +V +p2210 +tp2211 +a(g435 +Vnode +p2212 +tp2213 +a(g826 +g984 +tp2214 +a(g412 +g1037 +tp2215 +a(g826 +g984 +tp2216 +a(g435 +Vres +p2217 +tp2218 +a(g826 +V\u000a +p2219 +tp2220 +a(g826 +V\u000a +p2221 +tp2222 +a(g826 +V +p2223 +tp2224 +a(g440 +V__call: +p2225 +tp2226 +a(g826 +g984 +tp2227 +a(g748 +g1218 +tp2228 +a(g435 +Vnode +p2229 +tp2230 +a(g705 +g1021 +tp2231 +a(g826 +g984 +tp2232 +a(g412 +V... +p2233 +tp2234 +a(g748 +g1222 +tp2235 +a(g826 +g984 +tp2236 +a(g573 +V=> +p2237 +tp2238 +a(g826 +V\u000a +p2239 +tp2240 +a(g826 +V +p2241 +tp2242 +a(g445 +V@transform +p2243 +tp2244 +a(g826 +g984 +tp2245 +a(g445 +V@scope +p2246 +tp2247 +a(g705 +g1021 +tp2248 +a(g826 +g984 +tp2249 +a(g435 +Vnode +p2250 +tp2251 +a(g705 +g1021 +tp2252 +a(g826 +g984 +tp2253 +a(g412 +V... +p2254 +tp2255 +a(g826 +V\u000a +p2256 +tp2257 +a(g826 +V\u000a +p2258 +tp2259 +a(g826 +V +p2260 +tp2261 +a(g440 +Vinstance: +p2262 +tp2263 +a(g826 +g984 +tp2264 +a(g748 +g1218 +tp2265 +a(g435 +Vscope +p2266 +tp2267 +a(g748 +g1222 +tp2268 +a(g826 +g984 +tp2269 +a(g573 +V=> +p2270 +tp2271 +a(g826 +V\u000a +p2272 +tp2273 +a(g826 +V +p2274 +tp2275 +a(g628 +VTransformer +p2276 +tp2277 +a(g826 +g984 +tp2278 +a(g445 +V@transformers +p2279 +tp2280 +a(g705 +g1021 +tp2281 +a(g826 +g984 +tp2282 +a(g435 +Vscope +p2283 +tp2284 +a(g826 +V\u000a +p2285 +tp2286 +a(g826 +V\u000a +p2287 +tp2288 +a(g826 +V +p2289 +tp2290 +a(g440 +Vcan_transform: +p2291 +tp2292 +a(g826 +g984 +tp2293 +a(g748 +g1218 +tp2294 +a(g435 +Vnode +p2295 +tp2296 +a(g748 +g1222 +tp2297 +a(g826 +g984 +tp2298 +a(g573 +V=> +p2299 +tp2300 +a(g826 +V\u000a +p2301 +tp2302 +a(g826 +V +p2303 +tp2304 +a(g445 +V@transformers +p2305 +tp2306 +a(g748 +g1230 +tp2307 +a(g435 +Vntype +p2308 +tp2309 +a(g826 +g984 +tp2310 +a(g435 +Vnode +p2311 +tp2312 +a(g748 +g1234 +tp2313 +a(g826 +g984 +tp2314 +a(g412 +V!= +p2315 +tp2316 +a(g826 +g984 +tp2317 +a(g763 +Vnil +p2318 +tp2319 +a(g826 +V\u000a +p2320 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g435 +Vconstruct_comprehension +p2324 +tp2325 +a(g826 +g984 +tp2326 +a(g412 +g1037 +tp2327 +a(g826 +g984 +tp2328 +a(g748 +g1218 +tp2329 +a(g435 +Vinner +p2330 +tp2331 +a(g705 +g1021 +tp2332 +a(g826 +g984 +tp2333 +a(g435 +Vclauses +p2334 +tp2335 +a(g748 +g1222 +tp2336 +a(g826 +g984 +tp2337 +a(g573 +V-> +p2338 +tp2339 +a(g826 +V\u000a +p2340 +tp2341 +a(g826 +V +p2342 +tp2343 +a(g435 +Vcurrent_stms +p2344 +tp2345 +a(g826 +g984 +tp2346 +a(g412 +g1037 +tp2347 +a(g826 +g984 +tp2348 +a(g435 +Vinner +p2349 +tp2350 +a(g826 +V\u000a +p2351 +tp2352 +a(g826 +V +p2353 +tp2354 +a(g744 +Vfor +p2355 +tp2356 +a(g826 +g984 +tp2357 +a(g435 +g1244 +tp2358 +a(g705 +g1021 +tp2359 +a(g826 +g984 +tp2360 +a(g435 +Vclause +p2361 +tp2362 +a(g826 +g984 +tp2363 +a(g744 +Vin +p2364 +tp2365 +a(g826 +g984 +tp2366 +a(g435 +Vreversed +p2367 +tp2368 +a(g826 +g984 +tp2369 +a(g435 +Vclauses +p2370 +tp2371 +a(g826 +V\u000a +p2372 +tp2373 +a(g826 +V +p2374 +tp2375 +a(g435 +g1005 +tp2376 +a(g826 +g984 +tp2377 +a(g412 +g1037 +tp2378 +a(g826 +g984 +tp2379 +a(g435 +Vclause +p2380 +tp2381 +a(g748 +g1230 +tp2382 +a(g37 +g1232 +tp2383 +a(g748 +g1234 +tp2384 +a(g826 +V\u000a +p2385 +tp2386 +a(g826 +V +p2387 +tp2388 +a(g435 +Vcurrent_stms +p2389 +tp2390 +a(g826 +g984 +tp2391 +a(g412 +g1037 +tp2392 +a(g826 +g984 +tp2393 +a(g744 +Vif +p2394 +tp2395 +a(g826 +g984 +tp2396 +a(g435 +g1005 +tp2397 +a(g826 +g984 +tp2398 +a(g412 +V== +p2399 +tp2400 +a(g826 +g984 +tp2401 +a(g157 +g986 +tp2402 +a(g89 +g1015 +tp2403 +a(g89 +g990 +tp2404 +a(g89 +g999 +tp2405 +a(g157 +g986 +tp2406 +a(g826 +V\u000a +p2407 +tp2408 +a(g826 +V +p2409 +tp2410 +a(g435 +g1244 +tp2411 +a(g705 +g1021 +tp2412 +a(g826 +g984 +tp2413 +a(g435 +Vnames +p2414 +tp2415 +a(g705 +g1021 +tp2416 +a(g826 +g984 +tp2417 +a(g435 +Viter +p2418 +tp2419 +a(g826 +g984 +tp2420 +a(g412 +g1037 +tp2421 +a(g826 +g984 +tp2422 +a(g435 +Vunpack +p2423 +tp2424 +a(g826 +g984 +tp2425 +a(g435 +Vclause +p2426 +tp2427 +a(g826 +V\u000a +p2428 +tp2429 +a(g826 +V +p2430 +tp2431 +a(g748 +g1390 +tp2432 +a(g157 +g986 +tp2433 +a(g89 +g1015 +tp2434 +a(g89 +g990 +tp2435 +a(g89 +g999 +tp2436 +a(g89 +g1059 +tp2437 +a(g89 +g1011 +tp2438 +a(g89 +g997 +tp2439 +a(g89 +Vh +p2440 +tp2441 +a(g157 +g986 +tp2442 +a(g705 +g1021 +tp2443 +a(g826 +g984 +tp2444 +a(g435 +Vnames +p2445 +tp2446 +a(g705 +g1021 +tp2447 +a(g826 +g984 +tp2448 +a(g435 +Viter +p2449 +tp2450 +a(g705 +g1021 +tp2451 +a(g826 +g984 +tp2452 +a(g435 +Vcurrent_stms +p2453 +tp2454 +a(g748 +g1394 +tp2455 +a(g826 +V\u000a +p2456 +tp2457 +a(g826 +V +p2458 +tp2459 +a(g744 +Velseif +p2460 +tp2461 +a(g826 +g984 +tp2462 +a(g435 +g1005 +tp2463 +a(g826 +g984 +tp2464 +a(g412 +V== +p2465 +tp2466 +a(g826 +g984 +tp2467 +a(g157 +g986 +tp2468 +a(g89 +g2005 +tp2469 +a(g89 +g2440 +tp2470 +a(g89 +g1059 +tp2471 +a(g89 +g993 +tp2472 +a(g157 +g986 +tp2473 +a(g826 +V\u000a +p2474 +tp2475 +a(g826 +V +p2476 +tp2477 +a(g435 +g1244 +tp2478 +a(g705 +g1021 +tp2479 +a(g826 +g984 +tp2480 +a(g435 +Vcond +p2481 +tp2482 +a(g826 +g984 +tp2483 +a(g412 +g1037 +tp2484 +a(g826 +g984 +tp2485 +a(g435 +Vunpack +p2486 +tp2487 +a(g826 +g984 +tp2488 +a(g435 +Vclause +p2489 +tp2490 +a(g826 +V\u000a +p2491 +tp2492 +a(g826 +V +p2493 +tp2494 +a(g748 +g1390 +tp2495 +a(g157 +g986 +tp2496 +a(g89 +g1001 +tp2497 +a(g89 +g1015 +tp2498 +a(g157 +g986 +tp2499 +a(g705 +g1021 +tp2500 +a(g826 +g984 +tp2501 +a(g435 +Vcond +p2502 +tp2503 +a(g705 +g1021 +tp2504 +a(g826 +g984 +tp2505 +a(g435 +Vcurrent_stms +p2506 +tp2507 +a(g748 +g1394 +tp2508 +a(g826 +V\u000a +p2509 +tp2510 +a(g826 +V +p2511 +tp2512 +a(g744 +Velse +p2513 +tp2514 +a(g826 +V\u000a +p2515 +tp2516 +a(g826 +V +p2517 +tp2518 +a(g681 +Verror +p2519 +tp2520 +a(g826 +g984 +tp2521 +a(g157 +g986 +tp2522 +a(g89 +VU +p2523 +tp2524 +a(g89 +g993 +tp2525 +a(g89 +Vk +p2526 +tp2527 +a(g89 +g993 +tp2528 +a(g89 +g990 +tp2529 +a(g89 +g2005 +tp2530 +a(g89 +g993 +tp2531 +a(g89 +g984 +tp2532 +a(g89 +g997 +tp2533 +a(g89 +g990 +tp2534 +a(g89 +g988 +tp2535 +a(g89 +g1003 +tp2536 +a(g89 +g999 +tp2537 +a(g89 +g1059 +tp2538 +a(g89 +g2440 +tp2539 +a(g89 +g1059 +tp2540 +a(g89 +g993 +tp2541 +a(g89 +g995 +tp2542 +a(g89 +g1001 +tp2543 +a(g89 +g990 +tp2544 +a(g89 +g993 +tp2545 +a(g89 +g984 +tp2546 +a(g89 +g997 +tp2547 +a(g89 +g1089 +tp2548 +a(g89 +g1011 +tp2549 +a(g89 +g1085 +tp2550 +a(g89 +g995 +tp2551 +a(g89 +g1059 +tp2552 +a(g89 +V: +p2553 +tp2554 +a(g89 +g984 +tp2555 +a(g157 +g986 +tp2556 +a(g412 +V.. +p2557 +tp2558 +a(g435 +g1005 +tp2559 +a(g826 +V\u000a +p2560 +tp2561 +a(g826 +V +p2562 +tp2563 +a(g435 +Vcurrent_stms +p2564 +tp2565 +a(g826 +g984 +tp2566 +a(g412 +g1037 +tp2567 +a(g826 +g984 +tp2568 +a(g748 +g1390 +tp2569 +a(g435 +Vcurrent_stms +p2570 +tp2571 +a(g748 +g1394 +tp2572 +a(g826 +V\u000a +p2573 +tp2574 +a(g826 +V\u000a +p2575 +tp2576 +a(g826 +V +p2577 +tp2578 +a(g435 +Vcurrent_stms +p2579 +tp2580 +a(g748 +g1230 +tp2581 +a(g37 +g1232 +tp2582 +a(g748 +g1234 +tp2583 +a(g826 +V\u000a +p2584 +tp2585 +a(g826 +V\u000a +p2586 +tp2587 +a(g628 +VStatement +p2588 +tp2589 +a(g826 +g984 +tp2590 +a(g412 +g1037 +tp2591 +a(g826 +g984 +tp2592 +a(g628 +VTransformer +p2593 +tp2594 +a(g826 +g984 +tp2595 +a(g748 +g1390 +tp2596 +a(g826 +V\u000a +p2597 +tp2598 +a(g826 +V +p2599 +tp2600 +a(g440 +Vassign: +p2601 +tp2602 +a(g826 +g984 +tp2603 +a(g748 +g1218 +tp2604 +a(g435 +Vnode +p2605 +tp2606 +a(g748 +g1222 +tp2607 +a(g826 +g984 +tp2608 +a(g573 +V=> +p2609 +tp2610 +a(g826 +V\u000a +p2611 +tp2612 +a(g826 +V +p2613 +tp2614 +a(g435 +g1244 +tp2615 +a(g705 +g1021 +tp2616 +a(g826 +g984 +tp2617 +a(g435 +Vnames +p2618 +tp2619 +a(g705 +g1021 +tp2620 +a(g826 +g984 +tp2621 +a(g435 +Vvalues +p2622 +tp2623 +a(g826 +g984 +tp2624 +a(g412 +g1037 +tp2625 +a(g826 +g984 +tp2626 +a(g435 +Vunpack +p2627 +tp2628 +a(g826 +g984 +tp2629 +a(g435 +Vnode +p2630 +tp2631 +a(g826 +V\u000a +p2632 +tp2633 +a(g826 +V +p2634 +tp2635 +a(g8 +V-- bubble cascading assigns +p2636 +tp2637 +a(g826 +V\u000a +p2638 +tp2639 +a(g826 +V +p2640 +tp2641 +a(g744 +Vif +p2642 +tp2643 +a(g826 +g984 +tp2644 +a(g412 +g1770 +tp2645 +a(g435 +Vvalues +p2646 +tp2647 +a(g826 +g984 +tp2648 +a(g412 +V== +p2649 +tp2650 +a(g826 +g984 +tp2651 +a(g37 +g1232 +tp2652 +a(g826 +g984 +tp2653 +a(g744 +Vand +p2654 +tp2655 +a(g826 +g984 +tp2656 +a(g435 +Vtypes +p2657 +tp2658 +a(g412 +g1026 +tp2659 +a(g435 +Vcascading +p2660 +tp2661 +a(g748 +g1230 +tp2662 +a(g435 +Vntype +p2663 +tp2664 +a(g826 +g984 +tp2665 +a(g435 +Vvalues +p2666 +tp2667 +a(g748 +g1230 +tp2668 +a(g37 +g1232 +tp2669 +a(g748 +g1234 +tp2670 +a(g748 +g1234 +tp2671 +a(g826 +V\u000a +p2672 +tp2673 +a(g826 +V +p2674 +tp2675 +a(g435 +Vvalues +p2676 +tp2677 +a(g748 +g1230 +tp2678 +a(g37 +g1232 +tp2679 +a(g748 +g1234 +tp2680 +a(g826 +g984 +tp2681 +a(g412 +g1037 +tp2682 +a(g826 +g984 +tp2683 +a(g445 +V@transform +p2684 +tp2685 +a(g412 +g1007 +tp2686 +a(g435 +Vstatement +p2687 +tp2688 +a(g826 +g984 +tp2689 +a(g435 +Vvalues +p2690 +tp2691 +a(g748 +g1230 +tp2692 +a(g37 +g1232 +tp2693 +a(g748 +g1234 +tp2694 +a(g705 +g1021 +tp2695 +a(g826 +g984 +tp2696 +a(g748 +g1218 +tp2697 +a(g435 +Vstm +p2698 +tp2699 +a(g748 +g1222 +tp2700 +a(g826 +g984 +tp2701 +a(g573 +V-> +p2702 +tp2703 +a(g826 +V\u000a +p2704 +tp2705 +a(g826 +V +p2706 +tp2707 +a(g435 +g1005 +tp2708 +a(g826 +g984 +tp2709 +a(g412 +g1037 +tp2710 +a(g826 +g984 +tp2711 +a(g435 +Vntype +p2712 +tp2713 +a(g826 +g984 +tp2714 +a(g435 +Vstm +p2715 +tp2716 +a(g826 +V\u000a +p2717 +tp2718 +a(g826 +V +p2719 +tp2720 +a(g744 +Vif +p2721 +tp2722 +a(g826 +g984 +tp2723 +a(g435 +Vtypes +p2724 +tp2725 +a(g412 +g1026 +tp2726 +a(g435 +Vis_value +p2727 +tp2728 +a(g826 +g984 +tp2729 +a(g435 +Vstm +p2730 +tp2731 +a(g826 +V\u000a +p2732 +tp2733 +a(g826 +V +p2734 +tp2735 +a(g748 +g1390 +tp2736 +a(g157 +g986 +tp2737 +a(g89 +g1011 +tp2738 +a(g89 +g995 +tp2739 +a(g89 +g995 +tp2740 +a(g89 +g1001 +tp2741 +a(g89 +g1442 +tp2742 +a(g89 +g993 +tp2743 +a(g157 +g986 +tp2744 +a(g705 +g1021 +tp2745 +a(g826 +g984 +tp2746 +a(g435 +Vnames +p2747 +tp2748 +a(g705 +g1021 +tp2749 +a(g826 +g984 +tp2750 +a(g748 +g1390 +tp2751 +a(g435 +Vstm +p2752 +tp2753 +a(g748 +g1394 +tp2754 +a(g748 +g1394 +tp2755 +a(g826 +V\u000a +p2756 +tp2757 +a(g826 +V +p2758 +tp2759 +a(g744 +Velse +p2760 +tp2761 +a(g826 +V\u000a +p2762 +tp2763 +a(g826 +V +p2764 +tp2765 +a(g435 +Vstm +p2766 +tp2767 +a(g826 +V\u000a +p2768 +tp2769 +a(g826 +V\u000a +p2770 +tp2771 +a(g826 +V +p2772 +tp2773 +a(g435 +Vbuild +p2774 +tp2775 +a(g412 +g1026 +tp2776 +a(g435 +Vgroup +p2777 +tp2778 +a(g826 +g984 +tp2779 +a(g748 +g1390 +tp2780 +a(g826 +V\u000a +p2781 +tp2782 +a(g826 +V +p2783 +tp2784 +a(g748 +g1390 +tp2785 +a(g157 +g986 +tp2786 +a(g89 +g1114 +tp2787 +a(g89 +g1059 +tp2788 +a(g89 +g997 +tp2789 +a(g89 +g1089 +tp2790 +a(g89 +g1011 +tp2791 +a(g89 +g999 +tp2792 +a(g89 +g1059 +tp2793 +a(g157 +g986 +tp2794 +a(g705 +g1021 +tp2795 +a(g826 +g984 +tp2796 +a(g435 +Vnames +p2797 +tp2798 +a(g748 +g1394 +tp2799 +a(g826 +V\u000a +p2800 +tp2801 +a(g826 +V +p2802 +tp2803 +a(g435 +Vvalues +p2804 +tp2805 +a(g748 +g1230 +tp2806 +a(g37 +g1232 +tp2807 +a(g748 +g1234 +tp2808 +a(g826 +V\u000a +p2809 +tp2810 +a(g826 +V +p2811 +tp2812 +a(g748 +g1394 +tp2813 +a(g826 +V\u000a +p2814 +tp2815 +a(g826 +V +p2816 +tp2817 +a(g744 +Velse +p2818 +tp2819 +a(g826 +V\u000a +p2820 +tp2821 +a(g826 +V +p2822 +tp2823 +a(g435 +Vnode +p2824 +tp2825 +a(g826 +V\u000a +p2826 +tp2827 +a(g826 +V\u000a +p2828 +tp2829 +a(g826 +V +p2830 +tp2831 +a(g440 +Vexport: +p2832 +tp2833 +a(g826 +g984 +tp2834 +a(g748 +g1218 +tp2835 +a(g435 +Vnode +p2836 +tp2837 +a(g748 +g1222 +tp2838 +a(g826 +g984 +tp2839 +a(g573 +V=> +p2840 +tp2841 +a(g826 +V\u000a +p2842 +tp2843 +a(g826 +V +p2844 +tp2845 +a(g8 +V-- assign values if they are included +p2846 +tp2847 +a(g826 +V\u000a +p2848 +tp2849 +a(g826 +V +p2850 +tp2851 +a(g744 +Vif +p2852 +tp2853 +a(g826 +g984 +tp2854 +a(g412 +g1770 +tp2855 +a(g435 +Vnode +p2856 +tp2857 +a(g826 +g984 +tp2858 +a(g412 +g1598 +tp2859 +a(g826 +g984 +tp2860 +a(g37 +g1978 +tp2861 +a(g826 +V\u000a +p2862 +tp2863 +a(g826 +V +p2864 +tp2865 +a(g744 +Vif +p2866 +tp2867 +a(g826 +g984 +tp2868 +a(g435 +Vnode +p2869 +tp2870 +a(g748 +g1230 +tp2871 +a(g37 +g1978 +tp2872 +a(g748 +g1234 +tp2873 +a(g826 +g984 +tp2874 +a(g412 +V== +p2875 +tp2876 +a(g826 +g984 +tp2877 +a(g157 +g986 +tp2878 +a(g89 +g997 +tp2879 +a(g89 +g1089 +tp2880 +a(g89 +g1011 +tp2881 +a(g89 +g995 +tp2882 +a(g89 +g995 +tp2883 +a(g157 +g986 +tp2884 +a(g826 +V\u000a +p2885 +tp2886 +a(g826 +V +p2887 +tp2888 +a(g435 +Vcls +p2889 +tp2890 +a(g826 +g984 +tp2891 +a(g412 +g1037 +tp2892 +a(g826 +g984 +tp2893 +a(g435 +Vsmart_node +p2894 +tp2895 +a(g826 +g984 +tp2896 +a(g435 +Vnode +p2897 +tp2898 +a(g748 +g1230 +tp2899 +a(g37 +V3 +p2900 +tp2901 +a(g748 +g1234 +tp2902 +a(g826 +V\u000a +p2903 +tp2904 +a(g826 +V +p2905 +tp2906 +a(g435 +Vbuild +p2907 +tp2908 +a(g412 +g1026 +tp2909 +a(g435 +Vgroup +p2910 +tp2911 +a(g826 +g984 +tp2912 +a(g748 +g1390 +tp2913 +a(g826 +V\u000a +p2914 +tp2915 +a(g826 +V +p2916 +tp2917 +a(g748 +g1390 +tp2918 +a(g157 +g986 +tp2919 +a(g89 +g1059 +tp2920 +a(g89 +g1550 +tp2921 +a(g89 +g1003 +tp2922 +a(g89 +g990 +tp2923 +a(g89 +g999 +tp2924 +a(g89 +g1005 +tp2925 +a(g157 +g986 +tp2926 +a(g705 +g1021 +tp2927 +a(g826 +g984 +tp2928 +a(g748 +g1390 +tp2929 +a(g435 +Vcls +p2930 +tp2931 +a(g412 +g1026 +tp2932 +a(g435 +Vname +p2933 +tp2934 +a(g748 +g1394 +tp2935 +a(g748 +g1394 +tp2936 +a(g826 +V\u000a +p2937 +tp2938 +a(g826 +V +p2939 +tp2940 +a(g435 +Vcls +p2941 +tp2942 +a(g826 +V\u000a +p2943 +tp2944 +a(g826 +V +p2945 +tp2946 +a(g748 +g1394 +tp2947 +a(g826 +V\u000a +p2948 +tp2949 +a(g826 +V +p2950 +tp2951 +a(g744 +Velse +p2952 +tp2953 +a(g826 +V\u000a +p2954 +tp2955 +a(g826 +V +p2956 +tp2957 +a(g435 +Vbuild +p2958 +tp2959 +a(g412 +g1026 +tp2960 +a(g435 +Vgroup +p2961 +tp2962 +a(g826 +g984 +tp2963 +a(g748 +g1390 +tp2964 +a(g826 +V\u000a +p2965 +tp2966 +a(g826 +V +p2967 +tp2968 +a(g435 +Vnode +p2969 +tp2970 +a(g826 +V\u000a +p2971 +tp2972 +a(g826 +V +p2973 +tp2974 +a(g435 +Vbuild +p2975 +tp2976 +a(g412 +g1026 +tp2977 +a(g435 +Vassign +p2978 +tp2979 +a(g826 +g984 +tp2980 +a(g748 +g1390 +tp2981 +a(g826 +V\u000a +p2982 +tp2983 +a(g826 +V +p2984 +tp2985 +a(g440 +Vnames: +p2986 +tp2987 +a(g826 +g984 +tp2988 +a(g435 +Vnode +p2989 +tp2990 +a(g748 +g1230 +tp2991 +a(g37 +g1978 +tp2992 +a(g748 +g1234 +tp2993 +a(g826 +V\u000a +p2994 +tp2995 +a(g826 +V +p2996 +tp2997 +a(g440 +Vvalues: +p2998 +tp2999 +a(g826 +g984 +tp3000 +a(g435 +Vnode +p3001 +tp3002 +a(g748 +g1230 +tp3003 +a(g37 +g2900 +tp3004 +a(g748 +g1234 +tp3005 +a(g826 +V\u000a +p3006 +tp3007 +a(g826 +V +p3008 +tp3009 +a(g748 +g1394 +tp3010 +a(g826 +V\u000a +p3011 +tp3012 +a(g826 +V +p3013 +tp3014 +a(g748 +g1394 +tp3015 +a(g826 +V\u000a +p3016 +tp3017 +a(g826 +V +p3018 +tp3019 +a(g744 +Velse +p3020 +tp3021 +a(g826 +V\u000a +p3022 +tp3023 +a(g826 +V +p3024 +tp3025 +a(g763 +Vnil +p3026 +tp3027 +a(g826 +V\u000a +p3028 +tp3029 +a(g826 +V\u000a +p3030 +tp3031 +a(g826 +V +p3032 +tp3033 +a(g440 +Vupdate: +p3034 +tp3035 +a(g826 +g984 +tp3036 +a(g748 +g1218 +tp3037 +a(g435 +Vnode +p3038 +tp3039 +a(g748 +g1222 +tp3040 +a(g826 +g984 +tp3041 +a(g573 +V=> +p3042 +tp3043 +a(g826 +V\u000a +p3044 +tp3045 +a(g826 +V +p3046 +tp3047 +a(g435 +g1244 +tp3048 +a(g705 +g1021 +tp3049 +a(g826 +g984 +tp3050 +a(g435 +Vname +p3051 +tp3052 +a(g705 +g1021 +tp3053 +a(g826 +g984 +tp3054 +a(g435 +Vop +p3055 +tp3056 +a(g705 +g1021 +tp3057 +a(g826 +g984 +tp3058 +a(g435 +Vexp +p3059 +tp3060 +a(g826 +g984 +tp3061 +a(g412 +g1037 +tp3062 +a(g826 +g984 +tp3063 +a(g435 +Vunpack +p3064 +tp3065 +a(g826 +g984 +tp3066 +a(g435 +Vnode +p3067 +tp3068 +a(g826 +V\u000a +p3069 +tp3070 +a(g826 +V +p3071 +tp3072 +a(g435 +Vop_final +p3073 +tp3074 +a(g826 +g984 +tp3075 +a(g412 +g1037 +tp3076 +a(g826 +g984 +tp3077 +a(g435 +Vop +p3078 +tp3079 +a(g412 +V\u005c +p3080 +tp3081 +a(g435 +Vmatch +p3082 +tp3083 +a(g826 +g984 +tp3084 +a(g157 +g986 +tp3085 +a(g89 +V^ +p3086 +tp3087 +a(g89 +g1218 +tp3088 +a(g89 +g1007 +tp3089 +a(g89 +V+ +p3090 +tp3091 +a(g89 +g1222 +tp3092 +a(g89 +g1037 +tp3093 +a(g89 +V$ +p3094 +tp3095 +a(g157 +g986 +tp3096 +a(g826 +V\u000a +p3097 +tp3098 +a(g826 +V +p3099 +tp3100 +a(g681 +Verror +p3101 +tp3102 +a(g826 +g984 +tp3103 +a(g157 +g986 +tp3104 +a(g89 +g2523 +tp3105 +a(g89 +g993 +tp3106 +a(g89 +g2526 +tp3107 +a(g89 +g993 +tp3108 +a(g89 +g990 +tp3109 +a(g89 +g2005 +tp3110 +a(g89 +g993 +tp3111 +a(g89 +g984 +tp3112 +a(g89 +g990 +tp3113 +a(g89 +g1003 +tp3114 +a(g89 +g2553 +tp3115 +a(g89 +g984 +tp3116 +a(g157 +g986 +tp3117 +a(g412 +V.. +p3118 +tp3119 +a(g435 +Vop +p3120 +tp3121 +a(g826 +g984 +tp3122 +a(g744 +Vif +p3123 +tp3124 +a(g826 +g984 +tp3125 +a(g744 +Vnot +p3126 +tp3127 +a(g826 +g984 +tp3128 +a(g435 +Vop_final +p3129 +tp3130 +a(g826 +V\u000a +p3131 +tp3132 +a(g826 +V +p3133 +tp3134 +a(g435 +Vbuild +p3135 +tp3136 +a(g412 +g1026 +tp3137 +a(g435 +Vassign_one +p3138 +tp3139 +a(g826 +g984 +tp3140 +a(g435 +Vname +p3141 +tp3142 +a(g705 +g1021 +tp3143 +a(g826 +g984 +tp3144 +a(g748 +g1390 +tp3145 +a(g157 +g986 +tp3146 +a(g89 +g1059 +tp3147 +a(g89 +g1550 +tp3148 +a(g89 +g1003 +tp3149 +a(g157 +g986 +tp3150 +a(g705 +g1021 +tp3151 +a(g826 +g984 +tp3152 +a(g435 +Vname +p3153 +tp3154 +a(g705 +g1021 +tp3155 +a(g826 +g984 +tp3156 +a(g435 +Vop_final +p3157 +tp3158 +a(g705 +g1021 +tp3159 +a(g826 +g984 +tp3160 +a(g435 +Vexp +p3161 +tp3162 +a(g748 +g1394 +tp3163 +a(g826 +V\u000a +p3164 +tp3165 +a(g826 +V\u000a +p3166 +tp3167 +a(g826 +V +p3168 +tp3169 +a(g440 +Vimport: +p3170 +tp3171 +a(g826 +g984 +tp3172 +a(g748 +g1218 +tp3173 +a(g435 +Vnode +p3174 +tp3175 +a(g748 +g1222 +tp3176 +a(g826 +g984 +tp3177 +a(g573 +V=> +p3178 +tp3179 +a(g826 +V\u000a +p3180 +tp3181 +a(g826 +V +p3182 +tp3183 +a(g435 +g1244 +tp3184 +a(g705 +g1021 +tp3185 +a(g826 +g984 +tp3186 +a(g435 +Vnames +p3187 +tp3188 +a(g705 +g1021 +tp3189 +a(g826 +g984 +tp3190 +a(g435 +Vsource +p3191 +tp3192 +a(g826 +g984 +tp3193 +a(g412 +g1037 +tp3194 +a(g826 +g984 +tp3195 +a(g435 +Vunpack +p3196 +tp3197 +a(g826 +g984 +tp3198 +a(g435 +Vnode +p3199 +tp3200 +a(g826 +V\u000a +p3201 +tp3202 +a(g826 +V\u000a +p3203 +tp3204 +a(g826 +V +p3205 +tp3206 +a(g435 +Vstubs +p3207 +tp3208 +a(g826 +g984 +tp3209 +a(g412 +g1037 +tp3210 +a(g826 +g984 +tp3211 +a(g744 +Vfor +p3212 +tp3213 +a(g826 +g984 +tp3214 +a(g435 +Vname +p3215 +tp3216 +a(g826 +g984 +tp3217 +a(g744 +Vin +p3218 +tp3219 +a(g826 +g984 +tp3220 +a(g412 +g1416 +tp3221 +a(g435 +Vnames +p3222 +tp3223 +a(g826 +V\u000a +p3224 +tp3225 +a(g826 +V +p3226 +tp3227 +a(g744 +Vif +p3228 +tp3229 +a(g826 +g984 +tp3230 +a(g681 +Vtype +p3231 +tp3232 +a(g748 +g1218 +tp3233 +a(g435 +Vname +p3234 +tp3235 +a(g748 +g1222 +tp3236 +a(g826 +g984 +tp3237 +a(g412 +V== +p3238 +tp3239 +a(g826 +g984 +tp3240 +a(g157 +g986 +tp3241 +a(g89 +g1005 +tp3242 +a(g89 +g1011 +tp3243 +a(g89 +Vb +p3244 +tp3245 +a(g89 +g1089 +tp3246 +a(g89 +g1059 +tp3247 +a(g157 +g986 +tp3248 +a(g826 +V\u000a +p3249 +tp3250 +a(g826 +V +p3251 +tp3252 +a(g435 +Vname +p3253 +tp3254 +a(g826 +V\u000a +p3255 +tp3256 +a(g826 +V +p3257 +tp3258 +a(g744 +Velse +p3259 +tp3260 +a(g826 +V\u000a +p3261 +tp3262 +a(g826 +V +p3263 +tp3264 +a(g748 +g1390 +tp3265 +a(g157 +g986 +tp3266 +a(g89 +g1114 +tp3267 +a(g89 +g990 +tp3268 +a(g89 +g1005 +tp3269 +a(g157 +g986 +tp3270 +a(g705 +g1021 +tp3271 +a(g826 +g984 +tp3272 +a(g435 +Vname +p3273 +tp3274 +a(g748 +g1394 +tp3275 +a(g826 +V\u000a +p3276 +tp3277 +a(g826 +V\u000a +p3278 +tp3279 +a(g826 +V +p3280 +tp3281 +a(g435 +Vreal_names +p3282 +tp3283 +a(g826 +g984 +tp3284 +a(g412 +g1037 +tp3285 +a(g826 +g984 +tp3286 +a(g744 +Vfor +p3287 +tp3288 +a(g826 +g984 +tp3289 +a(g435 +Vname +p3290 +tp3291 +a(g826 +g984 +tp3292 +a(g744 +Vin +p3293 +tp3294 +a(g826 +g984 +tp3295 +a(g412 +g1416 +tp3296 +a(g435 +Vnames +p3297 +tp3298 +a(g826 +V\u000a +p3299 +tp3300 +a(g826 +V +p3301 +tp3302 +a(g681 +Vtype +p3303 +tp3304 +a(g748 +g1218 +tp3305 +a(g435 +Vname +p3306 +tp3307 +a(g748 +g1222 +tp3308 +a(g826 +g984 +tp3309 +a(g412 +V== +p3310 +tp3311 +a(g826 +g984 +tp3312 +a(g157 +g986 +tp3313 +a(g89 +g1005 +tp3314 +a(g89 +g1011 +tp3315 +a(g89 +g3244 +tp3316 +a(g89 +g1089 +tp3317 +a(g89 +g1059 +tp3318 +a(g157 +g986 +tp3319 +a(g826 +g984 +tp3320 +a(g744 +Vand +p3321 +tp3322 +a(g826 +g984 +tp3323 +a(g435 +Vname +p3324 +tp3325 +a(g748 +g1230 +tp3326 +a(g37 +g1978 +tp3327 +a(g748 +g1234 +tp3328 +a(g826 +g984 +tp3329 +a(g744 +Vor +p3330 +tp3331 +a(g826 +g984 +tp3332 +a(g435 +Vname +p3333 +tp3334 +a(g826 +V\u000a +p3335 +tp3336 +a(g826 +V\u000a +p3337 +tp3338 +a(g826 +V +p3339 +tp3340 +a(g744 +Vif +p3341 +tp3342 +a(g826 +g984 +tp3343 +a(g681 +Vtype +p3344 +tp3345 +a(g748 +g1218 +tp3346 +a(g435 +Vsource +p3347 +tp3348 +a(g748 +g1222 +tp3349 +a(g826 +g984 +tp3350 +a(g412 +V== +p3351 +tp3352 +a(g826 +g984 +tp3353 +a(g157 +g986 +tp3354 +a(g89 +g995 +tp3355 +a(g89 +g1005 +tp3356 +a(g89 +g999 +tp3357 +a(g89 +g1001 +tp3358 +a(g89 +g993 +tp3359 +a(g89 +g1442 +tp3360 +a(g157 +g986 +tp3361 +a(g826 +V\u000a +p3362 +tp3363 +a(g826 +V +p3364 +tp3365 +a(g435 +Vbuild +p3366 +tp3367 +a(g412 +g1026 +tp3368 +a(g435 +Vassign +p3369 +tp3370 +a(g826 +g984 +tp3371 +a(g748 +g1390 +tp3372 +a(g826 +V\u000a +p3373 +tp3374 +a(g826 +V +p3375 +tp3376 +a(g440 +Vnames: +p3377 +tp3378 +a(g826 +g984 +tp3379 +a(g435 +Vreal_names +p3380 +tp3381 +a(g826 +V\u000a +p3382 +tp3383 +a(g826 +V +p3384 +tp3385 +a(g440 +Vvalues: +p3386 +tp3387 +a(g826 +g984 +tp3388 +a(g748 +g1230 +tp3389 +a(g435 +Vbuild +p3390 +tp3391 +a(g412 +g1026 +tp3392 +a(g435 +Vchain +p3393 +tp3394 +a(g826 +g984 +tp3395 +a(g748 +g1390 +tp3396 +a(g826 +g984 +tp3397 +a(g440 +Vbase: +p3398 +tp3399 +a(g826 +g984 +tp3400 +a(g435 +Vsource +p3401 +tp3402 +a(g705 +g1021 +tp3403 +a(g826 +g984 +tp3404 +a(g435 +Vstub +p3405 +tp3406 +a(g748 +g1394 +tp3407 +a(g826 +g984 +tp3408 +a(g744 +Vfor +p3409 +tp3410 +a(g826 +g984 +tp3411 +a(g435 +Vstub +p3412 +tp3413 +a(g826 +g984 +tp3414 +a(g744 +Vin +p3415 +tp3416 +a(g826 +g984 +tp3417 +a(g412 +g1416 +tp3418 +a(g435 +Vstubs +p3419 +tp3420 +a(g748 +g1234 +tp3421 +a(g826 +V\u000a +p3422 +tp3423 +a(g826 +V +p3424 +tp3425 +a(g748 +g1394 +tp3426 +a(g826 +V\u000a +p3427 +tp3428 +a(g826 +V +p3429 +tp3430 +a(g744 +Velse +p3431 +tp3432 +a(g826 +V\u000a +p3433 +tp3434 +a(g826 +V +p3435 +tp3436 +a(g435 +Vsource_name +p3437 +tp3438 +a(g826 +g984 +tp3439 +a(g412 +g1037 +tp3440 +a(g826 +g984 +tp3441 +a(g628 +VNameProxy +p3442 +tp3443 +a(g826 +g984 +tp3444 +a(g157 +g986 +tp3445 +a(g89 +g1005 +tp3446 +a(g89 +g1011 +tp3447 +a(g89 +g3244 +tp3448 +a(g89 +g1089 +tp3449 +a(g89 +g1059 +tp3450 +a(g157 +g986 +tp3451 +a(g826 +V\u000a +p3452 +tp3453 +a(g826 +V +p3454 +tp3455 +a(g435 +Vbuild +p3456 +tp3457 +a(g412 +g1026 +tp3458 +a(g435 +Vgroup +p3459 +tp3460 +a(g826 +g984 +tp3461 +a(g748 +g1390 +tp3462 +a(g826 +V\u000a +p3463 +tp3464 +a(g826 +V +p3465 +tp3466 +a(g748 +g1390 +tp3467 +a(g157 +g986 +tp3468 +a(g89 +g1114 +tp3469 +a(g89 +g1059 +tp3470 +a(g89 +g997 +tp3471 +a(g89 +g1089 +tp3472 +a(g89 +g1011 +tp3473 +a(g89 +g999 +tp3474 +a(g89 +g1059 +tp3475 +a(g157 +g986 +tp3476 +a(g705 +g1021 +tp3477 +a(g826 +g984 +tp3478 +a(g435 +Vreal_names +p3479 +tp3480 +a(g748 +g1394 +tp3481 +a(g826 +V\u000a +p3482 +tp3483 +a(g826 +V +p3484 +tp3485 +a(g435 +Vbuild +p3486 +tp3487 +a(g748 +g1230 +tp3488 +a(g157 +g986 +tp3489 +a(g89 +g1114 +tp3490 +a(g89 +g990 +tp3491 +a(g157 +g986 +tp3492 +a(g748 +g1234 +tp3493 +a(g826 +g984 +tp3494 +a(g748 +g1390 +tp3495 +a(g826 +V\u000a +p3496 +tp3497 +a(g826 +V +p3498 +tp3499 +a(g435 +Vbuild +p3500 +tp3501 +a(g412 +g1026 +tp3502 +a(g435 +Vassign_one +p3503 +tp3504 +a(g826 +g984 +tp3505 +a(g435 +Vsource_name +p3506 +tp3507 +a(g705 +g1021 +tp3508 +a(g826 +g984 +tp3509 +a(g435 +Vsource +p3510 +tp3511 +a(g826 +V\u000a +p3512 +tp3513 +a(g826 +V +p3514 +tp3515 +a(g435 +Vbuild +p3516 +tp3517 +a(g412 +g1026 +tp3518 +a(g435 +Vassign +p3519 +tp3520 +a(g826 +g984 +tp3521 +a(g748 +g1390 +tp3522 +a(g826 +V\u000a +p3523 +tp3524 +a(g826 +V +p3525 +tp3526 +a(g440 +Vnames: +p3527 +tp3528 +a(g826 +g984 +tp3529 +a(g435 +Vreal_names +p3530 +tp3531 +a(g826 +V\u000a +p3532 +tp3533 +a(g826 +V +p3534 +tp3535 +a(g440 +Vvalues: +p3536 +tp3537 +a(g826 +g984 +tp3538 +a(g748 +g1230 +tp3539 +a(g435 +Vbuild +p3540 +tp3541 +a(g412 +g1026 +tp3542 +a(g435 +Vchain +p3543 +tp3544 +a(g826 +g984 +tp3545 +a(g748 +g1390 +tp3546 +a(g826 +g984 +tp3547 +a(g440 +Vbase: +p3548 +tp3549 +a(g826 +g984 +tp3550 +a(g435 +Vsource_name +p3551 +tp3552 +a(g705 +g1021 +tp3553 +a(g826 +g984 +tp3554 +a(g435 +Vstub +p3555 +tp3556 +a(g748 +g1394 +tp3557 +a(g826 +g984 +tp3558 +a(g744 +Vfor +p3559 +tp3560 +a(g826 +g984 +tp3561 +a(g435 +Vstub +p3562 +tp3563 +a(g826 +g984 +tp3564 +a(g744 +Vin +p3565 +tp3566 +a(g826 +g984 +tp3567 +a(g412 +g1416 +tp3568 +a(g435 +Vstubs +p3569 +tp3570 +a(g748 +g1234 +tp3571 +a(g826 +V\u000a +p3572 +tp3573 +a(g826 +V +p3574 +tp3575 +a(g748 +g1394 +tp3576 +a(g826 +V\u000a +p3577 +tp3578 +a(g826 +V +p3579 +tp3580 +a(g748 +g1394 +tp3581 +a(g826 +V\u000a +p3582 +tp3583 +a(g826 +V +p3584 +tp3585 +a(g748 +g1394 +tp3586 +a(g826 +V\u000a +p3587 +tp3588 +a(g826 +V\u000a +p3589 +tp3590 +a(g826 +V +p3591 +tp3592 +a(g440 +Vcomprehension: +p3593 +tp3594 +a(g826 +g984 +tp3595 +a(g748 +g1218 +tp3596 +a(g435 +Vnode +p3597 +tp3598 +a(g705 +g1021 +tp3599 +a(g826 +g984 +tp3600 +a(g435 +Vaction +p3601 +tp3602 +a(g748 +g1222 +tp3603 +a(g826 +g984 +tp3604 +a(g573 +V=> +p3605 +tp3606 +a(g826 +V\u000a +p3607 +tp3608 +a(g826 +V +p3609 +tp3610 +a(g435 +g1244 +tp3611 +a(g705 +g1021 +tp3612 +a(g826 +g984 +tp3613 +a(g435 +Vexp +p3614 +tp3615 +a(g705 +g1021 +tp3616 +a(g826 +g984 +tp3617 +a(g435 +Vclauses +p3618 +tp3619 +a(g826 +g984 +tp3620 +a(g412 +g1037 +tp3621 +a(g826 +g984 +tp3622 +a(g435 +Vunpack +p3623 +tp3624 +a(g826 +g984 +tp3625 +a(g435 +Vnode +p3626 +tp3627 +a(g826 +V\u000a +p3628 +tp3629 +a(g826 +V\u000a +p3630 +tp3631 +a(g826 +V +p3632 +tp3633 +a(g435 +Vaction +p3634 +tp3635 +a(g826 +g984 +tp3636 +a(g412 +g1037 +tp3637 +a(g826 +g984 +tp3638 +a(g435 +Vaction +p3639 +tp3640 +a(g826 +g984 +tp3641 +a(g744 +Vor +p3642 +tp3643 +a(g826 +g984 +tp3644 +a(g748 +g1218 +tp3645 +a(g435 +Vexp +p3646 +tp3647 +a(g748 +g1222 +tp3648 +a(g826 +g984 +tp3649 +a(g573 +V-> +p3650 +tp3651 +a(g826 +g984 +tp3652 +a(g748 +g1390 +tp3653 +a(g435 +Vexp +p3654 +tp3655 +a(g748 +g1394 +tp3656 +a(g826 +V\u000a +p3657 +tp3658 +a(g826 +V +p3659 +tp3660 +a(g435 +Vconstruct_comprehension +p3661 +tp3662 +a(g826 +g984 +tp3663 +a(g435 +Vaction +p3664 +tp3665 +a(g748 +g1218 +tp3666 +a(g435 +Vexp +p3667 +tp3668 +a(g748 +g1222 +tp3669 +a(g705 +g1021 +tp3670 +a(g826 +g984 +tp3671 +a(g435 +Vclauses +p3672 +tp3673 +a(g826 +V\u000a +p3674 +tp3675 +a(g826 +V\u000a +p3676 +tp3677 +a(g826 +V +p3678 +tp3679 +a(g8 +V-- handle cascading return decorator +p3680 +tp3681 +a(g826 +V\u000a +p3682 +tp3683 +a(g826 +V +p3684 +tp3685 +a(g440 +Vif: +p3686 +tp3687 +a(g826 +g984 +tp3688 +a(g748 +g1218 +tp3689 +a(g435 +Vnode +p3690 +tp3691 +a(g705 +g1021 +tp3692 +a(g826 +g984 +tp3693 +a(g435 +Vret +p3694 +tp3695 +a(g748 +g1222 +tp3696 +a(g826 +g984 +tp3697 +a(g573 +V=> +p3698 +tp3699 +a(g826 +V\u000a +p3700 +tp3701 +a(g826 +V +p3702 +tp3703 +a(g744 +Vif +p3704 +tp3705 +a(g826 +g984 +tp3706 +a(g435 +Vret +p3707 +tp3708 +a(g826 +V\u000a +p3709 +tp3710 +a(g826 +V +p3711 +tp3712 +a(g435 +Vsmart_node +p3713 +tp3714 +a(g826 +g984 +tp3715 +a(g435 +Vnode +p3716 +tp3717 +a(g826 +V\u000a +p3718 +tp3719 +a(g826 +V +p3720 +tp3721 +a(g8 +V-- mutate all the bodies +p3722 +tp3723 +a(g826 +V\u000a +p3724 +tp3725 +a(g826 +V +p3726 +tp3727 +a(g435 +Vnode +p3728 +tp3729 +a(g748 +g1230 +tp3730 +a(g229 +V' +p3731 +tp3732 +a(g89 +g1005 +tp3733 +a(g89 +g2440 +tp3734 +a(g89 +g1059 +tp3735 +a(g89 +g993 +tp3736 +a(g229 +g3731 +tp3737 +a(g748 +g1234 +tp3738 +a(g826 +g984 +tp3739 +a(g412 +g1037 +tp3740 +a(g826 +g984 +tp3741 +a(g435 +Vapply_to_last +p3742 +tp3743 +a(g826 +g984 +tp3744 +a(g435 +Vnode +p3745 +tp3746 +a(g748 +g1230 +tp3747 +a(g229 +g3731 +tp3748 +a(g89 +g1005 +tp3749 +a(g89 +g2440 +tp3750 +a(g89 +g1059 +tp3751 +a(g89 +g993 +tp3752 +a(g229 +g3731 +tp3753 +a(g748 +g1234 +tp3754 +a(g705 +g1021 +tp3755 +a(g826 +g984 +tp3756 +a(g435 +Vret +p3757 +tp3758 +a(g826 +V\u000a +p3759 +tp3760 +a(g826 +V +p3761 +tp3762 +a(g744 +Vfor +p3763 +tp3764 +a(g826 +g984 +tp3765 +a(g435 +g1001 +tp3766 +a(g826 +g984 +tp3767 +a(g412 +g1037 +tp3768 +a(g826 +g984 +tp3769 +a(g37 +V4 +p3770 +tp3771 +a(g705 +g1021 +tp3772 +a(g826 +g984 +tp3773 +a(g412 +g1770 +tp3774 +a(g435 +Vnode +p3775 +tp3776 +a(g826 +V\u000a +p3777 +tp3778 +a(g826 +V +p3779 +tp3780 +a(g435 +Vcase +p3781 +tp3782 +a(g826 +g984 +tp3783 +a(g412 +g1037 +tp3784 +a(g826 +g984 +tp3785 +a(g435 +Vnode +p3786 +tp3787 +a(g748 +g1230 +tp3788 +a(g435 +g1001 +tp3789 +a(g748 +g1234 +tp3790 +a(g826 +V\u000a +p3791 +tp3792 +a(g826 +V +p3793 +tp3794 +a(g435 +Vbody_idx +p3795 +tp3796 +a(g826 +g984 +tp3797 +a(g412 +g1037 +tp3798 +a(g826 +g984 +tp3799 +a(g412 +g1770 +tp3800 +a(g435 +Vnode +p3801 +tp3802 +a(g748 +g1230 +tp3803 +a(g435 +g1001 +tp3804 +a(g748 +g1234 +tp3805 +a(g826 +V\u000a +p3806 +tp3807 +a(g826 +V +p3808 +tp3809 +a(g435 +Vcase +p3810 +tp3811 +a(g748 +g1230 +tp3812 +a(g435 +Vbody_idx +p3813 +tp3814 +a(g748 +g1234 +tp3815 +a(g826 +g984 +tp3816 +a(g412 +g1037 +tp3817 +a(g826 +g984 +tp3818 +a(g435 +Vapply_to_last +p3819 +tp3820 +a(g826 +g984 +tp3821 +a(g435 +Vcase +p3822 +tp3823 +a(g748 +g1230 +tp3824 +a(g435 +Vbody_idx +p3825 +tp3826 +a(g748 +g1234 +tp3827 +a(g705 +g1021 +tp3828 +a(g826 +g984 +tp3829 +a(g435 +Vret +p3830 +tp3831 +a(g826 +V\u000a +p3832 +tp3833 +a(g826 +V +p3834 +tp3835 +a(g435 +Vnode +p3836 +tp3837 +a(g826 +V\u000a +p3838 +tp3839 +a(g826 +V\u000a +p3840 +tp3841 +a(g826 +V +p3842 +tp3843 +a(g440 +Vwith: +p3844 +tp3845 +a(g826 +g984 +tp3846 +a(g748 +g1218 +tp3847 +a(g435 +Vnode +p3848 +tp3849 +a(g705 +g1021 +tp3850 +a(g826 +g984 +tp3851 +a(g435 +Vret +p3852 +tp3853 +a(g748 +g1222 +tp3854 +a(g826 +g984 +tp3855 +a(g573 +V=> +p3856 +tp3857 +a(g826 +V\u000a +p3858 +tp3859 +a(g826 +V +p3860 +tp3861 +a(g435 +g1244 +tp3862 +a(g705 +g1021 +tp3863 +a(g826 +g984 +tp3864 +a(g435 +Vexp +p3865 +tp3866 +a(g705 +g1021 +tp3867 +a(g826 +g984 +tp3868 +a(g435 +Vblock +p3869 +tp3870 +a(g826 +g984 +tp3871 +a(g412 +g1037 +tp3872 +a(g826 +g984 +tp3873 +a(g435 +Vunpack +p3874 +tp3875 +a(g826 +g984 +tp3876 +a(g435 +Vnode +p3877 +tp3878 +a(g826 +V\u000a +p3879 +tp3880 +a(g826 +V +p3881 +tp3882 +a(g435 +Vscope_name +p3883 +tp3884 +a(g826 +g984 +tp3885 +a(g412 +g1037 +tp3886 +a(g826 +g984 +tp3887 +a(g628 +VNameProxy +p3888 +tp3889 +a(g826 +g984 +tp3890 +a(g157 +g986 +tp3891 +a(g89 +g2005 +tp3892 +a(g89 +g1001 +tp3893 +a(g89 +g1005 +tp3894 +a(g89 +g2440 +tp3895 +a(g157 +g986 +tp3896 +a(g826 +V\u000a +p3897 +tp3898 +a(g826 +V +p3899 +tp3900 +a(g435 +Vbuild +p3901 +tp3902 +a(g748 +g1230 +tp3903 +a(g157 +g986 +tp3904 +a(g89 +g1114 +tp3905 +a(g89 +g990 +tp3906 +a(g157 +g986 +tp3907 +a(g748 +g1234 +tp3908 +a(g826 +g984 +tp3909 +a(g748 +g1390 +tp3910 +a(g826 +V\u000a +p3911 +tp3912 +a(g826 +V +p3913 +tp3914 +a(g435 +Vbuild +p3915 +tp3916 +a(g412 +g1026 +tp3917 +a(g435 +Vassign_one +p3918 +tp3919 +a(g826 +g984 +tp3920 +a(g435 +Vscope_name +p3921 +tp3922 +a(g705 +g1021 +tp3923 +a(g826 +g984 +tp3924 +a(g435 +Vexp +p3925 +tp3926 +a(g826 +V\u000a +p3927 +tp3928 +a(g826 +V +p3929 +tp3930 +a(g628 +VRun +p3931 +tp3932 +a(g826 +g984 +tp3933 +a(g573 +V=> +p3934 +tp3935 +a(g826 +g984 +tp3936 +a(g445 +V@set +p3937 +tp3938 +a(g826 +g984 +tp3939 +a(g157 +g986 +tp3940 +a(g89 +g995 +tp3941 +a(g89 +g997 +tp3942 +a(g89 +g990 +tp3943 +a(g89 +g1003 +tp3944 +a(g89 +g1059 +tp3945 +a(g89 +g1244 +tp3946 +a(g89 +Vv +p3947 +tp3948 +a(g89 +g1011 +tp3949 +a(g89 +g999 +tp3950 +a(g157 +g986 +tp3951 +a(g705 +g1021 +tp3952 +a(g826 +g984 +tp3953 +a(g435 +Vscope_name +p3954 +tp3955 +a(g826 +V\u000a +p3956 +tp3957 +a(g826 +V +p3958 +tp3959 +a(g435 +Vbuild +p3960 +tp3961 +a(g412 +g1026 +tp3962 +a(g435 +Vgroup +p3963 +tp3964 +a(g826 +g984 +tp3965 +a(g435 +Vblock +p3966 +tp3967 +a(g826 +V\u000a +p3968 +tp3969 +a(g826 +V +p3970 +tp3971 +a(g744 +Vif +p3972 +tp3973 +a(g826 +g984 +tp3974 +a(g435 +Vret +p3975 +tp3976 +a(g826 +V\u000a +p3977 +tp3978 +a(g826 +V +p3979 +tp3980 +a(g435 +Vret +p3981 +tp3982 +a(g826 +g984 +tp3983 +a(g435 +Vscope_name +p3984 +tp3985 +a(g826 +V\u000a +p3986 +tp3987 +a(g826 +V +p3988 +tp3989 +a(g748 +g1394 +tp3990 +a(g826 +V\u000a +p3991 +tp3992 +a(g826 +V\u000a +p3993 +tp3994 +a(g826 +V +p3995 +tp3996 +a(g440 +Vforeach: +p3997 +tp3998 +a(g826 +g984 +tp3999 +a(g748 +g1218 +tp4000 +a(g435 +Vnode +p4001 +tp4002 +a(g748 +g1222 +tp4003 +a(g826 +g984 +tp4004 +a(g573 +V=> +p4005 +tp4006 +a(g826 +V\u000a +p4007 +tp4008 +a(g826 +V +p4009 +tp4010 +a(g435 +Vsmart_node +p4011 +tp4012 +a(g826 +g984 +tp4013 +a(g435 +Vnode +p4014 +tp4015 +a(g826 +V\u000a +p4016 +tp4017 +a(g826 +V +p4018 +tp4019 +a(g744 +Vif +p4020 +tp4021 +a(g826 +g984 +tp4022 +a(g435 +Vntype +p4023 +tp4024 +a(g748 +g1218 +tp4025 +a(g435 +Vnode +p4026 +tp4027 +a(g412 +g1026 +tp4028 +a(g435 +Viter +p4029 +tp4030 +a(g748 +g1222 +tp4031 +a(g826 +g984 +tp4032 +a(g412 +V== +p4033 +tp4034 +a(g826 +g984 +tp4035 +a(g157 +g986 +tp4036 +a(g89 +g1085 +tp4037 +a(g89 +g993 +tp4038 +a(g89 +g1003 +tp4039 +a(g89 +g1011 +tp4040 +a(g89 +g997 +tp4041 +a(g89 +g2526 +tp4042 +a(g157 +g986 +tp4043 +a(g826 +V\u000a +p4044 +tp4045 +a(g826 +V +p4046 +tp4047 +a(g435 +Vlist +p4048 +tp4049 +a(g826 +g984 +tp4050 +a(g412 +g1037 +tp4051 +a(g826 +g984 +tp4052 +a(g435 +Vnode +p4053 +tp4054 +a(g412 +g1026 +tp4055 +a(g435 +Viter +p4056 +tp4057 +a(g748 +g1230 +tp4058 +a(g37 +g1978 +tp4059 +a(g748 +g1234 +tp4060 +a(g826 +V\u000a +p4061 +tp4062 +a(g826 +V\u000a +p4063 +tp4064 +a(g826 +V +p4065 +tp4066 +a(g435 +Vindex_name +p4067 +tp4068 +a(g826 +g984 +tp4069 +a(g412 +g1037 +tp4070 +a(g826 +g984 +tp4071 +a(g628 +VNameProxy +p4072 +tp4073 +a(g826 +g984 +tp4074 +a(g157 +g986 +tp4075 +a(g89 +g1001 +tp4076 +a(g89 +g993 +tp4077 +a(g89 +g1114 +tp4078 +a(g89 +g1059 +tp4079 +a(g89 +g1550 +tp4080 +a(g157 +g986 +tp4081 +a(g826 +V\u000a +p4082 +tp4083 +a(g826 +V +p4084 +tp4085 +a(g435 +Vlist_name +p4086 +tp4087 +a(g826 +g984 +tp4088 +a(g412 +g1037 +tp4089 +a(g826 +g984 +tp4090 +a(g628 +VNameProxy +p4091 +tp4092 +a(g826 +g984 +tp4093 +a(g157 +g986 +tp4094 +a(g89 +g1089 +tp4095 +a(g89 +g1001 +tp4096 +a(g89 +g995 +tp4097 +a(g89 +g1005 +tp4098 +a(g157 +g986 +tp4099 +a(g826 +V\u000a +p4100 +tp4101 +a(g826 +V\u000a +p4102 +tp4103 +a(g826 +V +p4104 +tp4105 +a(g435 +Vslice_var +p4106 +tp4107 +a(g826 +g984 +tp4108 +a(g412 +g1037 +tp4109 +a(g826 +g984 +tp4110 +a(g763 +Vnil +p4111 +tp4112 +a(g826 +V\u000a +p4113 +tp4114 +a(g826 +V +p4115 +tp4116 +a(g435 +Vbounds +p4117 +tp4118 +a(g826 +g984 +tp4119 +a(g412 +g1037 +tp4120 +a(g826 +g984 +tp4121 +a(g744 +Vif +p4122 +tp4123 +a(g826 +g984 +tp4124 +a(g435 +Vis_slice +p4125 +tp4126 +a(g826 +g984 +tp4127 +a(g435 +Vlist +p4128 +tp4129 +a(g826 +V\u000a +p4130 +tp4131 +a(g826 +V +p4132 +tp4133 +a(g435 +Vslice +p4134 +tp4135 +a(g826 +g984 +tp4136 +a(g412 +g1037 +tp4137 +a(g826 +g984 +tp4138 +a(g435 +Vlist +p4139 +tp4140 +a(g748 +g1230 +tp4141 +a(g412 +g1770 +tp4142 +a(g435 +Vlist +p4143 +tp4144 +a(g748 +g1234 +tp4145 +a(g826 +V\u000a +p4146 +tp4147 +a(g826 +V +p4148 +tp4149 +a(g681 +Vtable.remove +p4150 +tp4151 +a(g826 +g984 +tp4152 +a(g435 +Vlist +p4153 +tp4154 +a(g826 +V\u000a +p4155 +tp4156 +a(g826 +V +p4157 +tp4158 +a(g681 +Vtable.remove +p4159 +tp4160 +a(g826 +g984 +tp4161 +a(g435 +Vslice +p4162 +tp4163 +a(g705 +g1021 +tp4164 +a(g826 +g984 +tp4165 +a(g37 +g1232 +tp4166 +a(g826 +V\u000a +p4167 +tp4168 +a(g826 +V\u000a +p4169 +tp4170 +a(g826 +V +p4171 +tp4172 +a(g435 +Vslice +p4173 +tp4174 +a(g748 +g1230 +tp4175 +a(g37 +g1978 +tp4176 +a(g748 +g1234 +tp4177 +a(g826 +g984 +tp4178 +a(g412 +g1037 +tp4179 +a(g826 +g984 +tp4180 +a(g744 +Vif +p4181 +tp4182 +a(g826 +g984 +tp4183 +a(g435 +Vslice +p4184 +tp4185 +a(g748 +g1230 +tp4186 +a(g37 +g1978 +tp4187 +a(g748 +g1234 +tp4188 +a(g826 +g984 +tp4189 +a(g744 +Vand +p4190 +tp4191 +a(g826 +g984 +tp4192 +a(g435 +Vslice +p4193 +tp4194 +a(g748 +g1230 +tp4195 +a(g37 +g1978 +tp4196 +a(g748 +g1234 +tp4197 +a(g826 +g984 +tp4198 +a(g412 +V!= +p4199 +tp4200 +a(g826 +g984 +tp4201 +a(g157 +g986 +tp4202 +a(g157 +g986 +tp4203 +a(g826 +V\u000a +p4204 +tp4205 +a(g826 +V +p4206 +tp4207 +a(g435 +Vmax_tmp_name +p4208 +tp4209 +a(g826 +g984 +tp4210 +a(g412 +g1037 +tp4211 +a(g826 +g984 +tp4212 +a(g628 +VNameProxy +p4213 +tp4214 +a(g826 +g984 +tp4215 +a(g157 +g986 +tp4216 +a(g89 +g988 +tp4217 +a(g89 +g1011 +tp4218 +a(g89 +g1550 +tp4219 +a(g157 +g986 +tp4220 +a(g826 +V\u000a +p4221 +tp4222 +a(g826 +V +p4223 +tp4224 +a(g435 +Vslice_var +p4225 +tp4226 +a(g826 +g984 +tp4227 +a(g412 +g1037 +tp4228 +a(g826 +g984 +tp4229 +a(g435 +Vbuild +p4230 +tp4231 +a(g412 +g1026 +tp4232 +a(g435 +Vassign_one +p4233 +tp4234 +a(g826 +g984 +tp4235 +a(g435 +Vmax_tmp_name +p4236 +tp4237 +a(g705 +g1021 +tp4238 +a(g826 +g984 +tp4239 +a(g435 +Vslice +p4240 +tp4241 +a(g748 +g1230 +tp4242 +a(g37 +g1978 +tp4243 +a(g748 +g1234 +tp4244 +a(g826 +V\u000a +p4245 +tp4246 +a(g826 +V +p4247 +tp4248 +a(g748 +g1390 +tp4249 +a(g157 +g986 +tp4250 +a(g89 +g1059 +tp4251 +a(g89 +g1550 +tp4252 +a(g89 +g1003 +tp4253 +a(g157 +g986 +tp4254 +a(g705 +g1021 +tp4255 +a(g826 +g984 +tp4256 +a(g435 +Vmax_tmp_name +p4257 +tp4258 +a(g705 +g1021 +tp4259 +a(g826 +g984 +tp4260 +a(g157 +g986 +tp4261 +a(g89 +g1593 +tp4262 +a(g157 +g986 +tp4263 +a(g705 +g1021 +tp4264 +a(g826 +g984 +tp4265 +a(g37 +g1757 +tp4266 +a(g826 +V\u000a +p4267 +tp4268 +a(g826 +V +p4269 +tp4270 +a(g157 +g986 +tp4271 +a(g89 +g1011 +tp4272 +a(g89 +g993 +tp4273 +a(g89 +g1114 +tp4274 +a(g157 +g986 +tp4275 +a(g705 +g1021 +tp4276 +a(g826 +g984 +tp4277 +a(g748 +g1390 +tp4278 +a(g157 +g986 +tp4279 +a(g89 +g1089 +tp4280 +a(g89 +g1059 +tp4281 +a(g89 +g993 +tp4282 +a(g89 +g1442 +tp4283 +a(g89 +g1005 +tp4284 +a(g89 +g2440 +tp4285 +a(g157 +g986 +tp4286 +a(g705 +g1021 +tp4287 +a(g826 +g984 +tp4288 +a(g435 +Vlist_name +p4289 +tp4290 +a(g748 +g1394 +tp4291 +a(g705 +g1021 +tp4292 +a(g826 +g984 +tp4293 +a(g157 +g986 +tp4294 +a(g89 +g3090 +tp4295 +a(g157 +g986 +tp4296 +a(g705 +g1021 +tp4297 +a(g826 +g984 +tp4298 +a(g435 +Vmax_tmp_name +p4299 +tp4300 +a(g826 +V\u000a +p4301 +tp4302 +a(g826 +V +p4303 +tp4304 +a(g157 +g986 +tp4305 +a(g89 +g990 +tp4306 +a(g89 +g999 +tp4307 +a(g157 +g986 +tp4308 +a(g705 +g1021 +tp4309 +a(g826 +g984 +tp4310 +a(g435 +Vmax_tmp_name +p4311 +tp4312 +a(g826 +g984 +tp4313 +a(g748 +g1394 +tp4314 +a(g826 +V\u000a +p4315 +tp4316 +a(g826 +V +p4317 +tp4318 +a(g744 +Velse +p4319 +tp4320 +a(g826 +V\u000a +p4321 +tp4322 +a(g826 +V +p4323 +tp4324 +a(g748 +g1390 +tp4325 +a(g157 +g986 +tp4326 +a(g89 +g1089 +tp4327 +a(g89 +g1059 +tp4328 +a(g89 +g993 +tp4329 +a(g89 +g1442 +tp4330 +a(g89 +g1005 +tp4331 +a(g89 +g2440 +tp4332 +a(g157 +g986 +tp4333 +a(g705 +g1021 +tp4334 +a(g826 +g984 +tp4335 +a(g435 +Vlist_name +p4336 +tp4337 +a(g748 +g1394 +tp4338 +a(g826 +V\u000a +p4339 +tp4340 +a(g826 +V\u000a +p4341 +tp4342 +a(g826 +V +p4343 +tp4344 +a(g435 +Vslice +p4345 +tp4346 +a(g826 +V\u000a +p4347 +tp4348 +a(g826 +V +p4349 +tp4350 +a(g744 +Velse +p4351 +tp4352 +a(g826 +V\u000a +p4353 +tp4354 +a(g826 +V +p4355 +tp4356 +a(g748 +g1390 +tp4357 +a(g37 +g1232 +tp4358 +a(g705 +g1021 +tp4359 +a(g826 +g984 +tp4360 +a(g748 +g1390 +tp4361 +a(g157 +g986 +tp4362 +a(g89 +g1089 +tp4363 +a(g89 +g1059 +tp4364 +a(g89 +g993 +tp4365 +a(g89 +g1442 +tp4366 +a(g89 +g1005 +tp4367 +a(g89 +g2440 +tp4368 +a(g157 +g986 +tp4369 +a(g705 +g1021 +tp4370 +a(g826 +g984 +tp4371 +a(g435 +Vlist_name +p4372 +tp4373 +a(g748 +g1394 +tp4374 +a(g748 +g1394 +tp4375 +a(g826 +V\u000a +p4376 +tp4377 +a(g826 +V\u000a +p4378 +tp4379 +a(g826 +V +p4380 +tp4381 +a(g435 +Vbuild +p4382 +tp4383 +a(g412 +g1026 +tp4384 +a(g435 +Vgroup +p4385 +tp4386 +a(g826 +g984 +tp4387 +a(g748 +g1390 +tp4388 +a(g826 +V\u000a +p4389 +tp4390 +a(g826 +V +p4391 +tp4392 +a(g435 +Vbuild +p4393 +tp4394 +a(g412 +g1026 +tp4395 +a(g435 +Vassign_one +p4396 +tp4397 +a(g826 +g984 +tp4398 +a(g435 +Vlist_name +p4399 +tp4400 +a(g705 +g1021 +tp4401 +a(g826 +g984 +tp4402 +a(g435 +Vlist +p4403 +tp4404 +a(g826 +V\u000a +p4405 +tp4406 +a(g826 +V +p4407 +tp4408 +a(g435 +Vslice_var +p4409 +tp4410 +a(g826 +V\u000a +p4411 +tp4412 +a(g826 +V +p4413 +tp4414 +a(g435 +Vbuild +p4415 +tp4416 +a(g748 +g1230 +tp4417 +a(g157 +g986 +tp4418 +a(g89 +g1015 +tp4419 +a(g89 +g990 +tp4420 +a(g89 +g999 +tp4421 +a(g157 +g986 +tp4422 +a(g748 +g1234 +tp4423 +a(g826 +g984 +tp4424 +a(g748 +g1390 +tp4425 +a(g826 +V\u000a +p4426 +tp4427 +a(g826 +V +p4428 +tp4429 +a(g440 +Vname: +p4430 +tp4431 +a(g826 +g984 +tp4432 +a(g435 +Vindex_name +p4433 +tp4434 +a(g826 +V\u000a +p4435 +tp4436 +a(g826 +V +p4437 +tp4438 +a(g440 +Vbounds: +p4439 +tp4440 +a(g826 +g984 +tp4441 +a(g435 +Vbounds +p4442 +tp4443 +a(g826 +V\u000a +p4444 +tp4445 +a(g826 +V +p4446 +tp4447 +a(g440 +Vbody: +p4448 +tp4449 +a(g826 +g984 +tp4450 +a(g748 +g1390 +tp4451 +a(g826 +V\u000a +p4452 +tp4453 +a(g826 +V +p4454 +tp4455 +a(g748 +g1390 +tp4456 +a(g157 +g986 +tp4457 +a(g89 +g1011 +tp4458 +a(g89 +g995 +tp4459 +a(g89 +g995 +tp4460 +a(g89 +g1001 +tp4461 +a(g89 +g1442 +tp4462 +a(g89 +g993 +tp4463 +a(g157 +g986 +tp4464 +a(g705 +g1021 +tp4465 +a(g826 +g984 +tp4466 +a(g435 +Vnode +p4467 +tp4468 +a(g412 +g1026 +tp4469 +a(g435 +Vnames +p4470 +tp4471 +a(g705 +g1021 +tp4472 +a(g826 +g984 +tp4473 +a(g748 +g1390 +tp4474 +a(g435 +Vlist_name +p4475 +tp4476 +a(g412 +V\u005c +p4477 +tp4478 +a(g435 +Vindex +p4479 +tp4480 +a(g826 +g984 +tp4481 +a(g435 +Vindex_name +p4482 +tp4483 +a(g748 +g1394 +tp4484 +a(g748 +g1394 +tp4485 +a(g826 +V\u000a +p4486 +tp4487 +a(g826 +V +p4488 +tp4489 +a(g435 +Vbuild +p4490 +tp4491 +a(g412 +g1026 +tp4492 +a(g435 +Vgroup +p4493 +tp4494 +a(g826 +g984 +tp4495 +a(g435 +Vnode +p4496 +tp4497 +a(g412 +g1026 +tp4498 +a(g435 +Vbody +p4499 +tp4500 +a(g826 +V\u000a +p4501 +tp4502 +a(g826 +V +p4503 +tp4504 +a(g748 +g1394 +tp4505 +a(g826 +V\u000a +p4506 +tp4507 +a(g826 +V +p4508 +tp4509 +a(g748 +g1394 +tp4510 +a(g826 +V\u000a +p4511 +tp4512 +a(g826 +V +p4513 +tp4514 +a(g748 +g1394 +tp4515 +a(g826 +V\u000a +p4516 +tp4517 +a(g826 +V\u000a +p4518 +tp4519 +a(g826 +V +p4520 +tp4521 +a(g440 +Vswitch: +p4522 +tp4523 +a(g826 +g984 +tp4524 +a(g748 +g1218 +tp4525 +a(g435 +Vnode +p4526 +tp4527 +a(g705 +g1021 +tp4528 +a(g826 +g984 +tp4529 +a(g435 +Vret +p4530 +tp4531 +a(g748 +g1222 +tp4532 +a(g826 +g984 +tp4533 +a(g573 +V=> +p4534 +tp4535 +a(g826 +V\u000a +p4536 +tp4537 +a(g826 +V +p4538 +tp4539 +a(g435 +g1244 +tp4540 +a(g705 +g1021 +tp4541 +a(g826 +g984 +tp4542 +a(g435 +Vexp +p4543 +tp4544 +a(g705 +g1021 +tp4545 +a(g826 +g984 +tp4546 +a(g435 +Vconds +p4547 +tp4548 +a(g826 +g984 +tp4549 +a(g412 +g1037 +tp4550 +a(g826 +g984 +tp4551 +a(g435 +Vunpack +p4552 +tp4553 +a(g826 +g984 +tp4554 +a(g435 +Vnode +p4555 +tp4556 +a(g826 +V\u000a +p4557 +tp4558 +a(g826 +V +p4559 +tp4560 +a(g435 +Vexp_name +p4561 +tp4562 +a(g826 +g984 +tp4563 +a(g412 +g1037 +tp4564 +a(g826 +g984 +tp4565 +a(g628 +VNameProxy +p4566 +tp4567 +a(g826 +g984 +tp4568 +a(g157 +g986 +tp4569 +a(g89 +g1059 +tp4570 +a(g89 +g1550 +tp4571 +a(g89 +g1003 +tp4572 +a(g157 +g986 +tp4573 +a(g826 +V\u000a +p4574 +tp4575 +a(g826 +V\u000a +p4576 +tp4577 +a(g826 +V +p4578 +tp4579 +a(g8 +V-- convert switch conds into if statment conds +p4580 +tp4581 +a(g826 +V\u000a +p4582 +tp4583 +a(g826 +V +p4584 +tp4585 +a(g435 +Vconvert_cond +p4586 +tp4587 +a(g826 +g984 +tp4588 +a(g412 +g1037 +tp4589 +a(g826 +g984 +tp4590 +a(g748 +g1218 +tp4591 +a(g435 +Vcond +p4592 +tp4593 +a(g748 +g1222 +tp4594 +a(g826 +g984 +tp4595 +a(g573 +V-> +p4596 +tp4597 +a(g826 +V\u000a +p4598 +tp4599 +a(g826 +V +p4600 +tp4601 +a(g435 +g1005 +tp4602 +a(g705 +g1021 +tp4603 +a(g826 +g984 +tp4604 +a(g435 +Vcase_exp +p4605 +tp4606 +a(g705 +g1021 +tp4607 +a(g826 +g984 +tp4608 +a(g435 +Vbody +p4609 +tp4610 +a(g826 +g984 +tp4611 +a(g412 +g1037 +tp4612 +a(g826 +g984 +tp4613 +a(g435 +Vunpack +p4614 +tp4615 +a(g826 +g984 +tp4616 +a(g435 +Vcond +p4617 +tp4618 +a(g826 +V\u000a +p4619 +tp4620 +a(g826 +V +p4621 +tp4622 +a(g435 +Vout +p4623 +tp4624 +a(g826 +g984 +tp4625 +a(g412 +g1037 +tp4626 +a(g826 +g984 +tp4627 +a(g748 +g1390 +tp4628 +a(g748 +g1394 +tp4629 +a(g826 +V\u000a +p4630 +tp4631 +a(g826 +V +p4632 +tp4633 +a(g435 +Vinsert +p4634 +tp4635 +a(g826 +g984 +tp4636 +a(g435 +Vout +p4637 +tp4638 +a(g705 +g1021 +tp4639 +a(g826 +g984 +tp4640 +a(g435 +g1005 +tp4641 +a(g826 +g984 +tp4642 +a(g412 +V== +p4643 +tp4644 +a(g826 +g984 +tp4645 +a(g157 +g986 +tp4646 +a(g89 +g997 +tp4647 +a(g89 +g1011 +tp4648 +a(g89 +g995 +tp4649 +a(g89 +g1059 +tp4650 +a(g157 +g986 +tp4651 +a(g826 +g984 +tp4652 +a(g744 +Vand +p4653 +tp4654 +a(g826 +g984 +tp4655 +a(g157 +g986 +tp4656 +a(g89 +g1059 +tp4657 +a(g89 +g1089 +tp4658 +a(g89 +g995 +tp4659 +a(g89 +g1059 +tp4660 +a(g89 +g1001 +tp4661 +a(g89 +g1015 +tp4662 +a(g157 +g986 +tp4663 +a(g826 +g984 +tp4664 +a(g744 +Vor +p4665 +tp4666 +a(g826 +g984 +tp4667 +a(g157 +g986 +tp4668 +a(g89 +g1059 +tp4669 +a(g89 +g1089 +tp4670 +a(g89 +g995 +tp4671 +a(g89 +g1059 +tp4672 +a(g157 +g986 +tp4673 +a(g826 +V\u000a +p4674 +tp4675 +a(g826 +V +p4676 +tp4677 +a(g744 +Vif +p4678 +tp4679 +a(g826 +V +p4680 +tp4681 +a(g435 +g1005 +tp4682 +a(g826 +g984 +tp4683 +a(g412 +V!= +p4684 +tp4685 +a(g826 +g984 +tp4686 +a(g157 +g986 +tp4687 +a(g89 +g1059 +tp4688 +a(g89 +g1089 +tp4689 +a(g89 +g995 +tp4690 +a(g89 +g1059 +tp4691 +a(g157 +g986 +tp4692 +a(g826 +V\u000a +p4693 +tp4694 +a(g826 +V +p4695 +tp4696 +a(g435 +Vinsert +p4697 +tp4698 +a(g826 +g984 +tp4699 +a(g435 +Vout +p4700 +tp4701 +a(g705 +g1021 +tp4702 +a(g826 +g984 +tp4703 +a(g748 +g1390 +tp4704 +a(g157 +g986 +tp4705 +a(g89 +g1059 +tp4706 +a(g89 +g1550 +tp4707 +a(g89 +g1003 +tp4708 +a(g157 +g986 +tp4709 +a(g705 +g1021 +tp4710 +a(g826 +g984 +tp4711 +a(g435 +Vcase_exp +p4712 +tp4713 +a(g705 +g1021 +tp4714 +a(g826 +g984 +tp4715 +a(g157 +g986 +tp4716 +a(g89 +g1037 +tp4717 +a(g89 +g1037 +tp4718 +a(g157 +g986 +tp4719 +a(g705 +g1021 +tp4720 +a(g826 +g984 +tp4721 +a(g435 +Vexp_name +p4722 +tp4723 +a(g748 +g1394 +tp4724 +a(g826 +g984 +tp4725 +a(g744 +Vif +p4726 +tp4727 +a(g826 +g984 +tp4728 +a(g435 +g1005 +tp4729 +a(g826 +g984 +tp4730 +a(g412 +V!= +p4731 +tp4732 +a(g826 +g984 +tp4733 +a(g157 +g986 +tp4734 +a(g89 +g1059 +tp4735 +a(g89 +g1089 +tp4736 +a(g89 +g995 +tp4737 +a(g89 +g1059 +tp4738 +a(g157 +g986 +tp4739 +a(g826 +V\u000a +p4740 +tp4741 +a(g826 +V +p4742 +tp4743 +a(g744 +Velse +p4744 +tp4745 +a(g826 +V\u000a +p4746 +tp4747 +a(g826 +V +p4748 +tp4749 +a(g435 +Vbody +p4750 +tp4751 +a(g826 +g984 +tp4752 +a(g412 +g1037 +tp4753 +a(g826 +g984 +tp4754 +a(g435 +Vcase_exp +p4755 +tp4756 +a(g826 +V\u000a +p4757 +tp4758 +a(g826 +V\u000a +p4759 +tp4760 +a(g826 +V +p4761 +tp4762 +a(g744 +Vif +p4763 +tp4764 +a(g826 +g984 +tp4765 +a(g435 +Vret +p4766 +tp4767 +a(g826 +V\u000a +p4768 +tp4769 +a(g826 +V +p4770 +tp4771 +a(g435 +Vbody +p4772 +tp4773 +a(g826 +g984 +tp4774 +a(g412 +g1037 +tp4775 +a(g826 +g984 +tp4776 +a(g435 +Vapply_to_last +p4777 +tp4778 +a(g826 +g984 +tp4779 +a(g435 +Vbody +p4780 +tp4781 +a(g705 +g1021 +tp4782 +a(g826 +g984 +tp4783 +a(g435 +Vret +p4784 +tp4785 +a(g826 +V\u000a +p4786 +tp4787 +a(g826 +V\u000a +p4788 +tp4789 +a(g826 +V +p4790 +tp4791 +a(g435 +Vinsert +p4792 +tp4793 +a(g826 +g984 +tp4794 +a(g435 +Vout +p4795 +tp4796 +a(g705 +g1021 +tp4797 +a(g826 +g984 +tp4798 +a(g435 +Vbody +p4799 +tp4800 +a(g826 +V\u000a +p4801 +tp4802 +a(g826 +V\u000a +p4803 +tp4804 +a(g826 +V +p4805 +tp4806 +a(g435 +Vout +p4807 +tp4808 +a(g826 +V\u000a +p4809 +tp4810 +a(g826 +V\u000a +p4811 +tp4812 +a(g826 +V +p4813 +tp4814 +a(g435 +Vfirst +p4815 +tp4816 +a(g826 +g984 +tp4817 +a(g412 +g1037 +tp4818 +a(g826 +g984 +tp4819 +a(g763 +Vtrue +p4820 +tp4821 +a(g826 +V\u000a +p4822 +tp4823 +a(g826 +V +p4824 +tp4825 +a(g435 +Vif_stm +p4826 +tp4827 +a(g826 +g984 +tp4828 +a(g412 +g1037 +tp4829 +a(g826 +g984 +tp4830 +a(g748 +g1390 +tp4831 +a(g157 +g986 +tp4832 +a(g89 +g1001 +tp4833 +a(g89 +g1015 +tp4834 +a(g157 +g986 +tp4835 +a(g748 +g1394 +tp4836 +a(g826 +V\u000a +p4837 +tp4838 +a(g826 +V +p4839 +tp4840 +a(g744 +Vfor +p4841 +tp4842 +a(g826 +g984 +tp4843 +a(g435 +Vcond +p4844 +tp4845 +a(g826 +g984 +tp4846 +a(g744 +Vin +p4847 +tp4848 +a(g826 +g984 +tp4849 +a(g412 +g1416 +tp4850 +a(g435 +Vconds +p4851 +tp4852 +a(g826 +V\u000a +p4853 +tp4854 +a(g826 +V +p4855 +tp4856 +a(g435 +Vif_cond +p4857 +tp4858 +a(g826 +g984 +tp4859 +a(g412 +g1037 +tp4860 +a(g826 +g984 +tp4861 +a(g435 +Vconvert_cond +p4862 +tp4863 +a(g826 +g984 +tp4864 +a(g435 +Vcond +p4865 +tp4866 +a(g826 +V\u000a +p4867 +tp4868 +a(g826 +V +p4869 +tp4870 +a(g744 +Vif +p4871 +tp4872 +a(g826 +g984 +tp4873 +a(g435 +Vfirst +p4874 +tp4875 +a(g826 +V\u000a +p4876 +tp4877 +a(g826 +V +p4878 +tp4879 +a(g435 +Vfirst +p4880 +tp4881 +a(g826 +g984 +tp4882 +a(g412 +g1037 +tp4883 +a(g826 +g984 +tp4884 +a(g763 +Vfalse +p4885 +tp4886 +a(g826 +V\u000a +p4887 +tp4888 +a(g826 +V +p4889 +tp4890 +a(g435 +Vinsert +p4891 +tp4892 +a(g826 +g984 +tp4893 +a(g435 +Vif_stm +p4894 +tp4895 +a(g705 +g1021 +tp4896 +a(g826 +g984 +tp4897 +a(g435 +Vif_cond +p4898 +tp4899 +a(g748 +g1230 +tp4900 +a(g37 +g1978 +tp4901 +a(g748 +g1234 +tp4902 +a(g826 +V\u000a +p4903 +tp4904 +a(g826 +V +p4905 +tp4906 +a(g435 +Vinsert +p4907 +tp4908 +a(g826 +g984 +tp4909 +a(g435 +Vif_stm +p4910 +tp4911 +a(g705 +g1021 +tp4912 +a(g826 +g984 +tp4913 +a(g435 +Vif_cond +p4914 +tp4915 +a(g748 +g1230 +tp4916 +a(g37 +g2900 +tp4917 +a(g748 +g1234 +tp4918 +a(g826 +V\u000a +p4919 +tp4920 +a(g826 +V +p4921 +tp4922 +a(g744 +Velse +p4923 +tp4924 +a(g826 +V\u000a +p4925 +tp4926 +a(g826 +V +p4927 +tp4928 +a(g435 +Vinsert +p4929 +tp4930 +a(g826 +g984 +tp4931 +a(g435 +Vif_stm +p4932 +tp4933 +a(g705 +g1021 +tp4934 +a(g826 +g984 +tp4935 +a(g435 +Vif_cond +p4936 +tp4937 +a(g826 +V\u000a +p4938 +tp4939 +a(g826 +V\u000a +p4940 +tp4941 +a(g826 +V +p4942 +tp4943 +a(g435 +Vbuild +p4944 +tp4945 +a(g412 +g1026 +tp4946 +a(g435 +Vgroup +p4947 +tp4948 +a(g826 +g984 +tp4949 +a(g748 +g1390 +tp4950 +a(g826 +V\u000a +p4951 +tp4952 +a(g826 +V +p4953 +tp4954 +a(g435 +Vbuild +p4955 +tp4956 +a(g412 +g1026 +tp4957 +a(g435 +Vassign_one +p4958 +tp4959 +a(g826 +g984 +tp4960 +a(g435 +Vexp_name +p4961 +tp4962 +a(g705 +g1021 +tp4963 +a(g826 +g984 +tp4964 +a(g435 +Vexp +p4965 +tp4966 +a(g826 +V\u000a +p4967 +tp4968 +a(g826 +V +p4969 +tp4970 +a(g435 +Vif_stm +p4971 +tp4972 +a(g826 +V\u000a +p4973 +tp4974 +a(g826 +V +p4975 +tp4976 +a(g748 +g1394 +tp4977 +a(g826 +V\u000a +p4978 +tp4979 +a(g826 +V\u000a +p4980 +tp4981 +a(g826 +V +p4982 +tp4983 +a(g440 +Vclass: +p4984 +tp4985 +a(g826 +g984 +tp4986 +a(g748 +g1218 +tp4987 +a(g435 +Vnode +p4988 +tp4989 +a(g748 +g1222 +tp4990 +a(g826 +g984 +tp4991 +a(g573 +V=> +p4992 +tp4993 +a(g826 +V\u000a +p4994 +tp4995 +a(g826 +V +p4996 +tp4997 +a(g435 +g1244 +tp4998 +a(g705 +g1021 +tp4999 +a(g826 +g984 +tp5000 +a(g435 +Vname +p5001 +tp5002 +a(g705 +g1021 +tp5003 +a(g826 +g984 +tp5004 +a(g435 +Vparent_val +p5005 +tp5006 +a(g705 +g1021 +tp5007 +a(g826 +g984 +tp5008 +a(g435 +Vbody +p5009 +tp5010 +a(g826 +g984 +tp5011 +a(g412 +g1037 +tp5012 +a(g826 +g984 +tp5013 +a(g435 +Vunpack +p5014 +tp5015 +a(g826 +g984 +tp5016 +a(g435 +Vnode +p5017 +tp5018 +a(g826 +V\u000a +p5019 +tp5020 +a(g826 +V\u000a +p5021 +tp5022 +a(g826 +V +p5023 +tp5024 +a(g8 +V-- split apart properties and statements +p5025 +tp5026 +a(g826 +V\u000a +p5027 +tp5028 +a(g826 +V +p5029 +tp5030 +a(g435 +Vstatements +p5031 +tp5032 +a(g826 +g984 +tp5033 +a(g412 +g1037 +tp5034 +a(g826 +g984 +tp5035 +a(g748 +g1390 +tp5036 +a(g748 +g1394 +tp5037 +a(g826 +V\u000a +p5038 +tp5039 +a(g826 +V +p5040 +tp5041 +a(g435 +Vproperties +p5042 +tp5043 +a(g826 +g984 +tp5044 +a(g412 +g1037 +tp5045 +a(g826 +g984 +tp5046 +a(g748 +g1390 +tp5047 +a(g748 +g1394 +tp5048 +a(g826 +V\u000a +p5049 +tp5050 +a(g826 +V +p5051 +tp5052 +a(g744 +Vfor +p5053 +tp5054 +a(g826 +g984 +tp5055 +a(g435 +Vitem +p5056 +tp5057 +a(g826 +g984 +tp5058 +a(g744 +Vin +p5059 +tp5060 +a(g826 +g984 +tp5061 +a(g412 +g1416 +tp5062 +a(g435 +Vbody +p5063 +tp5064 +a(g826 +V\u000a +p5065 +tp5066 +a(g826 +V +p5067 +tp5068 +a(g744 +Vswitch +p5069 +tp5070 +a(g826 +g984 +tp5071 +a(g435 +Vitem +p5072 +tp5073 +a(g748 +g1230 +tp5074 +a(g37 +g1232 +tp5075 +a(g748 +g1234 +tp5076 +a(g826 +V\u000a +p5077 +tp5078 +a(g826 +V +p5079 +tp5080 +a(g744 +Vwhen +p5081 +tp5082 +a(g826 +g984 +tp5083 +a(g157 +g986 +tp5084 +a(g89 +g995 +tp5085 +a(g89 +g1005 +tp5086 +a(g89 +g988 +tp5087 +a(g157 +g986 +tp5088 +a(g826 +V\u000a +p5089 +tp5090 +a(g826 +V +p5091 +tp5092 +a(g435 +Vinsert +p5093 +tp5094 +a(g826 +g984 +tp5095 +a(g435 +Vstatements +p5096 +tp5097 +a(g705 +g1021 +tp5098 +a(g826 +g984 +tp5099 +a(g435 +Vitem +p5100 +tp5101 +a(g748 +g1230 +tp5102 +a(g37 +g1978 +tp5103 +a(g748 +g1234 +tp5104 +a(g826 +V\u000a +p5105 +tp5106 +a(g826 +V +p5107 +tp5108 +a(g744 +Vwhen +p5109 +tp5110 +a(g826 +g984 +tp5111 +a(g157 +g986 +tp5112 +a(g89 +g1003 +tp5113 +a(g89 +g999 +tp5114 +a(g89 +g990 +tp5115 +a(g89 +g1003 +tp5116 +a(g89 +g995 +tp5117 +a(g157 +g986 +tp5118 +a(g826 +V\u000a +p5119 +tp5120 +a(g826 +V +p5121 +tp5122 +a(g744 +Vfor +p5123 +tp5124 +a(g826 +g984 +tp5125 +a(g435 +Vtuple +p5126 +tp5127 +a(g826 +g984 +tp5128 +a(g744 +Vin +p5129 +tp5130 +a(g826 +g984 +tp5131 +a(g412 +g1416 +tp5132 +a(g435 +Vitem +p5133 +tp5134 +a(g748 +g1230 +tp5135 +a(g37 +g1978 +tp5136 +a(g705 +g1021 +tp5137 +a(g748 +g1234 +tp5138 +a(g826 +V\u000a +p5139 +tp5140 +a(g826 +V +p5141 +tp5142 +a(g435 +Vinsert +p5143 +tp5144 +a(g826 +g984 +tp5145 +a(g435 +Vproperties +p5146 +tp5147 +a(g705 +g1021 +tp5148 +a(g826 +g984 +tp5149 +a(g435 +Vtuple +p5150 +tp5151 +a(g826 +V\u000a +p5152 +tp5153 +a(g826 +V\u000a +p5154 +tp5155 +a(g826 +V +p5156 +tp5157 +a(g8 +V-- find constructor +p5158 +tp5159 +a(g826 +V\u000a +p5160 +tp5161 +a(g826 +V +p5162 +tp5163 +a(g435 +Vconstructor +p5164 +tp5165 +a(g826 +g984 +tp5166 +a(g412 +g1037 +tp5167 +a(g826 +g984 +tp5168 +a(g763 +Vnil +p5169 +tp5170 +a(g826 +V\u000a +p5171 +tp5172 +a(g826 +V +p5173 +tp5174 +a(g435 +Vproperties +p5175 +tp5176 +a(g826 +g984 +tp5177 +a(g412 +g1037 +tp5178 +a(g826 +g984 +tp5179 +a(g744 +Vfor +p5180 +tp5181 +a(g826 +g984 +tp5182 +a(g435 +Vtuple +p5183 +tp5184 +a(g826 +g984 +tp5185 +a(g744 +Vin +p5186 +tp5187 +a(g826 +g984 +tp5188 +a(g412 +g1416 +tp5189 +a(g435 +Vproperties +p5190 +tp5191 +a(g826 +V\u000a +p5192 +tp5193 +a(g826 +V +p5194 +tp5195 +a(g744 +Vif +p5196 +tp5197 +a(g826 +g984 +tp5198 +a(g435 +Vtuple +p5199 +tp5200 +a(g748 +g1230 +tp5201 +a(g37 +g1232 +tp5202 +a(g748 +g1234 +tp5203 +a(g826 +g984 +tp5204 +a(g412 +V== +p5205 +tp5206 +a(g826 +g984 +tp5207 +a(g435 +Vconstructor_name +p5208 +tp5209 +a(g826 +V\u000a +p5210 +tp5211 +a(g826 +V +p5212 +tp5213 +a(g435 +Vconstructor +p5214 +tp5215 +a(g826 +g984 +tp5216 +a(g412 +g1037 +tp5217 +a(g826 +g984 +tp5218 +a(g435 +Vtuple +p5219 +tp5220 +a(g748 +g1230 +tp5221 +a(g37 +g1978 +tp5222 +a(g748 +g1234 +tp5223 +a(g826 +V\u000a +p5224 +tp5225 +a(g826 +V +p5226 +tp5227 +a(g763 +Vnil +p5228 +tp5229 +a(g826 +V\u000a +p5230 +tp5231 +a(g826 +V +p5232 +tp5233 +a(g744 +Velse +p5234 +tp5235 +a(g826 +V\u000a +p5236 +tp5237 +a(g826 +V +p5238 +tp5239 +a(g435 +Vtuple +p5240 +tp5241 +a(g826 +V\u000a +p5242 +tp5243 +a(g826 +V\u000a +p5244 +tp5245 +a(g826 +V +p5246 +tp5247 +a(g435 +Vparent_cls_name +p5248 +tp5249 +a(g826 +g984 +tp5250 +a(g412 +g1037 +tp5251 +a(g826 +g984 +tp5252 +a(g628 +VNameProxy +p5253 +tp5254 +a(g826 +g984 +tp5255 +a(g157 +g986 +tp5256 +a(g89 +g1003 +tp5257 +a(g89 +g1011 +tp5258 +a(g89 +g999 +tp5259 +a(g89 +g1059 +tp5260 +a(g89 +g993 +tp5261 +a(g89 +g1005 +tp5262 +a(g157 +g986 +tp5263 +a(g826 +V\u000a +p5264 +tp5265 +a(g826 +V +p5266 +tp5267 +a(g435 +Vbase_name +p5268 +tp5269 +a(g826 +g984 +tp5270 +a(g412 +g1037 +tp5271 +a(g826 +g984 +tp5272 +a(g628 +VNameProxy +p5273 +tp5274 +a(g826 +g984 +tp5275 +a(g157 +g986 +tp5276 +a(g89 +g3244 +tp5277 +a(g89 +g1011 +tp5278 +a(g89 +g995 +tp5279 +a(g89 +g1059 +tp5280 +a(g157 +g986 +tp5281 +a(g826 +V\u000a +p5282 +tp5283 +a(g826 +V +p5284 +tp5285 +a(g435 +Vself_name +p5286 +tp5287 +a(g826 +g984 +tp5288 +a(g412 +g1037 +tp5289 +a(g826 +g984 +tp5290 +a(g628 +VNameProxy +p5291 +tp5292 +a(g826 +g984 +tp5293 +a(g157 +g986 +tp5294 +a(g89 +g995 +tp5295 +a(g89 +g1059 +tp5296 +a(g89 +g1089 +tp5297 +a(g89 +g1015 +tp5298 +a(g157 +g986 +tp5299 +a(g826 +V\u000a +p5300 +tp5301 +a(g826 +V +p5302 +tp5303 +a(g435 +Vcls_name +p5304 +tp5305 +a(g826 +g984 +tp5306 +a(g412 +g1037 +tp5307 +a(g826 +g984 +tp5308 +a(g628 +VNameProxy +p5309 +tp5310 +a(g826 +g984 +tp5311 +a(g157 +g986 +tp5312 +a(g89 +g997 +tp5313 +a(g89 +g1089 +tp5314 +a(g89 +g1011 +tp5315 +a(g89 +g995 +tp5316 +a(g89 +g995 +tp5317 +a(g157 +g986 +tp5318 +a(g826 +V\u000a +p5319 +tp5320 +a(g826 +V\u000a +p5321 +tp5322 +a(g826 +V +p5323 +tp5324 +a(g744 +Vif +p5325 +tp5326 +a(g826 +g984 +tp5327 +a(g744 +Vnot +p5328 +tp5329 +a(g826 +g984 +tp5330 +a(g435 +Vconstructor +p5331 +tp5332 +a(g826 +V\u000a +p5333 +tp5334 +a(g826 +V +p5335 +tp5336 +a(g435 +Vconstructor +p5337 +tp5338 +a(g826 +g984 +tp5339 +a(g412 +g1037 +tp5340 +a(g826 +g984 +tp5341 +a(g435 +Vbuild +p5342 +tp5343 +a(g412 +g1026 +tp5344 +a(g435 +Vfndef +p5345 +tp5346 +a(g826 +g984 +tp5347 +a(g748 +g1390 +tp5348 +a(g826 +V\u000a +p5349 +tp5350 +a(g826 +V +p5351 +tp5352 +a(g440 +Vargs: +p5353 +tp5354 +a(g826 +g984 +tp5355 +a(g748 +g1390 +tp5356 +a(g748 +g1390 +tp5357 +a(g157 +g986 +tp5358 +a(g89 +g1007 +tp5359 +a(g89 +g1007 +tp5360 +a(g89 +g1007 +tp5361 +a(g157 +g986 +tp5362 +a(g748 +g1394 +tp5363 +a(g748 +g1394 +tp5364 +a(g826 +V\u000a +p5365 +tp5366 +a(g826 +V +p5367 +tp5368 +a(g440 +Varrow: +p5369 +tp5370 +a(g826 +g984 +tp5371 +a(g157 +g986 +tp5372 +a(g89 +g1015 +tp5373 +a(g89 +g1011 +tp5374 +a(g89 +g1005 +tp5375 +a(g157 +g986 +tp5376 +a(g826 +V\u000a +p5377 +tp5378 +a(g826 +V +p5379 +tp5380 +a(g440 +Vbody: +p5381 +tp5382 +a(g826 +g984 +tp5383 +a(g748 +g1390 +tp5384 +a(g826 +V\u000a +p5385 +tp5386 +a(g826 +V +p5387 +tp5388 +a(g435 +Vbuild +p5389 +tp5390 +a(g748 +g1230 +tp5391 +a(g157 +g986 +tp5392 +a(g89 +g1001 +tp5393 +a(g89 +g1015 +tp5394 +a(g157 +g986 +tp5395 +a(g748 +g1234 +tp5396 +a(g826 +g984 +tp5397 +a(g748 +g1390 +tp5398 +a(g826 +V\u000a +p5399 +tp5400 +a(g826 +V +p5401 +tp5402 +a(g440 +Vcond: +p5403 +tp5404 +a(g826 +g984 +tp5405 +a(g435 +Vparent_cls_name +p5406 +tp5407 +a(g826 +V\u000a +p5408 +tp5409 +a(g826 +V +p5410 +tp5411 +a(g440 +Vthen: +p5412 +tp5413 +a(g826 +g984 +tp5414 +a(g748 +g1390 +tp5415 +a(g826 +V\u000a +p5416 +tp5417 +a(g826 +V +p5418 +tp5419 +a(g435 +Vbuild +p5420 +tp5421 +a(g412 +g1026 +tp5422 +a(g435 +Vchain +p5423 +tp5424 +a(g826 +g984 +tp5425 +a(g748 +g1390 +tp5426 +a(g826 +g984 +tp5427 +a(g440 +Vbase: +p5428 +tp5429 +a(g826 +g984 +tp5430 +a(g157 +g986 +tp5431 +a(g89 +g995 +tp5432 +a(g89 +g1085 +tp5433 +a(g89 +g1003 +tp5434 +a(g89 +g1059 +tp5435 +a(g89 +g999 +tp5436 +a(g157 +g986 +tp5437 +a(g705 +g1021 +tp5438 +a(g826 +g984 +tp5439 +a(g748 +g1390 +tp5440 +a(g157 +g986 +tp5441 +a(g89 +g997 +tp5442 +a(g89 +g1011 +tp5443 +a(g89 +g1089 +tp5444 +a(g89 +g1089 +tp5445 +a(g157 +g986 +tp5446 +a(g705 +g1021 +tp5447 +a(g826 +g984 +tp5448 +a(g748 +g1390 +tp5449 +a(g157 +g986 +tp5450 +a(g89 +g1007 +tp5451 +a(g89 +g1007 +tp5452 +a(g89 +g1007 +tp5453 +a(g157 +g986 +tp5454 +a(g748 +g1394 +tp5455 +a(g748 +g1394 +tp5456 +a(g826 +g984 +tp5457 +a(g748 +g1394 +tp5458 +a(g826 +V\u000a +p5459 +tp5460 +a(g826 +V +p5461 +tp5462 +a(g748 +g1394 +tp5463 +a(g826 +V\u000a +p5464 +tp5465 +a(g826 +V +p5466 +tp5467 +a(g748 +g1394 +tp5468 +a(g826 +V\u000a +p5469 +tp5470 +a(g826 +V +p5471 +tp5472 +a(g748 +g1394 +tp5473 +a(g826 +V\u000a +p5474 +tp5475 +a(g826 +V +p5476 +tp5477 +a(g748 +g1394 +tp5478 +a(g826 +V\u000a +p5479 +tp5480 +a(g826 +V +p5481 +tp5482 +a(g744 +Velse +p5483 +tp5484 +a(g826 +V\u000a +p5485 +tp5486 +a(g826 +V +p5487 +tp5488 +a(g435 +Vsmart_node +p5489 +tp5490 +a(g826 +g984 +tp5491 +a(g435 +Vconstructor +p5492 +tp5493 +a(g826 +V\u000a +p5494 +tp5495 +a(g826 +V +p5496 +tp5497 +a(g435 +Vconstructor +p5498 +tp5499 +a(g412 +g1026 +tp5500 +a(g435 +Varrow +p5501 +tp5502 +a(g826 +g984 +tp5503 +a(g412 +g1037 +tp5504 +a(g826 +g984 +tp5505 +a(g157 +g986 +tp5506 +a(g89 +g1015 +tp5507 +a(g89 +g1011 +tp5508 +a(g89 +g1005 +tp5509 +a(g157 +g986 +tp5510 +a(g826 +V\u000a +p5511 +tp5512 +a(g826 +V\u000a +p5513 +tp5514 +a(g826 +V +p5515 +tp5516 +a(g435 +Vcls +p5517 +tp5518 +a(g826 +g984 +tp5519 +a(g412 +g1037 +tp5520 +a(g826 +g984 +tp5521 +a(g435 +Vbuild +p5522 +tp5523 +a(g412 +g1026 +tp5524 +a(g435 +Vtable +p5525 +tp5526 +a(g826 +g984 +tp5527 +a(g748 +g1390 +tp5528 +a(g826 +V\u000a +p5529 +tp5530 +a(g826 +V +p5531 +tp5532 +a(g748 +g1390 +tp5533 +a(g157 +g986 +tp5534 +a(g89 +g1244 +tp5535 +a(g89 +g1244 +tp5536 +a(g89 +g1001 +tp5537 +a(g89 +g993 +tp5538 +a(g89 +g1001 +tp5539 +a(g89 +g1005 +tp5540 +a(g157 +g986 +tp5541 +a(g705 +g1021 +tp5542 +a(g826 +g984 +tp5543 +a(g435 +Vconstructor +p5544 +tp5545 +a(g748 +g1394 +tp5546 +a(g826 +V\u000a +p5547 +tp5548 +a(g826 +V +p5549 +tp5550 +a(g748 +g1390 +tp5551 +a(g157 +g986 +tp5552 +a(g89 +g1244 +tp5553 +a(g89 +g1244 +tp5554 +a(g89 +g3244 +tp5555 +a(g89 +g1011 +tp5556 +a(g89 +g995 +tp5557 +a(g89 +g1059 +tp5558 +a(g157 +g986 +tp5559 +a(g705 +g1021 +tp5560 +a(g826 +g984 +tp5561 +a(g435 +Vbase_name +p5562 +tp5563 +a(g748 +g1394 +tp5564 +a(g826 +V\u000a +p5565 +tp5566 +a(g826 +V +p5567 +tp5568 +a(g748 +g1390 +tp5569 +a(g157 +g986 +tp5570 +a(g89 +g1244 +tp5571 +a(g89 +g1244 +tp5572 +a(g89 +g993 +tp5573 +a(g89 +g1011 +tp5574 +a(g89 +g988 +tp5575 +a(g89 +g1059 +tp5576 +a(g157 +g986 +tp5577 +a(g705 +g1021 +tp5578 +a(g826 +g984 +tp5579 +a(g748 +g1390 +tp5580 +a(g157 +g986 +tp5581 +a(g89 +g995 +tp5582 +a(g89 +g1005 +tp5583 +a(g89 +g999 +tp5584 +a(g89 +g1001 +tp5585 +a(g89 +g993 +tp5586 +a(g89 +g1442 +tp5587 +a(g157 +g986 +tp5588 +a(g705 +g1021 +tp5589 +a(g826 +g984 +tp5590 +a(g229 +g3731 +tp5591 +a(g89 +g986 +tp5592 +a(g229 +g3731 +tp5593 +a(g705 +g1021 +tp5594 +a(g826 +g984 +tp5595 +a(g435 +Vname +p5596 +tp5597 +a(g748 +g1394 +tp5598 +a(g748 +g1394 +tp5599 +a(g826 +g984 +tp5600 +a(g8 +V-- "quote the string" +p5601 +tp5602 +a(g826 +V\u000a +p5603 +tp5604 +a(g826 +V +p5605 +tp5606 +a(g748 +g1390 +tp5607 +a(g157 +g986 +tp5608 +a(g89 +g1244 +tp5609 +a(g89 +g1244 +tp5610 +a(g89 +g1003 +tp5611 +a(g89 +g1011 +tp5612 +a(g89 +g999 +tp5613 +a(g89 +g1059 +tp5614 +a(g89 +g993 +tp5615 +a(g89 +g1005 +tp5616 +a(g157 +g986 +tp5617 +a(g705 +g1021 +tp5618 +a(g826 +g984 +tp5619 +a(g435 +Vparent_cls_name +p5620 +tp5621 +a(g748 +g1394 +tp5622 +a(g826 +V\u000a +p5623 +tp5624 +a(g826 +V +p5625 +tp5626 +a(g748 +g1394 +tp5627 +a(g826 +V\u000a +p5628 +tp5629 +a(g826 +V\u000a +p5630 +tp5631 +a(g826 +V +p5632 +tp5633 +a(g8 +V-- look up a name in the class object +p5634 +tp5635 +a(g826 +V\u000a +p5636 +tp5637 +a(g826 +V +p5638 +tp5639 +a(g435 +Vclass_lookup +p5640 +tp5641 +a(g826 +g984 +tp5642 +a(g412 +g1037 +tp5643 +a(g826 +g984 +tp5644 +a(g435 +Vbuild +p5645 +tp5646 +a(g748 +g1230 +tp5647 +a(g157 +g986 +tp5648 +a(g89 +g1001 +tp5649 +a(g89 +g1015 +tp5650 +a(g157 +g986 +tp5651 +a(g748 +g1234 +tp5652 +a(g826 +g984 +tp5653 +a(g748 +g1390 +tp5654 +a(g826 +V\u000a +p5655 +tp5656 +a(g826 +V +p5657 +tp5658 +a(g440 +Vcond: +p5659 +tp5660 +a(g826 +g984 +tp5661 +a(g748 +g1390 +tp5662 +a(g157 +g986 +tp5663 +a(g89 +g1059 +tp5664 +a(g89 +g1550 +tp5665 +a(g89 +g1003 +tp5666 +a(g157 +g986 +tp5667 +a(g705 +g1021 +tp5668 +a(g826 +g984 +tp5669 +a(g157 +g986 +tp5670 +a(g89 +g3947 +tp5671 +a(g89 +g1011 +tp5672 +a(g89 +g1089 +tp5673 +a(g157 +g986 +tp5674 +a(g705 +g1021 +tp5675 +a(g826 +g984 +tp5676 +a(g157 +g986 +tp5677 +a(g89 +g1037 +tp5678 +a(g89 +g1037 +tp5679 +a(g157 +g986 +tp5680 +a(g705 +g1021 +tp5681 +a(g826 +g984 +tp5682 +a(g157 +g986 +tp5683 +a(g89 +g993 +tp5684 +a(g89 +g1001 +tp5685 +a(g89 +g1089 +tp5686 +a(g157 +g986 +tp5687 +a(g705 +g1021 +tp5688 +a(g826 +g984 +tp5689 +a(g157 +g986 +tp5690 +a(g89 +g1011 +tp5691 +a(g89 +g993 +tp5692 +a(g89 +g1114 +tp5693 +a(g157 +g986 +tp5694 +a(g705 +g1021 +tp5695 +a(g826 +g984 +tp5696 +a(g435 +Vparent_cls_name +p5697 +tp5698 +a(g748 +g1394 +tp5699 +a(g826 +V\u000a +p5700 +tp5701 +a(g826 +V +p5702 +tp5703 +a(g440 +Vthen: +p5704 +tp5705 +a(g826 +g984 +tp5706 +a(g748 +g1390 +tp5707 +a(g826 +V\u000a +p5708 +tp5709 +a(g826 +V +p5710 +tp5711 +a(g435 +Vparent_cls_name +p5712 +tp5713 +a(g412 +V\u005c +p5714 +tp5715 +a(g435 +Vindex +p5716 +tp5717 +a(g157 +g986 +tp5718 +a(g89 +g993 +tp5719 +a(g89 +g1011 +tp5720 +a(g89 +g988 +tp5721 +a(g89 +g1059 +tp5722 +a(g157 +g986 +tp5723 +a(g826 +V\u000a +p5724 +tp5725 +a(g826 +V +p5726 +tp5727 +a(g748 +g1394 +tp5728 +a(g826 +V\u000a +p5729 +tp5730 +a(g826 +V +p5731 +tp5732 +a(g748 +g1394 +tp5733 +a(g826 +V\u000a +p5734 +tp5735 +a(g826 +V +p5736 +tp5737 +a(g435 +Vinsert +p5738 +tp5739 +a(g826 +g984 +tp5740 +a(g435 +Vclass_lookup +p5741 +tp5742 +a(g705 +g1021 +tp5743 +a(g826 +g984 +tp5744 +a(g748 +g1390 +tp5745 +a(g157 +g986 +tp5746 +a(g89 +g1059 +tp5747 +a(g89 +g1089 +tp5748 +a(g89 +g995 +tp5749 +a(g89 +g1059 +tp5750 +a(g157 +g986 +tp5751 +a(g705 +g1021 +tp5752 +a(g826 +g984 +tp5753 +a(g748 +g1390 +tp5754 +a(g157 +g986 +tp5755 +a(g89 +g3947 +tp5756 +a(g89 +g1011 +tp5757 +a(g89 +g1089 +tp5758 +a(g157 +g986 +tp5759 +a(g748 +g1394 +tp5760 +a(g748 +g1394 +tp5761 +a(g826 +V\u000a +p5762 +tp5763 +a(g826 +V\u000a +p5764 +tp5765 +a(g826 +V +p5766 +tp5767 +a(g435 +Vcls_mt +p5768 +tp5769 +a(g826 +g984 +tp5770 +a(g412 +g1037 +tp5771 +a(g826 +g984 +tp5772 +a(g435 +Vbuild +p5773 +tp5774 +a(g412 +g1026 +tp5775 +a(g435 +Vtable +p5776 +tp5777 +a(g826 +g984 +tp5778 +a(g748 +g1390 +tp5779 +a(g826 +V\u000a +p5780 +tp5781 +a(g826 +V +p5782 +tp5783 +a(g748 +g1390 +tp5784 +a(g157 +g986 +tp5785 +a(g89 +g1244 +tp5786 +a(g89 +g1244 +tp5787 +a(g89 +g1001 +tp5788 +a(g89 +g993 +tp5789 +a(g89 +g1114 +tp5790 +a(g89 +g1059 +tp5791 +a(g89 +g1550 +tp5792 +a(g157 +g986 +tp5793 +a(g705 +g1021 +tp5794 +a(g826 +g984 +tp5795 +a(g435 +Vbuild +p5796 +tp5797 +a(g412 +g1026 +tp5798 +a(g435 +Vfndef +p5799 +tp5800 +a(g826 +g984 +tp5801 +a(g748 +g1390 +tp5802 +a(g826 +V\u000a +p5803 +tp5804 +a(g826 +V +p5805 +tp5806 +a(g440 +Vargs: +p5807 +tp5808 +a(g826 +g984 +tp5809 +a(g748 +g1390 +tp5810 +a(g748 +g1390 +tp5811 +a(g157 +g986 +tp5812 +a(g89 +g997 +tp5813 +a(g89 +g1089 +tp5814 +a(g89 +g995 +tp5815 +a(g157 +g986 +tp5816 +a(g748 +g1394 +tp5817 +a(g705 +g1021 +tp5818 +a(g826 +g984 +tp5819 +a(g748 +g1390 +tp5820 +a(g157 +g986 +tp5821 +a(g89 +g993 +tp5822 +a(g89 +g1011 +tp5823 +a(g89 +g988 +tp5824 +a(g89 +g1059 +tp5825 +a(g157 +g986 +tp5826 +a(g748 +g1394 +tp5827 +a(g748 +g1394 +tp5828 +a(g826 +V\u000a +p5829 +tp5830 +a(g826 +V +p5831 +tp5832 +a(g440 +Vbody: +p5833 +tp5834 +a(g826 +g984 +tp5835 +a(g748 +g1390 +tp5836 +a(g826 +V\u000a +p5837 +tp5838 +a(g826 +V +p5839 +tp5840 +a(g435 +Vbuild +p5841 +tp5842 +a(g412 +g1026 +tp5843 +a(g435 +Vassign_one +p5844 +tp5845 +a(g826 +g984 +tp5846 +a(g628 +VLocalName +p5847 +tp5848 +a(g157 +g986 +tp5849 +a(g89 +g3947 +tp5850 +a(g89 +g1011 +tp5851 +a(g89 +g1089 +tp5852 +a(g157 +g986 +tp5853 +a(g705 +g1021 +tp5854 +a(g826 +g984 +tp5855 +a(g435 +Vbuild +p5856 +tp5857 +a(g412 +g1026 +tp5858 +a(g435 +Vchain +p5859 +tp5860 +a(g826 +g984 +tp5861 +a(g748 +g1390 +tp5862 +a(g826 +V\u000a +p5863 +tp5864 +a(g826 +V +p5865 +tp5866 +a(g440 +Vbase: +p5867 +tp5868 +a(g826 +g984 +tp5869 +a(g157 +g986 +tp5870 +a(g89 +g999 +tp5871 +a(g89 +g1011 +tp5872 +a(g89 +g2005 +tp5873 +a(g89 +g1442 +tp5874 +a(g89 +g1059 +tp5875 +a(g89 +g1005 +tp5876 +a(g157 +g986 +tp5877 +a(g705 +g1021 +tp5878 +a(g826 +g984 +tp5879 +a(g748 +g1390 +tp5880 +a(g157 +g986 +tp5881 +a(g89 +g997 +tp5882 +a(g89 +g1011 +tp5883 +a(g89 +g1089 +tp5884 +a(g89 +g1089 +tp5885 +a(g157 +g986 +tp5886 +a(g705 +g1021 +tp5887 +a(g826 +g984 +tp5888 +a(g748 +g1390 +tp5889 +a(g435 +Vbase_name +p5890 +tp5891 +a(g705 +g1021 +tp5892 +a(g826 +g984 +tp5893 +a(g157 +g986 +tp5894 +a(g89 +g993 +tp5895 +a(g89 +g1011 +tp5896 +a(g89 +g988 +tp5897 +a(g89 +g1059 +tp5898 +a(g157 +g986 +tp5899 +a(g748 +g1394 +tp5900 +a(g748 +g1394 +tp5901 +a(g826 +V\u000a +p5902 +tp5903 +a(g826 +V +p5904 +tp5905 +a(g748 +g1394 +tp5906 +a(g826 +V\u000a +p5907 +tp5908 +a(g826 +V +p5909 +tp5910 +a(g435 +Vclass_lookup +p5911 +tp5912 +a(g826 +V\u000a +p5913 +tp5914 +a(g826 +V +p5915 +tp5916 +a(g748 +g1394 +tp5917 +a(g826 +V\u000a +p5918 +tp5919 +a(g826 +V +p5920 +tp5921 +a(g748 +g1394 +tp5922 +a(g748 +g1394 +tp5923 +a(g826 +V\u000a +p5924 +tp5925 +a(g826 +V +p5926 +tp5927 +a(g748 +g1390 +tp5928 +a(g157 +g986 +tp5929 +a(g89 +g1244 +tp5930 +a(g89 +g1244 +tp5931 +a(g89 +g997 +tp5932 +a(g89 +g1011 +tp5933 +a(g89 +g1089 +tp5934 +a(g89 +g1089 +tp5935 +a(g157 +g986 +tp5936 +a(g705 +g1021 +tp5937 +a(g826 +g984 +tp5938 +a(g435 +Vbuild +p5939 +tp5940 +a(g412 +g1026 +tp5941 +a(g435 +Vfndef +p5942 +tp5943 +a(g826 +g984 +tp5944 +a(g748 +g1390 +tp5945 +a(g826 +V\u000a +p5946 +tp5947 +a(g826 +V +p5948 +tp5949 +a(g440 +Vargs: +p5950 +tp5951 +a(g826 +g984 +tp5952 +a(g748 +g1390 +tp5953 +a(g748 +g1390 +tp5954 +a(g157 +g986 +tp5955 +a(g89 +g997 +tp5956 +a(g89 +g1089 +tp5957 +a(g89 +g995 +tp5958 +a(g157 +g986 +tp5959 +a(g748 +g1394 +tp5960 +a(g705 +g1021 +tp5961 +a(g826 +g984 +tp5962 +a(g748 +g1390 +tp5963 +a(g157 +g986 +tp5964 +a(g89 +g1007 +tp5965 +a(g89 +g1007 +tp5966 +a(g89 +g1007 +tp5967 +a(g157 +g986 +tp5968 +a(g748 +g1394 +tp5969 +a(g748 +g1394 +tp5970 +a(g826 +V\u000a +p5971 +tp5972 +a(g826 +V +p5973 +tp5974 +a(g440 +Vbody: +p5975 +tp5976 +a(g826 +g984 +tp5977 +a(g748 +g1390 +tp5978 +a(g826 +V\u000a +p5979 +tp5980 +a(g826 +V +p5981 +tp5982 +a(g435 +Vbuild +p5983 +tp5984 +a(g412 +g1026 +tp5985 +a(g435 +Vassign_one +p5986 +tp5987 +a(g826 +g984 +tp5988 +a(g435 +Vself_name +p5989 +tp5990 +a(g705 +g1021 +tp5991 +a(g826 +g984 +tp5992 +a(g435 +Vbuild +p5993 +tp5994 +a(g412 +g1026 +tp5995 +a(g435 +Vchain +p5996 +tp5997 +a(g826 +g984 +tp5998 +a(g748 +g1390 +tp5999 +a(g826 +V\u000a +p6000 +tp6001 +a(g826 +V +p6002 +tp6003 +a(g440 +Vbase: +p6004 +tp6005 +a(g826 +g984 +tp6006 +a(g157 +g986 +tp6007 +a(g89 +g995 +tp6008 +a(g89 +g1059 +tp6009 +a(g89 +g1005 +tp6010 +a(g89 +g988 +tp6011 +a(g89 +g1059 +tp6012 +a(g89 +g1005 +tp6013 +a(g89 +g1011 +tp6014 +a(g89 +g1005 +tp6015 +a(g89 +g1011 +tp6016 +a(g89 +g3244 +tp6017 +a(g89 +g1089 +tp6018 +a(g89 +g1059 +tp6019 +a(g157 +g986 +tp6020 +a(g826 +V\u000a +p6021 +tp6022 +a(g826 +V +p6023 +tp6024 +a(g748 +g1390 +tp6025 +a(g157 +g986 +tp6026 +a(g89 +g997 +tp6027 +a(g89 +g1011 +tp6028 +a(g89 +g1089 +tp6029 +a(g89 +g1089 +tp6030 +a(g157 +g986 +tp6031 +a(g705 +g1021 +tp6032 +a(g826 +g984 +tp6033 +a(g748 +g1390 +tp6034 +a(g157 +g986 +tp6035 +a(g89 +g1390 +tp6036 +a(g89 +g1394 +tp6037 +a(g157 +g986 +tp6038 +a(g705 +g1021 +tp6039 +a(g826 +g984 +tp6040 +a(g435 +Vbase_name +p6041 +tp6042 +a(g748 +g1394 +tp6043 +a(g748 +g1394 +tp6044 +a(g826 +V\u000a +p6045 +tp6046 +a(g826 +V +p6047 +tp6048 +a(g748 +g1394 +tp6049 +a(g826 +V\u000a +p6050 +tp6051 +a(g826 +V +p6052 +tp6053 +a(g435 +Vbuild +p6054 +tp6055 +a(g412 +g1026 +tp6056 +a(g435 +Vchain +p6057 +tp6058 +a(g826 +g984 +tp6059 +a(g748 +g1390 +tp6060 +a(g826 +V\u000a +p6061 +tp6062 +a(g826 +V +p6063 +tp6064 +a(g440 +Vbase: +p6065 +tp6066 +a(g826 +g984 +tp6067 +a(g157 +g986 +tp6068 +a(g89 +g997 +tp6069 +a(g89 +g1089 +tp6070 +a(g89 +g995 +tp6071 +a(g89 +g1007 +tp6072 +a(g89 +g1244 +tp6073 +a(g89 +g1244 +tp6074 +a(g89 +g1001 +tp6075 +a(g89 +g993 +tp6076 +a(g89 +g1001 +tp6077 +a(g89 +g1005 +tp6078 +a(g157 +g986 +tp6079 +a(g826 +V\u000a +p6080 +tp6081 +a(g826 +V +p6082 +tp6083 +a(g748 +g1390 +tp6084 +a(g157 +g986 +tp6085 +a(g89 +g997 +tp6086 +a(g89 +g1011 +tp6087 +a(g89 +g1089 +tp6088 +a(g89 +g1089 +tp6089 +a(g157 +g986 +tp6090 +a(g705 +g1021 +tp6091 +a(g826 +g984 +tp6092 +a(g748 +g1390 +tp6093 +a(g435 +Vself_name +p6094 +tp6095 +a(g705 +g1021 +tp6096 +a(g826 +g984 +tp6097 +a(g157 +g986 +tp6098 +a(g89 +g1007 +tp6099 +a(g89 +g1007 +tp6100 +a(g89 +g1007 +tp6101 +a(g157 +g986 +tp6102 +a(g748 +g1394 +tp6103 +a(g748 +g1394 +tp6104 +a(g826 +V\u000a +p6105 +tp6106 +a(g826 +V +p6107 +tp6108 +a(g748 +g1394 +tp6109 +a(g826 +V\u000a +p6110 +tp6111 +a(g826 +V +p6112 +tp6113 +a(g435 +Vself_name +p6114 +tp6115 +a(g826 +V\u000a +p6116 +tp6117 +a(g826 +V +p6118 +tp6119 +a(g748 +g1394 +tp6120 +a(g826 +V\u000a +p6121 +tp6122 +a(g826 +V +p6123 +tp6124 +a(g748 +g1394 +tp6125 +a(g748 +g1394 +tp6126 +a(g826 +V\u000a +p6127 +tp6128 +a(g826 +V +p6129 +tp6130 +a(g748 +g1394 +tp6131 +a(g826 +V\u000a +p6132 +tp6133 +a(g826 +V\u000a +p6134 +tp6135 +a(g826 +V +p6136 +tp6137 +a(g435 +Vcls +p6138 +tp6139 +a(g826 +g984 +tp6140 +a(g412 +g1037 +tp6141 +a(g826 +g984 +tp6142 +a(g435 +Vbuild +p6143 +tp6144 +a(g412 +g1026 +tp6145 +a(g435 +Vchain +p6146 +tp6147 +a(g826 +g984 +tp6148 +a(g748 +g1390 +tp6149 +a(g826 +V\u000a +p6150 +tp6151 +a(g826 +V +p6152 +tp6153 +a(g440 +Vbase: +p6154 +tp6155 +a(g826 +g984 +tp6156 +a(g157 +g986 +tp6157 +a(g89 +g995 +tp6158 +a(g89 +g1059 +tp6159 +a(g89 +g1005 +tp6160 +a(g89 +g988 +tp6161 +a(g89 +g1059 +tp6162 +a(g89 +g1005 +tp6163 +a(g89 +g1011 +tp6164 +a(g89 +g1005 +tp6165 +a(g89 +g1011 +tp6166 +a(g89 +g3244 +tp6167 +a(g89 +g1089 +tp6168 +a(g89 +g1059 +tp6169 +a(g157 +g986 +tp6170 +a(g826 +V\u000a +p6171 +tp6172 +a(g826 +V +p6173 +tp6174 +a(g748 +g1390 +tp6175 +a(g157 +g986 +tp6176 +a(g89 +g997 +tp6177 +a(g89 +g1011 +tp6178 +a(g89 +g1089 +tp6179 +a(g89 +g1089 +tp6180 +a(g157 +g986 +tp6181 +a(g705 +g1021 +tp6182 +a(g826 +g984 +tp6183 +a(g748 +g1390 +tp6184 +a(g435 +Vcls +p6185 +tp6186 +a(g705 +g1021 +tp6187 +a(g826 +g984 +tp6188 +a(g435 +Vcls_mt +p6189 +tp6190 +a(g748 +g1394 +tp6191 +a(g748 +g1394 +tp6192 +a(g826 +V\u000a +p6193 +tp6194 +a(g826 +V +p6195 +tp6196 +a(g748 +g1394 +tp6197 +a(g826 +V\u000a +p6198 +tp6199 +a(g826 +V\u000a +p6200 +tp6201 +a(g826 +V +p6202 +tp6203 +a(g435 +Vvalue +p6204 +tp6205 +a(g826 +g984 +tp6206 +a(g412 +g1037 +tp6207 +a(g826 +g984 +tp6208 +a(g763 +Vnil +p6209 +tp6210 +a(g826 +V\u000a +p6211 +tp6212 +a(g826 +V +p6213 +tp6214 +a(g744 +Vwith +p6215 +tp6216 +a(g826 +g984 +tp6217 +a(g435 +Vbuild +p6218 +tp6219 +a(g826 +V\u000a +p6220 +tp6221 +a(g826 +V +p6222 +tp6223 +a(g435 +Vvalue +p6224 +tp6225 +a(g826 +g984 +tp6226 +a(g412 +g1037 +tp6227 +a(g826 +g984 +tp6228 +a(g412 +g1007 +tp6229 +a(g435 +Vblock_exp +p6230 +tp6231 +a(g826 +g984 +tp6232 +a(g748 +g1390 +tp6233 +a(g826 +V\u000a +p6234 +tp6235 +a(g826 +V +p6236 +tp6237 +a(g628 +VRun +p6238 +tp6239 +a(g826 +g984 +tp6240 +a(g573 +V=> +p6241 +tp6242 +a(g826 +V\u000a +p6243 +tp6244 +a(g826 +V +p6245 +tp6246 +a(g445 +V@set +p6247 +tp6248 +a(g826 +g984 +tp6249 +a(g157 +g986 +tp6250 +a(g89 +g995 +tp6251 +a(g89 +g1085 +tp6252 +a(g89 +g1003 +tp6253 +a(g89 +g1059 +tp6254 +a(g89 +g999 +tp6255 +a(g157 +g986 +tp6256 +a(g705 +g1021 +tp6257 +a(g826 +g984 +tp6258 +a(g748 +g1218 +tp6259 +a(g435 +Vblock +p6260 +tp6261 +a(g705 +g1021 +tp6262 +a(g826 +g984 +tp6263 +a(g435 +Vchain +p6264 +tp6265 +a(g748 +g1222 +tp6266 +a(g826 +g984 +tp6267 +a(g573 +V-> +p6268 +tp6269 +a(g826 +V\u000a +p6270 +tp6271 +a(g826 +V +p6272 +tp6273 +a(g744 +Vif +p6274 +tp6275 +a(g826 +g984 +tp6276 +a(g435 +Vchain +p6277 +tp6278 +a(g826 +V\u000a +p6279 +tp6280 +a(g826 +V +p6281 +tp6282 +a(g435 +Vslice +p6283 +tp6284 +a(g826 +g984 +tp6285 +a(g412 +g1037 +tp6286 +a(g826 +g984 +tp6287 +a(g748 +g1230 +tp6288 +a(g435 +Vitem +p6289 +tp6290 +a(g826 +g984 +tp6291 +a(g744 +Vfor +p6292 +tp6293 +a(g826 +g984 +tp6294 +a(g435 +Vitem +p6295 +tp6296 +a(g826 +g984 +tp6297 +a(g744 +Vin +p6298 +tp6299 +a(g826 +g984 +tp6300 +a(g412 +g1416 +tp6301 +a(g435 +Vchain +p6302 +tp6303 +a(g748 +g1230 +tp6304 +a(g37 +g2900 +tp6305 +a(g705 +g1021 +tp6306 +a(g748 +g1234 +tp6307 +a(g748 +g1234 +tp6308 +a(g826 +V\u000a +p6309 +tp6310 +a(g826 +V +p6311 +tp6312 +a(g435 +Vnew_chain +p6313 +tp6314 +a(g826 +g984 +tp6315 +a(g412 +g1037 +tp6316 +a(g826 +g984 +tp6317 +a(g748 +g1390 +tp6318 +a(g157 +g986 +tp6319 +a(g89 +g997 +tp6320 +a(g89 +g2440 +tp6321 +a(g89 +g1011 +tp6322 +a(g89 +g1001 +tp6323 +a(g89 +g993 +tp6324 +a(g157 +g986 +tp6325 +a(g705 +g1021 +tp6326 +a(g826 +g984 +tp6327 +a(g435 +Vparent_cls_name +p6328 +tp6329 +a(g748 +g1394 +tp6330 +a(g826 +V\u000a +p6331 +tp6332 +a(g826 +V\u000a +p6333 +tp6334 +a(g826 +V +p6335 +tp6336 +a(g435 +Vhead +p6337 +tp6338 +a(g826 +g984 +tp6339 +a(g412 +g1037 +tp6340 +a(g826 +g984 +tp6341 +a(g435 +Vslice +p6342 +tp6343 +a(g748 +g1230 +tp6344 +a(g37 +g1232 +tp6345 +a(g748 +g1234 +tp6346 +a(g826 +V\u000a +p6347 +tp6348 +a(g826 +V\u000a +p6349 +tp6350 +a(g826 +V +p6351 +tp6352 +a(g744 +Vif +p6353 +tp6354 +a(g826 +g984 +tp6355 +a(g435 +Vhead +p6356 +tp6357 +a(g826 +g984 +tp6358 +a(g412 +V== +p6359 +tp6360 +a(g826 +g984 +tp6361 +a(g763 +Vnil +p6362 +tp6363 +a(g826 +V\u000a +p6364 +tp6365 +a(g826 +V +p6366 +tp6367 +a(g744 +Vreturn +p6368 +tp6369 +a(g826 +g984 +tp6370 +a(g435 +Vparent_cls_name +p6371 +tp6372 +a(g826 +V\u000a +p6373 +tp6374 +a(g826 +V\u000a +p6375 +tp6376 +a(g826 +V +p6377 +tp6378 +a(g744 +Vswitch +p6379 +tp6380 +a(g826 +g984 +tp6381 +a(g435 +Vhead +p6382 +tp6383 +a(g748 +g1230 +tp6384 +a(g37 +g1232 +tp6385 +a(g748 +g1234 +tp6386 +a(g826 +V\u000a +p6387 +tp6388 +a(g826 +V +p6389 +tp6390 +a(g8 +V-- calling super, inject calling name and self into chain +p6391 +tp6392 +a(g826 +V\u000a +p6393 +tp6394 +a(g826 +V +p6395 +tp6396 +a(g744 +Vwhen +p6397 +tp6398 +a(g826 +g984 +tp6399 +a(g157 +g986 +tp6400 +a(g89 +g997 +tp6401 +a(g89 +g1011 +tp6402 +a(g89 +g1089 +tp6403 +a(g89 +g1089 +tp6404 +a(g157 +g986 +tp6405 +a(g826 +V\u000a +p6406 +tp6407 +a(g826 +V +p6408 +tp6409 +a(g435 +Vcalling_name +p6410 +tp6411 +a(g826 +g984 +tp6412 +a(g412 +g1037 +tp6413 +a(g826 +g984 +tp6414 +a(g435 +Vblock +p6415 +tp6416 +a(g412 +V\u005c +p6417 +tp6418 +a(g435 +Vget +p6419 +tp6420 +a(g157 +g986 +tp6421 +a(g89 +g997 +tp6422 +a(g89 +g1085 +tp6423 +a(g89 +g999 +tp6424 +a(g89 +g999 +tp6425 +a(g89 +g1059 +tp6426 +a(g89 +g993 +tp6427 +a(g89 +g1005 +tp6428 +a(g89 +g1244 +tp6429 +a(g89 +g3244 +tp6430 +a(g89 +g1089 +tp6431 +a(g89 +g990 +tp6432 +a(g89 +g997 +tp6433 +a(g89 +g2526 +tp6434 +a(g157 +g986 +tp6435 +a(g826 +V\u000a +p6436 +tp6437 +a(g826 +V +p6438 +tp6439 +a(g435 +Vslice +p6440 +tp6441 +a(g748 +g1230 +tp6442 +a(g37 +g1232 +tp6443 +a(g748 +g1234 +tp6444 +a(g826 +g984 +tp6445 +a(g412 +g1037 +tp6446 +a(g826 +g984 +tp6447 +a(g748 +g1390 +tp6448 +a(g157 +g986 +tp6449 +a(g89 +g997 +tp6450 +a(g89 +g1011 +tp6451 +a(g89 +g1089 +tp6452 +a(g89 +g1089 +tp6453 +a(g157 +g986 +tp6454 +a(g705 +g1021 +tp6455 +a(g826 +g984 +tp6456 +a(g748 +g1390 +tp6457 +a(g157 +g986 +tp6458 +a(g89 +g995 +tp6459 +a(g89 +g1059 +tp6460 +a(g89 +g1089 +tp6461 +a(g89 +g1015 +tp6462 +a(g157 +g986 +tp6463 +a(g705 +g1021 +tp6464 +a(g826 +g984 +tp6465 +a(g435 +Vunpack +p6466 +tp6467 +a(g826 +g984 +tp6468 +a(g435 +Vhead +p6469 +tp6470 +a(g748 +g1230 +tp6471 +a(g37 +g1978 +tp6472 +a(g748 +g1234 +tp6473 +a(g748 +g1394 +tp6474 +a(g748 +g1394 +tp6475 +a(g826 +V\u000a +p6476 +tp6477 +a(g826 +V +p6478 +tp6479 +a(g435 +Vact +p6480 +tp6481 +a(g826 +g984 +tp6482 +a(g412 +g1037 +tp6483 +a(g826 +g984 +tp6484 +a(g744 +Vif +p6485 +tp6486 +a(g826 +g984 +tp6487 +a(g435 +Vntype +p6488 +tp6489 +a(g748 +g1218 +tp6490 +a(g435 +Vcalling_name +p6491 +tp6492 +a(g748 +g1222 +tp6493 +a(g826 +g984 +tp6494 +a(g412 +V!= +p6495 +tp6496 +a(g826 +g984 +tp6497 +a(g157 +g986 +tp6498 +a(g89 +g3947 +tp6499 +a(g89 +g1011 +tp6500 +a(g89 +g1089 +tp6501 +a(g89 +g1085 +tp6502 +a(g89 +g1059 +tp6503 +a(g157 +g986 +tp6504 +a(g826 +g984 +tp6505 +a(g744 +Vthen +p6506 +tp6507 +a(g826 +g984 +tp6508 +a(g157 +g986 +tp6509 +a(g89 +g1001 +tp6510 +a(g89 +g993 +tp6511 +a(g89 +g1114 +tp6512 +a(g89 +g1059 +tp6513 +a(g89 +g1550 +tp6514 +a(g157 +g986 +tp6515 +a(g826 +g984 +tp6516 +a(g744 +Velse +p6517 +tp6518 +a(g826 +g984 +tp6519 +a(g157 +g986 +tp6520 +a(g89 +g1114 +tp6521 +a(g89 +g990 +tp6522 +a(g89 +g1005 +tp6523 +a(g157 +g986 +tp6524 +a(g826 +V\u000a +p6525 +tp6526 +a(g826 +V +p6527 +tp6528 +a(g435 +Vinsert +p6529 +tp6530 +a(g826 +g984 +tp6531 +a(g435 +Vnew_chain +p6532 +tp6533 +a(g705 +g1021 +tp6534 +a(g826 +g984 +tp6535 +a(g748 +g1390 +tp6536 +a(g435 +Vact +p6537 +tp6538 +a(g705 +g1021 +tp6539 +a(g826 +g984 +tp6540 +a(g435 +Vcalling_name +p6541 +tp6542 +a(g748 +g1394 +tp6543 +a(g826 +V\u000a +p6544 +tp6545 +a(g826 +V\u000a +p6546 +tp6547 +a(g826 +V +p6548 +tp6549 +a(g8 +V-- colon call on super, replace class with self as first arg +p6550 +tp6551 +a(g826 +V\u000a +p6552 +tp6553 +a(g826 +V +p6554 +tp6555 +a(g744 +Vwhen +p6556 +tp6557 +a(g826 +g984 +tp6558 +a(g157 +g986 +tp6559 +a(g89 +g997 +tp6560 +a(g89 +g990 +tp6561 +a(g89 +g1089 +tp6562 +a(g89 +g990 +tp6563 +a(g89 +g993 +tp6564 +a(g157 +g986 +tp6565 +a(g826 +V\u000a +p6566 +tp6567 +a(g826 +V +p6568 +tp6569 +a(g435 +Vcall +p6570 +tp6571 +a(g826 +g984 +tp6572 +a(g412 +g1037 +tp6573 +a(g826 +g984 +tp6574 +a(g435 +Vhead +p6575 +tp6576 +a(g748 +g1230 +tp6577 +a(g37 +g2900 +tp6578 +a(g748 +g1234 +tp6579 +a(g826 +V\u000a +p6580 +tp6581 +a(g826 +V +p6582 +tp6583 +a(g435 +Vinsert +p6584 +tp6585 +a(g826 +g984 +tp6586 +a(g435 +Vnew_chain +p6587 +tp6588 +a(g705 +g1021 +tp6589 +a(g826 +g984 +tp6590 +a(g748 +g1390 +tp6591 +a(g157 +g986 +tp6592 +a(g89 +g1114 +tp6593 +a(g89 +g990 +tp6594 +a(g89 +g1005 +tp6595 +a(g157 +g986 +tp6596 +a(g705 +g1021 +tp6597 +a(g826 +g984 +tp6598 +a(g435 +Vhead +p6599 +tp6600 +a(g748 +g1230 +tp6601 +a(g37 +g1978 +tp6602 +a(g748 +g1234 +tp6603 +a(g748 +g1394 +tp6604 +a(g826 +V\u000a +p6605 +tp6606 +a(g826 +V +p6607 +tp6608 +a(g435 +Vslice +p6609 +tp6610 +a(g748 +g1230 +tp6611 +a(g37 +g1232 +tp6612 +a(g748 +g1234 +tp6613 +a(g826 +g984 +tp6614 +a(g412 +g1037 +tp6615 +a(g826 +g984 +tp6616 +a(g748 +g1390 +tp6617 +a(g826 +g984 +tp6618 +a(g157 +g986 +tp6619 +a(g89 +g997 +tp6620 +a(g89 +g1011 +tp6621 +a(g89 +g1089 +tp6622 +a(g89 +g1089 +tp6623 +a(g157 +g986 +tp6624 +a(g705 +g1021 +tp6625 +a(g826 +g984 +tp6626 +a(g748 +g1390 +tp6627 +a(g826 +g984 +tp6628 +a(g157 +g986 +tp6629 +a(g89 +g995 +tp6630 +a(g89 +g1059 +tp6631 +a(g89 +g1089 +tp6632 +a(g89 +g1015 +tp6633 +a(g157 +g986 +tp6634 +a(g705 +g1021 +tp6635 +a(g826 +g984 +tp6636 +a(g435 +Vunpack +p6637 +tp6638 +a(g826 +g984 +tp6639 +a(g435 +Vcall +p6640 +tp6641 +a(g748 +g1230 +tp6642 +a(g37 +g1978 +tp6643 +a(g748 +g1234 +tp6644 +a(g826 +g984 +tp6645 +a(g748 +g1394 +tp6646 +a(g826 +g984 +tp6647 +a(g748 +g1394 +tp6648 +a(g826 +V\u000a +p6649 +tp6650 +a(g826 +V\u000a +p6651 +tp6652 +a(g826 +V +p6653 +tp6654 +a(g435 +Vinsert +p6655 +tp6656 +a(g826 +g984 +tp6657 +a(g435 +Vnew_chain +p6658 +tp6659 +a(g705 +g1021 +tp6660 +a(g826 +g984 +tp6661 +a(g435 +Vitem +p6662 +tp6663 +a(g826 +g984 +tp6664 +a(g744 +Vfor +p6665 +tp6666 +a(g826 +g984 +tp6667 +a(g435 +Vitem +p6668 +tp6669 +a(g826 +g984 +tp6670 +a(g744 +Vin +p6671 +tp6672 +a(g826 +g984 +tp6673 +a(g412 +g1416 +tp6674 +a(g435 +Vslice +p6675 +tp6676 +a(g826 +V\u000a +p6677 +tp6678 +a(g826 +V\u000a +p6679 +tp6680 +a(g826 +V +p6681 +tp6682 +a(g435 +Vnew_chain +p6683 +tp6684 +a(g826 +V\u000a +p6685 +tp6686 +a(g826 +V +p6687 +tp6688 +a(g744 +Velse +p6689 +tp6690 +a(g826 +V\u000a +p6691 +tp6692 +a(g826 +V +p6693 +tp6694 +a(g435 +Vparent_cls_name +p6695 +tp6696 +a(g826 +V\u000a +p6697 +tp6698 +a(g826 +V\u000a +p6699 +tp6700 +a(g826 +V +p6701 +tp6702 +a(g412 +g1007 +tp6703 +a(g435 +Vassign_one +p6704 +tp6705 +a(g826 +g984 +tp6706 +a(g435 +Vparent_cls_name +p6707 +tp6708 +a(g705 +g1021 +tp6709 +a(g826 +g984 +tp6710 +a(g435 +Vparent_val +p6711 +tp6712 +a(g826 +g984 +tp6713 +a(g412 +V== +p6714 +tp6715 +a(g826 +g984 +tp6716 +a(g157 +g986 +tp6717 +a(g157 +g986 +tp6718 +a(g826 +g984 +tp6719 +a(g744 +Vand +p6720 +tp6721 +a(g826 +g984 +tp6722 +a(g157 +g986 +tp6723 +a(g89 +g993 +tp6724 +a(g89 +g1001 +tp6725 +a(g89 +g1089 +tp6726 +a(g157 +g986 +tp6727 +a(g826 +g984 +tp6728 +a(g744 +Vor +p6729 +tp6730 +a(g826 +g984 +tp6731 +a(g435 +Vparent_val +p6732 +tp6733 +a(g826 +V\u000a +p6734 +tp6735 +a(g826 +V +p6736 +tp6737 +a(g412 +g1007 +tp6738 +a(g435 +Vassign_one +p6739 +tp6740 +a(g826 +g984 +tp6741 +a(g435 +Vbase_name +p6742 +tp6743 +a(g705 +g1021 +tp6744 +a(g826 +g984 +tp6745 +a(g748 +g1390 +tp6746 +a(g157 +g986 +tp6747 +a(g89 +g1005 +tp6748 +a(g89 +g1011 +tp6749 +a(g89 +g3244 +tp6750 +a(g89 +g1089 +tp6751 +a(g89 +g1059 +tp6752 +a(g157 +g986 +tp6753 +a(g705 +g1021 +tp6754 +a(g826 +g984 +tp6755 +a(g435 +Vproperties +p6756 +tp6757 +a(g748 +g1394 +tp6758 +a(g826 +V\u000a +p6759 +tp6760 +a(g826 +V +p6761 +tp6762 +a(g412 +g1007 +tp6763 +a(g435 +Vassign_one +p6764 +tp6765 +a(g826 +g984 +tp6766 +a(g435 +Vbase_name +p6767 +tp6768 +a(g412 +V\u005c +p6769 +tp6770 +a(g435 +Vchain +p6771 +tp6772 +a(g157 +g986 +tp6773 +a(g89 +g1244 +tp6774 +a(g89 +g1244 +tp6775 +a(g89 +g1001 +tp6776 +a(g89 +g993 +tp6777 +a(g89 +g1114 +tp6778 +a(g89 +g1059 +tp6779 +a(g89 +g1550 +tp6780 +a(g157 +g986 +tp6781 +a(g705 +g1021 +tp6782 +a(g826 +g984 +tp6783 +a(g435 +Vbase_name +p6784 +tp6785 +a(g826 +V\u000a +p6786 +tp6787 +a(g826 +V\u000a +p6788 +tp6789 +a(g826 +V +p6790 +tp6791 +a(g435 +Vbuild +p6792 +tp6793 +a(g748 +g1230 +tp6794 +a(g157 +g986 +tp6795 +a(g89 +g1001 +tp6796 +a(g89 +g1015 +tp6797 +a(g157 +g986 +tp6798 +a(g748 +g1234 +tp6799 +a(g826 +g984 +tp6800 +a(g748 +g1390 +tp6801 +a(g826 +V\u000a +p6802 +tp6803 +a(g826 +V +p6804 +tp6805 +a(g440 +Vcond: +p6806 +tp6807 +a(g826 +g984 +tp6808 +a(g435 +Vparent_cls_name +p6809 +tp6810 +a(g826 +V\u000a +p6811 +tp6812 +a(g826 +V +p6813 +tp6814 +a(g440 +Vthen: +p6815 +tp6816 +a(g826 +g984 +tp6817 +a(g748 +g1390 +tp6818 +a(g826 +V\u000a +p6819 +tp6820 +a(g826 +V +p6821 +tp6822 +a(g412 +g1007 +tp6823 +a(g435 +Vchain +p6824 +tp6825 +a(g826 +g984 +tp6826 +a(g748 +g1390 +tp6827 +a(g826 +V\u000a +p6828 +tp6829 +a(g826 +V +p6830 +tp6831 +a(g440 +Vbase: +p6832 +tp6833 +a(g826 +g984 +tp6834 +a(g157 +g986 +tp6835 +a(g89 +g995 +tp6836 +a(g89 +g1059 +tp6837 +a(g89 +g1005 +tp6838 +a(g89 +g988 +tp6839 +a(g89 +g1059 +tp6840 +a(g89 +g1005 +tp6841 +a(g89 +g1011 +tp6842 +a(g89 +g1005 +tp6843 +a(g89 +g1011 +tp6844 +a(g89 +g3244 +tp6845 +a(g89 +g1089 +tp6846 +a(g89 +g1059 +tp6847 +a(g157 +g986 +tp6848 +a(g826 +V\u000a +p6849 +tp6850 +a(g826 +V +p6851 +tp6852 +a(g748 +g1390 +tp6853 +a(g157 +g986 +tp6854 +a(g89 +g997 +tp6855 +a(g89 +g1011 +tp6856 +a(g89 +g1089 +tp6857 +a(g89 +g1089 +tp6858 +a(g157 +g986 +tp6859 +a(g705 +g1021 +tp6860 +a(g826 +g984 +tp6861 +a(g748 +g1390 +tp6862 +a(g826 +V\u000a +p6863 +tp6864 +a(g826 +V +p6865 +tp6866 +a(g435 +Vbase_name +p6867 +tp6868 +a(g705 +g1021 +tp6869 +a(g826 +V\u000a +p6870 +tp6871 +a(g826 +V +p6872 +tp6873 +a(g412 +g1007 +tp6874 +a(g435 +Vchain +p6875 +tp6876 +a(g826 +g984 +tp6877 +a(g748 +g1390 +tp6878 +a(g826 +g984 +tp6879 +a(g440 +Vbase: +p6880 +tp6881 +a(g826 +g984 +tp6882 +a(g435 +Vparent_cls_name +p6883 +tp6884 +a(g705 +g1021 +tp6885 +a(g826 +V +p6886 +tp6887 +a(g748 +g1390 +tp6888 +a(g157 +g986 +tp6889 +a(g89 +g1114 +tp6890 +a(g89 +g990 +tp6891 +a(g89 +g1005 +tp6892 +a(g157 +g986 +tp6893 +a(g705 +g1021 +tp6894 +a(g826 +g984 +tp6895 +a(g157 +g986 +tp6896 +a(g89 +g1244 +tp6897 +a(g89 +g1244 +tp6898 +a(g89 +g3244 +tp6899 +a(g89 +g1011 +tp6900 +a(g89 +g995 +tp6901 +a(g89 +g1059 +tp6902 +a(g157 +g986 +tp6903 +a(g748 +g1394 +tp6904 +a(g748 +g1394 +tp6905 +a(g826 +V\u000a +p6906 +tp6907 +a(g826 +V +p6908 +tp6909 +a(g748 +g1394 +tp6910 +a(g748 +g1394 +tp6911 +a(g826 +V\u000a +p6912 +tp6913 +a(g826 +V +p6914 +tp6915 +a(g748 +g1394 +tp6916 +a(g826 +V\u000a +p6917 +tp6918 +a(g826 +V +p6919 +tp6920 +a(g748 +g1394 +tp6921 +a(g826 +V\u000a +p6922 +tp6923 +a(g826 +V +p6924 +tp6925 +a(g748 +g1394 +tp6926 +a(g826 +V\u000a +p6927 +tp6928 +a(g826 +V\u000a +p6929 +tp6930 +a(g826 +V +p6931 +tp6932 +a(g412 +g1007 +tp6933 +a(g435 +Vassign_one +p6934 +tp6935 +a(g826 +g984 +tp6936 +a(g435 +Vcls_name +p6937 +tp6938 +a(g705 +g1021 +tp6939 +a(g826 +g984 +tp6940 +a(g435 +Vcls +p6941 +tp6942 +a(g826 +V\u000a +p6943 +tp6944 +a(g826 +V +p6945 +tp6946 +a(g412 +g1007 +tp6947 +a(g435 +Vassign_one +p6948 +tp6949 +a(g826 +g984 +tp6950 +a(g435 +Vbase_name +p6951 +tp6952 +a(g412 +V\u005c +p6953 +tp6954 +a(g435 +Vchain +p6955 +tp6956 +a(g157 +g986 +tp6957 +a(g89 +g1244 +tp6958 +a(g89 +g1244 +tp6959 +a(g89 +g997 +tp6960 +a(g89 +g1089 +tp6961 +a(g89 +g1011 +tp6962 +a(g89 +g995 +tp6963 +a(g89 +g995 +tp6964 +a(g157 +g986 +tp6965 +a(g705 +g1021 +tp6966 +a(g826 +g984 +tp6967 +a(g435 +Vcls_name +p6968 +tp6969 +a(g826 +V\u000a +p6970 +tp6971 +a(g826 +V\u000a +p6972 +tp6973 +a(g826 +V +p6974 +tp6975 +a(g412 +g1007 +tp6976 +a(g435 +Vgroup +p6977 +tp6978 +a(g826 +g984 +tp6979 +a(g744 +Vif +p6980 +tp6981 +a(g826 +g984 +tp6982 +a(g412 +g1770 +tp6983 +a(g435 +Vstatements +p6984 +tp6985 +a(g826 +g984 +tp6986 +a(g412 +g1598 +tp6987 +a(g826 +g984 +tp6988 +a(g37 +g1757 +tp6989 +a(g826 +g984 +tp6990 +a(g748 +g1390 +tp6991 +a(g826 +V\u000a +p6992 +tp6993 +a(g826 +V +p6994 +tp6995 +a(g412 +g1007 +tp6996 +a(g435 +Vassign_one +p6997 +tp6998 +a(g826 +g984 +tp6999 +a(g628 +VLocalName +p7000 +tp7001 +a(g157 +g986 +tp7002 +a(g89 +g995 +tp7003 +a(g89 +g1059 +tp7004 +a(g89 +g1089 +tp7005 +a(g89 +g1015 +tp7006 +a(g157 +g986 +tp7007 +a(g705 +g1021 +tp7008 +a(g826 +g984 +tp7009 +a(g435 +Vcls_name +p7010 +tp7011 +a(g826 +V\u000a +p7012 +tp7013 +a(g826 +V +p7014 +tp7015 +a(g412 +g1007 +tp7016 +a(g435 +Vgroup +p7017 +tp7018 +a(g826 +g984 +tp7019 +a(g435 +Vstatements +p7020 +tp7021 +a(g826 +V\u000a +p7022 +tp7023 +a(g826 +V +p7024 +tp7025 +a(g748 +g1394 +tp7026 +a(g826 +g984 +tp7027 +a(g744 +Velse +p7028 +tp7029 +a(g826 +g984 +tp7030 +a(g748 +g1390 +tp7031 +a(g748 +g1394 +tp7032 +a(g826 +V\u000a +p7033 +tp7034 +a(g826 +V\u000a +p7035 +tp7036 +a(g826 +V +p7037 +tp7038 +a(g435 +Vcls_name +p7039 +tp7040 +a(g826 +V\u000a +p7041 +tp7042 +a(g826 +V +p7043 +tp7044 +a(g748 +g1394 +tp7045 +a(g826 +V\u000a +p7046 +tp7047 +a(g826 +V\u000a +p7048 +tp7049 +a(g826 +V +p7050 +tp7051 +a(g435 +Vvalue +p7052 +tp7053 +a(g826 +g984 +tp7054 +a(g412 +g1037 +tp7055 +a(g826 +g984 +tp7056 +a(g412 +g1007 +tp7057 +a(g435 +Vgroup +p7058 +tp7059 +a(g826 +g984 +tp7060 +a(g748 +g1390 +tp7061 +a(g826 +V\u000a +p7062 +tp7063 +a(g826 +V +p7064 +tp7065 +a(g412 +g1007 +tp7066 +a(g435 +Vdeclare +p7067 +tp7068 +a(g826 +g984 +tp7069 +a(g440 +Vnames: +p7070 +tp7071 +a(g826 +g984 +tp7072 +a(g748 +g1390 +tp7073 +a(g435 +Vname +p7074 +tp7075 +a(g748 +g1394 +tp7076 +a(g826 +V\u000a +p7077 +tp7078 +a(g826 +V +p7079 +tp7080 +a(g412 +g1007 +tp7081 +a(g435 +Vassign +p7082 +tp7083 +a(g826 +g984 +tp7084 +a(g748 +g1390 +tp7085 +a(g826 +V\u000a +p7086 +tp7087 +a(g826 +V +p7088 +tp7089 +a(g440 +Vnames: +p7090 +tp7091 +a(g826 +g984 +tp7092 +a(g748 +g1390 +tp7093 +a(g435 +Vname +p7094 +tp7095 +a(g748 +g1394 +tp7096 +a(g826 +V\u000a +p7097 +tp7098 +a(g826 +V +p7099 +tp7100 +a(g440 +Vvalues: +p7101 +tp7102 +a(g826 +g984 +tp7103 +a(g748 +g1390 +tp7104 +a(g435 +Vvalue +p7105 +tp7106 +a(g748 +g1394 +tp7107 +a(g826 +V\u000a +p7108 +tp7109 +a(g826 +V +p7110 +tp7111 +a(g748 +g1394 +tp7112 +a(g826 +V\u000a +p7113 +tp7114 +a(g826 +V +p7115 +tp7116 +a(g748 +g1394 +tp7117 +a(g826 +V\u000a +p7118 +tp7119 +a(g826 +V\u000a +p7120 +tp7121 +a(g826 +V +p7122 +tp7123 +a(g435 +Vvalue +p7124 +tp7125 +a(g826 +V\u000a +p7126 +tp7127 +a(g748 +g1394 +tp7128 +a(g826 +V\u000a +p7129 +tp7130 +a(g826 +V\u000a +p7131 +tp7132 +a(g744 +Vclass +p7133 +tp7134 +a(g826 +g984 +tp7135 +a(g628 +VAccumulator +p7136 +tp7137 +a(g826 +V\u000a +p7138 +tp7139 +a(g826 +V +p7140 +tp7141 +a(g440 +Vbody_idx: +p7142 +tp7143 +a(g826 +g984 +tp7144 +a(g748 +g1390 +tp7145 +a(g826 +g984 +tp7146 +a(g440 +Vfor: +p7147 +tp7148 +a(g826 +g984 +tp7149 +a(g37 +g3770 +tp7150 +a(g705 +g1021 +tp7151 +a(g826 +g984 +tp7152 +a(g440 +Vwhile: +p7153 +tp7154 +a(g826 +g984 +tp7155 +a(g37 +g2900 +tp7156 +a(g705 +g1021 +tp7157 +a(g826 +g984 +tp7158 +a(g440 +Vforeach: +p7159 +tp7160 +a(g826 +g984 +tp7161 +a(g37 +g3770 +tp7162 +a(g826 +g984 +tp7163 +a(g748 +g1394 +tp7164 +a(g826 +V\u000a +p7165 +tp7166 +a(g826 +V\u000a +p7167 +tp7168 +a(g826 +V +p7169 +tp7170 +a(g440 +Vnew: +p7171 +tp7172 +a(g826 +g984 +tp7173 +a(g573 +V=> +p7174 +tp7175 +a(g826 +V\u000a +p7176 +tp7177 +a(g826 +V +p7178 +tp7179 +a(g445 +V@accum_name +p7180 +tp7181 +a(g826 +g984 +tp7182 +a(g412 +g1037 +tp7183 +a(g826 +g984 +tp7184 +a(g628 +VNameProxy +p7185 +tp7186 +a(g826 +g984 +tp7187 +a(g157 +g986 +tp7188 +a(g89 +g1011 +tp7189 +a(g89 +g997 +tp7190 +a(g89 +g997 +tp7191 +a(g89 +g1085 +tp7192 +a(g89 +g988 +tp7193 +a(g157 +g986 +tp7194 +a(g826 +V\u000a +p7195 +tp7196 +a(g826 +V +p7197 +tp7198 +a(g445 +V@value_name +p7199 +tp7200 +a(g826 +g984 +tp7201 +a(g412 +g1037 +tp7202 +a(g826 +g984 +tp7203 +a(g628 +VNameProxy +p7204 +tp7205 +a(g826 +g984 +tp7206 +a(g157 +g986 +tp7207 +a(g89 +g3947 +tp7208 +a(g89 +g1011 +tp7209 +a(g89 +g1089 +tp7210 +a(g89 +g1085 +tp7211 +a(g89 +g1059 +tp7212 +a(g157 +g986 +tp7213 +a(g826 +V\u000a +p7214 +tp7215 +a(g826 +V +p7216 +tp7217 +a(g445 +V@len_name +p7218 +tp7219 +a(g826 +g984 +tp7220 +a(g412 +g1037 +tp7221 +a(g826 +g984 +tp7222 +a(g628 +VNameProxy +p7223 +tp7224 +a(g826 +g984 +tp7225 +a(g157 +g986 +tp7226 +a(g89 +g1089 +tp7227 +a(g89 +g1059 +tp7228 +a(g89 +g993 +tp7229 +a(g157 +g986 +tp7230 +a(g826 +V\u000a +p7231 +tp7232 +a(g826 +V\u000a +p7233 +tp7234 +a(g826 +V +p7235 +tp7236 +a(g8 +V-- wraps node and mutates body +p7237 +tp7238 +a(g826 +V\u000a +p7239 +tp7240 +a(g826 +V +p7241 +tp7242 +a(g440 +Vconvert: +p7243 +tp7244 +a(g826 +g984 +tp7245 +a(g748 +g1218 +tp7246 +a(g435 +Vnode +p7247 +tp7248 +a(g748 +g1222 +tp7249 +a(g826 +g984 +tp7250 +a(g573 +V=> +p7251 +tp7252 +a(g826 +V\u000a +p7253 +tp7254 +a(g826 +V +p7255 +tp7256 +a(g435 +Vindex +p7257 +tp7258 +a(g826 +g984 +tp7259 +a(g412 +g1037 +tp7260 +a(g826 +g984 +tp7261 +a(g445 +V@body_idx +p7262 +tp7263 +a(g748 +g1230 +tp7264 +a(g435 +Vntype +p7265 +tp7266 +a(g826 +g984 +tp7267 +a(g435 +Vnode +p7268 +tp7269 +a(g748 +g1234 +tp7270 +a(g826 +V\u000a +p7271 +tp7272 +a(g826 +V +p7273 +tp7274 +a(g435 +Vnode +p7275 +tp7276 +a(g748 +g1230 +tp7277 +a(g435 +Vindex +p7278 +tp7279 +a(g748 +g1234 +tp7280 +a(g826 +g984 +tp7281 +a(g412 +g1037 +tp7282 +a(g826 +g984 +tp7283 +a(g445 +V@mutate_body +p7284 +tp7285 +a(g826 +g984 +tp7286 +a(g435 +Vnode +p7287 +tp7288 +a(g748 +g1230 +tp7289 +a(g435 +Vindex +p7290 +tp7291 +a(g748 +g1234 +tp7292 +a(g826 +V\u000a +p7293 +tp7294 +a(g826 +V +p7295 +tp7296 +a(g445 +V@wrap +p7297 +tp7298 +a(g826 +g984 +tp7299 +a(g435 +Vnode +p7300 +tp7301 +a(g826 +V\u000a +p7302 +tp7303 +a(g826 +V\u000a +p7304 +tp7305 +a(g826 +V +p7306 +tp7307 +a(g8 +V-- wrap the node into a block_exp +p7308 +tp7309 +a(g826 +V\u000a +p7310 +tp7311 +a(g826 +V +p7312 +tp7313 +a(g440 +Vwrap: +p7314 +tp7315 +a(g826 +g984 +tp7316 +a(g748 +g1218 +tp7317 +a(g435 +Vnode +p7318 +tp7319 +a(g748 +g1222 +tp7320 +a(g826 +g984 +tp7321 +a(g573 +V=> +p7322 +tp7323 +a(g826 +V\u000a +p7324 +tp7325 +a(g826 +V +p7326 +tp7327 +a(g435 +Vbuild +p7328 +tp7329 +a(g412 +g1026 +tp7330 +a(g435 +Vblock_exp +p7331 +tp7332 +a(g826 +g984 +tp7333 +a(g748 +g1390 +tp7334 +a(g826 +V\u000a +p7335 +tp7336 +a(g826 +V +p7337 +tp7338 +a(g435 +Vbuild +p7339 +tp7340 +a(g412 +g1026 +tp7341 +a(g435 +Vassign_one +p7342 +tp7343 +a(g826 +g984 +tp7344 +a(g445 +V@accum_name +p7345 +tp7346 +a(g705 +g1021 +tp7347 +a(g826 +g984 +tp7348 +a(g435 +Vbuild +p7349 +tp7350 +a(g412 +g1026 +tp7351 +a(g435 +Vtable +p7352 +tp7353 +a(g412 +V! +p7354 +tp7355 +a(g826 +V\u000a +p7356 +tp7357 +a(g826 +V +p7358 +tp7359 +a(g435 +Vbuild +p7360 +tp7361 +a(g412 +g1026 +tp7362 +a(g435 +Vassign_one +p7363 +tp7364 +a(g826 +g984 +tp7365 +a(g445 +V@len_name +p7366 +tp7367 +a(g705 +g1021 +tp7368 +a(g826 +g984 +tp7369 +a(g37 +g1757 +tp7370 +a(g826 +V\u000a +p7371 +tp7372 +a(g826 +V +p7373 +tp7374 +a(g435 +Vnode +p7375 +tp7376 +a(g826 +V\u000a +p7377 +tp7378 +a(g826 +V +p7379 +tp7380 +a(g445 +V@accum_name +p7381 +tp7382 +a(g826 +V\u000a +p7383 +tp7384 +a(g826 +V +p7385 +tp7386 +a(g748 +g1394 +tp7387 +a(g826 +V\u000a +p7388 +tp7389 +a(g826 +V\u000a +p7390 +tp7391 +a(g826 +V +p7392 +tp7393 +a(g8 +V-- mutates the body of a loop construct to save last value into accumulator +p7394 +tp7395 +a(g826 +V\u000a +p7396 +tp7397 +a(g826 +V +p7398 +tp7399 +a(g8 +V-- can optionally skip nil results +p7400 +tp7401 +a(g826 +V\u000a +p7402 +tp7403 +a(g826 +V +p7404 +tp7405 +a(g440 +Vmutate_body: +p7406 +tp7407 +a(g826 +g984 +tp7408 +a(g748 +g1218 +tp7409 +a(g435 +Vbody +p7410 +tp7411 +a(g705 +g1021 +tp7412 +a(g826 +g984 +tp7413 +a(g435 +Vskip_nil +p7414 +tp7415 +a(g412 +g1037 +tp7416 +a(g763 +Vtrue +p7417 +tp7418 +a(g748 +g1222 +tp7419 +a(g826 +g984 +tp7420 +a(g573 +V=> +p7421 +tp7422 +a(g826 +V\u000a +p7423 +tp7424 +a(g826 +V +p7425 +tp7426 +a(g435 +Vval +p7427 +tp7428 +a(g826 +g984 +tp7429 +a(g412 +g1037 +tp7430 +a(g826 +g984 +tp7431 +a(g744 +Vif +p7432 +tp7433 +a(g826 +g984 +tp7434 +a(g744 +Vnot +p7435 +tp7436 +a(g826 +g984 +tp7437 +a(g435 +Vskip_nil +p7438 +tp7439 +a(g826 +g984 +tp7440 +a(g744 +Vand +p7441 +tp7442 +a(g826 +g984 +tp7443 +a(g435 +Vis_singular +p7444 +tp7445 +a(g826 +g984 +tp7446 +a(g435 +Vbody +p7447 +tp7448 +a(g826 +V\u000a +p7449 +tp7450 +a(g826 +V +p7451 +tp7452 +a(g744 +Vwith +p7453 +tp7454 +a(g826 +g984 +tp7455 +a(g435 +Vbody +p7456 +tp7457 +a(g748 +g1230 +tp7458 +a(g37 +g1232 +tp7459 +a(g748 +g1234 +tp7460 +a(g826 +V\u000a +p7461 +tp7462 +a(g826 +V +p7463 +tp7464 +a(g435 +Vbody +p7465 +tp7466 +a(g826 +g984 +tp7467 +a(g412 +g1037 +tp7468 +a(g826 +g984 +tp7469 +a(g748 +g1390 +tp7470 +a(g748 +g1394 +tp7471 +a(g826 +V\u000a +p7472 +tp7473 +a(g826 +V +p7474 +tp7475 +a(g744 +Velse +p7476 +tp7477 +a(g826 +V\u000a +p7478 +tp7479 +a(g826 +V +p7480 +tp7481 +a(g435 +Vbody +p7482 +tp7483 +a(g826 +g984 +tp7484 +a(g412 +g1037 +tp7485 +a(g826 +g984 +tp7486 +a(g435 +Vapply_to_last +p7487 +tp7488 +a(g826 +g984 +tp7489 +a(g435 +Vbody +p7490 +tp7491 +a(g705 +g1021 +tp7492 +a(g826 +g984 +tp7493 +a(g748 +g1218 +tp7494 +a(g435 +g993 +tp7495 +a(g748 +g1222 +tp7496 +a(g826 +g984 +tp7497 +a(g573 +V-> +p7498 +tp7499 +a(g826 +V\u000a +p7500 +tp7501 +a(g826 +V +p7502 +tp7503 +a(g435 +Vbuild +p7504 +tp7505 +a(g412 +g1026 +tp7506 +a(g435 +Vassign_one +p7507 +tp7508 +a(g826 +g984 +tp7509 +a(g445 +V@value_name +p7510 +tp7511 +a(g705 +g1021 +tp7512 +a(g826 +g984 +tp7513 +a(g435 +g993 +tp7514 +a(g826 +V\u000a +p7515 +tp7516 +a(g826 +V +p7517 +tp7518 +a(g445 +V@value_name +p7519 +tp7520 +a(g826 +V\u000a +p7521 +tp7522 +a(g826 +V\u000a +p7523 +tp7524 +a(g826 +V +p7525 +tp7526 +a(g435 +Vupdate +p7527 +tp7528 +a(g826 +g984 +tp7529 +a(g412 +g1037 +tp7530 +a(g826 +g984 +tp7531 +a(g748 +g1390 +tp7532 +a(g826 +V\u000a +p7533 +tp7534 +a(g826 +V +p7535 +tp7536 +a(g748 +g1390 +tp7537 +a(g157 +g986 +tp7538 +a(g89 +g1085 +tp7539 +a(g89 +g1003 +tp7540 +a(g89 +g1114 +tp7541 +a(g89 +g1011 +tp7542 +a(g89 +g1005 +tp7543 +a(g89 +g1059 +tp7544 +a(g157 +g986 +tp7545 +a(g705 +g1021 +tp7546 +a(g826 +g984 +tp7547 +a(g445 +V@len_name +p7548 +tp7549 +a(g705 +g1021 +tp7550 +a(g826 +g984 +tp7551 +a(g157 +g986 +tp7552 +a(g89 +g3090 +tp7553 +a(g89 +g1037 +tp7554 +a(g157 +g986 +tp7555 +a(g705 +g1021 +tp7556 +a(g826 +g984 +tp7557 +a(g37 +g1232 +tp7558 +a(g748 +g1394 +tp7559 +a(g826 +V\u000a +p7560 +tp7561 +a(g826 +V +p7562 +tp7563 +a(g435 +Vbuild +p7564 +tp7565 +a(g412 +g1026 +tp7566 +a(g435 +Vassign_one +p7567 +tp7568 +a(g826 +g984 +tp7569 +a(g445 +V@accum_name +p7570 +tp7571 +a(g412 +V\u005c +p7572 +tp7573 +a(g435 +Vindex +p7574 +tp7575 +a(g748 +g1218 +tp7576 +a(g445 +V@len_name +p7577 +tp7578 +a(g748 +g1222 +tp7579 +a(g705 +g1021 +tp7580 +a(g826 +g984 +tp7581 +a(g435 +Vval +p7582 +tp7583 +a(g826 +V\u000a +p7584 +tp7585 +a(g826 +V +p7586 +tp7587 +a(g748 +g1394 +tp7588 +a(g826 +V\u000a +p7589 +tp7590 +a(g826 +V\u000a +p7591 +tp7592 +a(g826 +V +p7593 +tp7594 +a(g744 +Vif +p7595 +tp7596 +a(g826 +g984 +tp7597 +a(g435 +Vskip_nil +p7598 +tp7599 +a(g826 +V\u000a +p7600 +tp7601 +a(g826 +V +p7602 +tp7603 +a(g681 +Vtable.insert +p7604 +tp7605 +a(g826 +g984 +tp7606 +a(g435 +Vbody +p7607 +tp7608 +a(g705 +g1021 +tp7609 +a(g826 +g984 +tp7610 +a(g435 +Vbuild +p7611 +tp7612 +a(g748 +g1230 +tp7613 +a(g157 +g986 +tp7614 +a(g89 +g1001 +tp7615 +a(g89 +g1015 +tp7616 +a(g157 +g986 +tp7617 +a(g748 +g1234 +tp7618 +a(g826 +g984 +tp7619 +a(g748 +g1390 +tp7620 +a(g826 +V\u000a +p7621 +tp7622 +a(g826 +V +p7623 +tp7624 +a(g440 +Vcond: +p7625 +tp7626 +a(g826 +g984 +tp7627 +a(g748 +g1390 +tp7628 +a(g157 +g986 +tp7629 +a(g89 +g1059 +tp7630 +a(g89 +g1550 +tp7631 +a(g89 +g1003 +tp7632 +a(g157 +g986 +tp7633 +a(g705 +g1021 +tp7634 +a(g826 +g984 +tp7635 +a(g445 +V@value_name +p7636 +tp7637 +a(g705 +g1021 +tp7638 +a(g826 +g984 +tp7639 +a(g157 +g986 +tp7640 +a(g89 +g7354 +tp7641 +a(g89 +g1037 +tp7642 +a(g157 +g986 +tp7643 +a(g705 +g1021 +tp7644 +a(g826 +g984 +tp7645 +a(g157 +g986 +tp7646 +a(g89 +g993 +tp7647 +a(g89 +g1001 +tp7648 +a(g89 +g1089 +tp7649 +a(g157 +g986 +tp7650 +a(g748 +g1394 +tp7651 +a(g826 +V\u000a +p7652 +tp7653 +a(g826 +V +p7654 +tp7655 +a(g440 +Vthen: +p7656 +tp7657 +a(g826 +g984 +tp7658 +a(g435 +Vupdate +p7659 +tp7660 +a(g826 +V\u000a +p7661 +tp7662 +a(g826 +V +p7663 +tp7664 +a(g748 +g1394 +tp7665 +a(g826 +V\u000a +p7666 +tp7667 +a(g826 +V +p7668 +tp7669 +a(g744 +Velse +p7670 +tp7671 +a(g826 +V\u000a +p7672 +tp7673 +a(g826 +V +p7674 +tp7675 +a(g681 +Vtable.insert +p7676 +tp7677 +a(g826 +g984 +tp7678 +a(g435 +Vbody +p7679 +tp7680 +a(g705 +g1021 +tp7681 +a(g826 +g984 +tp7682 +a(g435 +Vbuild +p7683 +tp7684 +a(g412 +g1026 +tp7685 +a(g435 +Vgroup +p7686 +tp7687 +a(g826 +g984 +tp7688 +a(g435 +Vupdate +p7689 +tp7690 +a(g826 +V\u000a +p7691 +tp7692 +a(g826 +V\u000a +p7693 +tp7694 +a(g826 +V +p7695 +tp7696 +a(g435 +Vbody +p7697 +tp7698 +a(g826 +V\u000a +p7699 +tp7700 +a(g826 +V\u000a +p7701 +tp7702 +a(g435 +Vdefault_accumulator +p7703 +tp7704 +a(g826 +g984 +tp7705 +a(g412 +g1037 +tp7706 +a(g826 +g984 +tp7707 +a(g748 +g1218 +tp7708 +a(g435 +Vnode +p7709 +tp7710 +a(g748 +g1222 +tp7711 +a(g826 +g984 +tp7712 +a(g573 +V=> +p7713 +tp7714 +a(g826 +V\u000a +p7715 +tp7716 +a(g826 +V +p7717 +tp7718 +a(g628 +VAccumulator +p7719 +tp7720 +a(g412 +g7354 +tp7721 +a(g412 +V\u005c +p7722 +tp7723 +a(g435 +Vconvert +p7724 +tp7725 +a(g826 +g984 +tp7726 +a(g435 +Vnode +p7727 +tp7728 +a(g826 +V\u000a +p7729 +tp7730 +a(g826 +V\u000a +p7731 +tp7732 +a(g826 +V\u000a +p7733 +tp7734 +a(g435 +Vimplicitly_return +p7735 +tp7736 +a(g826 +g984 +tp7737 +a(g412 +g1037 +tp7738 +a(g826 +g984 +tp7739 +a(g748 +g1218 +tp7740 +a(g435 +Vscope +p7741 +tp7742 +a(g748 +g1222 +tp7743 +a(g826 +g984 +tp7744 +a(g573 +V-> +p7745 +tp7746 +a(g826 +V\u000a +p7747 +tp7748 +a(g826 +V +p7749 +tp7750 +a(g435 +Vfn +p7751 +tp7752 +a(g826 +g984 +tp7753 +a(g412 +g1037 +tp7754 +a(g826 +g984 +tp7755 +a(g748 +g1218 +tp7756 +a(g435 +Vstm +p7757 +tp7758 +a(g748 +g1222 +tp7759 +a(g826 +g984 +tp7760 +a(g573 +V-> +p7761 +tp7762 +a(g826 +V\u000a +p7763 +tp7764 +a(g826 +V +p7765 +tp7766 +a(g435 +g1005 +tp7767 +a(g826 +g984 +tp7768 +a(g412 +g1037 +tp7769 +a(g826 +g984 +tp7770 +a(g435 +Vntype +p7771 +tp7772 +a(g826 +g984 +tp7773 +a(g435 +Vstm +p7774 +tp7775 +a(g826 +V\u000a +p7776 +tp7777 +a(g826 +V +p7778 +tp7779 +a(g744 +Vif +p7780 +tp7781 +a(g826 +g984 +tp7782 +a(g435 +Vtypes +p7783 +tp7784 +a(g412 +g1026 +tp7785 +a(g435 +Vmanual_return +p7786 +tp7787 +a(g748 +g1230 +tp7788 +a(g435 +g1005 +tp7789 +a(g748 +g1234 +tp7790 +a(g826 +g984 +tp7791 +a(g744 +Vor +p7792 +tp7793 +a(g826 +g984 +tp7794 +a(g744 +Vnot +p7795 +tp7796 +a(g826 +g984 +tp7797 +a(g435 +Vtypes +p7798 +tp7799 +a(g412 +g1026 +tp7800 +a(g435 +Vis_value +p7801 +tp7802 +a(g826 +g984 +tp7803 +a(g435 +Vstm +p7804 +tp7805 +a(g826 +V\u000a +p7806 +tp7807 +a(g826 +V +p7808 +tp7809 +a(g435 +Vstm +p7810 +tp7811 +a(g826 +V\u000a +p7812 +tp7813 +a(g826 +V +p7814 +tp7815 +a(g744 +Velseif +p7816 +tp7817 +a(g826 +g984 +tp7818 +a(g435 +Vtypes +p7819 +tp7820 +a(g412 +g1026 +tp7821 +a(g435 +Vcascading +p7822 +tp7823 +a(g748 +g1230 +tp7824 +a(g435 +g1005 +tp7825 +a(g748 +g1234 +tp7826 +a(g826 +V\u000a +p7827 +tp7828 +a(g826 +V +p7829 +tp7830 +a(g435 +Vscope +p7831 +tp7832 +a(g412 +g1026 +tp7833 +a(g435 +Vtransform +p7834 +tp7835 +a(g412 +g1007 +tp7836 +a(g435 +Vstatement +p7837 +tp7838 +a(g826 +g984 +tp7839 +a(g435 +Vstm +p7840 +tp7841 +a(g705 +g1021 +tp7842 +a(g826 +g984 +tp7843 +a(g435 +Vfn +p7844 +tp7845 +a(g826 +V\u000a +p7846 +tp7847 +a(g826 +V +p7848 +tp7849 +a(g744 +Velse +p7850 +tp7851 +a(g826 +V\u000a +p7852 +tp7853 +a(g826 +V +p7854 +tp7855 +a(g744 +Vif +p7856 +tp7857 +a(g826 +g984 +tp7858 +a(g435 +g1005 +tp7859 +a(g826 +g984 +tp7860 +a(g412 +V== +p7861 +tp7862 +a(g826 +g984 +tp7863 +a(g157 +g986 +tp7864 +a(g89 +g997 +tp7865 +a(g89 +g990 +tp7866 +a(g89 +g988 +tp7867 +a(g89 +g1003 +tp7868 +a(g89 +g999 +tp7869 +a(g89 +g1059 +tp7870 +a(g89 +g2440 +tp7871 +a(g89 +g1059 +tp7872 +a(g89 +g993 +tp7873 +a(g89 +g995 +tp7874 +a(g89 +g1001 +tp7875 +a(g89 +g990 +tp7876 +a(g89 +g993 +tp7877 +a(g157 +g986 +tp7878 +a(g826 +g984 +tp7879 +a(g744 +Vand +p7880 +tp7881 +a(g826 +g984 +tp7882 +a(g744 +Vnot +p7883 +tp7884 +a(g826 +g984 +tp7885 +a(g435 +Vtypes +p7886 +tp7887 +a(g412 +g1026 +tp7888 +a(g435 +Vcomprehension_has_value +p7889 +tp7890 +a(g826 +g984 +tp7891 +a(g435 +Vstm +p7892 +tp7893 +a(g826 +V\u000a +p7894 +tp7895 +a(g826 +V +p7896 +tp7897 +a(g435 +Vstm +p7898 +tp7899 +a(g826 +V\u000a +p7900 +tp7901 +a(g826 +V +p7902 +tp7903 +a(g744 +Velse +p7904 +tp7905 +a(g826 +V\u000a +p7906 +tp7907 +a(g826 +V +p7908 +tp7909 +a(g748 +g1390 +tp7910 +a(g157 +g986 +tp7911 +a(g89 +g999 +tp7912 +a(g89 +g1059 +tp7913 +a(g89 +g1005 +tp7914 +a(g89 +g1085 +tp7915 +a(g89 +g999 +tp7916 +a(g89 +g993 +tp7917 +a(g157 +g986 +tp7918 +a(g705 +g1021 +tp7919 +a(g826 +g984 +tp7920 +a(g435 +Vstm +p7921 +tp7922 +a(g748 +g1394 +tp7923 +a(g826 +V\u000a +p7924 +tp7925 +a(g826 +V\u000a +p7926 +tp7927 +a(g826 +V +p7928 +tp7929 +a(g435 +Vfn +p7930 +tp7931 +a(g826 +V\u000a +p7932 +tp7933 +a(g826 +V\u000a +p7934 +tp7935 +a(g628 +VValue +p7936 +tp7937 +a(g826 +g984 +tp7938 +a(g412 +g1037 +tp7939 +a(g826 +g984 +tp7940 +a(g628 +VTransformer +p7941 +tp7942 +a(g826 +g984 +tp7943 +a(g748 +g1390 +tp7944 +a(g826 +V\u000a +p7945 +tp7946 +a(g826 +V +p7947 +tp7948 +a(g440 +Vfor: +p7949 +tp7950 +a(g826 +g984 +tp7951 +a(g435 +Vdefault_accumulator +p7952 +tp7953 +a(g826 +V\u000a +p7954 +tp7955 +a(g826 +V +p7956 +tp7957 +a(g440 +Vwhile: +p7958 +tp7959 +a(g826 +g984 +tp7960 +a(g435 +Vdefault_accumulator +p7961 +tp7962 +a(g826 +V\u000a +p7963 +tp7964 +a(g826 +V +p7965 +tp7966 +a(g440 +Vforeach: +p7967 +tp7968 +a(g826 +g984 +tp7969 +a(g435 +Vdefault_accumulator +p7970 +tp7971 +a(g826 +V\u000a +p7972 +tp7973 +a(g826 +V\u000a +p7974 +tp7975 +a(g826 +V +p7976 +tp7977 +a(g440 +Vcomprehension: +p7978 +tp7979 +a(g826 +g984 +tp7980 +a(g748 +g1218 +tp7981 +a(g435 +Vnode +p7982 +tp7983 +a(g748 +g1222 +tp7984 +a(g826 +g984 +tp7985 +a(g573 +V=> +p7986 +tp7987 +a(g826 +V\u000a +p7988 +tp7989 +a(g826 +V +p7990 +tp7991 +a(g435 +g1011 +tp7992 +a(g826 +g984 +tp7993 +a(g412 +g1037 +tp7994 +a(g826 +g984 +tp7995 +a(g628 +VAccumulator +p7996 +tp7997 +a(g412 +g7354 +tp7998 +a(g826 +V\u000a +p7999 +tp8000 +a(g826 +V +p8001 +tp8002 +a(g435 +Vnode +p8003 +tp8004 +a(g826 +g984 +tp8005 +a(g412 +g1037 +tp8006 +a(g826 +g984 +tp8007 +a(g445 +V@transform +p8008 +tp8009 +a(g412 +g1007 +tp8010 +a(g435 +Vstatement +p8011 +tp8012 +a(g826 +g984 +tp8013 +a(g435 +Vnode +p8014 +tp8015 +a(g705 +g1021 +tp8016 +a(g826 +g984 +tp8017 +a(g748 +g1218 +tp8018 +a(g435 +Vexp +p8019 +tp8020 +a(g748 +g1222 +tp8021 +a(g826 +g984 +tp8022 +a(g573 +V-> +p8023 +tp8024 +a(g826 +V\u000a +p8025 +tp8026 +a(g826 +V +p8027 +tp8028 +a(g435 +g1011 +tp8029 +a(g412 +V\u005c +p8030 +tp8031 +a(g435 +Vmutate_body +p8032 +tp8033 +a(g826 +g984 +tp8034 +a(g748 +g1390 +tp8035 +a(g435 +Vexp +p8036 +tp8037 +a(g748 +g1394 +tp8038 +a(g705 +g1021 +tp8039 +a(g826 +g984 +tp8040 +a(g763 +Vfalse +p8041 +tp8042 +a(g826 +V\u000a +p8043 +tp8044 +a(g826 +V +p8045 +tp8046 +a(g435 +g1011 +tp8047 +a(g412 +V\u005c +p8048 +tp8049 +a(g435 +Vwrap +p8050 +tp8051 +a(g826 +g984 +tp8052 +a(g435 +Vnode +p8053 +tp8054 +a(g826 +V\u000a +p8055 +tp8056 +a(g826 +V\u000a +p8057 +tp8058 +a(g826 +V +p8059 +tp8060 +a(g440 +Vtblcomprehension: +p8061 +tp8062 +a(g826 +g984 +tp8063 +a(g748 +g1218 +tp8064 +a(g435 +Vnode +p8065 +tp8066 +a(g748 +g1222 +tp8067 +a(g826 +g984 +tp8068 +a(g573 +V=> +p8069 +tp8070 +a(g826 +V\u000a +p8071 +tp8072 +a(g826 +V +p8073 +tp8074 +a(g435 +g1244 +tp8075 +a(g705 +g1021 +tp8076 +a(g826 +g984 +tp8077 +a(g435 +Vkey_exp +p8078 +tp8079 +a(g705 +g1021 +tp8080 +a(g826 +g984 +tp8081 +a(g435 +Vvalue_exp +p8082 +tp8083 +a(g705 +g1021 +tp8084 +a(g826 +g984 +tp8085 +a(g435 +Vclauses +p8086 +tp8087 +a(g826 +g984 +tp8088 +a(g412 +g1037 +tp8089 +a(g826 +g984 +tp8090 +a(g435 +Vunpack +p8091 +tp8092 +a(g826 +g984 +tp8093 +a(g435 +Vnode +p8094 +tp8095 +a(g826 +V\u000a +p8096 +tp8097 +a(g826 +V\u000a +p8098 +tp8099 +a(g826 +V +p8100 +tp8101 +a(g435 +Vaccum +p8102 +tp8103 +a(g826 +g984 +tp8104 +a(g412 +g1037 +tp8105 +a(g826 +g984 +tp8106 +a(g628 +VNameProxy +p8107 +tp8108 +a(g826 +g984 +tp8109 +a(g157 +g986 +tp8110 +a(g89 +g1005 +tp8111 +a(g89 +g3244 +tp8112 +a(g89 +g1089 +tp8113 +a(g157 +g986 +tp8114 +a(g826 +V\u000a +p8115 +tp8116 +a(g826 +V +p8117 +tp8118 +a(g435 +Vdest +p8119 +tp8120 +a(g826 +g984 +tp8121 +a(g412 +g1037 +tp8122 +a(g826 +g984 +tp8123 +a(g435 +Vbuild +p8124 +tp8125 +a(g412 +g1026 +tp8126 +a(g435 +Vchain +p8127 +tp8128 +a(g826 +g984 +tp8129 +a(g748 +g1390 +tp8130 +a(g826 +g984 +tp8131 +a(g440 +Vbase: +p8132 +tp8133 +a(g826 +g984 +tp8134 +a(g435 +Vaccum +p8135 +tp8136 +a(g705 +g1021 +tp8137 +a(g826 +g984 +tp8138 +a(g748 +g1390 +tp8139 +a(g157 +g986 +tp8140 +a(g89 +g1001 +tp8141 +a(g89 +g993 +tp8142 +a(g89 +g1114 +tp8143 +a(g89 +g1059 +tp8144 +a(g89 +g1550 +tp8145 +a(g157 +g986 +tp8146 +a(g705 +g1021 +tp8147 +a(g826 +g984 +tp8148 +a(g435 +Vkey_exp +p8149 +tp8150 +a(g748 +g1394 +tp8151 +a(g826 +g984 +tp8152 +a(g748 +g1394 +tp8153 +a(g826 +V\u000a +p8154 +tp8155 +a(g826 +V +p8156 +tp8157 +a(g435 +Vinner +p8158 +tp8159 +a(g826 +g984 +tp8160 +a(g412 +g1037 +tp8161 +a(g826 +g984 +tp8162 +a(g435 +Vbuild +p8163 +tp8164 +a(g412 +g1026 +tp8165 +a(g435 +Vassign_one +p8166 +tp8167 +a(g826 +g984 +tp8168 +a(g435 +Vdest +p8169 +tp8170 +a(g705 +g1021 +tp8171 +a(g826 +g984 +tp8172 +a(g435 +Vvalue_exp +p8173 +tp8174 +a(g826 +V\u000a +p8175 +tp8176 +a(g826 +V\u000a +p8177 +tp8178 +a(g826 +V +p8179 +tp8180 +a(g435 +Vbuild +p8181 +tp8182 +a(g412 +g1026 +tp8183 +a(g435 +Vblock_exp +p8184 +tp8185 +a(g826 +g984 +tp8186 +a(g748 +g1390 +tp8187 +a(g826 +V\u000a +p8188 +tp8189 +a(g826 +V +p8190 +tp8191 +a(g435 +Vbuild +p8192 +tp8193 +a(g412 +g1026 +tp8194 +a(g435 +Vassign_one +p8195 +tp8196 +a(g826 +g984 +tp8197 +a(g435 +Vaccum +p8198 +tp8199 +a(g705 +g1021 +tp8200 +a(g826 +g984 +tp8201 +a(g435 +Vbuild +p8202 +tp8203 +a(g412 +g1026 +tp8204 +a(g435 +Vtable +p8205 +tp8206 +a(g412 +g7354 +tp8207 +a(g826 +V\u000a +p8208 +tp8209 +a(g826 +V +p8210 +tp8211 +a(g435 +Vconstruct_comprehension +p8212 +tp8213 +a(g826 +g984 +tp8214 +a(g748 +g1390 +tp8215 +a(g435 +Vinner +p8216 +tp8217 +a(g748 +g1394 +tp8218 +a(g705 +g1021 +tp8219 +a(g826 +g984 +tp8220 +a(g435 +Vclauses +p8221 +tp8222 +a(g826 +V\u000a +p8223 +tp8224 +a(g826 +V +p8225 +tp8226 +a(g435 +Vaccum +p8227 +tp8228 +a(g826 +V\u000a +p8229 +tp8230 +a(g826 +V +p8231 +tp8232 +a(g748 +g1394 +tp8233 +a(g826 +V\u000a +p8234 +tp8235 +a(g826 +V\u000a +p8236 +tp8237 +a(g826 +V +p8238 +tp8239 +a(g440 +Vfndef: +p8240 +tp8241 +a(g826 +g984 +tp8242 +a(g748 +g1218 +tp8243 +a(g435 +Vnode +p8244 +tp8245 +a(g748 +g1222 +tp8246 +a(g826 +g984 +tp8247 +a(g573 +V=> +p8248 +tp8249 +a(g826 +V\u000a +p8250 +tp8251 +a(g826 +V +p8252 +tp8253 +a(g435 +Vsmart_node +p8254 +tp8255 +a(g826 +g984 +tp8256 +a(g435 +Vnode +p8257 +tp8258 +a(g826 +V\u000a +p8259 +tp8260 +a(g826 +V +p8261 +tp8262 +a(g435 +Vnode +p8263 +tp8264 +a(g412 +g1026 +tp8265 +a(g435 +Vbody +p8266 +tp8267 +a(g826 +g984 +tp8268 +a(g412 +g1037 +tp8269 +a(g826 +g984 +tp8270 +a(g435 +Vapply_to_last +p8271 +tp8272 +a(g826 +g984 +tp8273 +a(g435 +Vnode +p8274 +tp8275 +a(g412 +g1026 +tp8276 +a(g435 +Vbody +p8277 +tp8278 +a(g705 +g1021 +tp8279 +a(g826 +g984 +tp8280 +a(g435 +Vimplicitly_return +p8281 +tp8282 +a(g826 +g984 +tp8283 +a(g693 +Vself +p8284 +tp8285 +a(g826 +V\u000a +p8286 +tp8287 +a(g826 +V +p8288 +tp8289 +a(g435 +Vnode +p8290 +tp8291 +a(g826 +V\u000a +p8292 +tp8293 +a(g826 +V\u000a +p8294 +tp8295 +a(g826 +V +p8296 +tp8297 +a(g440 +Vif: +p8298 +tp8299 +a(g826 +g984 +tp8300 +a(g748 +g1218 +tp8301 +a(g435 +Vnode +p8302 +tp8303 +a(g748 +g1222 +tp8304 +a(g826 +g984 +tp8305 +a(g573 +V=> +p8306 +tp8307 +a(g826 +g984 +tp8308 +a(g435 +Vbuild +p8309 +tp8310 +a(g412 +g1026 +tp8311 +a(g435 +Vblock_exp +p8312 +tp8313 +a(g826 +g984 +tp8314 +a(g748 +g1390 +tp8315 +a(g826 +g984 +tp8316 +a(g435 +Vnode +p8317 +tp8318 +a(g826 +g984 +tp8319 +a(g748 +g1394 +tp8320 +a(g826 +V\u000a +p8321 +tp8322 +a(g826 +V +p8323 +tp8324 +a(g440 +Vwith: +p8325 +tp8326 +a(g826 +g984 +tp8327 +a(g748 +g1218 +tp8328 +a(g435 +Vnode +p8329 +tp8330 +a(g748 +g1222 +tp8331 +a(g826 +g984 +tp8332 +a(g573 +V=> +p8333 +tp8334 +a(g826 +g984 +tp8335 +a(g435 +Vbuild +p8336 +tp8337 +a(g412 +g1026 +tp8338 +a(g435 +Vblock_exp +p8339 +tp8340 +a(g826 +g984 +tp8341 +a(g748 +g1390 +tp8342 +a(g826 +g984 +tp8343 +a(g435 +Vnode +p8344 +tp8345 +a(g826 +g984 +tp8346 +a(g748 +g1394 +tp8347 +a(g826 +V\u000a +p8348 +tp8349 +a(g826 +V +p8350 +tp8351 +a(g440 +Vswitch: +p8352 +tp8353 +a(g826 +g984 +tp8354 +a(g748 +g1218 +tp8355 +a(g435 +Vnode +p8356 +tp8357 +a(g748 +g1222 +tp8358 +a(g826 +g984 +tp8359 +a(g573 +V=> +p8360 +tp8361 +a(g826 +V\u000a +p8362 +tp8363 +a(g826 +V +p8364 +tp8365 +a(g435 +Vbuild +p8366 +tp8367 +a(g412 +g1026 +tp8368 +a(g435 +Vblock_exp +p8369 +tp8370 +a(g826 +g984 +tp8371 +a(g748 +g1390 +tp8372 +a(g826 +g984 +tp8373 +a(g435 +Vnode +p8374 +tp8375 +a(g826 +g984 +tp8376 +a(g748 +g1394 +tp8377 +a(g826 +V\u000a +p8378 +tp8379 +a(g826 +V\u000a +p8380 +tp8381 +a(g826 +V +p8382 +tp8383 +a(g8 +V-- pull out colon chain +p8384 +tp8385 +a(g826 +V\u000a +p8386 +tp8387 +a(g826 +V +p8388 +tp8389 +a(g440 +Vchain: +p8390 +tp8391 +a(g826 +g984 +tp8392 +a(g748 +g1218 +tp8393 +a(g435 +Vnode +p8394 +tp8395 +a(g748 +g1222 +tp8396 +a(g826 +g984 +tp8397 +a(g573 +V=> +p8398 +tp8399 +a(g826 +V\u000a +p8400 +tp8401 +a(g826 +V +p8402 +tp8403 +a(g435 +Vstub +p8404 +tp8405 +a(g826 +g984 +tp8406 +a(g412 +g1037 +tp8407 +a(g826 +g984 +tp8408 +a(g435 +Vnode +p8409 +tp8410 +a(g748 +g1230 +tp8411 +a(g412 +g1770 +tp8412 +a(g435 +Vnode +p8413 +tp8414 +a(g748 +g1234 +tp8415 +a(g826 +V\u000a +p8416 +tp8417 +a(g826 +V +p8418 +tp8419 +a(g744 +Vif +p8420 +tp8421 +a(g826 +g984 +tp8422 +a(g681 +Vtype +p8423 +tp8424 +a(g748 +g1218 +tp8425 +a(g435 +Vstub +p8426 +tp8427 +a(g748 +g1222 +tp8428 +a(g826 +g984 +tp8429 +a(g412 +V== +p8430 +tp8431 +a(g826 +g984 +tp8432 +a(g157 +g986 +tp8433 +a(g89 +g1005 +tp8434 +a(g89 +g1011 +tp8435 +a(g89 +g3244 +tp8436 +a(g89 +g1089 +tp8437 +a(g89 +g1059 +tp8438 +a(g157 +g986 +tp8439 +a(g826 +g984 +tp8440 +a(g744 +Vand +p8441 +tp8442 +a(g826 +g984 +tp8443 +a(g435 +Vstub +p8444 +tp8445 +a(g748 +g1230 +tp8446 +a(g37 +g1232 +tp8447 +a(g748 +g1234 +tp8448 +a(g826 +g984 +tp8449 +a(g412 +V== +p8450 +tp8451 +a(g826 +g984 +tp8452 +a(g157 +g986 +tp8453 +a(g89 +g997 +tp8454 +a(g89 +g990 +tp8455 +a(g89 +g1089 +tp8456 +a(g89 +g990 +tp8457 +a(g89 +g993 +tp8458 +a(g89 +g1244 +tp8459 +a(g89 +g995 +tp8460 +a(g89 +g1005 +tp8461 +a(g89 +g1085 +tp8462 +a(g89 +g3244 +tp8463 +a(g157 +g986 +tp8464 +a(g826 +V\u000a +p8465 +tp8466 +a(g826 +V +p8467 +tp8468 +a(g681 +Vtable.remove +p8469 +tp8470 +a(g826 +g984 +tp8471 +a(g435 +Vnode +p8472 +tp8473 +a(g705 +g1021 +tp8474 +a(g826 +g984 +tp8475 +a(g412 +g1770 +tp8476 +a(g435 +Vnode +p8477 +tp8478 +a(g826 +V\u000a +p8479 +tp8480 +a(g826 +V\u000a +p8481 +tp8482 +a(g826 +V +p8483 +tp8484 +a(g435 +Vbase_name +p8485 +tp8486 +a(g826 +g984 +tp8487 +a(g412 +g1037 +tp8488 +a(g826 +g984 +tp8489 +a(g628 +VNameProxy +p8490 +tp8491 +a(g826 +g984 +tp8492 +a(g157 +g986 +tp8493 +a(g89 +g3244 +tp8494 +a(g89 +g1011 +tp8495 +a(g89 +g995 +tp8496 +a(g89 +g1059 +tp8497 +a(g157 +g986 +tp8498 +a(g826 +V\u000a +p8499 +tp8500 +a(g826 +V +p8501 +tp8502 +a(g435 +Vfn_name +p8503 +tp8504 +a(g826 +g984 +tp8505 +a(g412 +g1037 +tp8506 +a(g826 +g984 +tp8507 +a(g628 +VNameProxy +p8508 +tp8509 +a(g826 +g984 +tp8510 +a(g157 +g986 +tp8511 +a(g89 +g1015 +tp8512 +a(g89 +g993 +tp8513 +a(g157 +g986 +tp8514 +a(g826 +V\u000a +p8515 +tp8516 +a(g826 +V\u000a +p8517 +tp8518 +a(g826 +V +p8519 +tp8520 +a(g435 +Vis_super +p8521 +tp8522 +a(g826 +g984 +tp8523 +a(g412 +g1037 +tp8524 +a(g826 +g984 +tp8525 +a(g435 +Vnode +p8526 +tp8527 +a(g748 +g1230 +tp8528 +a(g37 +g1978 +tp8529 +a(g748 +g1234 +tp8530 +a(g826 +g984 +tp8531 +a(g412 +V== +p8532 +tp8533 +a(g826 +g984 +tp8534 +a(g157 +g986 +tp8535 +a(g89 +g995 +tp8536 +a(g89 +g1085 +tp8537 +a(g89 +g1003 +tp8538 +a(g89 +g1059 +tp8539 +a(g89 +g999 +tp8540 +a(g157 +g986 +tp8541 +a(g826 +V\u000a +p8542 +tp8543 +a(g826 +V +p8544 +tp8545 +a(g445 +V@transform +p8546 +tp8547 +a(g412 +g1007 +tp8548 +a(g435 +Vvalue +p8549 +tp8550 +a(g826 +g984 +tp8551 +a(g435 +Vbuild +p8552 +tp8553 +a(g412 +g1026 +tp8554 +a(g435 +Vblock_exp +p8555 +tp8556 +a(g826 +g984 +tp8557 +a(g748 +g1390 +tp8558 +a(g826 +V\u000a +p8559 +tp8560 +a(g826 +V +p8561 +tp8562 +a(g435 +Vbuild +p8563 +tp8564 +a(g412 +g1026 +tp8565 +a(g435 +Vassign +p8566 +tp8567 +a(g826 +g984 +tp8568 +a(g748 +g1390 +tp8569 +a(g826 +V\u000a +p8570 +tp8571 +a(g826 +V +p8572 +tp8573 +a(g440 +Vnames: +p8574 +tp8575 +a(g826 +g984 +tp8576 +a(g748 +g1390 +tp8577 +a(g435 +Vbase_name +p8578 +tp8579 +a(g748 +g1394 +tp8580 +a(g826 +V\u000a +p8581 +tp8582 +a(g826 +V +p8583 +tp8584 +a(g440 +Vvalues: +p8585 +tp8586 +a(g826 +g984 +tp8587 +a(g748 +g1390 +tp8588 +a(g435 +Vnode +p8589 +tp8590 +a(g748 +g1394 +tp8591 +a(g826 +V\u000a +p8592 +tp8593 +a(g826 +V +p8594 +tp8595 +a(g748 +g1394 +tp8596 +a(g826 +V\u000a +p8597 +tp8598 +a(g826 +V\u000a +p8599 +tp8600 +a(g826 +V +p8601 +tp8602 +a(g435 +Vbuild +p8603 +tp8604 +a(g412 +g1026 +tp8605 +a(g435 +Vassign +p8606 +tp8607 +a(g826 +g984 +tp8608 +a(g748 +g1390 +tp8609 +a(g826 +V\u000a +p8610 +tp8611 +a(g826 +V +p8612 +tp8613 +a(g440 +Vnames: +p8614 +tp8615 +a(g826 +g984 +tp8616 +a(g748 +g1390 +tp8617 +a(g435 +Vfn_name +p8618 +tp8619 +a(g748 +g1394 +tp8620 +a(g826 +V\u000a +p8621 +tp8622 +a(g826 +V +p8623 +tp8624 +a(g440 +Vvalues: +p8625 +tp8626 +a(g826 +g984 +tp8627 +a(g748 +g1390 +tp8628 +a(g826 +V\u000a +p8629 +tp8630 +a(g826 +V +p8631 +tp8632 +a(g435 +Vbuild +p8633 +tp8634 +a(g412 +g1026 +tp8635 +a(g435 +Vchain +p8636 +tp8637 +a(g826 +g984 +tp8638 +a(g748 +g1390 +tp8639 +a(g826 +g984 +tp8640 +a(g440 +Vbase: +p8641 +tp8642 +a(g826 +g984 +tp8643 +a(g435 +Vbase_name +p8644 +tp8645 +a(g705 +g1021 +tp8646 +a(g826 +g984 +tp8647 +a(g748 +g1390 +tp8648 +a(g157 +g986 +tp8649 +a(g89 +g1114 +tp8650 +a(g89 +g990 +tp8651 +a(g89 +g1005 +tp8652 +a(g157 +g986 +tp8653 +a(g705 +g1021 +tp8654 +a(g826 +g984 +tp8655 +a(g435 +Vstub +p8656 +tp8657 +a(g748 +g1230 +tp8658 +a(g37 +g1978 +tp8659 +a(g748 +g1234 +tp8660 +a(g748 +g1394 +tp8661 +a(g826 +g984 +tp8662 +a(g748 +g1394 +tp8663 +a(g826 +V\u000a +p8664 +tp8665 +a(g826 +V +p8666 +tp8667 +a(g748 +g1394 +tp8668 +a(g826 +V\u000a +p8669 +tp8670 +a(g826 +V +p8671 +tp8672 +a(g748 +g1394 +tp8673 +a(g826 +V\u000a +p8674 +tp8675 +a(g826 +V\u000a +p8676 +tp8677 +a(g826 +V +p8678 +tp8679 +a(g435 +Vbuild +p8680 +tp8681 +a(g412 +g1026 +tp8682 +a(g435 +Vfndef +p8683 +tp8684 +a(g826 +g984 +tp8685 +a(g748 +g1390 +tp8686 +a(g826 +V\u000a +p8687 +tp8688 +a(g826 +V +p8689 +tp8690 +a(g440 +Vargs: +p8691 +tp8692 +a(g826 +g984 +tp8693 +a(g748 +g1390 +tp8694 +a(g748 +g1390 +tp8695 +a(g157 +g986 +tp8696 +a(g89 +g1007 +tp8697 +a(g89 +g1007 +tp8698 +a(g89 +g1007 +tp8699 +a(g157 +g986 +tp8700 +a(g748 +g1394 +tp8701 +a(g748 +g1394 +tp8702 +a(g826 +V\u000a +p8703 +tp8704 +a(g826 +V +p8705 +tp8706 +a(g440 +Vbody: +p8707 +tp8708 +a(g826 +g984 +tp8709 +a(g748 +g1390 +tp8710 +a(g826 +V\u000a +p8711 +tp8712 +a(g826 +V +p8713 +tp8714 +a(g435 +Vbuild +p8715 +tp8716 +a(g412 +g1026 +tp8717 +a(g435 +Vchain +p8718 +tp8719 +a(g826 +g984 +tp8720 +a(g748 +g1390 +tp8721 +a(g826 +V\u000a +p8722 +tp8723 +a(g826 +V +p8724 +tp8725 +a(g440 +Vbase: +p8726 +tp8727 +a(g826 +g984 +tp8728 +a(g435 +Vfn_name +p8729 +tp8730 +a(g705 +g1021 +tp8731 +a(g826 +g984 +tp8732 +a(g748 +g1390 +tp8733 +a(g157 +g986 +tp8734 +a(g89 +g997 +tp8735 +a(g89 +g1011 +tp8736 +a(g89 +g1089 +tp8737 +a(g89 +g1089 +tp8738 +a(g157 +g986 +tp8739 +a(g705 +g1021 +tp8740 +a(g826 +g984 +tp8741 +a(g748 +g1390 +tp8742 +a(g435 +Vis_super +p8743 +tp8744 +a(g826 +g984 +tp8745 +a(g744 +Vand +p8746 +tp8747 +a(g826 +g984 +tp8748 +a(g157 +g986 +tp8749 +a(g89 +g995 +tp8750 +a(g89 +g1059 +tp8751 +a(g89 +g1089 +tp8752 +a(g89 +g1015 +tp8753 +a(g157 +g986 +tp8754 +a(g826 +g984 +tp8755 +a(g744 +Vor +p8756 +tp8757 +a(g826 +g984 +tp8758 +a(g435 +Vbase_name +p8759 +tp8760 +a(g705 +g1021 +tp8761 +a(g826 +g984 +tp8762 +a(g157 +g986 +tp8763 +a(g89 +g1007 +tp8764 +a(g89 +g1007 +tp8765 +a(g89 +g1007 +tp8766 +a(g157 +g986 +tp8767 +a(g748 +g1394 +tp8768 +a(g748 +g1394 +tp8769 +a(g826 +V\u000a +p8770 +tp8771 +a(g826 +V +p8772 +tp8773 +a(g748 +g1394 +tp8774 +a(g826 +V\u000a +p8775 +tp8776 +a(g826 +V +p8777 +tp8778 +a(g748 +g1394 +tp8779 +a(g826 +V\u000a +p8780 +tp8781 +a(g826 +V +p8782 +tp8783 +a(g748 +g1394 +tp8784 +a(g826 +V\u000a +p8785 +tp8786 +a(g826 +V +p8787 +tp8788 +a(g748 +g1394 +tp8789 +a(g826 +V\u000a +p8790 +tp8791 +a(g826 +V\u000a +p8792 +tp8793 +a(g826 +V +p8794 +tp8795 +a(g440 +Vblock_exp: +p8796 +tp8797 +a(g826 +g984 +tp8798 +a(g748 +g1218 +tp8799 +a(g435 +Vnode +p8800 +tp8801 +a(g748 +g1222 +tp8802 +a(g826 +g984 +tp8803 +a(g573 +V=> +p8804 +tp8805 +a(g826 +V\u000a +p8806 +tp8807 +a(g826 +V +p8808 +tp8809 +a(g435 +g1244 +tp8810 +a(g705 +g1021 +tp8811 +a(g826 +g984 +tp8812 +a(g435 +Vbody +p8813 +tp8814 +a(g826 +g984 +tp8815 +a(g412 +g1037 +tp8816 +a(g826 +g984 +tp8817 +a(g435 +Vunpack +p8818 +tp8819 +a(g826 +g984 +tp8820 +a(g435 +Vnode +p8821 +tp8822 +a(g826 +V\u000a +p8823 +tp8824 +a(g826 +V\u000a +p8825 +tp8826 +a(g826 +V +p8827 +tp8828 +a(g435 +Vfn +p8829 +tp8830 +a(g826 +g984 +tp8831 +a(g412 +g1037 +tp8832 +a(g826 +g984 +tp8833 +a(g763 +Vnil +p8834 +tp8835 +a(g826 +V\u000a +p8836 +tp8837 +a(g826 +V +p8838 +tp8839 +a(g435 +Varg_list +p8840 +tp8841 +a(g826 +g984 +tp8842 +a(g412 +g1037 +tp8843 +a(g826 +g984 +tp8844 +a(g748 +g1390 +tp8845 +a(g748 +g1394 +tp8846 +a(g826 +V\u000a +p8847 +tp8848 +a(g826 +V\u000a +p8849 +tp8850 +a(g826 +V +p8851 +tp8852 +a(g435 +Vinsert +p8853 +tp8854 +a(g826 +g984 +tp8855 +a(g435 +Vbody +p8856 +tp8857 +a(g705 +g1021 +tp8858 +a(g826 +g984 +tp8859 +a(g628 +VRun +p8860 +tp8861 +a(g826 +g984 +tp8862 +a(g573 +V=> +p8863 +tp8864 +a(g826 +V\u000a +p8865 +tp8866 +a(g826 +V +p8867 +tp8868 +a(g744 +Vif +p8869 +tp8870 +a(g826 +g984 +tp8871 +a(g445 +V@has_varargs +p8872 +tp8873 +a(g826 +V\u000a +p8874 +tp8875 +a(g826 +V +p8876 +tp8877 +a(g435 +Vinsert +p8878 +tp8879 +a(g826 +g984 +tp8880 +a(g435 +Varg_list +p8881 +tp8882 +a(g705 +g1021 +tp8883 +a(g826 +g984 +tp8884 +a(g157 +g986 +tp8885 +a(g89 +g1007 +tp8886 +a(g89 +g1007 +tp8887 +a(g89 +g1007 +tp8888 +a(g157 +g986 +tp8889 +a(g826 +V\u000a +p8890 +tp8891 +a(g826 +V +p8892 +tp8893 +a(g435 +Vinsert +p8894 +tp8895 +a(g826 +g984 +tp8896 +a(g435 +Vfn +p8897 +tp8898 +a(g412 +g1026 +tp8899 +a(g435 +Vargs +p8900 +tp8901 +a(g705 +g1021 +tp8902 +a(g826 +g984 +tp8903 +a(g748 +g1390 +tp8904 +a(g157 +g986 +tp8905 +a(g89 +g1007 +tp8906 +a(g89 +g1007 +tp8907 +a(g89 +g1007 +tp8908 +a(g157 +g986 +tp8909 +a(g748 +g1394 +tp8910 +a(g826 +V\u000a +p8911 +tp8912 +a(g826 +V\u000a +p8913 +tp8914 +a(g826 +V +p8915 +tp8916 +a(g435 +Vfn +p8917 +tp8918 +a(g826 +g984 +tp8919 +a(g412 +g1037 +tp8920 +a(g826 +g984 +tp8921 +a(g435 +Vsmart_node +p8922 +tp8923 +a(g826 +g984 +tp8924 +a(g435 +Vbuild +p8925 +tp8926 +a(g412 +g1026 +tp8927 +a(g435 +Vfndef +p8928 +tp8929 +a(g826 +g984 +tp8930 +a(g440 +Vbody: +p8931 +tp8932 +a(g826 +g984 +tp8933 +a(g435 +Vbody +p8934 +tp8935 +a(g826 +V\u000a +p8936 +tp8937 +a(g826 +V +p8938 +tp8939 +a(g435 +Vbuild +p8940 +tp8941 +a(g412 +g1026 +tp8942 +a(g435 +Vchain +p8943 +tp8944 +a(g826 +g984 +tp8945 +a(g748 +g1390 +tp8946 +a(g826 +g984 +tp8947 +a(g440 +Vbase: +p8948 +tp8949 +a(g826 +g984 +tp8950 +a(g748 +g1390 +tp8951 +a(g157 +g986 +tp8952 +a(g89 +g1003 +tp8953 +a(g89 +g1011 +tp8954 +a(g89 +g999 +tp8955 +a(g89 +g1059 +tp8956 +a(g89 +g993 +tp8957 +a(g89 +g995 +tp8958 +a(g157 +g986 +tp8959 +a(g705 +g1021 +tp8960 +a(g826 +g984 +tp8961 +a(g435 +Vfn +p8962 +tp8963 +a(g748 +g1394 +tp8964 +a(g705 +g1021 +tp8965 +a(g826 +g984 +tp8966 +a(g748 +g1390 +tp8967 +a(g157 +g986 +tp8968 +a(g89 +g997 +tp8969 +a(g89 +g1011 +tp8970 +a(g89 +g1089 +tp8971 +a(g89 +g1089 +tp8972 +a(g157 +g986 +tp8973 +a(g705 +g1021 +tp8974 +a(g826 +g984 +tp8975 +a(g435 +Varg_list +p8976 +tp8977 +a(g748 +g1394 +tp8978 +a(g826 +g984 +tp8979 +a(g748 +g1394 +tp8980 +a(g826 +V\u000a +p8981 +tp8982 +a(g748 +g1394 +tp8983 +a(g826 +V\u000a +p8984 +tp8985 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.mq4 b/tests/examplefiles/output/example.mq4 new file mode 100644 index 0000000..915edf0 --- /dev/null +++ b/tests/examplefiles/output/example.mq4 @@ -0,0 +1,7267 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV//+------------------------------------------------------------------+\u000a +p956 +tp957 +a(g8 +V//| PeriodConverter.mq4 |\u000a +p958 +tp959 +a(g8 +V//| Copyright 2006-2014, MetaQuotes Software Corp. |\u000a +p960 +tp961 +a(g8 +V//| http://www.metaquotes.net |\u000a +p962 +tp963 +a(g8 +V//+------------------------------------------------------------------+\u000a +p964 +tp965 +a(g898 +V# +p966 +tp967 +a(g898 +Vproperty copyright "2006-2014, MetaQuotes Software Corp." +p968 +tp969 +a(g898 +V\u000a +p970 +tp971 +a(g898 +g966 +tp972 +a(g898 +Vproperty link "http: +p973 +tp974 +a(g8 +V//www.mql4.com"\u000a +p975 +tp976 +a(g898 +g966 +tp977 +a(g898 +Vproperty description "Period Converter to updated format of history base" +p978 +tp979 +a(g898 +V\u000a +p980 +tp981 +a(g898 +g966 +tp982 +a(g898 +Vproperty strict +p983 +tp984 +a(g898 +V\u000a +p985 +tp986 +a(g898 +g966 +tp987 +a(g898 +Vproperty show_inputs +p988 +tp989 +a(g898 +V\u000a +p990 +tp991 +a(g898 +g966 +tp992 +a(g898 +Vinclude +p993 +tp994 +a(g826 +V +p995 +tp996 +a(g938 +V +p997 +tp998 +a(g898 +V\u000a +p999 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g744 +Vinput +p1003 +tp1004 +a(g826 +g995 +tp1005 +a(g748 +Vint +p1006 +tp1007 +a(g826 +g995 +tp1008 +a(g435 +VInpPeriodMultiplier +p1009 +tp1010 +a(g412 +V= +p1011 +tp1012 +a(g37 +V3 +p1013 +tp1014 +a(g705 +V; +p1015 +tp1016 +a(g826 +g995 +tp1017 +a(g8 +V// Period multiplier factor\u000a +p1018 +tp1019 +a(g748 +Vint +p1020 +tp1021 +a(g826 +V +p1022 +tp1023 +a(g435 +VExtHandle +p1024 +tp1025 +a(g412 +g1011 +tp1026 +a(g412 +V- +p1027 +tp1028 +a(g37 +V1 +p1029 +tp1030 +a(g705 +g1015 +tp1031 +a(g826 +V\u000a +p1032 +tp1033 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1034 +tp1035 +a(g8 +V//| script program start function |\u000a +p1036 +tp1037 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1038 +tp1039 +a(g748 +Vvoid +p1040 +tp1041 +a(g826 +g995 +tp1042 +a(g573 +VOnStart +p1043 +tp1044 +a(g705 +V( +p1045 +tp1046 +a(g705 +V) +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g826 +V +p1051 +tp1052 +a(g705 +V{ +p1053 +tp1054 +a(g826 +V\u000a +p1055 +tp1056 +a(g826 +V +p1057 +tp1058 +a(g748 +Vdatetime +p1059 +tp1060 +a(g826 +g995 +tp1061 +a(g435 +Vtime0 +p1062 +tp1063 +a(g705 +g1015 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g826 +V +p1067 +tp1068 +a(g748 +Vulong +p1069 +tp1070 +a(g826 +V +p1071 +tp1072 +a(g435 +Vlast_fpos +p1073 +tp1074 +a(g412 +g1011 +tp1075 +a(g37 +V0 +p1076 +tp1077 +a(g705 +g1015 +tp1078 +a(g826 +V\u000a +p1079 +tp1080 +a(g826 +V +p1081 +tp1082 +a(g748 +Vlong +p1083 +tp1084 +a(g826 +V +p1085 +tp1086 +a(g435 +Vlast_volume +p1087 +tp1088 +a(g412 +g1011 +tp1089 +a(g37 +g1076 +tp1090 +a(g705 +g1015 +tp1091 +a(g826 +V\u000a +p1092 +tp1093 +a(g826 +V +p1094 +tp1095 +a(g748 +Vint +p1096 +tp1097 +a(g826 +V +p1098 +tp1099 +a(g435 +Vi +p1100 +tp1101 +a(g705 +V, +p1102 +tp1103 +a(g435 +Vstart_pos +p1104 +tp1105 +a(g705 +g1102 +tp1106 +a(g435 +Vperiodseconds +p1107 +tp1108 +a(g705 +g1015 +tp1109 +a(g826 +V\u000a +p1110 +tp1111 +a(g826 +V +p1112 +tp1113 +a(g748 +Vint +p1114 +tp1115 +a(g826 +V +p1116 +tp1117 +a(g435 +Vhwnd +p1118 +tp1119 +a(g412 +g1011 +tp1120 +a(g37 +g1076 +tp1121 +a(g705 +g1102 +tp1122 +a(g435 +Vcnt +p1123 +tp1124 +a(g412 +g1011 +tp1125 +a(g37 +g1076 +tp1126 +a(g705 +g1015 +tp1127 +a(g826 +V\u000a +p1128 +tp1129 +a(g8 +V//---- History header\u000a +p1130 +tp1131 +a(g826 +V +p1132 +tp1133 +a(g748 +Vint +p1134 +tp1135 +a(g826 +V +p1136 +tp1137 +a(g435 +Vfile_version +p1138 +tp1139 +a(g412 +g1011 +tp1140 +a(g37 +V401 +p1141 +tp1142 +a(g705 +g1015 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g826 +V +p1146 +tp1147 +a(g748 +Vstring +p1148 +tp1149 +a(g826 +V +p1150 +tp1151 +a(g435 +Vc_copyright +p1152 +tp1153 +a(g705 +g1015 +tp1154 +a(g826 +V\u000a +p1155 +tp1156 +a(g826 +V +p1157 +tp1158 +a(g748 +Vstring +p1159 +tp1160 +a(g826 +V +p1161 +tp1162 +a(g435 +Vc_symbol +p1163 +tp1164 +a(g412 +g1011 +tp1165 +a(g573 +VSymbol +p1166 +tp1167 +a(g705 +g1045 +tp1168 +a(g705 +g1047 +tp1169 +a(g705 +g1015 +tp1170 +a(g826 +V\u000a +p1171 +tp1172 +a(g826 +V +p1173 +tp1174 +a(g748 +Vint +p1175 +tp1176 +a(g826 +V +p1177 +tp1178 +a(g435 +Vi_period +p1179 +tp1180 +a(g412 +g1011 +tp1181 +a(g573 +VPeriod +p1182 +tp1183 +a(g705 +g1045 +tp1184 +a(g705 +g1047 +tp1185 +a(g412 +V* +p1186 +tp1187 +a(g435 +VInpPeriodMultiplier +p1188 +tp1189 +a(g705 +g1015 +tp1190 +a(g826 +V\u000a +p1191 +tp1192 +a(g826 +V +p1193 +tp1194 +a(g748 +Vint +p1195 +tp1196 +a(g826 +V +p1197 +tp1198 +a(g435 +Vi_digits +p1199 +tp1200 +a(g412 +g1011 +tp1201 +a(g744 +VDigits +p1202 +tp1203 +a(g705 +g1015 +tp1204 +a(g826 +V\u000a +p1205 +tp1206 +a(g826 +V +p1207 +tp1208 +a(g748 +Vint +p1209 +tp1210 +a(g826 +V +p1211 +tp1212 +a(g435 +Vi_unused +p1213 +tp1214 +a(g705 +V[ +p1215 +tp1216 +a(g37 +V13 +p1217 +tp1218 +a(g705 +V] +p1219 +tp1220 +a(g705 +g1015 +tp1221 +a(g826 +V\u000a +p1222 +tp1223 +a(g826 +V +p1224 +tp1225 +a(g435 +VMqlRates +p1226 +tp1227 +a(g826 +g995 +tp1228 +a(g435 +Vrate +p1229 +tp1230 +a(g705 +g1015 +tp1231 +a(g826 +V\u000a +p1232 +tp1233 +a(g8 +V//--- \u000a +p1234 +tp1235 +a(g826 +V +p1236 +tp1237 +a(g435 +VExtHandle +p1238 +tp1239 +a(g412 +g1011 +tp1240 +a(g573 +VFileOpenHistory +p1241 +tp1242 +a(g705 +g1045 +tp1243 +a(g435 +Vc_symbol +p1244 +tp1245 +a(g412 +V+ +p1246 +tp1247 +a(g705 +g1045 +tp1248 +a(g748 +Vstring +p1249 +tp1250 +a(g705 +g1047 +tp1251 +a(g435 +Vi_period +p1252 +tp1253 +a(g412 +g1246 +tp1254 +a(g89 +V" +p1255 +tp1256 +a(g89 +V.hst +p1257 +tp1258 +a(g89 +g1255 +tp1259 +a(g705 +g1102 +tp1260 +a(g565 +VFILE_BIN +p1261 +tp1262 +a(g412 +V| +p1263 +tp1264 +a(g565 +VFILE_WRITE +p1265 +tp1266 +a(g412 +g1263 +tp1267 +a(g565 +VFILE_SHARE_WRITE +p1268 +tp1269 +a(g412 +g1263 +tp1270 +a(g565 +VFILE_SHARE_READ +p1271 +tp1272 +a(g412 +g1263 +tp1273 +a(g565 +VFILE_ANSI +p1274 +tp1275 +a(g705 +g1047 +tp1276 +a(g705 +g1015 +tp1277 +a(g826 +V\u000a +p1278 +tp1279 +a(g826 +V +p1280 +tp1281 +a(g744 +Vif +p1282 +tp1283 +a(g705 +g1045 +tp1284 +a(g435 +VExtHandle +p1285 +tp1286 +a(g412 +V< +p1287 +tp1288 +a(g37 +g1076 +tp1289 +a(g705 +g1047 +tp1290 +a(g826 +V\u000a +p1291 +tp1292 +a(g826 +V +p1293 +tp1294 +a(g744 +Vreturn +p1295 +tp1296 +a(g705 +g1015 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g826 +V +p1300 +tp1301 +a(g435 +Vc_copyright +p1302 +tp1303 +a(g412 +g1011 +tp1304 +a(g89 +g1255 +tp1305 +a(g89 +V(C)opyright 2003, MetaQuotes Software Corp. +p1306 +tp1307 +a(g89 +g1255 +tp1308 +a(g705 +g1015 +tp1309 +a(g826 +V\u000a +p1310 +tp1311 +a(g826 +V +p1312 +tp1313 +a(g573 +VArrayInitialize +p1314 +tp1315 +a(g705 +g1045 +tp1316 +a(g435 +Vi_unused +p1317 +tp1318 +a(g705 +g1102 +tp1319 +a(g37 +g1076 +tp1320 +a(g705 +g1047 +tp1321 +a(g705 +g1015 +tp1322 +a(g826 +V\u000a +p1323 +tp1324 +a(g8 +V//--- write history file header\u000a +p1325 +tp1326 +a(g826 +V +p1327 +tp1328 +a(g573 +VFileWriteInteger +p1329 +tp1330 +a(g705 +g1045 +tp1331 +a(g435 +VExtHandle +p1332 +tp1333 +a(g705 +g1102 +tp1334 +a(g435 +Vfile_version +p1335 +tp1336 +a(g705 +g1102 +tp1337 +a(g435 +VLONG_VALUE +p1338 +tp1339 +a(g705 +g1047 +tp1340 +a(g705 +g1015 +tp1341 +a(g826 +V\u000a +p1342 +tp1343 +a(g826 +V +p1344 +tp1345 +a(g573 +VFileWriteString +p1346 +tp1347 +a(g705 +g1045 +tp1348 +a(g435 +VExtHandle +p1349 +tp1350 +a(g705 +g1102 +tp1351 +a(g435 +Vc_copyright +p1352 +tp1353 +a(g705 +g1102 +tp1354 +a(g37 +V64 +p1355 +tp1356 +a(g705 +g1047 +tp1357 +a(g705 +g1015 +tp1358 +a(g826 +V\u000a +p1359 +tp1360 +a(g826 +V +p1361 +tp1362 +a(g573 +VFileWriteString +p1363 +tp1364 +a(g705 +g1045 +tp1365 +a(g435 +VExtHandle +p1366 +tp1367 +a(g705 +g1102 +tp1368 +a(g435 +Vc_symbol +p1369 +tp1370 +a(g705 +g1102 +tp1371 +a(g37 +V12 +p1372 +tp1373 +a(g705 +g1047 +tp1374 +a(g705 +g1015 +tp1375 +a(g826 +V\u000a +p1376 +tp1377 +a(g826 +V +p1378 +tp1379 +a(g573 +VFileWriteInteger +p1380 +tp1381 +a(g705 +g1045 +tp1382 +a(g435 +VExtHandle +p1383 +tp1384 +a(g705 +g1102 +tp1385 +a(g435 +Vi_period +p1386 +tp1387 +a(g705 +g1102 +tp1388 +a(g435 +VLONG_VALUE +p1389 +tp1390 +a(g705 +g1047 +tp1391 +a(g705 +g1015 +tp1392 +a(g826 +V\u000a +p1393 +tp1394 +a(g826 +V +p1395 +tp1396 +a(g573 +VFileWriteInteger +p1397 +tp1398 +a(g705 +g1045 +tp1399 +a(g435 +VExtHandle +p1400 +tp1401 +a(g705 +g1102 +tp1402 +a(g435 +Vi_digits +p1403 +tp1404 +a(g705 +g1102 +tp1405 +a(g435 +VLONG_VALUE +p1406 +tp1407 +a(g705 +g1047 +tp1408 +a(g705 +g1015 +tp1409 +a(g826 +V\u000a +p1410 +tp1411 +a(g826 +V +p1412 +tp1413 +a(g573 +VFileWriteInteger +p1414 +tp1415 +a(g705 +g1045 +tp1416 +a(g435 +VExtHandle +p1417 +tp1418 +a(g705 +g1102 +tp1419 +a(g37 +g1076 +tp1420 +a(g705 +g1102 +tp1421 +a(g435 +VLONG_VALUE +p1422 +tp1423 +a(g705 +g1047 +tp1424 +a(g705 +g1015 +tp1425 +a(g826 +V\u000a +p1426 +tp1427 +a(g826 +V +p1428 +tp1429 +a(g573 +VFileWriteInteger +p1430 +tp1431 +a(g705 +g1045 +tp1432 +a(g435 +VExtHandle +p1433 +tp1434 +a(g705 +g1102 +tp1435 +a(g37 +g1076 +tp1436 +a(g705 +g1102 +tp1437 +a(g435 +VLONG_VALUE +p1438 +tp1439 +a(g705 +g1047 +tp1440 +a(g705 +g1015 +tp1441 +a(g826 +V\u000a +p1442 +tp1443 +a(g826 +V +p1444 +tp1445 +a(g573 +VFileWriteArray +p1446 +tp1447 +a(g705 +g1045 +tp1448 +a(g435 +VExtHandle +p1449 +tp1450 +a(g705 +g1102 +tp1451 +a(g435 +Vi_unused +p1452 +tp1453 +a(g705 +g1102 +tp1454 +a(g37 +g1076 +tp1455 +a(g705 +g1102 +tp1456 +a(g37 +V13 +p1457 +tp1458 +a(g705 +g1047 +tp1459 +a(g705 +g1015 +tp1460 +a(g826 +V\u000a +p1461 +tp1462 +a(g8 +V//--- write history file\u000a +p1463 +tp1464 +a(g826 +V +p1465 +tp1466 +a(g435 +Vperiodseconds +p1467 +tp1468 +a(g412 +g1011 +tp1469 +a(g435 +Vi_period +p1470 +tp1471 +a(g412 +g1186 +tp1472 +a(g37 +V60 +p1473 +tp1474 +a(g705 +g1015 +tp1475 +a(g826 +V\u000a +p1476 +tp1477 +a(g826 +V +p1478 +tp1479 +a(g435 +Vstart_pos +p1480 +tp1481 +a(g412 +g1011 +tp1482 +a(g744 +VBars +p1483 +tp1484 +a(g412 +g1027 +tp1485 +a(g37 +g1029 +tp1486 +a(g705 +g1015 +tp1487 +a(g826 +V\u000a +p1488 +tp1489 +a(g826 +V +p1490 +tp1491 +a(g435 +Vrate +p1492 +tp1493 +a(g705 +V. +p1494 +tp1495 +a(g435 +Vopen +p1496 +tp1497 +a(g412 +g1011 +tp1498 +a(g744 +VOpen +p1499 +tp1500 +a(g705 +g1215 +tp1501 +a(g435 +Vstart_pos +p1502 +tp1503 +a(g705 +g1219 +tp1504 +a(g705 +g1015 +tp1505 +a(g826 +V\u000a +p1506 +tp1507 +a(g826 +V +p1508 +tp1509 +a(g435 +Vrate +p1510 +tp1511 +a(g705 +g1494 +tp1512 +a(g435 +Vlow +p1513 +tp1514 +a(g412 +g1011 +tp1515 +a(g744 +VLow +p1516 +tp1517 +a(g705 +g1215 +tp1518 +a(g435 +Vstart_pos +p1519 +tp1520 +a(g705 +g1219 +tp1521 +a(g705 +g1015 +tp1522 +a(g826 +V\u000a +p1523 +tp1524 +a(g826 +V +p1525 +tp1526 +a(g435 +Vrate +p1527 +tp1528 +a(g705 +g1494 +tp1529 +a(g435 +Vhigh +p1530 +tp1531 +a(g412 +g1011 +tp1532 +a(g744 +VHigh +p1533 +tp1534 +a(g705 +g1215 +tp1535 +a(g435 +Vstart_pos +p1536 +tp1537 +a(g705 +g1219 +tp1538 +a(g705 +g1015 +tp1539 +a(g826 +V\u000a +p1540 +tp1541 +a(g826 +V +p1542 +tp1543 +a(g435 +Vrate +p1544 +tp1545 +a(g705 +g1494 +tp1546 +a(g435 +Vtick_volume +p1547 +tp1548 +a(g412 +g1011 +tp1549 +a(g705 +g1045 +tp1550 +a(g748 +Vlong +p1551 +tp1552 +a(g705 +g1047 +tp1553 +a(g744 +VVolume +p1554 +tp1555 +a(g705 +g1215 +tp1556 +a(g435 +Vstart_pos +p1557 +tp1558 +a(g705 +g1219 +tp1559 +a(g705 +g1015 +tp1560 +a(g826 +V\u000a +p1561 +tp1562 +a(g826 +V +p1563 +tp1564 +a(g435 +Vrate +p1565 +tp1566 +a(g705 +g1494 +tp1567 +a(g435 +Vspread +p1568 +tp1569 +a(g412 +g1011 +tp1570 +a(g37 +g1076 +tp1571 +a(g705 +g1015 +tp1572 +a(g826 +V\u000a +p1573 +tp1574 +a(g826 +V +p1575 +tp1576 +a(g435 +Vrate +p1577 +tp1578 +a(g705 +g1494 +tp1579 +a(g435 +Vreal_volume +p1580 +tp1581 +a(g412 +g1011 +tp1582 +a(g37 +g1076 +tp1583 +a(g705 +g1015 +tp1584 +a(g826 +V\u000a +p1585 +tp1586 +a(g826 +V +p1587 +tp1588 +a(g8 +V//--- normalize open time\u000a +p1589 +tp1590 +a(g826 +V +p1591 +tp1592 +a(g435 +Vrate +p1593 +tp1594 +a(g705 +g1494 +tp1595 +a(g435 +Vtime +p1596 +tp1597 +a(g412 +g1011 +tp1598 +a(g744 +VTime +p1599 +tp1600 +a(g705 +g1215 +tp1601 +a(g435 +Vstart_pos +p1602 +tp1603 +a(g705 +g1219 +tp1604 +a(g412 +V/ +p1605 +tp1606 +a(g435 +Vperiodseconds +p1607 +tp1608 +a(g705 +g1015 +tp1609 +a(g826 +V\u000a +p1610 +tp1611 +a(g826 +V +p1612 +tp1613 +a(g435 +Vrate +p1614 +tp1615 +a(g705 +g1494 +tp1616 +a(g435 +Vtime +p1617 +tp1618 +a(g412 +g1186 +tp1619 +a(g412 +g1011 +tp1620 +a(g435 +Vperiodseconds +p1621 +tp1622 +a(g705 +g1015 +tp1623 +a(g826 +V\u000a +p1624 +tp1625 +a(g826 +V +p1626 +tp1627 +a(g744 +Vfor +p1628 +tp1629 +a(g705 +g1045 +tp1630 +a(g435 +g1100 +tp1631 +a(g412 +g1011 +tp1632 +a(g435 +Vstart_pos +p1633 +tp1634 +a(g412 +g1027 +tp1635 +a(g37 +g1029 +tp1636 +a(g705 +g1015 +tp1637 +a(g826 +g995 +tp1638 +a(g435 +g1100 +tp1639 +a(g412 +V> +p1640 +tp1641 +a(g412 +g1011 +tp1642 +a(g37 +g1076 +tp1643 +a(g705 +g1015 +tp1644 +a(g826 +g995 +tp1645 +a(g435 +g1100 +tp1646 +a(g412 +g1027 +tp1647 +a(g412 +g1027 +tp1648 +a(g705 +g1047 +tp1649 +a(g826 +V\u000a +p1650 +tp1651 +a(g826 +V +p1652 +tp1653 +a(g705 +g1053 +tp1654 +a(g826 +V\u000a +p1655 +tp1656 +a(g826 +V +p1657 +tp1658 +a(g744 +Vif +p1659 +tp1660 +a(g705 +g1045 +tp1661 +a(g573 +VIsStopped +p1662 +tp1663 +a(g705 +g1045 +tp1664 +a(g705 +g1047 +tp1665 +a(g705 +g1047 +tp1666 +a(g826 +V\u000a +p1667 +tp1668 +a(g826 +V +p1669 +tp1670 +a(g744 +Vbreak +p1671 +tp1672 +a(g705 +g1015 +tp1673 +a(g826 +V\u000a +p1674 +tp1675 +a(g826 +V +p1676 +tp1677 +a(g435 +Vtime0 +p1678 +tp1679 +a(g412 +g1011 +tp1680 +a(g744 +VTime +p1681 +tp1682 +a(g705 +g1215 +tp1683 +a(g435 +g1100 +tp1684 +a(g705 +g1219 +tp1685 +a(g705 +g1015 +tp1686 +a(g826 +V\u000a +p1687 +tp1688 +a(g826 +V +p1689 +tp1690 +a(g8 +V//--- history may be updated\u000a +p1691 +tp1692 +a(g826 +V +p1693 +tp1694 +a(g744 +Vif +p1695 +tp1696 +a(g705 +g1045 +tp1697 +a(g435 +g1100 +tp1698 +a(g412 +g1011 +tp1699 +a(g412 +g1011 +tp1700 +a(g37 +g1076 +tp1701 +a(g705 +g1047 +tp1702 +a(g826 +V\u000a +p1703 +tp1704 +a(g826 +V +p1705 +tp1706 +a(g705 +g1053 +tp1707 +a(g826 +V\u000a +p1708 +tp1709 +a(g826 +V +p1710 +tp1711 +a(g8 +V//--- modify index if history was updated\u000a +p1712 +tp1713 +a(g826 +V +p1714 +tp1715 +a(g744 +Vif +p1716 +tp1717 +a(g705 +g1045 +tp1718 +a(g573 +VRefreshRates +p1719 +tp1720 +a(g705 +g1045 +tp1721 +a(g705 +g1047 +tp1722 +a(g705 +g1047 +tp1723 +a(g826 +V\u000a +p1724 +tp1725 +a(g826 +V +p1726 +tp1727 +a(g435 +g1100 +tp1728 +a(g412 +g1011 +tp1729 +a(g573 +ViBarShift +p1730 +tp1731 +a(g705 +g1045 +tp1732 +a(g565 +VNULL +p1733 +tp1734 +a(g705 +g1102 +tp1735 +a(g37 +g1076 +tp1736 +a(g705 +g1102 +tp1737 +a(g435 +Vtime0 +p1738 +tp1739 +a(g705 +g1047 +tp1740 +a(g705 +g1015 +tp1741 +a(g826 +V\u000a +p1742 +tp1743 +a(g826 +V +p1744 +tp1745 +a(g705 +V} +p1746 +tp1747 +a(g826 +V\u000a +p1748 +tp1749 +a(g826 +V +p1750 +tp1751 +a(g8 +V//---\u000a +p1752 +tp1753 +a(g826 +V +p1754 +tp1755 +a(g744 +Vif +p1756 +tp1757 +a(g705 +g1045 +tp1758 +a(g435 +Vtime0 +p1759 +tp1760 +a(g412 +g1640 +tp1761 +a(g412 +g1011 +tp1762 +a(g435 +Vrate +p1763 +tp1764 +a(g705 +g1494 +tp1765 +a(g435 +Vtime +p1766 +tp1767 +a(g412 +g1246 +tp1768 +a(g435 +Vperiodseconds +p1769 +tp1770 +a(g826 +g995 +tp1771 +a(g412 +g1263 +tp1772 +a(g412 +g1263 +tp1773 +a(g826 +g995 +tp1774 +a(g435 +g1100 +tp1775 +a(g412 +g1011 +tp1776 +a(g412 +g1011 +tp1777 +a(g37 +g1076 +tp1778 +a(g705 +g1047 +tp1779 +a(g826 +V\u000a +p1780 +tp1781 +a(g826 +V +p1782 +tp1783 +a(g705 +g1053 +tp1784 +a(g826 +V\u000a +p1785 +tp1786 +a(g826 +V +p1787 +tp1788 +a(g744 +Vif +p1789 +tp1790 +a(g705 +g1045 +tp1791 +a(g435 +g1100 +tp1792 +a(g412 +g1011 +tp1793 +a(g412 +g1011 +tp1794 +a(g37 +g1076 +tp1795 +a(g826 +g995 +tp1796 +a(g412 +V& +p1797 +tp1798 +a(g412 +g1797 +tp1799 +a(g826 +g995 +tp1800 +a(g435 +Vtime0 +p1801 +tp1802 +a(g412 +g1287 +tp1803 +a(g435 +Vrate +p1804 +tp1805 +a(g705 +g1494 +tp1806 +a(g435 +Vtime +p1807 +tp1808 +a(g412 +g1246 +tp1809 +a(g435 +Vperiodseconds +p1810 +tp1811 +a(g705 +g1047 +tp1812 +a(g826 +V\u000a +p1813 +tp1814 +a(g826 +V +p1815 +tp1816 +a(g705 +g1053 +tp1817 +a(g826 +V\u000a +p1818 +tp1819 +a(g826 +V +p1820 +tp1821 +a(g435 +Vrate +p1822 +tp1823 +a(g705 +g1494 +tp1824 +a(g435 +Vtick_volume +p1825 +tp1826 +a(g412 +g1246 +tp1827 +a(g412 +g1011 +tp1828 +a(g705 +g1045 +tp1829 +a(g748 +Vlong +p1830 +tp1831 +a(g705 +g1047 +tp1832 +a(g744 +VVolume +p1833 +tp1834 +a(g705 +g1215 +tp1835 +a(g37 +g1076 +tp1836 +a(g705 +g1219 +tp1837 +a(g705 +g1015 +tp1838 +a(g826 +V\u000a +p1839 +tp1840 +a(g826 +V +p1841 +tp1842 +a(g744 +Vif +p1843 +tp1844 +a(g705 +g1045 +tp1845 +a(g435 +Vrate +p1846 +tp1847 +a(g705 +g1494 +tp1848 +a(g435 +Vlow +p1849 +tp1850 +a(g412 +g1640 +tp1851 +a(g744 +VLow +p1852 +tp1853 +a(g705 +g1215 +tp1854 +a(g37 +g1076 +tp1855 +a(g705 +g1219 +tp1856 +a(g705 +g1047 +tp1857 +a(g826 +V\u000a +p1858 +tp1859 +a(g826 +V +p1860 +tp1861 +a(g435 +Vrate +p1862 +tp1863 +a(g705 +g1494 +tp1864 +a(g435 +Vlow +p1865 +tp1866 +a(g412 +g1011 +tp1867 +a(g744 +VLow +p1868 +tp1869 +a(g705 +g1215 +tp1870 +a(g37 +g1076 +tp1871 +a(g705 +g1219 +tp1872 +a(g705 +g1015 +tp1873 +a(g826 +V\u000a +p1874 +tp1875 +a(g826 +V +p1876 +tp1877 +a(g744 +Vif +p1878 +tp1879 +a(g705 +g1045 +tp1880 +a(g435 +Vrate +p1881 +tp1882 +a(g705 +g1494 +tp1883 +a(g435 +Vhigh +p1884 +tp1885 +a(g412 +g1287 +tp1886 +a(g744 +VHigh +p1887 +tp1888 +a(g705 +g1215 +tp1889 +a(g37 +g1076 +tp1890 +a(g705 +g1219 +tp1891 +a(g705 +g1047 +tp1892 +a(g826 +V\u000a +p1893 +tp1894 +a(g826 +V +p1895 +tp1896 +a(g435 +Vrate +p1897 +tp1898 +a(g705 +g1494 +tp1899 +a(g435 +Vhigh +p1900 +tp1901 +a(g412 +g1011 +tp1902 +a(g744 +VHigh +p1903 +tp1904 +a(g705 +g1215 +tp1905 +a(g37 +g1076 +tp1906 +a(g705 +g1219 +tp1907 +a(g705 +g1015 +tp1908 +a(g826 +V\u000a +p1909 +tp1910 +a(g826 +V +p1911 +tp1912 +a(g435 +Vrate +p1913 +tp1914 +a(g705 +g1494 +tp1915 +a(g435 +Vclose +p1916 +tp1917 +a(g412 +g1011 +tp1918 +a(g744 +VClose +p1919 +tp1920 +a(g705 +g1215 +tp1921 +a(g37 +g1076 +tp1922 +a(g705 +g1219 +tp1923 +a(g705 +g1015 +tp1924 +a(g826 +V\u000a +p1925 +tp1926 +a(g826 +V +p1927 +tp1928 +a(g705 +g1746 +tp1929 +a(g826 +V\u000a +p1930 +tp1931 +a(g826 +V +p1932 +tp1933 +a(g435 +Vlast_fpos +p1934 +tp1935 +a(g412 +g1011 +tp1936 +a(g573 +VFileTell +p1937 +tp1938 +a(g705 +g1045 +tp1939 +a(g435 +VExtHandle +p1940 +tp1941 +a(g705 +g1047 +tp1942 +a(g705 +g1015 +tp1943 +a(g826 +V\u000a +p1944 +tp1945 +a(g826 +V +p1946 +tp1947 +a(g435 +Vlast_volume +p1948 +tp1949 +a(g412 +g1011 +tp1950 +a(g705 +g1045 +tp1951 +a(g748 +Vlong +p1952 +tp1953 +a(g705 +g1047 +tp1954 +a(g744 +VVolume +p1955 +tp1956 +a(g705 +g1215 +tp1957 +a(g435 +g1100 +tp1958 +a(g705 +g1219 +tp1959 +a(g705 +g1015 +tp1960 +a(g826 +V\u000a +p1961 +tp1962 +a(g826 +V +p1963 +tp1964 +a(g573 +VFileWriteStruct +p1965 +tp1966 +a(g705 +g1045 +tp1967 +a(g435 +VExtHandle +p1968 +tp1969 +a(g705 +g1102 +tp1970 +a(g435 +Vrate +p1971 +tp1972 +a(g705 +g1047 +tp1973 +a(g705 +g1015 +tp1974 +a(g826 +V\u000a +p1975 +tp1976 +a(g826 +V +p1977 +tp1978 +a(g435 +Vcnt +p1979 +tp1980 +a(g412 +g1246 +tp1981 +a(g412 +g1246 +tp1982 +a(g705 +g1015 +tp1983 +a(g826 +V\u000a +p1984 +tp1985 +a(g826 +V +p1986 +tp1987 +a(g744 +Vif +p1988 +tp1989 +a(g705 +g1045 +tp1990 +a(g435 +Vtime0 +p1991 +tp1992 +a(g412 +g1640 +tp1993 +a(g412 +g1011 +tp1994 +a(g435 +Vrate +p1995 +tp1996 +a(g705 +g1494 +tp1997 +a(g435 +Vtime +p1998 +tp1999 +a(g412 +g1246 +tp2000 +a(g435 +Vperiodseconds +p2001 +tp2002 +a(g705 +g1047 +tp2003 +a(g826 +V\u000a +p2004 +tp2005 +a(g826 +V +p2006 +tp2007 +a(g705 +g1053 +tp2008 +a(g826 +V\u000a +p2009 +tp2010 +a(g826 +V +p2011 +tp2012 +a(g435 +Vrate +p2013 +tp2014 +a(g705 +g1494 +tp2015 +a(g435 +Vtime +p2016 +tp2017 +a(g412 +g1011 +tp2018 +a(g435 +Vtime0 +p2019 +tp2020 +a(g412 +g1605 +tp2021 +a(g435 +Vperiodseconds +p2022 +tp2023 +a(g705 +g1015 +tp2024 +a(g826 +V\u000a +p2025 +tp2026 +a(g826 +V +p2027 +tp2028 +a(g435 +Vrate +p2029 +tp2030 +a(g705 +g1494 +tp2031 +a(g435 +Vtime +p2032 +tp2033 +a(g412 +g1186 +tp2034 +a(g412 +g1011 +tp2035 +a(g435 +Vperiodseconds +p2036 +tp2037 +a(g705 +g1015 +tp2038 +a(g826 +V\u000a +p2039 +tp2040 +a(g826 +V +p2041 +tp2042 +a(g435 +Vrate +p2043 +tp2044 +a(g705 +g1494 +tp2045 +a(g435 +Vopen +p2046 +tp2047 +a(g412 +g1011 +tp2048 +a(g744 +VOpen +p2049 +tp2050 +a(g705 +g1215 +tp2051 +a(g435 +g1100 +tp2052 +a(g705 +g1219 +tp2053 +a(g705 +g1015 +tp2054 +a(g826 +V\u000a +p2055 +tp2056 +a(g826 +V +p2057 +tp2058 +a(g435 +Vrate +p2059 +tp2060 +a(g705 +g1494 +tp2061 +a(g435 +Vlow +p2062 +tp2063 +a(g412 +g1011 +tp2064 +a(g744 +VLow +p2065 +tp2066 +a(g705 +g1215 +tp2067 +a(g435 +g1100 +tp2068 +a(g705 +g1219 +tp2069 +a(g705 +g1015 +tp2070 +a(g826 +V\u000a +p2071 +tp2072 +a(g826 +V +p2073 +tp2074 +a(g435 +Vrate +p2075 +tp2076 +a(g705 +g1494 +tp2077 +a(g435 +Vhigh +p2078 +tp2079 +a(g412 +g1011 +tp2080 +a(g744 +VHigh +p2081 +tp2082 +a(g705 +g1215 +tp2083 +a(g435 +g1100 +tp2084 +a(g705 +g1219 +tp2085 +a(g705 +g1015 +tp2086 +a(g826 +V\u000a +p2087 +tp2088 +a(g826 +V +p2089 +tp2090 +a(g435 +Vrate +p2091 +tp2092 +a(g705 +g1494 +tp2093 +a(g435 +Vclose +p2094 +tp2095 +a(g412 +g1011 +tp2096 +a(g744 +VClose +p2097 +tp2098 +a(g705 +g1215 +tp2099 +a(g435 +g1100 +tp2100 +a(g705 +g1219 +tp2101 +a(g705 +g1015 +tp2102 +a(g826 +V\u000a +p2103 +tp2104 +a(g826 +V +p2105 +tp2106 +a(g435 +Vrate +p2107 +tp2108 +a(g705 +g1494 +tp2109 +a(g435 +Vtick_volume +p2110 +tp2111 +a(g412 +g1011 +tp2112 +a(g435 +Vlast_volume +p2113 +tp2114 +a(g705 +g1015 +tp2115 +a(g826 +V\u000a +p2116 +tp2117 +a(g826 +V +p2118 +tp2119 +a(g705 +g1746 +tp2120 +a(g826 +V\u000a +p2121 +tp2122 +a(g826 +V +p2123 +tp2124 +a(g705 +g1746 +tp2125 +a(g826 +V\u000a +p2126 +tp2127 +a(g826 +V +p2128 +tp2129 +a(g744 +Velse +p2130 +tp2131 +a(g826 +V\u000a +p2132 +tp2133 +a(g826 +V +p2134 +tp2135 +a(g705 +g1053 +tp2136 +a(g826 +V\u000a +p2137 +tp2138 +a(g826 +V +p2139 +tp2140 +a(g435 +Vrate +p2141 +tp2142 +a(g705 +g1494 +tp2143 +a(g435 +Vtick_volume +p2144 +tp2145 +a(g412 +g1246 +tp2146 +a(g412 +g1011 +tp2147 +a(g705 +g1045 +tp2148 +a(g748 +Vlong +p2149 +tp2150 +a(g705 +g1047 +tp2151 +a(g744 +VVolume +p2152 +tp2153 +a(g705 +g1215 +tp2154 +a(g435 +g1100 +tp2155 +a(g705 +g1219 +tp2156 +a(g705 +g1015 +tp2157 +a(g826 +V\u000a +p2158 +tp2159 +a(g826 +V +p2160 +tp2161 +a(g744 +Vif +p2162 +tp2163 +a(g705 +g1045 +tp2164 +a(g435 +Vrate +p2165 +tp2166 +a(g705 +g1494 +tp2167 +a(g435 +Vlow +p2168 +tp2169 +a(g412 +g1640 +tp2170 +a(g744 +VLow +p2171 +tp2172 +a(g705 +g1215 +tp2173 +a(g435 +g1100 +tp2174 +a(g705 +g1219 +tp2175 +a(g705 +g1047 +tp2176 +a(g826 +V\u000a +p2177 +tp2178 +a(g826 +V +p2179 +tp2180 +a(g435 +Vrate +p2181 +tp2182 +a(g705 +g1494 +tp2183 +a(g435 +Vlow +p2184 +tp2185 +a(g412 +g1011 +tp2186 +a(g744 +VLow +p2187 +tp2188 +a(g705 +g1215 +tp2189 +a(g435 +g1100 +tp2190 +a(g705 +g1219 +tp2191 +a(g705 +g1015 +tp2192 +a(g826 +V\u000a +p2193 +tp2194 +a(g826 +V +p2195 +tp2196 +a(g744 +Vif +p2197 +tp2198 +a(g705 +g1045 +tp2199 +a(g435 +Vrate +p2200 +tp2201 +a(g705 +g1494 +tp2202 +a(g435 +Vhigh +p2203 +tp2204 +a(g412 +g1287 +tp2205 +a(g744 +VHigh +p2206 +tp2207 +a(g705 +g1215 +tp2208 +a(g435 +g1100 +tp2209 +a(g705 +g1219 +tp2210 +a(g705 +g1047 +tp2211 +a(g826 +V\u000a +p2212 +tp2213 +a(g826 +V +p2214 +tp2215 +a(g435 +Vrate +p2216 +tp2217 +a(g705 +g1494 +tp2218 +a(g435 +Vhigh +p2219 +tp2220 +a(g412 +g1011 +tp2221 +a(g744 +VHigh +p2222 +tp2223 +a(g705 +g1215 +tp2224 +a(g435 +g1100 +tp2225 +a(g705 +g1219 +tp2226 +a(g705 +g1015 +tp2227 +a(g826 +V\u000a +p2228 +tp2229 +a(g826 +V +p2230 +tp2231 +a(g435 +Vrate +p2232 +tp2233 +a(g705 +g1494 +tp2234 +a(g435 +Vclose +p2235 +tp2236 +a(g412 +g1011 +tp2237 +a(g744 +VClose +p2238 +tp2239 +a(g705 +g1215 +tp2240 +a(g435 +g1100 +tp2241 +a(g705 +g1219 +tp2242 +a(g705 +g1015 +tp2243 +a(g826 +V\u000a +p2244 +tp2245 +a(g826 +V +p2246 +tp2247 +a(g705 +g1746 +tp2248 +a(g826 +V\u000a +p2249 +tp2250 +a(g826 +V +p2251 +tp2252 +a(g705 +g1746 +tp2253 +a(g826 +V \u000a +p2254 +tp2255 +a(g573 +VFileFlush +p2256 +tp2257 +a(g705 +g1045 +tp2258 +a(g435 +VExtHandle +p2259 +tp2260 +a(g705 +g1047 +tp2261 +a(g705 +g1015 +tp2262 +a(g826 +V\u000a +p2263 +tp2264 +a(g826 +V +p2265 +tp2266 +a(g573 +VPrint +p2267 +tp2268 +a(g705 +g1045 +tp2269 +a(g435 +Vcnt +p2270 +tp2271 +a(g705 +g1102 +tp2272 +a(g89 +g1255 +tp2273 +a(g89 +V record(s) written +p2274 +tp2275 +a(g89 +g1255 +tp2276 +a(g705 +g1047 +tp2277 +a(g705 +g1015 +tp2278 +a(g826 +V\u000a +p2279 +tp2280 +a(g8 +V//--- collect incoming ticks\u000a +p2281 +tp2282 +a(g826 +V +p2283 +tp2284 +a(g748 +Vdatetime +p2285 +tp2286 +a(g826 +g995 +tp2287 +a(g435 +Vlast_time +p2288 +tp2289 +a(g412 +g1011 +tp2290 +a(g435 +VLocalTime +p2291 +tp2292 +a(g705 +g1045 +tp2293 +a(g705 +g1047 +tp2294 +a(g412 +g1027 +tp2295 +a(g37 +V5 +p2296 +tp2297 +a(g705 +g1015 +tp2298 +a(g826 +V\u000a +p2299 +tp2300 +a(g826 +V +p2301 +tp2302 +a(g744 +Vwhile +p2303 +tp2304 +a(g705 +g1045 +tp2305 +a(g412 +V! +p2306 +tp2307 +a(g573 +VIsStopped +p2308 +tp2309 +a(g705 +g1045 +tp2310 +a(g705 +g1047 +tp2311 +a(g705 +g1047 +tp2312 +a(g826 +V\u000a +p2313 +tp2314 +a(g826 +V +p2315 +tp2316 +a(g705 +g1053 +tp2317 +a(g826 +V\u000a +p2318 +tp2319 +a(g826 +V +p2320 +tp2321 +a(g748 +Vdatetime +p2322 +tp2323 +a(g826 +g995 +tp2324 +a(g435 +Vcur_time +p2325 +tp2326 +a(g412 +g1011 +tp2327 +a(g435 +VLocalTime +p2328 +tp2329 +a(g705 +g1045 +tp2330 +a(g705 +g1047 +tp2331 +a(g705 +g1015 +tp2332 +a(g826 +V\u000a +p2333 +tp2334 +a(g826 +V +p2335 +tp2336 +a(g8 +V//--- check for new rates\u000a +p2337 +tp2338 +a(g826 +V +p2339 +tp2340 +a(g744 +Vif +p2341 +tp2342 +a(g705 +g1045 +tp2343 +a(g573 +VRefreshRates +p2344 +tp2345 +a(g705 +g1045 +tp2346 +a(g705 +g1047 +tp2347 +a(g705 +g1047 +tp2348 +a(g826 +V\u000a +p2349 +tp2350 +a(g826 +V +p2351 +tp2352 +a(g705 +g1053 +tp2353 +a(g826 +V\u000a +p2354 +tp2355 +a(g826 +V +p2356 +tp2357 +a(g435 +Vtime0 +p2358 +tp2359 +a(g412 +g1011 +tp2360 +a(g744 +VTime +p2361 +tp2362 +a(g705 +g1215 +tp2363 +a(g37 +g1076 +tp2364 +a(g705 +g1219 +tp2365 +a(g705 +g1015 +tp2366 +a(g826 +V\u000a +p2367 +tp2368 +a(g826 +V +p2369 +tp2370 +a(g573 +VFileSeek +p2371 +tp2372 +a(g705 +g1045 +tp2373 +a(g435 +VExtHandle +p2374 +tp2375 +a(g705 +g1102 +tp2376 +a(g435 +Vlast_fpos +p2377 +tp2378 +a(g705 +g1102 +tp2379 +a(g565 +VSEEK_SET +p2380 +tp2381 +a(g705 +g1047 +tp2382 +a(g705 +g1015 +tp2383 +a(g826 +V\u000a +p2384 +tp2385 +a(g826 +V +p2386 +tp2387 +a(g8 +V//--- is there current bar?\u000a +p2388 +tp2389 +a(g826 +V +p2390 +tp2391 +a(g744 +Vif +p2392 +tp2393 +a(g705 +g1045 +tp2394 +a(g435 +Vtime0 +p2395 +tp2396 +a(g412 +g1287 +tp2397 +a(g435 +Vrate +p2398 +tp2399 +a(g705 +g1494 +tp2400 +a(g435 +Vtime +p2401 +tp2402 +a(g412 +g1246 +tp2403 +a(g435 +Vperiodseconds +p2404 +tp2405 +a(g705 +g1047 +tp2406 +a(g826 +V\u000a +p2407 +tp2408 +a(g826 +V +p2409 +tp2410 +a(g705 +g1053 +tp2411 +a(g826 +V\u000a +p2412 +tp2413 +a(g826 +V +p2414 +tp2415 +a(g435 +Vrate +p2416 +tp2417 +a(g705 +g1494 +tp2418 +a(g435 +Vtick_volume +p2419 +tp2420 +a(g412 +g1246 +tp2421 +a(g412 +g1011 +tp2422 +a(g705 +g1045 +tp2423 +a(g748 +Vlong +p2424 +tp2425 +a(g705 +g1047 +tp2426 +a(g744 +VVolume +p2427 +tp2428 +a(g705 +g1215 +tp2429 +a(g37 +g1076 +tp2430 +a(g705 +g1219 +tp2431 +a(g412 +g1027 +tp2432 +a(g435 +Vlast_volume +p2433 +tp2434 +a(g705 +g1015 +tp2435 +a(g826 +V\u000a +p2436 +tp2437 +a(g826 +V +p2438 +tp2439 +a(g435 +Vlast_volume +p2440 +tp2441 +a(g412 +g1011 +tp2442 +a(g705 +g1045 +tp2443 +a(g748 +Vlong +p2444 +tp2445 +a(g705 +g1047 +tp2446 +a(g744 +VVolume +p2447 +tp2448 +a(g705 +g1215 +tp2449 +a(g37 +g1076 +tp2450 +a(g705 +g1219 +tp2451 +a(g705 +g1015 +tp2452 +a(g826 +V \u000a +p2453 +tp2454 +a(g744 +Vif +p2455 +tp2456 +a(g705 +g1045 +tp2457 +a(g435 +Vrate +p2458 +tp2459 +a(g705 +g1494 +tp2460 +a(g435 +Vlow +p2461 +tp2462 +a(g412 +g1640 +tp2463 +a(g744 +VLow +p2464 +tp2465 +a(g705 +g1215 +tp2466 +a(g37 +g1076 +tp2467 +a(g705 +g1219 +tp2468 +a(g705 +g1047 +tp2469 +a(g826 +V\u000a +p2470 +tp2471 +a(g826 +V +p2472 +tp2473 +a(g435 +Vrate +p2474 +tp2475 +a(g705 +g1494 +tp2476 +a(g435 +Vlow +p2477 +tp2478 +a(g412 +g1011 +tp2479 +a(g744 +VLow +p2480 +tp2481 +a(g705 +g1215 +tp2482 +a(g37 +g1076 +tp2483 +a(g705 +g1219 +tp2484 +a(g705 +g1015 +tp2485 +a(g826 +V\u000a +p2486 +tp2487 +a(g826 +V +p2488 +tp2489 +a(g744 +Vif +p2490 +tp2491 +a(g705 +g1045 +tp2492 +a(g435 +Vrate +p2493 +tp2494 +a(g705 +g1494 +tp2495 +a(g435 +Vhigh +p2496 +tp2497 +a(g412 +g1287 +tp2498 +a(g744 +VHigh +p2499 +tp2500 +a(g705 +g1215 +tp2501 +a(g37 +g1076 +tp2502 +a(g705 +g1219 +tp2503 +a(g705 +g1047 +tp2504 +a(g826 +V\u000a +p2505 +tp2506 +a(g826 +V +p2507 +tp2508 +a(g435 +Vrate +p2509 +tp2510 +a(g705 +g1494 +tp2511 +a(g435 +Vhigh +p2512 +tp2513 +a(g412 +g1011 +tp2514 +a(g744 +VHigh +p2515 +tp2516 +a(g705 +g1215 +tp2517 +a(g37 +g1076 +tp2518 +a(g705 +g1219 +tp2519 +a(g705 +g1015 +tp2520 +a(g826 +V\u000a +p2521 +tp2522 +a(g826 +V +p2523 +tp2524 +a(g435 +Vrate +p2525 +tp2526 +a(g705 +g1494 +tp2527 +a(g435 +Vclose +p2528 +tp2529 +a(g412 +g1011 +tp2530 +a(g744 +VClose +p2531 +tp2532 +a(g705 +g1215 +tp2533 +a(g37 +g1076 +tp2534 +a(g705 +g1219 +tp2535 +a(g705 +g1015 +tp2536 +a(g826 +V\u000a +p2537 +tp2538 +a(g826 +V +p2539 +tp2540 +a(g705 +g1746 +tp2541 +a(g826 +V\u000a +p2542 +tp2543 +a(g826 +V +p2544 +tp2545 +a(g744 +Velse +p2546 +tp2547 +a(g826 +V\u000a +p2548 +tp2549 +a(g826 +V +p2550 +tp2551 +a(g705 +g1053 +tp2552 +a(g826 +V\u000a +p2553 +tp2554 +a(g826 +V +p2555 +tp2556 +a(g8 +V//--- no, there is new bar\u000a +p2557 +tp2558 +a(g826 +V +p2559 +tp2560 +a(g435 +Vrate +p2561 +tp2562 +a(g705 +g1494 +tp2563 +a(g435 +Vtick_volume +p2564 +tp2565 +a(g412 +g1246 +tp2566 +a(g412 +g1011 +tp2567 +a(g705 +g1045 +tp2568 +a(g748 +Vlong +p2569 +tp2570 +a(g705 +g1047 +tp2571 +a(g744 +VVolume +p2572 +tp2573 +a(g705 +g1215 +tp2574 +a(g37 +g1029 +tp2575 +a(g705 +g1219 +tp2576 +a(g412 +g1027 +tp2577 +a(g435 +Vlast_volume +p2578 +tp2579 +a(g705 +g1015 +tp2580 +a(g826 +V\u000a +p2581 +tp2582 +a(g826 +V +p2583 +tp2584 +a(g744 +Vif +p2585 +tp2586 +a(g705 +g1045 +tp2587 +a(g435 +Vrate +p2588 +tp2589 +a(g705 +g1494 +tp2590 +a(g435 +Vlow +p2591 +tp2592 +a(g412 +g1640 +tp2593 +a(g744 +VLow +p2594 +tp2595 +a(g705 +g1215 +tp2596 +a(g37 +g1029 +tp2597 +a(g705 +g1219 +tp2598 +a(g705 +g1047 +tp2599 +a(g826 +V\u000a +p2600 +tp2601 +a(g826 +V +p2602 +tp2603 +a(g435 +Vrate +p2604 +tp2605 +a(g705 +g1494 +tp2606 +a(g435 +Vlow +p2607 +tp2608 +a(g412 +g1011 +tp2609 +a(g744 +VLow +p2610 +tp2611 +a(g705 +g1215 +tp2612 +a(g37 +g1029 +tp2613 +a(g705 +g1219 +tp2614 +a(g705 +g1015 +tp2615 +a(g826 +V\u000a +p2616 +tp2617 +a(g826 +V +p2618 +tp2619 +a(g744 +Vif +p2620 +tp2621 +a(g705 +g1045 +tp2622 +a(g435 +Vrate +p2623 +tp2624 +a(g705 +g1494 +tp2625 +a(g435 +Vhigh +p2626 +tp2627 +a(g412 +g1287 +tp2628 +a(g744 +VHigh +p2629 +tp2630 +a(g705 +g1215 +tp2631 +a(g37 +g1029 +tp2632 +a(g705 +g1219 +tp2633 +a(g705 +g1047 +tp2634 +a(g826 +V\u000a +p2635 +tp2636 +a(g826 +V +p2637 +tp2638 +a(g435 +Vrate +p2639 +tp2640 +a(g705 +g1494 +tp2641 +a(g435 +Vhigh +p2642 +tp2643 +a(g412 +g1011 +tp2644 +a(g744 +VHigh +p2645 +tp2646 +a(g705 +g1215 +tp2647 +a(g37 +g1029 +tp2648 +a(g705 +g1219 +tp2649 +a(g705 +g1015 +tp2650 +a(g826 +V\u000a +p2651 +tp2652 +a(g826 +V +p2653 +tp2654 +a(g8 +V//--- write previous bar remains\u000a +p2655 +tp2656 +a(g826 +V +p2657 +tp2658 +a(g573 +VFileWriteStruct +p2659 +tp2660 +a(g705 +g1045 +tp2661 +a(g435 +VExtHandle +p2662 +tp2663 +a(g705 +g1102 +tp2664 +a(g435 +Vrate +p2665 +tp2666 +a(g705 +g1047 +tp2667 +a(g705 +g1015 +tp2668 +a(g826 +V\u000a +p2669 +tp2670 +a(g826 +V +p2671 +tp2672 +a(g435 +Vlast_fpos +p2673 +tp2674 +a(g412 +g1011 +tp2675 +a(g573 +VFileTell +p2676 +tp2677 +a(g705 +g1045 +tp2678 +a(g435 +VExtHandle +p2679 +tp2680 +a(g705 +g1047 +tp2681 +a(g705 +g1015 +tp2682 +a(g826 +V\u000a +p2683 +tp2684 +a(g826 +V +p2685 +tp2686 +a(g8 +V//----\u000a +p2687 +tp2688 +a(g826 +V +p2689 +tp2690 +a(g435 +Vrate +p2691 +tp2692 +a(g705 +g1494 +tp2693 +a(g435 +Vtime +p2694 +tp2695 +a(g412 +g1011 +tp2696 +a(g435 +Vtime0 +p2697 +tp2698 +a(g412 +g1605 +tp2699 +a(g435 +Vperiodseconds +p2700 +tp2701 +a(g705 +g1015 +tp2702 +a(g826 +V\u000a +p2703 +tp2704 +a(g826 +V +p2705 +tp2706 +a(g435 +Vrate +p2707 +tp2708 +a(g705 +g1494 +tp2709 +a(g435 +Vtime +p2710 +tp2711 +a(g412 +g1186 +tp2712 +a(g412 +g1011 +tp2713 +a(g435 +Vperiodseconds +p2714 +tp2715 +a(g705 +g1015 +tp2716 +a(g826 +V\u000a +p2717 +tp2718 +a(g826 +V +p2719 +tp2720 +a(g435 +Vrate +p2721 +tp2722 +a(g705 +g1494 +tp2723 +a(g435 +Vopen +p2724 +tp2725 +a(g412 +g1011 +tp2726 +a(g744 +VOpen +p2727 +tp2728 +a(g705 +g1215 +tp2729 +a(g37 +g1076 +tp2730 +a(g705 +g1219 +tp2731 +a(g705 +g1015 +tp2732 +a(g826 +V\u000a +p2733 +tp2734 +a(g826 +V +p2735 +tp2736 +a(g435 +Vrate +p2737 +tp2738 +a(g705 +g1494 +tp2739 +a(g435 +Vlow +p2740 +tp2741 +a(g412 +g1011 +tp2742 +a(g744 +VLow +p2743 +tp2744 +a(g705 +g1215 +tp2745 +a(g37 +g1076 +tp2746 +a(g705 +g1219 +tp2747 +a(g705 +g1015 +tp2748 +a(g826 +V\u000a +p2749 +tp2750 +a(g826 +V +p2751 +tp2752 +a(g435 +Vrate +p2753 +tp2754 +a(g705 +g1494 +tp2755 +a(g435 +Vhigh +p2756 +tp2757 +a(g412 +g1011 +tp2758 +a(g744 +VHigh +p2759 +tp2760 +a(g705 +g1215 +tp2761 +a(g37 +g1076 +tp2762 +a(g705 +g1219 +tp2763 +a(g705 +g1015 +tp2764 +a(g826 +V\u000a +p2765 +tp2766 +a(g826 +V +p2767 +tp2768 +a(g435 +Vrate +p2769 +tp2770 +a(g705 +g1494 +tp2771 +a(g435 +Vclose +p2772 +tp2773 +a(g412 +g1011 +tp2774 +a(g744 +VClose +p2775 +tp2776 +a(g705 +g1215 +tp2777 +a(g37 +g1076 +tp2778 +a(g705 +g1219 +tp2779 +a(g705 +g1015 +tp2780 +a(g826 +V\u000a +p2781 +tp2782 +a(g826 +V +p2783 +tp2784 +a(g435 +Vrate +p2785 +tp2786 +a(g705 +g1494 +tp2787 +a(g435 +Vtick_volume +p2788 +tp2789 +a(g412 +g1011 +tp2790 +a(g705 +g1045 +tp2791 +a(g748 +Vlong +p2792 +tp2793 +a(g705 +g1047 +tp2794 +a(g744 +VVolume +p2795 +tp2796 +a(g705 +g1215 +tp2797 +a(g37 +g1076 +tp2798 +a(g705 +g1219 +tp2799 +a(g705 +g1015 +tp2800 +a(g826 +V\u000a +p2801 +tp2802 +a(g826 +V +p2803 +tp2804 +a(g435 +Vlast_volume +p2805 +tp2806 +a(g412 +g1011 +tp2807 +a(g435 +Vrate +p2808 +tp2809 +a(g705 +g1494 +tp2810 +a(g435 +Vtick_volume +p2811 +tp2812 +a(g705 +g1015 +tp2813 +a(g826 +V\u000a +p2814 +tp2815 +a(g826 +V +p2816 +tp2817 +a(g705 +g1746 +tp2818 +a(g826 +V\u000a +p2819 +tp2820 +a(g826 +V +p2821 +tp2822 +a(g8 +V//----\u000a +p2823 +tp2824 +a(g826 +V +p2825 +tp2826 +a(g573 +VFileWriteStruct +p2827 +tp2828 +a(g705 +g1045 +tp2829 +a(g435 +VExtHandle +p2830 +tp2831 +a(g705 +g1102 +tp2832 +a(g435 +Vrate +p2833 +tp2834 +a(g705 +g1047 +tp2835 +a(g705 +g1015 +tp2836 +a(g826 +V\u000a +p2837 +tp2838 +a(g826 +V +p2839 +tp2840 +a(g573 +VFileFlush +p2841 +tp2842 +a(g705 +g1045 +tp2843 +a(g435 +VExtHandle +p2844 +tp2845 +a(g705 +g1047 +tp2846 +a(g705 +g1015 +tp2847 +a(g826 +V\u000a +p2848 +tp2849 +a(g826 +V +p2850 +tp2851 +a(g8 +V//---\u000a +p2852 +tp2853 +a(g826 +V +p2854 +tp2855 +a(g744 +Vif +p2856 +tp2857 +a(g705 +g1045 +tp2858 +a(g435 +Vhwnd +p2859 +tp2860 +a(g412 +g1011 +tp2861 +a(g412 +g1011 +tp2862 +a(g37 +g1076 +tp2863 +a(g705 +g1047 +tp2864 +a(g826 +V\u000a +p2865 +tp2866 +a(g826 +V +p2867 +tp2868 +a(g705 +g1053 +tp2869 +a(g826 +V\u000a +p2870 +tp2871 +a(g826 +V +p2872 +tp2873 +a(g435 +Vhwnd +p2874 +tp2875 +a(g412 +g1011 +tp2876 +a(g573 +VWindowHandle +p2877 +tp2878 +a(g705 +g1045 +tp2879 +a(g573 +VSymbol +p2880 +tp2881 +a(g705 +g1045 +tp2882 +a(g705 +g1047 +tp2883 +a(g705 +g1102 +tp2884 +a(g435 +Vi_period +p2885 +tp2886 +a(g705 +g1047 +tp2887 +a(g705 +g1015 +tp2888 +a(g826 +V\u000a +p2889 +tp2890 +a(g826 +V +p2891 +tp2892 +a(g744 +Vif +p2893 +tp2894 +a(g705 +g1045 +tp2895 +a(g435 +Vhwnd +p2896 +tp2897 +a(g412 +g2306 +tp2898 +a(g412 +g1011 +tp2899 +a(g37 +g1076 +tp2900 +a(g705 +g1047 +tp2901 +a(g826 +V\u000a +p2902 +tp2903 +a(g826 +V +p2904 +tp2905 +a(g573 +VPrint +p2906 +tp2907 +a(g705 +g1045 +tp2908 +a(g89 +g1255 +tp2909 +a(g89 +VChart window detected +p2910 +tp2911 +a(g89 +g1255 +tp2912 +a(g705 +g1047 +tp2913 +a(g705 +g1015 +tp2914 +a(g826 +V\u000a +p2915 +tp2916 +a(g826 +V +p2917 +tp2918 +a(g705 +g1746 +tp2919 +a(g826 +V\u000a +p2920 +tp2921 +a(g826 +V +p2922 +tp2923 +a(g8 +V//--- refresh window not frequently than 1 time in 2 seconds\u000a +p2924 +tp2925 +a(g826 +V +p2926 +tp2927 +a(g744 +Vif +p2928 +tp2929 +a(g705 +g1045 +tp2930 +a(g435 +Vhwnd +p2931 +tp2932 +a(g412 +g2306 +tp2933 +a(g412 +g1011 +tp2934 +a(g37 +g1076 +tp2935 +a(g826 +g995 +tp2936 +a(g412 +g1797 +tp2937 +a(g412 +g1797 +tp2938 +a(g826 +g995 +tp2939 +a(g435 +Vcur_time +p2940 +tp2941 +a(g412 +g1027 +tp2942 +a(g435 +Vlast_time +p2943 +tp2944 +a(g412 +g1640 +tp2945 +a(g412 +g1011 +tp2946 +a(g37 +V2 +p2947 +tp2948 +a(g705 +g1047 +tp2949 +a(g826 +V\u000a +p2950 +tp2951 +a(g826 +V +p2952 +tp2953 +a(g705 +g1053 +tp2954 +a(g826 +V\u000a +p2955 +tp2956 +a(g826 +V +p2957 +tp2958 +a(g435 +VPostMessageA +p2959 +tp2960 +a(g705 +g1045 +tp2961 +a(g435 +Vhwnd +p2962 +tp2963 +a(g705 +g1102 +tp2964 +a(g435 +VWM_COMMAND +p2965 +tp2966 +a(g705 +g1102 +tp2967 +a(g37 +V33324 +p2968 +tp2969 +a(g705 +g1102 +tp2970 +a(g37 +g1076 +tp2971 +a(g705 +g1047 +tp2972 +a(g705 +g1015 +tp2973 +a(g826 +V\u000a +p2974 +tp2975 +a(g826 +V +p2976 +tp2977 +a(g435 +Vlast_time +p2978 +tp2979 +a(g412 +g1011 +tp2980 +a(g435 +Vcur_time +p2981 +tp2982 +a(g705 +g1015 +tp2983 +a(g826 +V\u000a +p2984 +tp2985 +a(g826 +V +p2986 +tp2987 +a(g705 +g1746 +tp2988 +a(g826 +V\u000a +p2989 +tp2990 +a(g826 +V +p2991 +tp2992 +a(g705 +g1746 +tp2993 +a(g826 +V\u000a +p2994 +tp2995 +a(g826 +V +p2996 +tp2997 +a(g573 +VSleep +p2998 +tp2999 +a(g705 +g1045 +tp3000 +a(g37 +V50 +p3001 +tp3002 +a(g705 +g1047 +tp3003 +a(g705 +g1015 +tp3004 +a(g826 +V \u000a +p3005 +tp3006 +a(g705 +g1746 +tp3007 +a(g826 +V \u000a +p3008 +tp3009 +a(g8 +V//---\u000a +p3010 +tp3011 +a(g826 +V +p3012 +tp3013 +a(g705 +g1746 +tp3014 +a(g826 +V\u000a +p3015 +tp3016 +a(g8 +V//+------------------------------------------------------------------+\u000a +p3017 +tp3018 +a(g8 +V//| |\u000a +p3019 +tp3020 +a(g8 +V//+------------------------------------------------------------------+\u000a +p3021 +tp3022 +a(g748 +Vvoid +p3023 +tp3024 +a(g826 +g995 +tp3025 +a(g573 +VOnDeinit +p3026 +tp3027 +a(g705 +g1045 +tp3028 +a(g744 +Vconst +p3029 +tp3030 +a(g826 +g995 +tp3031 +a(g748 +Vint +p3032 +tp3033 +a(g826 +g995 +tp3034 +a(g435 +Vreason +p3035 +tp3036 +a(g705 +g1047 +tp3037 +a(g826 +V\u000a +p3038 +tp3039 +a(g826 +V +p3040 +tp3041 +a(g705 +g1053 +tp3042 +a(g826 +V\u000a +p3043 +tp3044 +a(g8 +V//---\u000a +p3045 +tp3046 +a(g826 +V +p3047 +tp3048 +a(g744 +Vif +p3049 +tp3050 +a(g705 +g1045 +tp3051 +a(g435 +VExtHandle +p3052 +tp3053 +a(g412 +g1640 +tp3054 +a(g412 +g1011 +tp3055 +a(g37 +g1076 +tp3056 +a(g705 +g1047 +tp3057 +a(g826 +V\u000a +p3058 +tp3059 +a(g826 +V +p3060 +tp3061 +a(g705 +g1053 +tp3062 +a(g826 +V\u000a +p3063 +tp3064 +a(g826 +V +p3065 +tp3066 +a(g573 +VFileClose +p3067 +tp3068 +a(g705 +g1045 +tp3069 +a(g435 +VExtHandle +p3070 +tp3071 +a(g705 +g1047 +tp3072 +a(g705 +g1015 +tp3073 +a(g826 +V\u000a +p3074 +tp3075 +a(g826 +V +p3076 +tp3077 +a(g435 +VExtHandle +p3078 +tp3079 +a(g412 +g1011 +tp3080 +a(g412 +g1027 +tp3081 +a(g37 +g1029 +tp3082 +a(g705 +g1015 +tp3083 +a(g826 +V\u000a +p3084 +tp3085 +a(g826 +V +p3086 +tp3087 +a(g705 +g1746 +tp3088 +a(g826 +V\u000a +p3089 +tp3090 +a(g8 +V//---\u000a +p3091 +tp3092 +a(g826 +V +p3093 +tp3094 +a(g705 +g1746 +tp3095 +a(g826 +V\u000a +p3096 +tp3097 +a(g8 +V//+------------------------------------------------------------------+\u000a +p3098 +tp3099 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.mqh b/tests/examplefiles/output/example.mqh new file mode 100644 index 0000000..d074816 --- /dev/null +++ b/tests/examplefiles/output/example.mqh @@ -0,0 +1,4898 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV//+------------------------------------------------------------------+\u000a +p956 +tp957 +a(g8 +V//| Array.mqh |\u000a +p958 +tp959 +a(g8 +V//| Copyright 2009-2013, MetaQuotes Software Corp. |\u000a +p960 +tp961 +a(g8 +V//| http://www.mql4.com |\u000a +p962 +tp963 +a(g8 +V//+------------------------------------------------------------------+\u000a +p964 +tp965 +a(g898 +V# +p966 +tp967 +a(g898 +Vinclude +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g938 +V +p972 +tp973 +a(g898 +V\u000a +p974 +tp975 +a(g8 +V//+------------------------------------------------------------------+\u000a +p976 +tp977 +a(g8 +V//| Class CArray |\u000a +p978 +tp979 +a(g8 +V//| Purpose: Base class of dynamic arrays. |\u000a +p980 +tp981 +a(g8 +V//| Derives from class CObject. |\u000a +p982 +tp983 +a(g8 +V//+------------------------------------------------------------------+\u000a +p984 +tp985 +a(g744 +Vclass +p986 +tp987 +a(g826 +g970 +tp988 +a(g628 +VCArray +p989 +tp990 +a(g826 +g970 +tp991 +a(g412 +V: +p992 +tp993 +a(g826 +g970 +tp994 +a(g744 +Vpublic +p995 +tp996 +a(g826 +g970 +tp997 +a(g435 +VCObject +p998 +tp999 +a(g826 +V\u000a +p1000 +tp1001 +a(g826 +V +p1002 +tp1003 +a(g705 +V{ +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g744 +Vprotected +p1008 +tp1009 +a(g412 +g992 +tp1010 +a(g826 +V\u000a +p1011 +tp1012 +a(g826 +V +p1013 +tp1014 +a(g748 +Vint +p1015 +tp1016 +a(g826 +V +p1017 +tp1018 +a(g435 +Vm_step_resize +p1019 +tp1020 +a(g705 +V; +p1021 +tp1022 +a(g826 +V +p1023 +tp1024 +a(g8 +V// increment size of the array\u000a +p1025 +tp1026 +a(g826 +V +p1027 +tp1028 +a(g748 +Vint +p1029 +tp1030 +a(g826 +V +p1031 +tp1032 +a(g435 +Vm_data_total +p1033 +tp1034 +a(g705 +g1021 +tp1035 +a(g826 +V +p1036 +tp1037 +a(g8 +V// number of elements\u000a +p1038 +tp1039 +a(g826 +V +p1040 +tp1041 +a(g748 +Vint +p1042 +tp1043 +a(g826 +V +p1044 +tp1045 +a(g435 +Vm_data_max +p1046 +tp1047 +a(g705 +g1021 +tp1048 +a(g826 +V +p1049 +tp1050 +a(g8 +V// maximmum size of the array without memory reallocation\u000a +p1051 +tp1052 +a(g826 +V +p1053 +tp1054 +a(g748 +Vint +p1055 +tp1056 +a(g826 +V +p1057 +tp1058 +a(g435 +Vm_sort_mode +p1059 +tp1060 +a(g705 +g1021 +tp1061 +a(g826 +V +p1062 +tp1063 +a(g8 +V// mode of array sorting\u000a +p1064 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g744 +Vpublic +p1068 +tp1069 +a(g412 +g992 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a(g826 +V +p1073 +tp1074 +a(g435 +VCArray +p1075 +tp1076 +a(g705 +V( +p1077 +tp1078 +a(g748 +Vvoid +p1079 +tp1080 +a(g705 +V) +p1081 +tp1082 +a(g705 +g1021 +tp1083 +a(g826 +V\u000a +p1084 +tp1085 +a(g826 +V +p1086 +tp1087 +a(g412 +V~ +p1088 +tp1089 +a(g435 +VCArray +p1090 +tp1091 +a(g705 +g1077 +tp1092 +a(g748 +Vvoid +p1093 +tp1094 +a(g705 +g1081 +tp1095 +a(g705 +g1021 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g826 +V +p1099 +tp1100 +a(g8 +V//--- methods of access to protected data\u000a +p1101 +tp1102 +a(g826 +V +p1103 +tp1104 +a(g748 +Vint +p1105 +tp1106 +a(g826 +V +p1107 +tp1108 +a(g573 +VStep +p1109 +tp1110 +a(g705 +g1077 +tp1111 +a(g748 +Vvoid +p1112 +tp1113 +a(g705 +g1081 +tp1114 +a(g826 +g970 +tp1115 +a(g744 +Vconst +p1116 +tp1117 +a(g826 +g970 +tp1118 +a(g705 +g1004 +tp1119 +a(g826 +g970 +tp1120 +a(g744 +Vreturn +p1121 +tp1122 +a(g705 +g1077 +tp1123 +a(g435 +Vm_step_resize +p1124 +tp1125 +a(g705 +g1081 +tp1126 +a(g705 +g1021 +tp1127 +a(g826 +g970 +tp1128 +a(g705 +V} +p1129 +tp1130 +a(g826 +V\u000a +p1131 +tp1132 +a(g826 +V +p1133 +tp1134 +a(g748 +Vbool +p1135 +tp1136 +a(g826 +V +p1137 +tp1138 +a(g573 +VStep +p1139 +tp1140 +a(g705 +g1077 +tp1141 +a(g744 +Vconst +p1142 +tp1143 +a(g826 +g970 +tp1144 +a(g748 +Vint +p1145 +tp1146 +a(g826 +g970 +tp1147 +a(g435 +Vstep +p1148 +tp1149 +a(g705 +g1081 +tp1150 +a(g705 +g1021 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g826 +V +p1154 +tp1155 +a(g748 +Vint +p1156 +tp1157 +a(g826 +V +p1158 +tp1159 +a(g573 +VTotal +p1160 +tp1161 +a(g705 +g1077 +tp1162 +a(g748 +Vvoid +p1163 +tp1164 +a(g705 +g1081 +tp1165 +a(g826 +g970 +tp1166 +a(g744 +Vconst +p1167 +tp1168 +a(g826 +g970 +tp1169 +a(g705 +g1004 +tp1170 +a(g826 +g970 +tp1171 +a(g744 +Vreturn +p1172 +tp1173 +a(g705 +g1077 +tp1174 +a(g435 +Vm_data_total +p1175 +tp1176 +a(g705 +g1081 +tp1177 +a(g705 +g1021 +tp1178 +a(g826 +g970 +tp1179 +a(g705 +g1129 +tp1180 +a(g826 +V\u000a +p1181 +tp1182 +a(g826 +V +p1183 +tp1184 +a(g748 +Vint +p1185 +tp1186 +a(g826 +V +p1187 +tp1188 +a(g573 +VAvailable +p1189 +tp1190 +a(g705 +g1077 +tp1191 +a(g748 +Vvoid +p1192 +tp1193 +a(g705 +g1081 +tp1194 +a(g826 +g970 +tp1195 +a(g744 +Vconst +p1196 +tp1197 +a(g826 +g970 +tp1198 +a(g705 +g1004 +tp1199 +a(g826 +g970 +tp1200 +a(g744 +Vreturn +p1201 +tp1202 +a(g705 +g1077 +tp1203 +a(g435 +Vm_data_max +p1204 +tp1205 +a(g412 +V- +p1206 +tp1207 +a(g435 +Vm_data_total +p1208 +tp1209 +a(g705 +g1081 +tp1210 +a(g705 +g1021 +tp1211 +a(g826 +g970 +tp1212 +a(g705 +g1129 +tp1213 +a(g826 +V\u000a +p1214 +tp1215 +a(g826 +V +p1216 +tp1217 +a(g748 +Vint +p1218 +tp1219 +a(g826 +V +p1220 +tp1221 +a(g573 +VMax +p1222 +tp1223 +a(g705 +g1077 +tp1224 +a(g748 +Vvoid +p1225 +tp1226 +a(g705 +g1081 +tp1227 +a(g826 +g970 +tp1228 +a(g744 +Vconst +p1229 +tp1230 +a(g826 +g970 +tp1231 +a(g705 +g1004 +tp1232 +a(g826 +g970 +tp1233 +a(g744 +Vreturn +p1234 +tp1235 +a(g705 +g1077 +tp1236 +a(g435 +Vm_data_max +p1237 +tp1238 +a(g705 +g1081 +tp1239 +a(g705 +g1021 +tp1240 +a(g826 +g970 +tp1241 +a(g705 +g1129 +tp1242 +a(g826 +V\u000a +p1243 +tp1244 +a(g826 +V +p1245 +tp1246 +a(g748 +Vbool +p1247 +tp1248 +a(g826 +V +p1249 +tp1250 +a(g573 +VIsSorted +p1251 +tp1252 +a(g705 +g1077 +tp1253 +a(g744 +Vconst +p1254 +tp1255 +a(g826 +g970 +tp1256 +a(g748 +Vint +p1257 +tp1258 +a(g826 +g970 +tp1259 +a(g435 +Vmode +p1260 +tp1261 +a(g412 +V= +p1262 +tp1263 +a(g37 +V0 +p1264 +tp1265 +a(g705 +g1081 +tp1266 +a(g826 +g970 +tp1267 +a(g744 +Vconst +p1268 +tp1269 +a(g826 +g970 +tp1270 +a(g705 +g1004 +tp1271 +a(g826 +g970 +tp1272 +a(g744 +Vreturn +p1273 +tp1274 +a(g705 +g1077 +tp1275 +a(g435 +Vm_sort_mode +p1276 +tp1277 +a(g412 +g1262 +tp1278 +a(g412 +g1262 +tp1279 +a(g435 +Vmode +p1280 +tp1281 +a(g705 +g1081 +tp1282 +a(g705 +g1021 +tp1283 +a(g826 +g970 +tp1284 +a(g705 +g1129 +tp1285 +a(g826 +V\u000a +p1286 +tp1287 +a(g826 +V +p1288 +tp1289 +a(g748 +Vint +p1290 +tp1291 +a(g826 +V +p1292 +tp1293 +a(g573 +VSortMode +p1294 +tp1295 +a(g705 +g1077 +tp1296 +a(g748 +Vvoid +p1297 +tp1298 +a(g705 +g1081 +tp1299 +a(g826 +g970 +tp1300 +a(g744 +Vconst +p1301 +tp1302 +a(g826 +g970 +tp1303 +a(g705 +g1004 +tp1304 +a(g826 +g970 +tp1305 +a(g744 +Vreturn +p1306 +tp1307 +a(g705 +g1077 +tp1308 +a(g435 +Vm_sort_mode +p1309 +tp1310 +a(g705 +g1081 +tp1311 +a(g705 +g1021 +tp1312 +a(g826 +g970 +tp1313 +a(g705 +g1129 +tp1314 +a(g826 +V\u000a +p1315 +tp1316 +a(g826 +V +p1317 +tp1318 +a(g8 +V//--- cleaning method\u000a +p1319 +tp1320 +a(g826 +V +p1321 +tp1322 +a(g748 +Vvoid +p1323 +tp1324 +a(g826 +V +p1325 +tp1326 +a(g573 +VClear +p1327 +tp1328 +a(g705 +g1077 +tp1329 +a(g748 +Vvoid +p1330 +tp1331 +a(g705 +g1081 +tp1332 +a(g826 +g970 +tp1333 +a(g705 +g1004 +tp1334 +a(g826 +g970 +tp1335 +a(g435 +Vm_data_total +p1336 +tp1337 +a(g412 +g1262 +tp1338 +a(g37 +g1264 +tp1339 +a(g705 +g1021 +tp1340 +a(g826 +g970 +tp1341 +a(g705 +g1129 +tp1342 +a(g826 +V\u000a +p1343 +tp1344 +a(g826 +V +p1345 +tp1346 +a(g8 +V//--- methods for working with files\u000a +p1347 +tp1348 +a(g826 +V +p1349 +tp1350 +a(g744 +Vvirtual +p1351 +tp1352 +a(g826 +g970 +tp1353 +a(g748 +Vbool +p1354 +tp1355 +a(g826 +V +p1356 +tp1357 +a(g573 +VSave +p1358 +tp1359 +a(g705 +g1077 +tp1360 +a(g744 +Vconst +p1361 +tp1362 +a(g826 +g970 +tp1363 +a(g748 +Vint +p1364 +tp1365 +a(g826 +g970 +tp1366 +a(g435 +Vfile_handle +p1367 +tp1368 +a(g705 +g1081 +tp1369 +a(g705 +g1021 +tp1370 +a(g826 +V\u000a +p1371 +tp1372 +a(g826 +V +p1373 +tp1374 +a(g744 +Vvirtual +p1375 +tp1376 +a(g826 +g970 +tp1377 +a(g748 +Vbool +p1378 +tp1379 +a(g826 +V +p1380 +tp1381 +a(g573 +VLoad +p1382 +tp1383 +a(g705 +g1077 +tp1384 +a(g744 +Vconst +p1385 +tp1386 +a(g826 +g970 +tp1387 +a(g748 +Vint +p1388 +tp1389 +a(g826 +g970 +tp1390 +a(g435 +Vfile_handle +p1391 +tp1392 +a(g705 +g1081 +tp1393 +a(g705 +g1021 +tp1394 +a(g826 +V\u000a +p1395 +tp1396 +a(g826 +V +p1397 +tp1398 +a(g8 +V//--- sorting method\u000a +p1399 +tp1400 +a(g826 +V +p1401 +tp1402 +a(g748 +Vvoid +p1403 +tp1404 +a(g826 +V +p1405 +tp1406 +a(g573 +VSort +p1407 +tp1408 +a(g705 +g1077 +tp1409 +a(g744 +Vconst +p1410 +tp1411 +a(g826 +g970 +tp1412 +a(g748 +Vint +p1413 +tp1414 +a(g826 +g970 +tp1415 +a(g435 +Vmode +p1416 +tp1417 +a(g412 +g1262 +tp1418 +a(g37 +g1264 +tp1419 +a(g705 +g1081 +tp1420 +a(g705 +g1021 +tp1421 +a(g826 +V\u000a +p1422 +tp1423 +a(g826 +V\u000a +p1424 +tp1425 +a(g744 +Vprotected +p1426 +tp1427 +a(g412 +g992 +tp1428 +a(g826 +V\u000a +p1429 +tp1430 +a(g826 +V +p1431 +tp1432 +a(g744 +Vvirtual +p1433 +tp1434 +a(g826 +g970 +tp1435 +a(g748 +Vvoid +p1436 +tp1437 +a(g826 +V +p1438 +tp1439 +a(g435 +VQuickSort +p1440 +tp1441 +a(g705 +g1077 +tp1442 +a(g748 +Vint +p1443 +tp1444 +a(g826 +g970 +tp1445 +a(g435 +Vbeg +p1446 +tp1447 +a(g705 +V, +p1448 +tp1449 +a(g748 +Vint +p1450 +tp1451 +a(g826 +g970 +tp1452 +a(g435 +Vend +p1453 +tp1454 +a(g705 +g1448 +tp1455 +a(g744 +Vconst +p1456 +tp1457 +a(g826 +g970 +tp1458 +a(g748 +Vint +p1459 +tp1460 +a(g826 +g970 +tp1461 +a(g435 +Vmode +p1462 +tp1463 +a(g412 +g1262 +tp1464 +a(g37 +g1264 +tp1465 +a(g705 +g1081 +tp1466 +a(g826 +g970 +tp1467 +a(g705 +g1004 +tp1468 +a(g826 +g970 +tp1469 +a(g705 +g1129 +tp1470 +a(g826 +V\u000a +p1471 +tp1472 +a(g826 +V +p1473 +tp1474 +a(g705 +g1129 +tp1475 +a(g705 +g1021 +tp1476 +a(g826 +V\u000a +p1477 +tp1478 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1479 +tp1480 +a(g8 +V//| Constructor |\u000a +p1481 +tp1482 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1483 +tp1484 +a(g435 +VCArray +p1485 +tp1486 +a(g412 +g992 +tp1487 +a(g412 +g992 +tp1488 +a(g435 +VCArray +p1489 +tp1490 +a(g705 +g1077 +tp1491 +a(g748 +Vvoid +p1492 +tp1493 +a(g705 +g1081 +tp1494 +a(g826 +g970 +tp1495 +a(g412 +g992 +tp1496 +a(g826 +g970 +tp1497 +a(g435 +Vm_step_resize +p1498 +tp1499 +a(g705 +g1077 +tp1500 +a(g37 +V16 +p1501 +tp1502 +a(g705 +g1081 +tp1503 +a(g705 +g1448 +tp1504 +a(g826 +V\u000a +p1505 +tp1506 +a(g826 +V +p1507 +tp1508 +a(g435 +Vm_data_total +p1509 +tp1510 +a(g705 +g1077 +tp1511 +a(g37 +g1264 +tp1512 +a(g705 +g1081 +tp1513 +a(g705 +g1448 +tp1514 +a(g826 +V\u000a +p1515 +tp1516 +a(g826 +V +p1517 +tp1518 +a(g435 +Vm_data_max +p1519 +tp1520 +a(g705 +g1077 +tp1521 +a(g37 +g1264 +tp1522 +a(g705 +g1081 +tp1523 +a(g705 +g1448 +tp1524 +a(g826 +V\u000a +p1525 +tp1526 +a(g826 +V +p1527 +tp1528 +a(g435 +Vm_sort_mode +p1529 +tp1530 +a(g705 +g1077 +tp1531 +a(g412 +g1206 +tp1532 +a(g37 +V1 +p1533 +tp1534 +a(g705 +g1081 +tp1535 +a(g826 +V\u000a +p1536 +tp1537 +a(g826 +V +p1538 +tp1539 +a(g705 +g1004 +tp1540 +a(g826 +V\u000a +p1541 +tp1542 +a(g826 +V +p1543 +tp1544 +a(g705 +g1129 +tp1545 +a(g826 +V\u000a +p1546 +tp1547 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1548 +tp1549 +a(g8 +V//| Destructor |\u000a +p1550 +tp1551 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1552 +tp1553 +a(g435 +VCArray +p1554 +tp1555 +a(g412 +g992 +tp1556 +a(g412 +g992 +tp1557 +a(g412 +g1088 +tp1558 +a(g435 +VCArray +p1559 +tp1560 +a(g705 +g1077 +tp1561 +a(g748 +Vvoid +p1562 +tp1563 +a(g705 +g1081 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +V +p1567 +tp1568 +a(g705 +g1004 +tp1569 +a(g826 +V\u000a +p1570 +tp1571 +a(g826 +V +p1572 +tp1573 +a(g705 +g1129 +tp1574 +a(g826 +V\u000a +p1575 +tp1576 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1577 +tp1578 +a(g8 +V//| Method Set for variable m_step_resize |\u000a +p1579 +tp1580 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1581 +tp1582 +a(g748 +Vbool +p1583 +tp1584 +a(g826 +g970 +tp1585 +a(g435 +VCArray +p1586 +tp1587 +a(g412 +g992 +tp1588 +a(g412 +g992 +tp1589 +a(g435 +VStep +p1590 +tp1591 +a(g705 +g1077 +tp1592 +a(g744 +Vconst +p1593 +tp1594 +a(g826 +g970 +tp1595 +a(g748 +Vint +p1596 +tp1597 +a(g826 +g970 +tp1598 +a(g435 +Vstep +p1599 +tp1600 +a(g705 +g1081 +tp1601 +a(g826 +V\u000a +p1602 +tp1603 +a(g826 +V +p1604 +tp1605 +a(g705 +g1004 +tp1606 +a(g826 +V\u000a +p1607 +tp1608 +a(g8 +V//--- check\u000a +p1609 +tp1610 +a(g826 +V +p1611 +tp1612 +a(g744 +Vif +p1613 +tp1614 +a(g705 +g1077 +tp1615 +a(g435 +Vstep +p1616 +tp1617 +a(g412 +V> +p1618 +tp1619 +a(g37 +g1264 +tp1620 +a(g705 +g1081 +tp1621 +a(g826 +V\u000a +p1622 +tp1623 +a(g826 +V +p1624 +tp1625 +a(g705 +g1004 +tp1626 +a(g826 +V\u000a +p1627 +tp1628 +a(g826 +V +p1629 +tp1630 +a(g435 +Vm_step_resize +p1631 +tp1632 +a(g412 +g1262 +tp1633 +a(g435 +Vstep +p1634 +tp1635 +a(g705 +g1021 +tp1636 +a(g826 +V\u000a +p1637 +tp1638 +a(g826 +V +p1639 +tp1640 +a(g744 +Vreturn +p1641 +tp1642 +a(g705 +g1077 +tp1643 +a(g681 +Vtrue +p1644 +tp1645 +a(g705 +g1081 +tp1646 +a(g705 +g1021 +tp1647 +a(g826 +V\u000a +p1648 +tp1649 +a(g826 +V +p1650 +tp1651 +a(g705 +g1129 +tp1652 +a(g826 +V\u000a +p1653 +tp1654 +a(g8 +V//--- failure\u000a +p1655 +tp1656 +a(g826 +V +p1657 +tp1658 +a(g744 +Vreturn +p1659 +tp1660 +a(g705 +g1077 +tp1661 +a(g681 +Vfalse +p1662 +tp1663 +a(g705 +g1081 +tp1664 +a(g705 +g1021 +tp1665 +a(g826 +V\u000a +p1666 +tp1667 +a(g826 +V +p1668 +tp1669 +a(g705 +g1129 +tp1670 +a(g826 +V\u000a +p1671 +tp1672 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1673 +tp1674 +a(g8 +V//| Sorting an array in ascending order |\u000a +p1675 +tp1676 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1677 +tp1678 +a(g748 +Vvoid +p1679 +tp1680 +a(g826 +g970 +tp1681 +a(g435 +VCArray +p1682 +tp1683 +a(g412 +g992 +tp1684 +a(g412 +g992 +tp1685 +a(g435 +VSort +p1686 +tp1687 +a(g705 +g1077 +tp1688 +a(g744 +Vconst +p1689 +tp1690 +a(g826 +g970 +tp1691 +a(g748 +Vint +p1692 +tp1693 +a(g826 +g970 +tp1694 +a(g435 +Vmode +p1695 +tp1696 +a(g705 +g1081 +tp1697 +a(g826 +V\u000a +p1698 +tp1699 +a(g826 +V +p1700 +tp1701 +a(g705 +g1004 +tp1702 +a(g826 +V\u000a +p1703 +tp1704 +a(g8 +V//--- check\u000a +p1705 +tp1706 +a(g826 +V +p1707 +tp1708 +a(g744 +Vif +p1709 +tp1710 +a(g705 +g1077 +tp1711 +a(g435 +VIsSorted +p1712 +tp1713 +a(g705 +g1077 +tp1714 +a(g435 +Vmode +p1715 +tp1716 +a(g705 +g1081 +tp1717 +a(g705 +g1081 +tp1718 +a(g826 +V\u000a +p1719 +tp1720 +a(g826 +V +p1721 +tp1722 +a(g744 +Vreturn +p1723 +tp1724 +a(g705 +g1021 +tp1725 +a(g826 +V\u000a +p1726 +tp1727 +a(g826 +V +p1728 +tp1729 +a(g435 +Vm_sort_mode +p1730 +tp1731 +a(g412 +g1262 +tp1732 +a(g435 +Vmode +p1733 +tp1734 +a(g705 +g1021 +tp1735 +a(g826 +V\u000a +p1736 +tp1737 +a(g826 +V +p1738 +tp1739 +a(g744 +Vif +p1740 +tp1741 +a(g705 +g1077 +tp1742 +a(g435 +Vm_data_total +p1743 +tp1744 +a(g412 +V< +p1745 +tp1746 +a(g412 +g1262 +tp1747 +a(g37 +g1533 +tp1748 +a(g705 +g1081 +tp1749 +a(g826 +V\u000a +p1750 +tp1751 +a(g826 +V +p1752 +tp1753 +a(g744 +Vreturn +p1754 +tp1755 +a(g705 +g1021 +tp1756 +a(g826 +V\u000a +p1757 +tp1758 +a(g8 +V//--- sort\u000a +p1759 +tp1760 +a(g826 +V +p1761 +tp1762 +a(g435 +VQuickSort +p1763 +tp1764 +a(g705 +g1077 +tp1765 +a(g37 +g1264 +tp1766 +a(g705 +g1448 +tp1767 +a(g435 +Vm_data_total +p1768 +tp1769 +a(g412 +g1206 +tp1770 +a(g37 +g1533 +tp1771 +a(g705 +g1448 +tp1772 +a(g435 +Vmode +p1773 +tp1774 +a(g705 +g1081 +tp1775 +a(g705 +g1021 +tp1776 +a(g826 +V\u000a +p1777 +tp1778 +a(g826 +V +p1779 +tp1780 +a(g705 +g1129 +tp1781 +a(g826 +V\u000a +p1782 +tp1783 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1784 +tp1785 +a(g8 +V//| Writing header of array to file |\u000a +p1786 +tp1787 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1788 +tp1789 +a(g748 +Vbool +p1790 +tp1791 +a(g826 +g970 +tp1792 +a(g435 +VCArray +p1793 +tp1794 +a(g412 +g992 +tp1795 +a(g412 +g992 +tp1796 +a(g435 +VSave +p1797 +tp1798 +a(g705 +g1077 +tp1799 +a(g744 +Vconst +p1800 +tp1801 +a(g826 +g970 +tp1802 +a(g748 +Vint +p1803 +tp1804 +a(g826 +g970 +tp1805 +a(g435 +Vfile_handle +p1806 +tp1807 +a(g705 +g1081 +tp1808 +a(g826 +V\u000a +p1809 +tp1810 +a(g826 +V +p1811 +tp1812 +a(g705 +g1004 +tp1813 +a(g826 +V\u000a +p1814 +tp1815 +a(g8 +V//--- check handle\u000a +p1816 +tp1817 +a(g826 +V +p1818 +tp1819 +a(g744 +Vif +p1820 +tp1821 +a(g705 +g1077 +tp1822 +a(g435 +Vfile_handle +p1823 +tp1824 +a(g412 +V! +p1825 +tp1826 +a(g412 +g1262 +tp1827 +a(g565 +VINVALID_HANDLE +p1828 +tp1829 +a(g705 +g1081 +tp1830 +a(g826 +V\u000a +p1831 +tp1832 +a(g826 +V +p1833 +tp1834 +a(g705 +g1004 +tp1835 +a(g826 +V\u000a +p1836 +tp1837 +a(g826 +V +p1838 +tp1839 +a(g8 +V//--- write start marker - 0xFFFFFFFFFFFFFFFF\u000a +p1840 +tp1841 +a(g826 +V +p1842 +tp1843 +a(g744 +Vif +p1844 +tp1845 +a(g705 +g1077 +tp1846 +a(g573 +VFileWriteLong +p1847 +tp1848 +a(g705 +g1077 +tp1849 +a(g435 +Vfile_handle +p1850 +tp1851 +a(g705 +g1448 +tp1852 +a(g412 +g1206 +tp1853 +a(g37 +g1533 +tp1854 +a(g705 +g1081 +tp1855 +a(g412 +g1262 +tp1856 +a(g412 +g1262 +tp1857 +a(g744 +Vsizeof +p1858 +tp1859 +a(g705 +g1077 +tp1860 +a(g748 +Vlong +p1861 +tp1862 +a(g705 +g1081 +tp1863 +a(g705 +g1081 +tp1864 +a(g826 +V\u000a +p1865 +tp1866 +a(g826 +V +p1867 +tp1868 +a(g705 +g1004 +tp1869 +a(g826 +V\u000a +p1870 +tp1871 +a(g826 +V +p1872 +tp1873 +a(g8 +V//--- write array type\u000a +p1874 +tp1875 +a(g826 +V +p1876 +tp1877 +a(g744 +Vif +p1878 +tp1879 +a(g705 +g1077 +tp1880 +a(g573 +VFileWriteInteger +p1881 +tp1882 +a(g705 +g1077 +tp1883 +a(g435 +Vfile_handle +p1884 +tp1885 +a(g705 +g1448 +tp1886 +a(g435 +VType +p1887 +tp1888 +a(g705 +g1077 +tp1889 +a(g705 +g1081 +tp1890 +a(g705 +g1448 +tp1891 +a(g435 +VINT_VALUE +p1892 +tp1893 +a(g705 +g1081 +tp1894 +a(g412 +g1262 +tp1895 +a(g412 +g1262 +tp1896 +a(g435 +VINT_VALUE +p1897 +tp1898 +a(g705 +g1081 +tp1899 +a(g826 +V\u000a +p1900 +tp1901 +a(g826 +V +p1902 +tp1903 +a(g744 +Vreturn +p1904 +tp1905 +a(g705 +g1077 +tp1906 +a(g681 +Vtrue +p1907 +tp1908 +a(g705 +g1081 +tp1909 +a(g705 +g1021 +tp1910 +a(g826 +V\u000a +p1911 +tp1912 +a(g826 +V +p1913 +tp1914 +a(g705 +g1129 +tp1915 +a(g826 +V\u000a +p1916 +tp1917 +a(g826 +V +p1918 +tp1919 +a(g705 +g1129 +tp1920 +a(g826 +V\u000a +p1921 +tp1922 +a(g8 +V//--- failure\u000a +p1923 +tp1924 +a(g826 +V +p1925 +tp1926 +a(g744 +Vreturn +p1927 +tp1928 +a(g705 +g1077 +tp1929 +a(g681 +Vfalse +p1930 +tp1931 +a(g705 +g1081 +tp1932 +a(g705 +g1021 +tp1933 +a(g826 +V\u000a +p1934 +tp1935 +a(g826 +V +p1936 +tp1937 +a(g705 +g1129 +tp1938 +a(g826 +V\u000a +p1939 +tp1940 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1941 +tp1942 +a(g8 +V//| Reading header of array from file |\u000a +p1943 +tp1944 +a(g8 +V//+------------------------------------------------------------------+\u000a +p1945 +tp1946 +a(g748 +Vbool +p1947 +tp1948 +a(g826 +g970 +tp1949 +a(g435 +VCArray +p1950 +tp1951 +a(g412 +g992 +tp1952 +a(g412 +g992 +tp1953 +a(g435 +VLoad +p1954 +tp1955 +a(g705 +g1077 +tp1956 +a(g744 +Vconst +p1957 +tp1958 +a(g826 +g970 +tp1959 +a(g748 +Vint +p1960 +tp1961 +a(g826 +g970 +tp1962 +a(g435 +Vfile_handle +p1963 +tp1964 +a(g705 +g1081 +tp1965 +a(g826 +V\u000a +p1966 +tp1967 +a(g826 +V +p1968 +tp1969 +a(g705 +g1004 +tp1970 +a(g826 +V\u000a +p1971 +tp1972 +a(g8 +V//--- check handle\u000a +p1973 +tp1974 +a(g826 +V +p1975 +tp1976 +a(g744 +Vif +p1977 +tp1978 +a(g705 +g1077 +tp1979 +a(g435 +Vfile_handle +p1980 +tp1981 +a(g412 +g1825 +tp1982 +a(g412 +g1262 +tp1983 +a(g565 +VINVALID_HANDLE +p1984 +tp1985 +a(g705 +g1081 +tp1986 +a(g826 +V\u000a +p1987 +tp1988 +a(g826 +V +p1989 +tp1990 +a(g705 +g1004 +tp1991 +a(g826 +V\u000a +p1992 +tp1993 +a(g826 +V +p1994 +tp1995 +a(g8 +V//--- read and check start marker - 0xFFFFFFFFFFFFFFFF\u000a +p1996 +tp1997 +a(g826 +V +p1998 +tp1999 +a(g744 +Vif +p2000 +tp2001 +a(g705 +g1077 +tp2002 +a(g573 +VFileReadLong +p2003 +tp2004 +a(g705 +g1077 +tp2005 +a(g435 +Vfile_handle +p2006 +tp2007 +a(g705 +g1081 +tp2008 +a(g412 +g1262 +tp2009 +a(g412 +g1262 +tp2010 +a(g412 +g1206 +tp2011 +a(g37 +g1533 +tp2012 +a(g705 +g1081 +tp2013 +a(g826 +V\u000a +p2014 +tp2015 +a(g826 +V +p2016 +tp2017 +a(g705 +g1004 +tp2018 +a(g826 +V\u000a +p2019 +tp2020 +a(g826 +V +p2021 +tp2022 +a(g8 +V//--- read and check array type\u000a +p2023 +tp2024 +a(g826 +V +p2025 +tp2026 +a(g744 +Vif +p2027 +tp2028 +a(g705 +g1077 +tp2029 +a(g573 +VFileReadInteger +p2030 +tp2031 +a(g705 +g1077 +tp2032 +a(g435 +Vfile_handle +p2033 +tp2034 +a(g705 +g1448 +tp2035 +a(g435 +VINT_VALUE +p2036 +tp2037 +a(g705 +g1081 +tp2038 +a(g412 +g1262 +tp2039 +a(g412 +g1262 +tp2040 +a(g435 +VType +p2041 +tp2042 +a(g705 +g1077 +tp2043 +a(g705 +g1081 +tp2044 +a(g705 +g1081 +tp2045 +a(g826 +V\u000a +p2046 +tp2047 +a(g826 +V +p2048 +tp2049 +a(g744 +Vreturn +p2050 +tp2051 +a(g705 +g1077 +tp2052 +a(g681 +Vtrue +p2053 +tp2054 +a(g705 +g1081 +tp2055 +a(g705 +g1021 +tp2056 +a(g826 +V\u000a +p2057 +tp2058 +a(g826 +V +p2059 +tp2060 +a(g705 +g1129 +tp2061 +a(g826 +V\u000a +p2062 +tp2063 +a(g826 +V +p2064 +tp2065 +a(g705 +g1129 +tp2066 +a(g826 +V\u000a +p2067 +tp2068 +a(g8 +V//--- failure\u000a +p2069 +tp2070 +a(g826 +V +p2071 +tp2072 +a(g744 +Vreturn +p2073 +tp2074 +a(g705 +g1077 +tp2075 +a(g681 +Vfalse +p2076 +tp2077 +a(g705 +g1081 +tp2078 +a(g705 +g1021 +tp2079 +a(g826 +V\u000a +p2080 +tp2081 +a(g826 +V +p2082 +tp2083 +a(g705 +g1129 +tp2084 +a(g826 +V\u000a +p2085 +tp2086 +a(g8 +V//+------------------------------------------------------------------+\u000a +p2087 +tp2088 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.msc b/tests/examplefiles/output/example.msc new file mode 100644 index 0000000..ad33edc --- /dev/null +++ b/tests/examplefiles/output/example.msc @@ -0,0 +1,4087 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Type' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp531 +tp532 +Rp533 +(dp534 +g10 +g428 +sg34 +g35 +((lp535 +tp536 +Rp537 +sbsS'Classes' +p538 +g1 +(g2 +g3 +(g178 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g428 +sg34 +g35 +((lp543 +tp544 +Rp545 +sbsS'Tag' +p546 +g1 +(g2 +g3 +(g178 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g428 +sg34 +g35 +((lp551 +tp552 +Rp553 +sbsS'Constant' +p554 +g1 +(g2 +g3 +(g178 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g428 +sg34 +g35 +((lp559 +tp560 +Rp561 +sbsS'Function' +p562 +g1 +(g2 +g3 +(g178 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g428 +sg34 +g35 +((lp567 +g1 +(g2 +g3 +(g178 +g562 +g468 +tp568 +tp569 +Rp570 +(dp571 +g10 +g565 +sg34 +g35 +((lp572 +tp573 +Rp574 +sbatp575 +Rp576 +sg468 +g570 +sbsS'Blubb' +p577 +g1 +(g2 +g3 +(g178 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g428 +sg34 +g35 +((lp582 +tp583 +Rp584 +sbsS'Label' +p585 +g1 +(g2 +g3 +(g178 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g428 +sg34 +g35 +((lp590 +tp591 +Rp592 +sbsS'Field' +p593 +g1 +(g2 +g3 +(g178 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g428 +sg34 +g35 +((lp598 +tp599 +Rp600 +sbsS'Exception' +p601 +g1 +(g2 +g3 +(g178 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g428 +sg34 +g35 +((lp606 +tp607 +Rp608 +sbsS'Namespace' +p609 +g1 +(g2 +g3 +(g178 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag580 +ag526 +ag496 +ag433 +ag604 +ag518 +ag549 +ag565 +ag541 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag588 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag557 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg5 +g1 +(g2 +g3 +(g178 +g670 +g5 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag596 +ag533 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg5 +g8 +sS'Control' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsg554 +g1 +(g2 +g3 +(g4 +g554 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg609 +g1 +(g2 +g3 +(g4 +g609 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g900 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag886 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag893 +ag8 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVmsc +p956 +tp957 +a(g843 +V +p958 +tp959 +a(g697 +V{ +p960 +tp961 +a(g843 +V\u000a +p962 +tp963 +a(g518 +Vhscale +p964 +tp965 +a(g405 +V= +p966 +tp967 +a(g433 +V5 +p968 +tp969 +a(g697 +V; +p970 +tp971 +a(g843 +V\u000a\u000a +p972 +tp973 +a(g749 +V//test comment\u000a +p974 +tp975 +a(g843 +V\u000a +p976 +tp977 +a(g433 +Va +p978 +tp979 +a(g697 +V, +p980 +tp981 +a(g433 +Vb +p982 +tp983 +a(g697 +g980 +tp984 +a(g433 +Vc +p985 +tp986 +a(g697 +g980 +tp987 +a(g433 +Vd +p988 +tp989 +a(g697 +g970 +tp990 +a(g843 +V\u000a\u000a +p991 +tp992 +a(g780 +V/* another\u000acomment\u000agoes here */ +p993 +tp994 +a(g843 +g958 +tp995 +a(g780 +V/* too */ +p996 +tp997 +a(g843 +g958 +tp998 +a(g749 +V// now\u000a +p999 +tp1000 +a(g843 +V\u000a +p1001 +tp1002 +a(g13 +V... +p1003 +tp1004 +a(g843 +g958 +tp1005 +a(g697 +V[ +p1006 +tp1007 +a(g658 +Vlabel +p1008 +tp1009 +a(g405 +g966 +tp1010 +a(g81 +V"test1" +p1011 +tp1012 +a(g697 +g980 +tp1013 +a(g843 +g958 +tp1014 +a(g658 +Vid +p1015 +tp1016 +a(g405 +g966 +tp1017 +a(g81 +V"1" +p1018 +tp1019 +a(g697 +V] +p1020 +tp1021 +a(g697 +g970 +tp1022 +a(g843 +V\u000a +p1023 +tp1024 +a(g13 +V--- +p1025 +tp1026 +a(g843 +g958 +tp1027 +a(g697 +g1006 +tp1028 +a(g658 +Vlabel +p1029 +tp1030 +a(g405 +g966 +tp1031 +a(g81 +V"test2" +p1032 +tp1033 +a(g697 +g980 +tp1034 +a(g843 +g958 +tp1035 +a(g658 +Vid +p1036 +tp1037 +a(g405 +g966 +tp1038 +a(g81 +V"2" +p1039 +tp1040 +a(g697 +g1020 +tp1041 +a(g697 +g970 +tp1042 +a(g843 +V\u000a +p1043 +tp1044 +a(g13 +V||| +p1045 +tp1046 +a(g843 +g958 +tp1047 +a(g697 +g1006 +tp1048 +a(g658 +Vlabel +p1049 +tp1050 +a(g405 +g966 +tp1051 +a(g81 +V"test3" +p1052 +tp1053 +a(g697 +g980 +tp1054 +a(g843 +g958 +tp1055 +a(g658 +Vid +p1056 +tp1057 +a(g405 +g966 +tp1058 +a(g81 +V"2" +p1059 +tp1060 +a(g697 +g1020 +tp1061 +a(g697 +g970 +tp1062 +a(g843 +V\u000a +p1063 +tp1064 +a(g433 +g978 +tp1065 +a(g843 +g958 +tp1066 +a(g419 +VABOX +p1067 +tp1068 +a(g843 +g958 +tp1069 +a(g433 +g982 +tp1070 +a(g697 +g970 +tp1071 +a(g843 +V\u000a +p1072 +tp1073 +a(g433 +g978 +tp1074 +a(g405 +V-- +p1075 +tp1076 +a(g433 +g982 +tp1077 +a(g843 +g958 +tp1078 +a(g697 +g1006 +tp1079 +a(g658 +Vlabel +p1080 +tp1081 +a(g405 +g966 +tp1082 +a(g81 +V"test4" +p1083 +tp1084 +a(g697 +g980 +tp1085 +a(g843 +g958 +tp1086 +a(g658 +Vid +p1087 +tp1088 +a(g405 +g966 +tp1089 +a(g81 +V"2" +p1090 +tp1091 +a(g697 +g1020 +tp1092 +a(g697 +g970 +tp1093 +a(g843 +V\u000a +p1094 +tp1095 +a(g433 +g978 +tp1096 +a(g843 +g958 +tp1097 +a(g405 +V== +p1098 +tp1099 +a(g843 +g958 +tp1100 +a(g433 +g982 +tp1101 +a(g843 +g958 +tp1102 +a(g697 +g1006 +tp1103 +a(g658 +Vlabel +p1104 +tp1105 +a(g405 +g966 +tp1106 +a(g81 +V"test5" +p1107 +tp1108 +a(g697 +g980 +tp1109 +a(g843 +g958 +tp1110 +a(g658 +Vid +p1111 +tp1112 +a(g405 +g966 +tp1113 +a(g81 +V"2" +p1114 +tp1115 +a(g697 +g1020 +tp1116 +a(g697 +g970 +tp1117 +a(g843 +V\u000a +p1118 +tp1119 +a(g433 +g978 +tp1120 +a(g843 +g958 +tp1121 +a(g405 +V.. +p1122 +tp1123 +a(g843 +g958 +tp1124 +a(g433 +g982 +tp1125 +a(g843 +g958 +tp1126 +a(g697 +g1006 +tp1127 +a(g658 +Vlabel +p1128 +tp1129 +a(g405 +g966 +tp1130 +a(g81 +V"test6" +p1131 +tp1132 +a(g697 +g980 +tp1133 +a(g843 +g958 +tp1134 +a(g658 +Vid +p1135 +tp1136 +a(g405 +g966 +tp1137 +a(g81 +V"2" +p1138 +tp1139 +a(g697 +g1020 +tp1140 +a(g697 +g970 +tp1141 +a(g843 +V\u000a +p1142 +tp1143 +a(g433 +g978 +tp1144 +a(g405 +V:: +p1145 +tp1146 +a(g433 +g982 +tp1147 +a(g843 +g958 +tp1148 +a(g697 +g1006 +tp1149 +a(g658 +Vlabel +p1150 +tp1151 +a(g405 +g966 +tp1152 +a(g81 +V"test7" +p1153 +tp1154 +a(g697 +g980 +tp1155 +a(g843 +g958 +tp1156 +a(g658 +Vid +p1157 +tp1158 +a(g405 +g966 +tp1159 +a(g81 +V"2" +p1160 +tp1161 +a(g697 +g1020 +tp1162 +a(g697 +g970 +tp1163 +a(g843 +V\u000a +p1164 +tp1165 +a(g433 +g978 +tp1166 +a(g405 +V<<=>> +p1167 +tp1168 +a(g843 +g958 +tp1169 +a(g433 +g982 +tp1170 +a(g843 +g958 +tp1171 +a(g697 +g1006 +tp1172 +a(g658 +Vlabel +p1173 +tp1174 +a(g405 +g966 +tp1175 +a(g81 +V"test8" +p1176 +tp1177 +a(g697 +g980 +tp1178 +a(g843 +g958 +tp1179 +a(g658 +Vid +p1180 +tp1181 +a(g405 +g966 +tp1182 +a(g81 +V"2" +p1183 +tp1184 +a(g697 +g1020 +tp1185 +a(g697 +g980 +tp1186 +a(g843 +V\u000a +p1187 +tp1188 +a(g433 +g982 +tp1189 +a(g843 +g958 +tp1190 +a(g405 +V<-> +p1191 +tp1192 +a(g433 +g985 +tp1193 +a(g843 +g958 +tp1194 +a(g697 +g1006 +tp1195 +a(g658 +Vlabel +p1196 +tp1197 +a(g405 +g966 +tp1198 +a(g81 +V"test9" +p1199 +tp1200 +a(g697 +g980 +tp1201 +a(g843 +g958 +tp1202 +a(g658 +Vid +p1203 +tp1204 +a(g405 +g966 +tp1205 +a(g81 +V"2" +p1206 +tp1207 +a(g697 +g1020 +tp1208 +a(g697 +g980 +tp1209 +a(g843 +V\u000a +p1210 +tp1211 +a(g433 +g982 +tp1212 +a(g843 +g958 +tp1213 +a(g419 +VRBOX +p1214 +tp1215 +a(g843 +g958 +tp1216 +a(g433 +g985 +tp1217 +a(g697 +g970 +tp1218 +a(g843 +V\u000a +p1219 +tp1220 +a(g433 +g978 +tp1221 +a(g843 +g958 +tp1222 +a(g419 +VBOX +p1223 +tp1224 +a(g843 +g958 +tp1225 +a(g433 +g988 +tp1226 +a(g697 +g970 +tp1227 +a(g843 +V\u000a +p1228 +tp1229 +a(g433 +g978 +tp1230 +a(g405 +V<=> +p1231 +tp1232 +a(g843 +g958 +tp1233 +a(g433 +g982 +tp1234 +a(g843 +g958 +tp1235 +a(g697 +g1006 +tp1236 +a(g658 +Vlabel +p1237 +tp1238 +a(g405 +g966 +tp1239 +a(g81 +V"test10" +p1240 +tp1241 +a(g697 +g980 +tp1242 +a(g843 +g958 +tp1243 +a(g658 +Vid +p1244 +tp1245 +a(g405 +g966 +tp1246 +a(g81 +V"2" +p1247 +tp1248 +a(g697 +g1020 +tp1249 +a(g697 +g970 +tp1250 +a(g843 +V\u000a +p1251 +tp1252 +a(g433 +g978 +tp1253 +a(g843 +g958 +tp1254 +a(g405 +V<<>> +p1255 +tp1256 +a(g843 +g958 +tp1257 +a(g433 +g982 +tp1258 +a(g843 +g958 +tp1259 +a(g697 +g1006 +tp1260 +a(g658 +Vlabel +p1261 +tp1262 +a(g405 +g966 +tp1263 +a(g81 +V"test11" +p1264 +tp1265 +a(g697 +g980 +tp1266 +a(g843 +g958 +tp1267 +a(g658 +Vid +p1268 +tp1269 +a(g405 +g966 +tp1270 +a(g81 +V"2" +p1271 +tp1272 +a(g697 +g1020 +tp1273 +a(g697 +g970 +tp1274 +a(g843 +V\u000a +p1275 +tp1276 +a(g433 +g978 +tp1277 +a(g405 +V<:> +p1278 +tp1279 +a(g433 +g982 +tp1280 +a(g843 +g958 +tp1281 +a(g697 +g1006 +tp1282 +a(g658 +Vlabel +p1283 +tp1284 +a(g405 +g966 +tp1285 +a(g81 +V"test12" +p1286 +tp1287 +a(g697 +g980 +tp1288 +a(g843 +g958 +tp1289 +a(g658 +Vid +p1290 +tp1291 +a(g405 +g966 +tp1292 +a(g81 +V"2" +p1293 +tp1294 +a(g697 +g1020 +tp1295 +a(g697 +g970 +tp1296 +a(g843 +V\u000a +p1297 +tp1298 +a(g433 +g978 +tp1299 +a(g405 +V-> +p1300 +tp1301 +a(g433 +g982 +tp1302 +a(g843 +g958 +tp1303 +a(g697 +g1006 +tp1304 +a(g658 +Vlabel +p1305 +tp1306 +a(g405 +g966 +tp1307 +a(g81 +V"test13" +p1308 +tp1309 +a(g697 +g980 +tp1310 +a(g843 +g958 +tp1311 +a(g658 +Vid +p1312 +tp1313 +a(g405 +g966 +tp1314 +a(g81 +V"2" +p1315 +tp1316 +a(g697 +g1020 +tp1317 +a(g697 +g970 +tp1318 +a(g843 +V\u000a +p1319 +tp1320 +a(g433 +g978 +tp1321 +a(g843 +g958 +tp1322 +a(g405 +V=>> +p1323 +tp1324 +a(g843 +g958 +tp1325 +a(g433 +g982 +tp1326 +a(g843 +g958 +tp1327 +a(g697 +g1006 +tp1328 +a(g658 +Vlabel +p1329 +tp1330 +a(g405 +g966 +tp1331 +a(g81 +V"test14" +p1332 +tp1333 +a(g697 +g980 +tp1334 +a(g843 +g958 +tp1335 +a(g658 +Vid +p1336 +tp1337 +a(g405 +g966 +tp1338 +a(g81 +V"2" +p1339 +tp1340 +a(g697 +g1020 +tp1341 +a(g697 +g980 +tp1342 +a(g843 +V\u000a +p1343 +tp1344 +a(g433 +g982 +tp1345 +a(g843 +g958 +tp1346 +a(g405 +V>> +p1347 +tp1348 +a(g843 +g958 +tp1349 +a(g433 +g985 +tp1350 +a(g843 +g958 +tp1351 +a(g697 +g1006 +tp1352 +a(g658 +Vlabel +p1353 +tp1354 +a(g405 +g966 +tp1355 +a(g81 +V"test15" +p1356 +tp1357 +a(g697 +g980 +tp1358 +a(g843 +g958 +tp1359 +a(g658 +Vid +p1360 +tp1361 +a(g405 +g966 +tp1362 +a(g81 +V"2" +p1363 +tp1364 +a(g697 +g1020 +tp1365 +a(g697 +g980 +tp1366 +a(g843 +V\u000a +p1367 +tp1368 +a(g433 +g978 +tp1369 +a(g405 +V=> +p1370 +tp1371 +a(g843 +V +p1372 +tp1373 +a(g433 +g982 +tp1374 +a(g843 +g958 +tp1375 +a(g697 +g1006 +tp1376 +a(g658 +Vlabel +p1377 +tp1378 +a(g405 +g966 +tp1379 +a(g81 +V"test16" +p1380 +tp1381 +a(g697 +g980 +tp1382 +a(g843 +g958 +tp1383 +a(g658 +Vid +p1384 +tp1385 +a(g405 +g966 +tp1386 +a(g81 +V"2" +p1387 +tp1388 +a(g697 +g1020 +tp1389 +a(g697 +g970 +tp1390 +a(g843 +V\u000a +p1391 +tp1392 +a(g433 +g978 +tp1393 +a(g843 +g958 +tp1394 +a(g405 +V:> +p1395 +tp1396 +a(g433 +g982 +tp1397 +a(g843 +g958 +tp1398 +a(g697 +g1006 +tp1399 +a(g658 +Vlabel +p1400 +tp1401 +a(g405 +g966 +tp1402 +a(g81 +V"test17" +p1403 +tp1404 +a(g697 +g980 +tp1405 +a(g843 +g958 +tp1406 +a(g658 +Vid +p1407 +tp1408 +a(g405 +g966 +tp1409 +a(g81 +V"2" +p1410 +tp1411 +a(g697 +g1020 +tp1412 +a(g697 +g970 +tp1413 +a(g843 +V\u000a +p1414 +tp1415 +a(g433 +g978 +tp1416 +a(g405 +V-x +p1417 +tp1418 +a(g843 +g958 +tp1419 +a(g433 +g982 +tp1420 +a(g843 +g958 +tp1421 +a(g697 +g1006 +tp1422 +a(g658 +Vlabel +p1423 +tp1424 +a(g405 +g966 +tp1425 +a(g81 +V"test18" +p1426 +tp1427 +a(g697 +g980 +tp1428 +a(g843 +g958 +tp1429 +a(g658 +Vid +p1430 +tp1431 +a(g405 +g966 +tp1432 +a(g81 +V"2" +p1433 +tp1434 +a(g697 +g1020 +tp1435 +a(g697 +g970 +tp1436 +a(g843 +V\u000a +p1437 +tp1438 +a(g433 +g978 +tp1439 +a(g843 +g958 +tp1440 +a(g405 +V-X +p1441 +tp1442 +a(g433 +g982 +tp1443 +a(g843 +g958 +tp1444 +a(g697 +g1006 +tp1445 +a(g658 +Vlabel +p1446 +tp1447 +a(g405 +g966 +tp1448 +a(g81 +V"test19" +p1449 +tp1450 +a(g697 +g980 +tp1451 +a(g843 +g958 +tp1452 +a(g658 +Vid +p1453 +tp1454 +a(g405 +g966 +tp1455 +a(g81 +V"2" +p1456 +tp1457 +a(g697 +g1020 +tp1458 +a(g697 +g970 +tp1459 +a(g843 +V\u000a +p1460 +tp1461 +a(g433 +g978 +tp1462 +a(g405 +V<- +p1463 +tp1464 +a(g843 +g958 +tp1465 +a(g433 +g982 +tp1466 +a(g843 +g958 +tp1467 +a(g697 +g1006 +tp1468 +a(g658 +Vlabel +p1469 +tp1470 +a(g405 +g966 +tp1471 +a(g81 +V"test20" +p1472 +tp1473 +a(g697 +g980 +tp1474 +a(g843 +g958 +tp1475 +a(g658 +Vid +p1476 +tp1477 +a(g405 +g966 +tp1478 +a(g81 +V"2" +p1479 +tp1480 +a(g697 +g1020 +tp1481 +a(g697 +g970 +tp1482 +a(g843 +V\u000a +p1483 +tp1484 +a(g433 +g978 +tp1485 +a(g843 +g958 +tp1486 +a(g405 +V<<= +p1487 +tp1488 +a(g433 +g982 +tp1489 +a(g843 +g958 +tp1490 +a(g697 +g1006 +tp1491 +a(g658 +Vlabel +p1492 +tp1493 +a(g405 +g966 +tp1494 +a(g81 +V"test21" +p1495 +tp1496 +a(g697 +g980 +tp1497 +a(g843 +g958 +tp1498 +a(g658 +Vid +p1499 +tp1500 +a(g405 +g966 +tp1501 +a(g81 +V"2" +p1502 +tp1503 +a(g697 +g1020 +tp1504 +a(g697 +g970 +tp1505 +a(g843 +V\u000a +p1506 +tp1507 +a(g433 +g978 +tp1508 +a(g405 +V<< +p1509 +tp1510 +a(g843 +g958 +tp1511 +a(g433 +g982 +tp1512 +a(g843 +g958 +tp1513 +a(g697 +g1006 +tp1514 +a(g658 +Vlabel +p1515 +tp1516 +a(g405 +g966 +tp1517 +a(g81 +V"test22" +p1518 +tp1519 +a(g697 +g980 +tp1520 +a(g843 +g958 +tp1521 +a(g658 +Vid +p1522 +tp1523 +a(g405 +g966 +tp1524 +a(g81 +V"2" +p1525 +tp1526 +a(g697 +g1020 +tp1527 +a(g697 +g970 +tp1528 +a(g843 +V\u000a +p1529 +tp1530 +a(g433 +g978 +tp1531 +a(g843 +g958 +tp1532 +a(g405 +V<= +p1533 +tp1534 +a(g843 +g958 +tp1535 +a(g433 +g982 +tp1536 +a(g843 +g958 +tp1537 +a(g697 +g1006 +tp1538 +a(g658 +Vlabel +p1539 +tp1540 +a(g405 +g966 +tp1541 +a(g81 +V"test23" +p1542 +tp1543 +a(g697 +g980 +tp1544 +a(g843 +g958 +tp1545 +a(g658 +Vid +p1546 +tp1547 +a(g405 +g966 +tp1548 +a(g81 +V"2" +p1549 +tp1550 +a(g697 +g1020 +tp1551 +a(g697 +g970 +tp1552 +a(g843 +V\u000a +p1553 +tp1554 +a(g433 +g978 +tp1555 +a(g405 +V<: +p1556 +tp1557 +a(g843 +g958 +tp1558 +a(g433 +g982 +tp1559 +a(g843 +g958 +tp1560 +a(g697 +g1006 +tp1561 +a(g658 +Vlabel +p1562 +tp1563 +a(g405 +g966 +tp1564 +a(g81 +V"test24" +p1565 +tp1566 +a(g697 +g980 +tp1567 +a(g843 +g958 +tp1568 +a(g658 +Vid +p1569 +tp1570 +a(g405 +g966 +tp1571 +a(g81 +V"2" +p1572 +tp1573 +a(g697 +g1020 +tp1574 +a(g697 +g970 +tp1575 +a(g843 +V\u000a +p1576 +tp1577 +a(g433 +g978 +tp1578 +a(g843 +g958 +tp1579 +a(g405 +V-x +p1580 +tp1581 +a(g433 +g982 +tp1582 +a(g843 +g958 +tp1583 +a(g697 +g1006 +tp1584 +a(g658 +Vlabel +p1585 +tp1586 +a(g405 +g966 +tp1587 +a(g81 +V"test25" +p1588 +tp1589 +a(g697 +g980 +tp1590 +a(g843 +g958 +tp1591 +a(g658 +Vid +p1592 +tp1593 +a(g405 +g966 +tp1594 +a(g81 +V"2" +p1595 +tp1596 +a(g697 +g1020 +tp1597 +a(g697 +g970 +tp1598 +a(g843 +V\u000a +p1599 +tp1600 +a(g433 +g978 +tp1601 +a(g405 +V-X +p1602 +tp1603 +a(g843 +g958 +tp1604 +a(g433 +g982 +tp1605 +a(g843 +g958 +tp1606 +a(g697 +g1006 +tp1607 +a(g843 +g958 +tp1608 +a(g658 +Vlabel +p1609 +tp1610 +a(g405 +g966 +tp1611 +a(g81 +V"test26" +p1612 +tp1613 +a(g697 +g980 +tp1614 +a(g658 +Vid +p1615 +tp1616 +a(g405 +g966 +tp1617 +a(g81 +V"2" +p1618 +tp1619 +a(g843 +g958 +tp1620 +a(g697 +g1020 +tp1621 +a(g697 +g970 +tp1622 +a(g843 +V\u000a +p1623 +tp1624 +a(g433 +g978 +tp1625 +a(g405 +V-> +p1626 +tp1627 +a(g673 +V* +p1628 +tp1629 +a(g843 +g958 +tp1630 +a(g697 +g1006 +tp1631 +a(g658 +Vlabel +p1632 +tp1633 +a(g405 +g966 +tp1634 +a(g81 +V"test27" +p1635 +tp1636 +a(g843 +g958 +tp1637 +a(g697 +g980 +tp1638 +a(g843 +g958 +tp1639 +a(g658 +Vid +p1640 +tp1641 +a(g405 +g966 +tp1642 +a(g81 +V"2" +p1643 +tp1644 +a(g697 +g1020 +tp1645 +a(g697 +g970 +tp1646 +a(g843 +V\u000a +p1647 +tp1648 +a(g673 +g1628 +tp1649 +a(g405 +V<- +p1650 +tp1651 +a(g433 +g982 +tp1652 +a(g843 +g958 +tp1653 +a(g697 +g1006 +tp1654 +a(g658 +Vlabel +p1655 +tp1656 +a(g405 +g966 +tp1657 +a(g81 +V"test28" +p1658 +tp1659 +a(g697 +g980 +tp1660 +a(g658 +Vid +p1661 +tp1662 +a(g405 +g966 +tp1663 +a(g81 +V"28" +p1664 +tp1665 +a(g697 +g1020 +tp1666 +a(g697 +g970 +tp1667 +a(g843 +V\u000a +p1668 +tp1669 +a(g697 +V} +p1670 +tp1671 +a(g843 +V\u000a +p1672 +tp1673 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.ng2 b/tests/examplefiles/output/example.ng2 new file mode 100644 index 0000000..19ac1a6 --- /dev/null +++ b/tests/examplefiles/output/example.ng2 @@ -0,0 +1,2787 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV< +p956 +tp957 +a(g545 +Vdiv +p958 +tp959 +a(g7 +V> +p960 +tp961 +a(g892 +V\u000a +p962 +tp963 +a(g7 +g956 +tp964 +a(g545 +Vp +p965 +tp966 +a(g7 +g960 +tp967 +a(g722 +V{{ +p968 +tp969 +a(g428 +Vorder +p970 +tp971 +a(g428 +V.DueTime +p972 +tp973 +a(g892 +V | +p974 +tp975 +a(g428 +Vdate +p976 +tp977 +a(g217 +V:'d. MMMM yyyy HH:mm' +p978 +tp979 +a(g722 +V}} +p980 +tp981 +a(g7 +V +p982 +tp983 +a(g7 +g956 +tp984 +a(g7 +V/ +p985 +tp986 +a(g545 +g965 +tp987 +a(g7 +g960 +tp988 +a(g892 +V\u000a +p989 +tp990 +a(g7 +g956 +tp991 +a(g545 +g965 +tp992 +a(g7 +g960 +tp993 +a(g892 +VStatus: +p994 +tp995 +a(g722 +V{{ +p996 +tp997 +a(g428 +Vorder +p998 +tp999 +a(g428 +V.OrderState +p1000 +tp1001 +a(g722 +V}} +p1002 +tp1003 +a(g892 +g982 +tp1004 +a(g7 +g956 +tp1005 +a(g7 +g985 +tp1006 +a(g545 +g965 +tp1007 +a(g7 +g960 +tp1008 +a(g892 +V\u000a +p1009 +tp1010 +a(g7 +g956 +tp1011 +a(g545 +Vbutton +p1012 +tp1013 +a(g892 +V +p1014 +tp1015 +a(g7 +V( +p1016 +tp1017 +a(g654 +Vclick +p1018 +tp1019 +a(g7 +V) +p1020 +tp1021 +a(g400 +V= +p1022 +tp1023 +a(g76 +V"deleteOrder()" +p1024 +tp1025 +a(g892 +g1014 +tp1026 +a(g7 +V* +p1027 +tp1028 +a(g654 +VngIf +p1029 +tp1030 +a(g400 +g1022 +tp1031 +a(g76 +V"cancelable" +p1032 +tp1033 +a(g892 +g1014 +tp1034 +a(g7 +V[ +p1035 +tp1036 +a(g654 +Vvalue +p1037 +tp1038 +a(g7 +V] +p1039 +tp1040 +a(g400 +g1022 +tp1041 +a(g76 +V"test" +p1042 +tp1043 +a(g892 +g1014 +tp1044 +a(g7 +V[( +p1045 +tp1046 +a(g654 +VtwoWayTest +p1047 +tp1048 +a(g7 +V)] +p1049 +tp1050 +a(g400 +g1022 +tp1051 +a(g76 +V"foo.bar" +p1052 +tp1053 +a(g892 +g982 +tp1054 +a(g7 +g960 +tp1055 +a(g892 +VRemove +p1056 +tp1057 +a(g7 +g956 +tp1058 +a(g7 +g985 +tp1059 +a(g545 +Vbutton +p1060 +tp1061 +a(g7 +g960 +tp1062 +a(g892 +V\u000a +p1063 +tp1064 +a(g7 +g956 +tp1065 +a(g545 +Vul +p1066 +tp1067 +a(g7 +g960 +tp1068 +a(g892 +V\u000a +p1069 +tp1070 +a(g7 +g956 +tp1071 +a(g545 +Vli +p1072 +tp1073 +a(g892 +g1014 +tp1074 +a(g7 +g1027 +tp1075 +a(g654 +VngFor +p1076 +tp1077 +a(g400 +g1022 +tp1078 +a(g76 +V"#meal of order.Positions" +p1079 +tp1080 +a(g892 +g982 +tp1081 +a(g7 +g960 +tp1082 +a(g892 +V\u000a +p1083 +tp1084 +a(g722 +V{{ +p1085 +tp1086 +a(g428 +Vmeal +p1087 +tp1088 +a(g428 +V.Name +p1089 +tp1090 +a(g722 +V}} +p1091 +tp1092 +a(g892 +V\u000a +p1093 +tp1094 +a(g7 +g956 +tp1095 +a(g7 +g985 +tp1096 +a(g545 +Vli +p1097 +tp1098 +a(g7 +g960 +tp1099 +a(g892 +V\u000a +p1100 +tp1101 +a(g7 +g956 +tp1102 +a(g7 +g985 +tp1103 +a(g545 +Vul +p1104 +tp1105 +a(g7 +g960 +tp1106 +a(g892 +V\u000a +p1107 +tp1108 +a(g7 +g956 +tp1109 +a(g545 +g965 +tp1110 +a(g7 +g960 +tp1111 +a(g892 +VPreis: +p1112 +tp1113 +a(g7 +g956 +tp1114 +a(g545 +Vb +p1115 +tp1116 +a(g7 +g960 +tp1117 +a(g722 +V{{ +p1118 +tp1119 +a(g428 +Vorder +p1120 +tp1121 +a(g428 +V.TotalPrice +p1122 +tp1123 +a(g892 +V | +p1124 +tp1125 +a(g428 +Vcurrency +p1126 +tp1127 +a(g217 +V:'EUR' +p1128 +tp1129 +a(g128 +V:true +p1130 +tp1131 +a(g217 +V:'1.2-2' +p1132 +tp1133 +a(g722 +V}} +p1134 +tp1135 +a(g7 +g982 +tp1136 +a(g7 +g956 +tp1137 +a(g7 +g985 +tp1138 +a(g545 +g1115 +tp1139 +a(g7 +g960 +tp1140 +a(g7 +g956 +tp1141 +a(g7 +g985 +tp1142 +a(g545 +g965 +tp1143 +a(g7 +g960 +tp1144 +a(g892 +V\u000a +p1145 +tp1146 +a(g7 +g956 +tp1147 +a(g7 +g985 +tp1148 +a(g545 +Vdiv +p1149 +tp1150 +a(g7 +g960 +tp1151 +a(g892 +V\u000a +p1152 +tp1153 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.ni b/tests/examplefiles/output/example.ni new file mode 100644 index 0000000..367a6b6 --- /dev/null +++ b/tests/examplefiles/output/example.ni @@ -0,0 +1,3269 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV | | |\u000a +p956 +tp957 +a(g349 +V" +p958 +tp959 +a(g349 +VInformal by Nature +p960 +tp961 +a(g349 +g958 +tp962 +a(g349 +V\u000a +p963 +tp964 +a(g792 +V[ +p965 +tp966 +a(g792 +V * * * +p967 +tp968 +a(g792 +V] +p969 +tp970 +a(g349 +V\u000a +p971 +tp972 +a(g349 +Vby +p973 +tp974 +a(g349 +V\u000a +p975 +tp976 +a(g792 +g965 +tp977 +a(g792 +V * * * +p978 +tp979 +a(g792 +g969 +tp980 +a(g349 +V\u000a +p981 +tp982 +a(g349 +VDavid Corbett +p983 +tp984 +a(g7 +V\u000a\u000a +p985 +tp986 +a(g792 +g965 +tp987 +a(g792 +VThis is a +p988 +tp989 +a(g792 +g965 +tp990 +a(g792 +Vnested +p991 +tp992 +a(g792 +g969 +tp993 +a(g792 +V comment. +p994 +tp995 +a(g792 +g969 +tp996 +a(g7 +V\u000a\u000a +p997 +tp998 +a(g349 +VSection 1 - Use option translation +p999 +tp1000 +a(g7 +V\u000a\u000a +p1001 +tp1002 +a(g7 +VUse m +p1003 +tp1004 +a(g7 +Va +p1005 +tp1006 +a(g7 +Vximum tests of +p1007 +tp1008 +a(g7 +g1005 +tp1009 +a(g7 +Vt le +p1010 +tp1011 +a(g7 +g1005 +tp1012 +a(g7 +Vst 100 tr +p1013 +tp1014 +a(g7 +g1005 +tp1015 +a(g7 +Vnsl +p1016 +tp1017 +a(g7 +g1005 +tp1018 +a(g7 +Vtes +p1019 +tp1020 +a(g7 +Vas +p1021 +tp1022 +a(g7 +V +p1023 +tp1024 +a(g709 +V(- +p1025 +tp1026 +a(g7 +V\u000a +p1027 +tp1028 +a(g754 +V@c\u000a +p1029 +tp1030 +a(g842 +VConstant +p1031 +tp1032 +a(g7 +g1023 +tp1033 +a(g569 +VMAX_TESTS +p1034 +tp1035 +a(g7 +g1023 +tp1036 +a(g416 +V= +p1037 +tp1038 +a(g7 +g1023 +tp1039 +a(g7 +V +p1040 +tp1041 +a(g709 +V{ +p1042 +tp1043 +a(g7 +VN +p1044 +tp1045 +a(g709 +V} +p1046 +tp1047 +a(g709 +g1040 +tp1048 +a(g709 +V; +p1049 +tp1050 +a(g7 +g1023 +tp1051 +a(g709 +V\u2014) +p1052 +tp1053 +a(g7 +V. +p1054 +tp1055 +a(g7 +V| +p1056 +tp1057 +a(g349 +VSection 2 +p1058 +tp1059 +a(g7 +V\u000a\u000a +p1060 +tp1061 +a(g7 +VA +p1062 +tp1063 +a(g7 +V room h +p1064 +tp1065 +a(g7 +g1005 +tp1066 +a(g7 +Vs +p1067 +tp1068 +a(g7 +g1005 +tp1069 +a(g7 +V number c +p1070 +tp1071 +a(g7 +g1005 +tp1072 +a(g7 +Vlled size. +p1073 +tp1074 +a(g7 +V\u000a\u000a +p1075 +tp1076 +a(g7 +VThe Kitchen is +p1077 +tp1078 +a(g7 +g1005 +tp1079 +a(g7 +V room. +p1080 +tp1081 +a(g160 +g958 +tp1082 +a(g160 +VA nondescript kitchen. +p1083 +tp1084 +a(g160 +V\u201c +p1085 +tp1086 +a(g7 +V The Kitchen h +p1087 +tp1088 +a(g7 +g1005 +tp1089 +a(g7 +Vs size 2. +p1090 +tp1091 +a(g7 +V\u000a\u000a +p1092 +tp1093 +a(g7 +VWhen pl +p1094 +tp1095 +a(g7 +g1005 +tp1096 +a(g7 +Vy begins +p1097 +tp1098 +a(g7 +V: +p1099 +tp1100 +a(g7 +V\u000a +p1101 +tp1102 +a(g7 +Vs +p1103 +tp1104 +a(g7 +g1005 +tp1105 +a(g7 +Vy +p1106 +tp1107 +a(g160 +g958 +tp1108 +a(g160 +VTesting: +p1109 +tp1110 +a(g128 +V[line break] +p1111 +tp1112 +a(g160 +g958 +tp1113 +a(g7 +g1049 +tp1114 +a(g7 +V\u000a +p1115 +tp1116 +a(g7 +V test 0. +p1117 +tp1118 +a(g7 +V\u000a\u000a +p1119 +tp1120 +a(g7 +VTo test +p1121 +tp1122 +a(g7 +V( +p1123 +tp1124 +a(g7 +VN \u2014 number) +p1125 +tp1126 +a(g7 +g1099 +tp1127 +a(g7 +g1023 +tp1128 +a(g709 +V(\u2014 +p1129 +tp1130 +a(g7 +V\u000a +p1131 +tp1132 +a(g842 +Vif +p1133 +tp1134 +a(g7 +g1023 +tp1135 +a(g709 +g1123 +tp1136 +a(g7 +g1040 +tp1137 +a(g439 +VTest +p1138 +tp1139 +a(g709 +g1123 +tp1140 +a(g7 +g1040 +tp1141 +a(g709 +g1042 +tp1142 +a(g7 +g1044 +tp1143 +a(g709 +g1046 +tp1144 +a(g709 +V) +p1145 +tp1146 +a(g7 +g1023 +tp1147 +a(g416 +V== +p1148 +tp1149 +a(g7 +g1023 +tp1150 +a(g7 +g1040 +tp1151 +a(g709 +V(+ +p1152 +tp1153 +a(g7 +Vsize of the Kitchen +p1154 +tp1155 +a(g792 +g965 +tp1156 +a(g792 +Vthis should succeed +p1157 +tp1158 +a(g792 +g969 +tp1159 +a(g709 +V+) +p1160 +tp1161 +a(g709 +g1145 +tp1162 +a(g7 +g1023 +tp1163 +a(g709 +V{- +p1164 +tp1165 +a(g842 +Vopen\u2014brace +p1166 +tp1167 +a(g709 +g1046 +tp1168 +a(g7 +V\u000a +p1169 +tp1170 +a(g842 +Vprint +p1171 +tp1172 +a(g7 +g1023 +tp1173 +a(g7 +g1040 +tp1174 +a(g160 +V\u201d +p1175 +tp1176 +a(g160 +VSuccess. +p1177 +tp1178 +a(g216 +V^ +p1179 +tp1180 +a(g160 +V\u201d +p1181 +tp1182 +a(g709 +g1049 +tp1183 +a(g7 +V\u000a +p1184 +tp1185 +a(g709 +V{- +p1186 +tp1187 +a(g842 +Vclose-brace +p1188 +tp1189 +a(g709 +g1046 +tp1190 +a(g7 +g1023 +tp1191 +a(g842 +Velse +p1192 +tp1193 +a(g7 +g1023 +tp1194 +a(g709 +g1042 +tp1195 +a(g7 +V\u000a +p1196 +tp1197 +a(g842 +Vprint +p1198 +tp1199 +a(g7 +g1023 +tp1200 +a(g7 +g1040 +tp1201 +a(g160 +V\u201c +p1202 +tp1203 +a(g160 +VFailure. +p1204 +tp1205 +a(g216 +g1179 +tp1206 +a(g160 +g958 +tp1207 +a(g709 +g1049 +tp1208 +a(g7 +V\u000a +p1209 +tp1210 +a(g709 +g1046 +tp1211 +a(g7 +V\u000a +p1212 +tp1213 +a(g709 +g969 +tp1214 +a(g709 +g1040 +tp1215 +a(g709 +g1049 +tp1216 +a(g7 +g1023 +tp1217 +a(g761 +V! You shouldn't end a routine within a phrase definition, but it works. +p1218 +tp1219 +a(g7 +V\u000a +p1220 +tp1221 +a(g709 +g965 +tp1222 +a(g7 +g1023 +tp1223 +a(g577 +VUnused +p1224 +tp1225 +a(g709 +g1049 +tp1226 +a(g7 +V\u000a +p1227 +tp1228 +a(g709 +V# +p1229 +tp1230 +a(g842 +VInclude +p1231 +tp1232 +a(g7 +g1023 +tp1233 +a(g160 +g958 +tp1234 +a(g216 +V\u005c +p1235 +tp1236 +a(g160 +V\u000a@p +p1237 +tp1238 +a(g216 +V\u005c +p1239 +tp1240 +a(g160 +V\u000a +p1241 +tp1242 +a(g160 +g958 +tp1243 +a(g709 +g1040 +tp1244 +a(g709 +g1049 +tp1245 +a(g7 +g1023 +tp1246 +a(g761 +V! At signs hold no power here. +p1247 +tp1248 +a(g7 +V\u000a +p1249 +tp1250 +a(g761 +V! Of course, the file "@p .h" must exist. +p1251 +tp1252 +a(g7 +V\u000a +p1253 +tp1254 +a(g709 +V-) +p1255 +tp1256 +a(g7 +V. +p1257 +tp1258 +a(g7 +V\u000a\u000a +p1259 +tp1260 +a(g7 +VInclude +p1261 +tp1262 +a(g709 +V(- +p1263 +tp1264 +a(g761 +V!% This is not ICL. +p1265 +tp1266 +a(g7 +V\u000a\u000a +p1267 +tp1268 +a(g709 +g965 +tp1269 +a(g7 +g1023 +tp1270 +a(g577 +VTest +p1271 +tp1272 +a(g7 +g1023 +tp1273 +a(g444 +Vx +p1274 +tp1275 +a(g709 +g1049 +tp1276 +a(g7 +V\u000a +p1277 +tp1278 +a(g842 +Vif +p1279 +tp1280 +a(g7 +g1023 +tp1281 +a(g709 +g1123 +tp1282 +a(g7 +g1040 +tp1283 +a(g439 +g1274 +tp1284 +a(g709 +g1145 +tp1285 +a(g7 +g1023 +tp1286 +a(g709 +g1042 +tp1287 +a(g7 +g1040 +tp1288 +a(g439 +g1274 +tp1289 +a(g416 +V++ +p1290 +tp1291 +a(g709 +g1040 +tp1292 +a(g709 +g1049 +tp1293 +a(g709 +g1046 +tp1294 +a(g7 +V\u000a +p1295 +tp1296 +a(g709 +V{\u2013 +p1297 +tp1298 +a(g761 +V! Single line comment. +p1299 +tp1300 +a(g709 +g1046 +tp1301 +a(g7 +V\u000a +p1302 +tp1303 +a(g842 +V@ +p1304 +tp1305 +a(g842 +Vinc +p1306 +tp1307 +a(g7 +g1023 +tp1308 +a(g7 +g1040 +tp1309 +a(g439 +g1274 +tp1310 +a(g709 +g1049 +tp1311 +a(g7 +V\u000a +p1312 +tp1313 +a(g349 +V@p At signs.\u000a +p1314 +tp1315 +a(g754 +V...\u000a +p1316 +tp1317 +a(g754 +V@Purpose: +p1318 +tp1319 +a(g754 +V ...\u000a...\u000a +p1320 +tp1321 +a(g754 +V@- +p1322 +tp1323 +a(g754 +V...\u000a +p1324 +tp1325 +a(g754 +V@c ...\u000a +p1326 +tp1327 +a(g842 +g1304 +tp1328 +a(g842 +Vinc +p1329 +tp1330 +a(g7 +g1023 +tp1331 +a(g7 +g1040 +tp1332 +a(g439 +g1274 +tp1333 +a(g709 +g1049 +tp1334 +a(g7 +V\u000a +p1335 +tp1336 +a(g754 +V@c\u000a +p1337 +tp1338 +a(g754 +V@c\u000a +p1339 +tp1340 +a(g7 +V +p1341 +tp1342 +a(g842 +Vreturn +p1343 +tp1344 +a(g7 +g1023 +tp1345 +a(g7 +g1040 +tp1346 +a(g439 +g1274 +tp1347 +a(g709 +g1040 +tp1348 +a(g709 +g1049 +tp1349 +a(g7 +V\u000a +p1350 +tp1351 +a(g709 +g969 +tp1352 +a(g709 +g1040 +tp1353 +a(g709 +g1049 +tp1354 +a(g7 +V\u000a +p1355 +tp1356 +a(g754 +V@Purpose: ... +p1357 +tp1358 +a(g7 +V\u000a +p1359 +tp1360 +a(g754 +V@------------------------------------------------------------------------------- +p1361 +tp1362 +a(g7 +V\u000a +p1363 +tp1364 +a(g709 +V-) +p1365 +tp1366 +a(g7 +g1257 +tp1367 +a(g7 +V\u000a +p1368 +tp1369 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.nim b/tests/examplefiles/output/example.nim new file mode 100644 index 0000000..a07e308 --- /dev/null +++ b/tests/examplefiles/output/example.nim @@ -0,0 +1,32929 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVimport +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g428 +Vglib2 +p960 +tp961 +a(g697 +V, +p962 +tp963 +a(g830 +g958 +tp964 +a(g428 +Vgtk2 +p965 +tp966 +a(g697 +g962 +tp967 +a(g830 +g958 +tp968 +a(g428 +Vgdk2 +p969 +tp970 +a(g697 +g962 +tp971 +a(g830 +g958 +tp972 +a(g428 +Vgtksourceview +p973 +tp974 +a(g697 +g962 +tp975 +a(g830 +g958 +tp976 +a(g428 +Vdialogs +p977 +tp978 +a(g697 +g962 +tp979 +a(g830 +g958 +tp980 +a(g428 +Vos +p981 +tp982 +a(g697 +g962 +tp983 +a(g830 +g958 +tp984 +a(g428 +Vpango +p985 +tp986 +a(g697 +g962 +tp987 +a(g830 +g958 +tp988 +a(g428 +Vosproc +p989 +tp990 +a(g697 +g962 +tp991 +a(g830 +g958 +tp992 +a(g428 +Vstrutils +p993 +tp994 +a(g830 +V\u000a +p995 +tp996 +a(g8 +Vimport +p997 +tp998 +a(g830 +g958 +tp999 +a(g428 +Vpegs +p1000 +tp1001 +a(g697 +g962 +tp1002 +a(g830 +g958 +tp1003 +a(g428 +Vstreams +p1004 +tp1005 +a(g830 +V\u000a +p1006 +tp1007 +a(g8 +Vimport +p1008 +tp1009 +a(g830 +g958 +tp1010 +a(g428 +Vsettings +p1011 +tp1012 +a(g697 +g962 +tp1013 +a(g830 +g958 +tp1014 +a(g428 +Vtypes +p1015 +tp1016 +a(g697 +g962 +tp1017 +a(g830 +g958 +tp1018 +a(g428 +Vcfg +p1019 +tp1020 +a(g697 +g962 +tp1021 +a(g830 +g958 +tp1022 +a(g428 +Vsearch +p1023 +tp1024 +a(g830 +V\u000a\u000a +p1025 +tp1026 +a(g697 +V{. +p1027 +tp1028 +a(g428 +Vpush +p1029 +tp1030 +a(g830 +g958 +tp1031 +a(g428 +VcallConv +p1032 +tp1033 +a(g697 +V: +p1034 +tp1035 +a(g428 +Vcdecl +p1036 +tp1037 +a(g697 +V. +p1038 +tp1039 +a(g697 +V} +p1040 +tp1041 +a(g830 +V\u000a\u000a +p1042 +tp1043 +a(g13 +Vconst +p1044 +tp1045 +a(g830 +V\u000a +p1046 +tp1047 +a(g428 +VNimrodProjectExt +p1048 +tp1049 +a(g830 +g958 +tp1050 +a(g405 +V= +p1051 +tp1052 +a(g830 +g958 +tp1053 +a(g81 +V" +p1054 +tp1055 +a(g81 +V.nimprj +p1056 +tp1057 +a(g81 +g1054 +tp1058 +a(g830 +V\u000a\u000a +p1059 +tp1060 +a(g939 +Vvar +p1061 +tp1062 +a(g830 +g958 +tp1063 +a(g428 +Vwin +p1064 +tp1065 +a(g697 +g1034 +tp1066 +a(g830 +g958 +tp1067 +a(g428 +Vtypes +p1068 +tp1069 +a(g697 +g1038 +tp1070 +a(g428 +VMainWin +p1071 +tp1072 +a(g830 +V\u000a +p1073 +tp1074 +a(g428 +Vwin +p1075 +tp1076 +a(g697 +g1038 +tp1077 +a(g428 +VTabs +p1078 +tp1079 +a(g830 +g958 +tp1080 +a(g405 +g1051 +tp1081 +a(g830 +g958 +tp1082 +a(g405 +V@ +p1083 +tp1084 +a(g405 +V[ +p1085 +tp1086 +a(g405 +V] +p1087 +tp1088 +a(g830 +V\u000a\u000a +p1089 +tp1090 +a(g428 +Vsearch +p1091 +tp1092 +a(g697 +g1038 +tp1093 +a(g428 +Vwin +p1094 +tp1095 +a(g830 +g958 +tp1096 +a(g405 +g1051 +tp1097 +a(g830 +g958 +tp1098 +a(g13 +Vaddr +p1099 +tp1100 +a(g697 +V( +p1101 +tp1102 +a(g428 +Vwin +p1103 +tp1104 +a(g697 +V) +p1105 +tp1106 +a(g830 +V\u000a\u000a +p1107 +tp1108 +a(g939 +Vvar +p1109 +tp1110 +a(g830 +g958 +tp1111 +a(g428 +VlastSession +p1112 +tp1113 +a(g697 +g1034 +tp1114 +a(g830 +g958 +tp1115 +a(g885 +Vseq +p1116 +tp1117 +a(g405 +g1085 +tp1118 +a(g885 +Vstring +p1119 +tp1120 +a(g405 +g1087 +tp1121 +a(g830 +g958 +tp1122 +a(g405 +g1051 +tp1123 +a(g830 +g958 +tp1124 +a(g405 +g1083 +tp1125 +a(g405 +g1085 +tp1126 +a(g405 +g1087 +tp1127 +a(g830 +V\u000a\u000a +p1128 +tp1129 +a(g939 +Vvar +p1130 +tp1131 +a(g830 +g958 +tp1132 +a(g428 +VconfParseFail +p1133 +tp1134 +a(g830 +g958 +tp1135 +a(g405 +g1051 +tp1136 +a(g830 +g958 +tp1137 +a(g915 +VFalse +p1138 +tp1139 +a(g830 +g958 +tp1140 +a(g713 +V# This gets set to true +p1141 +tp1142 +a(g830 +V\u000a +p1143 +tp1144 +a(g713 +V# When there is an error parsing the config +p1145 +tp1146 +a(g830 +V\u000a\u000a +p1147 +tp1148 +a(g713 +V# Load the settings +p1149 +tp1150 +a(g830 +V\u000a +p1151 +tp1152 +a(g13 +Vtry +p1153 +tp1154 +a(g697 +g1034 +tp1155 +a(g830 +V\u000a +p1156 +tp1157 +a(g428 +Vwin +p1158 +tp1159 +a(g697 +g1038 +tp1160 +a(g428 +Vsettings +p1161 +tp1162 +a(g830 +g958 +tp1163 +a(g405 +g1051 +tp1164 +a(g830 +g958 +tp1165 +a(g428 +Vcfg +p1166 +tp1167 +a(g697 +g1038 +tp1168 +a(g428 +Vload +p1169 +tp1170 +a(g697 +g1101 +tp1171 +a(g428 +VlastSession +p1172 +tp1173 +a(g697 +g1105 +tp1174 +a(g830 +V\u000a +p1175 +tp1176 +a(g13 +Vexcept +p1177 +tp1178 +a(g830 +g958 +tp1179 +a(g428 +VECFGParse +p1180 +tp1181 +a(g697 +g1034 +tp1182 +a(g830 +V\u000a +p1183 +tp1184 +a(g713 +V# TODO: Make the dialog show the exception +p1185 +tp1186 +a(g830 +V\u000a +p1187 +tp1188 +a(g428 +VconfParseFail +p1189 +tp1190 +a(g830 +g958 +tp1191 +a(g405 +g1051 +tp1192 +a(g830 +g958 +tp1193 +a(g915 +VTrue +p1194 +tp1195 +a(g830 +V\u000a +p1196 +tp1197 +a(g428 +Vwin +p1198 +tp1199 +a(g697 +g1038 +tp1200 +a(g428 +Vsettings +p1201 +tp1202 +a(g830 +g958 +tp1203 +a(g405 +g1051 +tp1204 +a(g830 +g958 +tp1205 +a(g428 +Vcfg +p1206 +tp1207 +a(g697 +g1038 +tp1208 +a(g428 +VdefaultSettings +p1209 +tp1210 +a(g697 +g1101 +tp1211 +a(g697 +g1105 +tp1212 +a(g830 +V\u000a +p1213 +tp1214 +a(g13 +Vexcept +p1215 +tp1216 +a(g830 +g958 +tp1217 +a(g428 +VEIO +p1218 +tp1219 +a(g697 +g1034 +tp1220 +a(g830 +V\u000a +p1221 +tp1222 +a(g428 +Vwin +p1223 +tp1224 +a(g697 +g1038 +tp1225 +a(g428 +Vsettings +p1226 +tp1227 +a(g830 +g958 +tp1228 +a(g405 +g1051 +tp1229 +a(g830 +g958 +tp1230 +a(g428 +Vcfg +p1231 +tp1232 +a(g697 +g1038 +tp1233 +a(g428 +VdefaultSettings +p1234 +tp1235 +a(g697 +g1101 +tp1236 +a(g697 +g1105 +tp1237 +a(g830 +V\u000a\u000a +p1238 +tp1239 +a(g13 +Vproc +p1240 +tp1241 +a(g566 +VgetProjectTab +p1242 +tp1243 +a(g697 +g1101 +tp1244 +a(g697 +g1105 +tp1245 +a(g697 +g1034 +tp1246 +a(g830 +g958 +tp1247 +a(g885 +Vint +p1248 +tp1249 +a(g830 +g958 +tp1250 +a(g405 +g1051 +tp1251 +a(g830 +V \u000a +p1252 +tp1253 +a(g13 +Vfor +p1254 +tp1255 +a(g830 +g958 +tp1256 +a(g428 +Vi +p1257 +tp1258 +a(g830 +g958 +tp1259 +a(g419 +Vin +p1260 +tp1261 +a(g830 +g958 +tp1262 +a(g307 +V0 +p1263 +tp1264 +a(g697 +V.. +p1265 +tp1266 +a(g428 +Vhigh +p1267 +tp1268 +a(g697 +g1101 +tp1269 +a(g428 +Vwin +p1270 +tp1271 +a(g697 +g1038 +tp1272 +a(g428 +Vtabs +p1273 +tp1274 +a(g697 +g1105 +tp1275 +a(g697 +g1034 +tp1276 +a(g830 +V \u000a +p1277 +tp1278 +a(g13 +Vif +p1279 +tp1280 +a(g830 +g958 +tp1281 +a(g428 +Vwin +p1282 +tp1283 +a(g697 +g1038 +tp1284 +a(g428 +Vtabs +p1285 +tp1286 +a(g405 +g1085 +tp1287 +a(g428 +g1257 +tp1288 +a(g405 +g1087 +tp1289 +a(g697 +g1038 +tp1290 +a(g428 +Vfilename +p1291 +tp1292 +a(g697 +g1038 +tp1293 +a(g428 +Vendswith +p1294 +tp1295 +a(g697 +g1101 +tp1296 +a(g428 +VNimrodProjectExt +p1297 +tp1298 +a(g697 +g1105 +tp1299 +a(g697 +g1034 +tp1300 +a(g830 +g958 +tp1301 +a(g13 +Vreturn +p1302 +tp1303 +a(g830 +g958 +tp1304 +a(g428 +g1257 +tp1305 +a(g830 +V\u000a\u000a +p1306 +tp1307 +a(g13 +Vproc +p1308 +tp1309 +a(g566 +VsaveTab +p1310 +tp1311 +a(g697 +g1101 +tp1312 +a(g428 +VtabNr +p1313 +tp1314 +a(g697 +g1034 +tp1315 +a(g830 +g958 +tp1316 +a(g885 +Vint +p1317 +tp1318 +a(g697 +g962 +tp1319 +a(g830 +g958 +tp1320 +a(g428 +Vstartpath +p1321 +tp1322 +a(g697 +g1034 +tp1323 +a(g830 +g958 +tp1324 +a(g885 +Vstring +p1325 +tp1326 +a(g697 +g1105 +tp1327 +a(g830 +g958 +tp1328 +a(g405 +g1051 +tp1329 +a(g830 +V\u000a +p1330 +tp1331 +a(g13 +Vif +p1332 +tp1333 +a(g830 +g958 +tp1334 +a(g428 +VtabNr +p1335 +tp1336 +a(g830 +g958 +tp1337 +a(g405 +V< +p1338 +tp1339 +a(g830 +g958 +tp1340 +a(g27 +g1263 +tp1341 +a(g697 +g1034 +tp1342 +a(g830 +g958 +tp1343 +a(g13 +Vreturn +p1344 +tp1345 +a(g830 +V\u000a +p1346 +tp1347 +a(g13 +Vif +p1348 +tp1349 +a(g830 +g958 +tp1350 +a(g428 +Vwin +p1351 +tp1352 +a(g697 +g1038 +tp1353 +a(g428 +VTabs +p1354 +tp1355 +a(g405 +g1085 +tp1356 +a(g428 +VtabNr +p1357 +tp1358 +a(g405 +g1087 +tp1359 +a(g697 +g1038 +tp1360 +a(g428 +Vsaved +p1361 +tp1362 +a(g697 +g1034 +tp1363 +a(g830 +g958 +tp1364 +a(g13 +Vreturn +p1365 +tp1366 +a(g830 +V\u000a +p1367 +tp1368 +a(g939 +Vvar +p1369 +tp1370 +a(g830 +g958 +tp1371 +a(g428 +Vpath +p1372 +tp1373 +a(g830 +g958 +tp1374 +a(g405 +g1051 +tp1375 +a(g830 +g958 +tp1376 +a(g81 +g1054 +tp1377 +a(g81 +g1054 +tp1378 +a(g830 +V\u000a +p1379 +tp1380 +a(g13 +Vif +p1381 +tp1382 +a(g830 +g958 +tp1383 +a(g428 +Vwin +p1384 +tp1385 +a(g697 +g1038 +tp1386 +a(g428 +VTabs +p1387 +tp1388 +a(g405 +g1085 +tp1389 +a(g428 +VtabNr +p1390 +tp1391 +a(g405 +g1087 +tp1392 +a(g697 +g1038 +tp1393 +a(g428 +Vfilename +p1394 +tp1395 +a(g830 +g958 +tp1396 +a(g405 +g1051 +tp1397 +a(g405 +g1051 +tp1398 +a(g830 +g958 +tp1399 +a(g81 +g1054 +tp1400 +a(g81 +g1054 +tp1401 +a(g697 +g1034 +tp1402 +a(g830 +V\u000a +p1403 +tp1404 +a(g428 +Vpath +p1405 +tp1406 +a(g830 +g958 +tp1407 +a(g405 +g1051 +tp1408 +a(g830 +g958 +tp1409 +a(g428 +VChooseFileToSave +p1410 +tp1411 +a(g697 +g1101 +tp1412 +a(g428 +Vwin +p1413 +tp1414 +a(g697 +g1038 +tp1415 +a(g428 +Vw +p1416 +tp1417 +a(g697 +g962 +tp1418 +a(g830 +g958 +tp1419 +a(g428 +Vstartpath +p1420 +tp1421 +a(g697 +g1105 +tp1422 +a(g830 +V \u000a +p1423 +tp1424 +a(g713 +V# dialogs.nim STOCK_OPEN instead of STOCK_SAVE +p1425 +tp1426 +a(g830 +V\u000a +p1427 +tp1428 +a(g13 +Velse +p1429 +tp1430 +a(g697 +g1034 +tp1431 +a(g830 +V \u000a +p1432 +tp1433 +a(g428 +Vpath +p1434 +tp1435 +a(g830 +g958 +tp1436 +a(g405 +g1051 +tp1437 +a(g830 +g958 +tp1438 +a(g428 +Vwin +p1439 +tp1440 +a(g697 +g1038 +tp1441 +a(g428 +VTabs +p1442 +tp1443 +a(g405 +g1085 +tp1444 +a(g428 +VtabNr +p1445 +tp1446 +a(g405 +g1087 +tp1447 +a(g697 +g1038 +tp1448 +a(g428 +Vfilename +p1449 +tp1450 +a(g830 +V\u000a \u000a +p1451 +tp1452 +a(g13 +Vif +p1453 +tp1454 +a(g830 +g958 +tp1455 +a(g428 +Vpath +p1456 +tp1457 +a(g830 +g958 +tp1458 +a(g405 +V! +p1459 +tp1460 +a(g405 +g1051 +tp1461 +a(g830 +g958 +tp1462 +a(g81 +g1054 +tp1463 +a(g81 +g1054 +tp1464 +a(g697 +g1034 +tp1465 +a(g830 +V\u000a +p1466 +tp1467 +a(g939 +Vvar +p1468 +tp1469 +a(g830 +g958 +tp1470 +a(g428 +Vbuffer +p1471 +tp1472 +a(g830 +g958 +tp1473 +a(g405 +g1051 +tp1474 +a(g830 +g958 +tp1475 +a(g428 +VPTextBuffer +p1476 +tp1477 +a(g697 +g1101 +tp1478 +a(g428 +Vwin +p1479 +tp1480 +a(g697 +g1038 +tp1481 +a(g428 +VTabs +p1482 +tp1483 +a(g405 +g1085 +tp1484 +a(g428 +VtabNr +p1485 +tp1486 +a(g405 +g1087 +tp1487 +a(g697 +g1038 +tp1488 +a(g428 +Vbuffer +p1489 +tp1490 +a(g697 +g1105 +tp1491 +a(g830 +V\u000a +p1492 +tp1493 +a(g713 +V# Get the text from the TextView +p1494 +tp1495 +a(g830 +V\u000a +p1496 +tp1497 +a(g939 +Vvar +p1498 +tp1499 +a(g830 +g958 +tp1500 +a(g428 +VstartIter +p1501 +tp1502 +a(g697 +g1034 +tp1503 +a(g830 +g958 +tp1504 +a(g428 +VTTextIter +p1505 +tp1506 +a(g830 +V\u000a +p1507 +tp1508 +a(g428 +Vbuffer +p1509 +tp1510 +a(g697 +g1038 +tp1511 +a(g428 +VgetStartIter +p1512 +tp1513 +a(g697 +g1101 +tp1514 +a(g13 +Vaddr +p1515 +tp1516 +a(g697 +g1101 +tp1517 +a(g428 +VstartIter +p1518 +tp1519 +a(g697 +g1105 +tp1520 +a(g697 +g1105 +tp1521 +a(g830 +V\u000a \u000a +p1522 +tp1523 +a(g939 +Vvar +p1524 +tp1525 +a(g830 +g958 +tp1526 +a(g428 +VendIter +p1527 +tp1528 +a(g697 +g1034 +tp1529 +a(g830 +g958 +tp1530 +a(g428 +VTTextIter +p1531 +tp1532 +a(g830 +V\u000a +p1533 +tp1534 +a(g428 +Vbuffer +p1535 +tp1536 +a(g697 +g1038 +tp1537 +a(g428 +VgetEndIter +p1538 +tp1539 +a(g697 +g1101 +tp1540 +a(g13 +Vaddr +p1541 +tp1542 +a(g697 +g1101 +tp1543 +a(g428 +VendIter +p1544 +tp1545 +a(g697 +g1105 +tp1546 +a(g697 +g1105 +tp1547 +a(g830 +V\u000a \u000a +p1548 +tp1549 +a(g939 +Vvar +p1550 +tp1551 +a(g830 +g958 +tp1552 +a(g428 +Vtext +p1553 +tp1554 +a(g830 +g958 +tp1555 +a(g405 +g1051 +tp1556 +a(g830 +g958 +tp1557 +a(g428 +Vbuffer +p1558 +tp1559 +a(g697 +g1038 +tp1560 +a(g428 +VgetText +p1561 +tp1562 +a(g697 +g1101 +tp1563 +a(g13 +Vaddr +p1564 +tp1565 +a(g697 +g1101 +tp1566 +a(g428 +VstartIter +p1567 +tp1568 +a(g697 +g1105 +tp1569 +a(g697 +g962 +tp1570 +a(g830 +g958 +tp1571 +a(g13 +Vaddr +p1572 +tp1573 +a(g697 +g1101 +tp1574 +a(g428 +VendIter +p1575 +tp1576 +a(g697 +g1105 +tp1577 +a(g697 +g962 +tp1578 +a(g830 +g958 +tp1579 +a(g915 +VFalse +p1580 +tp1581 +a(g697 +g1105 +tp1582 +a(g830 +V\u000a +p1583 +tp1584 +a(g713 +V# Save it to a file +p1585 +tp1586 +a(g830 +V\u000a +p1587 +tp1588 +a(g939 +Vvar +p1589 +tp1590 +a(g830 +g958 +tp1591 +a(g428 +Vf +p1592 +tp1593 +a(g697 +g1034 +tp1594 +a(g830 +g958 +tp1595 +a(g428 +VTFile +p1596 +tp1597 +a(g830 +V\u000a +p1598 +tp1599 +a(g13 +Vif +p1600 +tp1601 +a(g830 +g958 +tp1602 +a(g428 +Vopen +p1603 +tp1604 +a(g697 +g1101 +tp1605 +a(g428 +g1592 +tp1606 +a(g697 +g962 +tp1607 +a(g830 +g958 +tp1608 +a(g428 +Vpath +p1609 +tp1610 +a(g697 +g962 +tp1611 +a(g830 +g958 +tp1612 +a(g428 +VfmWrite +p1613 +tp1614 +a(g697 +g1105 +tp1615 +a(g697 +g1034 +tp1616 +a(g830 +V\u000a +p1617 +tp1618 +a(g428 +g1592 +tp1619 +a(g697 +g1038 +tp1620 +a(g428 +Vwrite +p1621 +tp1622 +a(g697 +g1101 +tp1623 +a(g428 +Vtext +p1624 +tp1625 +a(g697 +g1105 +tp1626 +a(g830 +V\u000a +p1627 +tp1628 +a(g428 +g1592 +tp1629 +a(g697 +g1038 +tp1630 +a(g428 +Vclose +p1631 +tp1632 +a(g697 +g1101 +tp1633 +a(g697 +g1105 +tp1634 +a(g830 +V\u000a \u000a +p1635 +tp1636 +a(g428 +Vwin +p1637 +tp1638 +a(g697 +g1038 +tp1639 +a(g428 +VtempStuff +p1640 +tp1641 +a(g697 +g1038 +tp1642 +a(g428 +VlastSaveDir +p1643 +tp1644 +a(g830 +g958 +tp1645 +a(g405 +g1051 +tp1646 +a(g830 +g958 +tp1647 +a(g428 +VsplitFile +p1648 +tp1649 +a(g697 +g1101 +tp1650 +a(g428 +Vpath +p1651 +tp1652 +a(g697 +g1105 +tp1653 +a(g697 +g1038 +tp1654 +a(g428 +Vdir +p1655 +tp1656 +a(g830 +V\u000a \u000a +p1657 +tp1658 +a(g713 +V# Change the tab name and .Tabs.filename etc. +p1659 +tp1660 +a(g830 +V\u000a +p1661 +tp1662 +a(g428 +Vwin +p1663 +tp1664 +a(g697 +g1038 +tp1665 +a(g428 +VTabs +p1666 +tp1667 +a(g405 +g1085 +tp1668 +a(g428 +VtabNr +p1669 +tp1670 +a(g405 +g1087 +tp1671 +a(g697 +g1038 +tp1672 +a(g428 +Vfilename +p1673 +tp1674 +a(g830 +g958 +tp1675 +a(g405 +g1051 +tp1676 +a(g830 +g958 +tp1677 +a(g428 +Vpath +p1678 +tp1679 +a(g830 +V\u000a +p1680 +tp1681 +a(g428 +Vwin +p1682 +tp1683 +a(g697 +g1038 +tp1684 +a(g428 +VTabs +p1685 +tp1686 +a(g405 +g1085 +tp1687 +a(g428 +VtabNr +p1688 +tp1689 +a(g405 +g1087 +tp1690 +a(g697 +g1038 +tp1691 +a(g428 +Vsaved +p1692 +tp1693 +a(g830 +g958 +tp1694 +a(g405 +g1051 +tp1695 +a(g830 +g958 +tp1696 +a(g915 +VTrue +p1697 +tp1698 +a(g830 +V\u000a +p1699 +tp1700 +a(g939 +Vvar +p1701 +tp1702 +a(g830 +g958 +tp1703 +a(g428 +Vname +p1704 +tp1705 +a(g830 +g958 +tp1706 +a(g405 +g1051 +tp1707 +a(g830 +g958 +tp1708 +a(g428 +VextractFilename +p1709 +tp1710 +a(g697 +g1101 +tp1711 +a(g428 +Vpath +p1712 +tp1713 +a(g697 +g1105 +tp1714 +a(g830 +V\u000a \u000a +p1715 +tp1716 +a(g939 +Vvar +p1717 +tp1718 +a(g830 +g958 +tp1719 +a(g428 +VcTab +p1720 +tp1721 +a(g830 +g958 +tp1722 +a(g405 +g1051 +tp1723 +a(g830 +g958 +tp1724 +a(g428 +Vwin +p1725 +tp1726 +a(g697 +g1038 +tp1727 +a(g428 +VTabs +p1728 +tp1729 +a(g405 +g1085 +tp1730 +a(g428 +VtabNr +p1731 +tp1732 +a(g405 +g1087 +tp1733 +a(g830 +V\u000a +p1734 +tp1735 +a(g428 +VcTab +p1736 +tp1737 +a(g697 +g1038 +tp1738 +a(g428 +Vlabel +p1739 +tp1740 +a(g697 +g1038 +tp1741 +a(g428 +VsetText +p1742 +tp1743 +a(g697 +g1101 +tp1744 +a(g428 +Vname +p1745 +tp1746 +a(g697 +g1105 +tp1747 +a(g830 +V\u000a +p1748 +tp1749 +a(g13 +Velse +p1750 +tp1751 +a(g697 +g1034 +tp1752 +a(g830 +V\u000a +p1753 +tp1754 +a(g428 +Verror +p1755 +tp1756 +a(g697 +g1101 +tp1757 +a(g428 +Vwin +p1758 +tp1759 +a(g697 +g1038 +tp1760 +a(g428 +g1416 +tp1761 +a(g697 +g962 +tp1762 +a(g830 +g958 +tp1763 +a(g81 +g1054 +tp1764 +a(g81 +VUnable to write to file +p1765 +tp1766 +a(g81 +g1054 +tp1767 +a(g697 +g1105 +tp1768 +a(g830 +V \u000a\u000a +p1769 +tp1770 +a(g13 +Vproc +p1771 +tp1772 +a(g566 +VsaveAllTabs +p1773 +tp1774 +a(g697 +g1101 +tp1775 +a(g697 +g1105 +tp1776 +a(g830 +g958 +tp1777 +a(g405 +g1051 +tp1778 +a(g830 +V\u000a +p1779 +tp1780 +a(g13 +Vfor +p1781 +tp1782 +a(g830 +g958 +tp1783 +a(g428 +g1257 +tp1784 +a(g830 +g958 +tp1785 +a(g419 +Vin +p1786 +tp1787 +a(g830 +g958 +tp1788 +a(g307 +g1263 +tp1789 +a(g697 +V.. +p1790 +tp1791 +a(g428 +Vhigh +p1792 +tp1793 +a(g697 +g1101 +tp1794 +a(g428 +Vwin +p1795 +tp1796 +a(g697 +g1038 +tp1797 +a(g428 +Vtabs +p1798 +tp1799 +a(g697 +g1105 +tp1800 +a(g697 +g1034 +tp1801 +a(g830 +V \u000a +p1802 +tp1803 +a(g428 +VsaveTab +p1804 +tp1805 +a(g697 +g1101 +tp1806 +a(g428 +g1257 +tp1807 +a(g697 +g962 +tp1808 +a(g830 +g958 +tp1809 +a(g428 +Vos +p1810 +tp1811 +a(g697 +g1038 +tp1812 +a(g428 +VsplitFile +p1813 +tp1814 +a(g697 +g1101 +tp1815 +a(g428 +Vwin +p1816 +tp1817 +a(g697 +g1038 +tp1818 +a(g428 +Vtabs +p1819 +tp1820 +a(g405 +g1085 +tp1821 +a(g428 +g1257 +tp1822 +a(g405 +g1087 +tp1823 +a(g697 +g1038 +tp1824 +a(g428 +Vfilename +p1825 +tp1826 +a(g697 +g1105 +tp1827 +a(g697 +g1038 +tp1828 +a(g428 +Vdir +p1829 +tp1830 +a(g697 +g1105 +tp1831 +a(g830 +V\u000a\u000a +p1832 +tp1833 +a(g713 +V# GTK Events +p1834 +tp1835 +a(g830 +V\u000a +p1836 +tp1837 +a(g713 +V# -- w(PWindow) +p1838 +tp1839 +a(g830 +V\u000a +p1840 +tp1841 +a(g13 +Vproc +p1842 +tp1843 +a(g566 +Vdestroy +p1844 +tp1845 +a(g697 +g1101 +tp1846 +a(g428 +Vwidget +p1847 +tp1848 +a(g697 +g1034 +tp1849 +a(g830 +g958 +tp1850 +a(g428 +VPWidget +p1851 +tp1852 +a(g697 +g962 +tp1853 +a(g830 +g958 +tp1854 +a(g428 +Vdata +p1855 +tp1856 +a(g697 +g1034 +tp1857 +a(g830 +g958 +tp1858 +a(g428 +Vpgpointer +p1859 +tp1860 +a(g697 +g1105 +tp1861 +a(g830 +g958 +tp1862 +a(g697 +V{. +p1863 +tp1864 +a(g428 +Vcdecl +p1865 +tp1866 +a(g697 +g1038 +tp1867 +a(g697 +g1040 +tp1868 +a(g830 +g958 +tp1869 +a(g405 +g1051 +tp1870 +a(g830 +V\u000a +p1871 +tp1872 +a(g713 +V# gather some settings +p1873 +tp1874 +a(g830 +V\u000a +p1875 +tp1876 +a(g428 +Vwin +p1877 +tp1878 +a(g697 +g1038 +tp1879 +a(g428 +Vsettings +p1880 +tp1881 +a(g697 +g1038 +tp1882 +a(g428 +VVPanedPos +p1883 +tp1884 +a(g830 +g958 +tp1885 +a(g405 +g1051 +tp1886 +a(g830 +g958 +tp1887 +a(g428 +VPPaned +p1888 +tp1889 +a(g697 +g1101 +tp1890 +a(g428 +Vwin +p1891 +tp1892 +a(g697 +g1038 +tp1893 +a(g428 +VsourceViewTabs +p1894 +tp1895 +a(g697 +g1038 +tp1896 +a(g428 +VgetParent +p1897 +tp1898 +a(g697 +g1101 +tp1899 +a(g697 +g1105 +tp1900 +a(g697 +g1105 +tp1901 +a(g697 +g1038 +tp1902 +a(g428 +VgetPosition +p1903 +tp1904 +a(g697 +g1101 +tp1905 +a(g697 +g1105 +tp1906 +a(g830 +V\u000a +p1907 +tp1908 +a(g428 +Vwin +p1909 +tp1910 +a(g697 +g1038 +tp1911 +a(g428 +Vsettings +p1912 +tp1913 +a(g697 +g1038 +tp1914 +a(g428 +VwinWidth +p1915 +tp1916 +a(g830 +g958 +tp1917 +a(g405 +g1051 +tp1918 +a(g830 +g958 +tp1919 +a(g428 +Vwin +p1920 +tp1921 +a(g697 +g1038 +tp1922 +a(g428 +g1416 +tp1923 +a(g697 +g1038 +tp1924 +a(g428 +Vallocation +p1925 +tp1926 +a(g697 +g1038 +tp1927 +a(g428 +Vwidth +p1928 +tp1929 +a(g830 +V\u000a +p1930 +tp1931 +a(g428 +Vwin +p1932 +tp1933 +a(g697 +g1038 +tp1934 +a(g428 +Vsettings +p1935 +tp1936 +a(g697 +g1038 +tp1937 +a(g428 +VwinHeight +p1938 +tp1939 +a(g830 +g958 +tp1940 +a(g405 +g1051 +tp1941 +a(g830 +g958 +tp1942 +a(g428 +Vwin +p1943 +tp1944 +a(g697 +g1038 +tp1945 +a(g428 +g1416 +tp1946 +a(g697 +g1038 +tp1947 +a(g428 +Vallocation +p1948 +tp1949 +a(g697 +g1038 +tp1950 +a(g428 +Vheight +p1951 +tp1952 +a(g830 +V\u000a\u000a +p1953 +tp1954 +a(g713 +V# save the settings +p1955 +tp1956 +a(g830 +V\u000a +p1957 +tp1958 +a(g428 +Vwin +p1959 +tp1960 +a(g697 +g1038 +tp1961 +a(g428 +Vsave +p1962 +tp1963 +a(g697 +g1101 +tp1964 +a(g697 +g1105 +tp1965 +a(g830 +V\u000a +p1966 +tp1967 +a(g713 +V# then quit +p1968 +tp1969 +a(g830 +V\u000a +p1970 +tp1971 +a(g428 +Vmain_quit +p1972 +tp1973 +a(g697 +g1101 +tp1974 +a(g697 +g1105 +tp1975 +a(g830 +V\u000a\u000a +p1976 +tp1977 +a(g13 +Vproc +p1978 +tp1979 +a(g566 +Vdelete_event +p1980 +tp1981 +a(g697 +g1101 +tp1982 +a(g428 +Vwidget +p1983 +tp1984 +a(g697 +g1034 +tp1985 +a(g830 +g958 +tp1986 +a(g428 +VPWidget +p1987 +tp1988 +a(g697 +g962 +tp1989 +a(g830 +g958 +tp1990 +a(g428 +Vevent +p1991 +tp1992 +a(g697 +g1034 +tp1993 +a(g830 +g958 +tp1994 +a(g428 +VPEvent +p1995 +tp1996 +a(g697 +g962 +tp1997 +a(g830 +g958 +tp1998 +a(g428 +Vuser_data +p1999 +tp2000 +a(g697 +g1034 +tp2001 +a(g830 +g958 +tp2002 +a(g428 +Vpgpointer +p2003 +tp2004 +a(g697 +g1105 +tp2005 +a(g697 +g1034 +tp2006 +a(g830 +g958 +tp2007 +a(g885 +Vbool +p2008 +tp2009 +a(g830 +g958 +tp2010 +a(g405 +g1051 +tp2011 +a(g830 +V\u000a +p2012 +tp2013 +a(g939 +Vvar +p2014 +tp2015 +a(g830 +g958 +tp2016 +a(g428 +Vquit +p2017 +tp2018 +a(g830 +g958 +tp2019 +a(g405 +g1051 +tp2020 +a(g830 +g958 +tp2021 +a(g915 +VTrue +p2022 +tp2023 +a(g830 +V\u000a +p2024 +tp2025 +a(g13 +Vfor +p2026 +tp2027 +a(g830 +g958 +tp2028 +a(g428 +g1257 +tp2029 +a(g830 +g958 +tp2030 +a(g419 +Vin +p2031 +tp2032 +a(g830 +g958 +tp2033 +a(g428 +Vlow +p2034 +tp2035 +a(g697 +g1101 +tp2036 +a(g428 +Vwin +p2037 +tp2038 +a(g697 +g1038 +tp2039 +a(g428 +VTabs +p2040 +tp2041 +a(g697 +g1105 +tp2042 +a(g697 +V.. +p2043 +tp2044 +a(g428 +Vlen +p2045 +tp2046 +a(g697 +g1101 +tp2047 +a(g428 +Vwin +p2048 +tp2049 +a(g697 +g1038 +tp2050 +a(g428 +VTabs +p2051 +tp2052 +a(g697 +g1105 +tp2053 +a(g405 +V- +p2054 +tp2055 +a(g27 +V1 +p2056 +tp2057 +a(g697 +g1034 +tp2058 +a(g830 +V\u000a +p2059 +tp2060 +a(g13 +Vif +p2061 +tp2062 +a(g830 +g958 +tp2063 +a(g419 +Vnot +p2064 +tp2065 +a(g830 +g958 +tp2066 +a(g428 +Vwin +p2067 +tp2068 +a(g697 +g1038 +tp2069 +a(g428 +VTabs +p2070 +tp2071 +a(g405 +g1085 +tp2072 +a(g428 +g1257 +tp2073 +a(g405 +g1087 +tp2074 +a(g697 +g1038 +tp2075 +a(g428 +Vsaved +p2076 +tp2077 +a(g697 +g1034 +tp2078 +a(g830 +V\u000a +p2079 +tp2080 +a(g939 +Vvar +p2081 +tp2082 +a(g830 +g958 +tp2083 +a(g428 +VaskSave +p2084 +tp2085 +a(g830 +g958 +tp2086 +a(g405 +g1051 +tp2087 +a(g830 +g958 +tp2088 +a(g428 +VdialogNewWithButtons +p2089 +tp2090 +a(g697 +g1101 +tp2091 +a(g81 +g1054 +tp2092 +a(g81 +g1054 +tp2093 +a(g697 +g962 +tp2094 +a(g830 +g958 +tp2095 +a(g428 +Vwin +p2096 +tp2097 +a(g697 +g1038 +tp2098 +a(g428 +g1416 +tp2099 +a(g697 +g962 +tp2100 +a(g830 +g958 +tp2101 +a(g27 +g1263 +tp2102 +a(g697 +g962 +tp2103 +a(g830 +V\u000a +p2104 +tp2105 +a(g428 +VSTOCK_SAVE +p2106 +tp2107 +a(g697 +g962 +tp2108 +a(g830 +g958 +tp2109 +a(g428 +VRESPONSE_ACCEPT +p2110 +tp2111 +a(g697 +g962 +tp2112 +a(g830 +g958 +tp2113 +a(g428 +VSTOCK_CANCEL +p2114 +tp2115 +a(g697 +g962 +tp2116 +a(g830 +V \u000a +p2117 +tp2118 +a(g428 +VRESPONSE_CANCEL +p2119 +tp2120 +a(g697 +g962 +tp2121 +a(g830 +V\u000a +p2122 +tp2123 +a(g81 +g1054 +tp2124 +a(g81 +VClose without saving +p2125 +tp2126 +a(g81 +g1054 +tp2127 +a(g697 +g962 +tp2128 +a(g830 +g958 +tp2129 +a(g428 +VRESPONSE_REJECT +p2130 +tp2131 +a(g697 +g962 +tp2132 +a(g830 +g958 +tp2133 +a(g915 +Vnil +p2134 +tp2135 +a(g697 +g1105 +tp2136 +a(g830 +V\u000a +p2137 +tp2138 +a(g428 +VaskSave +p2139 +tp2140 +a(g697 +g1038 +tp2141 +a(g428 +VsetTransientFor +p2142 +tp2143 +a(g697 +g1101 +tp2144 +a(g428 +Vwin +p2145 +tp2146 +a(g697 +g1038 +tp2147 +a(g428 +g1416 +tp2148 +a(g697 +g1105 +tp2149 +a(g830 +V\u000a +p2150 +tp2151 +a(g713 +V# TODO: Make this dialog look better +p2152 +tp2153 +a(g830 +V\u000a +p2154 +tp2155 +a(g939 +Vvar +p2156 +tp2157 +a(g830 +g958 +tp2158 +a(g428 +Vlabel +p2159 +tp2160 +a(g830 +g958 +tp2161 +a(g405 +g1051 +tp2162 +a(g830 +g958 +tp2163 +a(g428 +VlabelNew +p2164 +tp2165 +a(g697 +g1101 +tp2166 +a(g428 +Vwin +p2167 +tp2168 +a(g697 +g1038 +tp2169 +a(g428 +VTabs +p2170 +tp2171 +a(g405 +g1085 +tp2172 +a(g428 +g1257 +tp2173 +a(g405 +g1087 +tp2174 +a(g697 +g1038 +tp2175 +a(g428 +Vfilename +p2176 +tp2177 +a(g830 +g958 +tp2178 +a(g405 +V& +p2179 +tp2180 +a(g830 +V \u000a +p2181 +tp2182 +a(g81 +g1054 +tp2183 +a(g81 +V is unsaved, would you like to save it ? +p2184 +tp2185 +a(g81 +g1054 +tp2186 +a(g697 +g1105 +tp2187 +a(g830 +V\u000a +p2188 +tp2189 +a(g428 +VPBox +p2190 +tp2191 +a(g697 +g1101 +tp2192 +a(g428 +VaskSave +p2193 +tp2194 +a(g697 +g1038 +tp2195 +a(g428 +Vvbox +p2196 +tp2197 +a(g697 +g1105 +tp2198 +a(g697 +g1038 +tp2199 +a(g428 +Vpack_start +p2200 +tp2201 +a(g697 +g1101 +tp2202 +a(g428 +Vlabel +p2203 +tp2204 +a(g697 +g962 +tp2205 +a(g830 +g958 +tp2206 +a(g915 +VFalse +p2207 +tp2208 +a(g697 +g962 +tp2209 +a(g830 +g958 +tp2210 +a(g915 +VFalse +p2211 +tp2212 +a(g697 +g962 +tp2213 +a(g830 +g958 +tp2214 +a(g27 +g1263 +tp2215 +a(g697 +g1105 +tp2216 +a(g830 +V\u000a +p2217 +tp2218 +a(g428 +Vlabel +p2219 +tp2220 +a(g697 +g1038 +tp2221 +a(g428 +Vshow +p2222 +tp2223 +a(g697 +g1101 +tp2224 +a(g697 +g1105 +tp2225 +a(g830 +V\u000a\u000a +p2226 +tp2227 +a(g939 +Vvar +p2228 +tp2229 +a(g830 +g958 +tp2230 +a(g428 +Vresp +p2231 +tp2232 +a(g830 +g958 +tp2233 +a(g405 +g1051 +tp2234 +a(g830 +g958 +tp2235 +a(g428 +VaskSave +p2236 +tp2237 +a(g697 +g1038 +tp2238 +a(g428 +Vrun +p2239 +tp2240 +a(g697 +g1101 +tp2241 +a(g697 +g1105 +tp2242 +a(g830 +V\u000a +p2243 +tp2244 +a(g428 +Vgtk2 +p2245 +tp2246 +a(g697 +g1038 +tp2247 +a(g428 +Vdestroy +p2248 +tp2249 +a(g697 +g1101 +tp2250 +a(g428 +VPWidget +p2251 +tp2252 +a(g697 +g1101 +tp2253 +a(g428 +VaskSave +p2254 +tp2255 +a(g697 +g1105 +tp2256 +a(g697 +g1105 +tp2257 +a(g830 +V\u000a +p2258 +tp2259 +a(g13 +Vcase +p2260 +tp2261 +a(g830 +g958 +tp2262 +a(g428 +Vresp +p2263 +tp2264 +a(g830 +V\u000a +p2265 +tp2266 +a(g13 +Vof +p2267 +tp2268 +a(g830 +g958 +tp2269 +a(g428 +VRESPONSE_ACCEPT +p2270 +tp2271 +a(g697 +g1034 +tp2272 +a(g830 +V\u000a +p2273 +tp2274 +a(g428 +VsaveTab +p2275 +tp2276 +a(g697 +g1101 +tp2277 +a(g428 +g1257 +tp2278 +a(g697 +g962 +tp2279 +a(g830 +g958 +tp2280 +a(g428 +Vos +p2281 +tp2282 +a(g697 +g1038 +tp2283 +a(g428 +VsplitFile +p2284 +tp2285 +a(g697 +g1101 +tp2286 +a(g428 +Vwin +p2287 +tp2288 +a(g697 +g1038 +tp2289 +a(g428 +Vtabs +p2290 +tp2291 +a(g405 +g1085 +tp2292 +a(g428 +g1257 +tp2293 +a(g405 +g1087 +tp2294 +a(g697 +g1038 +tp2295 +a(g428 +Vfilename +p2296 +tp2297 +a(g697 +g1105 +tp2298 +a(g697 +g1038 +tp2299 +a(g428 +Vdir +p2300 +tp2301 +a(g697 +g1105 +tp2302 +a(g830 +V\u000a +p2303 +tp2304 +a(g428 +Vquit +p2305 +tp2306 +a(g830 +g958 +tp2307 +a(g405 +g1051 +tp2308 +a(g830 +g958 +tp2309 +a(g915 +VTrue +p2310 +tp2311 +a(g830 +V\u000a +p2312 +tp2313 +a(g13 +Vof +p2314 +tp2315 +a(g830 +g958 +tp2316 +a(g428 +VRESPONSE_CANCEL +p2317 +tp2318 +a(g697 +g1034 +tp2319 +a(g830 +V\u000a +p2320 +tp2321 +a(g428 +Vquit +p2322 +tp2323 +a(g830 +g958 +tp2324 +a(g405 +g1051 +tp2325 +a(g830 +g958 +tp2326 +a(g915 +VFalse +p2327 +tp2328 +a(g830 +V\u000a +p2329 +tp2330 +a(g13 +Vbreak +p2331 +tp2332 +a(g830 +V\u000a +p2333 +tp2334 +a(g13 +Vof +p2335 +tp2336 +a(g830 +g958 +tp2337 +a(g428 +VRESPONSE_REJECT +p2338 +tp2339 +a(g697 +g1034 +tp2340 +a(g830 +V\u000a +p2341 +tp2342 +a(g428 +Vquit +p2343 +tp2344 +a(g830 +g958 +tp2345 +a(g405 +g1051 +tp2346 +a(g830 +g958 +tp2347 +a(g915 +VTrue +p2348 +tp2349 +a(g830 +V\u000a +p2350 +tp2351 +a(g13 +Velse +p2352 +tp2353 +a(g697 +g1034 +tp2354 +a(g830 +V\u000a +p2355 +tp2356 +a(g428 +Vquit +p2357 +tp2358 +a(g830 +g958 +tp2359 +a(g405 +g1051 +tp2360 +a(g830 +g958 +tp2361 +a(g915 +VFalse +p2362 +tp2363 +a(g830 +V\u000a +p2364 +tp2365 +a(g13 +Vbreak +p2366 +tp2367 +a(g830 +V\u000a\u000a +p2368 +tp2369 +a(g713 +V# If False is returned the window will close +p2370 +tp2371 +a(g830 +V\u000a +p2372 +tp2373 +a(g13 +Vreturn +p2374 +tp2375 +a(g830 +g958 +tp2376 +a(g419 +Vnot +p2377 +tp2378 +a(g830 +g958 +tp2379 +a(g428 +Vquit +p2380 +tp2381 +a(g830 +V\u000a\u000a +p2382 +tp2383 +a(g13 +Vproc +p2384 +tp2385 +a(g566 +VwindowState_Changed +p2386 +tp2387 +a(g697 +g1101 +tp2388 +a(g428 +Vwidget +p2389 +tp2390 +a(g697 +g1034 +tp2391 +a(g830 +g958 +tp2392 +a(g428 +VPWidget +p2393 +tp2394 +a(g697 +g962 +tp2395 +a(g830 +g958 +tp2396 +a(g428 +Vevent +p2397 +tp2398 +a(g697 +g1034 +tp2399 +a(g830 +g958 +tp2400 +a(g428 +VPEventWindowState +p2401 +tp2402 +a(g697 +g962 +tp2403 +a(g830 +V \u000a +p2404 +tp2405 +a(g428 +Vuser_data +p2406 +tp2407 +a(g697 +g1034 +tp2408 +a(g830 +g958 +tp2409 +a(g428 +Vpgpointer +p2410 +tp2411 +a(g697 +g1105 +tp2412 +a(g830 +g958 +tp2413 +a(g405 +g1051 +tp2414 +a(g830 +V\u000a +p2415 +tp2416 +a(g428 +Vwin +p2417 +tp2418 +a(g697 +g1038 +tp2419 +a(g428 +Vsettings +p2420 +tp2421 +a(g697 +g1038 +tp2422 +a(g428 +VwinMaximized +p2423 +tp2424 +a(g830 +g958 +tp2425 +a(g405 +g1051 +tp2426 +a(g830 +g958 +tp2427 +a(g697 +g1101 +tp2428 +a(g428 +Vevent +p2429 +tp2430 +a(g697 +g1038 +tp2431 +a(g428 +VnewWindowState +p2432 +tp2433 +a(g830 +g958 +tp2434 +a(g419 +Vand +p2435 +tp2436 +a(g830 +V \u000a +p2437 +tp2438 +a(g428 +VWINDOW_STATE_MAXIMIZED +p2439 +tp2440 +a(g697 +g1105 +tp2441 +a(g830 +g958 +tp2442 +a(g405 +g1459 +tp2443 +a(g405 +g1051 +tp2444 +a(g830 +g958 +tp2445 +a(g27 +g1263 +tp2446 +a(g830 +V\u000a\u000a +p2447 +tp2448 +a(g713 +V# -- SourceView(PSourceView) & SourceBuffer +p2449 +tp2450 +a(g830 +V\u000a +p2451 +tp2452 +a(g13 +Vproc +p2453 +tp2454 +a(g566 +VupdateStatusBar +p2455 +tp2456 +a(g697 +g1101 +tp2457 +a(g428 +Vbuffer +p2458 +tp2459 +a(g697 +g1034 +tp2460 +a(g830 +g958 +tp2461 +a(g428 +VPTextBuffer +p2462 +tp2463 +a(g697 +g1105 +tp2464 +a(g697 +V{. +p2465 +tp2466 +a(g428 +Vcdecl +p2467 +tp2468 +a(g697 +g1038 +tp2469 +a(g697 +g1040 +tp2470 +a(g830 +g958 +tp2471 +a(g405 +g1051 +tp2472 +a(g830 +V\u000a +p2473 +tp2474 +a(g713 +V# Incase this event gets fired before +p2475 +tp2476 +a(g830 +V\u000a +p2477 +tp2478 +a(g713 +V# bottomBar is initialized +p2479 +tp2480 +a(g830 +V\u000a +p2481 +tp2482 +a(g13 +Vif +p2483 +tp2484 +a(g830 +g958 +tp2485 +a(g428 +Vwin +p2486 +tp2487 +a(g697 +g1038 +tp2488 +a(g428 +VbottomBar +p2489 +tp2490 +a(g830 +g958 +tp2491 +a(g405 +g1459 +tp2492 +a(g405 +g1051 +tp2493 +a(g830 +g958 +tp2494 +a(g915 +Vnil +p2495 +tp2496 +a(g830 +g958 +tp2497 +a(g419 +Vand +p2498 +tp2499 +a(g830 +g958 +tp2500 +a(g419 +Vnot +p2501 +tp2502 +a(g830 +g958 +tp2503 +a(g428 +Vwin +p2504 +tp2505 +a(g697 +g1038 +tp2506 +a(g428 +VtempStuff +p2507 +tp2508 +a(g697 +g1038 +tp2509 +a(g428 +VstopSBUpdates +p2510 +tp2511 +a(g697 +g1034 +tp2512 +a(g830 +V \u000a +p2513 +tp2514 +a(g939 +Vvar +p2515 +tp2516 +a(g830 +g958 +tp2517 +a(g428 +Viter +p2518 +tp2519 +a(g697 +g1034 +tp2520 +a(g830 +g958 +tp2521 +a(g428 +VTTextIter +p2522 +tp2523 +a(g830 +V\u000a \u000a +p2524 +tp2525 +a(g428 +Vwin +p2526 +tp2527 +a(g697 +g1038 +tp2528 +a(g428 +VbottomBar +p2529 +tp2530 +a(g697 +g1038 +tp2531 +a(g428 +Vpop +p2532 +tp2533 +a(g697 +g1101 +tp2534 +a(g27 +g1263 +tp2535 +a(g697 +g1105 +tp2536 +a(g830 +V\u000a +p2537 +tp2538 +a(g428 +Vbuffer +p2539 +tp2540 +a(g697 +g1038 +tp2541 +a(g428 +VgetIterAtMark +p2542 +tp2543 +a(g697 +g1101 +tp2544 +a(g13 +Vaddr +p2545 +tp2546 +a(g697 +g1101 +tp2547 +a(g428 +Viter +p2548 +tp2549 +a(g697 +g1105 +tp2550 +a(g697 +g962 +tp2551 +a(g830 +g958 +tp2552 +a(g428 +Vbuffer +p2553 +tp2554 +a(g697 +g1038 +tp2555 +a(g428 +VgetInsert +p2556 +tp2557 +a(g697 +g1101 +tp2558 +a(g697 +g1105 +tp2559 +a(g697 +g1105 +tp2560 +a(g830 +V\u000a +p2561 +tp2562 +a(g939 +Vvar +p2563 +tp2564 +a(g830 +g958 +tp2565 +a(g428 +Vrow +p2566 +tp2567 +a(g830 +g958 +tp2568 +a(g405 +g1051 +tp2569 +a(g830 +g958 +tp2570 +a(g428 +VgetLine +p2571 +tp2572 +a(g697 +g1101 +tp2573 +a(g13 +Vaddr +p2574 +tp2575 +a(g697 +g1101 +tp2576 +a(g428 +Viter +p2577 +tp2578 +a(g697 +g1105 +tp2579 +a(g697 +g1105 +tp2580 +a(g830 +g958 +tp2581 +a(g405 +V+ +p2582 +tp2583 +a(g830 +g958 +tp2584 +a(g27 +g2056 +tp2585 +a(g830 +V\u000a +p2586 +tp2587 +a(g939 +Vvar +p2588 +tp2589 +a(g830 +g958 +tp2590 +a(g428 +Vcol +p2591 +tp2592 +a(g830 +g958 +tp2593 +a(g405 +g1051 +tp2594 +a(g830 +g958 +tp2595 +a(g428 +VgetLineOffset +p2596 +tp2597 +a(g697 +g1101 +tp2598 +a(g13 +Vaddr +p2599 +tp2600 +a(g697 +g1101 +tp2601 +a(g428 +Viter +p2602 +tp2603 +a(g697 +g1105 +tp2604 +a(g697 +g1105 +tp2605 +a(g830 +V\u000a +p2606 +tp2607 +a(g13 +Vdiscard +p2608 +tp2609 +a(g830 +g958 +tp2610 +a(g428 +Vwin +p2611 +tp2612 +a(g697 +g1038 +tp2613 +a(g428 +VbottomBar +p2614 +tp2615 +a(g697 +g1038 +tp2616 +a(g428 +Vpush +p2617 +tp2618 +a(g697 +g1101 +tp2619 +a(g27 +g1263 +tp2620 +a(g697 +g962 +tp2621 +a(g830 +g958 +tp2622 +a(g81 +g1054 +tp2623 +a(g81 +VLine: +p2624 +tp2625 +a(g81 +g1054 +tp2626 +a(g830 +g958 +tp2627 +a(g405 +g2179 +tp2628 +a(g830 +g958 +tp2629 +a(g405 +V$ +p2630 +tp2631 +a(g428 +Vrow +p2632 +tp2633 +a(g830 +g958 +tp2634 +a(g405 +g2179 +tp2635 +a(g830 +g958 +tp2636 +a(g81 +g1054 +tp2637 +a(g81 +V Column: +p2638 +tp2639 +a(g81 +g1054 +tp2640 +a(g830 +g958 +tp2641 +a(g405 +g2179 +tp2642 +a(g830 +g958 +tp2643 +a(g405 +g2630 +tp2644 +a(g428 +Vcol +p2645 +tp2646 +a(g697 +g1105 +tp2647 +a(g830 +V\u000a \u000a +p2648 +tp2649 +a(g13 +Vproc +p2650 +tp2651 +a(g566 +VcursorMoved +p2652 +tp2653 +a(g697 +g1101 +tp2654 +a(g428 +Vbuffer +p2655 +tp2656 +a(g697 +g1034 +tp2657 +a(g830 +g958 +tp2658 +a(g428 +VPTextBuffer +p2659 +tp2660 +a(g697 +g962 +tp2661 +a(g830 +g958 +tp2662 +a(g428 +Vlocation +p2663 +tp2664 +a(g697 +g1034 +tp2665 +a(g830 +g958 +tp2666 +a(g428 +VPTextIter +p2667 +tp2668 +a(g697 +g962 +tp2669 +a(g830 +V \u000a +p2670 +tp2671 +a(g428 +Vmark +p2672 +tp2673 +a(g697 +g1034 +tp2674 +a(g830 +g958 +tp2675 +a(g428 +VPTextMark +p2676 +tp2677 +a(g697 +g962 +tp2678 +a(g830 +g958 +tp2679 +a(g428 +Vuser_data +p2680 +tp2681 +a(g697 +g1034 +tp2682 +a(g830 +g958 +tp2683 +a(g428 +Vpgpointer +p2684 +tp2685 +a(g697 +g1105 +tp2686 +a(g697 +V{. +p2687 +tp2688 +a(g428 +Vcdecl +p2689 +tp2690 +a(g697 +g1038 +tp2691 +a(g697 +g1040 +tp2692 +a(g830 +g958 +tp2693 +a(g405 +g1051 +tp2694 +a(g830 +V\u000a +p2695 +tp2696 +a(g428 +VupdateStatusBar +p2697 +tp2698 +a(g697 +g1101 +tp2699 +a(g428 +Vbuffer +p2700 +tp2701 +a(g697 +g1105 +tp2702 +a(g830 +V\u000a\u000a +p2703 +tp2704 +a(g13 +Vproc +p2705 +tp2706 +a(g566 +VonCloseTab +p2707 +tp2708 +a(g697 +g1101 +tp2709 +a(g428 +Vbtn +p2710 +tp2711 +a(g697 +g1034 +tp2712 +a(g830 +g958 +tp2713 +a(g428 +VPButton +p2714 +tp2715 +a(g697 +g962 +tp2716 +a(g830 +g958 +tp2717 +a(g428 +Vuser_data +p2718 +tp2719 +a(g697 +g1034 +tp2720 +a(g830 +g958 +tp2721 +a(g428 +VPWidget +p2722 +tp2723 +a(g697 +g1105 +tp2724 +a(g830 +g958 +tp2725 +a(g405 +g1051 +tp2726 +a(g830 +V\u000a +p2727 +tp2728 +a(g13 +Vif +p2729 +tp2730 +a(g830 +g958 +tp2731 +a(g428 +Vwin +p2732 +tp2733 +a(g697 +g1038 +tp2734 +a(g428 +VsourceViewTabs +p2735 +tp2736 +a(g697 +g1038 +tp2737 +a(g428 +VgetNPages +p2738 +tp2739 +a(g697 +g1101 +tp2740 +a(g697 +g1105 +tp2741 +a(g830 +g958 +tp2742 +a(g405 +V> +p2743 +tp2744 +a(g830 +g958 +tp2745 +a(g27 +g2056 +tp2746 +a(g697 +g1034 +tp2747 +a(g830 +V\u000a +p2748 +tp2749 +a(g939 +Vvar +p2750 +tp2751 +a(g830 +g958 +tp2752 +a(g428 +Vtab +p2753 +tp2754 +a(g830 +g958 +tp2755 +a(g405 +g1051 +tp2756 +a(g830 +g958 +tp2757 +a(g428 +Vwin +p2758 +tp2759 +a(g697 +g1038 +tp2760 +a(g428 +VsourceViewTabs +p2761 +tp2762 +a(g697 +g1038 +tp2763 +a(g428 +VpageNum +p2764 +tp2765 +a(g697 +g1101 +tp2766 +a(g428 +Vuser_data +p2767 +tp2768 +a(g697 +g1105 +tp2769 +a(g830 +V\u000a +p2770 +tp2771 +a(g428 +Vwin +p2772 +tp2773 +a(g697 +g1038 +tp2774 +a(g428 +VsourceViewTabs +p2775 +tp2776 +a(g697 +g1038 +tp2777 +a(g428 +VremovePage +p2778 +tp2779 +a(g697 +g1101 +tp2780 +a(g428 +Vtab +p2781 +tp2782 +a(g697 +g1105 +tp2783 +a(g830 +V\u000a\u000a +p2784 +tp2785 +a(g428 +Vwin +p2786 +tp2787 +a(g697 +g1038 +tp2788 +a(g428 +VTabs +p2789 +tp2790 +a(g697 +g1038 +tp2791 +a(g428 +Vdelete +p2792 +tp2793 +a(g697 +g1101 +tp2794 +a(g428 +Vtab +p2795 +tp2796 +a(g697 +g1105 +tp2797 +a(g830 +V\u000a\u000a +p2798 +tp2799 +a(g13 +Vproc +p2800 +tp2801 +a(g566 +VonSwitchTab +p2802 +tp2803 +a(g697 +g1101 +tp2804 +a(g428 +Vnotebook +p2805 +tp2806 +a(g697 +g1034 +tp2807 +a(g830 +g958 +tp2808 +a(g428 +VPNotebook +p2809 +tp2810 +a(g697 +g962 +tp2811 +a(g830 +g958 +tp2812 +a(g428 +Vpage +p2813 +tp2814 +a(g697 +g1034 +tp2815 +a(g830 +g958 +tp2816 +a(g428 +VPNotebookPage +p2817 +tp2818 +a(g697 +g962 +tp2819 +a(g830 +g958 +tp2820 +a(g428 +VpageNum +p2821 +tp2822 +a(g697 +g1034 +tp2823 +a(g830 +g958 +tp2824 +a(g428 +Vguint +p2825 +tp2826 +a(g697 +g962 +tp2827 +a(g830 +V \u000a +p2828 +tp2829 +a(g428 +Vuser_data +p2830 +tp2831 +a(g697 +g1034 +tp2832 +a(g830 +g958 +tp2833 +a(g428 +Vpgpointer +p2834 +tp2835 +a(g697 +g1105 +tp2836 +a(g830 +g958 +tp2837 +a(g405 +g1051 +tp2838 +a(g830 +V\u000a +p2839 +tp2840 +a(g13 +Vif +p2841 +tp2842 +a(g830 +g958 +tp2843 +a(g428 +Vwin +p2844 +tp2845 +a(g697 +g1038 +tp2846 +a(g428 +VTabs +p2847 +tp2848 +a(g697 +g1038 +tp2849 +a(g428 +Vlen +p2850 +tp2851 +a(g697 +g1101 +tp2852 +a(g697 +g1105 +tp2853 +a(g405 +g2054 +tp2854 +a(g27 +g2056 +tp2855 +a(g830 +g958 +tp2856 +a(g405 +g2743 +tp2857 +a(g405 +g1051 +tp2858 +a(g830 +g958 +tp2859 +a(g428 +VpageNum +p2860 +tp2861 +a(g697 +g1034 +tp2862 +a(g830 +V\u000a +p2863 +tp2864 +a(g428 +Vwin +p2865 +tp2866 +a(g697 +g1038 +tp2867 +a(g428 +g1416 +tp2868 +a(g697 +g1038 +tp2869 +a(g428 +VsetTitle +p2870 +tp2871 +a(g697 +g1101 +tp2872 +a(g81 +g1054 +tp2873 +a(g81 +VAporia IDE - +p2874 +tp2875 +a(g81 +g1054 +tp2876 +a(g830 +g958 +tp2877 +a(g405 +g2179 +tp2878 +a(g830 +g958 +tp2879 +a(g428 +Vwin +p2880 +tp2881 +a(g697 +g1038 +tp2882 +a(g428 +VTabs +p2883 +tp2884 +a(g405 +g1085 +tp2885 +a(g428 +VpageNum +p2886 +tp2887 +a(g405 +g1087 +tp2888 +a(g697 +g1038 +tp2889 +a(g428 +Vfilename +p2890 +tp2891 +a(g697 +g1105 +tp2892 +a(g830 +V\u000a\u000a +p2893 +tp2894 +a(g13 +Vproc +p2895 +tp2896 +a(g566 +VcreateTabLabel +p2897 +tp2898 +a(g697 +g1101 +tp2899 +a(g428 +Vname +p2900 +tp2901 +a(g697 +g1034 +tp2902 +a(g830 +g958 +tp2903 +a(g885 +Vstring +p2904 +tp2905 +a(g697 +g962 +tp2906 +a(g830 +g958 +tp2907 +a(g428 +Vt_child +p2908 +tp2909 +a(g697 +g1034 +tp2910 +a(g830 +g958 +tp2911 +a(g428 +VPWidget +p2912 +tp2913 +a(g697 +g1105 +tp2914 +a(g697 +g1034 +tp2915 +a(g830 +g958 +tp2916 +a(g13 +Vtuple +p2917 +tp2918 +a(g405 +g1085 +tp2919 +a(g428 +Vbox +p2920 +tp2921 +a(g697 +g1034 +tp2922 +a(g830 +g958 +tp2923 +a(g428 +VPWidget +p2924 +tp2925 +a(g697 +g962 +tp2926 +a(g830 +V\u000a +p2927 +tp2928 +a(g428 +Vlabel +p2929 +tp2930 +a(g697 +g1034 +tp2931 +a(g830 +g958 +tp2932 +a(g428 +VPLabel +p2933 +tp2934 +a(g405 +g1087 +tp2935 +a(g830 +g958 +tp2936 +a(g405 +g1051 +tp2937 +a(g830 +V\u000a +p2938 +tp2939 +a(g939 +Vvar +p2940 +tp2941 +a(g830 +g958 +tp2942 +a(g428 +Vbox +p2943 +tp2944 +a(g830 +g958 +tp2945 +a(g405 +g1051 +tp2946 +a(g830 +g958 +tp2947 +a(g428 +VhboxNew +p2948 +tp2949 +a(g697 +g1101 +tp2950 +a(g915 +VFalse +p2951 +tp2952 +a(g697 +g962 +tp2953 +a(g830 +g958 +tp2954 +a(g27 +g1263 +tp2955 +a(g697 +g1105 +tp2956 +a(g830 +V\u000a +p2957 +tp2958 +a(g939 +Vvar +p2959 +tp2960 +a(g830 +g958 +tp2961 +a(g428 +Vlabel +p2962 +tp2963 +a(g830 +g958 +tp2964 +a(g405 +g1051 +tp2965 +a(g830 +g958 +tp2966 +a(g428 +VlabelNew +p2967 +tp2968 +a(g697 +g1101 +tp2969 +a(g428 +Vname +p2970 +tp2971 +a(g697 +g1105 +tp2972 +a(g830 +V\u000a +p2973 +tp2974 +a(g939 +Vvar +p2975 +tp2976 +a(g830 +g958 +tp2977 +a(g428 +Vclosebtn +p2978 +tp2979 +a(g830 +g958 +tp2980 +a(g405 +g1051 +tp2981 +a(g830 +g958 +tp2982 +a(g428 +VbuttonNew +p2983 +tp2984 +a(g697 +g1101 +tp2985 +a(g697 +g1105 +tp2986 +a(g830 +V\u000a +p2987 +tp2988 +a(g428 +VcloseBtn +p2989 +tp2990 +a(g697 +g1038 +tp2991 +a(g428 +VsetLabel +p2992 +tp2993 +a(g697 +g1101 +tp2994 +a(g915 +Vnil +p2995 +tp2996 +a(g697 +g1105 +tp2997 +a(g830 +V\u000a +p2998 +tp2999 +a(g939 +Vvar +p3000 +tp3001 +a(g830 +g958 +tp3002 +a(g428 +ViconSize +p3003 +tp3004 +a(g830 +g958 +tp3005 +a(g405 +g1051 +tp3006 +a(g830 +g958 +tp3007 +a(g428 +ViconSizeFromName +p3008 +tp3009 +a(g697 +g1101 +tp3010 +a(g81 +g1054 +tp3011 +a(g81 +VtabIconSize +p3012 +tp3013 +a(g81 +g1054 +tp3014 +a(g697 +g1105 +tp3015 +a(g830 +V\u000a +p3016 +tp3017 +a(g13 +Vif +p3018 +tp3019 +a(g830 +g958 +tp3020 +a(g428 +ViconSize +p3021 +tp3022 +a(g830 +g958 +tp3023 +a(g405 +g1051 +tp3024 +a(g405 +g1051 +tp3025 +a(g830 +g958 +tp3026 +a(g27 +g1263 +tp3027 +a(g697 +g1034 +tp3028 +a(g830 +V\u000a +p3029 +tp3030 +a(g428 +ViconSize +p3031 +tp3032 +a(g830 +g958 +tp3033 +a(g405 +g1051 +tp3034 +a(g830 +g958 +tp3035 +a(g428 +ViconSizeRegister +p3036 +tp3037 +a(g697 +g1101 +tp3038 +a(g81 +g1054 +tp3039 +a(g81 +VtabIconSize +p3040 +tp3041 +a(g81 +g1054 +tp3042 +a(g697 +g962 +tp3043 +a(g830 +g958 +tp3044 +a(g27 +V10 +p3045 +tp3046 +a(g697 +g962 +tp3047 +a(g830 +g958 +tp3048 +a(g27 +V10 +p3049 +tp3050 +a(g697 +g1105 +tp3051 +a(g830 +V\u000a +p3052 +tp3053 +a(g939 +Vvar +p3054 +tp3055 +a(g830 +g958 +tp3056 +a(g428 +Vimage +p3057 +tp3058 +a(g830 +g958 +tp3059 +a(g405 +g1051 +tp3060 +a(g830 +g958 +tp3061 +a(g428 +VimageNewFromStock +p3062 +tp3063 +a(g697 +g1101 +tp3064 +a(g428 +VSTOCK_CLOSE +p3065 +tp3066 +a(g697 +g962 +tp3067 +a(g830 +g958 +tp3068 +a(g428 +ViconSize +p3069 +tp3070 +a(g697 +g1105 +tp3071 +a(g830 +V\u000a +p3072 +tp3073 +a(g13 +Vdiscard +p3074 +tp3075 +a(g830 +g958 +tp3076 +a(g428 +VgSignalConnect +p3077 +tp3078 +a(g697 +g1101 +tp3079 +a(g428 +Vclosebtn +p3080 +tp3081 +a(g697 +g962 +tp3082 +a(g830 +g958 +tp3083 +a(g81 +g1054 +tp3084 +a(g81 +Vclicked +p3085 +tp3086 +a(g81 +g1054 +tp3087 +a(g697 +g962 +tp3088 +a(g830 +g958 +tp3089 +a(g428 +VG_Callback +p3090 +tp3091 +a(g697 +g1101 +tp3092 +a(g428 +VonCloseTab +p3093 +tp3094 +a(g697 +g1105 +tp3095 +a(g697 +g962 +tp3096 +a(g830 +g958 +tp3097 +a(g428 +Vt_child +p3098 +tp3099 +a(g697 +g1105 +tp3100 +a(g830 +V\u000a +p3101 +tp3102 +a(g428 +Vclosebtn +p3103 +tp3104 +a(g697 +g1038 +tp3105 +a(g428 +VsetImage +p3106 +tp3107 +a(g697 +g1101 +tp3108 +a(g428 +Vimage +p3109 +tp3110 +a(g697 +g1105 +tp3111 +a(g830 +V\u000a +p3112 +tp3113 +a(g428 +Vgtk2 +p3114 +tp3115 +a(g697 +g1038 +tp3116 +a(g428 +VsetRelief +p3117 +tp3118 +a(g697 +g1101 +tp3119 +a(g428 +Vclosebtn +p3120 +tp3121 +a(g697 +g962 +tp3122 +a(g830 +g958 +tp3123 +a(g428 +VRELIEF_NONE +p3124 +tp3125 +a(g697 +g1105 +tp3126 +a(g830 +V\u000a +p3127 +tp3128 +a(g428 +Vbox +p3129 +tp3130 +a(g697 +g1038 +tp3131 +a(g428 +VpackStart +p3132 +tp3133 +a(g697 +g1101 +tp3134 +a(g428 +Vlabel +p3135 +tp3136 +a(g697 +g962 +tp3137 +a(g830 +g958 +tp3138 +a(g915 +VTrue +p3139 +tp3140 +a(g697 +g962 +tp3141 +a(g830 +g958 +tp3142 +a(g915 +VTrue +p3143 +tp3144 +a(g697 +g962 +tp3145 +a(g830 +g958 +tp3146 +a(g27 +g1263 +tp3147 +a(g697 +g1105 +tp3148 +a(g830 +V\u000a +p3149 +tp3150 +a(g428 +Vbox +p3151 +tp3152 +a(g697 +g1038 +tp3153 +a(g428 +VpackEnd +p3154 +tp3155 +a(g697 +g1101 +tp3156 +a(g428 +Vclosebtn +p3157 +tp3158 +a(g697 +g962 +tp3159 +a(g830 +g958 +tp3160 +a(g915 +VFalse +p3161 +tp3162 +a(g697 +g962 +tp3163 +a(g830 +g958 +tp3164 +a(g915 +VFalse +p3165 +tp3166 +a(g697 +g962 +tp3167 +a(g830 +g958 +tp3168 +a(g27 +g1263 +tp3169 +a(g697 +g1105 +tp3170 +a(g830 +V\u000a +p3171 +tp3172 +a(g428 +Vbox +p3173 +tp3174 +a(g697 +g1038 +tp3175 +a(g428 +VshowAll +p3176 +tp3177 +a(g697 +g1101 +tp3178 +a(g697 +g1105 +tp3179 +a(g830 +V\u000a +p3180 +tp3181 +a(g13 +Vreturn +p3182 +tp3183 +a(g830 +g958 +tp3184 +a(g697 +g1101 +tp3185 +a(g428 +Vbox +p3186 +tp3187 +a(g697 +g962 +tp3188 +a(g830 +g958 +tp3189 +a(g428 +Vlabel +p3190 +tp3191 +a(g697 +g1105 +tp3192 +a(g830 +V\u000a\u000a +p3193 +tp3194 +a(g13 +Vproc +p3195 +tp3196 +a(g566 +Vchanged +p3197 +tp3198 +a(g697 +g1101 +tp3199 +a(g428 +Vbuffer +p3200 +tp3201 +a(g697 +g1034 +tp3202 +a(g830 +g958 +tp3203 +a(g428 +VPTextBuffer +p3204 +tp3205 +a(g697 +g962 +tp3206 +a(g830 +g958 +tp3207 +a(g428 +Vuser_data +p3208 +tp3209 +a(g697 +g1034 +tp3210 +a(g830 +g958 +tp3211 +a(g428 +Vpgpointer +p3212 +tp3213 +a(g697 +g1105 +tp3214 +a(g830 +g958 +tp3215 +a(g405 +g1051 +tp3216 +a(g830 +V\u000a +p3217 +tp3218 +a(g713 +V# Update the 'Line & Column' +p3219 +tp3220 +a(g830 +V\u000a +p3221 +tp3222 +a(g713 +V#updateStatusBar(buffer) +p3223 +tp3224 +a(g830 +V\u000a\u000a +p3225 +tp3226 +a(g713 +V# Change the tabs state to 'unsaved' +p3227 +tp3228 +a(g830 +V\u000a +p3229 +tp3230 +a(g713 +V# and add '*' to the Tab Name +p3231 +tp3232 +a(g830 +V\u000a +p3233 +tp3234 +a(g939 +Vvar +p3235 +tp3236 +a(g830 +g958 +tp3237 +a(g428 +Vcurrent +p3238 +tp3239 +a(g830 +g958 +tp3240 +a(g405 +g1051 +tp3241 +a(g830 +g958 +tp3242 +a(g428 +Vwin +p3243 +tp3244 +a(g697 +g1038 +tp3245 +a(g428 +VSourceViewTabs +p3246 +tp3247 +a(g697 +g1038 +tp3248 +a(g428 +VgetCurrentPage +p3249 +tp3250 +a(g697 +g1101 +tp3251 +a(g697 +g1105 +tp3252 +a(g830 +V\u000a +p3253 +tp3254 +a(g939 +Vvar +p3255 +tp3256 +a(g830 +g958 +tp3257 +a(g428 +Vname +p3258 +tp3259 +a(g830 +g958 +tp3260 +a(g405 +g1051 +tp3261 +a(g830 +g958 +tp3262 +a(g81 +g1054 +tp3263 +a(g81 +g1054 +tp3264 +a(g830 +V\u000a +p3265 +tp3266 +a(g13 +Vif +p3267 +tp3268 +a(g830 +g958 +tp3269 +a(g428 +Vwin +p3270 +tp3271 +a(g697 +g1038 +tp3272 +a(g428 +VTabs +p3273 +tp3274 +a(g405 +g1085 +tp3275 +a(g428 +Vcurrent +p3276 +tp3277 +a(g405 +g1087 +tp3278 +a(g697 +g1038 +tp3279 +a(g428 +Vfilename +p3280 +tp3281 +a(g830 +g958 +tp3282 +a(g405 +g1051 +tp3283 +a(g405 +g1051 +tp3284 +a(g830 +g958 +tp3285 +a(g81 +g1054 +tp3286 +a(g81 +g1054 +tp3287 +a(g697 +g1034 +tp3288 +a(g830 +V\u000a +p3289 +tp3290 +a(g428 +Vwin +p3291 +tp3292 +a(g697 +g1038 +tp3293 +a(g428 +VTabs +p3294 +tp3295 +a(g405 +g1085 +tp3296 +a(g428 +Vcurrent +p3297 +tp3298 +a(g405 +g1087 +tp3299 +a(g697 +g1038 +tp3300 +a(g428 +Vsaved +p3301 +tp3302 +a(g830 +g958 +tp3303 +a(g405 +g1051 +tp3304 +a(g830 +g958 +tp3305 +a(g915 +VFalse +p3306 +tp3307 +a(g830 +V\u000a +p3308 +tp3309 +a(g428 +Vname +p3310 +tp3311 +a(g830 +g958 +tp3312 +a(g405 +g1051 +tp3313 +a(g830 +g958 +tp3314 +a(g81 +g1054 +tp3315 +a(g81 +VUntitled * +p3316 +tp3317 +a(g81 +g1054 +tp3318 +a(g830 +V\u000a +p3319 +tp3320 +a(g13 +Velse +p3321 +tp3322 +a(g697 +g1034 +tp3323 +a(g830 +V\u000a +p3324 +tp3325 +a(g428 +Vwin +p3326 +tp3327 +a(g697 +g1038 +tp3328 +a(g428 +VTabs +p3329 +tp3330 +a(g405 +g1085 +tp3331 +a(g428 +Vcurrent +p3332 +tp3333 +a(g405 +g1087 +tp3334 +a(g697 +g1038 +tp3335 +a(g428 +Vsaved +p3336 +tp3337 +a(g830 +g958 +tp3338 +a(g405 +g1051 +tp3339 +a(g830 +g958 +tp3340 +a(g915 +VFalse +p3341 +tp3342 +a(g830 +V\u000a +p3343 +tp3344 +a(g428 +Vname +p3345 +tp3346 +a(g830 +g958 +tp3347 +a(g405 +g1051 +tp3348 +a(g830 +g958 +tp3349 +a(g428 +VextractFilename +p3350 +tp3351 +a(g697 +g1101 +tp3352 +a(g428 +Vwin +p3353 +tp3354 +a(g697 +g1038 +tp3355 +a(g428 +VTabs +p3356 +tp3357 +a(g405 +g1085 +tp3358 +a(g428 +Vcurrent +p3359 +tp3360 +a(g405 +g1087 +tp3361 +a(g697 +g1038 +tp3362 +a(g428 +Vfilename +p3363 +tp3364 +a(g697 +g1105 +tp3365 +a(g830 +g958 +tp3366 +a(g405 +g2179 +tp3367 +a(g830 +g958 +tp3368 +a(g81 +g1054 +tp3369 +a(g81 +V * +p3370 +tp3371 +a(g81 +g1054 +tp3372 +a(g830 +V\u000a \u000a +p3373 +tp3374 +a(g939 +Vvar +p3375 +tp3376 +a(g830 +g958 +tp3377 +a(g428 +VcTab +p3378 +tp3379 +a(g830 +g958 +tp3380 +a(g405 +g1051 +tp3381 +a(g830 +g958 +tp3382 +a(g428 +Vwin +p3383 +tp3384 +a(g697 +g1038 +tp3385 +a(g428 +VTabs +p3386 +tp3387 +a(g405 +g1085 +tp3388 +a(g428 +Vcurrent +p3389 +tp3390 +a(g405 +g1087 +tp3391 +a(g830 +V\u000a +p3392 +tp3393 +a(g428 +VcTab +p3394 +tp3395 +a(g697 +g1038 +tp3396 +a(g428 +Vlabel +p3397 +tp3398 +a(g697 +g1038 +tp3399 +a(g428 +VsetText +p3400 +tp3401 +a(g697 +g1101 +tp3402 +a(g428 +Vname +p3403 +tp3404 +a(g697 +g1105 +tp3405 +a(g830 +V\u000a\u000a +p3406 +tp3407 +a(g713 +V# Other(Helper) functions +p3408 +tp3409 +a(g830 +V\u000a\u000a +p3410 +tp3411 +a(g13 +Vproc +p3412 +tp3413 +a(g566 +VinitSourceView +p3414 +tp3415 +a(g697 +g1101 +tp3416 +a(g428 +VSourceView +p3417 +tp3418 +a(g697 +g1034 +tp3419 +a(g830 +g958 +tp3420 +a(g939 +Vvar +p3421 +tp3422 +a(g830 +g958 +tp3423 +a(g428 +VPWidget +p3424 +tp3425 +a(g697 +g962 +tp3426 +a(g830 +g958 +tp3427 +a(g428 +VscrollWindow +p3428 +tp3429 +a(g697 +g1034 +tp3430 +a(g830 +g958 +tp3431 +a(g939 +Vvar +p3432 +tp3433 +a(g830 +g958 +tp3434 +a(g428 +VPScrolledWindow +p3435 +tp3436 +a(g697 +g962 +tp3437 +a(g830 +V\u000a +p3438 +tp3439 +a(g428 +Vbuffer +p3440 +tp3441 +a(g697 +g1034 +tp3442 +a(g830 +g958 +tp3443 +a(g939 +Vvar +p3444 +tp3445 +a(g830 +g958 +tp3446 +a(g428 +VPSourceBuffer +p3447 +tp3448 +a(g697 +g1105 +tp3449 +a(g830 +g958 +tp3450 +a(g405 +g1051 +tp3451 +a(g830 +V\u000a +p3452 +tp3453 +a(g713 +V# This gets called by addTab +p3454 +tp3455 +a(g830 +V\u000a +p3456 +tp3457 +a(g713 +V# Each tabs creates a new SourceView +p3458 +tp3459 +a(g830 +V\u000a +p3460 +tp3461 +a(g713 +V# SourceScrolledWindow(ScrolledWindow) +p3462 +tp3463 +a(g830 +V\u000a +p3464 +tp3465 +a(g428 +VscrollWindow +p3466 +tp3467 +a(g830 +g958 +tp3468 +a(g405 +g1051 +tp3469 +a(g830 +g958 +tp3470 +a(g428 +VscrolledWindowNew +p3471 +tp3472 +a(g697 +g1101 +tp3473 +a(g915 +Vnil +p3474 +tp3475 +a(g697 +g962 +tp3476 +a(g830 +g958 +tp3477 +a(g915 +Vnil +p3478 +tp3479 +a(g697 +g1105 +tp3480 +a(g830 +V\u000a +p3481 +tp3482 +a(g428 +VscrollWindow +p3483 +tp3484 +a(g697 +g1038 +tp3485 +a(g428 +VsetPolicy +p3486 +tp3487 +a(g697 +g1101 +tp3488 +a(g428 +VPOLICY_AUTOMATIC +p3489 +tp3490 +a(g697 +g962 +tp3491 +a(g830 +g958 +tp3492 +a(g428 +VPOLICY_AUTOMATIC +p3493 +tp3494 +a(g697 +g1105 +tp3495 +a(g830 +V\u000a +p3496 +tp3497 +a(g428 +VscrollWindow +p3498 +tp3499 +a(g697 +g1038 +tp3500 +a(g428 +Vshow +p3501 +tp3502 +a(g697 +g1101 +tp3503 +a(g697 +g1105 +tp3504 +a(g830 +V\u000a \u000a +p3505 +tp3506 +a(g713 +V# SourceView(gtkSourceView) +p3507 +tp3508 +a(g830 +V\u000a +p3509 +tp3510 +a(g428 +VSourceView +p3511 +tp3512 +a(g830 +g958 +tp3513 +a(g405 +g1051 +tp3514 +a(g830 +g958 +tp3515 +a(g428 +VsourceViewNew +p3516 +tp3517 +a(g697 +g1101 +tp3518 +a(g428 +Vbuffer +p3519 +tp3520 +a(g697 +g1105 +tp3521 +a(g830 +V\u000a +p3522 +tp3523 +a(g428 +VPSourceView +p3524 +tp3525 +a(g697 +g1101 +tp3526 +a(g428 +VSourceView +p3527 +tp3528 +a(g697 +g1105 +tp3529 +a(g697 +g1038 +tp3530 +a(g428 +VsetInsertSpacesInsteadOfTabs +p3531 +tp3532 +a(g697 +g1101 +tp3533 +a(g915 +VTrue +p3534 +tp3535 +a(g697 +g1105 +tp3536 +a(g830 +V\u000a +p3537 +tp3538 +a(g428 +VPSourceView +p3539 +tp3540 +a(g697 +g1101 +tp3541 +a(g428 +VSourceView +p3542 +tp3543 +a(g697 +g1105 +tp3544 +a(g697 +g1038 +tp3545 +a(g428 +VsetIndentWidth +p3546 +tp3547 +a(g697 +g1101 +tp3548 +a(g428 +Vwin +p3549 +tp3550 +a(g697 +g1038 +tp3551 +a(g428 +Vsettings +p3552 +tp3553 +a(g697 +g1038 +tp3554 +a(g428 +VindentWidth +p3555 +tp3556 +a(g697 +g1105 +tp3557 +a(g830 +V\u000a +p3558 +tp3559 +a(g428 +VPSourceView +p3560 +tp3561 +a(g697 +g1101 +tp3562 +a(g428 +VSourceView +p3563 +tp3564 +a(g697 +g1105 +tp3565 +a(g697 +g1038 +tp3566 +a(g428 +VsetShowLineNumbers +p3567 +tp3568 +a(g697 +g1101 +tp3569 +a(g428 +Vwin +p3570 +tp3571 +a(g697 +g1038 +tp3572 +a(g428 +Vsettings +p3573 +tp3574 +a(g697 +g1038 +tp3575 +a(g428 +VshowLineNumbers +p3576 +tp3577 +a(g697 +g1105 +tp3578 +a(g830 +V\u000a +p3579 +tp3580 +a(g428 +VPSourceView +p3581 +tp3582 +a(g697 +g1101 +tp3583 +a(g428 +VSourceView +p3584 +tp3585 +a(g697 +g1105 +tp3586 +a(g697 +g1038 +tp3587 +a(g428 +VsetHighlightCurrentLine +p3588 +tp3589 +a(g697 +g1101 +tp3590 +a(g830 +V\u000a +p3591 +tp3592 +a(g428 +Vwin +p3593 +tp3594 +a(g697 +g1038 +tp3595 +a(g428 +Vsettings +p3596 +tp3597 +a(g697 +g1038 +tp3598 +a(g428 +VhighlightCurrentLine +p3599 +tp3600 +a(g697 +g1105 +tp3601 +a(g830 +V\u000a +p3602 +tp3603 +a(g428 +VPSourceView +p3604 +tp3605 +a(g697 +g1101 +tp3606 +a(g428 +VSourceView +p3607 +tp3608 +a(g697 +g1105 +tp3609 +a(g697 +g1038 +tp3610 +a(g428 +VsetShowRightMargin +p3611 +tp3612 +a(g697 +g1101 +tp3613 +a(g428 +Vwin +p3614 +tp3615 +a(g697 +g1038 +tp3616 +a(g428 +Vsettings +p3617 +tp3618 +a(g697 +g1038 +tp3619 +a(g428 +VrightMargin +p3620 +tp3621 +a(g697 +g1105 +tp3622 +a(g830 +V\u000a +p3623 +tp3624 +a(g428 +VPSourceView +p3625 +tp3626 +a(g697 +g1101 +tp3627 +a(g428 +VSourceView +p3628 +tp3629 +a(g697 +g1105 +tp3630 +a(g697 +g1038 +tp3631 +a(g428 +VsetAutoIndent +p3632 +tp3633 +a(g697 +g1101 +tp3634 +a(g428 +Vwin +p3635 +tp3636 +a(g697 +g1038 +tp3637 +a(g428 +Vsettings +p3638 +tp3639 +a(g697 +g1038 +tp3640 +a(g428 +VautoIndent +p3641 +tp3642 +a(g697 +g1105 +tp3643 +a(g830 +V\u000a\u000a +p3644 +tp3645 +a(g939 +Vvar +p3646 +tp3647 +a(g830 +g958 +tp3648 +a(g428 +Vfont +p3649 +tp3650 +a(g830 +g958 +tp3651 +a(g405 +g1051 +tp3652 +a(g830 +g958 +tp3653 +a(g428 +Vfont_description_from_string +p3654 +tp3655 +a(g697 +g1101 +tp3656 +a(g428 +Vwin +p3657 +tp3658 +a(g697 +g1038 +tp3659 +a(g428 +Vsettings +p3660 +tp3661 +a(g697 +g1038 +tp3662 +a(g428 +Vfont +p3663 +tp3664 +a(g697 +g1105 +tp3665 +a(g830 +V\u000a +p3666 +tp3667 +a(g428 +VSourceView +p3668 +tp3669 +a(g697 +g1038 +tp3670 +a(g428 +VmodifyFont +p3671 +tp3672 +a(g697 +g1101 +tp3673 +a(g428 +Vfont +p3674 +tp3675 +a(g697 +g1105 +tp3676 +a(g830 +V\u000a \u000a +p3677 +tp3678 +a(g428 +VscrollWindow +p3679 +tp3680 +a(g697 +g1038 +tp3681 +a(g428 +Vadd +p3682 +tp3683 +a(g697 +g1101 +tp3684 +a(g428 +VSourceView +p3685 +tp3686 +a(g697 +g1105 +tp3687 +a(g830 +V\u000a +p3688 +tp3689 +a(g428 +VSourceView +p3690 +tp3691 +a(g697 +g1038 +tp3692 +a(g428 +Vshow +p3693 +tp3694 +a(g697 +g1101 +tp3695 +a(g697 +g1105 +tp3696 +a(g830 +V\u000a\u000a +p3697 +tp3698 +a(g428 +Vbuffer +p3699 +tp3700 +a(g697 +g1038 +tp3701 +a(g428 +VsetHighlightMatchingBrackets +p3702 +tp3703 +a(g697 +g1101 +tp3704 +a(g830 +V\u000a +p3705 +tp3706 +a(g428 +Vwin +p3707 +tp3708 +a(g697 +g1038 +tp3709 +a(g428 +Vsettings +p3710 +tp3711 +a(g697 +g1038 +tp3712 +a(g428 +VhighlightMatchingBrackets +p3713 +tp3714 +a(g697 +g1105 +tp3715 +a(g830 +V\u000a \u000a +p3716 +tp3717 +a(g713 +V# UGLY workaround for yet another compiler bug: +p3718 +tp3719 +a(g830 +V\u000a +p3720 +tp3721 +a(g13 +Vdiscard +p3722 +tp3723 +a(g830 +g958 +tp3724 +a(g428 +VgsignalConnect +p3725 +tp3726 +a(g697 +g1101 +tp3727 +a(g428 +Vbuffer +p3728 +tp3729 +a(g697 +g962 +tp3730 +a(g830 +g958 +tp3731 +a(g81 +g1054 +tp3732 +a(g81 +Vmark-set +p3733 +tp3734 +a(g81 +g1054 +tp3735 +a(g697 +g962 +tp3736 +a(g830 +V \u000a +p3737 +tp3738 +a(g428 +VGCallback +p3739 +tp3740 +a(g697 +g1101 +tp3741 +a(g428 +Vaporia +p3742 +tp3743 +a(g697 +g1038 +tp3744 +a(g428 +VcursorMoved +p3745 +tp3746 +a(g697 +g1105 +tp3747 +a(g697 +g962 +tp3748 +a(g830 +g958 +tp3749 +a(g915 +Vnil +p3750 +tp3751 +a(g697 +g1105 +tp3752 +a(g830 +V\u000a +p3753 +tp3754 +a(g13 +Vdiscard +p3755 +tp3756 +a(g830 +g958 +tp3757 +a(g428 +VgsignalConnect +p3758 +tp3759 +a(g697 +g1101 +tp3760 +a(g428 +Vbuffer +p3761 +tp3762 +a(g697 +g962 +tp3763 +a(g830 +g958 +tp3764 +a(g81 +g1054 +tp3765 +a(g81 +Vchanged +p3766 +tp3767 +a(g81 +g1054 +tp3768 +a(g697 +g962 +tp3769 +a(g830 +g958 +tp3770 +a(g428 +VGCallback +p3771 +tp3772 +a(g697 +g1101 +tp3773 +a(g428 +Vaporia +p3774 +tp3775 +a(g697 +g1038 +tp3776 +a(g428 +Vchanged +p3777 +tp3778 +a(g697 +g1105 +tp3779 +a(g697 +g962 +tp3780 +a(g830 +g958 +tp3781 +a(g915 +Vnil +p3782 +tp3783 +a(g697 +g1105 +tp3784 +a(g830 +V\u000a\u000a +p3785 +tp3786 +a(g713 +V# -- Set the syntax highlighter scheme +p3787 +tp3788 +a(g830 +V\u000a +p3789 +tp3790 +a(g428 +Vbuffer +p3791 +tp3792 +a(g697 +g1038 +tp3793 +a(g428 +VsetScheme +p3794 +tp3795 +a(g697 +g1101 +tp3796 +a(g428 +Vwin +p3797 +tp3798 +a(g697 +g1038 +tp3799 +a(g428 +Vscheme +p3800 +tp3801 +a(g697 +g1105 +tp3802 +a(g830 +V\u000a\u000a +p3803 +tp3804 +a(g13 +Vproc +p3805 +tp3806 +a(g566 +VaddTab +p3807 +tp3808 +a(g697 +g1101 +tp3809 +a(g428 +Vname +p3810 +tp3811 +a(g697 +g962 +tp3812 +a(g830 +g958 +tp3813 +a(g428 +Vfilename +p3814 +tp3815 +a(g697 +g1034 +tp3816 +a(g830 +g958 +tp3817 +a(g885 +Vstring +p3818 +tp3819 +a(g697 +g1105 +tp3820 +a(g830 +g958 +tp3821 +a(g405 +g1051 +tp3822 +a(g830 +V\u000a +p3823 +tp3824 +a(g214 +V## Adds a tab, if filename is not "" reads the file. And sets +p3825 +tp3826 +a(g830 +V\u000a +p3827 +tp3828 +a(g214 +V## the tabs SourceViews text to that files contents. +p3829 +tp3830 +a(g830 +V\u000a +p3831 +tp3832 +a(g428 +Vassert +p3833 +tp3834 +a(g697 +g1101 +tp3835 +a(g428 +Vwin +p3836 +tp3837 +a(g697 +g1038 +tp3838 +a(g428 +VnimLang +p3839 +tp3840 +a(g830 +g958 +tp3841 +a(g405 +g1459 +tp3842 +a(g405 +g1051 +tp3843 +a(g830 +g958 +tp3844 +a(g915 +Vnil +p3845 +tp3846 +a(g697 +g1105 +tp3847 +a(g830 +V\u000a +p3848 +tp3849 +a(g939 +Vvar +p3850 +tp3851 +a(g830 +g958 +tp3852 +a(g428 +Vbuffer +p3853 +tp3854 +a(g697 +g1034 +tp3855 +a(g830 +g958 +tp3856 +a(g428 +VPSourceBuffer +p3857 +tp3858 +a(g830 +g958 +tp3859 +a(g405 +g1051 +tp3860 +a(g830 +g958 +tp3861 +a(g428 +VsourceBufferNew +p3862 +tp3863 +a(g697 +g1101 +tp3864 +a(g428 +Vwin +p3865 +tp3866 +a(g697 +g1038 +tp3867 +a(g428 +VnimLang +p3868 +tp3869 +a(g697 +g1105 +tp3870 +a(g830 +V\u000a\u000a +p3871 +tp3872 +a(g13 +Vif +p3873 +tp3874 +a(g830 +g958 +tp3875 +a(g428 +Vfilename +p3876 +tp3877 +a(g830 +g958 +tp3878 +a(g405 +g1459 +tp3879 +a(g405 +g1051 +tp3880 +a(g830 +g958 +tp3881 +a(g915 +Vnil +p3882 +tp3883 +a(g830 +g958 +tp3884 +a(g419 +Vand +p3885 +tp3886 +a(g830 +g958 +tp3887 +a(g428 +Vfilename +p3888 +tp3889 +a(g830 +g958 +tp3890 +a(g405 +g1459 +tp3891 +a(g405 +g1051 +tp3892 +a(g830 +g958 +tp3893 +a(g81 +g1054 +tp3894 +a(g81 +g1054 +tp3895 +a(g697 +g1034 +tp3896 +a(g830 +V\u000a +p3897 +tp3898 +a(g939 +Vvar +p3899 +tp3900 +a(g830 +g958 +tp3901 +a(g428 +Vlang +p3902 +tp3903 +a(g830 +g958 +tp3904 +a(g405 +g1051 +tp3905 +a(g830 +g958 +tp3906 +a(g428 +Vwin +p3907 +tp3908 +a(g697 +g1038 +tp3909 +a(g428 +VlangMan +p3910 +tp3911 +a(g697 +g1038 +tp3912 +a(g428 +VguessLanguage +p3913 +tp3914 +a(g697 +g1101 +tp3915 +a(g428 +Vfilename +p3916 +tp3917 +a(g697 +g962 +tp3918 +a(g830 +g958 +tp3919 +a(g915 +Vnil +p3920 +tp3921 +a(g697 +g1105 +tp3922 +a(g830 +V\u000a +p3923 +tp3924 +a(g13 +Vif +p3925 +tp3926 +a(g830 +g958 +tp3927 +a(g428 +Vlang +p3928 +tp3929 +a(g830 +g958 +tp3930 +a(g405 +g1459 +tp3931 +a(g405 +g1051 +tp3932 +a(g830 +g958 +tp3933 +a(g915 +Vnil +p3934 +tp3935 +a(g697 +g1034 +tp3936 +a(g830 +V\u000a +p3937 +tp3938 +a(g428 +Vbuffer +p3939 +tp3940 +a(g697 +g1038 +tp3941 +a(g428 +VsetLanguage +p3942 +tp3943 +a(g697 +g1101 +tp3944 +a(g428 +Vlang +p3945 +tp3946 +a(g697 +g1105 +tp3947 +a(g830 +V\u000a +p3948 +tp3949 +a(g13 +Velse +p3950 +tp3951 +a(g697 +g1034 +tp3952 +a(g830 +V\u000a +p3953 +tp3954 +a(g428 +Vbuffer +p3955 +tp3956 +a(g697 +g1038 +tp3957 +a(g428 +VsetHighlightSyntax +p3958 +tp3959 +a(g697 +g1101 +tp3960 +a(g915 +VFalse +p3961 +tp3962 +a(g697 +g1105 +tp3963 +a(g830 +V\u000a\u000a +p3964 +tp3965 +a(g939 +Vvar +p3966 +tp3967 +a(g830 +g958 +tp3968 +a(g428 +Vnam +p3969 +tp3970 +a(g830 +g958 +tp3971 +a(g405 +g1051 +tp3972 +a(g830 +g958 +tp3973 +a(g428 +Vname +p3974 +tp3975 +a(g830 +V\u000a +p3976 +tp3977 +a(g13 +Vif +p3978 +tp3979 +a(g830 +g958 +tp3980 +a(g428 +Vnam +p3981 +tp3982 +a(g830 +g958 +tp3983 +a(g405 +g1051 +tp3984 +a(g405 +g1051 +tp3985 +a(g830 +g958 +tp3986 +a(g81 +g1054 +tp3987 +a(g81 +g1054 +tp3988 +a(g697 +g1034 +tp3989 +a(g830 +g958 +tp3990 +a(g428 +Vnam +p3991 +tp3992 +a(g830 +g958 +tp3993 +a(g405 +g1051 +tp3994 +a(g830 +g958 +tp3995 +a(g81 +g1054 +tp3996 +a(g81 +VUntitled +p3997 +tp3998 +a(g81 +g1054 +tp3999 +a(g830 +V\u000a +p4000 +tp4001 +a(g13 +Vif +p4002 +tp4003 +a(g830 +g958 +tp4004 +a(g428 +Vfilename +p4005 +tp4006 +a(g830 +g958 +tp4007 +a(g405 +g1051 +tp4008 +a(g405 +g1051 +tp4009 +a(g830 +g958 +tp4010 +a(g81 +g1054 +tp4011 +a(g81 +g1054 +tp4012 +a(g697 +g1034 +tp4013 +a(g830 +g958 +tp4014 +a(g428 +Vnam +p4015 +tp4016 +a(g697 +g1038 +tp4017 +a(g428 +Vadd +p4018 +tp4019 +a(g697 +g1101 +tp4020 +a(g81 +g1054 +tp4021 +a(g81 +V * +p4022 +tp4023 +a(g81 +g1054 +tp4024 +a(g697 +g1105 +tp4025 +a(g830 +V\u000a +p4026 +tp4027 +a(g13 +Velif +p4028 +tp4029 +a(g830 +g958 +tp4030 +a(g428 +Vfilename +p4031 +tp4032 +a(g830 +g958 +tp4033 +a(g405 +g1459 +tp4034 +a(g405 +g1051 +tp4035 +a(g830 +g958 +tp4036 +a(g81 +g1054 +tp4037 +a(g81 +g1054 +tp4038 +a(g830 +g958 +tp4039 +a(g419 +Vand +p4040 +tp4041 +a(g830 +g958 +tp4042 +a(g428 +Vname +p4043 +tp4044 +a(g830 +g958 +tp4045 +a(g405 +g1051 +tp4046 +a(g405 +g1051 +tp4047 +a(g830 +g958 +tp4048 +a(g81 +g1054 +tp4049 +a(g81 +g1054 +tp4050 +a(g697 +g1034 +tp4051 +a(g830 +V\u000a +p4052 +tp4053 +a(g713 +V# Disable the undo/redo manager. +p4054 +tp4055 +a(g830 +V\u000a +p4056 +tp4057 +a(g428 +Vbuffer +p4058 +tp4059 +a(g697 +g1038 +tp4060 +a(g428 +Vbegin_not_undoable_action +p4061 +tp4062 +a(g697 +g1101 +tp4063 +a(g697 +g1105 +tp4064 +a(g830 +V\u000a \u000a +p4065 +tp4066 +a(g713 +V# Load the file. +p4067 +tp4068 +a(g830 +V\u000a +p4069 +tp4070 +a(g939 +Vvar +p4071 +tp4072 +a(g830 +g958 +tp4073 +a(g428 +Vfile +p4074 +tp4075 +a(g697 +g1034 +tp4076 +a(g830 +g958 +tp4077 +a(g885 +Vstring +p4078 +tp4079 +a(g830 +g958 +tp4080 +a(g405 +g1051 +tp4081 +a(g830 +g958 +tp4082 +a(g428 +VreadFile +p4083 +tp4084 +a(g697 +g1101 +tp4085 +a(g428 +Vfilename +p4086 +tp4087 +a(g697 +g1105 +tp4088 +a(g830 +V\u000a +p4089 +tp4090 +a(g13 +Vif +p4091 +tp4092 +a(g830 +g958 +tp4093 +a(g428 +Vfile +p4094 +tp4095 +a(g830 +g958 +tp4096 +a(g405 +g1459 +tp4097 +a(g405 +g1051 +tp4098 +a(g830 +g958 +tp4099 +a(g915 +Vnil +p4100 +tp4101 +a(g697 +g1034 +tp4102 +a(g830 +V\u000a +p4103 +tp4104 +a(g428 +Vbuffer +p4105 +tp4106 +a(g697 +g1038 +tp4107 +a(g428 +Vset_text +p4108 +tp4109 +a(g697 +g1101 +tp4110 +a(g428 +Vfile +p4111 +tp4112 +a(g697 +g962 +tp4113 +a(g830 +g958 +tp4114 +a(g428 +Vlen +p4115 +tp4116 +a(g697 +g1101 +tp4117 +a(g428 +Vfile +p4118 +tp4119 +a(g697 +g1105 +tp4120 +a(g697 +g1105 +tp4121 +a(g830 +V\u000a \u000a +p4122 +tp4123 +a(g713 +V# Enable the undo/redo manager. +p4124 +tp4125 +a(g830 +V\u000a +p4126 +tp4127 +a(g428 +Vbuffer +p4128 +tp4129 +a(g697 +g1038 +tp4130 +a(g428 +Vend_not_undoable_action +p4131 +tp4132 +a(g697 +g1101 +tp4133 +a(g697 +g1105 +tp4134 +a(g830 +V\u000a \u000a +p4135 +tp4136 +a(g713 +V# Get the name.ext of the filename, for the tabs title +p4137 +tp4138 +a(g830 +V\u000a +p4139 +tp4140 +a(g428 +Vnam +p4141 +tp4142 +a(g830 +g958 +tp4143 +a(g405 +g1051 +tp4144 +a(g830 +g958 +tp4145 +a(g428 +VextractFilename +p4146 +tp4147 +a(g697 +g1101 +tp4148 +a(g428 +Vfilename +p4149 +tp4150 +a(g697 +g1105 +tp4151 +a(g830 +V\u000a \u000a +p4152 +tp4153 +a(g713 +V# Init the sourceview +p4154 +tp4155 +a(g830 +V\u000a +p4156 +tp4157 +a(g939 +Vvar +p4158 +tp4159 +a(g830 +g958 +tp4160 +a(g428 +VsourceView +p4161 +tp4162 +a(g697 +g1034 +tp4163 +a(g830 +g958 +tp4164 +a(g428 +VPWidget +p4165 +tp4166 +a(g830 +V\u000a +p4167 +tp4168 +a(g939 +Vvar +p4169 +tp4170 +a(g830 +g958 +tp4171 +a(g428 +VscrollWindow +p4172 +tp4173 +a(g697 +g1034 +tp4174 +a(g830 +g958 +tp4175 +a(g428 +VPScrolledWindow +p4176 +tp4177 +a(g830 +V\u000a +p4178 +tp4179 +a(g428 +VinitSourceView +p4180 +tp4181 +a(g697 +g1101 +tp4182 +a(g428 +VsourceView +p4183 +tp4184 +a(g697 +g962 +tp4185 +a(g830 +g958 +tp4186 +a(g428 +VscrollWindow +p4187 +tp4188 +a(g697 +g962 +tp4189 +a(g830 +g958 +tp4190 +a(g428 +Vbuffer +p4191 +tp4192 +a(g697 +g1105 +tp4193 +a(g830 +V\u000a\u000a +p4194 +tp4195 +a(g939 +Vvar +p4196 +tp4197 +a(g830 +g958 +tp4198 +a(g697 +g1101 +tp4199 +a(g428 +VTabLabel +p4200 +tp4201 +a(g697 +g962 +tp4202 +a(g830 +g958 +tp4203 +a(g428 +VlabelText +p4204 +tp4205 +a(g697 +g1105 +tp4206 +a(g830 +g958 +tp4207 +a(g405 +g1051 +tp4208 +a(g830 +g958 +tp4209 +a(g428 +VcreateTabLabel +p4210 +tp4211 +a(g697 +g1101 +tp4212 +a(g428 +Vnam +p4213 +tp4214 +a(g697 +g962 +tp4215 +a(g830 +g958 +tp4216 +a(g428 +VscrollWindow +p4217 +tp4218 +a(g697 +g1105 +tp4219 +a(g830 +V\u000a +p4220 +tp4221 +a(g713 +V# Add a tab +p4222 +tp4223 +a(g830 +V\u000a +p4224 +tp4225 +a(g13 +Vdiscard +p4226 +tp4227 +a(g830 +g958 +tp4228 +a(g428 +Vwin +p4229 +tp4230 +a(g697 +g1038 +tp4231 +a(g428 +VSourceViewTabs +p4232 +tp4233 +a(g697 +g1038 +tp4234 +a(g428 +VappendPage +p4235 +tp4236 +a(g697 +g1101 +tp4237 +a(g428 +VscrollWindow +p4238 +tp4239 +a(g697 +g962 +tp4240 +a(g830 +g958 +tp4241 +a(g428 +VTabLabel +p4242 +tp4243 +a(g697 +g1105 +tp4244 +a(g830 +V\u000a\u000a +p4245 +tp4246 +a(g939 +Vvar +p4247 +tp4248 +a(g830 +g958 +tp4249 +a(g428 +VnTab +p4250 +tp4251 +a(g697 +g1034 +tp4252 +a(g830 +g958 +tp4253 +a(g428 +VTab +p4254 +tp4255 +a(g830 +V\u000a +p4256 +tp4257 +a(g428 +VnTab +p4258 +tp4259 +a(g697 +g1038 +tp4260 +a(g428 +Vbuffer +p4261 +tp4262 +a(g830 +g958 +tp4263 +a(g405 +g1051 +tp4264 +a(g830 +g958 +tp4265 +a(g428 +Vbuffer +p4266 +tp4267 +a(g830 +V\u000a +p4268 +tp4269 +a(g428 +VnTab +p4270 +tp4271 +a(g697 +g1038 +tp4272 +a(g428 +VsourceView +p4273 +tp4274 +a(g830 +g958 +tp4275 +a(g405 +g1051 +tp4276 +a(g830 +g958 +tp4277 +a(g428 +VsourceView +p4278 +tp4279 +a(g830 +V\u000a +p4280 +tp4281 +a(g428 +VnTab +p4282 +tp4283 +a(g697 +g1038 +tp4284 +a(g428 +Vlabel +p4285 +tp4286 +a(g830 +g958 +tp4287 +a(g405 +g1051 +tp4288 +a(g830 +g958 +tp4289 +a(g428 +VlabelText +p4290 +tp4291 +a(g830 +V\u000a +p4292 +tp4293 +a(g428 +VnTab +p4294 +tp4295 +a(g697 +g1038 +tp4296 +a(g428 +Vsaved +p4297 +tp4298 +a(g830 +g958 +tp4299 +a(g405 +g1051 +tp4300 +a(g830 +g958 +tp4301 +a(g697 +g1101 +tp4302 +a(g428 +Vfilename +p4303 +tp4304 +a(g830 +g958 +tp4305 +a(g405 +g1459 +tp4306 +a(g405 +g1051 +tp4307 +a(g830 +g958 +tp4308 +a(g81 +g1054 +tp4309 +a(g81 +g1054 +tp4310 +a(g697 +g1105 +tp4311 +a(g830 +V\u000a +p4312 +tp4313 +a(g428 +VnTab +p4314 +tp4315 +a(g697 +g1038 +tp4316 +a(g428 +Vfilename +p4317 +tp4318 +a(g830 +g958 +tp4319 +a(g405 +g1051 +tp4320 +a(g830 +g958 +tp4321 +a(g428 +Vfilename +p4322 +tp4323 +a(g830 +V\u000a +p4324 +tp4325 +a(g428 +Vwin +p4326 +tp4327 +a(g697 +g1038 +tp4328 +a(g428 +VTabs +p4329 +tp4330 +a(g697 +g1038 +tp4331 +a(g428 +Vadd +p4332 +tp4333 +a(g697 +g1101 +tp4334 +a(g428 +VnTab +p4335 +tp4336 +a(g697 +g1105 +tp4337 +a(g830 +V\u000a\u000a +p4338 +tp4339 +a(g428 +VPTextView +p4340 +tp4341 +a(g697 +g1101 +tp4342 +a(g428 +VSourceView +p4343 +tp4344 +a(g697 +g1105 +tp4345 +a(g697 +g1038 +tp4346 +a(g428 +VsetBuffer +p4347 +tp4348 +a(g697 +g1101 +tp4349 +a(g428 +VnTab +p4350 +tp4351 +a(g697 +g1038 +tp4352 +a(g428 +Vbuffer +p4353 +tp4354 +a(g697 +g1105 +tp4355 +a(g830 +V\u000a\u000a +p4356 +tp4357 +a(g713 +V# GTK Events Contd. +p4358 +tp4359 +a(g830 +V\u000a +p4360 +tp4361 +a(g713 +V# -- TopMenu & TopBar +p4362 +tp4363 +a(g830 +V\u000a\u000a +p4364 +tp4365 +a(g13 +Vproc +p4366 +tp4367 +a(g566 +VnewFile +p4368 +tp4369 +a(g697 +g1101 +tp4370 +a(g428 +VmenuItem +p4371 +tp4372 +a(g697 +g1034 +tp4373 +a(g830 +g958 +tp4374 +a(g428 +VPMenuItem +p4375 +tp4376 +a(g697 +g962 +tp4377 +a(g830 +g958 +tp4378 +a(g428 +Vuser_data +p4379 +tp4380 +a(g697 +g1034 +tp4381 +a(g830 +g958 +tp4382 +a(g428 +Vpgpointer +p4383 +tp4384 +a(g697 +g1105 +tp4385 +a(g830 +g958 +tp4386 +a(g405 +g1051 +tp4387 +a(g830 +V\u000a +p4388 +tp4389 +a(g428 +VaddTab +p4390 +tp4391 +a(g697 +g1101 +tp4392 +a(g81 +g1054 +tp4393 +a(g81 +g1054 +tp4394 +a(g697 +g962 +tp4395 +a(g830 +g958 +tp4396 +a(g81 +g1054 +tp4397 +a(g81 +g1054 +tp4398 +a(g697 +g1105 +tp4399 +a(g830 +V\u000a +p4400 +tp4401 +a(g428 +Vwin +p4402 +tp4403 +a(g697 +g1038 +tp4404 +a(g428 +VsourceViewTabs +p4405 +tp4406 +a(g697 +g1038 +tp4407 +a(g428 +VsetCurrentPage +p4408 +tp4409 +a(g697 +g1101 +tp4410 +a(g428 +Vwin +p4411 +tp4412 +a(g697 +g1038 +tp4413 +a(g428 +VTabs +p4414 +tp4415 +a(g697 +g1038 +tp4416 +a(g428 +Vlen +p4417 +tp4418 +a(g697 +g1101 +tp4419 +a(g697 +g1105 +tp4420 +a(g405 +g2054 +tp4421 +a(g27 +g2056 +tp4422 +a(g697 +g1105 +tp4423 +a(g830 +V\u000a \u000a +p4424 +tp4425 +a(g13 +Vproc +p4426 +tp4427 +a(g566 +VopenFile +p4428 +tp4429 +a(g697 +g1101 +tp4430 +a(g428 +VmenuItem +p4431 +tp4432 +a(g697 +g1034 +tp4433 +a(g830 +g958 +tp4434 +a(g428 +VPMenuItem +p4435 +tp4436 +a(g697 +g962 +tp4437 +a(g830 +g958 +tp4438 +a(g428 +Vuser_data +p4439 +tp4440 +a(g697 +g1034 +tp4441 +a(g830 +g958 +tp4442 +a(g428 +Vpgpointer +p4443 +tp4444 +a(g697 +g1105 +tp4445 +a(g830 +g958 +tp4446 +a(g405 +g1051 +tp4447 +a(g830 +V\u000a +p4448 +tp4449 +a(g939 +Vvar +p4450 +tp4451 +a(g830 +g958 +tp4452 +a(g428 +Vstartpath +p4453 +tp4454 +a(g830 +g958 +tp4455 +a(g405 +g1051 +tp4456 +a(g830 +g958 +tp4457 +a(g81 +g1054 +tp4458 +a(g81 +g1054 +tp4459 +a(g830 +V\u000a +p4460 +tp4461 +a(g939 +Vvar +p4462 +tp4463 +a(g830 +g958 +tp4464 +a(g428 +VcurrPage +p4465 +tp4466 +a(g830 +g958 +tp4467 +a(g405 +g1051 +tp4468 +a(g830 +g958 +tp4469 +a(g428 +Vwin +p4470 +tp4471 +a(g697 +g1038 +tp4472 +a(g428 +VSourceViewTabs +p4473 +tp4474 +a(g697 +g1038 +tp4475 +a(g428 +VgetCurrentPage +p4476 +tp4477 +a(g697 +g1101 +tp4478 +a(g697 +g1105 +tp4479 +a(g830 +V\u000a +p4480 +tp4481 +a(g13 +Vif +p4482 +tp4483 +a(g830 +g958 +tp4484 +a(g428 +VcurrPage +p4485 +tp4486 +a(g830 +g958 +tp4487 +a(g405 +g1338 +tp4488 +a(g405 +V% +p4489 +tp4490 +a(g830 +g958 +tp4491 +a(g428 +Vwin +p4492 +tp4493 +a(g697 +g1038 +tp4494 +a(g428 +Vtabs +p4495 +tp4496 +a(g697 +g1038 +tp4497 +a(g428 +Vlen +p4498 +tp4499 +a(g697 +g1034 +tp4500 +a(g830 +V \u000a +p4501 +tp4502 +a(g428 +Vstartpath +p4503 +tp4504 +a(g830 +g958 +tp4505 +a(g405 +g1051 +tp4506 +a(g830 +g958 +tp4507 +a(g428 +Vos +p4508 +tp4509 +a(g697 +g1038 +tp4510 +a(g428 +VsplitFile +p4511 +tp4512 +a(g697 +g1101 +tp4513 +a(g428 +Vwin +p4514 +tp4515 +a(g697 +g1038 +tp4516 +a(g428 +Vtabs +p4517 +tp4518 +a(g405 +g1085 +tp4519 +a(g428 +VcurrPage +p4520 +tp4521 +a(g405 +g1087 +tp4522 +a(g697 +g1038 +tp4523 +a(g428 +Vfilename +p4524 +tp4525 +a(g697 +g1105 +tp4526 +a(g697 +g1038 +tp4527 +a(g428 +Vdir +p4528 +tp4529 +a(g830 +V\u000a\u000a +p4530 +tp4531 +a(g13 +Vif +p4532 +tp4533 +a(g830 +g958 +tp4534 +a(g428 +Vstartpath +p4535 +tp4536 +a(g697 +g1038 +tp4537 +a(g428 +Vlen +p4538 +tp4539 +a(g830 +g958 +tp4540 +a(g405 +g1051 +tp4541 +a(g405 +g1051 +tp4542 +a(g830 +g958 +tp4543 +a(g27 +g1263 +tp4544 +a(g697 +g1034 +tp4545 +a(g830 +V\u000a +p4546 +tp4547 +a(g713 +V# Use lastSavePath as the startpath +p4548 +tp4549 +a(g830 +V\u000a +p4550 +tp4551 +a(g428 +Vstartpath +p4552 +tp4553 +a(g830 +g958 +tp4554 +a(g405 +g1051 +tp4555 +a(g830 +g958 +tp4556 +a(g428 +Vwin +p4557 +tp4558 +a(g697 +g1038 +tp4559 +a(g428 +VtempStuff +p4560 +tp4561 +a(g697 +g1038 +tp4562 +a(g428 +VlastSaveDir +p4563 +tp4564 +a(g830 +V\u000a +p4565 +tp4566 +a(g13 +Vif +p4567 +tp4568 +a(g830 +g958 +tp4569 +a(g428 +Vstartpath +p4570 +tp4571 +a(g697 +g1038 +tp4572 +a(g428 +Vlen +p4573 +tp4574 +a(g830 +g958 +tp4575 +a(g405 +g1051 +tp4576 +a(g405 +g1051 +tp4577 +a(g830 +g958 +tp4578 +a(g27 +g1263 +tp4579 +a(g697 +g1034 +tp4580 +a(g830 +V\u000a +p4581 +tp4582 +a(g428 +Vstartpath +p4583 +tp4584 +a(g830 +g958 +tp4585 +a(g405 +g1051 +tp4586 +a(g830 +g958 +tp4587 +a(g428 +Vos +p4588 +tp4589 +a(g697 +g1038 +tp4590 +a(g428 +VgetHomeDir +p4591 +tp4592 +a(g697 +g1101 +tp4593 +a(g697 +g1105 +tp4594 +a(g830 +V\u000a\u000a +p4595 +tp4596 +a(g939 +Vvar +p4597 +tp4598 +a(g830 +g958 +tp4599 +a(g428 +Vfiles +p4600 +tp4601 +a(g830 +g958 +tp4602 +a(g405 +g1051 +tp4603 +a(g830 +g958 +tp4604 +a(g428 +VChooseFilesToOpen +p4605 +tp4606 +a(g697 +g1101 +tp4607 +a(g428 +Vwin +p4608 +tp4609 +a(g697 +g1038 +tp4610 +a(g428 +g1416 +tp4611 +a(g697 +g962 +tp4612 +a(g830 +g958 +tp4613 +a(g428 +Vstartpath +p4614 +tp4615 +a(g697 +g1105 +tp4616 +a(g830 +V\u000a +p4617 +tp4618 +a(g13 +Vif +p4619 +tp4620 +a(g830 +g958 +tp4621 +a(g428 +Vfiles +p4622 +tp4623 +a(g697 +g1038 +tp4624 +a(g428 +Vlen +p4625 +tp4626 +a(g697 +g1101 +tp4627 +a(g697 +g1105 +tp4628 +a(g830 +g958 +tp4629 +a(g405 +g2743 +tp4630 +a(g830 +g958 +tp4631 +a(g27 +g1263 +tp4632 +a(g697 +g1034 +tp4633 +a(g830 +V\u000a +p4634 +tp4635 +a(g13 +Vfor +p4636 +tp4637 +a(g830 +g958 +tp4638 +a(g428 +g1592 +tp4639 +a(g830 +g958 +tp4640 +a(g419 +Vin +p4641 +tp4642 +a(g830 +g958 +tp4643 +a(g428 +Vitems +p4644 +tp4645 +a(g697 +g1101 +tp4646 +a(g428 +Vfiles +p4647 +tp4648 +a(g697 +g1105 +tp4649 +a(g697 +g1034 +tp4650 +a(g830 +V\u000a +p4651 +tp4652 +a(g13 +Vtry +p4653 +tp4654 +a(g697 +g1034 +tp4655 +a(g830 +V\u000a +p4656 +tp4657 +a(g428 +VaddTab +p4658 +tp4659 +a(g697 +g1101 +tp4660 +a(g81 +g1054 +tp4661 +a(g81 +g1054 +tp4662 +a(g697 +g962 +tp4663 +a(g830 +g958 +tp4664 +a(g428 +g1592 +tp4665 +a(g697 +g1105 +tp4666 +a(g830 +V\u000a +p4667 +tp4668 +a(g13 +Vexcept +p4669 +tp4670 +a(g830 +g958 +tp4671 +a(g428 +VEIO +p4672 +tp4673 +a(g697 +g1034 +tp4674 +a(g830 +V\u000a +p4675 +tp4676 +a(g428 +Verror +p4677 +tp4678 +a(g697 +g1101 +tp4679 +a(g428 +Vwin +p4680 +tp4681 +a(g697 +g1038 +tp4682 +a(g428 +g1416 +tp4683 +a(g697 +g962 +tp4684 +a(g830 +g958 +tp4685 +a(g81 +g1054 +tp4686 +a(g81 +VUnable to read from file +p4687 +tp4688 +a(g81 +g1054 +tp4689 +a(g697 +g1105 +tp4690 +a(g830 +V\u000a +p4691 +tp4692 +a(g713 +V# Switch to the newly created tab +p4693 +tp4694 +a(g830 +V\u000a +p4695 +tp4696 +a(g428 +Vwin +p4697 +tp4698 +a(g697 +g1038 +tp4699 +a(g428 +VsourceViewTabs +p4700 +tp4701 +a(g697 +g1038 +tp4702 +a(g428 +VsetCurrentPage +p4703 +tp4704 +a(g697 +g1101 +tp4705 +a(g428 +Vwin +p4706 +tp4707 +a(g697 +g1038 +tp4708 +a(g428 +VTabs +p4709 +tp4710 +a(g697 +g1038 +tp4711 +a(g428 +Vlen +p4712 +tp4713 +a(g697 +g1101 +tp4714 +a(g697 +g1105 +tp4715 +a(g405 +g2054 +tp4716 +a(g27 +g2056 +tp4717 +a(g697 +g1105 +tp4718 +a(g830 +V\u000a \u000a +p4719 +tp4720 +a(g13 +Vproc +p4721 +tp4722 +a(g566 +VsaveFile_Activate +p4723 +tp4724 +a(g697 +g1101 +tp4725 +a(g428 +VmenuItem +p4726 +tp4727 +a(g697 +g1034 +tp4728 +a(g830 +g958 +tp4729 +a(g428 +VPMenuItem +p4730 +tp4731 +a(g697 +g962 +tp4732 +a(g830 +g958 +tp4733 +a(g428 +Vuser_data +p4734 +tp4735 +a(g697 +g1034 +tp4736 +a(g830 +g958 +tp4737 +a(g428 +Vpgpointer +p4738 +tp4739 +a(g697 +g1105 +tp4740 +a(g830 +g958 +tp4741 +a(g405 +g1051 +tp4742 +a(g830 +V\u000a +p4743 +tp4744 +a(g939 +Vvar +p4745 +tp4746 +a(g830 +g958 +tp4747 +a(g428 +Vcurrent +p4748 +tp4749 +a(g830 +g958 +tp4750 +a(g405 +g1051 +tp4751 +a(g830 +g958 +tp4752 +a(g428 +Vwin +p4753 +tp4754 +a(g697 +g1038 +tp4755 +a(g428 +VSourceViewTabs +p4756 +tp4757 +a(g697 +g1038 +tp4758 +a(g428 +VgetCurrentPage +p4759 +tp4760 +a(g697 +g1101 +tp4761 +a(g697 +g1105 +tp4762 +a(g830 +V\u000a +p4763 +tp4764 +a(g428 +VsaveTab +p4765 +tp4766 +a(g697 +g1101 +tp4767 +a(g428 +Vcurrent +p4768 +tp4769 +a(g697 +g962 +tp4770 +a(g830 +g958 +tp4771 +a(g428 +Vos +p4772 +tp4773 +a(g697 +g1038 +tp4774 +a(g428 +VsplitFile +p4775 +tp4776 +a(g697 +g1101 +tp4777 +a(g428 +Vwin +p4778 +tp4779 +a(g697 +g1038 +tp4780 +a(g428 +Vtabs +p4781 +tp4782 +a(g405 +g1085 +tp4783 +a(g428 +Vcurrent +p4784 +tp4785 +a(g405 +g1087 +tp4786 +a(g697 +g1038 +tp4787 +a(g428 +Vfilename +p4788 +tp4789 +a(g697 +g1105 +tp4790 +a(g697 +g1038 +tp4791 +a(g428 +Vdir +p4792 +tp4793 +a(g697 +g1105 +tp4794 +a(g830 +V\u000a\u000a +p4795 +tp4796 +a(g13 +Vproc +p4797 +tp4798 +a(g566 +VsaveFileAs_Activate +p4799 +tp4800 +a(g697 +g1101 +tp4801 +a(g428 +VmenuItem +p4802 +tp4803 +a(g697 +g1034 +tp4804 +a(g830 +g958 +tp4805 +a(g428 +VPMenuItem +p4806 +tp4807 +a(g697 +g962 +tp4808 +a(g830 +g958 +tp4809 +a(g428 +Vuser_data +p4810 +tp4811 +a(g697 +g1034 +tp4812 +a(g830 +g958 +tp4813 +a(g428 +Vpgpointer +p4814 +tp4815 +a(g697 +g1105 +tp4816 +a(g830 +g958 +tp4817 +a(g405 +g1051 +tp4818 +a(g830 +V\u000a +p4819 +tp4820 +a(g939 +Vvar +p4821 +tp4822 +a(g830 +g958 +tp4823 +a(g428 +Vcurrent +p4824 +tp4825 +a(g830 +g958 +tp4826 +a(g405 +g1051 +tp4827 +a(g830 +g958 +tp4828 +a(g428 +Vwin +p4829 +tp4830 +a(g697 +g1038 +tp4831 +a(g428 +VSourceViewTabs +p4832 +tp4833 +a(g697 +g1038 +tp4834 +a(g428 +VgetCurrentPage +p4835 +tp4836 +a(g697 +g1101 +tp4837 +a(g697 +g1105 +tp4838 +a(g830 +V\u000a +p4839 +tp4840 +a(g939 +Vvar +p4841 +tp4842 +a(g830 +g958 +tp4843 +a(g697 +g1101 +tp4844 +a(g428 +Vfilename +p4845 +tp4846 +a(g697 +g962 +tp4847 +a(g830 +g958 +tp4848 +a(g428 +Vsaved +p4849 +tp4850 +a(g697 +g1105 +tp4851 +a(g830 +g958 +tp4852 +a(g405 +g1051 +tp4853 +a(g830 +g958 +tp4854 +a(g697 +g1101 +tp4855 +a(g428 +Vwin +p4856 +tp4857 +a(g697 +g1038 +tp4858 +a(g428 +VTabs +p4859 +tp4860 +a(g405 +g1085 +tp4861 +a(g428 +Vcurrent +p4862 +tp4863 +a(g405 +g1087 +tp4864 +a(g697 +g1038 +tp4865 +a(g428 +Vfilename +p4866 +tp4867 +a(g697 +g962 +tp4868 +a(g830 +g958 +tp4869 +a(g428 +Vwin +p4870 +tp4871 +a(g697 +g1038 +tp4872 +a(g428 +VTabs +p4873 +tp4874 +a(g405 +g1085 +tp4875 +a(g428 +Vcurrent +p4876 +tp4877 +a(g405 +g1087 +tp4878 +a(g697 +g1038 +tp4879 +a(g428 +Vsaved +p4880 +tp4881 +a(g697 +g1105 +tp4882 +a(g830 +V\u000a\u000a +p4883 +tp4884 +a(g428 +Vwin +p4885 +tp4886 +a(g697 +g1038 +tp4887 +a(g428 +VTabs +p4888 +tp4889 +a(g405 +g1085 +tp4890 +a(g428 +Vcurrent +p4891 +tp4892 +a(g405 +g1087 +tp4893 +a(g697 +g1038 +tp4894 +a(g428 +Vsaved +p4895 +tp4896 +a(g830 +g958 +tp4897 +a(g405 +g1051 +tp4898 +a(g830 +g958 +tp4899 +a(g915 +VFalse +p4900 +tp4901 +a(g830 +V\u000a +p4902 +tp4903 +a(g428 +Vwin +p4904 +tp4905 +a(g697 +g1038 +tp4906 +a(g428 +VTabs +p4907 +tp4908 +a(g405 +g1085 +tp4909 +a(g428 +Vcurrent +p4910 +tp4911 +a(g405 +g1087 +tp4912 +a(g697 +g1038 +tp4913 +a(g428 +Vfilename +p4914 +tp4915 +a(g830 +g958 +tp4916 +a(g405 +g1051 +tp4917 +a(g830 +g958 +tp4918 +a(g81 +g1054 +tp4919 +a(g81 +g1054 +tp4920 +a(g830 +V\u000a +p4921 +tp4922 +a(g428 +VsaveTab +p4923 +tp4924 +a(g697 +g1101 +tp4925 +a(g428 +Vcurrent +p4926 +tp4927 +a(g697 +g962 +tp4928 +a(g830 +g958 +tp4929 +a(g428 +Vos +p4930 +tp4931 +a(g697 +g1038 +tp4932 +a(g428 +VsplitFile +p4933 +tp4934 +a(g697 +g1101 +tp4935 +a(g428 +Vfilename +p4936 +tp4937 +a(g697 +g1105 +tp4938 +a(g697 +g1038 +tp4939 +a(g428 +Vdir +p4940 +tp4941 +a(g697 +g1105 +tp4942 +a(g830 +V\u000a +p4943 +tp4944 +a(g713 +V# If the user cancels the save file dialog. Restore the previous filename +p4945 +tp4946 +a(g830 +V\u000a +p4947 +tp4948 +a(g713 +V# and saved state +p4949 +tp4950 +a(g830 +V\u000a +p4951 +tp4952 +a(g13 +Vif +p4953 +tp4954 +a(g830 +g958 +tp4955 +a(g428 +Vwin +p4956 +tp4957 +a(g697 +g1038 +tp4958 +a(g428 +VTabs +p4959 +tp4960 +a(g405 +g1085 +tp4961 +a(g428 +Vcurrent +p4962 +tp4963 +a(g405 +g1087 +tp4964 +a(g697 +g1038 +tp4965 +a(g428 +Vfilename +p4966 +tp4967 +a(g830 +g958 +tp4968 +a(g405 +g1051 +tp4969 +a(g405 +g1051 +tp4970 +a(g830 +g958 +tp4971 +a(g81 +g1054 +tp4972 +a(g81 +g1054 +tp4973 +a(g697 +g1034 +tp4974 +a(g830 +V\u000a +p4975 +tp4976 +a(g428 +Vwin +p4977 +tp4978 +a(g697 +g1038 +tp4979 +a(g428 +VTabs +p4980 +tp4981 +a(g405 +g1085 +tp4982 +a(g428 +Vcurrent +p4983 +tp4984 +a(g405 +g1087 +tp4985 +a(g697 +g1038 +tp4986 +a(g428 +Vfilename +p4987 +tp4988 +a(g830 +g958 +tp4989 +a(g405 +g1051 +tp4990 +a(g830 +g958 +tp4991 +a(g428 +Vfilename +p4992 +tp4993 +a(g830 +V\u000a +p4994 +tp4995 +a(g428 +Vwin +p4996 +tp4997 +a(g697 +g1038 +tp4998 +a(g428 +VTabs +p4999 +tp5000 +a(g405 +g1085 +tp5001 +a(g428 +Vcurrent +p5002 +tp5003 +a(g405 +g1087 +tp5004 +a(g697 +g1038 +tp5005 +a(g428 +Vsaved +p5006 +tp5007 +a(g830 +g958 +tp5008 +a(g405 +g1051 +tp5009 +a(g830 +g958 +tp5010 +a(g428 +Vsaved +p5011 +tp5012 +a(g830 +V\u000a\u000a +p5013 +tp5014 +a(g13 +Vproc +p5015 +tp5016 +a(g566 +Vundo +p5017 +tp5018 +a(g697 +g1101 +tp5019 +a(g428 +VmenuItem +p5020 +tp5021 +a(g697 +g1034 +tp5022 +a(g830 +g958 +tp5023 +a(g428 +VPMenuItem +p5024 +tp5025 +a(g697 +g962 +tp5026 +a(g830 +g958 +tp5027 +a(g428 +Vuser_data +p5028 +tp5029 +a(g697 +g1034 +tp5030 +a(g830 +g958 +tp5031 +a(g428 +Vpgpointer +p5032 +tp5033 +a(g697 +g1105 +tp5034 +a(g830 +g958 +tp5035 +a(g405 +g1051 +tp5036 +a(g830 +V \u000a +p5037 +tp5038 +a(g939 +Vvar +p5039 +tp5040 +a(g830 +g958 +tp5041 +a(g428 +Vcurrent +p5042 +tp5043 +a(g830 +g958 +tp5044 +a(g405 +g1051 +tp5045 +a(g830 +g958 +tp5046 +a(g428 +Vwin +p5047 +tp5048 +a(g697 +g1038 +tp5049 +a(g428 +VSourceViewTabs +p5050 +tp5051 +a(g697 +g1038 +tp5052 +a(g428 +VgetCurrentPage +p5053 +tp5054 +a(g697 +g1101 +tp5055 +a(g697 +g1105 +tp5056 +a(g830 +V\u000a +p5057 +tp5058 +a(g13 +Vif +p5059 +tp5060 +a(g830 +g958 +tp5061 +a(g428 +Vwin +p5062 +tp5063 +a(g697 +g1038 +tp5064 +a(g428 +VTabs +p5065 +tp5066 +a(g405 +g1085 +tp5067 +a(g428 +Vcurrent +p5068 +tp5069 +a(g405 +g1087 +tp5070 +a(g697 +g1038 +tp5071 +a(g428 +Vbuffer +p5072 +tp5073 +a(g697 +g1038 +tp5074 +a(g428 +VcanUndo +p5075 +tp5076 +a(g697 +g1101 +tp5077 +a(g697 +g1105 +tp5078 +a(g697 +g1034 +tp5079 +a(g830 +V\u000a +p5080 +tp5081 +a(g428 +Vwin +p5082 +tp5083 +a(g697 +g1038 +tp5084 +a(g428 +VTabs +p5085 +tp5086 +a(g405 +g1085 +tp5087 +a(g428 +Vcurrent +p5088 +tp5089 +a(g405 +g1087 +tp5090 +a(g697 +g1038 +tp5091 +a(g428 +Vbuffer +p5092 +tp5093 +a(g697 +g1038 +tp5094 +a(g428 +Vundo +p5095 +tp5096 +a(g697 +g1101 +tp5097 +a(g697 +g1105 +tp5098 +a(g830 +V\u000a \u000a +p5099 +tp5100 +a(g13 +Vproc +p5101 +tp5102 +a(g566 +Vredo +p5103 +tp5104 +a(g697 +g1101 +tp5105 +a(g428 +VmenuItem +p5106 +tp5107 +a(g697 +g1034 +tp5108 +a(g830 +g958 +tp5109 +a(g428 +VPMenuItem +p5110 +tp5111 +a(g697 +g962 +tp5112 +a(g830 +g958 +tp5113 +a(g428 +Vuser_data +p5114 +tp5115 +a(g697 +g1034 +tp5116 +a(g830 +g958 +tp5117 +a(g428 +Vpgpointer +p5118 +tp5119 +a(g697 +g1105 +tp5120 +a(g830 +g958 +tp5121 +a(g405 +g1051 +tp5122 +a(g830 +V\u000a +p5123 +tp5124 +a(g939 +Vvar +p5125 +tp5126 +a(g830 +g958 +tp5127 +a(g428 +Vcurrent +p5128 +tp5129 +a(g830 +g958 +tp5130 +a(g405 +g1051 +tp5131 +a(g830 +g958 +tp5132 +a(g428 +Vwin +p5133 +tp5134 +a(g697 +g1038 +tp5135 +a(g428 +VSourceViewTabs +p5136 +tp5137 +a(g697 +g1038 +tp5138 +a(g428 +VgetCurrentPage +p5139 +tp5140 +a(g697 +g1101 +tp5141 +a(g697 +g1105 +tp5142 +a(g830 +V\u000a +p5143 +tp5144 +a(g13 +Vif +p5145 +tp5146 +a(g830 +g958 +tp5147 +a(g428 +Vwin +p5148 +tp5149 +a(g697 +g1038 +tp5150 +a(g428 +VTabs +p5151 +tp5152 +a(g405 +g1085 +tp5153 +a(g428 +Vcurrent +p5154 +tp5155 +a(g405 +g1087 +tp5156 +a(g697 +g1038 +tp5157 +a(g428 +Vbuffer +p5158 +tp5159 +a(g697 +g1038 +tp5160 +a(g428 +VcanRedo +p5161 +tp5162 +a(g697 +g1101 +tp5163 +a(g697 +g1105 +tp5164 +a(g697 +g1034 +tp5165 +a(g830 +V\u000a +p5166 +tp5167 +a(g428 +Vwin +p5168 +tp5169 +a(g697 +g1038 +tp5170 +a(g428 +VTabs +p5171 +tp5172 +a(g405 +g1085 +tp5173 +a(g428 +Vcurrent +p5174 +tp5175 +a(g405 +g1087 +tp5176 +a(g697 +g1038 +tp5177 +a(g428 +Vbuffer +p5178 +tp5179 +a(g697 +g1038 +tp5180 +a(g428 +Vredo +p5181 +tp5182 +a(g697 +g1101 +tp5183 +a(g697 +g1105 +tp5184 +a(g830 +V\u000a \u000a +p5185 +tp5186 +a(g13 +Vproc +p5187 +tp5188 +a(g566 +Vfind_Activate +p5189 +tp5190 +a(g697 +g1101 +tp5191 +a(g428 +VmenuItem +p5192 +tp5193 +a(g697 +g1034 +tp5194 +a(g830 +g958 +tp5195 +a(g428 +VPMenuItem +p5196 +tp5197 +a(g697 +g962 +tp5198 +a(g830 +g958 +tp5199 +a(g428 +Vuser_data +p5200 +tp5201 +a(g697 +g1034 +tp5202 +a(g830 +g958 +tp5203 +a(g428 +Vpgpointer +p5204 +tp5205 +a(g697 +g1105 +tp5206 +a(g830 +g958 +tp5207 +a(g405 +g1051 +tp5208 +a(g830 +V \u000a +p5209 +tp5210 +a(g713 +V# Get the selected text, and set the findEntry to it. +p5211 +tp5212 +a(g830 +V\u000a +p5213 +tp5214 +a(g939 +Vvar +p5215 +tp5216 +a(g830 +g958 +tp5217 +a(g428 +VcurrentTab +p5218 +tp5219 +a(g830 +g958 +tp5220 +a(g405 +g1051 +tp5221 +a(g830 +g958 +tp5222 +a(g428 +Vwin +p5223 +tp5224 +a(g697 +g1038 +tp5225 +a(g428 +VSourceViewTabs +p5226 +tp5227 +a(g697 +g1038 +tp5228 +a(g428 +VgetCurrentPage +p5229 +tp5230 +a(g697 +g1101 +tp5231 +a(g697 +g1105 +tp5232 +a(g830 +V\u000a +p5233 +tp5234 +a(g939 +Vvar +p5235 +tp5236 +a(g830 +g958 +tp5237 +a(g428 +VinsertIter +p5238 +tp5239 +a(g697 +g1034 +tp5240 +a(g830 +g958 +tp5241 +a(g428 +VTTextIter +p5242 +tp5243 +a(g830 +V\u000a +p5244 +tp5245 +a(g428 +Vwin +p5246 +tp5247 +a(g697 +g1038 +tp5248 +a(g428 +VTabs +p5249 +tp5250 +a(g405 +g1085 +tp5251 +a(g428 +VcurrentTab +p5252 +tp5253 +a(g405 +g1087 +tp5254 +a(g697 +g1038 +tp5255 +a(g428 +Vbuffer +p5256 +tp5257 +a(g697 +g1038 +tp5258 +a(g428 +VgetIterAtMark +p5259 +tp5260 +a(g697 +g1101 +tp5261 +a(g13 +Vaddr +p5262 +tp5263 +a(g697 +g1101 +tp5264 +a(g428 +VinsertIter +p5265 +tp5266 +a(g697 +g1105 +tp5267 +a(g697 +g962 +tp5268 +a(g830 +V \u000a +p5269 +tp5270 +a(g428 +Vwin +p5271 +tp5272 +a(g697 +g1038 +tp5273 +a(g428 +VTabs +p5274 +tp5275 +a(g405 +g1085 +tp5276 +a(g428 +VcurrentTab +p5277 +tp5278 +a(g405 +g1087 +tp5279 +a(g697 +g1038 +tp5280 +a(g428 +Vbuffer +p5281 +tp5282 +a(g697 +g1038 +tp5283 +a(g428 +VgetInsert +p5284 +tp5285 +a(g697 +g1101 +tp5286 +a(g697 +g1105 +tp5287 +a(g697 +g1105 +tp5288 +a(g830 +V\u000a +p5289 +tp5290 +a(g939 +Vvar +p5291 +tp5292 +a(g830 +g958 +tp5293 +a(g428 +VinsertOffset +p5294 +tp5295 +a(g830 +g958 +tp5296 +a(g405 +g1051 +tp5297 +a(g830 +g958 +tp5298 +a(g13 +Vaddr +p5299 +tp5300 +a(g697 +g1101 +tp5301 +a(g428 +VinsertIter +p5302 +tp5303 +a(g697 +g1105 +tp5304 +a(g697 +g1038 +tp5305 +a(g428 +VgetOffset +p5306 +tp5307 +a(g697 +g1101 +tp5308 +a(g697 +g1105 +tp5309 +a(g830 +V\u000a \u000a +p5310 +tp5311 +a(g939 +Vvar +p5312 +tp5313 +a(g830 +g958 +tp5314 +a(g428 +VselectIter +p5315 +tp5316 +a(g697 +g1034 +tp5317 +a(g830 +g958 +tp5318 +a(g428 +VTTextIter +p5319 +tp5320 +a(g830 +V\u000a +p5321 +tp5322 +a(g428 +Vwin +p5323 +tp5324 +a(g697 +g1038 +tp5325 +a(g428 +VTabs +p5326 +tp5327 +a(g405 +g1085 +tp5328 +a(g428 +VcurrentTab +p5329 +tp5330 +a(g405 +g1087 +tp5331 +a(g697 +g1038 +tp5332 +a(g428 +Vbuffer +p5333 +tp5334 +a(g697 +g1038 +tp5335 +a(g428 +VgetIterAtMark +p5336 +tp5337 +a(g697 +g1101 +tp5338 +a(g13 +Vaddr +p5339 +tp5340 +a(g697 +g1101 +tp5341 +a(g428 +VselectIter +p5342 +tp5343 +a(g697 +g1105 +tp5344 +a(g697 +g962 +tp5345 +a(g830 +V \u000a +p5346 +tp5347 +a(g428 +Vwin +p5348 +tp5349 +a(g697 +g1038 +tp5350 +a(g428 +VTabs +p5351 +tp5352 +a(g405 +g1085 +tp5353 +a(g428 +VcurrentTab +p5354 +tp5355 +a(g405 +g1087 +tp5356 +a(g697 +g1038 +tp5357 +a(g428 +Vbuffer +p5358 +tp5359 +a(g697 +g1038 +tp5360 +a(g428 +VgetSelectionBound +p5361 +tp5362 +a(g697 +g1101 +tp5363 +a(g697 +g1105 +tp5364 +a(g697 +g1105 +tp5365 +a(g830 +V\u000a +p5366 +tp5367 +a(g939 +Vvar +p5368 +tp5369 +a(g830 +g958 +tp5370 +a(g428 +VselectOffset +p5371 +tp5372 +a(g830 +g958 +tp5373 +a(g405 +g1051 +tp5374 +a(g830 +g958 +tp5375 +a(g13 +Vaddr +p5376 +tp5377 +a(g697 +g1101 +tp5378 +a(g428 +VselectIter +p5379 +tp5380 +a(g697 +g1105 +tp5381 +a(g697 +g1038 +tp5382 +a(g428 +VgetOffset +p5383 +tp5384 +a(g697 +g1101 +tp5385 +a(g697 +g1105 +tp5386 +a(g830 +V\u000a \u000a +p5387 +tp5388 +a(g13 +Vif +p5389 +tp5390 +a(g830 +g958 +tp5391 +a(g428 +VinsertOffset +p5392 +tp5393 +a(g830 +g958 +tp5394 +a(g405 +g1459 +tp5395 +a(g405 +g1051 +tp5396 +a(g830 +g958 +tp5397 +a(g428 +VselectOffset +p5398 +tp5399 +a(g697 +g1034 +tp5400 +a(g830 +V\u000a +p5401 +tp5402 +a(g939 +Vvar +p5403 +tp5404 +a(g830 +g958 +tp5405 +a(g428 +Vtext +p5406 +tp5407 +a(g830 +g958 +tp5408 +a(g405 +g1051 +tp5409 +a(g830 +g958 +tp5410 +a(g428 +Vwin +p5411 +tp5412 +a(g697 +g1038 +tp5413 +a(g428 +VTabs +p5414 +tp5415 +a(g405 +g1085 +tp5416 +a(g428 +VcurrentTab +p5417 +tp5418 +a(g405 +g1087 +tp5419 +a(g697 +g1038 +tp5420 +a(g428 +Vbuffer +p5421 +tp5422 +a(g697 +g1038 +tp5423 +a(g428 +VgetText +p5424 +tp5425 +a(g697 +g1101 +tp5426 +a(g13 +Vaddr +p5427 +tp5428 +a(g697 +g1101 +tp5429 +a(g428 +VinsertIter +p5430 +tp5431 +a(g697 +g1105 +tp5432 +a(g697 +g962 +tp5433 +a(g830 +V \u000a +p5434 +tp5435 +a(g13 +Vaddr +p5436 +tp5437 +a(g697 +g1101 +tp5438 +a(g428 +VselectIter +p5439 +tp5440 +a(g697 +g1105 +tp5441 +a(g697 +g962 +tp5442 +a(g830 +g958 +tp5443 +a(g915 +Vfalse +p5444 +tp5445 +a(g697 +g1105 +tp5446 +a(g830 +V\u000a +p5447 +tp5448 +a(g428 +Vwin +p5449 +tp5450 +a(g697 +g1038 +tp5451 +a(g428 +VfindEntry +p5452 +tp5453 +a(g697 +g1038 +tp5454 +a(g428 +VsetText +p5455 +tp5456 +a(g697 +g1101 +tp5457 +a(g428 +Vtext +p5458 +tp5459 +a(g697 +g1105 +tp5460 +a(g830 +V\u000a\u000a +p5461 +tp5462 +a(g428 +Vwin +p5463 +tp5464 +a(g697 +g1038 +tp5465 +a(g428 +VfindBar +p5466 +tp5467 +a(g697 +g1038 +tp5468 +a(g428 +Vshow +p5469 +tp5470 +a(g697 +g1101 +tp5471 +a(g697 +g1105 +tp5472 +a(g830 +V\u000a +p5473 +tp5474 +a(g428 +Vwin +p5475 +tp5476 +a(g697 +g1038 +tp5477 +a(g428 +VfindEntry +p5478 +tp5479 +a(g697 +g1038 +tp5480 +a(g428 +VgrabFocus +p5481 +tp5482 +a(g697 +g1101 +tp5483 +a(g697 +g1105 +tp5484 +a(g830 +V\u000a +p5485 +tp5486 +a(g428 +Vwin +p5487 +tp5488 +a(g697 +g1038 +tp5489 +a(g428 +VreplaceEntry +p5490 +tp5491 +a(g697 +g1038 +tp5492 +a(g428 +Vhide +p5493 +tp5494 +a(g697 +g1101 +tp5495 +a(g697 +g1105 +tp5496 +a(g830 +V\u000a +p5497 +tp5498 +a(g428 +Vwin +p5499 +tp5500 +a(g697 +g1038 +tp5501 +a(g428 +VreplaceLabel +p5502 +tp5503 +a(g697 +g1038 +tp5504 +a(g428 +Vhide +p5505 +tp5506 +a(g697 +g1101 +tp5507 +a(g697 +g1105 +tp5508 +a(g830 +V\u000a +p5509 +tp5510 +a(g428 +Vwin +p5511 +tp5512 +a(g697 +g1038 +tp5513 +a(g428 +VreplaceBtn +p5514 +tp5515 +a(g697 +g1038 +tp5516 +a(g428 +Vhide +p5517 +tp5518 +a(g697 +g1101 +tp5519 +a(g697 +g1105 +tp5520 +a(g830 +V\u000a +p5521 +tp5522 +a(g428 +Vwin +p5523 +tp5524 +a(g697 +g1038 +tp5525 +a(g428 +VreplaceAllBtn +p5526 +tp5527 +a(g697 +g1038 +tp5528 +a(g428 +Vhide +p5529 +tp5530 +a(g697 +g1101 +tp5531 +a(g697 +g1105 +tp5532 +a(g830 +V\u000a\u000a +p5533 +tp5534 +a(g13 +Vproc +p5535 +tp5536 +a(g566 +Vreplace_Activate +p5537 +tp5538 +a(g697 +g1101 +tp5539 +a(g428 +Vmenuitem +p5540 +tp5541 +a(g697 +g1034 +tp5542 +a(g830 +g958 +tp5543 +a(g428 +VPMenuItem +p5544 +tp5545 +a(g697 +g962 +tp5546 +a(g830 +g958 +tp5547 +a(g428 +Vuser_data +p5548 +tp5549 +a(g697 +g1034 +tp5550 +a(g830 +g958 +tp5551 +a(g428 +Vpgpointer +p5552 +tp5553 +a(g697 +g1105 +tp5554 +a(g830 +g958 +tp5555 +a(g405 +g1051 +tp5556 +a(g830 +V\u000a +p5557 +tp5558 +a(g428 +Vwin +p5559 +tp5560 +a(g697 +g1038 +tp5561 +a(g428 +VfindBar +p5562 +tp5563 +a(g697 +g1038 +tp5564 +a(g428 +Vshow +p5565 +tp5566 +a(g697 +g1101 +tp5567 +a(g697 +g1105 +tp5568 +a(g830 +V\u000a +p5569 +tp5570 +a(g428 +Vwin +p5571 +tp5572 +a(g697 +g1038 +tp5573 +a(g428 +VfindEntry +p5574 +tp5575 +a(g697 +g1038 +tp5576 +a(g428 +VgrabFocus +p5577 +tp5578 +a(g697 +g1101 +tp5579 +a(g697 +g1105 +tp5580 +a(g830 +V\u000a +p5581 +tp5582 +a(g428 +Vwin +p5583 +tp5584 +a(g697 +g1038 +tp5585 +a(g428 +VreplaceEntry +p5586 +tp5587 +a(g697 +g1038 +tp5588 +a(g428 +Vshow +p5589 +tp5590 +a(g697 +g1101 +tp5591 +a(g697 +g1105 +tp5592 +a(g830 +V\u000a +p5593 +tp5594 +a(g428 +Vwin +p5595 +tp5596 +a(g697 +g1038 +tp5597 +a(g428 +VreplaceLabel +p5598 +tp5599 +a(g697 +g1038 +tp5600 +a(g428 +Vshow +p5601 +tp5602 +a(g697 +g1101 +tp5603 +a(g697 +g1105 +tp5604 +a(g830 +V\u000a +p5605 +tp5606 +a(g428 +Vwin +p5607 +tp5608 +a(g697 +g1038 +tp5609 +a(g428 +VreplaceBtn +p5610 +tp5611 +a(g697 +g1038 +tp5612 +a(g428 +Vshow +p5613 +tp5614 +a(g697 +g1101 +tp5615 +a(g697 +g1105 +tp5616 +a(g830 +V\u000a +p5617 +tp5618 +a(g428 +Vwin +p5619 +tp5620 +a(g697 +g1038 +tp5621 +a(g428 +VreplaceAllBtn +p5622 +tp5623 +a(g697 +g1038 +tp5624 +a(g428 +Vshow +p5625 +tp5626 +a(g697 +g1101 +tp5627 +a(g697 +g1105 +tp5628 +a(g830 +V\u000a \u000a +p5629 +tp5630 +a(g13 +Vproc +p5631 +tp5632 +a(g566 +Vsettings_Activate +p5633 +tp5634 +a(g697 +g1101 +tp5635 +a(g428 +Vmenuitem +p5636 +tp5637 +a(g697 +g1034 +tp5638 +a(g830 +g958 +tp5639 +a(g428 +VPMenuItem +p5640 +tp5641 +a(g697 +g962 +tp5642 +a(g830 +g958 +tp5643 +a(g428 +Vuser_data +p5644 +tp5645 +a(g697 +g1034 +tp5646 +a(g830 +g958 +tp5647 +a(g428 +Vpgpointer +p5648 +tp5649 +a(g697 +g1105 +tp5650 +a(g830 +g958 +tp5651 +a(g405 +g1051 +tp5652 +a(g830 +V\u000a +p5653 +tp5654 +a(g428 +Vsettings +p5655 +tp5656 +a(g697 +g1038 +tp5657 +a(g428 +VshowSettings +p5658 +tp5659 +a(g697 +g1101 +tp5660 +a(g428 +Vwin +p5661 +tp5662 +a(g697 +g1105 +tp5663 +a(g830 +V\u000a \u000a +p5664 +tp5665 +a(g13 +Vproc +p5666 +tp5667 +a(g566 +VviewBottomPanel_Toggled +p5668 +tp5669 +a(g697 +g1101 +tp5670 +a(g428 +Vmenuitem +p5671 +tp5672 +a(g697 +g1034 +tp5673 +a(g830 +g958 +tp5674 +a(g428 +VPCheckMenuItem +p5675 +tp5676 +a(g697 +g962 +tp5677 +a(g830 +g958 +tp5678 +a(g428 +Vuser_data +p5679 +tp5680 +a(g697 +g1034 +tp5681 +a(g830 +g958 +tp5682 +a(g428 +Vpgpointer +p5683 +tp5684 +a(g697 +g1105 +tp5685 +a(g830 +g958 +tp5686 +a(g405 +g1051 +tp5687 +a(g830 +V\u000a +p5688 +tp5689 +a(g428 +Vwin +p5690 +tp5691 +a(g697 +g1038 +tp5692 +a(g428 +Vsettings +p5693 +tp5694 +a(g697 +g1038 +tp5695 +a(g428 +VbottomPanelVisible +p5696 +tp5697 +a(g830 +g958 +tp5698 +a(g405 +g1051 +tp5699 +a(g830 +g958 +tp5700 +a(g428 +Vmenuitem +p5701 +tp5702 +a(g697 +g1038 +tp5703 +a(g428 +VitemGetActive +p5704 +tp5705 +a(g697 +g1101 +tp5706 +a(g697 +g1105 +tp5707 +a(g830 +V\u000a +p5708 +tp5709 +a(g13 +Vif +p5710 +tp5711 +a(g830 +g958 +tp5712 +a(g428 +Vwin +p5713 +tp5714 +a(g697 +g1038 +tp5715 +a(g428 +Vsettings +p5716 +tp5717 +a(g697 +g1038 +tp5718 +a(g428 +VbottomPanelVisible +p5719 +tp5720 +a(g697 +g1034 +tp5721 +a(g830 +V\u000a +p5722 +tp5723 +a(g428 +Vwin +p5724 +tp5725 +a(g697 +g1038 +tp5726 +a(g428 +VbottomPanelTabs +p5727 +tp5728 +a(g697 +g1038 +tp5729 +a(g428 +Vshow +p5730 +tp5731 +a(g697 +g1101 +tp5732 +a(g697 +g1105 +tp5733 +a(g830 +V\u000a +p5734 +tp5735 +a(g13 +Velse +p5736 +tp5737 +a(g697 +g1034 +tp5738 +a(g830 +V\u000a +p5739 +tp5740 +a(g428 +Vwin +p5741 +tp5742 +a(g697 +g1038 +tp5743 +a(g428 +VbottomPanelTabs +p5744 +tp5745 +a(g697 +g1038 +tp5746 +a(g428 +Vhide +p5747 +tp5748 +a(g697 +g1101 +tp5749 +a(g697 +g1105 +tp5750 +a(g830 +V\u000a\u000a +p5751 +tp5752 +a(g939 +Vvar +p5753 +tp5754 +a(g830 +V\u000a +p5755 +tp5756 +a(g428 +VpegLineError +p5757 +tp5758 +a(g830 +g958 +tp5759 +a(g405 +g1051 +tp5760 +a(g830 +g958 +tp5761 +a(g81 +Vpeg" +p5762 +tp5763 +a(g81 +V{[^(]*} +p5764 +tp5765 +a(g81 +V' +p5766 +tp5767 +a(g81 +g1101 +tp5768 +a(g81 +g5766 +tp5769 +a(g81 +V { +p5770 +tp5771 +a(g81 +V\u005c +p5772 +tp5773 +a(g81 +Vd+} +p5774 +tp5775 +a(g81 +g5766 +tp5776 +a(g81 +V, +p5777 +tp5778 +a(g81 +g5766 +tp5779 +a(g81 +g958 +tp5780 +a(g81 +V\u005c +p5781 +tp5782 +a(g81 +Vd+ +p5783 +tp5784 +a(g81 +g5766 +tp5785 +a(g81 +V) Error: +p5786 +tp5787 +a(g81 +g5766 +tp5788 +a(g81 +g958 +tp5789 +a(g81 +V\u005c +p5790 +tp5791 +a(g81 +Vs* {.*} +p5792 +tp5793 +a(g81 +g1054 +tp5794 +a(g830 +V\u000a +p5795 +tp5796 +a(g428 +VpegLineWarning +p5797 +tp5798 +a(g830 +g958 +tp5799 +a(g405 +g1051 +tp5800 +a(g830 +g958 +tp5801 +a(g81 +Vpeg" +p5802 +tp5803 +a(g81 +V{[^(]*} +p5804 +tp5805 +a(g81 +g5766 +tp5806 +a(g81 +g1101 +tp5807 +a(g81 +g5766 +tp5808 +a(g81 +V { +p5809 +tp5810 +a(g81 +V\u005c +p5811 +tp5812 +a(g81 +Vd+} +p5813 +tp5814 +a(g81 +g5766 +tp5815 +a(g81 +V, +p5816 +tp5817 +a(g81 +g5766 +tp5818 +a(g81 +g958 +tp5819 +a(g81 +V\u005c +p5820 +tp5821 +a(g81 +Vd+ +p5822 +tp5823 +a(g81 +g5766 +tp5824 +a(g81 +V) +p5825 +tp5826 +a(g81 +g5766 +tp5827 +a(g81 +V ( +p5828 +tp5829 +a(g81 +g5766 +tp5830 +a(g81 +VWarning: +p5831 +tp5832 +a(g81 +g5766 +tp5833 +a(g81 +V/ +p5834 +tp5835 +a(g81 +g5766 +tp5836 +a(g81 +VHint: +p5837 +tp5838 +a(g81 +g5766 +tp5839 +a(g81 +V) +p5840 +tp5841 +a(g81 +V\u005c +p5842 +tp5843 +a(g81 +Vs* {.*} +p5844 +tp5845 +a(g81 +g1054 +tp5846 +a(g830 +V\u000a +p5847 +tp5848 +a(g428 +VpegOtherError +p5849 +tp5850 +a(g830 +g958 +tp5851 +a(g405 +g1051 +tp5852 +a(g830 +g958 +tp5853 +a(g81 +Vpeg" +p5854 +tp5855 +a(g81 +g5766 +tp5856 +a(g81 +VError: +p5857 +tp5858 +a(g81 +g5766 +tp5859 +a(g81 +g958 +tp5860 +a(g81 +V\u005c +p5861 +tp5862 +a(g81 +Vs* {.*} +p5863 +tp5864 +a(g81 +g1054 +tp5865 +a(g830 +V\u000a +p5866 +tp5867 +a(g428 +VpegSuccess +p5868 +tp5869 +a(g830 +g958 +tp5870 +a(g405 +g1051 +tp5871 +a(g830 +g958 +tp5872 +a(g81 +Vpeg" +p5873 +tp5874 +a(g81 +g5766 +tp5875 +a(g81 +VHint: operation successful +p5876 +tp5877 +a(g81 +g5766 +tp5878 +a(g81 +V.* +p5879 +tp5880 +a(g81 +g1054 +tp5881 +a(g830 +V\u000a\u000a +p5882 +tp5883 +a(g13 +Vproc +p5884 +tp5885 +a(g566 +VaddText +p5886 +tp5887 +a(g697 +g1101 +tp5888 +a(g428 +VtextView +p5889 +tp5890 +a(g697 +g1034 +tp5891 +a(g830 +g958 +tp5892 +a(g428 +VPTextView +p5893 +tp5894 +a(g697 +g962 +tp5895 +a(g830 +g958 +tp5896 +a(g428 +Vtext +p5897 +tp5898 +a(g697 +g1034 +tp5899 +a(g830 +g958 +tp5900 +a(g885 +Vstring +p5901 +tp5902 +a(g697 +g962 +tp5903 +a(g830 +g958 +tp5904 +a(g428 +VcolorTag +p5905 +tp5906 +a(g697 +g1034 +tp5907 +a(g830 +g958 +tp5908 +a(g428 +VPTextTag +p5909 +tp5910 +a(g830 +g958 +tp5911 +a(g405 +g1051 +tp5912 +a(g830 +g958 +tp5913 +a(g915 +Vnil +p5914 +tp5915 +a(g697 +g1105 +tp5916 +a(g830 +g958 +tp5917 +a(g405 +g1051 +tp5918 +a(g830 +V\u000a +p5919 +tp5920 +a(g13 +Vif +p5921 +tp5922 +a(g830 +g958 +tp5923 +a(g428 +Vtext +p5924 +tp5925 +a(g830 +g958 +tp5926 +a(g405 +g1459 +tp5927 +a(g405 +g1051 +tp5928 +a(g830 +g958 +tp5929 +a(g915 +Vnil +p5930 +tp5931 +a(g697 +g1034 +tp5932 +a(g830 +V\u000a +p5933 +tp5934 +a(g939 +Vvar +p5935 +tp5936 +a(g830 +g958 +tp5937 +a(g428 +Viter +p5938 +tp5939 +a(g697 +g1034 +tp5940 +a(g830 +g958 +tp5941 +a(g428 +VTTextIter +p5942 +tp5943 +a(g830 +V\u000a +p5944 +tp5945 +a(g428 +VtextView +p5946 +tp5947 +a(g697 +g1038 +tp5948 +a(g428 +VgetBuffer +p5949 +tp5950 +a(g697 +g1101 +tp5951 +a(g697 +g1105 +tp5952 +a(g697 +g1038 +tp5953 +a(g428 +VgetEndIter +p5954 +tp5955 +a(g697 +g1101 +tp5956 +a(g13 +Vaddr +p5957 +tp5958 +a(g697 +g1101 +tp5959 +a(g428 +Viter +p5960 +tp5961 +a(g697 +g1105 +tp5962 +a(g697 +g1105 +tp5963 +a(g830 +V\u000a\u000a +p5964 +tp5965 +a(g13 +Vif +p5966 +tp5967 +a(g830 +g958 +tp5968 +a(g428 +VcolorTag +p5969 +tp5970 +a(g830 +g958 +tp5971 +a(g405 +g1051 +tp5972 +a(g405 +g1051 +tp5973 +a(g830 +g958 +tp5974 +a(g915 +Vnil +p5975 +tp5976 +a(g697 +g1034 +tp5977 +a(g830 +V\u000a +p5978 +tp5979 +a(g428 +VtextView +p5980 +tp5981 +a(g697 +g1038 +tp5982 +a(g428 +VgetBuffer +p5983 +tp5984 +a(g697 +g1101 +tp5985 +a(g697 +g1105 +tp5986 +a(g697 +g1038 +tp5987 +a(g428 +Vinsert +p5988 +tp5989 +a(g697 +g1101 +tp5990 +a(g13 +Vaddr +p5991 +tp5992 +a(g697 +g1101 +tp5993 +a(g428 +Viter +p5994 +tp5995 +a(g697 +g1105 +tp5996 +a(g697 +g962 +tp5997 +a(g830 +g958 +tp5998 +a(g428 +Vtext +p5999 +tp6000 +a(g697 +g962 +tp6001 +a(g830 +g958 +tp6002 +a(g428 +Vlen +p6003 +tp6004 +a(g697 +g1101 +tp6005 +a(g428 +Vtext +p6006 +tp6007 +a(g697 +g1105 +tp6008 +a(g697 +g1105 +tp6009 +a(g830 +V\u000a +p6010 +tp6011 +a(g13 +Velse +p6012 +tp6013 +a(g697 +g1034 +tp6014 +a(g830 +V\u000a +p6015 +tp6016 +a(g428 +VtextView +p6017 +tp6018 +a(g697 +g1038 +tp6019 +a(g428 +VgetBuffer +p6020 +tp6021 +a(g697 +g1101 +tp6022 +a(g697 +g1105 +tp6023 +a(g697 +g1038 +tp6024 +a(g428 +VinsertWithTags +p6025 +tp6026 +a(g697 +g1101 +tp6027 +a(g13 +Vaddr +p6028 +tp6029 +a(g697 +g1101 +tp6030 +a(g428 +Viter +p6031 +tp6032 +a(g697 +g1105 +tp6033 +a(g697 +g962 +tp6034 +a(g830 +g958 +tp6035 +a(g428 +Vtext +p6036 +tp6037 +a(g697 +g962 +tp6038 +a(g830 +g958 +tp6039 +a(g428 +Vlen +p6040 +tp6041 +a(g697 +g1101 +tp6042 +a(g428 +Vtext +p6043 +tp6044 +a(g697 +g1105 +tp6045 +a(g697 +g962 +tp6046 +a(g830 +g958 +tp6047 +a(g428 +VcolorTag +p6048 +tp6049 +a(g697 +g962 +tp6050 +a(g830 +V\u000a +p6051 +tp6052 +a(g915 +Vnil +p6053 +tp6054 +a(g697 +g1105 +tp6055 +a(g830 +V\u000a\u000a +p6056 +tp6057 +a(g13 +Vproc +p6058 +tp6059 +a(g566 +VcreateColor +p6060 +tp6061 +a(g697 +g1101 +tp6062 +a(g428 +VtextView +p6063 +tp6064 +a(g697 +g1034 +tp6065 +a(g830 +g958 +tp6066 +a(g428 +VPTextView +p6067 +tp6068 +a(g697 +g962 +tp6069 +a(g830 +g958 +tp6070 +a(g428 +Vname +p6071 +tp6072 +a(g697 +g962 +tp6073 +a(g830 +g958 +tp6074 +a(g428 +Vcolor +p6075 +tp6076 +a(g697 +g1034 +tp6077 +a(g830 +g958 +tp6078 +a(g885 +Vstring +p6079 +tp6080 +a(g697 +g1105 +tp6081 +a(g697 +g1034 +tp6082 +a(g830 +g958 +tp6083 +a(g428 +VPTextTag +p6084 +tp6085 +a(g830 +g958 +tp6086 +a(g405 +g1051 +tp6087 +a(g830 +V\u000a +p6088 +tp6089 +a(g939 +Vvar +p6090 +tp6091 +a(g830 +g958 +tp6092 +a(g428 +VtagTable +p6093 +tp6094 +a(g830 +g958 +tp6095 +a(g405 +g1051 +tp6096 +a(g830 +g958 +tp6097 +a(g428 +VtextView +p6098 +tp6099 +a(g697 +g1038 +tp6100 +a(g428 +VgetBuffer +p6101 +tp6102 +a(g697 +g1101 +tp6103 +a(g697 +g1105 +tp6104 +a(g697 +g1038 +tp6105 +a(g428 +VgetTagTable +p6106 +tp6107 +a(g697 +g1101 +tp6108 +a(g697 +g1105 +tp6109 +a(g830 +V\u000a +p6110 +tp6111 +a(g428 +Vresult +p6112 +tp6113 +a(g830 +g958 +tp6114 +a(g405 +g1051 +tp6115 +a(g830 +g958 +tp6116 +a(g428 +VtagTable +p6117 +tp6118 +a(g697 +g1038 +tp6119 +a(g428 +VtableLookup +p6120 +tp6121 +a(g697 +g1101 +tp6122 +a(g428 +Vname +p6123 +tp6124 +a(g697 +g1105 +tp6125 +a(g830 +V\u000a +p6126 +tp6127 +a(g13 +Vif +p6128 +tp6129 +a(g830 +g958 +tp6130 +a(g428 +Vresult +p6131 +tp6132 +a(g830 +g958 +tp6133 +a(g405 +g1051 +tp6134 +a(g405 +g1051 +tp6135 +a(g830 +g958 +tp6136 +a(g915 +Vnil +p6137 +tp6138 +a(g697 +g1034 +tp6139 +a(g830 +V\u000a +p6140 +tp6141 +a(g428 +Vresult +p6142 +tp6143 +a(g830 +g958 +tp6144 +a(g405 +g1051 +tp6145 +a(g830 +g958 +tp6146 +a(g428 +VtextView +p6147 +tp6148 +a(g697 +g1038 +tp6149 +a(g428 +VgetBuffer +p6150 +tp6151 +a(g697 +g1101 +tp6152 +a(g697 +g1105 +tp6153 +a(g697 +g1038 +tp6154 +a(g428 +VcreateTag +p6155 +tp6156 +a(g697 +g1101 +tp6157 +a(g428 +Vname +p6158 +tp6159 +a(g697 +g962 +tp6160 +a(g830 +g958 +tp6161 +a(g81 +g1054 +tp6162 +a(g81 +Vforeground +p6163 +tp6164 +a(g81 +g1054 +tp6165 +a(g697 +g962 +tp6166 +a(g830 +g958 +tp6167 +a(g428 +Vcolor +p6168 +tp6169 +a(g697 +g962 +tp6170 +a(g830 +g958 +tp6171 +a(g915 +Vnil +p6172 +tp6173 +a(g697 +g1105 +tp6174 +a(g830 +V\u000a\u000a +p6175 +tp6176 +a(g13 +Vwhen +p6177 +tp6178 +a(g830 +g958 +tp6179 +a(g419 +Vnot +p6180 +tp6181 +a(g830 +g958 +tp6182 +a(g428 +Vdefined +p6183 +tp6184 +a(g697 +g1101 +tp6185 +a(g428 +Vos +p6186 +tp6187 +a(g697 +g1038 +tp6188 +a(g428 +VfindExe +p6189 +tp6190 +a(g697 +g1105 +tp6191 +a(g697 +g1034 +tp6192 +a(g830 +V \u000a +p6193 +tp6194 +a(g13 +Vproc +p6195 +tp6196 +a(g566 +VfindExe +p6197 +tp6198 +a(g697 +g1101 +tp6199 +a(g428 +Vexe +p6200 +tp6201 +a(g697 +g1034 +tp6202 +a(g830 +g958 +tp6203 +a(g885 +Vstring +p6204 +tp6205 +a(g697 +g1105 +tp6206 +a(g697 +g1034 +tp6207 +a(g830 +g958 +tp6208 +a(g885 +Vstring +p6209 +tp6210 +a(g830 +g958 +tp6211 +a(g405 +g1051 +tp6212 +a(g830 +V \u000a +p6213 +tp6214 +a(g214 +V## returns "" if the exe cannot be found +p6215 +tp6216 +a(g830 +V\u000a +p6217 +tp6218 +a(g428 +Vresult +p6219 +tp6220 +a(g830 +g958 +tp6221 +a(g405 +g1051 +tp6222 +a(g830 +g958 +tp6223 +a(g428 +VaddFileExt +p6224 +tp6225 +a(g697 +g1101 +tp6226 +a(g428 +Vexe +p6227 +tp6228 +a(g697 +g962 +tp6229 +a(g830 +g958 +tp6230 +a(g428 +Vos +p6231 +tp6232 +a(g697 +g1038 +tp6233 +a(g428 +VexeExt +p6234 +tp6235 +a(g697 +g1105 +tp6236 +a(g830 +V\u000a +p6237 +tp6238 +a(g13 +Vif +p6239 +tp6240 +a(g830 +g958 +tp6241 +a(g428 +VExistsFile +p6242 +tp6243 +a(g697 +g1101 +tp6244 +a(g428 +Vresult +p6245 +tp6246 +a(g697 +g1105 +tp6247 +a(g697 +g1034 +tp6248 +a(g830 +g958 +tp6249 +a(g13 +Vreturn +p6250 +tp6251 +a(g830 +V\u000a +p6252 +tp6253 +a(g939 +Vvar +p6254 +tp6255 +a(g830 +g958 +tp6256 +a(g428 +Vpath +p6257 +tp6258 +a(g830 +g958 +tp6259 +a(g405 +g1051 +tp6260 +a(g830 +g958 +tp6261 +a(g428 +Vos +p6262 +tp6263 +a(g697 +g1038 +tp6264 +a(g428 +VgetEnv +p6265 +tp6266 +a(g697 +g1101 +tp6267 +a(g81 +g1054 +tp6268 +a(g81 +VPATH +p6269 +tp6270 +a(g81 +g1054 +tp6271 +a(g697 +g1105 +tp6272 +a(g830 +V\u000a +p6273 +tp6274 +a(g13 +Vfor +p6275 +tp6276 +a(g830 +g958 +tp6277 +a(g428 +Vcandidate +p6278 +tp6279 +a(g830 +g958 +tp6280 +a(g419 +Vin +p6281 +tp6282 +a(g830 +g958 +tp6283 +a(g428 +Vsplit +p6284 +tp6285 +a(g697 +g1101 +tp6286 +a(g428 +Vpath +p6287 +tp6288 +a(g697 +g962 +tp6289 +a(g830 +g958 +tp6290 +a(g428 +VpathSep +p6291 +tp6292 +a(g697 +g1105 +tp6293 +a(g697 +g1034 +tp6294 +a(g830 +V \u000a +p6295 +tp6296 +a(g939 +Vvar +p6297 +tp6298 +a(g830 +g958 +tp6299 +a(g428 +Vx +p6300 +tp6301 +a(g830 +g958 +tp6302 +a(g405 +g1051 +tp6303 +a(g830 +g958 +tp6304 +a(g428 +Vcandidate +p6305 +tp6306 +a(g830 +g958 +tp6307 +a(g405 +g5834 +tp6308 +a(g830 +g958 +tp6309 +a(g428 +Vresult +p6310 +tp6311 +a(g830 +V\u000a +p6312 +tp6313 +a(g13 +Vif +p6314 +tp6315 +a(g830 +g958 +tp6316 +a(g428 +VExistsFile +p6317 +tp6318 +a(g697 +g1101 +tp6319 +a(g428 +g6300 +tp6320 +a(g697 +g1105 +tp6321 +a(g697 +g1034 +tp6322 +a(g830 +g958 +tp6323 +a(g13 +Vreturn +p6324 +tp6325 +a(g830 +g958 +tp6326 +a(g428 +g6300 +tp6327 +a(g830 +V\u000a +p6328 +tp6329 +a(g428 +Vresult +p6330 +tp6331 +a(g830 +g958 +tp6332 +a(g405 +g1051 +tp6333 +a(g830 +g958 +tp6334 +a(g81 +g1054 +tp6335 +a(g81 +g1054 +tp6336 +a(g830 +V\u000a\u000a +p6337 +tp6338 +a(g13 +Vproc +p6339 +tp6340 +a(g566 +VGetCmd +p6341 +tp6342 +a(g697 +g1101 +tp6343 +a(g428 +Vcmd +p6344 +tp6345 +a(g697 +g962 +tp6346 +a(g830 +g958 +tp6347 +a(g428 +Vfilename +p6348 +tp6349 +a(g697 +g1034 +tp6350 +a(g830 +g958 +tp6351 +a(g885 +Vstring +p6352 +tp6353 +a(g697 +g1105 +tp6354 +a(g697 +g1034 +tp6355 +a(g830 +g958 +tp6356 +a(g885 +Vstring +p6357 +tp6358 +a(g830 +g958 +tp6359 +a(g405 +g1051 +tp6360 +a(g830 +V \u000a +p6361 +tp6362 +a(g939 +Vvar +p6363 +tp6364 +a(g830 +g958 +tp6365 +a(g428 +g1592 +tp6366 +a(g830 +g958 +tp6367 +a(g405 +g1051 +tp6368 +a(g830 +g958 +tp6369 +a(g428 +VquoteIfContainsWhite +p6370 +tp6371 +a(g697 +g1101 +tp6372 +a(g428 +Vfilename +p6373 +tp6374 +a(g697 +g1105 +tp6375 +a(g830 +V\u000a +p6376 +tp6377 +a(g13 +Vif +p6378 +tp6379 +a(g830 +g958 +tp6380 +a(g428 +Vcmd +p6381 +tp6382 +a(g830 +g958 +tp6383 +a(g405 +g1051 +tp6384 +a(g405 +V~ +p6385 +tp6386 +a(g830 +g958 +tp6387 +a(g81 +Vpeg" +p6388 +tp6389 +a(g81 +V\u005c +p6390 +tp6391 +a(g81 +Vs* +p6392 +tp6393 +a(g81 +g5766 +tp6394 +a(g81 +g2630 +tp6395 +a(g81 +g5766 +tp6396 +a(g81 +V y +p6397 +tp6398 +a(g81 +g5766 +tp6399 +a(g81 +VfindExe +p6400 +tp6401 +a(g81 +g5766 +tp6402 +a(g81 +g958 +tp6403 +a(g81 +g5766 +tp6404 +a(g81 +g1101 +tp6405 +a(g81 +g5766 +tp6406 +a(g81 +V {[^)]+} +p6407 +tp6408 +a(g81 +g5766 +tp6409 +a(g81 +g1105 +tp6410 +a(g81 +g5766 +tp6411 +a(g81 +V {.*} +p6412 +tp6413 +a(g81 +g1054 +tp6414 +a(g697 +g1034 +tp6415 +a(g830 +V\u000a +p6416 +tp6417 +a(g939 +Vvar +p6418 +tp6419 +a(g830 +g958 +tp6420 +a(g428 +Vexe +p6421 +tp6422 +a(g830 +g958 +tp6423 +a(g405 +g1051 +tp6424 +a(g830 +g958 +tp6425 +a(g428 +VquoteIfContainsWhite +p6426 +tp6427 +a(g697 +g1101 +tp6428 +a(g428 +VfindExe +p6429 +tp6430 +a(g697 +g1101 +tp6431 +a(g428 +Vmatches +p6432 +tp6433 +a(g405 +g1085 +tp6434 +a(g27 +g1263 +tp6435 +a(g405 +g1087 +tp6436 +a(g697 +g1105 +tp6437 +a(g697 +g1105 +tp6438 +a(g830 +V\u000a +p6439 +tp6440 +a(g13 +Vif +p6441 +tp6442 +a(g830 +g958 +tp6443 +a(g428 +Vexe +p6444 +tp6445 +a(g697 +g1038 +tp6446 +a(g428 +Vlen +p6447 +tp6448 +a(g830 +g958 +tp6449 +a(g405 +g1051 +tp6450 +a(g405 +g1051 +tp6451 +a(g830 +g958 +tp6452 +a(g27 +g1263 +tp6453 +a(g697 +g1034 +tp6454 +a(g830 +g958 +tp6455 +a(g428 +Vexe +p6456 +tp6457 +a(g830 +g958 +tp6458 +a(g405 +g1051 +tp6459 +a(g830 +g958 +tp6460 +a(g428 +Vmatches +p6461 +tp6462 +a(g405 +g1085 +tp6463 +a(g27 +g1263 +tp6464 +a(g405 +g1087 +tp6465 +a(g830 +V\u000a +p6466 +tp6467 +a(g428 +Vresult +p6468 +tp6469 +a(g830 +g958 +tp6470 +a(g405 +g1051 +tp6471 +a(g830 +g958 +tp6472 +a(g428 +Vexe +p6473 +tp6474 +a(g830 +g958 +tp6475 +a(g405 +g2179 +tp6476 +a(g830 +g958 +tp6477 +a(g81 +g1054 +tp6478 +a(g81 +g958 +tp6479 +a(g81 +g1054 +tp6480 +a(g830 +g958 +tp6481 +a(g405 +g2179 +tp6482 +a(g830 +g958 +tp6483 +a(g428 +Vmatches +p6484 +tp6485 +a(g405 +g1085 +tp6486 +a(g27 +g2056 +tp6487 +a(g405 +g1087 +tp6488 +a(g830 +g958 +tp6489 +a(g405 +g4489 +tp6490 +a(g830 +g958 +tp6491 +a(g428 +g1592 +tp6492 +a(g830 +V\u000a +p6493 +tp6494 +a(g13 +Velse +p6495 +tp6496 +a(g697 +g1034 +tp6497 +a(g830 +V\u000a +p6498 +tp6499 +a(g428 +Vresult +p6500 +tp6501 +a(g830 +g958 +tp6502 +a(g405 +g1051 +tp6503 +a(g830 +g958 +tp6504 +a(g428 +Vcmd +p6505 +tp6506 +a(g830 +g958 +tp6507 +a(g405 +g4489 +tp6508 +a(g830 +g958 +tp6509 +a(g428 +g1592 +tp6510 +a(g830 +V\u000a\u000a +p6511 +tp6512 +a(g13 +Vproc +p6513 +tp6514 +a(g566 +VshowBottomPanel +p6515 +tp6516 +a(g697 +g1101 +tp6517 +a(g697 +g1105 +tp6518 +a(g830 +g958 +tp6519 +a(g405 +g1051 +tp6520 +a(g830 +V\u000a +p6521 +tp6522 +a(g13 +Vif +p6523 +tp6524 +a(g830 +g958 +tp6525 +a(g419 +Vnot +p6526 +tp6527 +a(g830 +g958 +tp6528 +a(g428 +Vwin +p6529 +tp6530 +a(g697 +g1038 +tp6531 +a(g428 +Vsettings +p6532 +tp6533 +a(g697 +g1038 +tp6534 +a(g428 +VbottomPanelVisible +p6535 +tp6536 +a(g697 +g1034 +tp6537 +a(g830 +V\u000a +p6538 +tp6539 +a(g428 +Vwin +p6540 +tp6541 +a(g697 +g1038 +tp6542 +a(g428 +VbottomPanelTabs +p6543 +tp6544 +a(g697 +g1038 +tp6545 +a(g428 +Vshow +p6546 +tp6547 +a(g697 +g1101 +tp6548 +a(g697 +g1105 +tp6549 +a(g830 +V\u000a +p6550 +tp6551 +a(g428 +Vwin +p6552 +tp6553 +a(g697 +g1038 +tp6554 +a(g428 +Vsettings +p6555 +tp6556 +a(g697 +g1038 +tp6557 +a(g428 +VbottomPanelVisible +p6558 +tp6559 +a(g830 +g958 +tp6560 +a(g405 +g1051 +tp6561 +a(g830 +g958 +tp6562 +a(g915 +Vtrue +p6563 +tp6564 +a(g830 +V\u000a +p6565 +tp6566 +a(g428 +VPCheckMenuItem +p6567 +tp6568 +a(g697 +g1101 +tp6569 +a(g428 +Vwin +p6570 +tp6571 +a(g697 +g1038 +tp6572 +a(g428 +VviewBottomPanelMenuItem +p6573 +tp6574 +a(g697 +g1105 +tp6575 +a(g697 +g1038 +tp6576 +a(g428 +VitemSetActive +p6577 +tp6578 +a(g697 +g1101 +tp6579 +a(g915 +Vtrue +p6580 +tp6581 +a(g697 +g1105 +tp6582 +a(g830 +V\u000a +p6583 +tp6584 +a(g713 +V# Scroll to the end of the TextView +p6585 +tp6586 +a(g830 +V\u000a +p6587 +tp6588 +a(g713 +V# This is stupid, it works sometimes... it's random +p6589 +tp6590 +a(g830 +V\u000a +p6591 +tp6592 +a(g939 +Vvar +p6593 +tp6594 +a(g830 +g958 +tp6595 +a(g428 +VendIter +p6596 +tp6597 +a(g697 +g1034 +tp6598 +a(g830 +g958 +tp6599 +a(g428 +VTTextIter +p6600 +tp6601 +a(g830 +V\u000a +p6602 +tp6603 +a(g428 +Vwin +p6604 +tp6605 +a(g697 +g1038 +tp6606 +a(g428 +VoutputTextView +p6607 +tp6608 +a(g697 +g1038 +tp6609 +a(g428 +VgetBuffer +p6610 +tp6611 +a(g697 +g1101 +tp6612 +a(g697 +g1105 +tp6613 +a(g697 +g1038 +tp6614 +a(g428 +VgetEndIter +p6615 +tp6616 +a(g697 +g1101 +tp6617 +a(g13 +Vaddr +p6618 +tp6619 +a(g697 +g1101 +tp6620 +a(g428 +VendIter +p6621 +tp6622 +a(g697 +g1105 +tp6623 +a(g697 +g1105 +tp6624 +a(g830 +V\u000a +p6625 +tp6626 +a(g13 +Vdiscard +p6627 +tp6628 +a(g830 +g958 +tp6629 +a(g428 +Vwin +p6630 +tp6631 +a(g697 +g1038 +tp6632 +a(g428 +VoutputTextView +p6633 +tp6634 +a(g697 +g1038 +tp6635 +a(g428 +VscrollToIter +p6636 +tp6637 +a(g697 +g1101 +tp6638 +a(g830 +V\u000a +p6639 +tp6640 +a(g13 +Vaddr +p6641 +tp6642 +a(g697 +g1101 +tp6643 +a(g428 +VendIter +p6644 +tp6645 +a(g697 +g1105 +tp6646 +a(g697 +g962 +tp6647 +a(g830 +g958 +tp6648 +a(g307 +g1263 +tp6649 +a(g307 +V.25 +p6650 +tp6651 +a(g697 +g962 +tp6652 +a(g830 +g958 +tp6653 +a(g915 +VFalse +p6654 +tp6655 +a(g697 +g962 +tp6656 +a(g830 +g958 +tp6657 +a(g307 +g1263 +tp6658 +a(g307 +V.0 +p6659 +tp6660 +a(g697 +g962 +tp6661 +a(g830 +g958 +tp6662 +a(g307 +g1263 +tp6663 +a(g307 +V.0 +p6664 +tp6665 +a(g697 +g1105 +tp6666 +a(g830 +V\u000a\u000a +p6667 +tp6668 +a(g13 +Vproc +p6669 +tp6670 +a(g566 +VcompileRun +p6671 +tp6672 +a(g697 +g1101 +tp6673 +a(g428 +VcurrentTab +p6674 +tp6675 +a(g697 +g1034 +tp6676 +a(g830 +g958 +tp6677 +a(g885 +Vint +p6678 +tp6679 +a(g697 +g962 +tp6680 +a(g830 +g958 +tp6681 +a(g428 +VshouldRun +p6682 +tp6683 +a(g697 +g1034 +tp6684 +a(g830 +g958 +tp6685 +a(g885 +Vbool +p6686 +tp6687 +a(g697 +g1105 +tp6688 +a(g830 +g958 +tp6689 +a(g405 +g1051 +tp6690 +a(g830 +V\u000a +p6691 +tp6692 +a(g13 +Vif +p6693 +tp6694 +a(g830 +g958 +tp6695 +a(g428 +Vwin +p6696 +tp6697 +a(g697 +g1038 +tp6698 +a(g428 +VTabs +p6699 +tp6700 +a(g405 +g1085 +tp6701 +a(g428 +VcurrentTab +p6702 +tp6703 +a(g405 +g1087 +tp6704 +a(g697 +g1038 +tp6705 +a(g428 +Vfilename +p6706 +tp6707 +a(g697 +g1038 +tp6708 +a(g428 +Vlen +p6709 +tp6710 +a(g830 +g958 +tp6711 +a(g405 +g1051 +tp6712 +a(g405 +g1051 +tp6713 +a(g830 +g958 +tp6714 +a(g27 +g1263 +tp6715 +a(g697 +g1034 +tp6716 +a(g830 +g958 +tp6717 +a(g13 +Vreturn +p6718 +tp6719 +a(g830 +V\u000a +p6720 +tp6721 +a(g713 +V# Clear the outputTextView +p6722 +tp6723 +a(g830 +V\u000a +p6724 +tp6725 +a(g428 +Vwin +p6726 +tp6727 +a(g697 +g1038 +tp6728 +a(g428 +VoutputTextView +p6729 +tp6730 +a(g697 +g1038 +tp6731 +a(g428 +VgetBuffer +p6732 +tp6733 +a(g697 +g1101 +tp6734 +a(g697 +g1105 +tp6735 +a(g697 +g1038 +tp6736 +a(g428 +VsetText +p6737 +tp6738 +a(g697 +g1101 +tp6739 +a(g81 +g1054 +tp6740 +a(g81 +g1054 +tp6741 +a(g697 +g962 +tp6742 +a(g830 +g958 +tp6743 +a(g27 +g1263 +tp6744 +a(g697 +g1105 +tp6745 +a(g830 +V\u000a\u000a +p6746 +tp6747 +a(g939 +Vvar +p6748 +tp6749 +a(g830 +g958 +tp6750 +a(g428 +Voutp +p6751 +tp6752 +a(g830 +g958 +tp6753 +a(g405 +g1051 +tp6754 +a(g830 +g958 +tp6755 +a(g428 +VosProc +p6756 +tp6757 +a(g697 +g1038 +tp6758 +a(g428 +VexecProcess +p6759 +tp6760 +a(g697 +g1101 +tp6761 +a(g428 +VGetCmd +p6762 +tp6763 +a(g697 +g1101 +tp6764 +a(g428 +Vwin +p6765 +tp6766 +a(g697 +g1038 +tp6767 +a(g428 +Vsettings +p6768 +tp6769 +a(g697 +g1038 +tp6770 +a(g428 +VnimrodCmd +p6771 +tp6772 +a(g697 +g962 +tp6773 +a(g830 +V\u000a +p6774 +tp6775 +a(g428 +Vwin +p6776 +tp6777 +a(g697 +g1038 +tp6778 +a(g428 +VTabs +p6779 +tp6780 +a(g405 +g1085 +tp6781 +a(g428 +VcurrentTab +p6782 +tp6783 +a(g405 +g1087 +tp6784 +a(g697 +g1038 +tp6785 +a(g428 +Vfilename +p6786 +tp6787 +a(g697 +g1105 +tp6788 +a(g697 +g1105 +tp6789 +a(g830 +V\u000a +p6790 +tp6791 +a(g713 +V# Colors +p6792 +tp6793 +a(g830 +V\u000a +p6794 +tp6795 +a(g939 +Vvar +p6796 +tp6797 +a(g830 +g958 +tp6798 +a(g428 +VnormalTag +p6799 +tp6800 +a(g830 +g958 +tp6801 +a(g405 +g1051 +tp6802 +a(g830 +g958 +tp6803 +a(g428 +VcreateColor +p6804 +tp6805 +a(g697 +g1101 +tp6806 +a(g428 +Vwin +p6807 +tp6808 +a(g697 +g1038 +tp6809 +a(g428 +VoutputTextView +p6810 +tp6811 +a(g697 +g962 +tp6812 +a(g830 +g958 +tp6813 +a(g81 +g1054 +tp6814 +a(g81 +VnormalTag +p6815 +tp6816 +a(g81 +g1054 +tp6817 +a(g697 +g962 +tp6818 +a(g830 +g958 +tp6819 +a(g81 +g1054 +tp6820 +a(g81 +V#3d3d3d +p6821 +tp6822 +a(g81 +g1054 +tp6823 +a(g697 +g1105 +tp6824 +a(g830 +V\u000a +p6825 +tp6826 +a(g939 +Vvar +p6827 +tp6828 +a(g830 +g958 +tp6829 +a(g428 +VerrorTag +p6830 +tp6831 +a(g830 +g958 +tp6832 +a(g405 +g1051 +tp6833 +a(g830 +g958 +tp6834 +a(g428 +VcreateColor +p6835 +tp6836 +a(g697 +g1101 +tp6837 +a(g428 +Vwin +p6838 +tp6839 +a(g697 +g1038 +tp6840 +a(g428 +VoutputTextView +p6841 +tp6842 +a(g697 +g962 +tp6843 +a(g830 +g958 +tp6844 +a(g81 +g1054 +tp6845 +a(g81 +VerrorTag +p6846 +tp6847 +a(g81 +g1054 +tp6848 +a(g697 +g962 +tp6849 +a(g830 +g958 +tp6850 +a(g81 +g1054 +tp6851 +a(g81 +Vred +p6852 +tp6853 +a(g81 +g1054 +tp6854 +a(g697 +g1105 +tp6855 +a(g830 +V\u000a +p6856 +tp6857 +a(g939 +Vvar +p6858 +tp6859 +a(g830 +g958 +tp6860 +a(g428 +VwarningTag +p6861 +tp6862 +a(g830 +g958 +tp6863 +a(g405 +g1051 +tp6864 +a(g830 +g958 +tp6865 +a(g428 +VcreateColor +p6866 +tp6867 +a(g697 +g1101 +tp6868 +a(g428 +Vwin +p6869 +tp6870 +a(g697 +g1038 +tp6871 +a(g428 +VoutputTextView +p6872 +tp6873 +a(g697 +g962 +tp6874 +a(g830 +g958 +tp6875 +a(g81 +g1054 +tp6876 +a(g81 +VwarningTag +p6877 +tp6878 +a(g81 +g1054 +tp6879 +a(g697 +g962 +tp6880 +a(g830 +g958 +tp6881 +a(g81 +g1054 +tp6882 +a(g81 +Vdarkorange +p6883 +tp6884 +a(g81 +g1054 +tp6885 +a(g697 +g1105 +tp6886 +a(g830 +V\u000a +p6887 +tp6888 +a(g939 +Vvar +p6889 +tp6890 +a(g830 +g958 +tp6891 +a(g428 +VsuccessTag +p6892 +tp6893 +a(g830 +g958 +tp6894 +a(g405 +g1051 +tp6895 +a(g830 +g958 +tp6896 +a(g428 +VcreateColor +p6897 +tp6898 +a(g697 +g1101 +tp6899 +a(g428 +Vwin +p6900 +tp6901 +a(g697 +g1038 +tp6902 +a(g428 +VoutputTextView +p6903 +tp6904 +a(g697 +g962 +tp6905 +a(g830 +g958 +tp6906 +a(g81 +g1054 +tp6907 +a(g81 +VsuccessTag +p6908 +tp6909 +a(g81 +g1054 +tp6910 +a(g697 +g962 +tp6911 +a(g830 +g958 +tp6912 +a(g81 +g1054 +tp6913 +a(g81 +Vdarkgreen +p6914 +tp6915 +a(g81 +g1054 +tp6916 +a(g697 +g1105 +tp6917 +a(g830 +V\u000a +p6918 +tp6919 +a(g13 +Vfor +p6920 +tp6921 +a(g830 +g958 +tp6922 +a(g428 +g6300 +tp6923 +a(g830 +g958 +tp6924 +a(g419 +Vin +p6925 +tp6926 +a(g830 +g958 +tp6927 +a(g428 +Voutp +p6928 +tp6929 +a(g697 +g1038 +tp6930 +a(g428 +VsplitLines +p6931 +tp6932 +a(g697 +g1101 +tp6933 +a(g697 +g1105 +tp6934 +a(g697 +g1034 +tp6935 +a(g830 +V\u000a +p6936 +tp6937 +a(g13 +Vif +p6938 +tp6939 +a(g830 +g958 +tp6940 +a(g428 +g6300 +tp6941 +a(g830 +g958 +tp6942 +a(g405 +g1051 +tp6943 +a(g405 +g6385 +tp6944 +a(g830 +g958 +tp6945 +a(g428 +VpegLineError +p6946 +tp6947 +a(g830 +g958 +tp6948 +a(g405 +g5834 +tp6949 +a(g830 +g958 +tp6950 +a(g428 +VpegOtherError +p6951 +tp6952 +a(g697 +g1034 +tp6953 +a(g830 +V\u000a +p6954 +tp6955 +a(g428 +Vwin +p6956 +tp6957 +a(g697 +g1038 +tp6958 +a(g428 +VoutputTextView +p6959 +tp6960 +a(g697 +g1038 +tp6961 +a(g428 +VaddText +p6962 +tp6963 +a(g697 +g1101 +tp6964 +a(g81 +g1054 +tp6965 +a(g205 +V\u005cn +p6966 +tp6967 +a(g81 +g1054 +tp6968 +a(g830 +g958 +tp6969 +a(g405 +g2179 +tp6970 +a(g830 +g958 +tp6971 +a(g428 +g6300 +tp6972 +a(g697 +g962 +tp6973 +a(g830 +g958 +tp6974 +a(g428 +VerrorTag +p6975 +tp6976 +a(g697 +g1105 +tp6977 +a(g830 +V\u000a +p6978 +tp6979 +a(g13 +Velif +p6980 +tp6981 +a(g830 +g958 +tp6982 +a(g428 +g6300 +tp6983 +a(g405 +g1051 +tp6984 +a(g405 +g6385 +tp6985 +a(g830 +g958 +tp6986 +a(g428 +VpegSuccess +p6987 +tp6988 +a(g697 +g1034 +tp6989 +a(g830 +V\u000a +p6990 +tp6991 +a(g428 +Vwin +p6992 +tp6993 +a(g697 +g1038 +tp6994 +a(g428 +VoutputTextView +p6995 +tp6996 +a(g697 +g1038 +tp6997 +a(g428 +VaddText +p6998 +tp6999 +a(g697 +g1101 +tp7000 +a(g81 +g1054 +tp7001 +a(g205 +V\u005cn +p7002 +tp7003 +a(g81 +g1054 +tp7004 +a(g830 +g958 +tp7005 +a(g405 +g2179 +tp7006 +a(g830 +g958 +tp7007 +a(g428 +g6300 +tp7008 +a(g697 +g962 +tp7009 +a(g830 +g958 +tp7010 +a(g428 +VsuccessTag +p7011 +tp7012 +a(g697 +g1105 +tp7013 +a(g830 +V\u000a \u000a +p7014 +tp7015 +a(g713 +V# Launch the process +p7016 +tp7017 +a(g830 +V\u000a +p7018 +tp7019 +a(g13 +Vif +p7020 +tp7021 +a(g830 +g958 +tp7022 +a(g428 +VshouldRun +p7023 +tp7024 +a(g697 +g1034 +tp7025 +a(g830 +V\u000a +p7026 +tp7027 +a(g939 +Vvar +p7028 +tp7029 +a(g830 +g958 +tp7030 +a(g428 +Vfilename +p7031 +tp7032 +a(g830 +g958 +tp7033 +a(g405 +g1051 +tp7034 +a(g830 +g958 +tp7035 +a(g428 +VchangeFileExt +p7036 +tp7037 +a(g697 +g1101 +tp7038 +a(g428 +Vwin +p7039 +tp7040 +a(g697 +g1038 +tp7041 +a(g428 +VTabs +p7042 +tp7043 +a(g405 +g1085 +tp7044 +a(g428 +VcurrentTab +p7045 +tp7046 +a(g405 +g1087 +tp7047 +a(g697 +g1038 +tp7048 +a(g428 +Vfilename +p7049 +tp7050 +a(g697 +g962 +tp7051 +a(g830 +g958 +tp7052 +a(g428 +Vos +p7053 +tp7054 +a(g697 +g1038 +tp7055 +a(g428 +VExeExt +p7056 +tp7057 +a(g697 +g1105 +tp7058 +a(g830 +V\u000a +p7059 +tp7060 +a(g939 +Vvar +p7061 +tp7062 +a(g830 +g958 +tp7063 +a(g428 +Voutput +p7064 +tp7065 +a(g830 +g958 +tp7066 +a(g405 +g1051 +tp7067 +a(g830 +g958 +tp7068 +a(g81 +g1054 +tp7069 +a(g205 +V\u005cn +p7070 +tp7071 +a(g81 +g1054 +tp7072 +a(g830 +g958 +tp7073 +a(g405 +g2179 +tp7074 +a(g830 +g958 +tp7075 +a(g428 +VosProc +p7076 +tp7077 +a(g697 +g1038 +tp7078 +a(g428 +VexecProcess +p7079 +tp7080 +a(g697 +g1101 +tp7081 +a(g428 +Vfilename +p7082 +tp7083 +a(g697 +g1105 +tp7084 +a(g830 +V\u000a +p7085 +tp7086 +a(g428 +Vwin +p7087 +tp7088 +a(g697 +g1038 +tp7089 +a(g428 +VoutputTextView +p7090 +tp7091 +a(g697 +g1038 +tp7092 +a(g428 +VaddText +p7093 +tp7094 +a(g697 +g1101 +tp7095 +a(g428 +Voutput +p7096 +tp7097 +a(g697 +g1105 +tp7098 +a(g830 +V\u000a +p7099 +tp7100 +a(g13 +Velif +p7101 +tp7102 +a(g830 +g958 +tp7103 +a(g428 +g6300 +tp7104 +a(g830 +g958 +tp7105 +a(g405 +g1051 +tp7106 +a(g405 +g6385 +tp7107 +a(g830 +g958 +tp7108 +a(g428 +VpegLineWarning +p7109 +tp7110 +a(g697 +g1034 +tp7111 +a(g830 +V\u000a +p7112 +tp7113 +a(g428 +Vwin +p7114 +tp7115 +a(g697 +g1038 +tp7116 +a(g428 +VoutputTextView +p7117 +tp7118 +a(g697 +g1038 +tp7119 +a(g428 +VaddText +p7120 +tp7121 +a(g697 +g1101 +tp7122 +a(g81 +g1054 +tp7123 +a(g205 +V\u005cn +p7124 +tp7125 +a(g81 +g1054 +tp7126 +a(g830 +g958 +tp7127 +a(g405 +g2179 +tp7128 +a(g830 +g958 +tp7129 +a(g428 +g6300 +tp7130 +a(g697 +g962 +tp7131 +a(g830 +g958 +tp7132 +a(g428 +VwarningTag +p7133 +tp7134 +a(g697 +g1105 +tp7135 +a(g830 +V\u000a +p7136 +tp7137 +a(g13 +Velse +p7138 +tp7139 +a(g697 +g1034 +tp7140 +a(g830 +V\u000a +p7141 +tp7142 +a(g428 +Vwin +p7143 +tp7144 +a(g697 +g1038 +tp7145 +a(g428 +VoutputTextView +p7146 +tp7147 +a(g697 +g1038 +tp7148 +a(g428 +VaddText +p7149 +tp7150 +a(g697 +g1101 +tp7151 +a(g81 +g1054 +tp7152 +a(g205 +V\u005cn +p7153 +tp7154 +a(g81 +g1054 +tp7155 +a(g830 +g958 +tp7156 +a(g405 +g2179 +tp7157 +a(g830 +g958 +tp7158 +a(g428 +g6300 +tp7159 +a(g697 +g962 +tp7160 +a(g830 +g958 +tp7161 +a(g428 +VnormalTag +p7162 +tp7163 +a(g697 +g1105 +tp7164 +a(g830 +V\u000a +p7165 +tp7166 +a(g428 +VshowBottomPanel +p7167 +tp7168 +a(g697 +g1101 +tp7169 +a(g697 +g1105 +tp7170 +a(g830 +V\u000a\u000a +p7171 +tp7172 +a(g13 +Vproc +p7173 +tp7174 +a(g566 +VCompileCurrent_Activate +p7175 +tp7176 +a(g697 +g1101 +tp7177 +a(g428 +Vmenuitem +p7178 +tp7179 +a(g697 +g1034 +tp7180 +a(g830 +g958 +tp7181 +a(g428 +VPMenuItem +p7182 +tp7183 +a(g697 +g962 +tp7184 +a(g830 +g958 +tp7185 +a(g428 +Vuser_data +p7186 +tp7187 +a(g697 +g1034 +tp7188 +a(g830 +g958 +tp7189 +a(g428 +Vpgpointer +p7190 +tp7191 +a(g697 +g1105 +tp7192 +a(g830 +g958 +tp7193 +a(g405 +g1051 +tp7194 +a(g830 +V\u000a +p7195 +tp7196 +a(g428 +VsaveFile_Activate +p7197 +tp7198 +a(g697 +g1101 +tp7199 +a(g915 +Vnil +p7200 +tp7201 +a(g697 +g962 +tp7202 +a(g830 +g958 +tp7203 +a(g915 +Vnil +p7204 +tp7205 +a(g697 +g1105 +tp7206 +a(g830 +V\u000a +p7207 +tp7208 +a(g428 +VcompileRun +p7209 +tp7210 +a(g697 +g1101 +tp7211 +a(g428 +Vwin +p7212 +tp7213 +a(g697 +g1038 +tp7214 +a(g428 +VSourceViewTabs +p7215 +tp7216 +a(g697 +g1038 +tp7217 +a(g428 +VgetCurrentPage +p7218 +tp7219 +a(g697 +g1101 +tp7220 +a(g697 +g1105 +tp7221 +a(g697 +g962 +tp7222 +a(g830 +g958 +tp7223 +a(g915 +Vfalse +p7224 +tp7225 +a(g697 +g1105 +tp7226 +a(g830 +V\u000a \u000a +p7227 +tp7228 +a(g13 +Vproc +p7229 +tp7230 +a(g566 +VCompileRunCurrent_Activate +p7231 +tp7232 +a(g697 +g1101 +tp7233 +a(g428 +Vmenuitem +p7234 +tp7235 +a(g697 +g1034 +tp7236 +a(g830 +g958 +tp7237 +a(g428 +VPMenuItem +p7238 +tp7239 +a(g697 +g962 +tp7240 +a(g830 +g958 +tp7241 +a(g428 +Vuser_data +p7242 +tp7243 +a(g697 +g1034 +tp7244 +a(g830 +g958 +tp7245 +a(g428 +Vpgpointer +p7246 +tp7247 +a(g697 +g1105 +tp7248 +a(g830 +g958 +tp7249 +a(g405 +g1051 +tp7250 +a(g830 +V\u000a +p7251 +tp7252 +a(g428 +VsaveFile_Activate +p7253 +tp7254 +a(g697 +g1101 +tp7255 +a(g915 +Vnil +p7256 +tp7257 +a(g697 +g962 +tp7258 +a(g830 +g958 +tp7259 +a(g915 +Vnil +p7260 +tp7261 +a(g697 +g1105 +tp7262 +a(g830 +V\u000a +p7263 +tp7264 +a(g428 +VcompileRun +p7265 +tp7266 +a(g697 +g1101 +tp7267 +a(g428 +Vwin +p7268 +tp7269 +a(g697 +g1038 +tp7270 +a(g428 +VSourceViewTabs +p7271 +tp7272 +a(g697 +g1038 +tp7273 +a(g428 +VgetCurrentPage +p7274 +tp7275 +a(g697 +g1101 +tp7276 +a(g697 +g1105 +tp7277 +a(g697 +g962 +tp7278 +a(g830 +g958 +tp7279 +a(g915 +Vtrue +p7280 +tp7281 +a(g697 +g1105 +tp7282 +a(g830 +V\u000a\u000a +p7283 +tp7284 +a(g13 +Vproc +p7285 +tp7286 +a(g566 +VCompileProject_Activate +p7287 +tp7288 +a(g697 +g1101 +tp7289 +a(g428 +Vmenuitem +p7290 +tp7291 +a(g697 +g1034 +tp7292 +a(g830 +g958 +tp7293 +a(g428 +VPMenuItem +p7294 +tp7295 +a(g697 +g962 +tp7296 +a(g830 +g958 +tp7297 +a(g428 +Vuser_data +p7298 +tp7299 +a(g697 +g1034 +tp7300 +a(g830 +g958 +tp7301 +a(g428 +Vpgpointer +p7302 +tp7303 +a(g697 +g1105 +tp7304 +a(g830 +g958 +tp7305 +a(g405 +g1051 +tp7306 +a(g830 +V\u000a +p7307 +tp7308 +a(g428 +VsaveAllTabs +p7309 +tp7310 +a(g697 +g1101 +tp7311 +a(g697 +g1105 +tp7312 +a(g830 +V\u000a +p7313 +tp7314 +a(g428 +VcompileRun +p7315 +tp7316 +a(g697 +g1101 +tp7317 +a(g428 +VgetProjectTab +p7318 +tp7319 +a(g697 +g1101 +tp7320 +a(g697 +g1105 +tp7321 +a(g697 +g962 +tp7322 +a(g830 +g958 +tp7323 +a(g915 +Vfalse +p7324 +tp7325 +a(g697 +g1105 +tp7326 +a(g830 +V\u000a \u000a +p7327 +tp7328 +a(g13 +Vproc +p7329 +tp7330 +a(g566 +VCompileRunProject_Activate +p7331 +tp7332 +a(g697 +g1101 +tp7333 +a(g428 +Vmenuitem +p7334 +tp7335 +a(g697 +g1034 +tp7336 +a(g830 +g958 +tp7337 +a(g428 +VPMenuItem +p7338 +tp7339 +a(g697 +g962 +tp7340 +a(g830 +g958 +tp7341 +a(g428 +Vuser_data +p7342 +tp7343 +a(g697 +g1034 +tp7344 +a(g830 +g958 +tp7345 +a(g428 +Vpgpointer +p7346 +tp7347 +a(g697 +g1105 +tp7348 +a(g830 +g958 +tp7349 +a(g405 +g1051 +tp7350 +a(g830 +V\u000a +p7351 +tp7352 +a(g428 +VsaveAllTabs +p7353 +tp7354 +a(g697 +g1101 +tp7355 +a(g697 +g1105 +tp7356 +a(g830 +V\u000a +p7357 +tp7358 +a(g428 +VcompileRun +p7359 +tp7360 +a(g697 +g1101 +tp7361 +a(g428 +VgetProjectTab +p7362 +tp7363 +a(g697 +g1101 +tp7364 +a(g697 +g1105 +tp7365 +a(g697 +g962 +tp7366 +a(g830 +g958 +tp7367 +a(g915 +Vtrue +p7368 +tp7369 +a(g697 +g1105 +tp7370 +a(g830 +V\u000a\u000a +p7371 +tp7372 +a(g13 +Vproc +p7373 +tp7374 +a(g566 +VRunCustomCommand +p7375 +tp7376 +a(g697 +g1101 +tp7377 +a(g428 +Vcmd +p7378 +tp7379 +a(g697 +g1034 +tp7380 +a(g830 +g958 +tp7381 +a(g885 +Vstring +p7382 +tp7383 +a(g697 +g1105 +tp7384 +a(g830 +g958 +tp7385 +a(g405 +g1051 +tp7386 +a(g830 +V \u000a +p7387 +tp7388 +a(g428 +VsaveFile_Activate +p7389 +tp7390 +a(g697 +g1101 +tp7391 +a(g915 +Vnil +p7392 +tp7393 +a(g697 +g962 +tp7394 +a(g830 +g958 +tp7395 +a(g915 +Vnil +p7396 +tp7397 +a(g697 +g1105 +tp7398 +a(g830 +V\u000a +p7399 +tp7400 +a(g939 +Vvar +p7401 +tp7402 +a(g830 +g958 +tp7403 +a(g428 +VcurrentTab +p7404 +tp7405 +a(g830 +g958 +tp7406 +a(g405 +g1051 +tp7407 +a(g830 +g958 +tp7408 +a(g428 +Vwin +p7409 +tp7410 +a(g697 +g1038 +tp7411 +a(g428 +VSourceViewTabs +p7412 +tp7413 +a(g697 +g1038 +tp7414 +a(g428 +VgetCurrentPage +p7415 +tp7416 +a(g697 +g1101 +tp7417 +a(g697 +g1105 +tp7418 +a(g830 +V\u000a +p7419 +tp7420 +a(g13 +Vif +p7421 +tp7422 +a(g830 +g958 +tp7423 +a(g428 +Vwin +p7424 +tp7425 +a(g697 +g1038 +tp7426 +a(g428 +VTabs +p7427 +tp7428 +a(g405 +g1085 +tp7429 +a(g428 +VcurrentTab +p7430 +tp7431 +a(g405 +g1087 +tp7432 +a(g697 +g1038 +tp7433 +a(g428 +Vfilename +p7434 +tp7435 +a(g697 +g1038 +tp7436 +a(g428 +Vlen +p7437 +tp7438 +a(g830 +g958 +tp7439 +a(g405 +g1051 +tp7440 +a(g405 +g1051 +tp7441 +a(g830 +g958 +tp7442 +a(g27 +g1263 +tp7443 +a(g830 +g958 +tp7444 +a(g419 +Vor +p7445 +tp7446 +a(g830 +g958 +tp7447 +a(g428 +Vcmd +p7448 +tp7449 +a(g697 +g1038 +tp7450 +a(g428 +Vlen +p7451 +tp7452 +a(g830 +g958 +tp7453 +a(g405 +g1051 +tp7454 +a(g405 +g1051 +tp7455 +a(g830 +g958 +tp7456 +a(g27 +g1263 +tp7457 +a(g697 +g1034 +tp7458 +a(g830 +g958 +tp7459 +a(g13 +Vreturn +p7460 +tp7461 +a(g830 +V\u000a +p7462 +tp7463 +a(g713 +V# Clear the outputTextView +p7464 +tp7465 +a(g830 +V\u000a +p7466 +tp7467 +a(g428 +Vwin +p7468 +tp7469 +a(g697 +g1038 +tp7470 +a(g428 +VoutputTextView +p7471 +tp7472 +a(g697 +g1038 +tp7473 +a(g428 +VgetBuffer +p7474 +tp7475 +a(g697 +g1101 +tp7476 +a(g697 +g1105 +tp7477 +a(g697 +g1038 +tp7478 +a(g428 +VsetText +p7479 +tp7480 +a(g697 +g1101 +tp7481 +a(g81 +g1054 +tp7482 +a(g81 +g1054 +tp7483 +a(g697 +g962 +tp7484 +a(g830 +g958 +tp7485 +a(g27 +g1263 +tp7486 +a(g697 +g1105 +tp7487 +a(g830 +V\u000a +p7488 +tp7489 +a(g939 +Vvar +p7490 +tp7491 +a(g830 +g958 +tp7492 +a(g428 +Voutp +p7493 +tp7494 +a(g830 +g958 +tp7495 +a(g405 +g1051 +tp7496 +a(g830 +g958 +tp7497 +a(g428 +VosProc +p7498 +tp7499 +a(g697 +g1038 +tp7500 +a(g428 +VexecProcess +p7501 +tp7502 +a(g697 +g1101 +tp7503 +a(g428 +VGetCmd +p7504 +tp7505 +a(g697 +g1101 +tp7506 +a(g428 +Vcmd +p7507 +tp7508 +a(g697 +g962 +tp7509 +a(g830 +g958 +tp7510 +a(g428 +Vwin +p7511 +tp7512 +a(g697 +g1038 +tp7513 +a(g428 +VTabs +p7514 +tp7515 +a(g405 +g1085 +tp7516 +a(g428 +VcurrentTab +p7517 +tp7518 +a(g405 +g1087 +tp7519 +a(g697 +g1038 +tp7520 +a(g428 +Vfilename +p7521 +tp7522 +a(g697 +g1105 +tp7523 +a(g697 +g1105 +tp7524 +a(g830 +V\u000a +p7525 +tp7526 +a(g939 +Vvar +p7527 +tp7528 +a(g830 +g958 +tp7529 +a(g428 +VnormalTag +p7530 +tp7531 +a(g830 +g958 +tp7532 +a(g405 +g1051 +tp7533 +a(g830 +g958 +tp7534 +a(g428 +VcreateColor +p7535 +tp7536 +a(g697 +g1101 +tp7537 +a(g428 +Vwin +p7538 +tp7539 +a(g697 +g1038 +tp7540 +a(g428 +VoutputTextView +p7541 +tp7542 +a(g697 +g962 +tp7543 +a(g830 +g958 +tp7544 +a(g81 +g1054 +tp7545 +a(g81 +VnormalTag +p7546 +tp7547 +a(g81 +g1054 +tp7548 +a(g697 +g962 +tp7549 +a(g830 +g958 +tp7550 +a(g81 +g1054 +tp7551 +a(g81 +V#3d3d3d +p7552 +tp7553 +a(g81 +g1054 +tp7554 +a(g697 +g1105 +tp7555 +a(g830 +V\u000a +p7556 +tp7557 +a(g13 +Vfor +p7558 +tp7559 +a(g830 +g958 +tp7560 +a(g428 +g6300 +tp7561 +a(g830 +g958 +tp7562 +a(g419 +Vin +p7563 +tp7564 +a(g830 +g958 +tp7565 +a(g428 +Voutp +p7566 +tp7567 +a(g697 +g1038 +tp7568 +a(g428 +VsplitLines +p7569 +tp7570 +a(g697 +g1101 +tp7571 +a(g697 +g1105 +tp7572 +a(g697 +g1034 +tp7573 +a(g830 +V\u000a +p7574 +tp7575 +a(g428 +Vwin +p7576 +tp7577 +a(g697 +g1038 +tp7578 +a(g428 +VoutputTextView +p7579 +tp7580 +a(g697 +g1038 +tp7581 +a(g428 +VaddText +p7582 +tp7583 +a(g697 +g1101 +tp7584 +a(g81 +g1054 +tp7585 +a(g205 +V\u005cn +p7586 +tp7587 +a(g81 +g1054 +tp7588 +a(g830 +g958 +tp7589 +a(g405 +g2179 +tp7590 +a(g830 +g958 +tp7591 +a(g428 +g6300 +tp7592 +a(g697 +g962 +tp7593 +a(g830 +g958 +tp7594 +a(g428 +VnormalTag +p7595 +tp7596 +a(g697 +g1105 +tp7597 +a(g830 +V\u000a +p7598 +tp7599 +a(g428 +VshowBottomPanel +p7600 +tp7601 +a(g697 +g1101 +tp7602 +a(g697 +g1105 +tp7603 +a(g830 +V\u000a\u000a +p7604 +tp7605 +a(g13 +Vproc +p7606 +tp7607 +a(g566 +VRunCustomCommand1 +p7608 +tp7609 +a(g697 +g1101 +tp7610 +a(g428 +Vmenuitem +p7611 +tp7612 +a(g697 +g1034 +tp7613 +a(g830 +g958 +tp7614 +a(g428 +VPMenuItem +p7615 +tp7616 +a(g697 +g962 +tp7617 +a(g830 +g958 +tp7618 +a(g428 +Vuser_data +p7619 +tp7620 +a(g697 +g1034 +tp7621 +a(g830 +g958 +tp7622 +a(g428 +Vpgpointer +p7623 +tp7624 +a(g697 +g1105 +tp7625 +a(g830 +g958 +tp7626 +a(g405 +g1051 +tp7627 +a(g830 +V\u000a +p7628 +tp7629 +a(g428 +VRunCustomCommand +p7630 +tp7631 +a(g697 +g1101 +tp7632 +a(g428 +Vwin +p7633 +tp7634 +a(g697 +g1038 +tp7635 +a(g428 +Vsettings +p7636 +tp7637 +a(g697 +g1038 +tp7638 +a(g428 +VcustomCmd1 +p7639 +tp7640 +a(g697 +g1105 +tp7641 +a(g830 +V\u000a\u000a +p7642 +tp7643 +a(g13 +Vproc +p7644 +tp7645 +a(g566 +VRunCustomCommand2 +p7646 +tp7647 +a(g697 +g1101 +tp7648 +a(g428 +Vmenuitem +p7649 +tp7650 +a(g697 +g1034 +tp7651 +a(g830 +g958 +tp7652 +a(g428 +VPMenuItem +p7653 +tp7654 +a(g697 +g962 +tp7655 +a(g830 +g958 +tp7656 +a(g428 +Vuser_data +p7657 +tp7658 +a(g697 +g1034 +tp7659 +a(g830 +g958 +tp7660 +a(g428 +Vpgpointer +p7661 +tp7662 +a(g697 +g1105 +tp7663 +a(g830 +g958 +tp7664 +a(g405 +g1051 +tp7665 +a(g830 +V\u000a +p7666 +tp7667 +a(g428 +VRunCustomCommand +p7668 +tp7669 +a(g697 +g1101 +tp7670 +a(g428 +Vwin +p7671 +tp7672 +a(g697 +g1038 +tp7673 +a(g428 +Vsettings +p7674 +tp7675 +a(g697 +g1038 +tp7676 +a(g428 +VcustomCmd2 +p7677 +tp7678 +a(g697 +g1105 +tp7679 +a(g830 +V\u000a\u000a +p7680 +tp7681 +a(g13 +Vproc +p7682 +tp7683 +a(g566 +VRunCustomCommand3 +p7684 +tp7685 +a(g697 +g1101 +tp7686 +a(g428 +Vmenuitem +p7687 +tp7688 +a(g697 +g1034 +tp7689 +a(g830 +g958 +tp7690 +a(g428 +VPMenuItem +p7691 +tp7692 +a(g697 +g962 +tp7693 +a(g830 +g958 +tp7694 +a(g428 +Vuser_data +p7695 +tp7696 +a(g697 +g1034 +tp7697 +a(g830 +g958 +tp7698 +a(g428 +Vpgpointer +p7699 +tp7700 +a(g697 +g1105 +tp7701 +a(g830 +g958 +tp7702 +a(g405 +g1051 +tp7703 +a(g830 +V\u000a +p7704 +tp7705 +a(g428 +VRunCustomCommand +p7706 +tp7707 +a(g697 +g1101 +tp7708 +a(g428 +Vwin +p7709 +tp7710 +a(g697 +g1038 +tp7711 +a(g428 +Vsettings +p7712 +tp7713 +a(g697 +g1038 +tp7714 +a(g428 +VcustomCmd3 +p7715 +tp7716 +a(g697 +g1105 +tp7717 +a(g830 +V\u000a\u000a +p7718 +tp7719 +a(g713 +V# -- FindBar +p7720 +tp7721 +a(g830 +V\u000a\u000a +p7722 +tp7723 +a(g13 +Vproc +p7724 +tp7725 +a(g566 +VnextBtn_Clicked +p7726 +tp7727 +a(g697 +g1101 +tp7728 +a(g428 +Vbutton +p7729 +tp7730 +a(g697 +g1034 +tp7731 +a(g830 +g958 +tp7732 +a(g428 +VPButton +p7733 +tp7734 +a(g697 +g962 +tp7735 +a(g830 +g958 +tp7736 +a(g428 +Vuser_data +p7737 +tp7738 +a(g697 +g1034 +tp7739 +a(g830 +g958 +tp7740 +a(g428 +Vpgpointer +p7741 +tp7742 +a(g697 +g1105 +tp7743 +a(g830 +g958 +tp7744 +a(g405 +g1051 +tp7745 +a(g830 +g958 +tp7746 +a(g428 +VfindText +p7747 +tp7748 +a(g697 +g1101 +tp7749 +a(g915 +VTrue +p7750 +tp7751 +a(g697 +g1105 +tp7752 +a(g830 +V\u000a +p7753 +tp7754 +a(g13 +Vproc +p7755 +tp7756 +a(g566 +VprevBtn_Clicked +p7757 +tp7758 +a(g697 +g1101 +tp7759 +a(g428 +Vbutton +p7760 +tp7761 +a(g697 +g1034 +tp7762 +a(g830 +g958 +tp7763 +a(g428 +VPButton +p7764 +tp7765 +a(g697 +g962 +tp7766 +a(g830 +g958 +tp7767 +a(g428 +Vuser_data +p7768 +tp7769 +a(g697 +g1034 +tp7770 +a(g830 +g958 +tp7771 +a(g428 +Vpgpointer +p7772 +tp7773 +a(g697 +g1105 +tp7774 +a(g830 +g958 +tp7775 +a(g405 +g1051 +tp7776 +a(g830 +g958 +tp7777 +a(g428 +VfindText +p7778 +tp7779 +a(g697 +g1101 +tp7780 +a(g915 +VFalse +p7781 +tp7782 +a(g697 +g1105 +tp7783 +a(g830 +V\u000a\u000a +p7784 +tp7785 +a(g13 +Vproc +p7786 +tp7787 +a(g566 +VreplaceBtn_Clicked +p7788 +tp7789 +a(g697 +g1101 +tp7790 +a(g428 +Vbutton +p7791 +tp7792 +a(g697 +g1034 +tp7793 +a(g830 +g958 +tp7794 +a(g428 +VPButton +p7795 +tp7796 +a(g697 +g962 +tp7797 +a(g830 +g958 +tp7798 +a(g428 +Vuser_data +p7799 +tp7800 +a(g697 +g1034 +tp7801 +a(g830 +g958 +tp7802 +a(g428 +Vpgpointer +p7803 +tp7804 +a(g697 +g1105 +tp7805 +a(g830 +g958 +tp7806 +a(g405 +g1051 +tp7807 +a(g830 +V\u000a +p7808 +tp7809 +a(g939 +Vvar +p7810 +tp7811 +a(g830 +g958 +tp7812 +a(g428 +VcurrentTab +p7813 +tp7814 +a(g830 +g958 +tp7815 +a(g405 +g1051 +tp7816 +a(g830 +g958 +tp7817 +a(g428 +Vwin +p7818 +tp7819 +a(g697 +g1038 +tp7820 +a(g428 +VSourceViewTabs +p7821 +tp7822 +a(g697 +g1038 +tp7823 +a(g428 +VgetCurrentPage +p7824 +tp7825 +a(g697 +g1101 +tp7826 +a(g697 +g1105 +tp7827 +a(g830 +V\u000a +p7828 +tp7829 +a(g939 +Vvar +p7830 +tp7831 +a(g830 +g958 +tp7832 +a(g428 +Vstart +p7833 +tp7834 +a(g697 +g962 +tp7835 +a(g830 +g958 +tp7836 +a(g428 +VtheEnd +p7837 +tp7838 +a(g697 +g1034 +tp7839 +a(g830 +g958 +tp7840 +a(g428 +VTTextIter +p7841 +tp7842 +a(g830 +V\u000a +p7843 +tp7844 +a(g13 +Vif +p7845 +tp7846 +a(g830 +g958 +tp7847 +a(g419 +Vnot +p7848 +tp7849 +a(g830 +g958 +tp7850 +a(g428 +Vwin +p7851 +tp7852 +a(g697 +g1038 +tp7853 +a(g428 +VTabs +p7854 +tp7855 +a(g405 +g1085 +tp7856 +a(g428 +VcurrentTab +p7857 +tp7858 +a(g405 +g1087 +tp7859 +a(g697 +g1038 +tp7860 +a(g428 +Vbuffer +p7861 +tp7862 +a(g697 +g1038 +tp7863 +a(g428 +VgetSelectionBounds +p7864 +tp7865 +a(g697 +g1101 +tp7866 +a(g830 +V\u000a +p7867 +tp7868 +a(g13 +Vaddr +p7869 +tp7870 +a(g697 +g1101 +tp7871 +a(g428 +Vstart +p7872 +tp7873 +a(g697 +g1105 +tp7874 +a(g697 +g962 +tp7875 +a(g830 +g958 +tp7876 +a(g13 +Vaddr +p7877 +tp7878 +a(g697 +g1101 +tp7879 +a(g428 +VtheEnd +p7880 +tp7881 +a(g697 +g1105 +tp7882 +a(g697 +g1105 +tp7883 +a(g697 +g1034 +tp7884 +a(g830 +V\u000a +p7885 +tp7886 +a(g713 +V# If no text is selected, try finding a match. +p7887 +tp7888 +a(g830 +V\u000a +p7889 +tp7890 +a(g428 +VfindText +p7891 +tp7892 +a(g697 +g1101 +tp7893 +a(g915 +VTrue +p7894 +tp7895 +a(g697 +g1105 +tp7896 +a(g830 +V\u000a +p7897 +tp7898 +a(g13 +Vif +p7899 +tp7900 +a(g830 +g958 +tp7901 +a(g419 +Vnot +p7902 +tp7903 +a(g830 +g958 +tp7904 +a(g428 +Vwin +p7905 +tp7906 +a(g697 +g1038 +tp7907 +a(g428 +VTabs +p7908 +tp7909 +a(g405 +g1085 +tp7910 +a(g428 +VcurrentTab +p7911 +tp7912 +a(g405 +g1087 +tp7913 +a(g697 +g1038 +tp7914 +a(g428 +Vbuffer +p7915 +tp7916 +a(g697 +g1038 +tp7917 +a(g428 +VgetSelectionBounds +p7918 +tp7919 +a(g697 +g1101 +tp7920 +a(g830 +V\u000a +p7921 +tp7922 +a(g13 +Vaddr +p7923 +tp7924 +a(g697 +g1101 +tp7925 +a(g428 +Vstart +p7926 +tp7927 +a(g697 +g1105 +tp7928 +a(g697 +g962 +tp7929 +a(g830 +g958 +tp7930 +a(g13 +Vaddr +p7931 +tp7932 +a(g697 +g1101 +tp7933 +a(g428 +VtheEnd +p7934 +tp7935 +a(g697 +g1105 +tp7936 +a(g697 +g1105 +tp7937 +a(g697 +g1034 +tp7938 +a(g830 +V\u000a +p7939 +tp7940 +a(g713 +V# No match +p7941 +tp7942 +a(g830 +V\u000a +p7943 +tp7944 +a(g13 +Vreturn +p7945 +tp7946 +a(g830 +V\u000a \u000a +p7947 +tp7948 +a(g713 +V# Remove the text +p7949 +tp7950 +a(g830 +V\u000a +p7951 +tp7952 +a(g428 +Vwin +p7953 +tp7954 +a(g697 +g1038 +tp7955 +a(g428 +VTabs +p7956 +tp7957 +a(g405 +g1085 +tp7958 +a(g428 +VcurrentTab +p7959 +tp7960 +a(g405 +g1087 +tp7961 +a(g697 +g1038 +tp7962 +a(g428 +Vbuffer +p7963 +tp7964 +a(g697 +g1038 +tp7965 +a(g428 +Vdelete +p7966 +tp7967 +a(g697 +g1101 +tp7968 +a(g13 +Vaddr +p7969 +tp7970 +a(g697 +g1101 +tp7971 +a(g428 +Vstart +p7972 +tp7973 +a(g697 +g1105 +tp7974 +a(g697 +g962 +tp7975 +a(g830 +g958 +tp7976 +a(g13 +Vaddr +p7977 +tp7978 +a(g697 +g1101 +tp7979 +a(g428 +VtheEnd +p7980 +tp7981 +a(g697 +g1105 +tp7982 +a(g697 +g1105 +tp7983 +a(g830 +V\u000a +p7984 +tp7985 +a(g713 +V# Insert the replacement +p7986 +tp7987 +a(g830 +V\u000a +p7988 +tp7989 +a(g939 +Vvar +p7990 +tp7991 +a(g830 +g958 +tp7992 +a(g428 +Vtext +p7993 +tp7994 +a(g830 +g958 +tp7995 +a(g405 +g1051 +tp7996 +a(g830 +g958 +tp7997 +a(g428 +VgetText +p7998 +tp7999 +a(g697 +g1101 +tp8000 +a(g428 +Vwin +p8001 +tp8002 +a(g697 +g1038 +tp8003 +a(g428 +VreplaceEntry +p8004 +tp8005 +a(g697 +g1105 +tp8006 +a(g830 +V\u000a +p8007 +tp8008 +a(g428 +Vwin +p8009 +tp8010 +a(g697 +g1038 +tp8011 +a(g428 +VTabs +p8012 +tp8013 +a(g405 +g1085 +tp8014 +a(g428 +VcurrentTab +p8015 +tp8016 +a(g405 +g1087 +tp8017 +a(g697 +g1038 +tp8018 +a(g428 +Vbuffer +p8019 +tp8020 +a(g697 +g1038 +tp8021 +a(g428 +Vinsert +p8022 +tp8023 +a(g697 +g1101 +tp8024 +a(g13 +Vaddr +p8025 +tp8026 +a(g697 +g1101 +tp8027 +a(g428 +Vstart +p8028 +tp8029 +a(g697 +g1105 +tp8030 +a(g697 +g962 +tp8031 +a(g830 +g958 +tp8032 +a(g428 +Vtext +p8033 +tp8034 +a(g697 +g962 +tp8035 +a(g830 +g958 +tp8036 +a(g428 +Vlen +p8037 +tp8038 +a(g697 +g1101 +tp8039 +a(g428 +Vtext +p8040 +tp8041 +a(g697 +g1105 +tp8042 +a(g697 +g1105 +tp8043 +a(g830 +V\u000a \u000a +p8044 +tp8045 +a(g13 +Vproc +p8046 +tp8047 +a(g566 +VreplaceAllBtn_Clicked +p8048 +tp8049 +a(g697 +g1101 +tp8050 +a(g428 +Vbutton +p8051 +tp8052 +a(g697 +g1034 +tp8053 +a(g830 +g958 +tp8054 +a(g428 +VPButton +p8055 +tp8056 +a(g697 +g962 +tp8057 +a(g830 +g958 +tp8058 +a(g428 +Vuser_data +p8059 +tp8060 +a(g697 +g1034 +tp8061 +a(g830 +g958 +tp8062 +a(g428 +Vpgpointer +p8063 +tp8064 +a(g697 +g1105 +tp8065 +a(g830 +g958 +tp8066 +a(g405 +g1051 +tp8067 +a(g830 +V\u000a +p8068 +tp8069 +a(g939 +Vvar +p8070 +tp8071 +a(g830 +g958 +tp8072 +a(g428 +Vfind +p8073 +tp8074 +a(g830 +g958 +tp8075 +a(g405 +g1051 +tp8076 +a(g830 +g958 +tp8077 +a(g428 +VgetText +p8078 +tp8079 +a(g697 +g1101 +tp8080 +a(g428 +Vwin +p8081 +tp8082 +a(g697 +g1038 +tp8083 +a(g428 +VfindEntry +p8084 +tp8085 +a(g697 +g1105 +tp8086 +a(g830 +V\u000a +p8087 +tp8088 +a(g939 +Vvar +p8089 +tp8090 +a(g830 +g958 +tp8091 +a(g428 +Vreplace +p8092 +tp8093 +a(g830 +g958 +tp8094 +a(g405 +g1051 +tp8095 +a(g830 +g958 +tp8096 +a(g428 +VgetText +p8097 +tp8098 +a(g697 +g1101 +tp8099 +a(g428 +Vwin +p8100 +tp8101 +a(g697 +g1038 +tp8102 +a(g428 +VreplaceEntry +p8103 +tp8104 +a(g697 +g1105 +tp8105 +a(g830 +V\u000a +p8106 +tp8107 +a(g13 +Vdiscard +p8108 +tp8109 +a(g830 +g958 +tp8110 +a(g428 +VreplaceAll +p8111 +tp8112 +a(g697 +g1101 +tp8113 +a(g428 +Vfind +p8114 +tp8115 +a(g697 +g962 +tp8116 +a(g830 +g958 +tp8117 +a(g428 +Vreplace +p8118 +tp8119 +a(g697 +g1105 +tp8120 +a(g830 +V\u000a \u000a +p8121 +tp8122 +a(g13 +Vproc +p8123 +tp8124 +a(g566 +VcloseBtn_Clicked +p8125 +tp8126 +a(g697 +g1101 +tp8127 +a(g428 +Vbutton +p8128 +tp8129 +a(g697 +g1034 +tp8130 +a(g830 +g958 +tp8131 +a(g428 +VPButton +p8132 +tp8133 +a(g697 +g962 +tp8134 +a(g830 +g958 +tp8135 +a(g428 +Vuser_data +p8136 +tp8137 +a(g697 +g1034 +tp8138 +a(g830 +g958 +tp8139 +a(g428 +Vpgpointer +p8140 +tp8141 +a(g697 +g1105 +tp8142 +a(g830 +g958 +tp8143 +a(g405 +g1051 +tp8144 +a(g830 +V \u000a +p8145 +tp8146 +a(g428 +Vwin +p8147 +tp8148 +a(g697 +g1038 +tp8149 +a(g428 +VfindBar +p8150 +tp8151 +a(g697 +g1038 +tp8152 +a(g428 +Vhide +p8153 +tp8154 +a(g697 +g1101 +tp8155 +a(g697 +g1105 +tp8156 +a(g830 +V\u000a\u000a +p8157 +tp8158 +a(g13 +Vproc +p8159 +tp8160 +a(g566 +VcaseSens_Changed +p8161 +tp8162 +a(g697 +g1101 +tp8163 +a(g428 +Vradiomenuitem +p8164 +tp8165 +a(g697 +g1034 +tp8166 +a(g830 +g958 +tp8167 +a(g428 +VPRadioMenuitem +p8168 +tp8169 +a(g697 +g962 +tp8170 +a(g830 +g958 +tp8171 +a(g428 +Vuser_data +p8172 +tp8173 +a(g697 +g1034 +tp8174 +a(g830 +g958 +tp8175 +a(g428 +Vpgpointer +p8176 +tp8177 +a(g697 +g1105 +tp8178 +a(g830 +g958 +tp8179 +a(g405 +g1051 +tp8180 +a(g830 +V\u000a +p8181 +tp8182 +a(g428 +Vwin +p8183 +tp8184 +a(g697 +g1038 +tp8185 +a(g428 +Vsettings +p8186 +tp8187 +a(g697 +g1038 +tp8188 +a(g428 +Vsearch +p8189 +tp8190 +a(g830 +g958 +tp8191 +a(g405 +g1051 +tp8192 +a(g830 +g958 +tp8193 +a(g81 +g1054 +tp8194 +a(g81 +Vcasesens +p8195 +tp8196 +a(g81 +g1054 +tp8197 +a(g830 +V\u000a +p8198 +tp8199 +a(g13 +Vproc +p8200 +tp8201 +a(g566 +VcaseInSens_Changed +p8202 +tp8203 +a(g697 +g1101 +tp8204 +a(g428 +Vradiomenuitem +p8205 +tp8206 +a(g697 +g1034 +tp8207 +a(g830 +g958 +tp8208 +a(g428 +VPRadioMenuitem +p8209 +tp8210 +a(g697 +g962 +tp8211 +a(g830 +g958 +tp8212 +a(g428 +Vuser_data +p8213 +tp8214 +a(g697 +g1034 +tp8215 +a(g830 +g958 +tp8216 +a(g428 +Vpgpointer +p8217 +tp8218 +a(g697 +g1105 +tp8219 +a(g830 +g958 +tp8220 +a(g405 +g1051 +tp8221 +a(g830 +V\u000a +p8222 +tp8223 +a(g428 +Vwin +p8224 +tp8225 +a(g697 +g1038 +tp8226 +a(g428 +Vsettings +p8227 +tp8228 +a(g697 +g1038 +tp8229 +a(g428 +Vsearch +p8230 +tp8231 +a(g830 +g958 +tp8232 +a(g405 +g1051 +tp8233 +a(g830 +g958 +tp8234 +a(g81 +g1054 +tp8235 +a(g81 +Vcaseinsens +p8236 +tp8237 +a(g81 +g1054 +tp8238 +a(g830 +V\u000a +p8239 +tp8240 +a(g13 +Vproc +p8241 +tp8242 +a(g566 +Vstyle_Changed +p8243 +tp8244 +a(g697 +g1101 +tp8245 +a(g428 +Vradiomenuitem +p8246 +tp8247 +a(g697 +g1034 +tp8248 +a(g830 +g958 +tp8249 +a(g428 +VPRadioMenuitem +p8250 +tp8251 +a(g697 +g962 +tp8252 +a(g830 +g958 +tp8253 +a(g428 +Vuser_data +p8254 +tp8255 +a(g697 +g1034 +tp8256 +a(g830 +g958 +tp8257 +a(g428 +Vpgpointer +p8258 +tp8259 +a(g697 +g1105 +tp8260 +a(g830 +g958 +tp8261 +a(g405 +g1051 +tp8262 +a(g830 +V\u000a +p8263 +tp8264 +a(g428 +Vwin +p8265 +tp8266 +a(g697 +g1038 +tp8267 +a(g428 +Vsettings +p8268 +tp8269 +a(g697 +g1038 +tp8270 +a(g428 +Vsearch +p8271 +tp8272 +a(g830 +g958 +tp8273 +a(g405 +g1051 +tp8274 +a(g830 +g958 +tp8275 +a(g81 +g1054 +tp8276 +a(g81 +Vstyle +p8277 +tp8278 +a(g81 +g1054 +tp8279 +a(g830 +V\u000a +p8280 +tp8281 +a(g13 +Vproc +p8282 +tp8283 +a(g566 +Vregex_Changed +p8284 +tp8285 +a(g697 +g1101 +tp8286 +a(g428 +Vradiomenuitem +p8287 +tp8288 +a(g697 +g1034 +tp8289 +a(g830 +g958 +tp8290 +a(g428 +VPRadioMenuitem +p8291 +tp8292 +a(g697 +g962 +tp8293 +a(g830 +g958 +tp8294 +a(g428 +Vuser_data +p8295 +tp8296 +a(g697 +g1034 +tp8297 +a(g830 +g958 +tp8298 +a(g428 +Vpgpointer +p8299 +tp8300 +a(g697 +g1105 +tp8301 +a(g830 +g958 +tp8302 +a(g405 +g1051 +tp8303 +a(g830 +V\u000a +p8304 +tp8305 +a(g428 +Vwin +p8306 +tp8307 +a(g697 +g1038 +tp8308 +a(g428 +Vsettings +p8309 +tp8310 +a(g697 +g1038 +tp8311 +a(g428 +Vsearch +p8312 +tp8313 +a(g830 +g958 +tp8314 +a(g405 +g1051 +tp8315 +a(g830 +g958 +tp8316 +a(g81 +g1054 +tp8317 +a(g81 +Vregex +p8318 +tp8319 +a(g81 +g1054 +tp8320 +a(g830 +V\u000a +p8321 +tp8322 +a(g13 +Vproc +p8323 +tp8324 +a(g566 +Vpeg_Changed +p8325 +tp8326 +a(g697 +g1101 +tp8327 +a(g428 +Vradiomenuitem +p8328 +tp8329 +a(g697 +g1034 +tp8330 +a(g830 +g958 +tp8331 +a(g428 +VPRadioMenuitem +p8332 +tp8333 +a(g697 +g962 +tp8334 +a(g830 +g958 +tp8335 +a(g428 +Vuser_data +p8336 +tp8337 +a(g697 +g1034 +tp8338 +a(g830 +g958 +tp8339 +a(g428 +Vpgpointer +p8340 +tp8341 +a(g697 +g1105 +tp8342 +a(g830 +g958 +tp8343 +a(g405 +g1051 +tp8344 +a(g830 +V\u000a +p8345 +tp8346 +a(g428 +Vwin +p8347 +tp8348 +a(g697 +g1038 +tp8349 +a(g428 +Vsettings +p8350 +tp8351 +a(g697 +g1038 +tp8352 +a(g428 +Vsearch +p8353 +tp8354 +a(g830 +g958 +tp8355 +a(g405 +g1051 +tp8356 +a(g830 +g958 +tp8357 +a(g81 +g1054 +tp8358 +a(g81 +Vpeg +p8359 +tp8360 +a(g81 +g1054 +tp8361 +a(g830 +V\u000a\u000a +p8362 +tp8363 +a(g13 +Vproc +p8364 +tp8365 +a(g566 +VextraBtn_Clicked +p8366 +tp8367 +a(g697 +g1101 +tp8368 +a(g428 +Vbutton +p8369 +tp8370 +a(g697 +g1034 +tp8371 +a(g830 +g958 +tp8372 +a(g428 +VPButton +p8373 +tp8374 +a(g697 +g962 +tp8375 +a(g830 +g958 +tp8376 +a(g428 +Vuser_data +p8377 +tp8378 +a(g697 +g1034 +tp8379 +a(g830 +g958 +tp8380 +a(g428 +Vpgpointer +p8381 +tp8382 +a(g697 +g1105 +tp8383 +a(g830 +g958 +tp8384 +a(g405 +g1051 +tp8385 +a(g830 +V\u000a +p8386 +tp8387 +a(g939 +Vvar +p8388 +tp8389 +a(g830 +g958 +tp8390 +a(g428 +VextraMenu +p8391 +tp8392 +a(g830 +g958 +tp8393 +a(g405 +g1051 +tp8394 +a(g830 +g958 +tp8395 +a(g428 +VmenuNew +p8396 +tp8397 +a(g697 +g1101 +tp8398 +a(g697 +g1105 +tp8399 +a(g830 +V\u000a +p8400 +tp8401 +a(g939 +Vvar +p8402 +tp8403 +a(g830 +g958 +tp8404 +a(g428 +Vgroup +p8405 +tp8406 +a(g697 +g1034 +tp8407 +a(g830 +g958 +tp8408 +a(g428 +VPGSList +p8409 +tp8410 +a(g830 +V\u000a\u000a +p8411 +tp8412 +a(g939 +Vvar +p8413 +tp8414 +a(g830 +g958 +tp8415 +a(g428 +VcaseSensMenuItem +p8416 +tp8417 +a(g830 +g958 +tp8418 +a(g405 +g1051 +tp8419 +a(g830 +g958 +tp8420 +a(g428 +Vradio_menu_item_new +p8421 +tp8422 +a(g697 +g1101 +tp8423 +a(g428 +Vgroup +p8424 +tp8425 +a(g697 +g962 +tp8426 +a(g830 +g958 +tp8427 +a(g81 +g1054 +tp8428 +a(g81 +VCase sensitive +p8429 +tp8430 +a(g81 +g1054 +tp8431 +a(g697 +g1105 +tp8432 +a(g830 +V\u000a +p8433 +tp8434 +a(g428 +VextraMenu +p8435 +tp8436 +a(g697 +g1038 +tp8437 +a(g428 +Vappend +p8438 +tp8439 +a(g697 +g1101 +tp8440 +a(g428 +VcaseSensMenuItem +p8441 +tp8442 +a(g697 +g1105 +tp8443 +a(g830 +V\u000a +p8444 +tp8445 +a(g13 +Vdiscard +p8446 +tp8447 +a(g830 +g958 +tp8448 +a(g428 +Vsignal_connect +p8449 +tp8450 +a(g697 +g1101 +tp8451 +a(g428 +VcaseSensMenuItem +p8452 +tp8453 +a(g697 +g962 +tp8454 +a(g830 +g958 +tp8455 +a(g81 +g1054 +tp8456 +a(g81 +Vtoggled +p8457 +tp8458 +a(g81 +g1054 +tp8459 +a(g697 +g962 +tp8460 +a(g830 +V \u000a +p8461 +tp8462 +a(g428 +VSIGNAL_FUNC +p8463 +tp8464 +a(g697 +g1101 +tp8465 +a(g428 +VcaseSens_Changed +p8466 +tp8467 +a(g697 +g1105 +tp8468 +a(g697 +g962 +tp8469 +a(g830 +g958 +tp8470 +a(g915 +Vnil +p8471 +tp8472 +a(g697 +g1105 +tp8473 +a(g830 +V\u000a +p8474 +tp8475 +a(g428 +VcaseSensMenuItem +p8476 +tp8477 +a(g697 +g1038 +tp8478 +a(g428 +Vshow +p8479 +tp8480 +a(g697 +g1101 +tp8481 +a(g697 +g1105 +tp8482 +a(g830 +V\u000a +p8483 +tp8484 +a(g428 +Vgroup +p8485 +tp8486 +a(g830 +g958 +tp8487 +a(g405 +g1051 +tp8488 +a(g830 +g958 +tp8489 +a(g428 +VcaseSensMenuItem +p8490 +tp8491 +a(g697 +g1038 +tp8492 +a(g428 +VItemGetGroup +p8493 +tp8494 +a(g697 +g1101 +tp8495 +a(g697 +g1105 +tp8496 +a(g830 +V\u000a \u000a +p8497 +tp8498 +a(g939 +Vvar +p8499 +tp8500 +a(g830 +g958 +tp8501 +a(g428 +VcaseInSensMenuItem +p8502 +tp8503 +a(g830 +g958 +tp8504 +a(g405 +g1051 +tp8505 +a(g830 +g958 +tp8506 +a(g428 +Vradio_menu_item_new +p8507 +tp8508 +a(g697 +g1101 +tp8509 +a(g428 +Vgroup +p8510 +tp8511 +a(g697 +g962 +tp8512 +a(g830 +g958 +tp8513 +a(g81 +g1054 +tp8514 +a(g81 +VCase insensitive +p8515 +tp8516 +a(g81 +g1054 +tp8517 +a(g697 +g1105 +tp8518 +a(g830 +V\u000a +p8519 +tp8520 +a(g428 +VextraMenu +p8521 +tp8522 +a(g697 +g1038 +tp8523 +a(g428 +Vappend +p8524 +tp8525 +a(g697 +g1101 +tp8526 +a(g428 +VcaseInSensMenuItem +p8527 +tp8528 +a(g697 +g1105 +tp8529 +a(g830 +V\u000a +p8530 +tp8531 +a(g13 +Vdiscard +p8532 +tp8533 +a(g830 +g958 +tp8534 +a(g428 +Vsignal_connect +p8535 +tp8536 +a(g697 +g1101 +tp8537 +a(g428 +VcaseInSensMenuItem +p8538 +tp8539 +a(g697 +g962 +tp8540 +a(g830 +g958 +tp8541 +a(g81 +g1054 +tp8542 +a(g81 +Vtoggled +p8543 +tp8544 +a(g81 +g1054 +tp8545 +a(g697 +g962 +tp8546 +a(g830 +V \u000a +p8547 +tp8548 +a(g428 +VSIGNAL_FUNC +p8549 +tp8550 +a(g697 +g1101 +tp8551 +a(g428 +VcaseInSens_Changed +p8552 +tp8553 +a(g697 +g1105 +tp8554 +a(g697 +g962 +tp8555 +a(g830 +g958 +tp8556 +a(g915 +Vnil +p8557 +tp8558 +a(g697 +g1105 +tp8559 +a(g830 +V\u000a +p8560 +tp8561 +a(g428 +VcaseInSensMenuItem +p8562 +tp8563 +a(g697 +g1038 +tp8564 +a(g428 +Vshow +p8565 +tp8566 +a(g697 +g1101 +tp8567 +a(g697 +g1105 +tp8568 +a(g830 +V\u000a +p8569 +tp8570 +a(g428 +Vgroup +p8571 +tp8572 +a(g830 +g958 +tp8573 +a(g405 +g1051 +tp8574 +a(g830 +g958 +tp8575 +a(g428 +VcaseInSensMenuItem +p8576 +tp8577 +a(g697 +g1038 +tp8578 +a(g428 +VItemGetGroup +p8579 +tp8580 +a(g697 +g1101 +tp8581 +a(g697 +g1105 +tp8582 +a(g830 +V\u000a \u000a +p8583 +tp8584 +a(g939 +Vvar +p8585 +tp8586 +a(g830 +g958 +tp8587 +a(g428 +VstyleMenuItem +p8588 +tp8589 +a(g830 +g958 +tp8590 +a(g405 +g1051 +tp8591 +a(g830 +g958 +tp8592 +a(g428 +Vradio_menu_item_new +p8593 +tp8594 +a(g697 +g1101 +tp8595 +a(g428 +Vgroup +p8596 +tp8597 +a(g697 +g962 +tp8598 +a(g830 +g958 +tp8599 +a(g81 +g1054 +tp8600 +a(g81 +VStyle insensitive +p8601 +tp8602 +a(g81 +g1054 +tp8603 +a(g697 +g1105 +tp8604 +a(g830 +V\u000a +p8605 +tp8606 +a(g428 +VextraMenu +p8607 +tp8608 +a(g697 +g1038 +tp8609 +a(g428 +Vappend +p8610 +tp8611 +a(g697 +g1101 +tp8612 +a(g428 +VstyleMenuItem +p8613 +tp8614 +a(g697 +g1105 +tp8615 +a(g830 +V\u000a +p8616 +tp8617 +a(g13 +Vdiscard +p8618 +tp8619 +a(g830 +g958 +tp8620 +a(g428 +Vsignal_connect +p8621 +tp8622 +a(g697 +g1101 +tp8623 +a(g428 +VstyleMenuItem +p8624 +tp8625 +a(g697 +g962 +tp8626 +a(g830 +g958 +tp8627 +a(g81 +g1054 +tp8628 +a(g81 +Vtoggled +p8629 +tp8630 +a(g81 +g1054 +tp8631 +a(g697 +g962 +tp8632 +a(g830 +V \u000a +p8633 +tp8634 +a(g428 +VSIGNAL_FUNC +p8635 +tp8636 +a(g697 +g1101 +tp8637 +a(g428 +Vstyle_Changed +p8638 +tp8639 +a(g697 +g1105 +tp8640 +a(g697 +g962 +tp8641 +a(g830 +g958 +tp8642 +a(g915 +Vnil +p8643 +tp8644 +a(g697 +g1105 +tp8645 +a(g830 +V\u000a +p8646 +tp8647 +a(g428 +VstyleMenuItem +p8648 +tp8649 +a(g697 +g1038 +tp8650 +a(g428 +Vshow +p8651 +tp8652 +a(g697 +g1101 +tp8653 +a(g697 +g1105 +tp8654 +a(g830 +V\u000a +p8655 +tp8656 +a(g428 +Vgroup +p8657 +tp8658 +a(g830 +g958 +tp8659 +a(g405 +g1051 +tp8660 +a(g830 +g958 +tp8661 +a(g428 +VstyleMenuItem +p8662 +tp8663 +a(g697 +g1038 +tp8664 +a(g428 +VItemGetGroup +p8665 +tp8666 +a(g697 +g1101 +tp8667 +a(g697 +g1105 +tp8668 +a(g830 +V\u000a \u000a +p8669 +tp8670 +a(g939 +Vvar +p8671 +tp8672 +a(g830 +g958 +tp8673 +a(g428 +VregexMenuItem +p8674 +tp8675 +a(g830 +g958 +tp8676 +a(g405 +g1051 +tp8677 +a(g830 +g958 +tp8678 +a(g428 +Vradio_menu_item_new +p8679 +tp8680 +a(g697 +g1101 +tp8681 +a(g428 +Vgroup +p8682 +tp8683 +a(g697 +g962 +tp8684 +a(g830 +g958 +tp8685 +a(g81 +g1054 +tp8686 +a(g81 +VRegex +p8687 +tp8688 +a(g81 +g1054 +tp8689 +a(g697 +g1105 +tp8690 +a(g830 +V\u000a +p8691 +tp8692 +a(g428 +VextraMenu +p8693 +tp8694 +a(g697 +g1038 +tp8695 +a(g428 +Vappend +p8696 +tp8697 +a(g697 +g1101 +tp8698 +a(g428 +VregexMenuItem +p8699 +tp8700 +a(g697 +g1105 +tp8701 +a(g830 +V\u000a +p8702 +tp8703 +a(g13 +Vdiscard +p8704 +tp8705 +a(g830 +g958 +tp8706 +a(g428 +Vsignal_connect +p8707 +tp8708 +a(g697 +g1101 +tp8709 +a(g428 +VregexMenuItem +p8710 +tp8711 +a(g697 +g962 +tp8712 +a(g830 +g958 +tp8713 +a(g81 +g1054 +tp8714 +a(g81 +Vtoggled +p8715 +tp8716 +a(g81 +g1054 +tp8717 +a(g697 +g962 +tp8718 +a(g830 +V \u000a +p8719 +tp8720 +a(g428 +VSIGNAL_FUNC +p8721 +tp8722 +a(g697 +g1101 +tp8723 +a(g428 +Vregex_Changed +p8724 +tp8725 +a(g697 +g1105 +tp8726 +a(g697 +g962 +tp8727 +a(g830 +g958 +tp8728 +a(g915 +Vnil +p8729 +tp8730 +a(g697 +g1105 +tp8731 +a(g830 +V\u000a +p8732 +tp8733 +a(g428 +VregexMenuItem +p8734 +tp8735 +a(g697 +g1038 +tp8736 +a(g428 +Vshow +p8737 +tp8738 +a(g697 +g1101 +tp8739 +a(g697 +g1105 +tp8740 +a(g830 +V\u000a +p8741 +tp8742 +a(g428 +Vgroup +p8743 +tp8744 +a(g830 +g958 +tp8745 +a(g405 +g1051 +tp8746 +a(g830 +g958 +tp8747 +a(g428 +VregexMenuItem +p8748 +tp8749 +a(g697 +g1038 +tp8750 +a(g428 +VItemGetGroup +p8751 +tp8752 +a(g697 +g1101 +tp8753 +a(g697 +g1105 +tp8754 +a(g830 +V\u000a \u000a +p8755 +tp8756 +a(g939 +Vvar +p8757 +tp8758 +a(g830 +g958 +tp8759 +a(g428 +VpegMenuItem +p8760 +tp8761 +a(g830 +g958 +tp8762 +a(g405 +g1051 +tp8763 +a(g830 +g958 +tp8764 +a(g428 +Vradio_menu_item_new +p8765 +tp8766 +a(g697 +g1101 +tp8767 +a(g428 +Vgroup +p8768 +tp8769 +a(g697 +g962 +tp8770 +a(g830 +g958 +tp8771 +a(g81 +g1054 +tp8772 +a(g81 +VPegs +p8773 +tp8774 +a(g81 +g1054 +tp8775 +a(g697 +g1105 +tp8776 +a(g830 +V\u000a +p8777 +tp8778 +a(g428 +VextraMenu +p8779 +tp8780 +a(g697 +g1038 +tp8781 +a(g428 +Vappend +p8782 +tp8783 +a(g697 +g1101 +tp8784 +a(g428 +VpegMenuItem +p8785 +tp8786 +a(g697 +g1105 +tp8787 +a(g830 +V\u000a +p8788 +tp8789 +a(g13 +Vdiscard +p8790 +tp8791 +a(g830 +g958 +tp8792 +a(g428 +Vsignal_connect +p8793 +tp8794 +a(g697 +g1101 +tp8795 +a(g428 +VpegMenuItem +p8796 +tp8797 +a(g697 +g962 +tp8798 +a(g830 +g958 +tp8799 +a(g81 +g1054 +tp8800 +a(g81 +Vtoggled +p8801 +tp8802 +a(g81 +g1054 +tp8803 +a(g697 +g962 +tp8804 +a(g830 +V \u000a +p8805 +tp8806 +a(g428 +VSIGNAL_FUNC +p8807 +tp8808 +a(g697 +g1101 +tp8809 +a(g428 +Vpeg_Changed +p8810 +tp8811 +a(g697 +g1105 +tp8812 +a(g697 +g962 +tp8813 +a(g830 +g958 +tp8814 +a(g915 +Vnil +p8815 +tp8816 +a(g697 +g1105 +tp8817 +a(g830 +V\u000a +p8818 +tp8819 +a(g428 +VpegMenuItem +p8820 +tp8821 +a(g697 +g1038 +tp8822 +a(g428 +Vshow +p8823 +tp8824 +a(g697 +g1101 +tp8825 +a(g697 +g1105 +tp8826 +a(g830 +V\u000a \u000a +p8827 +tp8828 +a(g713 +V# Make the correct radio button active +p8829 +tp8830 +a(g830 +V\u000a +p8831 +tp8832 +a(g13 +Vcase +p8833 +tp8834 +a(g830 +g958 +tp8835 +a(g428 +Vwin +p8836 +tp8837 +a(g697 +g1038 +tp8838 +a(g428 +Vsettings +p8839 +tp8840 +a(g697 +g1038 +tp8841 +a(g428 +Vsearch +p8842 +tp8843 +a(g830 +V\u000a +p8844 +tp8845 +a(g13 +Vof +p8846 +tp8847 +a(g830 +g958 +tp8848 +a(g81 +g1054 +tp8849 +a(g81 +Vcasesens +p8850 +tp8851 +a(g81 +g1054 +tp8852 +a(g697 +g1034 +tp8853 +a(g830 +V\u000a +p8854 +tp8855 +a(g428 +VPCheckMenuItem +p8856 +tp8857 +a(g697 +g1101 +tp8858 +a(g428 +VcaseSensMenuItem +p8859 +tp8860 +a(g697 +g1105 +tp8861 +a(g697 +g1038 +tp8862 +a(g428 +VItemSetActive +p8863 +tp8864 +a(g697 +g1101 +tp8865 +a(g915 +VTrue +p8866 +tp8867 +a(g697 +g1105 +tp8868 +a(g830 +V\u000a +p8869 +tp8870 +a(g13 +Vof +p8871 +tp8872 +a(g830 +g958 +tp8873 +a(g81 +g1054 +tp8874 +a(g81 +Vcaseinsens +p8875 +tp8876 +a(g81 +g1054 +tp8877 +a(g697 +g1034 +tp8878 +a(g830 +V\u000a +p8879 +tp8880 +a(g428 +VPCheckMenuItem +p8881 +tp8882 +a(g697 +g1101 +tp8883 +a(g428 +VcaseInSensMenuItem +p8884 +tp8885 +a(g697 +g1105 +tp8886 +a(g697 +g1038 +tp8887 +a(g428 +VItemSetActive +p8888 +tp8889 +a(g697 +g1101 +tp8890 +a(g915 +VTrue +p8891 +tp8892 +a(g697 +g1105 +tp8893 +a(g830 +V\u000a +p8894 +tp8895 +a(g13 +Vof +p8896 +tp8897 +a(g830 +g958 +tp8898 +a(g81 +g1054 +tp8899 +a(g81 +Vstyle +p8900 +tp8901 +a(g81 +g1054 +tp8902 +a(g697 +g1034 +tp8903 +a(g830 +V\u000a +p8904 +tp8905 +a(g428 +VPCheckMenuItem +p8906 +tp8907 +a(g697 +g1101 +tp8908 +a(g428 +VstyleMenuItem +p8909 +tp8910 +a(g697 +g1105 +tp8911 +a(g697 +g1038 +tp8912 +a(g428 +VItemSetActive +p8913 +tp8914 +a(g697 +g1101 +tp8915 +a(g915 +VTrue +p8916 +tp8917 +a(g697 +g1105 +tp8918 +a(g830 +V\u000a +p8919 +tp8920 +a(g13 +Vof +p8921 +tp8922 +a(g830 +g958 +tp8923 +a(g81 +g1054 +tp8924 +a(g81 +Vregex +p8925 +tp8926 +a(g81 +g1054 +tp8927 +a(g697 +g1034 +tp8928 +a(g830 +V\u000a +p8929 +tp8930 +a(g428 +VPCheckMenuItem +p8931 +tp8932 +a(g697 +g1101 +tp8933 +a(g428 +VregexMenuItem +p8934 +tp8935 +a(g697 +g1105 +tp8936 +a(g697 +g1038 +tp8937 +a(g428 +VItemSetActive +p8938 +tp8939 +a(g697 +g1101 +tp8940 +a(g915 +VTrue +p8941 +tp8942 +a(g697 +g1105 +tp8943 +a(g830 +V\u000a +p8944 +tp8945 +a(g13 +Vof +p8946 +tp8947 +a(g830 +g958 +tp8948 +a(g81 +g1054 +tp8949 +a(g81 +Vpeg +p8950 +tp8951 +a(g81 +g1054 +tp8952 +a(g697 +g1034 +tp8953 +a(g830 +V\u000a +p8954 +tp8955 +a(g428 +VPCheckMenuItem +p8956 +tp8957 +a(g697 +g1101 +tp8958 +a(g428 +VpegMenuItem +p8959 +tp8960 +a(g697 +g1105 +tp8961 +a(g697 +g1038 +tp8962 +a(g428 +VItemSetActive +p8963 +tp8964 +a(g697 +g1101 +tp8965 +a(g915 +VTrue +p8966 +tp8967 +a(g697 +g1105 +tp8968 +a(g830 +V\u000a\u000a +p8969 +tp8970 +a(g428 +VextraMenu +p8971 +tp8972 +a(g697 +g1038 +tp8973 +a(g428 +Vpopup +p8974 +tp8975 +a(g697 +g1101 +tp8976 +a(g915 +Vnil +p8977 +tp8978 +a(g697 +g962 +tp8979 +a(g830 +g958 +tp8980 +a(g915 +Vnil +p8981 +tp8982 +a(g697 +g962 +tp8983 +a(g830 +g958 +tp8984 +a(g915 +Vnil +p8985 +tp8986 +a(g697 +g962 +tp8987 +a(g830 +g958 +tp8988 +a(g915 +Vnil +p8989 +tp8990 +a(g697 +g962 +tp8991 +a(g830 +g958 +tp8992 +a(g27 +g1263 +tp8993 +a(g697 +g962 +tp8994 +a(g830 +g958 +tp8995 +a(g428 +Vget_current_event_time +p8996 +tp8997 +a(g697 +g1101 +tp8998 +a(g697 +g1105 +tp8999 +a(g697 +g1105 +tp9000 +a(g830 +V\u000a\u000a +p9001 +tp9002 +a(g713 +V# GUI Initialization +p9003 +tp9004 +a(g830 +V\u000a\u000a +p9005 +tp9006 +a(g13 +Vproc +p9007 +tp9008 +a(g566 +VcreateAccelMenuItem +p9009 +tp9010 +a(g697 +g1101 +tp9011 +a(g428 +VtoolsMenu +p9012 +tp9013 +a(g697 +g1034 +tp9014 +a(g830 +g958 +tp9015 +a(g428 +VPMenu +p9016 +tp9017 +a(g697 +g962 +tp9018 +a(g830 +g958 +tp9019 +a(g428 +VaccGroup +p9020 +tp9021 +a(g697 +g1034 +tp9022 +a(g830 +g958 +tp9023 +a(g428 +VPAccelGroup +p9024 +tp9025 +a(g697 +g962 +tp9026 +a(g830 +V \u000a +p9027 +tp9028 +a(g428 +Vlabel +p9029 +tp9030 +a(g697 +g1034 +tp9031 +a(g830 +g958 +tp9032 +a(g885 +Vstring +p9033 +tp9034 +a(g697 +g962 +tp9035 +a(g830 +g958 +tp9036 +a(g428 +Vacc +p9037 +tp9038 +a(g697 +g1034 +tp9039 +a(g830 +g958 +tp9040 +a(g428 +Vgint +p9041 +tp9042 +a(g697 +g962 +tp9043 +a(g830 +V\u000a +p9044 +tp9045 +a(g428 +Vaction +p9046 +tp9047 +a(g697 +g1034 +tp9048 +a(g830 +g958 +tp9049 +a(g13 +Vproc +p9050 +tp9051 +a(g830 +g958 +tp9052 +a(g697 +g1101 +tp9053 +a(g428 +g1257 +tp9054 +a(g697 +g1034 +tp9055 +a(g830 +g958 +tp9056 +a(g428 +VPMenuItem +p9057 +tp9058 +a(g697 +g962 +tp9059 +a(g830 +g958 +tp9060 +a(g428 +Vp +p9061 +tp9062 +a(g697 +g1034 +tp9063 +a(g830 +g958 +tp9064 +a(g428 +Vpgpointer +p9065 +tp9066 +a(g697 +g1105 +tp9067 +a(g697 +g1105 +tp9068 +a(g830 +g958 +tp9069 +a(g405 +g1051 +tp9070 +a(g830 +V \u000a +p9071 +tp9072 +a(g939 +Vvar +p9073 +tp9074 +a(g830 +g958 +tp9075 +a(g428 +Vresult +p9076 +tp9077 +a(g830 +g958 +tp9078 +a(g405 +g1051 +tp9079 +a(g830 +g958 +tp9080 +a(g428 +Vmenu_item_new +p9081 +tp9082 +a(g697 +g1101 +tp9083 +a(g428 +Vlabel +p9084 +tp9085 +a(g697 +g1105 +tp9086 +a(g830 +V\u000a +p9087 +tp9088 +a(g428 +Vresult +p9089 +tp9090 +a(g697 +g1038 +tp9091 +a(g428 +VaddAccelerator +p9092 +tp9093 +a(g697 +g1101 +tp9094 +a(g81 +g1054 +tp9095 +a(g81 +Vactivate +p9096 +tp9097 +a(g81 +g1054 +tp9098 +a(g697 +g962 +tp9099 +a(g830 +g958 +tp9100 +a(g428 +VaccGroup +p9101 +tp9102 +a(g697 +g962 +tp9103 +a(g830 +g958 +tp9104 +a(g428 +Vacc +p9105 +tp9106 +a(g697 +g962 +tp9107 +a(g830 +g958 +tp9108 +a(g27 +g1263 +tp9109 +a(g697 +g962 +tp9110 +a(g830 +g958 +tp9111 +a(g428 +VACCEL_VISIBLE +p9112 +tp9113 +a(g697 +g1105 +tp9114 +a(g830 +V\u000a +p9115 +tp9116 +a(g428 +VToolsMenu +p9117 +tp9118 +a(g697 +g1038 +tp9119 +a(g428 +Vappend +p9120 +tp9121 +a(g697 +g1101 +tp9122 +a(g428 +Vresult +p9123 +tp9124 +a(g697 +g1105 +tp9125 +a(g830 +V\u000a +p9126 +tp9127 +a(g428 +Vshow +p9128 +tp9129 +a(g697 +g1101 +tp9130 +a(g428 +Vresult +p9131 +tp9132 +a(g697 +g1105 +tp9133 +a(g830 +V\u000a +p9134 +tp9135 +a(g13 +Vdiscard +p9136 +tp9137 +a(g830 +g958 +tp9138 +a(g428 +Vsignal_connect +p9139 +tp9140 +a(g697 +g1101 +tp9141 +a(g428 +Vresult +p9142 +tp9143 +a(g697 +g962 +tp9144 +a(g830 +g958 +tp9145 +a(g81 +g1054 +tp9146 +a(g81 +Vactivate +p9147 +tp9148 +a(g81 +g1054 +tp9149 +a(g697 +g962 +tp9150 +a(g830 +g958 +tp9151 +a(g428 +VSIGNAL_FUNC +p9152 +tp9153 +a(g697 +g1101 +tp9154 +a(g428 +Vaction +p9155 +tp9156 +a(g697 +g1105 +tp9157 +a(g697 +g962 +tp9158 +a(g830 +g958 +tp9159 +a(g915 +Vnil +p9160 +tp9161 +a(g697 +g1105 +tp9162 +a(g830 +V\u000a\u000a +p9163 +tp9164 +a(g13 +Vproc +p9165 +tp9166 +a(g566 +VcreateSeparator +p9167 +tp9168 +a(g697 +g1101 +tp9169 +a(g428 +Vmenu +p9170 +tp9171 +a(g697 +g1034 +tp9172 +a(g830 +g958 +tp9173 +a(g428 +VPMenu +p9174 +tp9175 +a(g697 +g1105 +tp9176 +a(g830 +g958 +tp9177 +a(g405 +g1051 +tp9178 +a(g830 +V\u000a +p9179 +tp9180 +a(g939 +Vvar +p9181 +tp9182 +a(g830 +g958 +tp9183 +a(g428 +Vsep +p9184 +tp9185 +a(g830 +g958 +tp9186 +a(g405 +g1051 +tp9187 +a(g830 +g958 +tp9188 +a(g428 +Vseparator_menu_item_new +p9189 +tp9190 +a(g697 +g1101 +tp9191 +a(g697 +g1105 +tp9192 +a(g830 +V\u000a +p9193 +tp9194 +a(g428 +Vmenu +p9195 +tp9196 +a(g697 +g1038 +tp9197 +a(g428 +Vappend +p9198 +tp9199 +a(g697 +g1101 +tp9200 +a(g428 +Vsep +p9201 +tp9202 +a(g697 +g1105 +tp9203 +a(g830 +V\u000a +p9204 +tp9205 +a(g428 +Vsep +p9206 +tp9207 +a(g697 +g1038 +tp9208 +a(g428 +Vshow +p9209 +tp9210 +a(g697 +g1101 +tp9211 +a(g697 +g1105 +tp9212 +a(g830 +V\u000a\u000a +p9213 +tp9214 +a(g13 +Vproc +p9215 +tp9216 +a(g566 +VinitTopMenu +p9217 +tp9218 +a(g697 +g1101 +tp9219 +a(g428 +VMainBox +p9220 +tp9221 +a(g697 +g1034 +tp9222 +a(g830 +g958 +tp9223 +a(g428 +VPBox +p9224 +tp9225 +a(g697 +g1105 +tp9226 +a(g830 +g958 +tp9227 +a(g405 +g1051 +tp9228 +a(g830 +V\u000a +p9229 +tp9230 +a(g713 +V# Create a accelerator group, used for shortcuts +p9231 +tp9232 +a(g830 +V\u000a +p9233 +tp9234 +a(g713 +V# like CTRL + S in SaveMenuItem +p9235 +tp9236 +a(g830 +V\u000a +p9237 +tp9238 +a(g939 +Vvar +p9239 +tp9240 +a(g830 +g958 +tp9241 +a(g428 +VaccGroup +p9242 +tp9243 +a(g830 +g958 +tp9244 +a(g405 +g1051 +tp9245 +a(g830 +g958 +tp9246 +a(g428 +Vaccel_group_new +p9247 +tp9248 +a(g697 +g1101 +tp9249 +a(g697 +g1105 +tp9250 +a(g830 +V\u000a +p9251 +tp9252 +a(g428 +Vadd_accel_group +p9253 +tp9254 +a(g697 +g1101 +tp9255 +a(g428 +Vwin +p9256 +tp9257 +a(g697 +g1038 +tp9258 +a(g428 +g1416 +tp9259 +a(g697 +g962 +tp9260 +a(g830 +g958 +tp9261 +a(g428 +VaccGroup +p9262 +tp9263 +a(g697 +g1105 +tp9264 +a(g830 +V\u000a\u000a +p9265 +tp9266 +a(g713 +V# TopMenu(MenuBar) +p9267 +tp9268 +a(g830 +V\u000a +p9269 +tp9270 +a(g939 +Vvar +p9271 +tp9272 +a(g830 +g958 +tp9273 +a(g428 +VTopMenu +p9274 +tp9275 +a(g830 +g958 +tp9276 +a(g405 +g1051 +tp9277 +a(g830 +g958 +tp9278 +a(g428 +VmenuBarNew +p9279 +tp9280 +a(g697 +g1101 +tp9281 +a(g697 +g1105 +tp9282 +a(g830 +V\u000a \u000a +p9283 +tp9284 +a(g713 +V# FileMenu +p9285 +tp9286 +a(g830 +V\u000a +p9287 +tp9288 +a(g939 +Vvar +p9289 +tp9290 +a(g830 +g958 +tp9291 +a(g428 +VFileMenu +p9292 +tp9293 +a(g830 +g958 +tp9294 +a(g405 +g1051 +tp9295 +a(g830 +g958 +tp9296 +a(g428 +VmenuNew +p9297 +tp9298 +a(g697 +g1101 +tp9299 +a(g697 +g1105 +tp9300 +a(g830 +V\u000a\u000a +p9301 +tp9302 +a(g939 +Vvar +p9303 +tp9304 +a(g830 +g958 +tp9305 +a(g428 +VNewMenuItem +p9306 +tp9307 +a(g830 +g958 +tp9308 +a(g405 +g1051 +tp9309 +a(g830 +g958 +tp9310 +a(g428 +Vmenu_item_new +p9311 +tp9312 +a(g697 +g1101 +tp9313 +a(g81 +g1054 +tp9314 +a(g81 +VNew +p9315 +tp9316 +a(g81 +g1054 +tp9317 +a(g697 +g1105 +tp9318 +a(g830 +g958 +tp9319 +a(g713 +V# New +p9320 +tp9321 +a(g830 +V\u000a +p9322 +tp9323 +a(g428 +VFileMenu +p9324 +tp9325 +a(g697 +g1038 +tp9326 +a(g428 +Vappend +p9327 +tp9328 +a(g697 +g1101 +tp9329 +a(g428 +VNewMenuItem +p9330 +tp9331 +a(g697 +g1105 +tp9332 +a(g830 +V\u000a +p9333 +tp9334 +a(g428 +Vshow +p9335 +tp9336 +a(g697 +g1101 +tp9337 +a(g428 +VNewMenuItem +p9338 +tp9339 +a(g697 +g1105 +tp9340 +a(g830 +V\u000a +p9341 +tp9342 +a(g13 +Vdiscard +p9343 +tp9344 +a(g830 +g958 +tp9345 +a(g428 +Vsignal_connect +p9346 +tp9347 +a(g697 +g1101 +tp9348 +a(g428 +VNewMenuItem +p9349 +tp9350 +a(g697 +g962 +tp9351 +a(g830 +g958 +tp9352 +a(g81 +g1054 +tp9353 +a(g81 +Vactivate +p9354 +tp9355 +a(g81 +g1054 +tp9356 +a(g697 +g962 +tp9357 +a(g830 +V \u000a +p9358 +tp9359 +a(g428 +VSIGNAL_FUNC +p9360 +tp9361 +a(g697 +g1101 +tp9362 +a(g428 +VnewFile +p9363 +tp9364 +a(g697 +g1105 +tp9365 +a(g697 +g962 +tp9366 +a(g830 +g958 +tp9367 +a(g915 +Vnil +p9368 +tp9369 +a(g697 +g1105 +tp9370 +a(g830 +V\u000a\u000a +p9371 +tp9372 +a(g428 +VcreateSeparator +p9373 +tp9374 +a(g697 +g1101 +tp9375 +a(g428 +VFileMenu +p9376 +tp9377 +a(g697 +g1105 +tp9378 +a(g830 +V\u000a\u000a +p9379 +tp9380 +a(g939 +Vvar +p9381 +tp9382 +a(g830 +g958 +tp9383 +a(g428 +VOpenMenuItem +p9384 +tp9385 +a(g830 +g958 +tp9386 +a(g405 +g1051 +tp9387 +a(g830 +g958 +tp9388 +a(g428 +Vmenu_item_new +p9389 +tp9390 +a(g697 +g1101 +tp9391 +a(g81 +g1054 +tp9392 +a(g81 +VOpen... +p9393 +tp9394 +a(g81 +g1054 +tp9395 +a(g697 +g1105 +tp9396 +a(g830 +g958 +tp9397 +a(g713 +V# Open... +p9398 +tp9399 +a(g830 +V\u000a +p9400 +tp9401 +a(g713 +V# CTRL + O +p9402 +tp9403 +a(g830 +V\u000a +p9404 +tp9405 +a(g428 +VOpenMenuItem +p9406 +tp9407 +a(g697 +g1038 +tp9408 +a(g428 +Vadd_accelerator +p9409 +tp9410 +a(g697 +g1101 +tp9411 +a(g81 +g1054 +tp9412 +a(g81 +Vactivate +p9413 +tp9414 +a(g81 +g1054 +tp9415 +a(g697 +g962 +tp9416 +a(g830 +g958 +tp9417 +a(g428 +VaccGroup +p9418 +tp9419 +a(g697 +g962 +tp9420 +a(g830 +V \u000a +p9421 +tp9422 +a(g428 +VKEY_o +p9423 +tp9424 +a(g697 +g962 +tp9425 +a(g830 +g958 +tp9426 +a(g428 +VCONTROL_MASK +p9427 +tp9428 +a(g697 +g962 +tp9429 +a(g830 +g958 +tp9430 +a(g428 +VACCEL_VISIBLE +p9431 +tp9432 +a(g697 +g1105 +tp9433 +a(g830 +V \u000a +p9434 +tp9435 +a(g428 +VFileMenu +p9436 +tp9437 +a(g697 +g1038 +tp9438 +a(g428 +Vappend +p9439 +tp9440 +a(g697 +g1101 +tp9441 +a(g428 +VOpenMenuItem +p9442 +tp9443 +a(g697 +g1105 +tp9444 +a(g830 +V\u000a +p9445 +tp9446 +a(g428 +Vshow +p9447 +tp9448 +a(g697 +g1101 +tp9449 +a(g428 +VOpenMenuItem +p9450 +tp9451 +a(g697 +g1105 +tp9452 +a(g830 +V\u000a +p9453 +tp9454 +a(g13 +Vdiscard +p9455 +tp9456 +a(g830 +g958 +tp9457 +a(g428 +Vsignal_connect +p9458 +tp9459 +a(g697 +g1101 +tp9460 +a(g428 +VOpenMenuItem +p9461 +tp9462 +a(g697 +g962 +tp9463 +a(g830 +g958 +tp9464 +a(g81 +g1054 +tp9465 +a(g81 +Vactivate +p9466 +tp9467 +a(g81 +g1054 +tp9468 +a(g697 +g962 +tp9469 +a(g830 +V \u000a +p9470 +tp9471 +a(g428 +VSIGNAL_FUNC +p9472 +tp9473 +a(g697 +g1101 +tp9474 +a(g428 +Vaporia +p9475 +tp9476 +a(g697 +g1038 +tp9477 +a(g428 +VopenFile +p9478 +tp9479 +a(g697 +g1105 +tp9480 +a(g697 +g962 +tp9481 +a(g830 +g958 +tp9482 +a(g915 +Vnil +p9483 +tp9484 +a(g697 +g1105 +tp9485 +a(g830 +V\u000a \u000a +p9486 +tp9487 +a(g939 +Vvar +p9488 +tp9489 +a(g830 +g958 +tp9490 +a(g428 +VSaveMenuItem +p9491 +tp9492 +a(g830 +g958 +tp9493 +a(g405 +g1051 +tp9494 +a(g830 +g958 +tp9495 +a(g428 +Vmenu_item_new +p9496 +tp9497 +a(g697 +g1101 +tp9498 +a(g81 +g1054 +tp9499 +a(g81 +VSave +p9500 +tp9501 +a(g81 +g1054 +tp9502 +a(g697 +g1105 +tp9503 +a(g830 +g958 +tp9504 +a(g713 +V# Save +p9505 +tp9506 +a(g830 +V\u000a +p9507 +tp9508 +a(g713 +V# CTRL + S +p9509 +tp9510 +a(g830 +V\u000a +p9511 +tp9512 +a(g428 +VSaveMenuItem +p9513 +tp9514 +a(g697 +g1038 +tp9515 +a(g428 +Vadd_accelerator +p9516 +tp9517 +a(g697 +g1101 +tp9518 +a(g81 +g1054 +tp9519 +a(g81 +Vactivate +p9520 +tp9521 +a(g81 +g1054 +tp9522 +a(g697 +g962 +tp9523 +a(g830 +g958 +tp9524 +a(g428 +VaccGroup +p9525 +tp9526 +a(g697 +g962 +tp9527 +a(g830 +V \u000a +p9528 +tp9529 +a(g428 +VKEY_s +p9530 +tp9531 +a(g697 +g962 +tp9532 +a(g830 +g958 +tp9533 +a(g428 +VCONTROL_MASK +p9534 +tp9535 +a(g697 +g962 +tp9536 +a(g830 +g958 +tp9537 +a(g428 +VACCEL_VISIBLE +p9538 +tp9539 +a(g697 +g1105 +tp9540 +a(g830 +V \u000a +p9541 +tp9542 +a(g428 +VFileMenu +p9543 +tp9544 +a(g697 +g1038 +tp9545 +a(g428 +Vappend +p9546 +tp9547 +a(g697 +g1101 +tp9548 +a(g428 +VSaveMenuItem +p9549 +tp9550 +a(g697 +g1105 +tp9551 +a(g830 +V\u000a +p9552 +tp9553 +a(g428 +Vshow +p9554 +tp9555 +a(g697 +g1101 +tp9556 +a(g428 +VSaveMenuItem +p9557 +tp9558 +a(g697 +g1105 +tp9559 +a(g830 +V\u000a +p9560 +tp9561 +a(g13 +Vdiscard +p9562 +tp9563 +a(g830 +g958 +tp9564 +a(g428 +Vsignal_connect +p9565 +tp9566 +a(g697 +g1101 +tp9567 +a(g428 +VSaveMenuItem +p9568 +tp9569 +a(g697 +g962 +tp9570 +a(g830 +g958 +tp9571 +a(g81 +g1054 +tp9572 +a(g81 +Vactivate +p9573 +tp9574 +a(g81 +g1054 +tp9575 +a(g697 +g962 +tp9576 +a(g830 +V \u000a +p9577 +tp9578 +a(g428 +VSIGNAL_FUNC +p9579 +tp9580 +a(g697 +g1101 +tp9581 +a(g428 +VsaveFile_activate +p9582 +tp9583 +a(g697 +g1105 +tp9584 +a(g697 +g962 +tp9585 +a(g830 +g958 +tp9586 +a(g915 +Vnil +p9587 +tp9588 +a(g697 +g1105 +tp9589 +a(g830 +V\u000a\u000a +p9590 +tp9591 +a(g939 +Vvar +p9592 +tp9593 +a(g830 +g958 +tp9594 +a(g428 +VSaveAsMenuItem +p9595 +tp9596 +a(g830 +g958 +tp9597 +a(g405 +g1051 +tp9598 +a(g830 +g958 +tp9599 +a(g428 +Vmenu_item_new +p9600 +tp9601 +a(g697 +g1101 +tp9602 +a(g81 +g1054 +tp9603 +a(g81 +VSave As... +p9604 +tp9605 +a(g81 +g1054 +tp9606 +a(g697 +g1105 +tp9607 +a(g830 +g958 +tp9608 +a(g713 +V# Save as... +p9609 +tp9610 +a(g830 +V\u000a\u000a +p9611 +tp9612 +a(g428 +VSaveAsMenuItem +p9613 +tp9614 +a(g697 +g1038 +tp9615 +a(g428 +Vadd_accelerator +p9616 +tp9617 +a(g697 +g1101 +tp9618 +a(g81 +g1054 +tp9619 +a(g81 +Vactivate +p9620 +tp9621 +a(g81 +g1054 +tp9622 +a(g697 +g962 +tp9623 +a(g830 +g958 +tp9624 +a(g428 +VaccGroup +p9625 +tp9626 +a(g697 +g962 +tp9627 +a(g830 +V \u000a +p9628 +tp9629 +a(g428 +VKEY_s +p9630 +tp9631 +a(g697 +g962 +tp9632 +a(g830 +g958 +tp9633 +a(g428 +VCONTROL_MASK +p9634 +tp9635 +a(g830 +g958 +tp9636 +a(g419 +Vor +p9637 +tp9638 +a(g830 +g958 +tp9639 +a(g428 +Vgdk2 +p9640 +tp9641 +a(g697 +g1038 +tp9642 +a(g428 +VSHIFT_MASK +p9643 +tp9644 +a(g697 +g962 +tp9645 +a(g830 +g958 +tp9646 +a(g428 +VACCEL_VISIBLE +p9647 +tp9648 +a(g697 +g1105 +tp9649 +a(g830 +V \u000a +p9650 +tp9651 +a(g428 +VFileMenu +p9652 +tp9653 +a(g697 +g1038 +tp9654 +a(g428 +Vappend +p9655 +tp9656 +a(g697 +g1101 +tp9657 +a(g428 +VSaveAsMenuItem +p9658 +tp9659 +a(g697 +g1105 +tp9660 +a(g830 +V\u000a +p9661 +tp9662 +a(g428 +Vshow +p9663 +tp9664 +a(g697 +g1101 +tp9665 +a(g428 +VSaveAsMenuItem +p9666 +tp9667 +a(g697 +g1105 +tp9668 +a(g830 +V\u000a +p9669 +tp9670 +a(g13 +Vdiscard +p9671 +tp9672 +a(g830 +g958 +tp9673 +a(g428 +Vsignal_connect +p9674 +tp9675 +a(g697 +g1101 +tp9676 +a(g428 +VSaveAsMenuItem +p9677 +tp9678 +a(g697 +g962 +tp9679 +a(g830 +g958 +tp9680 +a(g81 +g1054 +tp9681 +a(g81 +Vactivate +p9682 +tp9683 +a(g81 +g1054 +tp9684 +a(g697 +g962 +tp9685 +a(g830 +V \u000a +p9686 +tp9687 +a(g428 +VSIGNAL_FUNC +p9688 +tp9689 +a(g697 +g1101 +tp9690 +a(g428 +VsaveFileAs_Activate +p9691 +tp9692 +a(g697 +g1105 +tp9693 +a(g697 +g962 +tp9694 +a(g830 +g958 +tp9695 +a(g915 +Vnil +p9696 +tp9697 +a(g697 +g1105 +tp9698 +a(g830 +V\u000a \u000a +p9699 +tp9700 +a(g939 +Vvar +p9701 +tp9702 +a(g830 +g958 +tp9703 +a(g428 +VFileMenuItem +p9704 +tp9705 +a(g830 +g958 +tp9706 +a(g405 +g1051 +tp9707 +a(g830 +g958 +tp9708 +a(g428 +VmenuItemNewWithMnemonic +p9709 +tp9710 +a(g697 +g1101 +tp9711 +a(g81 +g1054 +tp9712 +a(g81 +V_File +p9713 +tp9714 +a(g81 +g1054 +tp9715 +a(g697 +g1105 +tp9716 +a(g830 +V\u000a\u000a +p9717 +tp9718 +a(g428 +VFileMenuItem +p9719 +tp9720 +a(g697 +g1038 +tp9721 +a(g428 +VsetSubMenu +p9722 +tp9723 +a(g697 +g1101 +tp9724 +a(g428 +VFileMenu +p9725 +tp9726 +a(g697 +g1105 +tp9727 +a(g830 +V\u000a +p9728 +tp9729 +a(g428 +VFileMenuItem +p9730 +tp9731 +a(g697 +g1038 +tp9732 +a(g428 +Vshow +p9733 +tp9734 +a(g697 +g1101 +tp9735 +a(g697 +g1105 +tp9736 +a(g830 +V\u000a +p9737 +tp9738 +a(g428 +VTopMenu +p9739 +tp9740 +a(g697 +g1038 +tp9741 +a(g428 +Vappend +p9742 +tp9743 +a(g697 +g1101 +tp9744 +a(g428 +VFileMenuItem +p9745 +tp9746 +a(g697 +g1105 +tp9747 +a(g830 +V\u000a \u000a +p9748 +tp9749 +a(g713 +V# Edit menu +p9750 +tp9751 +a(g830 +V\u000a +p9752 +tp9753 +a(g939 +Vvar +p9754 +tp9755 +a(g830 +g958 +tp9756 +a(g428 +VEditMenu +p9757 +tp9758 +a(g830 +g958 +tp9759 +a(g405 +g1051 +tp9760 +a(g830 +g958 +tp9761 +a(g428 +VmenuNew +p9762 +tp9763 +a(g697 +g1101 +tp9764 +a(g697 +g1105 +tp9765 +a(g830 +V\u000a\u000a +p9766 +tp9767 +a(g939 +Vvar +p9768 +tp9769 +a(g830 +g958 +tp9770 +a(g428 +VUndoMenuItem +p9771 +tp9772 +a(g830 +g958 +tp9773 +a(g405 +g1051 +tp9774 +a(g830 +g958 +tp9775 +a(g428 +Vmenu_item_new +p9776 +tp9777 +a(g697 +g1101 +tp9778 +a(g81 +g1054 +tp9779 +a(g81 +VUndo +p9780 +tp9781 +a(g81 +g1054 +tp9782 +a(g697 +g1105 +tp9783 +a(g830 +g958 +tp9784 +a(g713 +V# Undo +p9785 +tp9786 +a(g830 +V\u000a +p9787 +tp9788 +a(g428 +VEditMenu +p9789 +tp9790 +a(g697 +g1038 +tp9791 +a(g428 +Vappend +p9792 +tp9793 +a(g697 +g1101 +tp9794 +a(g428 +VUndoMenuItem +p9795 +tp9796 +a(g697 +g1105 +tp9797 +a(g830 +V\u000a +p9798 +tp9799 +a(g428 +Vshow +p9800 +tp9801 +a(g697 +g1101 +tp9802 +a(g428 +VUndoMenuItem +p9803 +tp9804 +a(g697 +g1105 +tp9805 +a(g830 +V\u000a +p9806 +tp9807 +a(g13 +Vdiscard +p9808 +tp9809 +a(g830 +g958 +tp9810 +a(g428 +Vsignal_connect +p9811 +tp9812 +a(g697 +g1101 +tp9813 +a(g428 +VUndoMenuItem +p9814 +tp9815 +a(g697 +g962 +tp9816 +a(g830 +g958 +tp9817 +a(g81 +g1054 +tp9818 +a(g81 +Vactivate +p9819 +tp9820 +a(g81 +g1054 +tp9821 +a(g697 +g962 +tp9822 +a(g830 +V \u000a +p9823 +tp9824 +a(g428 +VSIGNAL_FUNC +p9825 +tp9826 +a(g697 +g1101 +tp9827 +a(g428 +Vaporia +p9828 +tp9829 +a(g697 +g1038 +tp9830 +a(g428 +Vundo +p9831 +tp9832 +a(g697 +g1105 +tp9833 +a(g697 +g962 +tp9834 +a(g830 +g958 +tp9835 +a(g915 +Vnil +p9836 +tp9837 +a(g697 +g1105 +tp9838 +a(g830 +V\u000a \u000a +p9839 +tp9840 +a(g939 +Vvar +p9841 +tp9842 +a(g830 +g958 +tp9843 +a(g428 +VRedoMenuItem +p9844 +tp9845 +a(g830 +g958 +tp9846 +a(g405 +g1051 +tp9847 +a(g830 +g958 +tp9848 +a(g428 +Vmenu_item_new +p9849 +tp9850 +a(g697 +g1101 +tp9851 +a(g81 +g1054 +tp9852 +a(g81 +VRedo +p9853 +tp9854 +a(g81 +g1054 +tp9855 +a(g697 +g1105 +tp9856 +a(g830 +g958 +tp9857 +a(g713 +V# Undo +p9858 +tp9859 +a(g830 +V\u000a +p9860 +tp9861 +a(g428 +VEditMenu +p9862 +tp9863 +a(g697 +g1038 +tp9864 +a(g428 +Vappend +p9865 +tp9866 +a(g697 +g1101 +tp9867 +a(g428 +VRedoMenuItem +p9868 +tp9869 +a(g697 +g1105 +tp9870 +a(g830 +V\u000a +p9871 +tp9872 +a(g428 +Vshow +p9873 +tp9874 +a(g697 +g1101 +tp9875 +a(g428 +VRedoMenuItem +p9876 +tp9877 +a(g697 +g1105 +tp9878 +a(g830 +V\u000a +p9879 +tp9880 +a(g13 +Vdiscard +p9881 +tp9882 +a(g830 +g958 +tp9883 +a(g428 +Vsignal_connect +p9884 +tp9885 +a(g697 +g1101 +tp9886 +a(g428 +VRedoMenuItem +p9887 +tp9888 +a(g697 +g962 +tp9889 +a(g830 +g958 +tp9890 +a(g81 +g1054 +tp9891 +a(g81 +Vactivate +p9892 +tp9893 +a(g81 +g1054 +tp9894 +a(g697 +g962 +tp9895 +a(g830 +V \u000a +p9896 +tp9897 +a(g428 +VSIGNAL_FUNC +p9898 +tp9899 +a(g697 +g1101 +tp9900 +a(g428 +Vaporia +p9901 +tp9902 +a(g697 +g1038 +tp9903 +a(g428 +Vredo +p9904 +tp9905 +a(g697 +g1105 +tp9906 +a(g697 +g962 +tp9907 +a(g830 +g958 +tp9908 +a(g915 +Vnil +p9909 +tp9910 +a(g697 +g1105 +tp9911 +a(g830 +V\u000a\u000a +p9912 +tp9913 +a(g428 +VcreateSeparator +p9914 +tp9915 +a(g697 +g1101 +tp9916 +a(g428 +VEditMenu +p9917 +tp9918 +a(g697 +g1105 +tp9919 +a(g830 +V\u000a \u000a +p9920 +tp9921 +a(g939 +Vvar +p9922 +tp9923 +a(g830 +g958 +tp9924 +a(g428 +VFindMenuItem +p9925 +tp9926 +a(g830 +g958 +tp9927 +a(g405 +g1051 +tp9928 +a(g830 +g958 +tp9929 +a(g428 +Vmenu_item_new +p9930 +tp9931 +a(g697 +g1101 +tp9932 +a(g81 +g1054 +tp9933 +a(g81 +VFind +p9934 +tp9935 +a(g81 +g1054 +tp9936 +a(g697 +g1105 +tp9937 +a(g830 +g958 +tp9938 +a(g713 +V# Find +p9939 +tp9940 +a(g830 +V\u000a +p9941 +tp9942 +a(g428 +VFindMenuItem +p9943 +tp9944 +a(g697 +g1038 +tp9945 +a(g428 +Vadd_accelerator +p9946 +tp9947 +a(g697 +g1101 +tp9948 +a(g81 +g1054 +tp9949 +a(g81 +Vactivate +p9950 +tp9951 +a(g81 +g1054 +tp9952 +a(g697 +g962 +tp9953 +a(g830 +g958 +tp9954 +a(g428 +VaccGroup +p9955 +tp9956 +a(g697 +g962 +tp9957 +a(g830 +V \u000a +p9958 +tp9959 +a(g428 +VKEY_f +p9960 +tp9961 +a(g697 +g962 +tp9962 +a(g830 +g958 +tp9963 +a(g428 +VCONTROL_MASK +p9964 +tp9965 +a(g697 +g962 +tp9966 +a(g830 +g958 +tp9967 +a(g428 +VACCEL_VISIBLE +p9968 +tp9969 +a(g697 +g1105 +tp9970 +a(g830 +V \u000a +p9971 +tp9972 +a(g428 +VEditMenu +p9973 +tp9974 +a(g697 +g1038 +tp9975 +a(g428 +Vappend +p9976 +tp9977 +a(g697 +g1101 +tp9978 +a(g428 +VFindMenuItem +p9979 +tp9980 +a(g697 +g1105 +tp9981 +a(g830 +V\u000a +p9982 +tp9983 +a(g428 +Vshow +p9984 +tp9985 +a(g697 +g1101 +tp9986 +a(g428 +VFindMenuItem +p9987 +tp9988 +a(g697 +g1105 +tp9989 +a(g830 +V\u000a +p9990 +tp9991 +a(g13 +Vdiscard +p9992 +tp9993 +a(g830 +g958 +tp9994 +a(g428 +Vsignal_connect +p9995 +tp9996 +a(g697 +g1101 +tp9997 +a(g428 +VFindMenuItem +p9998 +tp9999 +a(g697 +g962 +tp10000 +a(g830 +g958 +tp10001 +a(g81 +g1054 +tp10002 +a(g81 +Vactivate +p10003 +tp10004 +a(g81 +g1054 +tp10005 +a(g697 +g962 +tp10006 +a(g830 +V \u000a +p10007 +tp10008 +a(g428 +VSIGNAL_FUNC +p10009 +tp10010 +a(g697 +g1101 +tp10011 +a(g428 +Vaporia +p10012 +tp10013 +a(g697 +g1038 +tp10014 +a(g428 +Vfind_Activate +p10015 +tp10016 +a(g697 +g1105 +tp10017 +a(g697 +g962 +tp10018 +a(g830 +g958 +tp10019 +a(g915 +Vnil +p10020 +tp10021 +a(g697 +g1105 +tp10022 +a(g830 +V\u000a\u000a +p10023 +tp10024 +a(g939 +Vvar +p10025 +tp10026 +a(g830 +g958 +tp10027 +a(g428 +VReplaceMenuItem +p10028 +tp10029 +a(g830 +g958 +tp10030 +a(g405 +g1051 +tp10031 +a(g830 +g958 +tp10032 +a(g428 +Vmenu_item_new +p10033 +tp10034 +a(g697 +g1101 +tp10035 +a(g81 +g1054 +tp10036 +a(g81 +VReplace +p10037 +tp10038 +a(g81 +g1054 +tp10039 +a(g697 +g1105 +tp10040 +a(g830 +g958 +tp10041 +a(g713 +V# Replace +p10042 +tp10043 +a(g830 +V\u000a +p10044 +tp10045 +a(g428 +VReplaceMenuItem +p10046 +tp10047 +a(g697 +g1038 +tp10048 +a(g428 +Vadd_accelerator +p10049 +tp10050 +a(g697 +g1101 +tp10051 +a(g81 +g1054 +tp10052 +a(g81 +Vactivate +p10053 +tp10054 +a(g81 +g1054 +tp10055 +a(g697 +g962 +tp10056 +a(g830 +g958 +tp10057 +a(g428 +VaccGroup +p10058 +tp10059 +a(g697 +g962 +tp10060 +a(g830 +V \u000a +p10061 +tp10062 +a(g428 +VKEY_h +p10063 +tp10064 +a(g697 +g962 +tp10065 +a(g830 +g958 +tp10066 +a(g428 +VCONTROL_MASK +p10067 +tp10068 +a(g697 +g962 +tp10069 +a(g830 +g958 +tp10070 +a(g428 +VACCEL_VISIBLE +p10071 +tp10072 +a(g697 +g1105 +tp10073 +a(g830 +V \u000a +p10074 +tp10075 +a(g428 +VEditMenu +p10076 +tp10077 +a(g697 +g1038 +tp10078 +a(g428 +Vappend +p10079 +tp10080 +a(g697 +g1101 +tp10081 +a(g428 +VReplaceMenuItem +p10082 +tp10083 +a(g697 +g1105 +tp10084 +a(g830 +V\u000a +p10085 +tp10086 +a(g428 +Vshow +p10087 +tp10088 +a(g697 +g1101 +tp10089 +a(g428 +VReplaceMenuItem +p10090 +tp10091 +a(g697 +g1105 +tp10092 +a(g830 +V\u000a +p10093 +tp10094 +a(g13 +Vdiscard +p10095 +tp10096 +a(g830 +g958 +tp10097 +a(g428 +Vsignal_connect +p10098 +tp10099 +a(g697 +g1101 +tp10100 +a(g428 +VReplaceMenuItem +p10101 +tp10102 +a(g697 +g962 +tp10103 +a(g830 +g958 +tp10104 +a(g81 +g1054 +tp10105 +a(g81 +Vactivate +p10106 +tp10107 +a(g81 +g1054 +tp10108 +a(g697 +g962 +tp10109 +a(g830 +V \u000a +p10110 +tp10111 +a(g428 +VSIGNAL_FUNC +p10112 +tp10113 +a(g697 +g1101 +tp10114 +a(g428 +Vaporia +p10115 +tp10116 +a(g697 +g1038 +tp10117 +a(g428 +Vreplace_Activate +p10118 +tp10119 +a(g697 +g1105 +tp10120 +a(g697 +g962 +tp10121 +a(g830 +g958 +tp10122 +a(g915 +Vnil +p10123 +tp10124 +a(g697 +g1105 +tp10125 +a(g830 +V\u000a\u000a +p10126 +tp10127 +a(g428 +VcreateSeparator +p10128 +tp10129 +a(g697 +g1101 +tp10130 +a(g428 +VEditMenu +p10131 +tp10132 +a(g697 +g1105 +tp10133 +a(g830 +V\u000a \u000a +p10134 +tp10135 +a(g939 +Vvar +p10136 +tp10137 +a(g830 +g958 +tp10138 +a(g428 +VSettingsMenuItem +p10139 +tp10140 +a(g830 +g958 +tp10141 +a(g405 +g1051 +tp10142 +a(g830 +g958 +tp10143 +a(g428 +Vmenu_item_new +p10144 +tp10145 +a(g697 +g1101 +tp10146 +a(g81 +g1054 +tp10147 +a(g81 +VSettings... +p10148 +tp10149 +a(g81 +g1054 +tp10150 +a(g697 +g1105 +tp10151 +a(g830 +g958 +tp10152 +a(g713 +V# Settings +p10153 +tp10154 +a(g830 +V\u000a +p10155 +tp10156 +a(g428 +VEditMenu +p10157 +tp10158 +a(g697 +g1038 +tp10159 +a(g428 +Vappend +p10160 +tp10161 +a(g697 +g1101 +tp10162 +a(g428 +VSettingsMenuItem +p10163 +tp10164 +a(g697 +g1105 +tp10165 +a(g830 +V\u000a +p10166 +tp10167 +a(g428 +Vshow +p10168 +tp10169 +a(g697 +g1101 +tp10170 +a(g428 +VSettingsMenuItem +p10171 +tp10172 +a(g697 +g1105 +tp10173 +a(g830 +V\u000a +p10174 +tp10175 +a(g13 +Vdiscard +p10176 +tp10177 +a(g830 +g958 +tp10178 +a(g428 +Vsignal_connect +p10179 +tp10180 +a(g697 +g1101 +tp10181 +a(g428 +VSettingsMenuItem +p10182 +tp10183 +a(g697 +g962 +tp10184 +a(g830 +g958 +tp10185 +a(g81 +g1054 +tp10186 +a(g81 +Vactivate +p10187 +tp10188 +a(g81 +g1054 +tp10189 +a(g697 +g962 +tp10190 +a(g830 +V \u000a +p10191 +tp10192 +a(g428 +VSIGNAL_FUNC +p10193 +tp10194 +a(g697 +g1101 +tp10195 +a(g428 +Vaporia +p10196 +tp10197 +a(g697 +g1038 +tp10198 +a(g428 +VSettings_Activate +p10199 +tp10200 +a(g697 +g1105 +tp10201 +a(g697 +g962 +tp10202 +a(g830 +g958 +tp10203 +a(g915 +Vnil +p10204 +tp10205 +a(g697 +g1105 +tp10206 +a(g830 +V\u000a\u000a +p10207 +tp10208 +a(g939 +Vvar +p10209 +tp10210 +a(g830 +g958 +tp10211 +a(g428 +VEditMenuItem +p10212 +tp10213 +a(g830 +g958 +tp10214 +a(g405 +g1051 +tp10215 +a(g830 +g958 +tp10216 +a(g428 +VmenuItemNewWithMnemonic +p10217 +tp10218 +a(g697 +g1101 +tp10219 +a(g81 +g1054 +tp10220 +a(g81 +V_Edit +p10221 +tp10222 +a(g81 +g1054 +tp10223 +a(g697 +g1105 +tp10224 +a(g830 +V\u000a\u000a +p10225 +tp10226 +a(g428 +VEditMenuItem +p10227 +tp10228 +a(g697 +g1038 +tp10229 +a(g428 +VsetSubMenu +p10230 +tp10231 +a(g697 +g1101 +tp10232 +a(g428 +VEditMenu +p10233 +tp10234 +a(g697 +g1105 +tp10235 +a(g830 +V\u000a +p10236 +tp10237 +a(g428 +VEditMenuItem +p10238 +tp10239 +a(g697 +g1038 +tp10240 +a(g428 +Vshow +p10241 +tp10242 +a(g697 +g1101 +tp10243 +a(g697 +g1105 +tp10244 +a(g830 +V\u000a +p10245 +tp10246 +a(g428 +VTopMenu +p10247 +tp10248 +a(g697 +g1038 +tp10249 +a(g428 +Vappend +p10250 +tp10251 +a(g697 +g1101 +tp10252 +a(g428 +VEditMenuItem +p10253 +tp10254 +a(g697 +g1105 +tp10255 +a(g830 +V\u000a \u000a +p10256 +tp10257 +a(g713 +V# View menu +p10258 +tp10259 +a(g830 +V\u000a +p10260 +tp10261 +a(g939 +Vvar +p10262 +tp10263 +a(g830 +g958 +tp10264 +a(g428 +VViewMenu +p10265 +tp10266 +a(g830 +g958 +tp10267 +a(g405 +g1051 +tp10268 +a(g830 +g958 +tp10269 +a(g428 +VmenuNew +p10270 +tp10271 +a(g697 +g1101 +tp10272 +a(g697 +g1105 +tp10273 +a(g830 +V\u000a \u000a +p10274 +tp10275 +a(g428 +Vwin +p10276 +tp10277 +a(g697 +g1038 +tp10278 +a(g428 +VviewBottomPanelMenuItem +p10279 +tp10280 +a(g830 +g958 +tp10281 +a(g405 +g1051 +tp10282 +a(g830 +g958 +tp10283 +a(g428 +Vcheck_menu_item_new +p10284 +tp10285 +a(g697 +g1101 +tp10286 +a(g81 +g1054 +tp10287 +a(g81 +VBottom Panel +p10288 +tp10289 +a(g81 +g1054 +tp10290 +a(g697 +g1105 +tp10291 +a(g830 +V\u000a +p10292 +tp10293 +a(g428 +VPCheckMenuItem +p10294 +tp10295 +a(g697 +g1101 +tp10296 +a(g428 +Vwin +p10297 +tp10298 +a(g697 +g1038 +tp10299 +a(g428 +VviewBottomPanelMenuItem +p10300 +tp10301 +a(g697 +g1105 +tp10302 +a(g697 +g1038 +tp10303 +a(g428 +VitemSetActive +p10304 +tp10305 +a(g697 +g1101 +tp10306 +a(g830 +V\u000a +p10307 +tp10308 +a(g428 +Vwin +p10309 +tp10310 +a(g697 +g1038 +tp10311 +a(g428 +Vsettings +p10312 +tp10313 +a(g697 +g1038 +tp10314 +a(g428 +VbottomPanelVisible +p10315 +tp10316 +a(g697 +g1105 +tp10317 +a(g830 +V\u000a +p10318 +tp10319 +a(g428 +Vwin +p10320 +tp10321 +a(g697 +g1038 +tp10322 +a(g428 +VviewBottomPanelMenuItem +p10323 +tp10324 +a(g697 +g1038 +tp10325 +a(g428 +Vadd_accelerator +p10326 +tp10327 +a(g697 +g1101 +tp10328 +a(g81 +g1054 +tp10329 +a(g81 +Vactivate +p10330 +tp10331 +a(g81 +g1054 +tp10332 +a(g697 +g962 +tp10333 +a(g830 +g958 +tp10334 +a(g428 +VaccGroup +p10335 +tp10336 +a(g697 +g962 +tp10337 +a(g830 +V \u000a +p10338 +tp10339 +a(g428 +VKEY_f9 +p10340 +tp10341 +a(g697 +g962 +tp10342 +a(g830 +g958 +tp10343 +a(g428 +VCONTROL_MASK +p10344 +tp10345 +a(g697 +g962 +tp10346 +a(g830 +g958 +tp10347 +a(g428 +VACCEL_VISIBLE +p10348 +tp10349 +a(g697 +g1105 +tp10350 +a(g830 +V \u000a +p10351 +tp10352 +a(g428 +VViewMenu +p10353 +tp10354 +a(g697 +g1038 +tp10355 +a(g428 +Vappend +p10356 +tp10357 +a(g697 +g1101 +tp10358 +a(g428 +Vwin +p10359 +tp10360 +a(g697 +g1038 +tp10361 +a(g428 +VviewBottomPanelMenuItem +p10362 +tp10363 +a(g697 +g1105 +tp10364 +a(g830 +V\u000a +p10365 +tp10366 +a(g428 +Vshow +p10367 +tp10368 +a(g697 +g1101 +tp10369 +a(g428 +Vwin +p10370 +tp10371 +a(g697 +g1038 +tp10372 +a(g428 +VviewBottomPanelMenuItem +p10373 +tp10374 +a(g697 +g1105 +tp10375 +a(g830 +V\u000a +p10376 +tp10377 +a(g13 +Vdiscard +p10378 +tp10379 +a(g830 +g958 +tp10380 +a(g428 +Vsignal_connect +p10381 +tp10382 +a(g697 +g1101 +tp10383 +a(g428 +Vwin +p10384 +tp10385 +a(g697 +g1038 +tp10386 +a(g428 +VviewBottomPanelMenuItem +p10387 +tp10388 +a(g697 +g962 +tp10389 +a(g830 +g958 +tp10390 +a(g81 +g1054 +tp10391 +a(g81 +Vtoggled +p10392 +tp10393 +a(g81 +g1054 +tp10394 +a(g697 +g962 +tp10395 +a(g830 +V \u000a +p10396 +tp10397 +a(g428 +VSIGNAL_FUNC +p10398 +tp10399 +a(g697 +g1101 +tp10400 +a(g428 +Vaporia +p10401 +tp10402 +a(g697 +g1038 +tp10403 +a(g428 +VviewBottomPanel_Toggled +p10404 +tp10405 +a(g697 +g1105 +tp10406 +a(g697 +g962 +tp10407 +a(g830 +g958 +tp10408 +a(g915 +Vnil +p10409 +tp10410 +a(g697 +g1105 +tp10411 +a(g830 +V\u000a \u000a +p10412 +tp10413 +a(g939 +Vvar +p10414 +tp10415 +a(g830 +g958 +tp10416 +a(g428 +VViewMenuItem +p10417 +tp10418 +a(g830 +g958 +tp10419 +a(g405 +g1051 +tp10420 +a(g830 +g958 +tp10421 +a(g428 +VmenuItemNewWithMnemonic +p10422 +tp10423 +a(g697 +g1101 +tp10424 +a(g81 +g1054 +tp10425 +a(g81 +V_View +p10426 +tp10427 +a(g81 +g1054 +tp10428 +a(g697 +g1105 +tp10429 +a(g830 +V\u000a\u000a +p10430 +tp10431 +a(g428 +VViewMenuItem +p10432 +tp10433 +a(g697 +g1038 +tp10434 +a(g428 +VsetSubMenu +p10435 +tp10436 +a(g697 +g1101 +tp10437 +a(g428 +VViewMenu +p10438 +tp10439 +a(g697 +g1105 +tp10440 +a(g830 +V\u000a +p10441 +tp10442 +a(g428 +VViewMenuItem +p10443 +tp10444 +a(g697 +g1038 +tp10445 +a(g428 +Vshow +p10446 +tp10447 +a(g697 +g1101 +tp10448 +a(g697 +g1105 +tp10449 +a(g830 +V\u000a +p10450 +tp10451 +a(g428 +VTopMenu +p10452 +tp10453 +a(g697 +g1038 +tp10454 +a(g428 +Vappend +p10455 +tp10456 +a(g697 +g1101 +tp10457 +a(g428 +VViewMenuItem +p10458 +tp10459 +a(g697 +g1105 +tp10460 +a(g830 +V \u000a \u000a \u000a +p10461 +tp10462 +a(g713 +V# Tools menu +p10463 +tp10464 +a(g830 +V\u000a +p10465 +tp10466 +a(g939 +Vvar +p10467 +tp10468 +a(g830 +g958 +tp10469 +a(g428 +VToolsMenu +p10470 +tp10471 +a(g830 +g958 +tp10472 +a(g405 +g1051 +tp10473 +a(g830 +g958 +tp10474 +a(g428 +VmenuNew +p10475 +tp10476 +a(g697 +g1101 +tp10477 +a(g697 +g1105 +tp10478 +a(g830 +V\u000a\u000a +p10479 +tp10480 +a(g428 +VcreateAccelMenuItem +p10481 +tp10482 +a(g697 +g1101 +tp10483 +a(g428 +VToolsMenu +p10484 +tp10485 +a(g697 +g962 +tp10486 +a(g830 +g958 +tp10487 +a(g428 +VaccGroup +p10488 +tp10489 +a(g697 +g962 +tp10490 +a(g830 +g958 +tp10491 +a(g81 +g1054 +tp10492 +a(g81 +VCompile current file +p10493 +tp10494 +a(g81 +g1054 +tp10495 +a(g697 +g962 +tp10496 +a(g830 +V \u000a +p10497 +tp10498 +a(g428 +VKEY_F4 +p10499 +tp10500 +a(g697 +g962 +tp10501 +a(g830 +g958 +tp10502 +a(g428 +Vaporia +p10503 +tp10504 +a(g697 +g1038 +tp10505 +a(g428 +VCompileCurrent_Activate +p10506 +tp10507 +a(g697 +g1105 +tp10508 +a(g830 +V\u000a +p10509 +tp10510 +a(g428 +VcreateAccelMenuItem +p10511 +tp10512 +a(g697 +g1101 +tp10513 +a(g428 +VToolsMenu +p10514 +tp10515 +a(g697 +g962 +tp10516 +a(g830 +g958 +tp10517 +a(g428 +VaccGroup +p10518 +tp10519 +a(g697 +g962 +tp10520 +a(g830 +g958 +tp10521 +a(g81 +g1054 +tp10522 +a(g81 +VCompile & run current file +p10523 +tp10524 +a(g81 +g1054 +tp10525 +a(g697 +g962 +tp10526 +a(g830 +V \u000a +p10527 +tp10528 +a(g428 +VKEY_F5 +p10529 +tp10530 +a(g697 +g962 +tp10531 +a(g830 +g958 +tp10532 +a(g428 +Vaporia +p10533 +tp10534 +a(g697 +g1038 +tp10535 +a(g428 +VCompileRunCurrent_Activate +p10536 +tp10537 +a(g697 +g1105 +tp10538 +a(g830 +V\u000a +p10539 +tp10540 +a(g428 +VcreateSeparator +p10541 +tp10542 +a(g697 +g1101 +tp10543 +a(g428 +VToolsMenu +p10544 +tp10545 +a(g697 +g1105 +tp10546 +a(g830 +V\u000a +p10547 +tp10548 +a(g428 +VcreateAccelMenuItem +p10549 +tp10550 +a(g697 +g1101 +tp10551 +a(g428 +VToolsMenu +p10552 +tp10553 +a(g697 +g962 +tp10554 +a(g830 +g958 +tp10555 +a(g428 +VaccGroup +p10556 +tp10557 +a(g697 +g962 +tp10558 +a(g830 +g958 +tp10559 +a(g81 +g1054 +tp10560 +a(g81 +VCompile project +p10561 +tp10562 +a(g81 +g1054 +tp10563 +a(g697 +g962 +tp10564 +a(g830 +V \u000a +p10565 +tp10566 +a(g428 +VKEY_F8 +p10567 +tp10568 +a(g697 +g962 +tp10569 +a(g830 +g958 +tp10570 +a(g428 +Vaporia +p10571 +tp10572 +a(g697 +g1038 +tp10573 +a(g428 +VCompileProject_Activate +p10574 +tp10575 +a(g697 +g1105 +tp10576 +a(g830 +V\u000a +p10577 +tp10578 +a(g428 +VcreateAccelMenuItem +p10579 +tp10580 +a(g697 +g1101 +tp10581 +a(g428 +VToolsMenu +p10582 +tp10583 +a(g697 +g962 +tp10584 +a(g830 +g958 +tp10585 +a(g428 +VaccGroup +p10586 +tp10587 +a(g697 +g962 +tp10588 +a(g830 +g958 +tp10589 +a(g81 +g1054 +tp10590 +a(g81 +VCompile & run project +p10591 +tp10592 +a(g81 +g1054 +tp10593 +a(g697 +g962 +tp10594 +a(g830 +V \u000a +p10595 +tp10596 +a(g428 +VKEY_F9 +p10597 +tp10598 +a(g697 +g962 +tp10599 +a(g830 +g958 +tp10600 +a(g428 +Vaporia +p10601 +tp10602 +a(g697 +g1038 +tp10603 +a(g428 +VCompileRunProject_Activate +p10604 +tp10605 +a(g697 +g1105 +tp10606 +a(g830 +V\u000a +p10607 +tp10608 +a(g428 +VcreateSeparator +p10609 +tp10610 +a(g697 +g1101 +tp10611 +a(g428 +VToolsMenu +p10612 +tp10613 +a(g697 +g1105 +tp10614 +a(g830 +V\u000a +p10615 +tp10616 +a(g428 +VcreateAccelMenuItem +p10617 +tp10618 +a(g697 +g1101 +tp10619 +a(g428 +VToolsMenu +p10620 +tp10621 +a(g697 +g962 +tp10622 +a(g830 +g958 +tp10623 +a(g428 +VaccGroup +p10624 +tp10625 +a(g697 +g962 +tp10626 +a(g830 +g958 +tp10627 +a(g81 +g1054 +tp10628 +a(g81 +VRun custom command 1 +p10629 +tp10630 +a(g81 +g1054 +tp10631 +a(g697 +g962 +tp10632 +a(g830 +V \u000a +p10633 +tp10634 +a(g428 +VKEY_F1 +p10635 +tp10636 +a(g697 +g962 +tp10637 +a(g830 +g958 +tp10638 +a(g428 +Vaporia +p10639 +tp10640 +a(g697 +g1038 +tp10641 +a(g428 +VRunCustomCommand1 +p10642 +tp10643 +a(g697 +g1105 +tp10644 +a(g830 +V\u000a +p10645 +tp10646 +a(g428 +VcreateAccelMenuItem +p10647 +tp10648 +a(g697 +g1101 +tp10649 +a(g428 +VToolsMenu +p10650 +tp10651 +a(g697 +g962 +tp10652 +a(g830 +g958 +tp10653 +a(g428 +VaccGroup +p10654 +tp10655 +a(g697 +g962 +tp10656 +a(g830 +g958 +tp10657 +a(g81 +g1054 +tp10658 +a(g81 +VRun custom command 2 +p10659 +tp10660 +a(g81 +g1054 +tp10661 +a(g697 +g962 +tp10662 +a(g830 +V \u000a +p10663 +tp10664 +a(g428 +VKEY_F2 +p10665 +tp10666 +a(g697 +g962 +tp10667 +a(g830 +g958 +tp10668 +a(g428 +Vaporia +p10669 +tp10670 +a(g697 +g1038 +tp10671 +a(g428 +VRunCustomCommand2 +p10672 +tp10673 +a(g697 +g1105 +tp10674 +a(g830 +V\u000a +p10675 +tp10676 +a(g428 +VcreateAccelMenuItem +p10677 +tp10678 +a(g697 +g1101 +tp10679 +a(g428 +VToolsMenu +p10680 +tp10681 +a(g697 +g962 +tp10682 +a(g830 +g958 +tp10683 +a(g428 +VaccGroup +p10684 +tp10685 +a(g697 +g962 +tp10686 +a(g830 +g958 +tp10687 +a(g81 +g1054 +tp10688 +a(g81 +VRun custom command 3 +p10689 +tp10690 +a(g81 +g1054 +tp10691 +a(g697 +g962 +tp10692 +a(g830 +V \u000a +p10693 +tp10694 +a(g428 +VKEY_F3 +p10695 +tp10696 +a(g697 +g962 +tp10697 +a(g830 +g958 +tp10698 +a(g428 +Vaporia +p10699 +tp10700 +a(g697 +g1038 +tp10701 +a(g428 +VRunCustomCommand3 +p10702 +tp10703 +a(g697 +g1105 +tp10704 +a(g830 +V\u000a \u000a +p10705 +tp10706 +a(g939 +Vvar +p10707 +tp10708 +a(g830 +g958 +tp10709 +a(g428 +VToolsMenuItem +p10710 +tp10711 +a(g830 +g958 +tp10712 +a(g405 +g1051 +tp10713 +a(g830 +g958 +tp10714 +a(g428 +VmenuItemNewWithMnemonic +p10715 +tp10716 +a(g697 +g1101 +tp10717 +a(g81 +g1054 +tp10718 +a(g81 +V_Tools +p10719 +tp10720 +a(g81 +g1054 +tp10721 +a(g697 +g1105 +tp10722 +a(g830 +V\u000a \u000a +p10723 +tp10724 +a(g428 +VToolsMenuItem +p10725 +tp10726 +a(g697 +g1038 +tp10727 +a(g428 +VsetSubMenu +p10728 +tp10729 +a(g697 +g1101 +tp10730 +a(g428 +VToolsMenu +p10731 +tp10732 +a(g697 +g1105 +tp10733 +a(g830 +V\u000a +p10734 +tp10735 +a(g428 +VToolsMenuItem +p10736 +tp10737 +a(g697 +g1038 +tp10738 +a(g428 +Vshow +p10739 +tp10740 +a(g697 +g1101 +tp10741 +a(g697 +g1105 +tp10742 +a(g830 +V\u000a +p10743 +tp10744 +a(g428 +VTopMenu +p10745 +tp10746 +a(g697 +g1038 +tp10747 +a(g428 +Vappend +p10748 +tp10749 +a(g697 +g1101 +tp10750 +a(g428 +VToolsMenuItem +p10751 +tp10752 +a(g697 +g1105 +tp10753 +a(g830 +V\u000a \u000a +p10754 +tp10755 +a(g713 +V# Help menu +p10756 +tp10757 +a(g830 +V\u000a +p10758 +tp10759 +a(g428 +VMainBox +p10760 +tp10761 +a(g697 +g1038 +tp10762 +a(g428 +VpackStart +p10763 +tp10764 +a(g697 +g1101 +tp10765 +a(g428 +VTopMenu +p10766 +tp10767 +a(g697 +g962 +tp10768 +a(g830 +g958 +tp10769 +a(g915 +VFalse +p10770 +tp10771 +a(g697 +g962 +tp10772 +a(g830 +g958 +tp10773 +a(g915 +VFalse +p10774 +tp10775 +a(g697 +g962 +tp10776 +a(g830 +g958 +tp10777 +a(g27 +g1263 +tp10778 +a(g697 +g1105 +tp10779 +a(g830 +V\u000a +p10780 +tp10781 +a(g428 +VTopMenu +p10782 +tp10783 +a(g697 +g1038 +tp10784 +a(g428 +Vshow +p10785 +tp10786 +a(g697 +g1101 +tp10787 +a(g697 +g1105 +tp10788 +a(g830 +V\u000a\u000a +p10789 +tp10790 +a(g13 +Vproc +p10791 +tp10792 +a(g566 +VinitToolBar +p10793 +tp10794 +a(g697 +g1101 +tp10795 +a(g428 +VMainBox +p10796 +tp10797 +a(g697 +g1034 +tp10798 +a(g830 +g958 +tp10799 +a(g428 +VPBox +p10800 +tp10801 +a(g697 +g1105 +tp10802 +a(g830 +g958 +tp10803 +a(g405 +g1051 +tp10804 +a(g830 +V\u000a +p10805 +tp10806 +a(g713 +V# TopBar(ToolBar) +p10807 +tp10808 +a(g830 +V\u000a +p10809 +tp10810 +a(g939 +Vvar +p10811 +tp10812 +a(g830 +g958 +tp10813 +a(g428 +VTopBar +p10814 +tp10815 +a(g830 +g958 +tp10816 +a(g405 +g1051 +tp10817 +a(g830 +g958 +tp10818 +a(g428 +VtoolbarNew +p10819 +tp10820 +a(g697 +g1101 +tp10821 +a(g697 +g1105 +tp10822 +a(g830 +V\u000a +p10823 +tp10824 +a(g428 +VTopBar +p10825 +tp10826 +a(g697 +g1038 +tp10827 +a(g428 +VsetStyle +p10828 +tp10829 +a(g697 +g1101 +tp10830 +a(g428 +VTOOLBAR_ICONS +p10831 +tp10832 +a(g697 +g1105 +tp10833 +a(g830 +V\u000a \u000a +p10834 +tp10835 +a(g939 +Vvar +p10836 +tp10837 +a(g830 +g958 +tp10838 +a(g428 +VNewFileItem +p10839 +tp10840 +a(g830 +g958 +tp10841 +a(g405 +g1051 +tp10842 +a(g830 +g958 +tp10843 +a(g428 +VTopBar +p10844 +tp10845 +a(g697 +g1038 +tp10846 +a(g428 +VinsertStock +p10847 +tp10848 +a(g697 +g1101 +tp10849 +a(g428 +VSTOCK_NEW +p10850 +tp10851 +a(g697 +g962 +tp10852 +a(g830 +g958 +tp10853 +a(g81 +g1054 +tp10854 +a(g81 +VNew File +p10855 +tp10856 +a(g81 +g1054 +tp10857 +a(g697 +g962 +tp10858 +a(g830 +V\u000a +p10859 +tp10860 +a(g81 +g1054 +tp10861 +a(g81 +VNew File +p10862 +tp10863 +a(g81 +g1054 +tp10864 +a(g697 +g962 +tp10865 +a(g830 +g958 +tp10866 +a(g428 +VSIGNAL_FUNC +p10867 +tp10868 +a(g697 +g1101 +tp10869 +a(g428 +Vaporia +p10870 +tp10871 +a(g697 +g1038 +tp10872 +a(g428 +VnewFile +p10873 +tp10874 +a(g697 +g1105 +tp10875 +a(g697 +g962 +tp10876 +a(g830 +g958 +tp10877 +a(g915 +Vnil +p10878 +tp10879 +a(g697 +g962 +tp10880 +a(g830 +g958 +tp10881 +a(g27 +g1263 +tp10882 +a(g697 +g1105 +tp10883 +a(g830 +V\u000a +p10884 +tp10885 +a(g428 +VTopBar +p10886 +tp10887 +a(g697 +g1038 +tp10888 +a(g428 +VappendSpace +p10889 +tp10890 +a(g697 +g1101 +tp10891 +a(g697 +g1105 +tp10892 +a(g830 +V\u000a +p10893 +tp10894 +a(g939 +Vvar +p10895 +tp10896 +a(g830 +g958 +tp10897 +a(g428 +VOpenItem +p10898 +tp10899 +a(g830 +g958 +tp10900 +a(g405 +g1051 +tp10901 +a(g830 +g958 +tp10902 +a(g428 +VTopBar +p10903 +tp10904 +a(g697 +g1038 +tp10905 +a(g428 +VinsertStock +p10906 +tp10907 +a(g697 +g1101 +tp10908 +a(g428 +VSTOCK_OPEN +p10909 +tp10910 +a(g697 +g962 +tp10911 +a(g830 +g958 +tp10912 +a(g81 +g1054 +tp10913 +a(g81 +VOpen +p10914 +tp10915 +a(g81 +g1054 +tp10916 +a(g697 +g962 +tp10917 +a(g830 +V\u000a +p10918 +tp10919 +a(g81 +g1054 +tp10920 +a(g81 +VOpen +p10921 +tp10922 +a(g81 +g1054 +tp10923 +a(g697 +g962 +tp10924 +a(g830 +g958 +tp10925 +a(g428 +VSIGNAL_FUNC +p10926 +tp10927 +a(g697 +g1101 +tp10928 +a(g428 +Vaporia +p10929 +tp10930 +a(g697 +g1038 +tp10931 +a(g428 +VopenFile +p10932 +tp10933 +a(g697 +g1105 +tp10934 +a(g697 +g962 +tp10935 +a(g830 +g958 +tp10936 +a(g915 +Vnil +p10937 +tp10938 +a(g697 +g962 +tp10939 +a(g830 +g958 +tp10940 +a(g405 +g2054 +tp10941 +a(g27 +g2056 +tp10942 +a(g697 +g1105 +tp10943 +a(g830 +V\u000a +p10944 +tp10945 +a(g939 +Vvar +p10946 +tp10947 +a(g830 +g958 +tp10948 +a(g428 +VSaveItem +p10949 +tp10950 +a(g830 +g958 +tp10951 +a(g405 +g1051 +tp10952 +a(g830 +g958 +tp10953 +a(g428 +VTopBar +p10954 +tp10955 +a(g697 +g1038 +tp10956 +a(g428 +VinsertStock +p10957 +tp10958 +a(g697 +g1101 +tp10959 +a(g428 +VSTOCK_SAVE +p10960 +tp10961 +a(g697 +g962 +tp10962 +a(g830 +g958 +tp10963 +a(g81 +g1054 +tp10964 +a(g81 +VSave +p10965 +tp10966 +a(g81 +g1054 +tp10967 +a(g697 +g962 +tp10968 +a(g830 +V\u000a +p10969 +tp10970 +a(g81 +g1054 +tp10971 +a(g81 +VSave +p10972 +tp10973 +a(g81 +g1054 +tp10974 +a(g697 +g962 +tp10975 +a(g830 +g958 +tp10976 +a(g428 +VSIGNAL_FUNC +p10977 +tp10978 +a(g697 +g1101 +tp10979 +a(g428 +VsaveFile_Activate +p10980 +tp10981 +a(g697 +g1105 +tp10982 +a(g697 +g962 +tp10983 +a(g830 +g958 +tp10984 +a(g915 +Vnil +p10985 +tp10986 +a(g697 +g962 +tp10987 +a(g830 +g958 +tp10988 +a(g405 +g2054 +tp10989 +a(g27 +g2056 +tp10990 +a(g697 +g1105 +tp10991 +a(g830 +V\u000a +p10992 +tp10993 +a(g428 +VTopBar +p10994 +tp10995 +a(g697 +g1038 +tp10996 +a(g428 +VappendSpace +p10997 +tp10998 +a(g697 +g1101 +tp10999 +a(g697 +g1105 +tp11000 +a(g830 +V\u000a +p11001 +tp11002 +a(g939 +Vvar +p11003 +tp11004 +a(g830 +g958 +tp11005 +a(g428 +VUndoItem +p11006 +tp11007 +a(g830 +g958 +tp11008 +a(g405 +g1051 +tp11009 +a(g830 +g958 +tp11010 +a(g428 +VTopBar +p11011 +tp11012 +a(g697 +g1038 +tp11013 +a(g428 +VinsertStock +p11014 +tp11015 +a(g697 +g1101 +tp11016 +a(g428 +VSTOCK_UNDO +p11017 +tp11018 +a(g697 +g962 +tp11019 +a(g830 +g958 +tp11020 +a(g81 +g1054 +tp11021 +a(g81 +VUndo +p11022 +tp11023 +a(g81 +g1054 +tp11024 +a(g697 +g962 +tp11025 +a(g830 +V \u000a +p11026 +tp11027 +a(g81 +g1054 +tp11028 +a(g81 +VUndo +p11029 +tp11030 +a(g81 +g1054 +tp11031 +a(g697 +g962 +tp11032 +a(g830 +g958 +tp11033 +a(g428 +VSIGNAL_FUNC +p11034 +tp11035 +a(g697 +g1101 +tp11036 +a(g428 +Vaporia +p11037 +tp11038 +a(g697 +g1038 +tp11039 +a(g428 +Vundo +p11040 +tp11041 +a(g697 +g1105 +tp11042 +a(g697 +g962 +tp11043 +a(g830 +g958 +tp11044 +a(g915 +Vnil +p11045 +tp11046 +a(g697 +g962 +tp11047 +a(g830 +g958 +tp11048 +a(g405 +g2054 +tp11049 +a(g27 +g2056 +tp11050 +a(g697 +g1105 +tp11051 +a(g830 +V\u000a +p11052 +tp11053 +a(g939 +Vvar +p11054 +tp11055 +a(g830 +g958 +tp11056 +a(g428 +VRedoItem +p11057 +tp11058 +a(g830 +g958 +tp11059 +a(g405 +g1051 +tp11060 +a(g830 +g958 +tp11061 +a(g428 +VTopBar +p11062 +tp11063 +a(g697 +g1038 +tp11064 +a(g428 +VinsertStock +p11065 +tp11066 +a(g697 +g1101 +tp11067 +a(g428 +VSTOCK_REDO +p11068 +tp11069 +a(g697 +g962 +tp11070 +a(g830 +g958 +tp11071 +a(g81 +g1054 +tp11072 +a(g81 +VRedo +p11073 +tp11074 +a(g81 +g1054 +tp11075 +a(g697 +g962 +tp11076 +a(g830 +V\u000a +p11077 +tp11078 +a(g81 +g1054 +tp11079 +a(g81 +VRedo +p11080 +tp11081 +a(g81 +g1054 +tp11082 +a(g697 +g962 +tp11083 +a(g830 +g958 +tp11084 +a(g428 +VSIGNAL_FUNC +p11085 +tp11086 +a(g697 +g1101 +tp11087 +a(g428 +Vaporia +p11088 +tp11089 +a(g697 +g1038 +tp11090 +a(g428 +Vredo +p11091 +tp11092 +a(g697 +g1105 +tp11093 +a(g697 +g962 +tp11094 +a(g830 +g958 +tp11095 +a(g915 +Vnil +p11096 +tp11097 +a(g697 +g962 +tp11098 +a(g830 +g958 +tp11099 +a(g405 +g2054 +tp11100 +a(g27 +g2056 +tp11101 +a(g697 +g1105 +tp11102 +a(g830 +V\u000a \u000a +p11103 +tp11104 +a(g428 +VMainBox +p11105 +tp11106 +a(g697 +g1038 +tp11107 +a(g428 +VpackStart +p11108 +tp11109 +a(g697 +g1101 +tp11110 +a(g428 +VTopBar +p11111 +tp11112 +a(g697 +g962 +tp11113 +a(g830 +g958 +tp11114 +a(g915 +VFalse +p11115 +tp11116 +a(g697 +g962 +tp11117 +a(g830 +g958 +tp11118 +a(g915 +VFalse +p11119 +tp11120 +a(g697 +g962 +tp11121 +a(g830 +g958 +tp11122 +a(g27 +g1263 +tp11123 +a(g697 +g1105 +tp11124 +a(g830 +V\u000a +p11125 +tp11126 +a(g428 +VTopBar +p11127 +tp11128 +a(g697 +g1038 +tp11129 +a(g428 +Vshow +p11130 +tp11131 +a(g697 +g1101 +tp11132 +a(g697 +g1105 +tp11133 +a(g830 +V\u000a \u000a +p11134 +tp11135 +a(g13 +Vproc +p11136 +tp11137 +a(g566 +VinitSourceViewTabs +p11138 +tp11139 +a(g697 +g1101 +tp11140 +a(g697 +g1105 +tp11141 +a(g830 +g958 +tp11142 +a(g405 +g1051 +tp11143 +a(g830 +V\u000a +p11144 +tp11145 +a(g428 +Vwin +p11146 +tp11147 +a(g697 +g1038 +tp11148 +a(g428 +VSourceViewTabs +p11149 +tp11150 +a(g830 +g958 +tp11151 +a(g405 +g1051 +tp11152 +a(g830 +g958 +tp11153 +a(g428 +VnotebookNew +p11154 +tp11155 +a(g697 +g1101 +tp11156 +a(g697 +g1105 +tp11157 +a(g830 +V\u000a +p11158 +tp11159 +a(g713 +V#win.sourceViewTabs.dragDestSet(DEST_DEFAULT_DROP, nil, 0, ACTION_MOVE) +p11160 +tp11161 +a(g830 +V\u000a +p11162 +tp11163 +a(g13 +Vdiscard +p11164 +tp11165 +a(g830 +g958 +tp11166 +a(g428 +Vwin +p11167 +tp11168 +a(g697 +g1038 +tp11169 +a(g428 +VSourceViewTabs +p11170 +tp11171 +a(g697 +g1038 +tp11172 +a(g428 +VsignalConnect +p11173 +tp11174 +a(g697 +g1101 +tp11175 +a(g830 +V\u000a +p11176 +tp11177 +a(g81 +g1054 +tp11178 +a(g81 +Vswitch-page +p11179 +tp11180 +a(g81 +g1054 +tp11181 +a(g697 +g962 +tp11182 +a(g830 +g958 +tp11183 +a(g428 +VSIGNAL_FUNC +p11184 +tp11185 +a(g697 +g1101 +tp11186 +a(g428 +VonSwitchTab +p11187 +tp11188 +a(g697 +g1105 +tp11189 +a(g697 +g962 +tp11190 +a(g830 +g958 +tp11191 +a(g915 +Vnil +p11192 +tp11193 +a(g697 +g1105 +tp11194 +a(g830 +V\u000a +p11195 +tp11196 +a(g713 +V#discard win.SourceViewTabs.signalConnect( +p11197 +tp11198 +a(g830 +V\u000a +p11199 +tp11200 +a(g713 +V# "drag-drop", SIGNAL_FUNC(svTabs_DragDrop), nil) +p11201 +tp11202 +a(g830 +V\u000a +p11203 +tp11204 +a(g713 +V#discard win.SourceViewTabs.signalConnect( +p11205 +tp11206 +a(g830 +V\u000a +p11207 +tp11208 +a(g713 +V# "drag-data-received", SIGNAL_FUNC(svTabs_DragDataRecv), nil) +p11209 +tp11210 +a(g830 +V\u000a +p11211 +tp11212 +a(g713 +V#discard win.SourceViewTabs.signalConnect( +p11213 +tp11214 +a(g830 +V\u000a +p11215 +tp11216 +a(g713 +V# "drag-motion", SIGNAL_FUNC(svTabs_DragMotion), nil) +p11217 +tp11218 +a(g830 +V\u000a +p11219 +tp11220 +a(g428 +Vwin +p11221 +tp11222 +a(g697 +g1038 +tp11223 +a(g428 +VSourceViewTabs +p11224 +tp11225 +a(g697 +g1038 +tp11226 +a(g428 +Vset_scrollable +p11227 +tp11228 +a(g697 +g1101 +tp11229 +a(g915 +VTrue +p11230 +tp11231 +a(g697 +g1105 +tp11232 +a(g830 +V\u000a \u000a +p11233 +tp11234 +a(g428 +Vwin +p11235 +tp11236 +a(g697 +g1038 +tp11237 +a(g428 +VSourceViewTabs +p11238 +tp11239 +a(g697 +g1038 +tp11240 +a(g428 +Vshow +p11241 +tp11242 +a(g697 +g1101 +tp11243 +a(g697 +g1105 +tp11244 +a(g830 +V\u000a +p11245 +tp11246 +a(g13 +Vif +p11247 +tp11248 +a(g830 +g958 +tp11249 +a(g428 +VlastSession +p11250 +tp11251 +a(g697 +g1038 +tp11252 +a(g428 +Vlen +p11253 +tp11254 +a(g830 +g958 +tp11255 +a(g405 +g1459 +tp11256 +a(g405 +g1051 +tp11257 +a(g830 +g958 +tp11258 +a(g27 +g1263 +tp11259 +a(g697 +g1034 +tp11260 +a(g830 +V\u000a +p11261 +tp11262 +a(g13 +Vfor +p11263 +tp11264 +a(g830 +g958 +tp11265 +a(g428 +g1257 +tp11266 +a(g830 +g958 +tp11267 +a(g419 +Vin +p11268 +tp11269 +a(g830 +g958 +tp11270 +a(g27 +g1263 +tp11271 +a(g830 +g958 +tp11272 +a(g697 +V.. +p11273 +tp11274 +a(g830 +g958 +tp11275 +a(g428 +Vlen +p11276 +tp11277 +a(g697 +g1101 +tp11278 +a(g428 +VlastSession +p11279 +tp11280 +a(g697 +g1105 +tp11281 +a(g405 +g2054 +tp11282 +a(g27 +g2056 +tp11283 +a(g697 +g1034 +tp11284 +a(g830 +V\u000a +p11285 +tp11286 +a(g939 +Vvar +p11287 +tp11288 +a(g830 +g958 +tp11289 +a(g428 +VsplitUp +p11290 +tp11291 +a(g830 +g958 +tp11292 +a(g405 +g1051 +tp11293 +a(g830 +g958 +tp11294 +a(g428 +VlastSession +p11295 +tp11296 +a(g405 +g1085 +tp11297 +a(g428 +g1257 +tp11298 +a(g405 +g1087 +tp11299 +a(g697 +g1038 +tp11300 +a(g428 +Vsplit +p11301 +tp11302 +a(g697 +g1101 +tp11303 +a(g85 +g5766 +tp11304 +a(g85 +V| +p11305 +tp11306 +a(g85 +g5766 +tp11307 +a(g697 +g1105 +tp11308 +a(g830 +V\u000a +p11309 +tp11310 +a(g939 +Vvar +p11311 +tp11312 +a(g830 +g958 +tp11313 +a(g697 +g1101 +tp11314 +a(g428 +Vfilename +p11315 +tp11316 +a(g697 +g962 +tp11317 +a(g830 +g958 +tp11318 +a(g428 +Voffset +p11319 +tp11320 +a(g697 +g1105 +tp11321 +a(g830 +g958 +tp11322 +a(g405 +g1051 +tp11323 +a(g830 +g958 +tp11324 +a(g697 +g1101 +tp11325 +a(g428 +VsplitUp +p11326 +tp11327 +a(g405 +g1085 +tp11328 +a(g27 +g1263 +tp11329 +a(g405 +g1087 +tp11330 +a(g697 +g962 +tp11331 +a(g830 +g958 +tp11332 +a(g428 +VsplitUp +p11333 +tp11334 +a(g405 +g1085 +tp11335 +a(g27 +g2056 +tp11336 +a(g405 +g1087 +tp11337 +a(g697 +g1105 +tp11338 +a(g830 +V\u000a +p11339 +tp11340 +a(g428 +VaddTab +p11341 +tp11342 +a(g697 +g1101 +tp11343 +a(g81 +g1054 +tp11344 +a(g81 +g1054 +tp11345 +a(g697 +g962 +tp11346 +a(g830 +g958 +tp11347 +a(g428 +Vfilename +p11348 +tp11349 +a(g697 +g1105 +tp11350 +a(g830 +V\u000a \u000a +p11351 +tp11352 +a(g939 +Vvar +p11353 +tp11354 +a(g830 +g958 +tp11355 +a(g428 +Viter +p11356 +tp11357 +a(g697 +g1034 +tp11358 +a(g830 +g958 +tp11359 +a(g428 +VTTextIter +p11360 +tp11361 +a(g830 +V\u000a +p11362 +tp11363 +a(g428 +Vwin +p11364 +tp11365 +a(g697 +g1038 +tp11366 +a(g428 +VTabs +p11367 +tp11368 +a(g405 +g1085 +tp11369 +a(g428 +g1257 +tp11370 +a(g405 +g1087 +tp11371 +a(g697 +g1038 +tp11372 +a(g428 +Vbuffer +p11373 +tp11374 +a(g697 +g1038 +tp11375 +a(g428 +VgetIterAtOffset +p11376 +tp11377 +a(g697 +g1101 +tp11378 +a(g13 +Vaddr +p11379 +tp11380 +a(g697 +g1101 +tp11381 +a(g428 +Viter +p11382 +tp11383 +a(g697 +g1105 +tp11384 +a(g697 +g962 +tp11385 +a(g830 +g958 +tp11386 +a(g428 +Voffset +p11387 +tp11388 +a(g697 +g1038 +tp11389 +a(g428 +VparseInt +p11390 +tp11391 +a(g697 +g1101 +tp11392 +a(g697 +g1105 +tp11393 +a(g697 +g1105 +tp11394 +a(g830 +V\u000a +p11395 +tp11396 +a(g428 +Vwin +p11397 +tp11398 +a(g697 +g1038 +tp11399 +a(g428 +VTabs +p11400 +tp11401 +a(g405 +g1085 +tp11402 +a(g428 +g1257 +tp11403 +a(g405 +g1087 +tp11404 +a(g697 +g1038 +tp11405 +a(g428 +Vbuffer +p11406 +tp11407 +a(g697 +g1038 +tp11408 +a(g428 +VmoveMarkByName +p11409 +tp11410 +a(g697 +g1101 +tp11411 +a(g81 +g1054 +tp11412 +a(g81 +Vinsert +p11413 +tp11414 +a(g81 +g1054 +tp11415 +a(g697 +g962 +tp11416 +a(g830 +g958 +tp11417 +a(g13 +Vaddr +p11418 +tp11419 +a(g697 +g1101 +tp11420 +a(g428 +Viter +p11421 +tp11422 +a(g697 +g1105 +tp11423 +a(g697 +g1105 +tp11424 +a(g830 +V\u000a +p11425 +tp11426 +a(g428 +Vwin +p11427 +tp11428 +a(g697 +g1038 +tp11429 +a(g428 +VTabs +p11430 +tp11431 +a(g405 +g1085 +tp11432 +a(g428 +g1257 +tp11433 +a(g405 +g1087 +tp11434 +a(g697 +g1038 +tp11435 +a(g428 +Vbuffer +p11436 +tp11437 +a(g697 +g1038 +tp11438 +a(g428 +VmoveMarkByName +p11439 +tp11440 +a(g697 +g1101 +tp11441 +a(g81 +g1054 +tp11442 +a(g81 +Vselection_bound +p11443 +tp11444 +a(g81 +g1054 +tp11445 +a(g697 +g962 +tp11446 +a(g830 +g958 +tp11447 +a(g13 +Vaddr +p11448 +tp11449 +a(g697 +g1101 +tp11450 +a(g428 +Viter +p11451 +tp11452 +a(g697 +g1105 +tp11453 +a(g697 +g1105 +tp11454 +a(g830 +V\u000a \u000a +p11455 +tp11456 +a(g713 +V# TODO: Fix this..... :( +p11457 +tp11458 +a(g830 +V\u000a +p11459 +tp11460 +a(g13 +Vdiscard +p11461 +tp11462 +a(g830 +g958 +tp11463 +a(g428 +VPTextView +p11464 +tp11465 +a(g697 +g1101 +tp11466 +a(g428 +Vwin +p11467 +tp11468 +a(g697 +g1038 +tp11469 +a(g428 +VTabs +p11470 +tp11471 +a(g405 +g1085 +tp11472 +a(g428 +g1257 +tp11473 +a(g405 +g1087 +tp11474 +a(g697 +g1038 +tp11475 +a(g428 +VsourceView +p11476 +tp11477 +a(g697 +g1105 +tp11478 +a(g697 +g1038 +tp11479 +a(g830 +V\u000a +p11480 +tp11481 +a(g428 +VscrollToIter +p11482 +tp11483 +a(g697 +g1101 +tp11484 +a(g13 +Vaddr +p11485 +tp11486 +a(g697 +g1101 +tp11487 +a(g428 +Viter +p11488 +tp11489 +a(g697 +g1105 +tp11490 +a(g697 +g962 +tp11491 +a(g830 +g958 +tp11492 +a(g307 +g1263 +tp11493 +a(g307 +V.25 +p11494 +tp11495 +a(g697 +g962 +tp11496 +a(g830 +g958 +tp11497 +a(g915 +Vtrue +p11498 +tp11499 +a(g697 +g962 +tp11500 +a(g830 +g958 +tp11501 +a(g307 +g1263 +tp11502 +a(g307 +V.0 +p11503 +tp11504 +a(g697 +g962 +tp11505 +a(g830 +g958 +tp11506 +a(g307 +g1263 +tp11507 +a(g307 +V.0 +p11508 +tp11509 +a(g697 +g1105 +tp11510 +a(g830 +V\u000a +p11511 +tp11512 +a(g13 +Velse +p11513 +tp11514 +a(g697 +g1034 +tp11515 +a(g830 +V\u000a +p11516 +tp11517 +a(g428 +VaddTab +p11518 +tp11519 +a(g697 +g1101 +tp11520 +a(g81 +g1054 +tp11521 +a(g81 +g1054 +tp11522 +a(g697 +g962 +tp11523 +a(g830 +g958 +tp11524 +a(g81 +g1054 +tp11525 +a(g81 +g1054 +tp11526 +a(g697 +g1105 +tp11527 +a(g830 +V\u000a \u000a +p11528 +tp11529 +a(g713 +V# This doesn't work :\u005c +p11530 +tp11531 +a(g830 +V\u000a +p11532 +tp11533 +a(g428 +Vwin +p11534 +tp11535 +a(g697 +g1038 +tp11536 +a(g428 +VTabs +p11537 +tp11538 +a(g405 +g1085 +tp11539 +a(g27 +g1263 +tp11540 +a(g405 +g1087 +tp11541 +a(g697 +g1038 +tp11542 +a(g428 +VsourceView +p11543 +tp11544 +a(g697 +g1038 +tp11545 +a(g428 +VgrabFocus +p11546 +tp11547 +a(g697 +g1101 +tp11548 +a(g697 +g1105 +tp11549 +a(g830 +V\u000a\u000a \u000a +p11550 +tp11551 +a(g13 +Vproc +p11552 +tp11553 +a(g566 +VinitBottomTabs +p11554 +tp11555 +a(g697 +g1101 +tp11556 +a(g697 +g1105 +tp11557 +a(g830 +g958 +tp11558 +a(g405 +g1051 +tp11559 +a(g830 +V\u000a +p11560 +tp11561 +a(g428 +Vwin +p11562 +tp11563 +a(g697 +g1038 +tp11564 +a(g428 +VbottomPanelTabs +p11565 +tp11566 +a(g830 +g958 +tp11567 +a(g405 +g1051 +tp11568 +a(g830 +g958 +tp11569 +a(g428 +VnotebookNew +p11570 +tp11571 +a(g697 +g1101 +tp11572 +a(g697 +g1105 +tp11573 +a(g830 +V\u000a +p11574 +tp11575 +a(g13 +Vif +p11576 +tp11577 +a(g830 +g958 +tp11578 +a(g428 +Vwin +p11579 +tp11580 +a(g697 +g1038 +tp11581 +a(g428 +Vsettings +p11582 +tp11583 +a(g697 +g1038 +tp11584 +a(g428 +VbottomPanelVisible +p11585 +tp11586 +a(g697 +g1034 +tp11587 +a(g830 +V\u000a +p11588 +tp11589 +a(g428 +Vwin +p11590 +tp11591 +a(g697 +g1038 +tp11592 +a(g428 +VbottomPanelTabs +p11593 +tp11594 +a(g697 +g1038 +tp11595 +a(g428 +Vshow +p11596 +tp11597 +a(g697 +g1101 +tp11598 +a(g697 +g1105 +tp11599 +a(g830 +V\u000a \u000a +p11600 +tp11601 +a(g713 +V# output tab +p11602 +tp11603 +a(g830 +V\u000a +p11604 +tp11605 +a(g939 +Vvar +p11606 +tp11607 +a(g830 +g958 +tp11608 +a(g428 +VtabLabel +p11609 +tp11610 +a(g830 +g958 +tp11611 +a(g405 +g1051 +tp11612 +a(g830 +g958 +tp11613 +a(g428 +VlabelNew +p11614 +tp11615 +a(g697 +g1101 +tp11616 +a(g81 +g1054 +tp11617 +a(g81 +VOutput +p11618 +tp11619 +a(g81 +g1054 +tp11620 +a(g697 +g1105 +tp11621 +a(g830 +V\u000a +p11622 +tp11623 +a(g939 +Vvar +p11624 +tp11625 +a(g830 +g958 +tp11626 +a(g428 +VoutputTab +p11627 +tp11628 +a(g830 +g958 +tp11629 +a(g405 +g1051 +tp11630 +a(g830 +g958 +tp11631 +a(g428 +VvboxNew +p11632 +tp11633 +a(g697 +g1101 +tp11634 +a(g915 +VFalse +p11635 +tp11636 +a(g697 +g962 +tp11637 +a(g830 +g958 +tp11638 +a(g27 +g1263 +tp11639 +a(g697 +g1105 +tp11640 +a(g830 +V\u000a +p11641 +tp11642 +a(g13 +Vdiscard +p11643 +tp11644 +a(g830 +g958 +tp11645 +a(g428 +Vwin +p11646 +tp11647 +a(g697 +g1038 +tp11648 +a(g428 +VbottomPanelTabs +p11649 +tp11650 +a(g697 +g1038 +tp11651 +a(g428 +VappendPage +p11652 +tp11653 +a(g697 +g1101 +tp11654 +a(g428 +VoutputTab +p11655 +tp11656 +a(g697 +g962 +tp11657 +a(g830 +g958 +tp11658 +a(g428 +VtabLabel +p11659 +tp11660 +a(g697 +g1105 +tp11661 +a(g830 +V\u000a +p11662 +tp11663 +a(g713 +V# Compiler tabs, gtktextview +p11664 +tp11665 +a(g830 +V\u000a +p11666 +tp11667 +a(g939 +Vvar +p11668 +tp11669 +a(g830 +g958 +tp11670 +a(g428 +VoutputScrolledWindow +p11671 +tp11672 +a(g830 +g958 +tp11673 +a(g405 +g1051 +tp11674 +a(g830 +g958 +tp11675 +a(g428 +VscrolledwindowNew +p11676 +tp11677 +a(g697 +g1101 +tp11678 +a(g915 +Vnil +p11679 +tp11680 +a(g697 +g962 +tp11681 +a(g830 +g958 +tp11682 +a(g915 +Vnil +p11683 +tp11684 +a(g697 +g1105 +tp11685 +a(g830 +V\u000a +p11686 +tp11687 +a(g428 +VoutputScrolledWindow +p11688 +tp11689 +a(g697 +g1038 +tp11690 +a(g428 +VsetPolicy +p11691 +tp11692 +a(g697 +g1101 +tp11693 +a(g428 +VPOLICY_AUTOMATIC +p11694 +tp11695 +a(g697 +g962 +tp11696 +a(g830 +g958 +tp11697 +a(g428 +VPOLICY_AUTOMATIC +p11698 +tp11699 +a(g697 +g1105 +tp11700 +a(g830 +V\u000a +p11701 +tp11702 +a(g428 +VoutputTab +p11703 +tp11704 +a(g697 +g1038 +tp11705 +a(g428 +VpackStart +p11706 +tp11707 +a(g697 +g1101 +tp11708 +a(g428 +VoutputScrolledWindow +p11709 +tp11710 +a(g697 +g962 +tp11711 +a(g830 +g958 +tp11712 +a(g915 +Vtrue +p11713 +tp11714 +a(g697 +g962 +tp11715 +a(g830 +g958 +tp11716 +a(g915 +Vtrue +p11717 +tp11718 +a(g697 +g962 +tp11719 +a(g830 +g958 +tp11720 +a(g27 +g1263 +tp11721 +a(g697 +g1105 +tp11722 +a(g830 +V\u000a +p11723 +tp11724 +a(g428 +VoutputScrolledWindow +p11725 +tp11726 +a(g697 +g1038 +tp11727 +a(g428 +Vshow +p11728 +tp11729 +a(g697 +g1101 +tp11730 +a(g697 +g1105 +tp11731 +a(g830 +V\u000a \u000a +p11732 +tp11733 +a(g428 +Vwin +p11734 +tp11735 +a(g697 +g1038 +tp11736 +a(g428 +VoutputTextView +p11737 +tp11738 +a(g830 +g958 +tp11739 +a(g405 +g1051 +tp11740 +a(g830 +g958 +tp11741 +a(g428 +VtextviewNew +p11742 +tp11743 +a(g697 +g1101 +tp11744 +a(g697 +g1105 +tp11745 +a(g830 +V\u000a +p11746 +tp11747 +a(g428 +VoutputScrolledWindow +p11748 +tp11749 +a(g697 +g1038 +tp11750 +a(g428 +Vadd +p11751 +tp11752 +a(g697 +g1101 +tp11753 +a(g428 +Vwin +p11754 +tp11755 +a(g697 +g1038 +tp11756 +a(g428 +VoutputTextView +p11757 +tp11758 +a(g697 +g1105 +tp11759 +a(g830 +V\u000a +p11760 +tp11761 +a(g428 +Vwin +p11762 +tp11763 +a(g697 +g1038 +tp11764 +a(g428 +VoutputTextView +p11765 +tp11766 +a(g697 +g1038 +tp11767 +a(g428 +Vshow +p11768 +tp11769 +a(g697 +g1101 +tp11770 +a(g697 +g1105 +tp11771 +a(g830 +V\u000a \u000a +p11772 +tp11773 +a(g428 +VoutputTab +p11774 +tp11775 +a(g697 +g1038 +tp11776 +a(g428 +Vshow +p11777 +tp11778 +a(g697 +g1101 +tp11779 +a(g697 +g1105 +tp11780 +a(g830 +V\u000a\u000a +p11781 +tp11782 +a(g13 +Vproc +p11783 +tp11784 +a(g566 +VinitTAndBP +p11785 +tp11786 +a(g697 +g1101 +tp11787 +a(g428 +VMainBox +p11788 +tp11789 +a(g697 +g1034 +tp11790 +a(g830 +g958 +tp11791 +a(g428 +VPBox +p11792 +tp11793 +a(g697 +g1105 +tp11794 +a(g830 +g958 +tp11795 +a(g405 +g1051 +tp11796 +a(g830 +V\u000a +p11797 +tp11798 +a(g713 +V# This init's the HPaned, which splits the sourceViewTabs +p11799 +tp11800 +a(g830 +V\u000a +p11801 +tp11802 +a(g713 +V# and the BottomPanelTabs +p11803 +tp11804 +a(g830 +V\u000a +p11805 +tp11806 +a(g428 +VinitSourceViewTabs +p11807 +tp11808 +a(g697 +g1101 +tp11809 +a(g697 +g1105 +tp11810 +a(g830 +V\u000a +p11811 +tp11812 +a(g428 +VinitBottomTabs +p11813 +tp11814 +a(g697 +g1101 +tp11815 +a(g697 +g1105 +tp11816 +a(g830 +V\u000a \u000a +p11817 +tp11818 +a(g939 +Vvar +p11819 +tp11820 +a(g830 +g958 +tp11821 +a(g428 +VTAndBPVPaned +p11822 +tp11823 +a(g830 +g958 +tp11824 +a(g405 +g1051 +tp11825 +a(g830 +g958 +tp11826 +a(g428 +VvpanedNew +p11827 +tp11828 +a(g697 +g1101 +tp11829 +a(g697 +g1105 +tp11830 +a(g830 +V\u000a +p11831 +tp11832 +a(g428 +VtandbpVPaned +p11833 +tp11834 +a(g697 +g1038 +tp11835 +a(g428 +Vpack1 +p11836 +tp11837 +a(g697 +g1101 +tp11838 +a(g428 +Vwin +p11839 +tp11840 +a(g697 +g1038 +tp11841 +a(g428 +VsourceViewTabs +p11842 +tp11843 +a(g697 +g962 +tp11844 +a(g830 +g958 +tp11845 +a(g428 +Vresize +p11846 +tp11847 +a(g405 +g1051 +tp11848 +a(g915 +VTrue +p11849 +tp11850 +a(g697 +g962 +tp11851 +a(g830 +g958 +tp11852 +a(g428 +Vshrink +p11853 +tp11854 +a(g405 +g1051 +tp11855 +a(g915 +VFalse +p11856 +tp11857 +a(g697 +g1105 +tp11858 +a(g830 +V\u000a +p11859 +tp11860 +a(g428 +VtandbpVPaned +p11861 +tp11862 +a(g697 +g1038 +tp11863 +a(g428 +Vpack2 +p11864 +tp11865 +a(g697 +g1101 +tp11866 +a(g428 +Vwin +p11867 +tp11868 +a(g697 +g1038 +tp11869 +a(g428 +VbottomPanelTabs +p11870 +tp11871 +a(g697 +g962 +tp11872 +a(g830 +g958 +tp11873 +a(g428 +Vresize +p11874 +tp11875 +a(g405 +g1051 +tp11876 +a(g915 +VFalse +p11877 +tp11878 +a(g697 +g962 +tp11879 +a(g830 +g958 +tp11880 +a(g428 +Vshrink +p11881 +tp11882 +a(g405 +g1051 +tp11883 +a(g915 +VFalse +p11884 +tp11885 +a(g697 +g1105 +tp11886 +a(g830 +V\u000a +p11887 +tp11888 +a(g428 +VMainBox +p11889 +tp11890 +a(g697 +g1038 +tp11891 +a(g428 +VpackStart +p11892 +tp11893 +a(g697 +g1101 +tp11894 +a(g428 +VTAndBPVPaned +p11895 +tp11896 +a(g697 +g962 +tp11897 +a(g830 +g958 +tp11898 +a(g915 +VTrue +p11899 +tp11900 +a(g697 +g962 +tp11901 +a(g830 +g958 +tp11902 +a(g915 +VTrue +p11903 +tp11904 +a(g697 +g962 +tp11905 +a(g830 +g958 +tp11906 +a(g27 +g1263 +tp11907 +a(g697 +g1105 +tp11908 +a(g830 +V\u000a +p11909 +tp11910 +a(g428 +VtandbpVPaned +p11911 +tp11912 +a(g697 +g1038 +tp11913 +a(g428 +VsetPosition +p11914 +tp11915 +a(g697 +g1101 +tp11916 +a(g428 +Vwin +p11917 +tp11918 +a(g697 +g1038 +tp11919 +a(g428 +Vsettings +p11920 +tp11921 +a(g697 +g1038 +tp11922 +a(g428 +VVPanedPos +p11923 +tp11924 +a(g697 +g1105 +tp11925 +a(g830 +V\u000a +p11926 +tp11927 +a(g428 +VTAndBPVPaned +p11928 +tp11929 +a(g697 +g1038 +tp11930 +a(g428 +Vshow +p11931 +tp11932 +a(g697 +g1101 +tp11933 +a(g697 +g1105 +tp11934 +a(g830 +V\u000a\u000a +p11935 +tp11936 +a(g13 +Vproc +p11937 +tp11938 +a(g566 +VinitFindBar +p11939 +tp11940 +a(g697 +g1101 +tp11941 +a(g428 +VMainBox +p11942 +tp11943 +a(g697 +g1034 +tp11944 +a(g830 +g958 +tp11945 +a(g428 +VPBox +p11946 +tp11947 +a(g697 +g1105 +tp11948 +a(g830 +g958 +tp11949 +a(g405 +g1051 +tp11950 +a(g830 +V\u000a +p11951 +tp11952 +a(g713 +V# Create a fixed container +p11953 +tp11954 +a(g830 +V\u000a +p11955 +tp11956 +a(g428 +Vwin +p11957 +tp11958 +a(g697 +g1038 +tp11959 +a(g428 +VfindBar +p11960 +tp11961 +a(g830 +g958 +tp11962 +a(g405 +g1051 +tp11963 +a(g830 +g958 +tp11964 +a(g428 +VHBoxNew +p11965 +tp11966 +a(g697 +g1101 +tp11967 +a(g915 +VFalse +p11968 +tp11969 +a(g697 +g962 +tp11970 +a(g830 +g958 +tp11971 +a(g27 +g1263 +tp11972 +a(g697 +g1105 +tp11973 +a(g830 +V\u000a +p11974 +tp11975 +a(g428 +Vwin +p11976 +tp11977 +a(g697 +g1038 +tp11978 +a(g428 +VfindBar +p11979 +tp11980 +a(g697 +g1038 +tp11981 +a(g428 +VsetSpacing +p11982 +tp11983 +a(g697 +g1101 +tp11984 +a(g27 +V4 +p11985 +tp11986 +a(g697 +g1105 +tp11987 +a(g830 +V\u000a\u000a +p11988 +tp11989 +a(g713 +V# Add a Label 'Find' +p11990 +tp11991 +a(g830 +V\u000a +p11992 +tp11993 +a(g939 +Vvar +p11994 +tp11995 +a(g830 +g958 +tp11996 +a(g428 +VfindLabel +p11997 +tp11998 +a(g830 +g958 +tp11999 +a(g405 +g1051 +tp12000 +a(g830 +g958 +tp12001 +a(g428 +VlabelNew +p12002 +tp12003 +a(g697 +g1101 +tp12004 +a(g81 +g1054 +tp12005 +a(g81 +VFind: +p12006 +tp12007 +a(g81 +g1054 +tp12008 +a(g697 +g1105 +tp12009 +a(g830 +V\u000a +p12010 +tp12011 +a(g428 +Vwin +p12012 +tp12013 +a(g697 +g1038 +tp12014 +a(g428 +VfindBar +p12015 +tp12016 +a(g697 +g1038 +tp12017 +a(g428 +VpackStart +p12018 +tp12019 +a(g697 +g1101 +tp12020 +a(g428 +VfindLabel +p12021 +tp12022 +a(g697 +g962 +tp12023 +a(g830 +g958 +tp12024 +a(g915 +VFalse +p12025 +tp12026 +a(g697 +g962 +tp12027 +a(g830 +g958 +tp12028 +a(g915 +VFalse +p12029 +tp12030 +a(g697 +g962 +tp12031 +a(g830 +g958 +tp12032 +a(g27 +g1263 +tp12033 +a(g697 +g1105 +tp12034 +a(g830 +V\u000a +p12035 +tp12036 +a(g428 +VfindLabel +p12037 +tp12038 +a(g697 +g1038 +tp12039 +a(g428 +Vshow +p12040 +tp12041 +a(g697 +g1101 +tp12042 +a(g697 +g1105 +tp12043 +a(g830 +V\u000a\u000a +p12044 +tp12045 +a(g713 +V# Add a (find) text entry +p12046 +tp12047 +a(g830 +V\u000a +p12048 +tp12049 +a(g428 +Vwin +p12050 +tp12051 +a(g697 +g1038 +tp12052 +a(g428 +VfindEntry +p12053 +tp12054 +a(g830 +g958 +tp12055 +a(g405 +g1051 +tp12056 +a(g830 +g958 +tp12057 +a(g428 +VentryNew +p12058 +tp12059 +a(g697 +g1101 +tp12060 +a(g697 +g1105 +tp12061 +a(g830 +V\u000a +p12062 +tp12063 +a(g428 +Vwin +p12064 +tp12065 +a(g697 +g1038 +tp12066 +a(g428 +VfindBar +p12067 +tp12068 +a(g697 +g1038 +tp12069 +a(g428 +VpackStart +p12070 +tp12071 +a(g697 +g1101 +tp12072 +a(g428 +Vwin +p12073 +tp12074 +a(g697 +g1038 +tp12075 +a(g428 +VfindEntry +p12076 +tp12077 +a(g697 +g962 +tp12078 +a(g830 +g958 +tp12079 +a(g915 +VFalse +p12080 +tp12081 +a(g697 +g962 +tp12082 +a(g830 +g958 +tp12083 +a(g915 +VFalse +p12084 +tp12085 +a(g697 +g962 +tp12086 +a(g830 +g958 +tp12087 +a(g27 +g1263 +tp12088 +a(g697 +g1105 +tp12089 +a(g830 +V\u000a +p12090 +tp12091 +a(g13 +Vdiscard +p12092 +tp12093 +a(g830 +g958 +tp12094 +a(g428 +Vwin +p12095 +tp12096 +a(g697 +g1038 +tp12097 +a(g428 +VfindEntry +p12098 +tp12099 +a(g697 +g1038 +tp12100 +a(g428 +VsignalConnect +p12101 +tp12102 +a(g697 +g1101 +tp12103 +a(g81 +g1054 +tp12104 +a(g81 +Vactivate +p12105 +tp12106 +a(g81 +g1054 +tp12107 +a(g697 +g962 +tp12108 +a(g830 +g958 +tp12109 +a(g428 +VSIGNAL_FUNC +p12110 +tp12111 +a(g697 +g1101 +tp12112 +a(g830 +V\u000a +p12113 +tp12114 +a(g428 +Vaporia +p12115 +tp12116 +a(g697 +g1038 +tp12117 +a(g428 +VnextBtn_Clicked +p12118 +tp12119 +a(g697 +g1105 +tp12120 +a(g697 +g962 +tp12121 +a(g830 +g958 +tp12122 +a(g915 +Vnil +p12123 +tp12124 +a(g697 +g1105 +tp12125 +a(g830 +V\u000a +p12126 +tp12127 +a(g428 +Vwin +p12128 +tp12129 +a(g697 +g1038 +tp12130 +a(g428 +VfindEntry +p12131 +tp12132 +a(g697 +g1038 +tp12133 +a(g428 +Vshow +p12134 +tp12135 +a(g697 +g1101 +tp12136 +a(g697 +g1105 +tp12137 +a(g830 +V\u000a +p12138 +tp12139 +a(g939 +Vvar +p12140 +tp12141 +a(g830 +g958 +tp12142 +a(g428 +Vrq +p12143 +tp12144 +a(g697 +g1034 +tp12145 +a(g830 +g958 +tp12146 +a(g428 +VTRequisition +p12147 +tp12148 +a(g830 +V \u000a +p12149 +tp12150 +a(g428 +Vwin +p12151 +tp12152 +a(g697 +g1038 +tp12153 +a(g428 +VfindEntry +p12154 +tp12155 +a(g697 +g1038 +tp12156 +a(g428 +VsizeRequest +p12157 +tp12158 +a(g697 +g1101 +tp12159 +a(g13 +Vaddr +p12160 +tp12161 +a(g697 +g1101 +tp12162 +a(g428 +Vrq +p12163 +tp12164 +a(g697 +g1105 +tp12165 +a(g697 +g1105 +tp12166 +a(g830 +V\u000a\u000a +p12167 +tp12168 +a(g713 +V# Make the (find) text entry longer +p12169 +tp12170 +a(g830 +V\u000a +p12171 +tp12172 +a(g428 +Vwin +p12173 +tp12174 +a(g697 +g1038 +tp12175 +a(g428 +VfindEntry +p12176 +tp12177 +a(g697 +g1038 +tp12178 +a(g428 +Vset_size_request +p12179 +tp12180 +a(g697 +g1101 +tp12181 +a(g27 +V190 +p12182 +tp12183 +a(g697 +g962 +tp12184 +a(g830 +g958 +tp12185 +a(g428 +Vrq +p12186 +tp12187 +a(g697 +g1038 +tp12188 +a(g428 +Vheight +p12189 +tp12190 +a(g697 +g1105 +tp12191 +a(g830 +V\u000a \u000a +p12192 +tp12193 +a(g713 +V# Add a Label 'Replace' +p12194 +tp12195 +a(g830 +V\u000a +p12196 +tp12197 +a(g713 +V# - This Is only shown, when the 'Search & Replace'(CTRL + H) is shown +p12198 +tp12199 +a(g830 +V\u000a +p12200 +tp12201 +a(g428 +Vwin +p12202 +tp12203 +a(g697 +g1038 +tp12204 +a(g428 +VreplaceLabel +p12205 +tp12206 +a(g830 +g958 +tp12207 +a(g405 +g1051 +tp12208 +a(g830 +g958 +tp12209 +a(g428 +VlabelNew +p12210 +tp12211 +a(g697 +g1101 +tp12212 +a(g81 +g1054 +tp12213 +a(g81 +VReplace: +p12214 +tp12215 +a(g81 +g1054 +tp12216 +a(g697 +g1105 +tp12217 +a(g830 +V\u000a +p12218 +tp12219 +a(g428 +Vwin +p12220 +tp12221 +a(g697 +g1038 +tp12222 +a(g428 +VfindBar +p12223 +tp12224 +a(g697 +g1038 +tp12225 +a(g428 +VpackStart +p12226 +tp12227 +a(g697 +g1101 +tp12228 +a(g428 +Vwin +p12229 +tp12230 +a(g697 +g1038 +tp12231 +a(g428 +VreplaceLabel +p12232 +tp12233 +a(g697 +g962 +tp12234 +a(g830 +g958 +tp12235 +a(g915 +VFalse +p12236 +tp12237 +a(g697 +g962 +tp12238 +a(g830 +g958 +tp12239 +a(g915 +VFalse +p12240 +tp12241 +a(g697 +g962 +tp12242 +a(g830 +g958 +tp12243 +a(g27 +g1263 +tp12244 +a(g697 +g1105 +tp12245 +a(g830 +V\u000a +p12246 +tp12247 +a(g713 +V#replaceLabel.show() +p12248 +tp12249 +a(g830 +V\u000a \u000a +p12250 +tp12251 +a(g713 +V# Add a (replace) text entry +p12252 +tp12253 +a(g830 +V\u000a +p12254 +tp12255 +a(g713 +V# - This Is only shown, when the 'Search & Replace'(CTRL + H) is shown +p12256 +tp12257 +a(g830 +V\u000a +p12258 +tp12259 +a(g428 +Vwin +p12260 +tp12261 +a(g697 +g1038 +tp12262 +a(g428 +VreplaceEntry +p12263 +tp12264 +a(g830 +g958 +tp12265 +a(g405 +g1051 +tp12266 +a(g830 +g958 +tp12267 +a(g428 +VentryNew +p12268 +tp12269 +a(g697 +g1101 +tp12270 +a(g697 +g1105 +tp12271 +a(g830 +V\u000a +p12272 +tp12273 +a(g428 +Vwin +p12274 +tp12275 +a(g697 +g1038 +tp12276 +a(g428 +VfindBar +p12277 +tp12278 +a(g697 +g1038 +tp12279 +a(g428 +VpackStart +p12280 +tp12281 +a(g697 +g1101 +tp12282 +a(g428 +Vwin +p12283 +tp12284 +a(g697 +g1038 +tp12285 +a(g428 +VreplaceEntry +p12286 +tp12287 +a(g697 +g962 +tp12288 +a(g830 +g958 +tp12289 +a(g915 +VFalse +p12290 +tp12291 +a(g697 +g962 +tp12292 +a(g830 +g958 +tp12293 +a(g915 +VFalse +p12294 +tp12295 +a(g697 +g962 +tp12296 +a(g830 +g958 +tp12297 +a(g27 +g1263 +tp12298 +a(g697 +g1105 +tp12299 +a(g830 +V\u000a +p12300 +tp12301 +a(g713 +V#win.replaceEntry.show() +p12302 +tp12303 +a(g830 +V\u000a +p12304 +tp12305 +a(g939 +Vvar +p12306 +tp12307 +a(g830 +g958 +tp12308 +a(g428 +Vrq1 +p12309 +tp12310 +a(g697 +g1034 +tp12311 +a(g830 +g958 +tp12312 +a(g428 +VTRequisition +p12313 +tp12314 +a(g830 +V \u000a +p12315 +tp12316 +a(g428 +Vwin +p12317 +tp12318 +a(g697 +g1038 +tp12319 +a(g428 +VreplaceEntry +p12320 +tp12321 +a(g697 +g1038 +tp12322 +a(g428 +VsizeRequest +p12323 +tp12324 +a(g697 +g1101 +tp12325 +a(g13 +Vaddr +p12326 +tp12327 +a(g697 +g1101 +tp12328 +a(g428 +Vrq1 +p12329 +tp12330 +a(g697 +g1105 +tp12331 +a(g697 +g1105 +tp12332 +a(g830 +V\u000a\u000a +p12333 +tp12334 +a(g713 +V# Make the (replace) text entry longer +p12335 +tp12336 +a(g830 +V\u000a +p12337 +tp12338 +a(g428 +Vwin +p12339 +tp12340 +a(g697 +g1038 +tp12341 +a(g428 +VreplaceEntry +p12342 +tp12343 +a(g697 +g1038 +tp12344 +a(g428 +Vset_size_request +p12345 +tp12346 +a(g697 +g1101 +tp12347 +a(g27 +V100 +p12348 +tp12349 +a(g697 +g962 +tp12350 +a(g830 +g958 +tp12351 +a(g428 +Vrq1 +p12352 +tp12353 +a(g697 +g1038 +tp12354 +a(g428 +Vheight +p12355 +tp12356 +a(g697 +g1105 +tp12357 +a(g830 +V\u000a \u000a +p12358 +tp12359 +a(g713 +V# Find next button +p12360 +tp12361 +a(g830 +V\u000a +p12362 +tp12363 +a(g939 +Vvar +p12364 +tp12365 +a(g830 +g958 +tp12366 +a(g428 +VnextBtn +p12367 +tp12368 +a(g830 +g958 +tp12369 +a(g405 +g1051 +tp12370 +a(g830 +g958 +tp12371 +a(g428 +VbuttonNew +p12372 +tp12373 +a(g697 +g1101 +tp12374 +a(g81 +g1054 +tp12375 +a(g81 +VNext +p12376 +tp12377 +a(g81 +g1054 +tp12378 +a(g697 +g1105 +tp12379 +a(g830 +V\u000a +p12380 +tp12381 +a(g428 +Vwin +p12382 +tp12383 +a(g697 +g1038 +tp12384 +a(g428 +VfindBar +p12385 +tp12386 +a(g697 +g1038 +tp12387 +a(g428 +VpackStart +p12388 +tp12389 +a(g697 +g1101 +tp12390 +a(g428 +VnextBtn +p12391 +tp12392 +a(g697 +g962 +tp12393 +a(g830 +g958 +tp12394 +a(g915 +Vfalse +p12395 +tp12396 +a(g697 +g962 +tp12397 +a(g830 +g958 +tp12398 +a(g915 +Vfalse +p12399 +tp12400 +a(g697 +g962 +tp12401 +a(g830 +g958 +tp12402 +a(g27 +g1263 +tp12403 +a(g697 +g1105 +tp12404 +a(g830 +V\u000a +p12405 +tp12406 +a(g13 +Vdiscard +p12407 +tp12408 +a(g830 +g958 +tp12409 +a(g428 +VnextBtn +p12410 +tp12411 +a(g697 +g1038 +tp12412 +a(g428 +VsignalConnect +p12413 +tp12414 +a(g697 +g1101 +tp12415 +a(g81 +g1054 +tp12416 +a(g81 +Vclicked +p12417 +tp12418 +a(g81 +g1054 +tp12419 +a(g697 +g962 +tp12420 +a(g830 +V \u000a +p12421 +tp12422 +a(g428 +VSIGNAL_FUNC +p12423 +tp12424 +a(g697 +g1101 +tp12425 +a(g428 +Vaporia +p12426 +tp12427 +a(g697 +g1038 +tp12428 +a(g428 +VnextBtn_Clicked +p12429 +tp12430 +a(g697 +g1105 +tp12431 +a(g697 +g962 +tp12432 +a(g830 +g958 +tp12433 +a(g915 +Vnil +p12434 +tp12435 +a(g697 +g1105 +tp12436 +a(g830 +V\u000a +p12437 +tp12438 +a(g428 +VnextBtn +p12439 +tp12440 +a(g697 +g1038 +tp12441 +a(g428 +Vshow +p12442 +tp12443 +a(g697 +g1101 +tp12444 +a(g697 +g1105 +tp12445 +a(g830 +V\u000a +p12446 +tp12447 +a(g939 +Vvar +p12448 +tp12449 +a(g830 +g958 +tp12450 +a(g428 +VnxtBtnRq +p12451 +tp12452 +a(g697 +g1034 +tp12453 +a(g830 +g958 +tp12454 +a(g428 +VTRequisition +p12455 +tp12456 +a(g830 +V\u000a +p12457 +tp12458 +a(g428 +VnextBtn +p12459 +tp12460 +a(g697 +g1038 +tp12461 +a(g428 +VsizeRequest +p12462 +tp12463 +a(g697 +g1101 +tp12464 +a(g13 +Vaddr +p12465 +tp12466 +a(g697 +g1101 +tp12467 +a(g428 +VnxtBtnRq +p12468 +tp12469 +a(g697 +g1105 +tp12470 +a(g697 +g1105 +tp12471 +a(g830 +V\u000a \u000a +p12472 +tp12473 +a(g713 +V# Find previous button +p12474 +tp12475 +a(g830 +V\u000a +p12476 +tp12477 +a(g939 +Vvar +p12478 +tp12479 +a(g830 +g958 +tp12480 +a(g428 +VprevBtn +p12481 +tp12482 +a(g830 +g958 +tp12483 +a(g405 +g1051 +tp12484 +a(g830 +g958 +tp12485 +a(g428 +VbuttonNew +p12486 +tp12487 +a(g697 +g1101 +tp12488 +a(g81 +g1054 +tp12489 +a(g81 +VPrevious +p12490 +tp12491 +a(g81 +g1054 +tp12492 +a(g697 +g1105 +tp12493 +a(g830 +V\u000a +p12494 +tp12495 +a(g428 +Vwin +p12496 +tp12497 +a(g697 +g1038 +tp12498 +a(g428 +VfindBar +p12499 +tp12500 +a(g697 +g1038 +tp12501 +a(g428 +VpackStart +p12502 +tp12503 +a(g697 +g1101 +tp12504 +a(g428 +VprevBtn +p12505 +tp12506 +a(g697 +g962 +tp12507 +a(g830 +g958 +tp12508 +a(g915 +Vfalse +p12509 +tp12510 +a(g697 +g962 +tp12511 +a(g830 +g958 +tp12512 +a(g915 +Vfalse +p12513 +tp12514 +a(g697 +g962 +tp12515 +a(g830 +g958 +tp12516 +a(g27 +g1263 +tp12517 +a(g697 +g1105 +tp12518 +a(g830 +V\u000a +p12519 +tp12520 +a(g13 +Vdiscard +p12521 +tp12522 +a(g830 +g958 +tp12523 +a(g428 +VprevBtn +p12524 +tp12525 +a(g697 +g1038 +tp12526 +a(g428 +VsignalConnect +p12527 +tp12528 +a(g697 +g1101 +tp12529 +a(g81 +g1054 +tp12530 +a(g81 +Vclicked +p12531 +tp12532 +a(g81 +g1054 +tp12533 +a(g697 +g962 +tp12534 +a(g830 +V \u000a +p12535 +tp12536 +a(g428 +VSIGNAL_FUNC +p12537 +tp12538 +a(g697 +g1101 +tp12539 +a(g428 +Vaporia +p12540 +tp12541 +a(g697 +g1038 +tp12542 +a(g428 +VprevBtn_Clicked +p12543 +tp12544 +a(g697 +g1105 +tp12545 +a(g697 +g962 +tp12546 +a(g830 +g958 +tp12547 +a(g915 +Vnil +p12548 +tp12549 +a(g697 +g1105 +tp12550 +a(g830 +V\u000a +p12551 +tp12552 +a(g428 +VprevBtn +p12553 +tp12554 +a(g697 +g1038 +tp12555 +a(g428 +Vshow +p12556 +tp12557 +a(g697 +g1101 +tp12558 +a(g697 +g1105 +tp12559 +a(g830 +V\u000a \u000a +p12560 +tp12561 +a(g713 +V# Replace button +p12562 +tp12563 +a(g830 +V\u000a +p12564 +tp12565 +a(g713 +V# - This Is only shown, when the 'Search & Replace'(CTRL + H) is shown +p12566 +tp12567 +a(g830 +V\u000a +p12568 +tp12569 +a(g428 +Vwin +p12570 +tp12571 +a(g697 +g1038 +tp12572 +a(g428 +VreplaceBtn +p12573 +tp12574 +a(g830 +g958 +tp12575 +a(g405 +g1051 +tp12576 +a(g830 +g958 +tp12577 +a(g428 +VbuttonNew +p12578 +tp12579 +a(g697 +g1101 +tp12580 +a(g81 +g1054 +tp12581 +a(g81 +VReplace +p12582 +tp12583 +a(g81 +g1054 +tp12584 +a(g697 +g1105 +tp12585 +a(g830 +V\u000a +p12586 +tp12587 +a(g428 +Vwin +p12588 +tp12589 +a(g697 +g1038 +tp12590 +a(g428 +VfindBar +p12591 +tp12592 +a(g697 +g1038 +tp12593 +a(g428 +VpackStart +p12594 +tp12595 +a(g697 +g1101 +tp12596 +a(g428 +Vwin +p12597 +tp12598 +a(g697 +g1038 +tp12599 +a(g428 +VreplaceBtn +p12600 +tp12601 +a(g697 +g962 +tp12602 +a(g830 +g958 +tp12603 +a(g915 +Vfalse +p12604 +tp12605 +a(g697 +g962 +tp12606 +a(g830 +g958 +tp12607 +a(g915 +Vfalse +p12608 +tp12609 +a(g697 +g962 +tp12610 +a(g830 +g958 +tp12611 +a(g27 +g1263 +tp12612 +a(g697 +g1105 +tp12613 +a(g830 +V\u000a +p12614 +tp12615 +a(g13 +Vdiscard +p12616 +tp12617 +a(g830 +g958 +tp12618 +a(g428 +Vwin +p12619 +tp12620 +a(g697 +g1038 +tp12621 +a(g428 +VreplaceBtn +p12622 +tp12623 +a(g697 +g1038 +tp12624 +a(g428 +VsignalConnect +p12625 +tp12626 +a(g697 +g1101 +tp12627 +a(g81 +g1054 +tp12628 +a(g81 +Vclicked +p12629 +tp12630 +a(g81 +g1054 +tp12631 +a(g697 +g962 +tp12632 +a(g830 +V \u000a +p12633 +tp12634 +a(g428 +VSIGNAL_FUNC +p12635 +tp12636 +a(g697 +g1101 +tp12637 +a(g428 +Vaporia +p12638 +tp12639 +a(g697 +g1038 +tp12640 +a(g428 +VreplaceBtn_Clicked +p12641 +tp12642 +a(g697 +g1105 +tp12643 +a(g697 +g962 +tp12644 +a(g830 +g958 +tp12645 +a(g915 +Vnil +p12646 +tp12647 +a(g697 +g1105 +tp12648 +a(g830 +V\u000a +p12649 +tp12650 +a(g713 +V#replaceBtn.show() +p12651 +tp12652 +a(g830 +V\u000a\u000a +p12653 +tp12654 +a(g713 +V# Replace all button +p12655 +tp12656 +a(g830 +V\u000a +p12657 +tp12658 +a(g713 +V# - this Is only shown, when the 'Search & Replace'(CTRL + H) is shown +p12659 +tp12660 +a(g830 +V\u000a +p12661 +tp12662 +a(g428 +Vwin +p12663 +tp12664 +a(g697 +g1038 +tp12665 +a(g428 +VreplaceAllBtn +p12666 +tp12667 +a(g830 +g958 +tp12668 +a(g405 +g1051 +tp12669 +a(g830 +g958 +tp12670 +a(g428 +VbuttonNew +p12671 +tp12672 +a(g697 +g1101 +tp12673 +a(g81 +g1054 +tp12674 +a(g81 +VReplace All +p12675 +tp12676 +a(g81 +g1054 +tp12677 +a(g697 +g1105 +tp12678 +a(g830 +V\u000a +p12679 +tp12680 +a(g428 +Vwin +p12681 +tp12682 +a(g697 +g1038 +tp12683 +a(g428 +VfindBar +p12684 +tp12685 +a(g697 +g1038 +tp12686 +a(g428 +VpackStart +p12687 +tp12688 +a(g697 +g1101 +tp12689 +a(g428 +Vwin +p12690 +tp12691 +a(g697 +g1038 +tp12692 +a(g428 +VreplaceAllBtn +p12693 +tp12694 +a(g697 +g962 +tp12695 +a(g830 +g958 +tp12696 +a(g915 +Vfalse +p12697 +tp12698 +a(g697 +g962 +tp12699 +a(g830 +g958 +tp12700 +a(g915 +Vfalse +p12701 +tp12702 +a(g697 +g962 +tp12703 +a(g830 +g958 +tp12704 +a(g27 +g1263 +tp12705 +a(g697 +g1105 +tp12706 +a(g830 +V\u000a +p12707 +tp12708 +a(g13 +Vdiscard +p12709 +tp12710 +a(g830 +g958 +tp12711 +a(g428 +Vwin +p12712 +tp12713 +a(g697 +g1038 +tp12714 +a(g428 +VreplaceAllBtn +p12715 +tp12716 +a(g697 +g1038 +tp12717 +a(g428 +VsignalConnect +p12718 +tp12719 +a(g697 +g1101 +tp12720 +a(g81 +g1054 +tp12721 +a(g81 +Vclicked +p12722 +tp12723 +a(g81 +g1054 +tp12724 +a(g697 +g962 +tp12725 +a(g830 +V \u000a +p12726 +tp12727 +a(g428 +VSIGNAL_FUNC +p12728 +tp12729 +a(g697 +g1101 +tp12730 +a(g428 +Vaporia +p12731 +tp12732 +a(g697 +g1038 +tp12733 +a(g428 +VreplaceAllBtn_Clicked +p12734 +tp12735 +a(g697 +g1105 +tp12736 +a(g697 +g962 +tp12737 +a(g830 +g958 +tp12738 +a(g915 +Vnil +p12739 +tp12740 +a(g697 +g1105 +tp12741 +a(g830 +V\u000a +p12742 +tp12743 +a(g713 +V#replaceAllBtn.show() +p12744 +tp12745 +a(g830 +V\u000a \u000a +p12746 +tp12747 +a(g713 +V# Right side ... +p12748 +tp12749 +a(g830 +V\u000a \u000a +p12750 +tp12751 +a(g713 +V# Close button - With a close stock image +p12752 +tp12753 +a(g830 +V\u000a +p12754 +tp12755 +a(g939 +Vvar +p12756 +tp12757 +a(g830 +g958 +tp12758 +a(g428 +VcloseBtn +p12759 +tp12760 +a(g830 +g958 +tp12761 +a(g405 +g1051 +tp12762 +a(g830 +g958 +tp12763 +a(g428 +VbuttonNew +p12764 +tp12765 +a(g697 +g1101 +tp12766 +a(g697 +g1105 +tp12767 +a(g830 +V\u000a +p12768 +tp12769 +a(g939 +Vvar +p12770 +tp12771 +a(g830 +g958 +tp12772 +a(g428 +VcloseImage +p12773 +tp12774 +a(g830 +g958 +tp12775 +a(g405 +g1051 +tp12776 +a(g830 +g958 +tp12777 +a(g428 +VimageNewFromStock +p12778 +tp12779 +a(g697 +g1101 +tp12780 +a(g428 +VSTOCK_CLOSE +p12781 +tp12782 +a(g697 +g962 +tp12783 +a(g830 +g958 +tp12784 +a(g428 +VICON_SIZE_SMALL_TOOLBAR +p12785 +tp12786 +a(g697 +g1105 +tp12787 +a(g830 +V\u000a +p12788 +tp12789 +a(g939 +Vvar +p12790 +tp12791 +a(g830 +g958 +tp12792 +a(g428 +VcloseBox +p12793 +tp12794 +a(g830 +g958 +tp12795 +a(g405 +g1051 +tp12796 +a(g830 +g958 +tp12797 +a(g428 +VhboxNew +p12798 +tp12799 +a(g697 +g1101 +tp12800 +a(g915 +VFalse +p12801 +tp12802 +a(g697 +g962 +tp12803 +a(g830 +g958 +tp12804 +a(g27 +g1263 +tp12805 +a(g697 +g1105 +tp12806 +a(g830 +V\u000a +p12807 +tp12808 +a(g428 +VcloseBtn +p12809 +tp12810 +a(g697 +g1038 +tp12811 +a(g428 +Vadd +p12812 +tp12813 +a(g697 +g1101 +tp12814 +a(g428 +VcloseBox +p12815 +tp12816 +a(g697 +g1105 +tp12817 +a(g830 +V\u000a +p12818 +tp12819 +a(g428 +VcloseBox +p12820 +tp12821 +a(g697 +g1038 +tp12822 +a(g428 +Vshow +p12823 +tp12824 +a(g697 +g1101 +tp12825 +a(g697 +g1105 +tp12826 +a(g830 +V\u000a +p12827 +tp12828 +a(g428 +VcloseBox +p12829 +tp12830 +a(g697 +g1038 +tp12831 +a(g428 +Vadd +p12832 +tp12833 +a(g697 +g1101 +tp12834 +a(g428 +VcloseImage +p12835 +tp12836 +a(g697 +g1105 +tp12837 +a(g830 +V\u000a +p12838 +tp12839 +a(g428 +VcloseImage +p12840 +tp12841 +a(g697 +g1038 +tp12842 +a(g428 +Vshow +p12843 +tp12844 +a(g697 +g1101 +tp12845 +a(g697 +g1105 +tp12846 +a(g830 +V\u000a +p12847 +tp12848 +a(g13 +Vdiscard +p12849 +tp12850 +a(g830 +g958 +tp12851 +a(g428 +VcloseBtn +p12852 +tp12853 +a(g697 +g1038 +tp12854 +a(g428 +VsignalConnect +p12855 +tp12856 +a(g697 +g1101 +tp12857 +a(g81 +g1054 +tp12858 +a(g81 +Vclicked +p12859 +tp12860 +a(g81 +g1054 +tp12861 +a(g697 +g962 +tp12862 +a(g830 +V \u000a +p12863 +tp12864 +a(g428 +VSIGNAL_FUNC +p12865 +tp12866 +a(g697 +g1101 +tp12867 +a(g428 +Vaporia +p12868 +tp12869 +a(g697 +g1038 +tp12870 +a(g428 +VcloseBtn_Clicked +p12871 +tp12872 +a(g697 +g1105 +tp12873 +a(g697 +g962 +tp12874 +a(g830 +g958 +tp12875 +a(g915 +Vnil +p12876 +tp12877 +a(g697 +g1105 +tp12878 +a(g830 +V\u000a +p12879 +tp12880 +a(g428 +Vwin +p12881 +tp12882 +a(g697 +g1038 +tp12883 +a(g428 +VfindBar +p12884 +tp12885 +a(g697 +g1038 +tp12886 +a(g428 +VpackEnd +p12887 +tp12888 +a(g697 +g1101 +tp12889 +a(g428 +VcloseBtn +p12890 +tp12891 +a(g697 +g962 +tp12892 +a(g830 +g958 +tp12893 +a(g915 +VFalse +p12894 +tp12895 +a(g697 +g962 +tp12896 +a(g830 +g958 +tp12897 +a(g915 +VFalse +p12898 +tp12899 +a(g697 +g962 +tp12900 +a(g830 +g958 +tp12901 +a(g27 +V2 +p12902 +tp12903 +a(g697 +g1105 +tp12904 +a(g830 +V\u000a +p12905 +tp12906 +a(g428 +VcloseBtn +p12907 +tp12908 +a(g697 +g1038 +tp12909 +a(g428 +Vshow +p12910 +tp12911 +a(g697 +g1101 +tp12912 +a(g697 +g1105 +tp12913 +a(g830 +V\u000a \u000a +p12914 +tp12915 +a(g713 +V# Extra button - When clicked shows a menu with options like 'Use regex' +p12916 +tp12917 +a(g830 +V\u000a +p12918 +tp12919 +a(g939 +Vvar +p12920 +tp12921 +a(g830 +g958 +tp12922 +a(g428 +VextraBtn +p12923 +tp12924 +a(g830 +g958 +tp12925 +a(g405 +g1051 +tp12926 +a(g830 +g958 +tp12927 +a(g428 +VbuttonNew +p12928 +tp12929 +a(g697 +g1101 +tp12930 +a(g697 +g1105 +tp12931 +a(g830 +V\u000a +p12932 +tp12933 +a(g939 +Vvar +p12934 +tp12935 +a(g830 +g958 +tp12936 +a(g428 +VextraImage +p12937 +tp12938 +a(g830 +g958 +tp12939 +a(g405 +g1051 +tp12940 +a(g830 +g958 +tp12941 +a(g428 +VimageNewFromStock +p12942 +tp12943 +a(g697 +g1101 +tp12944 +a(g428 +VSTOCK_PROPERTIES +p12945 +tp12946 +a(g697 +g962 +tp12947 +a(g830 +g958 +tp12948 +a(g428 +VICON_SIZE_SMALL_TOOLBAR +p12949 +tp12950 +a(g697 +g1105 +tp12951 +a(g830 +V\u000a\u000a +p12952 +tp12953 +a(g939 +Vvar +p12954 +tp12955 +a(g830 +g958 +tp12956 +a(g428 +VextraBox +p12957 +tp12958 +a(g830 +g958 +tp12959 +a(g405 +g1051 +tp12960 +a(g830 +g958 +tp12961 +a(g428 +VhboxNew +p12962 +tp12963 +a(g697 +g1101 +tp12964 +a(g915 +VFalse +p12965 +tp12966 +a(g697 +g962 +tp12967 +a(g830 +g958 +tp12968 +a(g27 +g1263 +tp12969 +a(g697 +g1105 +tp12970 +a(g830 +V\u000a +p12971 +tp12972 +a(g428 +VextraBtn +p12973 +tp12974 +a(g697 +g1038 +tp12975 +a(g428 +Vadd +p12976 +tp12977 +a(g697 +g1101 +tp12978 +a(g428 +VextraBox +p12979 +tp12980 +a(g697 +g1105 +tp12981 +a(g830 +V\u000a +p12982 +tp12983 +a(g428 +VextraBox +p12984 +tp12985 +a(g697 +g1038 +tp12986 +a(g428 +Vshow +p12987 +tp12988 +a(g697 +g1101 +tp12989 +a(g697 +g1105 +tp12990 +a(g830 +V\u000a +p12991 +tp12992 +a(g428 +VextraBox +p12993 +tp12994 +a(g697 +g1038 +tp12995 +a(g428 +Vadd +p12996 +tp12997 +a(g697 +g1101 +tp12998 +a(g428 +VextraImage +p12999 +tp13000 +a(g697 +g1105 +tp13001 +a(g830 +V\u000a +p13002 +tp13003 +a(g428 +VextraImage +p13004 +tp13005 +a(g697 +g1038 +tp13006 +a(g428 +Vshow +p13007 +tp13008 +a(g697 +g1101 +tp13009 +a(g697 +g1105 +tp13010 +a(g830 +V\u000a +p13011 +tp13012 +a(g13 +Vdiscard +p13013 +tp13014 +a(g830 +g958 +tp13015 +a(g428 +VextraBtn +p13016 +tp13017 +a(g697 +g1038 +tp13018 +a(g428 +VsignalConnect +p13019 +tp13020 +a(g697 +g1101 +tp13021 +a(g81 +g1054 +tp13022 +a(g81 +Vclicked +p13023 +tp13024 +a(g81 +g1054 +tp13025 +a(g697 +g962 +tp13026 +a(g830 +V \u000a +p13027 +tp13028 +a(g428 +VSIGNAL_FUNC +p13029 +tp13030 +a(g697 +g1101 +tp13031 +a(g428 +Vaporia +p13032 +tp13033 +a(g697 +g1038 +tp13034 +a(g428 +VextraBtn_Clicked +p13035 +tp13036 +a(g697 +g1105 +tp13037 +a(g697 +g962 +tp13038 +a(g830 +g958 +tp13039 +a(g915 +Vnil +p13040 +tp13041 +a(g697 +g1105 +tp13042 +a(g830 +V\u000a +p13043 +tp13044 +a(g428 +Vwin +p13045 +tp13046 +a(g697 +g1038 +tp13047 +a(g428 +VfindBar +p13048 +tp13049 +a(g697 +g1038 +tp13050 +a(g428 +VpackEnd +p13051 +tp13052 +a(g697 +g1101 +tp13053 +a(g428 +VextraBtn +p13054 +tp13055 +a(g697 +g962 +tp13056 +a(g830 +g958 +tp13057 +a(g915 +VFalse +p13058 +tp13059 +a(g697 +g962 +tp13060 +a(g830 +g958 +tp13061 +a(g915 +VFalse +p13062 +tp13063 +a(g697 +g962 +tp13064 +a(g830 +g958 +tp13065 +a(g27 +g1263 +tp13066 +a(g697 +g1105 +tp13067 +a(g830 +V\u000a +p13068 +tp13069 +a(g428 +VextraBtn +p13070 +tp13071 +a(g697 +g1038 +tp13072 +a(g428 +Vshow +p13073 +tp13074 +a(g697 +g1101 +tp13075 +a(g697 +g1105 +tp13076 +a(g830 +V\u000a \u000a +p13077 +tp13078 +a(g428 +VMainBox +p13079 +tp13080 +a(g697 +g1038 +tp13081 +a(g428 +VpackStart +p13082 +tp13083 +a(g697 +g1101 +tp13084 +a(g428 +Vwin +p13085 +tp13086 +a(g697 +g1038 +tp13087 +a(g428 +VfindBar +p13088 +tp13089 +a(g697 +g962 +tp13090 +a(g830 +g958 +tp13091 +a(g915 +VFalse +p13092 +tp13093 +a(g697 +g962 +tp13094 +a(g830 +g958 +tp13095 +a(g915 +VFalse +p13096 +tp13097 +a(g697 +g962 +tp13098 +a(g830 +g958 +tp13099 +a(g27 +g1263 +tp13100 +a(g697 +g1105 +tp13101 +a(g830 +V\u000a +p13102 +tp13103 +a(g428 +Vwin +p13104 +tp13105 +a(g697 +g1038 +tp13106 +a(g428 +VfindBar +p13107 +tp13108 +a(g697 +g1038 +tp13109 +a(g428 +Vshow +p13110 +tp13111 +a(g697 +g1101 +tp13112 +a(g697 +g1105 +tp13113 +a(g830 +V\u000a\u000a +p13114 +tp13115 +a(g13 +Vproc +p13116 +tp13117 +a(g566 +VinitStatusBar +p13118 +tp13119 +a(g697 +g1101 +tp13120 +a(g428 +VMainBox +p13121 +tp13122 +a(g697 +g1034 +tp13123 +a(g830 +g958 +tp13124 +a(g428 +VPBox +p13125 +tp13126 +a(g697 +g1105 +tp13127 +a(g830 +g958 +tp13128 +a(g405 +g1051 +tp13129 +a(g830 +V\u000a +p13130 +tp13131 +a(g428 +Vwin +p13132 +tp13133 +a(g697 +g1038 +tp13134 +a(g428 +VbottomBar +p13135 +tp13136 +a(g830 +g958 +tp13137 +a(g405 +g1051 +tp13138 +a(g830 +g958 +tp13139 +a(g428 +VstatusbarNew +p13140 +tp13141 +a(g697 +g1101 +tp13142 +a(g697 +g1105 +tp13143 +a(g830 +V\u000a +p13144 +tp13145 +a(g428 +VMainBox +p13146 +tp13147 +a(g697 +g1038 +tp13148 +a(g428 +VpackStart +p13149 +tp13150 +a(g697 +g1101 +tp13151 +a(g428 +Vwin +p13152 +tp13153 +a(g697 +g1038 +tp13154 +a(g428 +VbottomBar +p13155 +tp13156 +a(g697 +g962 +tp13157 +a(g830 +g958 +tp13158 +a(g915 +VFalse +p13159 +tp13160 +a(g697 +g962 +tp13161 +a(g830 +g958 +tp13162 +a(g915 +VFalse +p13163 +tp13164 +a(g697 +g962 +tp13165 +a(g830 +g958 +tp13166 +a(g27 +g1263 +tp13167 +a(g697 +g1105 +tp13168 +a(g830 +V\u000a +p13169 +tp13170 +a(g428 +Vwin +p13171 +tp13172 +a(g697 +g1038 +tp13173 +a(g428 +VbottomBar +p13174 +tp13175 +a(g697 +g1038 +tp13176 +a(g428 +Vshow +p13177 +tp13178 +a(g697 +g1101 +tp13179 +a(g697 +g1105 +tp13180 +a(g830 +V\u000a \u000a +p13181 +tp13182 +a(g13 +Vdiscard +p13183 +tp13184 +a(g830 +g958 +tp13185 +a(g428 +Vwin +p13186 +tp13187 +a(g697 +g1038 +tp13188 +a(g428 +VbottomBar +p13189 +tp13190 +a(g697 +g1038 +tp13191 +a(g428 +Vpush +p13192 +tp13193 +a(g697 +g1101 +tp13194 +a(g27 +g1263 +tp13195 +a(g697 +g962 +tp13196 +a(g830 +g958 +tp13197 +a(g81 +g1054 +tp13198 +a(g81 +VLine: 0 Column: 0 +p13199 +tp13200 +a(g81 +g1054 +tp13201 +a(g697 +g1105 +tp13202 +a(g830 +V\u000a \u000a +p13203 +tp13204 +a(g13 +Vproc +p13205 +tp13206 +a(g566 +VinitControls +p13207 +tp13208 +a(g697 +g1101 +tp13209 +a(g697 +g1105 +tp13210 +a(g830 +g958 +tp13211 +a(g405 +g1051 +tp13212 +a(g830 +V\u000a +p13213 +tp13214 +a(g713 +V# Load up the language style +p13215 +tp13216 +a(g830 +V\u000a +p13217 +tp13218 +a(g428 +Vwin +p13219 +tp13220 +a(g697 +g1038 +tp13221 +a(g428 +VlangMan +p13222 +tp13223 +a(g830 +g958 +tp13224 +a(g405 +g1051 +tp13225 +a(g830 +g958 +tp13226 +a(g428 +VlanguageManagerGetDefault +p13227 +tp13228 +a(g697 +g1101 +tp13229 +a(g697 +g1105 +tp13230 +a(g830 +V\u000a +p13231 +tp13232 +a(g939 +Vvar +p13233 +tp13234 +a(g830 +g958 +tp13235 +a(g428 +Vlangpaths +p13236 +tp13237 +a(g697 +g1034 +tp13238 +a(g830 +g958 +tp13239 +a(g885 +Varray +p13240 +tp13241 +a(g405 +g1085 +tp13242 +a(g307 +g1263 +tp13243 +a(g697 +V.. +p13244 +tp13245 +a(g27 +g2056 +tp13246 +a(g697 +g962 +tp13247 +a(g830 +g958 +tp13248 +a(g428 +Vcstring +p13249 +tp13250 +a(g405 +g1087 +tp13251 +a(g830 +g958 +tp13252 +a(g405 +g1051 +tp13253 +a(g830 +V \u000a +p13254 +tp13255 +a(g405 +g1085 +tp13256 +a(g428 +Vcstring +p13257 +tp13258 +a(g697 +g1101 +tp13259 +a(g428 +Vos +p13260 +tp13261 +a(g697 +g1038 +tp13262 +a(g428 +VgetApplicationDir +p13263 +tp13264 +a(g697 +g1101 +tp13265 +a(g697 +g1105 +tp13266 +a(g830 +g958 +tp13267 +a(g405 +g5834 +tp13268 +a(g830 +g958 +tp13269 +a(g428 +VlangSpecs +p13270 +tp13271 +a(g697 +g1105 +tp13272 +a(g697 +g962 +tp13273 +a(g830 +g958 +tp13274 +a(g915 +Vnil +p13275 +tp13276 +a(g405 +g1087 +tp13277 +a(g830 +V\u000a +p13278 +tp13279 +a(g428 +Vwin +p13280 +tp13281 +a(g697 +g1038 +tp13282 +a(g428 +VlangMan +p13283 +tp13284 +a(g697 +g1038 +tp13285 +a(g428 +VsetSearchPath +p13286 +tp13287 +a(g697 +g1101 +tp13288 +a(g13 +Vaddr +p13289 +tp13290 +a(g697 +g1101 +tp13291 +a(g428 +Vlangpaths +p13292 +tp13293 +a(g697 +g1105 +tp13294 +a(g697 +g1105 +tp13295 +a(g830 +V\u000a +p13296 +tp13297 +a(g939 +Vvar +p13298 +tp13299 +a(g830 +g958 +tp13300 +a(g428 +VnimLang +p13301 +tp13302 +a(g830 +g958 +tp13303 +a(g405 +g1051 +tp13304 +a(g830 +g958 +tp13305 +a(g428 +Vwin +p13306 +tp13307 +a(g697 +g1038 +tp13308 +a(g428 +VlangMan +p13309 +tp13310 +a(g697 +g1038 +tp13311 +a(g428 +VgetLanguage +p13312 +tp13313 +a(g697 +g1101 +tp13314 +a(g81 +g1054 +tp13315 +a(g81 +Vnimrod +p13316 +tp13317 +a(g81 +g1054 +tp13318 +a(g697 +g1105 +tp13319 +a(g830 +V\u000a +p13320 +tp13321 +a(g428 +Vwin +p13322 +tp13323 +a(g697 +g1038 +tp13324 +a(g428 +VnimLang +p13325 +tp13326 +a(g830 +g958 +tp13327 +a(g405 +g1051 +tp13328 +a(g830 +g958 +tp13329 +a(g428 +VnimLang +p13330 +tp13331 +a(g830 +V\u000a \u000a +p13332 +tp13333 +a(g713 +V# Load the scheme +p13334 +tp13335 +a(g830 +V\u000a +p13336 +tp13337 +a(g939 +Vvar +p13338 +tp13339 +a(g830 +g958 +tp13340 +a(g428 +VschemeMan +p13341 +tp13342 +a(g830 +g958 +tp13343 +a(g405 +g1051 +tp13344 +a(g830 +g958 +tp13345 +a(g428 +VschemeManagerGetDefault +p13346 +tp13347 +a(g697 +g1101 +tp13348 +a(g697 +g1105 +tp13349 +a(g830 +V\u000a +p13350 +tp13351 +a(g939 +Vvar +p13352 +tp13353 +a(g830 +g958 +tp13354 +a(g428 +Vschemepaths +p13355 +tp13356 +a(g697 +g1034 +tp13357 +a(g830 +g958 +tp13358 +a(g885 +Varray +p13359 +tp13360 +a(g405 +g1085 +tp13361 +a(g307 +g1263 +tp13362 +a(g697 +V.. +p13363 +tp13364 +a(g27 +g2056 +tp13365 +a(g697 +g962 +tp13366 +a(g830 +g958 +tp13367 +a(g428 +Vcstring +p13368 +tp13369 +a(g405 +g1087 +tp13370 +a(g830 +g958 +tp13371 +a(g405 +g1051 +tp13372 +a(g830 +V\u000a +p13373 +tp13374 +a(g405 +g1085 +tp13375 +a(g428 +Vcstring +p13376 +tp13377 +a(g697 +g1101 +tp13378 +a(g428 +Vos +p13379 +tp13380 +a(g697 +g1038 +tp13381 +a(g428 +VgetApplicationDir +p13382 +tp13383 +a(g697 +g1101 +tp13384 +a(g697 +g1105 +tp13385 +a(g830 +g958 +tp13386 +a(g405 +g5834 +tp13387 +a(g830 +g958 +tp13388 +a(g428 +Vstyles +p13389 +tp13390 +a(g697 +g1105 +tp13391 +a(g697 +g962 +tp13392 +a(g830 +g958 +tp13393 +a(g915 +Vnil +p13394 +tp13395 +a(g405 +g1087 +tp13396 +a(g830 +V\u000a +p13397 +tp13398 +a(g428 +VschemeMan +p13399 +tp13400 +a(g697 +g1038 +tp13401 +a(g428 +VsetSearchPath +p13402 +tp13403 +a(g697 +g1101 +tp13404 +a(g13 +Vaddr +p13405 +tp13406 +a(g697 +g1101 +tp13407 +a(g428 +Vschemepaths +p13408 +tp13409 +a(g697 +g1105 +tp13410 +a(g697 +g1105 +tp13411 +a(g830 +V\u000a +p13412 +tp13413 +a(g428 +Vwin +p13414 +tp13415 +a(g697 +g1038 +tp13416 +a(g428 +Vscheme +p13417 +tp13418 +a(g830 +g958 +tp13419 +a(g405 +g1051 +tp13420 +a(g830 +g958 +tp13421 +a(g428 +VschemeMan +p13422 +tp13423 +a(g697 +g1038 +tp13424 +a(g428 +VgetScheme +p13425 +tp13426 +a(g697 +g1101 +tp13427 +a(g428 +Vwin +p13428 +tp13429 +a(g697 +g1038 +tp13430 +a(g428 +Vsettings +p13431 +tp13432 +a(g697 +g1038 +tp13433 +a(g428 +VcolorSchemeID +p13434 +tp13435 +a(g697 +g1105 +tp13436 +a(g830 +V\u000a \u000a +p13437 +tp13438 +a(g713 +V# Window +p13439 +tp13440 +a(g830 +V\u000a +p13441 +tp13442 +a(g428 +Vwin +p13443 +tp13444 +a(g697 +g1038 +tp13445 +a(g428 +g1416 +tp13446 +a(g830 +g958 +tp13447 +a(g405 +g1051 +tp13448 +a(g830 +g958 +tp13449 +a(g428 +VwindowNew +p13450 +tp13451 +a(g697 +g1101 +tp13452 +a(g428 +Vgtk2 +p13453 +tp13454 +a(g697 +g1038 +tp13455 +a(g428 +VWINDOW_TOPLEVEL +p13456 +tp13457 +a(g697 +g1105 +tp13458 +a(g830 +V\u000a +p13459 +tp13460 +a(g428 +Vwin +p13461 +tp13462 +a(g697 +g1038 +tp13463 +a(g428 +g1416 +tp13464 +a(g697 +g1038 +tp13465 +a(g428 +VsetDefaultSize +p13466 +tp13467 +a(g697 +g1101 +tp13468 +a(g428 +Vwin +p13469 +tp13470 +a(g697 +g1038 +tp13471 +a(g428 +Vsettings +p13472 +tp13473 +a(g697 +g1038 +tp13474 +a(g428 +VwinWidth +p13475 +tp13476 +a(g697 +g962 +tp13477 +a(g830 +g958 +tp13478 +a(g428 +Vwin +p13479 +tp13480 +a(g697 +g1038 +tp13481 +a(g428 +Vsettings +p13482 +tp13483 +a(g697 +g1038 +tp13484 +a(g428 +VwinHeight +p13485 +tp13486 +a(g697 +g1105 +tp13487 +a(g830 +V\u000a +p13488 +tp13489 +a(g428 +Vwin +p13490 +tp13491 +a(g697 +g1038 +tp13492 +a(g428 +g1416 +tp13493 +a(g697 +g1038 +tp13494 +a(g428 +VsetTitle +p13495 +tp13496 +a(g697 +g1101 +tp13497 +a(g81 +g1054 +tp13498 +a(g81 +VAporia IDE +p13499 +tp13500 +a(g81 +g1054 +tp13501 +a(g697 +g1105 +tp13502 +a(g830 +V\u000a +p13503 +tp13504 +a(g13 +Vif +p13505 +tp13506 +a(g830 +g958 +tp13507 +a(g428 +Vwin +p13508 +tp13509 +a(g697 +g1038 +tp13510 +a(g428 +Vsettings +p13511 +tp13512 +a(g697 +g1038 +tp13513 +a(g428 +VwinMaximized +p13514 +tp13515 +a(g697 +g1034 +tp13516 +a(g830 +g958 +tp13517 +a(g428 +Vwin +p13518 +tp13519 +a(g697 +g1038 +tp13520 +a(g428 +g1416 +tp13521 +a(g697 +g1038 +tp13522 +a(g428 +Vmaximize +p13523 +tp13524 +a(g697 +g1101 +tp13525 +a(g697 +g1105 +tp13526 +a(g830 +V\u000a \u000a +p13527 +tp13528 +a(g428 +Vwin +p13529 +tp13530 +a(g697 +g1038 +tp13531 +a(g428 +g1416 +tp13532 +a(g697 +g1038 +tp13533 +a(g428 +Vshow +p13534 +tp13535 +a(g697 +g1101 +tp13536 +a(g697 +g1105 +tp13537 +a(g830 +g958 +tp13538 +a(g713 +V# The window has to be shown before +p13539 +tp13540 +a(g830 +V\u000a +p13541 +tp13542 +a(g713 +V# setting the position of the VPaned so that +p13543 +tp13544 +a(g830 +V\u000a +p13545 +tp13546 +a(g713 +V# it gets set correctly, when the window is maximized. +p13547 +tp13548 +a(g830 +V\u000a \u000a +p13549 +tp13550 +a(g13 +Vdiscard +p13551 +tp13552 +a(g830 +g958 +tp13553 +a(g428 +Vwin +p13554 +tp13555 +a(g697 +g1038 +tp13556 +a(g428 +g1416 +tp13557 +a(g697 +g1038 +tp13558 +a(g428 +VsignalConnect +p13559 +tp13560 +a(g697 +g1101 +tp13561 +a(g81 +g1054 +tp13562 +a(g81 +Vdestroy +p13563 +tp13564 +a(g81 +g1054 +tp13565 +a(g697 +g962 +tp13566 +a(g830 +g958 +tp13567 +a(g428 +VSIGNAL_FUNC +p13568 +tp13569 +a(g697 +g1101 +tp13570 +a(g428 +Vaporia +p13571 +tp13572 +a(g697 +g1038 +tp13573 +a(g428 +Vdestroy +p13574 +tp13575 +a(g697 +g1105 +tp13576 +a(g697 +g962 +tp13577 +a(g830 +g958 +tp13578 +a(g915 +Vnil +p13579 +tp13580 +a(g697 +g1105 +tp13581 +a(g830 +V\u000a +p13582 +tp13583 +a(g13 +Vdiscard +p13584 +tp13585 +a(g830 +g958 +tp13586 +a(g428 +Vwin +p13587 +tp13588 +a(g697 +g1038 +tp13589 +a(g428 +g1416 +tp13590 +a(g697 +g1038 +tp13591 +a(g428 +VsignalConnect +p13592 +tp13593 +a(g697 +g1101 +tp13594 +a(g81 +g1054 +tp13595 +a(g81 +Vdelete_event +p13596 +tp13597 +a(g81 +g1054 +tp13598 +a(g697 +g962 +tp13599 +a(g830 +V \u000a +p13600 +tp13601 +a(g428 +VSIGNAL_FUNC +p13602 +tp13603 +a(g697 +g1101 +tp13604 +a(g428 +Vaporia +p13605 +tp13606 +a(g697 +g1038 +tp13607 +a(g428 +Vdelete_event +p13608 +tp13609 +a(g697 +g1105 +tp13610 +a(g697 +g962 +tp13611 +a(g830 +g958 +tp13612 +a(g915 +Vnil +p13613 +tp13614 +a(g697 +g1105 +tp13615 +a(g830 +V\u000a +p13616 +tp13617 +a(g13 +Vdiscard +p13618 +tp13619 +a(g830 +g958 +tp13620 +a(g428 +Vwin +p13621 +tp13622 +a(g697 +g1038 +tp13623 +a(g428 +g1416 +tp13624 +a(g697 +g1038 +tp13625 +a(g428 +VsignalConnect +p13626 +tp13627 +a(g697 +g1101 +tp13628 +a(g81 +g1054 +tp13629 +a(g81 +Vwindow-state-event +p13630 +tp13631 +a(g81 +g1054 +tp13632 +a(g697 +g962 +tp13633 +a(g830 +V \u000a +p13634 +tp13635 +a(g428 +VSIGNAL_FUNC +p13636 +tp13637 +a(g697 +g1101 +tp13638 +a(g428 +Vaporia +p13639 +tp13640 +a(g697 +g1038 +tp13641 +a(g428 +VwindowState_Changed +p13642 +tp13643 +a(g697 +g1105 +tp13644 +a(g697 +g962 +tp13645 +a(g830 +g958 +tp13646 +a(g915 +Vnil +p13647 +tp13648 +a(g697 +g1105 +tp13649 +a(g830 +V\u000a \u000a +p13650 +tp13651 +a(g713 +V# MainBox (vbox) +p13652 +tp13653 +a(g830 +V\u000a +p13654 +tp13655 +a(g939 +Vvar +p13656 +tp13657 +a(g830 +g958 +tp13658 +a(g428 +VMainBox +p13659 +tp13660 +a(g830 +g958 +tp13661 +a(g405 +g1051 +tp13662 +a(g830 +g958 +tp13663 +a(g428 +VvboxNew +p13664 +tp13665 +a(g697 +g1101 +tp13666 +a(g915 +VFalse +p13667 +tp13668 +a(g697 +g962 +tp13669 +a(g830 +g958 +tp13670 +a(g27 +g1263 +tp13671 +a(g697 +g1105 +tp13672 +a(g830 +V\u000a +p13673 +tp13674 +a(g428 +Vwin +p13675 +tp13676 +a(g697 +g1038 +tp13677 +a(g428 +g1416 +tp13678 +a(g697 +g1038 +tp13679 +a(g428 +Vadd +p13680 +tp13681 +a(g697 +g1101 +tp13682 +a(g428 +VMainBox +p13683 +tp13684 +a(g697 +g1105 +tp13685 +a(g830 +V\u000a \u000a +p13686 +tp13687 +a(g428 +VinitTopMenu +p13688 +tp13689 +a(g697 +g1101 +tp13690 +a(g428 +VMainBox +p13691 +tp13692 +a(g697 +g1105 +tp13693 +a(g830 +V\u000a +p13694 +tp13695 +a(g428 +VinitToolBar +p13696 +tp13697 +a(g697 +g1101 +tp13698 +a(g428 +VMainBox +p13699 +tp13700 +a(g697 +g1105 +tp13701 +a(g830 +V\u000a +p13702 +tp13703 +a(g428 +VinitTAndBP +p13704 +tp13705 +a(g697 +g1101 +tp13706 +a(g428 +VMainBox +p13707 +tp13708 +a(g697 +g1105 +tp13709 +a(g830 +V\u000a +p13710 +tp13711 +a(g428 +VinitFindBar +p13712 +tp13713 +a(g697 +g1101 +tp13714 +a(g428 +VMainBox +p13715 +tp13716 +a(g697 +g1105 +tp13717 +a(g830 +V\u000a +p13718 +tp13719 +a(g428 +VinitStatusBar +p13720 +tp13721 +a(g697 +g1101 +tp13722 +a(g428 +VMainBox +p13723 +tp13724 +a(g697 +g1105 +tp13725 +a(g830 +V\u000a \u000a +p13726 +tp13727 +a(g428 +VMainBox +p13728 +tp13729 +a(g697 +g1038 +tp13730 +a(g428 +Vshow +p13731 +tp13732 +a(g697 +g1101 +tp13733 +a(g697 +g1105 +tp13734 +a(g830 +V\u000a +p13735 +tp13736 +a(g13 +Vif +p13737 +tp13738 +a(g830 +g958 +tp13739 +a(g428 +VconfParseFail +p13740 +tp13741 +a(g697 +g1034 +tp13742 +a(g830 +V\u000a +p13743 +tp13744 +a(g428 +Vdialogs +p13745 +tp13746 +a(g697 +g1038 +tp13747 +a(g428 +Vwarning +p13748 +tp13749 +a(g697 +g1101 +tp13750 +a(g428 +Vwin +p13751 +tp13752 +a(g697 +g1038 +tp13753 +a(g428 +g1416 +tp13754 +a(g697 +g962 +tp13755 +a(g830 +g958 +tp13756 +a(g81 +g1054 +tp13757 +a(g81 +VError parsing config file, using default settings. +p13758 +tp13759 +a(g81 +g1054 +tp13760 +a(g697 +g1105 +tp13761 +a(g830 +V\u000a \u000a +p13762 +tp13763 +a(g428 +Vnimrod_init +p13764 +tp13765 +a(g697 +g1101 +tp13766 +a(g697 +g1105 +tp13767 +a(g830 +V\u000a +p13768 +tp13769 +a(g428 +VinitControls +p13770 +tp13771 +a(g697 +g1101 +tp13772 +a(g697 +g1105 +tp13773 +a(g830 +V\u000a +p13774 +tp13775 +a(g428 +Vmain +p13776 +tp13777 +a(g697 +g1101 +tp13778 +a(g697 +g1105 +tp13779 +a(g830 +V\u000a +p13780 +tp13781 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.nix b/tests/examplefiles/output/example.nix new file mode 100644 index 0000000..0f13ac1 --- /dev/null +++ b/tests/examplefiles/output/example.nix @@ -0,0 +1,6547 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV{ +p956 +tp957 +a(g892 +V +p958 +tp959 +a(g892 +Vstdenv +p960 +tp961 +a(g7 +V, +p962 +tp963 +a(g892 +g958 +tp964 +a(g892 +Vfetchurl +p965 +tp966 +a(g7 +g962 +tp967 +a(g892 +g958 +tp968 +a(g892 +Vfetchgit +p969 +tp970 +a(g7 +g962 +tp971 +a(g892 +g958 +tp972 +a(g892 +Vopenssl +p973 +tp974 +a(g7 +g962 +tp975 +a(g892 +g958 +tp976 +a(g892 +Vzlib +p977 +tp978 +a(g7 +g962 +tp979 +a(g892 +g958 +tp980 +a(g892 +Vpcre +p981 +tp982 +a(g7 +g962 +tp983 +a(g892 +g958 +tp984 +a(g892 +Vlibxml2 +p985 +tp986 +a(g7 +g962 +tp987 +a(g892 +g958 +tp988 +a(g892 +Vlibxslt +p989 +tp990 +a(g7 +g962 +tp991 +a(g892 +g958 +tp992 +a(g892 +Vexpat +p993 +tp994 +a(g892 +V\u000a +p995 +tp996 +a(g7 +g962 +tp997 +a(g892 +g958 +tp998 +a(g892 +Vrtmp +p999 +tp1000 +a(g892 +g958 +tp1001 +a(g400 +V? +p1002 +tp1003 +a(g892 +g958 +tp1004 +a(g553 +Vfalse +p1005 +tp1006 +a(g892 +V\u000a +p1007 +tp1008 +a(g7 +g962 +tp1009 +a(g892 +g958 +tp1010 +a(g892 +VfullWebDAV +p1011 +tp1012 +a(g892 +g958 +tp1013 +a(g400 +g1002 +tp1014 +a(g892 +g958 +tp1015 +a(g553 +Vfalse +p1016 +tp1017 +a(g892 +V\u000a +p1018 +tp1019 +a(g7 +g962 +tp1020 +a(g892 +g958 +tp1021 +a(g892 +Vsyslog +p1022 +tp1023 +a(g892 +g958 +tp1024 +a(g400 +g1002 +tp1025 +a(g892 +g958 +tp1026 +a(g553 +Vfalse +p1027 +tp1028 +a(g892 +V\u000a +p1029 +tp1030 +a(g7 +g962 +tp1031 +a(g892 +g958 +tp1032 +a(g892 +Vmoreheaders +p1033 +tp1034 +a(g892 +g958 +tp1035 +a(g400 +g1002 +tp1036 +a(g892 +g958 +tp1037 +a(g553 +Vfalse +p1038 +tp1039 +a(g7 +g962 +tp1040 +a(g892 +g958 +tp1041 +a(g400 +V. +p1042 +tp1043 +a(g400 +g1042 +tp1044 +a(g400 +g1042 +tp1045 +a(g7 +V} +p1046 +tp1047 +a(g7 +V: +p1048 +tp1049 +a(g892 +V\u000a\u000a +p1050 +tp1051 +a(g810 +Vlet +p1052 +tp1053 +a(g892 +V\u000a +p1054 +tp1055 +a(g104 +Vversion = +p1056 +tp1057 +a(g892 +g958 +tp1058 +a(g144 +V" +p1059 +tp1060 +a(g144 +V1 +p1061 +tp1062 +a(g144 +g1042 +tp1063 +a(g144 +V4 +p1064 +tp1065 +a(g144 +g1042 +tp1066 +a(g144 +g1064 +tp1067 +a(g144 +g1059 +tp1068 +a(g7 +V; +p1069 +tp1070 +a(g892 +V\u000a +p1071 +tp1072 +a(g104 +VmainSrc = +p1073 +tp1074 +a(g892 +g958 +tp1075 +a(g892 +Vfetchurl +p1076 +tp1077 +a(g892 +g958 +tp1078 +a(g7 +g956 +tp1079 +a(g892 +V\u000a +p1080 +tp1081 +a(g104 +Vurl = +p1082 +tp1083 +a(g892 +g958 +tp1084 +a(g144 +g1059 +tp1085 +a(g144 +Vh +p1086 +tp1087 +a(g144 +Vt +p1088 +tp1089 +a(g144 +g1088 +tp1090 +a(g144 +Vp +p1091 +tp1092 +a(g144 +g1048 +tp1093 +a(g144 +V/ +p1094 +tp1095 +a(g144 +g1094 +tp1096 +a(g144 +Vn +p1097 +tp1098 +a(g144 +Vg +p1099 +tp1100 +a(g144 +Vi +p1101 +tp1102 +a(g144 +g1097 +tp1103 +a(g144 +Vx +p1104 +tp1105 +a(g144 +g1042 +tp1106 +a(g144 +Vo +p1107 +tp1108 +a(g144 +Vr +p1109 +tp1110 +a(g144 +g1099 +tp1111 +a(g144 +g1094 +tp1112 +a(g144 +Vd +p1113 +tp1114 +a(g144 +g1107 +tp1115 +a(g144 +Vw +p1116 +tp1117 +a(g144 +g1097 +tp1118 +a(g144 +Vl +p1119 +tp1120 +a(g144 +g1107 +tp1121 +a(g144 +Va +p1122 +tp1123 +a(g144 +g1113 +tp1124 +a(g144 +g1094 +tp1125 +a(g144 +g1097 +tp1126 +a(g144 +g1099 +tp1127 +a(g144 +g1101 +tp1128 +a(g144 +g1097 +tp1129 +a(g144 +g1104 +tp1130 +a(g144 +V- +p1131 +tp1132 +a(g112 +V${ +p1133 +tp1134 +a(g892 +Vversion +p1135 +tp1136 +a(g112 +g1046 +tp1137 +a(g144 +g1042 +tp1138 +a(g144 +g1088 +tp1139 +a(g144 +g1122 +tp1140 +a(g144 +g1109 +tp1141 +a(g144 +g1042 +tp1142 +a(g144 +g1099 +tp1143 +a(g144 +Vz +p1144 +tp1145 +a(g144 +g1059 +tp1146 +a(g7 +g1069 +tp1147 +a(g892 +V\u000a +p1148 +tp1149 +a(g104 +Vsha256 = +p1150 +tp1151 +a(g892 +g958 +tp1152 +a(g144 +g1059 +tp1153 +a(g144 +g1061 +tp1154 +a(g144 +Vf +p1155 +tp1156 +a(g144 +V8 +p1157 +tp1158 +a(g144 +V2 +p1159 +tp1160 +a(g144 +g1157 +tp1161 +a(g144 +g1064 +tp1162 +a(g144 +V5 +p1163 +tp1164 +a(g144 +Vm +p1165 +tp1166 +a(g144 +g1091 +tp1167 +a(g144 +g1099 +tp1168 +a(g144 +g1165 +tp1169 +a(g144 +g1086 +tp1170 +a(g144 +Vv +p1171 +tp1172 +a(g144 +g1165 +tp1173 +a(g144 +g1061 +tp1174 +a(g144 +g1163 +tp1175 +a(g144 +g1061 +tp1176 +a(g144 +g1155 +tp1177 +a(g144 +g1086 +tp1178 +a(g144 +g1097 +tp1179 +a(g144 +g1159 +tp1180 +a(g144 +Vc +p1181 +tp1182 +a(g144 +g1097 +tp1183 +a(g144 +Vq +p1184 +tp1185 +a(g144 +Vj +p1186 +tp1187 +a(g144 +g1099 +tp1188 +a(g144 +g1099 +tp1189 +a(g144 +g1116 +tp1190 +a(g144 +V9 +p1191 +tp1192 +a(g144 +g1116 +tp1193 +a(g144 +V7 +p1194 +tp1195 +a(g144 +g1181 +tp1196 +a(g144 +g1171 +tp1197 +a(g144 +Vs +p1198 +tp1199 +a(g144 +g1184 +tp1200 +a(g144 +Vb +p1201 +tp1202 +a(g144 +g1171 +tp1203 +a(g144 +g1122 +tp1204 +a(g144 +g1191 +tp1205 +a(g144 +g1109 +tp1206 +a(g144 +g1201 +tp1207 +a(g144 +V3 +p1208 +tp1209 +a(g144 +g1159 +tp1210 +a(g144 +V0 +p1211 +tp1212 +a(g144 +g1116 +tp1213 +a(g144 +g1165 +tp1214 +a(g144 +g1181 +tp1215 +a(g144 +g1191 +tp1216 +a(g144 +g1165 +tp1217 +a(g144 +V6 +p1218 +tp1219 +a(g144 +g1208 +tp1220 +a(g144 +g1116 +tp1221 +a(g144 +g1059 +tp1222 +a(g7 +g1069 +tp1223 +a(g892 +V\u000a +p1224 +tp1225 +a(g7 +g1046 +tp1226 +a(g7 +g1069 +tp1227 +a(g892 +V\u000a\u000a +p1228 +tp1229 +a(g104 +Vrtmp-ext = +p1230 +tp1231 +a(g892 +g958 +tp1232 +a(g892 +Vfetchgit +p1233 +tp1234 +a(g892 +g958 +tp1235 +a(g7 +g956 +tp1236 +a(g892 +V\u000a +p1237 +tp1238 +a(g104 +Vurl = +p1239 +tp1240 +a(g892 +g958 +tp1241 +a(g39 +Vgit://github.com/arut/nginx-rtmp-module.git +p1242 +tp1243 +a(g7 +g1069 +tp1244 +a(g892 +V\u000a +p1245 +tp1246 +a(g104 +Vrev = +p1247 +tp1248 +a(g892 +g958 +tp1249 +a(g144 +g1059 +tp1250 +a(g144 +g1061 +tp1251 +a(g144 +g1181 +tp1252 +a(g144 +g1155 +tp1253 +a(g144 +g1201 +tp1254 +a(g144 +g1194 +tp1255 +a(g144 +g1122 +tp1256 +a(g144 +Ve +p1257 +tp1258 +a(g144 +g1201 +tp1259 +a(g144 +g1163 +tp1260 +a(g144 +g1157 +tp1261 +a(g144 +g1159 +tp1262 +a(g144 +g1194 +tp1263 +a(g144 +g1157 +tp1264 +a(g144 +g1191 +tp1265 +a(g144 +g1155 +tp1266 +a(g144 +g1208 +tp1267 +a(g144 +g1201 +tp1268 +a(g144 +g1061 +tp1269 +a(g144 +g1163 +tp1270 +a(g144 +g1122 +tp1271 +a(g144 +g1211 +tp1272 +a(g144 +g1208 +tp1273 +a(g144 +g1113 +tp1274 +a(g144 +g1122 +tp1275 +a(g144 +g1163 +tp1276 +a(g144 +g1201 +tp1277 +a(g144 +g1218 +tp1278 +a(g144 +g1218 +tp1279 +a(g144 +g1159 +tp1280 +a(g144 +g1113 +tp1281 +a(g144 +g1061 +tp1282 +a(g144 +g1157 +tp1283 +a(g144 +g1061 +tp1284 +a(g144 +g1061 +tp1285 +a(g144 +g1257 +tp1286 +a(g144 +g1159 +tp1287 +a(g144 +g1122 +tp1288 +a(g144 +g1208 +tp1289 +a(g144 +g1155 +tp1290 +a(g144 +g1061 +tp1291 +a(g144 +g1059 +tp1292 +a(g7 +g1069 +tp1293 +a(g892 +V\u000a +p1294 +tp1295 +a(g104 +Vsha256 = +p1296 +tp1297 +a(g892 +g958 +tp1298 +a(g144 +g1059 +tp1299 +a(g144 +g1211 +tp1300 +a(g144 +g1208 +tp1301 +a(g144 +g1101 +tp1302 +a(g144 +Vk +p1303 +tp1304 +a(g144 +g1155 +tp1305 +a(g144 +g1113 +tp1306 +a(g144 +g1159 +tp1307 +a(g144 +g1119 +tp1308 +a(g144 +g1157 +tp1309 +a(g144 +g1165 +tp1310 +a(g144 +g1144 +tp1311 +a(g144 +g1198 +tp1312 +a(g144 +g1186 +tp1313 +a(g144 +g1116 +tp1314 +a(g144 +g1104 +tp1315 +a(g144 +g1157 +tp1316 +a(g144 +g1191 +tp1317 +a(g144 +g1218 +tp1318 +a(g144 +g1119 +tp1319 +a(g144 +g1211 +tp1320 +a(g144 +g1194 +tp1321 +a(g144 +g1109 +tp1322 +a(g144 +g1113 +tp1323 +a(g144 +g1109 +tp1324 +a(g144 +g1116 +tp1325 +a(g144 +g1163 +tp1326 +a(g144 +g1186 +tp1327 +a(g144 +g1097 +tp1328 +a(g144 +g1194 +tp1329 +a(g144 +g1186 +tp1330 +a(g144 +g1186 +tp1331 +a(g144 +g1155 +tp1332 +a(g144 +g1113 +tp1333 +a(g144 +g1101 +tp1334 +a(g144 +Vy +p1335 +tp1336 +a(g144 +g1119 +tp1337 +a(g144 +g1163 +tp1338 +a(g144 +g1194 +tp1339 +a(g144 +g1159 +tp1340 +a(g144 +g1335 +tp1341 +a(g144 +g1201 +tp1342 +a(g144 +g1191 +tp1343 +a(g144 +g1186 +tp1344 +a(g144 +g1155 +tp1345 +a(g144 +g1109 +tp1346 +a(g144 +g1097 +tp1347 +a(g144 +g1303 +tp1348 +a(g144 +g1064 +tp1349 +a(g144 +g1157 +tp1350 +a(g144 +g1155 +tp1351 +a(g144 +g1116 +tp1352 +a(g144 +g1101 +tp1353 +a(g144 +g1059 +tp1354 +a(g7 +g1069 +tp1355 +a(g892 +V\u000a +p1356 +tp1357 +a(g7 +g1046 +tp1358 +a(g7 +g1069 +tp1359 +a(g892 +V\u000a\u000a +p1360 +tp1361 +a(g104 +Vdav-ext = +p1362 +tp1363 +a(g892 +g958 +tp1364 +a(g892 +Vfetchgit +p1365 +tp1366 +a(g892 +g958 +tp1367 +a(g7 +g956 +tp1368 +a(g892 +V\u000a +p1369 +tp1370 +a(g104 +Vurl = +p1371 +tp1372 +a(g892 +g958 +tp1373 +a(g39 +Vgit://github.com/arut/nginx-dav-ext-module.git +p1374 +tp1375 +a(g7 +g1069 +tp1376 +a(g892 +V\u000a +p1377 +tp1378 +a(g104 +Vrev = +p1379 +tp1380 +a(g892 +g958 +tp1381 +a(g144 +g1059 +tp1382 +a(g144 +g1163 +tp1383 +a(g144 +g1064 +tp1384 +a(g144 +g1181 +tp1385 +a(g144 +g1257 +tp1386 +a(g144 +g1201 +tp1387 +a(g144 +g1181 +tp1388 +a(g144 +g1061 +tp1389 +a(g144 +g1155 +tp1390 +a(g144 +g1159 +tp1391 +a(g144 +g1061 +tp1392 +a(g144 +g1155 +tp1393 +a(g144 +g1181 +tp1394 +a(g144 +g1061 +tp1395 +a(g144 +g1208 +tp1396 +a(g144 +g1208 +tp1397 +a(g144 +g1191 +tp1398 +a(g144 +g1061 +tp1399 +a(g144 +g1122 +tp1400 +a(g144 +g1122 +tp1401 +a(g144 +g1257 +tp1402 +a(g144 +g1218 +tp1403 +a(g144 +g1191 +tp1404 +a(g144 +g1159 +tp1405 +a(g144 +g1181 +tp1406 +a(g144 +g1218 +tp1407 +a(g144 +g1181 +tp1408 +a(g144 +g1181 +tp1409 +a(g144 +g1257 +tp1410 +a(g144 +g1218 +tp1411 +a(g144 +g1194 +tp1412 +a(g144 +g1159 +tp1413 +a(g144 +g1155 +tp1414 +a(g144 +g1122 +tp1415 +a(g144 +g1194 +tp1416 +a(g144 +g1191 +tp1417 +a(g144 +g1157 +tp1418 +a(g144 +g1218 +tp1419 +a(g144 +g1155 +tp1420 +a(g144 +g1191 +tp1421 +a(g144 +g1113 +tp1422 +a(g144 +g1059 +tp1423 +a(g7 +g1069 +tp1424 +a(g892 +V\u000a +p1425 +tp1426 +a(g104 +Vsha256 = +p1427 +tp1428 +a(g892 +g958 +tp1429 +a(g144 +g1059 +tp1430 +a(g144 +g1061 +tp1431 +a(g144 +g1113 +tp1432 +a(g144 +g1171 +tp1433 +a(g144 +g1091 +tp1434 +a(g144 +g1184 +tp1435 +a(g144 +g1061 +tp1436 +a(g144 +g1155 +tp1437 +a(g144 +g1099 +tp1438 +a(g144 +g1163 +tp1439 +a(g144 +g1109 +tp1440 +a(g144 +g1198 +tp1441 +a(g144 +g1119 +tp1442 +a(g144 +g1097 +tp1443 +a(g144 +g1119 +tp1444 +a(g144 +g1211 +tp1445 +a(g144 +g1163 +tp1446 +a(g144 +g1144 +tp1447 +a(g144 +g1157 +tp1448 +a(g144 +g1186 +tp1449 +a(g144 +g1181 +tp1450 +a(g144 +g1208 +tp1451 +a(g144 +g1191 +tp1452 +a(g144 +g1198 +tp1453 +a(g144 +g1099 +tp1454 +a(g144 +g1097 +tp1455 +a(g144 +g1171 +tp1456 +a(g144 +g1086 +tp1457 +a(g144 +g1208 +tp1458 +a(g144 +g1122 +tp1459 +a(g144 +g1303 +tp1460 +a(g144 +g1122 +tp1461 +a(g144 +g1165 +tp1462 +a(g144 +g1191 +tp1463 +a(g144 +g1184 +tp1464 +a(g144 +g1104 +tp1465 +a(g144 +g1155 +tp1466 +a(g144 +g1119 +tp1467 +a(g144 +g1211 +tp1468 +a(g144 +g1208 +tp1469 +a(g144 +g1208 +tp1470 +a(g144 +g1122 +tp1471 +a(g144 +g1303 +tp1472 +a(g144 +g1091 +tp1473 +a(g144 +g1181 +tp1474 +a(g144 +g1144 +tp1475 +a(g144 +g1184 +tp1476 +a(g144 +g1061 +tp1477 +a(g144 +g1201 +tp1478 +a(g144 +g1086 +tp1479 +a(g144 +g1157 +tp1480 +a(g144 +g1097 +tp1481 +a(g144 +g1184 +tp1482 +a(g144 +g1059 +tp1483 +a(g7 +g1069 +tp1484 +a(g892 +V\u000a +p1485 +tp1486 +a(g7 +g1046 +tp1487 +a(g7 +g1069 +tp1488 +a(g892 +V\u000a\u000a +p1489 +tp1490 +a(g104 +Vsyslog-ext = +p1491 +tp1492 +a(g892 +g958 +tp1493 +a(g892 +Vfetchgit +p1494 +tp1495 +a(g892 +g958 +tp1496 +a(g7 +g956 +tp1497 +a(g892 +V\u000a +p1498 +tp1499 +a(g104 +Vurl = +p1500 +tp1501 +a(g892 +g958 +tp1502 +a(g39 +Vhttps://github.com/yaoweibin/nginx_syslog_patch.git +p1503 +tp1504 +a(g7 +g1069 +tp1505 +a(g892 +V\u000a +p1506 +tp1507 +a(g104 +Vrev = +p1508 +tp1509 +a(g892 +g958 +tp1510 +a(g144 +g1059 +tp1511 +a(g144 +g1061 +tp1512 +a(g144 +g1218 +tp1513 +a(g144 +g1163 +tp1514 +a(g144 +g1122 +tp1515 +a(g144 +g1155 +tp1516 +a(g144 +g1155 +tp1517 +a(g144 +g1113 +tp1518 +a(g144 +g1191 +tp1519 +a(g144 +g1194 +tp1520 +a(g144 +g1064 +tp1521 +a(g144 +g1061 +tp1522 +a(g144 +g1155 +tp1523 +a(g144 +g1211 +tp1524 +a(g144 +g1257 +tp1525 +a(g144 +g1208 +tp1526 +a(g144 +g1211 +tp1527 +a(g144 +g1181 +tp1528 +a(g144 +g1064 +tp1529 +a(g144 +g1181 +tp1530 +a(g144 +g1157 +tp1531 +a(g144 +g1159 +tp1532 +a(g144 +g1159 +tp1533 +a(g144 +g1163 +tp1534 +a(g144 +g1113 +tp1535 +a(g144 +g1122 +tp1536 +a(g144 +g1163 +tp1537 +a(g144 +g1257 +tp1538 +a(g144 +g1064 +tp1539 +a(g144 +g1157 +tp1540 +a(g144 +g1194 +tp1541 +a(g144 +g1113 +tp1542 +a(g144 +g1208 +tp1543 +a(g144 +g1208 +tp1544 +a(g144 +g1157 +tp1545 +a(g144 +g1208 +tp1546 +a(g144 +g1159 +tp1547 +a(g144 +g1122 +tp1548 +a(g144 +g1181 +tp1549 +a(g144 +g1122 +tp1550 +a(g144 +g1208 +tp1551 +a(g144 +g1059 +tp1552 +a(g7 +g1069 +tp1553 +a(g892 +V\u000a +p1554 +tp1555 +a(g104 +Vsha256 = +p1556 +tp1557 +a(g892 +g958 +tp1558 +a(g144 +g1059 +tp1559 +a(g144 +g1061 +tp1560 +a(g144 +g1064 +tp1561 +a(g144 +g1113 +tp1562 +a(g144 +g1303 +tp1563 +a(g144 +g1303 +tp1564 +a(g144 +g1122 +tp1565 +a(g144 +g1155 +tp1566 +a(g144 +g1186 +tp1567 +a(g144 +g1097 +tp1568 +a(g144 +g1201 +tp1569 +a(g144 +g1122 +tp1570 +a(g144 +g1091 +tp1571 +a(g144 +g1091 +tp1572 +a(g144 +g1218 +tp1573 +a(g144 +g1186 +tp1574 +a(g144 +g1097 +tp1575 +a(g144 +g1171 +tp1576 +a(g144 +g1109 +tp1577 +a(g144 +g1186 +tp1578 +a(g144 +g1099 +tp1579 +a(g144 +g1191 +tp1580 +a(g144 +g1101 +tp1581 +a(g144 +g1091 +tp1582 +a(g144 +g1064 +tp1583 +a(g144 +g1218 +tp1584 +a(g144 +g1186 +tp1585 +a(g144 +g1211 +tp1586 +a(g144 +g1211 +tp1587 +a(g144 +g1181 +tp1588 +a(g144 +g1109 +tp1589 +a(g144 +g1157 +tp1590 +a(g144 +g1091 +tp1591 +a(g144 +g1184 +tp1592 +a(g144 +g1181 +tp1593 +a(g144 +g1159 +tp1594 +a(g144 +g1099 +tp1595 +a(g144 +g1194 +tp1596 +a(g144 +g1208 +tp1597 +a(g144 +g1194 +tp1598 +a(g144 +g1064 +tp1599 +a(g144 +g1144 +tp1600 +a(g144 +g1191 +tp1601 +a(g144 +g1122 +tp1602 +a(g144 +g1186 +tp1603 +a(g144 +g1194 +tp1604 +a(g144 +g1086 +tp1605 +a(g144 +g1109 +tp1606 +a(g144 +g1171 +tp1607 +a(g144 +g1113 +tp1608 +a(g144 +g1171 +tp1609 +a(g144 +g1086 +tp1610 +a(g144 +g1198 +tp1611 +a(g144 +g1059 +tp1612 +a(g7 +g1069 +tp1613 +a(g892 +V\u000a +p1614 +tp1615 +a(g7 +g1046 +tp1616 +a(g7 +g1069 +tp1617 +a(g892 +V\u000a\u000a +p1618 +tp1619 +a(g104 +Vmoreheaders-ext = +p1620 +tp1621 +a(g892 +g958 +tp1622 +a(g892 +Vfetchgit +p1623 +tp1624 +a(g892 +g958 +tp1625 +a(g7 +g956 +tp1626 +a(g892 +V\u000a +p1627 +tp1628 +a(g104 +Vurl = +p1629 +tp1630 +a(g892 +g958 +tp1631 +a(g39 +Vhttps://github.com/agentzh/headers-more-nginx-module.git +p1632 +tp1633 +a(g7 +g1069 +tp1634 +a(g892 +V\u000a +p1635 +tp1636 +a(g104 +Vrev = +p1637 +tp1638 +a(g892 +g958 +tp1639 +a(g144 +g1059 +tp1640 +a(g144 +g1109 +tp1641 +a(g144 +g1257 +tp1642 +a(g144 +g1155 +tp1643 +a(g144 +g1198 +tp1644 +a(g144 +g1094 +tp1645 +a(g144 +g1088 +tp1646 +a(g144 +g1122 +tp1647 +a(g144 +g1099 +tp1648 +a(g144 +g1198 +tp1649 +a(g144 +g1094 +tp1650 +a(g144 +g1171 +tp1651 +a(g144 +g1211 +tp1652 +a(g144 +g1042 +tp1653 +a(g144 +g1159 +tp1654 +a(g144 +g1208 +tp1655 +a(g144 +g1059 +tp1656 +a(g7 +g1069 +tp1657 +a(g892 +V\u000a +p1658 +tp1659 +a(g104 +Vsha256 = +p1660 +tp1661 +a(g892 +g958 +tp1662 +a(g144 +g1059 +tp1663 +a(g144 +g1061 +tp1664 +a(g144 +g1159 +tp1665 +a(g144 +g1091 +tp1666 +a(g144 +g1201 +tp1667 +a(g144 +g1186 +tp1668 +a(g144 +g1099 +tp1669 +a(g144 +g1198 +tp1670 +a(g144 +g1104 +tp1671 +a(g144 +g1097 +tp1672 +a(g144 +g1171 +tp1673 +a(g144 +g1181 +tp1674 +a(g144 +g1155 +tp1675 +a(g144 +g1159 +tp1676 +a(g144 +g1155 +tp1677 +a(g144 +g1155 +tp1678 +a(g144 +g1159 +tp1679 +a(g144 +g1101 +tp1680 +a(g144 +g1159 +tp1681 +a(g144 +g1184 +tp1682 +a(g144 +g1113 +tp1683 +a(g144 +g1097 +tp1684 +a(g144 +g1208 +tp1685 +a(g144 +g1191 +tp1686 +a(g144 +g1184 +tp1687 +a(g144 +g1064 +tp1688 +a(g144 +g1181 +tp1689 +a(g144 +g1165 +tp1690 +a(g144 +g1163 +tp1691 +a(g144 +g1181 +tp1692 +a(g144 +g1144 +tp1693 +a(g144 +g1119 +tp1694 +a(g144 +g1099 +tp1695 +a(g144 +g1109 +tp1696 +a(g144 +g1097 +tp1697 +a(g144 +g1099 +tp1698 +a(g144 +g1191 +tp1699 +a(g144 +g1218 +tp1700 +a(g144 +g1186 +tp1701 +a(g144 +g1157 +tp1702 +a(g144 +g1165 +tp1703 +a(g144 +g1119 +tp1704 +a(g144 +g1064 +tp1705 +a(g144 +g1181 +tp1706 +a(g144 +g1099 +tp1707 +a(g144 +g1104 +tp1708 +a(g144 +g1171 +tp1709 +a(g144 +g1097 +tp1710 +a(g144 +g1201 +tp1711 +a(g144 +g1113 +tp1712 +a(g144 +g1086 +tp1713 +a(g144 +g1208 +tp1714 +a(g144 +g1191 +tp1715 +a(g144 +g1059 +tp1716 +a(g7 +g1069 +tp1717 +a(g892 +V\u000a +p1718 +tp1719 +a(g7 +g1046 +tp1720 +a(g7 +g1069 +tp1721 +a(g892 +V\u000a +p1722 +tp1723 +a(g810 +Vin +p1724 +tp1725 +a(g892 +V\u000a\u000a +p1726 +tp1727 +a(g892 +Vstdenv +p1728 +tp1729 +a(g400 +g1042 +tp1730 +a(g892 +VmkDerivation +p1731 +tp1732 +a(g892 +g958 +tp1733 +a(g810 +Vrec +p1734 +tp1735 +a(g892 +g958 +tp1736 +a(g7 +g956 +tp1737 +a(g892 +V\u000a +p1738 +tp1739 +a(g104 +Vname = +p1740 +tp1741 +a(g892 +g958 +tp1742 +a(g144 +g1059 +tp1743 +a(g144 +g1097 +tp1744 +a(g144 +g1099 +tp1745 +a(g144 +g1101 +tp1746 +a(g144 +g1097 +tp1747 +a(g144 +g1104 +tp1748 +a(g144 +g1131 +tp1749 +a(g112 +V${ +p1750 +tp1751 +a(g892 +Vversion +p1752 +tp1753 +a(g112 +g1046 +tp1754 +a(g144 +g1059 +tp1755 +a(g7 +g1069 +tp1756 +a(g892 +V\u000a +p1757 +tp1758 +a(g104 +Vsrc = +p1759 +tp1760 +a(g892 +g958 +tp1761 +a(g892 +VmainSrc +p1762 +tp1763 +a(g7 +g1069 +tp1764 +a(g892 +V\u000a\u000a +p1765 +tp1766 +a(g104 +VbuildInputs = +p1767 +tp1768 +a(g892 +g958 +tp1769 +a(g7 +V[ +p1770 +tp1771 +a(g892 +g958 +tp1772 +a(g892 +Vopenssl +p1773 +tp1774 +a(g892 +g958 +tp1775 +a(g892 +Vzlib +p1776 +tp1777 +a(g892 +g958 +tp1778 +a(g892 +Vpcre +p1779 +tp1780 +a(g892 +g958 +tp1781 +a(g892 +Vlibxml2 +p1782 +tp1783 +a(g892 +g958 +tp1784 +a(g892 +Vlibxslt +p1785 +tp1786 +a(g892 +V\u000a +p1787 +tp1788 +a(g7 +V] +p1789 +tp1790 +a(g892 +g958 +tp1791 +a(g400 +V++ +p1792 +tp1793 +a(g892 +g958 +tp1794 +a(g892 +Vstdenv +p1795 +tp1796 +a(g400 +g1042 +tp1797 +a(g892 +Vlib +p1798 +tp1799 +a(g400 +g1042 +tp1800 +a(g892 +Voptional +p1801 +tp1802 +a(g892 +g958 +tp1803 +a(g892 +VfullWebDAV +p1804 +tp1805 +a(g892 +g958 +tp1806 +a(g892 +Vexpat +p1807 +tp1808 +a(g7 +g1069 +tp1809 +a(g892 +V\u000a\u000a +p1810 +tp1811 +a(g104 +Vpatches = +p1812 +tp1813 +a(g892 +g958 +tp1814 +a(g810 +Vif +p1815 +tp1816 +a(g892 +g958 +tp1817 +a(g892 +Vsyslog +p1818 +tp1819 +a(g892 +g958 +tp1820 +a(g810 +Vthen +p1821 +tp1822 +a(g892 +g958 +tp1823 +a(g7 +g1770 +tp1824 +a(g892 +g958 +tp1825 +a(g144 +g1059 +tp1826 +a(g112 +V${ +p1827 +tp1828 +a(g892 +Vsyslog-ext +p1829 +tp1830 +a(g112 +g1046 +tp1831 +a(g144 +g1094 +tp1832 +a(g144 +g1198 +tp1833 +a(g144 +g1335 +tp1834 +a(g144 +g1198 +tp1835 +a(g144 +g1119 +tp1836 +a(g144 +g1107 +tp1837 +a(g144 +g1099 +tp1838 +a(g144 +V_ +p1839 +tp1840 +a(g144 +g1061 +tp1841 +a(g144 +g1042 +tp1842 +a(g144 +g1064 +tp1843 +a(g144 +g1042 +tp1844 +a(g144 +g1211 +tp1845 +a(g144 +g1042 +tp1846 +a(g144 +g1091 +tp1847 +a(g144 +g1122 +tp1848 +a(g144 +g1088 +tp1849 +a(g144 +g1181 +tp1850 +a(g144 +g1086 +tp1851 +a(g144 +g1059 +tp1852 +a(g892 +g958 +tp1853 +a(g7 +g1789 +tp1854 +a(g892 +g958 +tp1855 +a(g810 +Velse +p1856 +tp1857 +a(g892 +g958 +tp1858 +a(g7 +g1770 +tp1859 +a(g7 +g1789 +tp1860 +a(g7 +g1069 +tp1861 +a(g892 +V\u000a\u000a +p1862 +tp1863 +a(g104 +VconfigureFlags = +p1864 +tp1865 +a(g892 +g958 +tp1866 +a(g7 +g1770 +tp1867 +a(g892 +V\u000a +p1868 +tp1869 +a(g144 +g1059 +tp1870 +a(g144 +g1131 +tp1871 +a(g144 +g1131 +tp1872 +a(g144 +g1116 +tp1873 +a(g144 +g1101 +tp1874 +a(g144 +g1088 +tp1875 +a(g144 +g1086 +tp1876 +a(g144 +g1131 +tp1877 +a(g144 +g1086 +tp1878 +a(g144 +g1088 +tp1879 +a(g144 +g1088 +tp1880 +a(g144 +g1091 +tp1881 +a(g144 +g1839 +tp1882 +a(g144 +g1198 +tp1883 +a(g144 +g1198 +tp1884 +a(g144 +g1119 +tp1885 +a(g144 +g1839 +tp1886 +a(g144 +g1165 +tp1887 +a(g144 +g1107 +tp1888 +a(g144 +g1113 +tp1889 +a(g144 +Vu +p1890 +tp1891 +a(g144 +g1119 +tp1892 +a(g144 +g1257 +tp1893 +a(g144 +g1059 +tp1894 +a(g892 +V\u000a +p1895 +tp1896 +a(g144 +g1059 +tp1897 +a(g144 +g1131 +tp1898 +a(g144 +g1131 +tp1899 +a(g144 +g1116 +tp1900 +a(g144 +g1101 +tp1901 +a(g144 +g1088 +tp1902 +a(g144 +g1086 +tp1903 +a(g144 +g1131 +tp1904 +a(g144 +g1086 +tp1905 +a(g144 +g1088 +tp1906 +a(g144 +g1088 +tp1907 +a(g144 +g1091 +tp1908 +a(g144 +g1839 +tp1909 +a(g144 +g1198 +tp1910 +a(g144 +g1091 +tp1911 +a(g144 +g1113 +tp1912 +a(g144 +g1335 +tp1913 +a(g144 +g1839 +tp1914 +a(g144 +g1165 +tp1915 +a(g144 +g1107 +tp1916 +a(g144 +g1113 +tp1917 +a(g144 +g1890 +tp1918 +a(g144 +g1119 +tp1919 +a(g144 +g1257 +tp1920 +a(g144 +g1059 +tp1921 +a(g892 +V\u000a +p1922 +tp1923 +a(g144 +g1059 +tp1924 +a(g144 +g1131 +tp1925 +a(g144 +g1131 +tp1926 +a(g144 +g1116 +tp1927 +a(g144 +g1101 +tp1928 +a(g144 +g1088 +tp1929 +a(g144 +g1086 +tp1930 +a(g144 +g1131 +tp1931 +a(g144 +g1086 +tp1932 +a(g144 +g1088 +tp1933 +a(g144 +g1088 +tp1934 +a(g144 +g1091 +tp1935 +a(g144 +g1839 +tp1936 +a(g144 +g1104 +tp1937 +a(g144 +g1198 +tp1938 +a(g144 +g1119 +tp1939 +a(g144 +g1088 +tp1940 +a(g144 +g1839 +tp1941 +a(g144 +g1165 +tp1942 +a(g144 +g1107 +tp1943 +a(g144 +g1113 +tp1944 +a(g144 +g1890 +tp1945 +a(g144 +g1119 +tp1946 +a(g144 +g1257 +tp1947 +a(g144 +g1059 +tp1948 +a(g892 +V\u000a +p1949 +tp1950 +a(g144 +g1059 +tp1951 +a(g144 +g1131 +tp1952 +a(g144 +g1131 +tp1953 +a(g144 +g1116 +tp1954 +a(g144 +g1101 +tp1955 +a(g144 +g1088 +tp1956 +a(g144 +g1086 +tp1957 +a(g144 +g1131 +tp1958 +a(g144 +g1086 +tp1959 +a(g144 +g1088 +tp1960 +a(g144 +g1088 +tp1961 +a(g144 +g1091 +tp1962 +a(g144 +g1839 +tp1963 +a(g144 +g1198 +tp1964 +a(g144 +g1890 +tp1965 +a(g144 +g1201 +tp1966 +a(g144 +g1839 +tp1967 +a(g144 +g1165 +tp1968 +a(g144 +g1107 +tp1969 +a(g144 +g1113 +tp1970 +a(g144 +g1890 +tp1971 +a(g144 +g1119 +tp1972 +a(g144 +g1257 +tp1973 +a(g144 +g1059 +tp1974 +a(g892 +V\u000a +p1975 +tp1976 +a(g144 +g1059 +tp1977 +a(g144 +g1131 +tp1978 +a(g144 +g1131 +tp1979 +a(g144 +g1116 +tp1980 +a(g144 +g1101 +tp1981 +a(g144 +g1088 +tp1982 +a(g144 +g1086 +tp1983 +a(g144 +g1131 +tp1984 +a(g144 +g1086 +tp1985 +a(g144 +g1088 +tp1986 +a(g144 +g1088 +tp1987 +a(g144 +g1091 +tp1988 +a(g144 +g1839 +tp1989 +a(g144 +g1113 +tp1990 +a(g144 +g1122 +tp1991 +a(g144 +g1171 +tp1992 +a(g144 +g1839 +tp1993 +a(g144 +g1165 +tp1994 +a(g144 +g1107 +tp1995 +a(g144 +g1113 +tp1996 +a(g144 +g1890 +tp1997 +a(g144 +g1119 +tp1998 +a(g144 +g1257 +tp1999 +a(g144 +g1059 +tp2000 +a(g892 +V\u000a +p2001 +tp2002 +a(g144 +g1059 +tp2003 +a(g144 +g1131 +tp2004 +a(g144 +g1131 +tp2005 +a(g144 +g1116 +tp2006 +a(g144 +g1101 +tp2007 +a(g144 +g1088 +tp2008 +a(g144 +g1086 +tp2009 +a(g144 +g1131 +tp2010 +a(g144 +g1086 +tp2011 +a(g144 +g1088 +tp2012 +a(g144 +g1088 +tp2013 +a(g144 +g1091 +tp2014 +a(g144 +g1839 +tp2015 +a(g144 +g1099 +tp2016 +a(g144 +g1144 +tp2017 +a(g144 +g1101 +tp2018 +a(g144 +g1091 +tp2019 +a(g144 +g1839 +tp2020 +a(g144 +g1198 +tp2021 +a(g144 +g1088 +tp2022 +a(g144 +g1122 +tp2023 +a(g144 +g1088 +tp2024 +a(g144 +g1101 +tp2025 +a(g144 +g1181 +tp2026 +a(g144 +g1839 +tp2027 +a(g144 +g1165 +tp2028 +a(g144 +g1107 +tp2029 +a(g144 +g1113 +tp2030 +a(g144 +g1890 +tp2031 +a(g144 +g1119 +tp2032 +a(g144 +g1257 +tp2033 +a(g144 +g1059 +tp2034 +a(g892 +V\u000a +p2035 +tp2036 +a(g144 +g1059 +tp2037 +a(g144 +g1131 +tp2038 +a(g144 +g1131 +tp2039 +a(g144 +g1116 +tp2040 +a(g144 +g1101 +tp2041 +a(g144 +g1088 +tp2042 +a(g144 +g1086 +tp2043 +a(g144 +g1131 +tp2044 +a(g144 +g1086 +tp2045 +a(g144 +g1088 +tp2046 +a(g144 +g1088 +tp2047 +a(g144 +g1091 +tp2048 +a(g144 +g1839 +tp2049 +a(g144 +g1198 +tp2050 +a(g144 +g1257 +tp2051 +a(g144 +g1181 +tp2052 +a(g144 +g1890 +tp2053 +a(g144 +g1109 +tp2054 +a(g144 +g1257 +tp2055 +a(g144 +g1839 +tp2056 +a(g144 +g1119 +tp2057 +a(g144 +g1101 +tp2058 +a(g144 +g1097 +tp2059 +a(g144 +g1303 +tp2060 +a(g144 +g1839 +tp2061 +a(g144 +g1165 +tp2062 +a(g144 +g1107 +tp2063 +a(g144 +g1113 +tp2064 +a(g144 +g1890 +tp2065 +a(g144 +g1119 +tp2066 +a(g144 +g1257 +tp2067 +a(g144 +g1059 +tp2068 +a(g892 +V\u000a +p2069 +tp2070 +a(g144 +g1059 +tp2071 +a(g144 +g1131 +tp2072 +a(g144 +g1131 +tp2073 +a(g144 +g1116 +tp2074 +a(g144 +g1101 +tp2075 +a(g144 +g1088 +tp2076 +a(g144 +g1086 +tp2077 +a(g144 +g1131 +tp2078 +a(g144 +g1101 +tp2079 +a(g144 +g1091 +tp2080 +a(g144 +g1171 +tp2081 +a(g144 +g1218 +tp2082 +a(g144 +g1059 +tp2083 +a(g892 +V\u000a +p2084 +tp2085 +a(g729 +V# Install destination problems +p2086 +tp2087 +a(g892 +V\u000a +p2088 +tp2089 +a(g729 +V# "--with-http_perl_module" +p2090 +tp2091 +a(g892 +V\u000a +p2092 +tp2093 +a(g7 +g1789 +tp2094 +a(g892 +g958 +tp2095 +a(g400 +V++ +p2096 +tp2097 +a(g892 +g958 +tp2098 +a(g892 +Vstdenv +p2099 +tp2100 +a(g400 +g1042 +tp2101 +a(g892 +Vlib +p2102 +tp2103 +a(g400 +g1042 +tp2104 +a(g892 +Voptional +p2105 +tp2106 +a(g892 +g958 +tp2107 +a(g892 +Vrtmp +p2108 +tp2109 +a(g892 +g958 +tp2110 +a(g144 +g1059 +tp2111 +a(g144 +g1131 +tp2112 +a(g144 +g1131 +tp2113 +a(g144 +g1122 +tp2114 +a(g144 +g1113 +tp2115 +a(g144 +g1113 +tp2116 +a(g144 +g1131 +tp2117 +a(g144 +g1165 +tp2118 +a(g144 +g1107 +tp2119 +a(g144 +g1113 +tp2120 +a(g144 +g1890 +tp2121 +a(g144 +g1119 +tp2122 +a(g144 +g1257 +tp2123 +a(g144 +V= +p2124 +tp2125 +a(g112 +V${ +p2126 +tp2127 +a(g892 +Vrtmp-ext +p2128 +tp2129 +a(g112 +g1046 +tp2130 +a(g144 +g1059 +tp2131 +a(g892 +V\u000a +p2132 +tp2133 +a(g400 +V++ +p2134 +tp2135 +a(g892 +g958 +tp2136 +a(g892 +Vstdenv +p2137 +tp2138 +a(g400 +g1042 +tp2139 +a(g892 +Vlib +p2140 +tp2141 +a(g400 +g1042 +tp2142 +a(g892 +Voptional +p2143 +tp2144 +a(g892 +g958 +tp2145 +a(g892 +VfullWebDAV +p2146 +tp2147 +a(g892 +g958 +tp2148 +a(g144 +g1059 +tp2149 +a(g144 +g1131 +tp2150 +a(g144 +g1131 +tp2151 +a(g144 +g1122 +tp2152 +a(g144 +g1113 +tp2153 +a(g144 +g1113 +tp2154 +a(g144 +g1131 +tp2155 +a(g144 +g1165 +tp2156 +a(g144 +g1107 +tp2157 +a(g144 +g1113 +tp2158 +a(g144 +g1890 +tp2159 +a(g144 +g1119 +tp2160 +a(g144 +g1257 +tp2161 +a(g144 +g2124 +tp2162 +a(g112 +V${ +p2163 +tp2164 +a(g892 +Vdav-ext +p2165 +tp2166 +a(g112 +g1046 +tp2167 +a(g144 +g1059 +tp2168 +a(g892 +V\u000a +p2169 +tp2170 +a(g400 +V++ +p2171 +tp2172 +a(g892 +g958 +tp2173 +a(g892 +Vstdenv +p2174 +tp2175 +a(g400 +g1042 +tp2176 +a(g892 +Vlib +p2177 +tp2178 +a(g400 +g1042 +tp2179 +a(g892 +Voptional +p2180 +tp2181 +a(g892 +g958 +tp2182 +a(g892 +Vsyslog +p2183 +tp2184 +a(g892 +g958 +tp2185 +a(g144 +g1059 +tp2186 +a(g144 +g1131 +tp2187 +a(g144 +g1131 +tp2188 +a(g144 +g1122 +tp2189 +a(g144 +g1113 +tp2190 +a(g144 +g1113 +tp2191 +a(g144 +g1131 +tp2192 +a(g144 +g1165 +tp2193 +a(g144 +g1107 +tp2194 +a(g144 +g1113 +tp2195 +a(g144 +g1890 +tp2196 +a(g144 +g1119 +tp2197 +a(g144 +g1257 +tp2198 +a(g144 +g2124 +tp2199 +a(g112 +V${ +p2200 +tp2201 +a(g892 +Vsyslog-ext +p2202 +tp2203 +a(g112 +g1046 +tp2204 +a(g144 +g1059 +tp2205 +a(g892 +V\u000a +p2206 +tp2207 +a(g400 +V++ +p2208 +tp2209 +a(g892 +g958 +tp2210 +a(g892 +Vstdenv +p2211 +tp2212 +a(g400 +g1042 +tp2213 +a(g892 +Vlib +p2214 +tp2215 +a(g400 +g1042 +tp2216 +a(g892 +Voptional +p2217 +tp2218 +a(g892 +g958 +tp2219 +a(g892 +Vmoreheaders +p2220 +tp2221 +a(g892 +g958 +tp2222 +a(g144 +g1059 +tp2223 +a(g144 +g1131 +tp2224 +a(g144 +g1131 +tp2225 +a(g144 +g1122 +tp2226 +a(g144 +g1113 +tp2227 +a(g144 +g1113 +tp2228 +a(g144 +g1131 +tp2229 +a(g144 +g1165 +tp2230 +a(g144 +g1107 +tp2231 +a(g144 +g1113 +tp2232 +a(g144 +g1890 +tp2233 +a(g144 +g1119 +tp2234 +a(g144 +g1257 +tp2235 +a(g144 +g2124 +tp2236 +a(g112 +V${ +p2237 +tp2238 +a(g892 +Vmoreheaders-ext +p2239 +tp2240 +a(g112 +g1046 +tp2241 +a(g144 +g1059 +tp2242 +a(g7 +g1069 +tp2243 +a(g892 +V\u000a\u000a +p2244 +tp2245 +a(g104 +VpreConfigure = +p2246 +tp2247 +a(g892 +g958 +tp2248 +a(g217 +V'' +p2249 +tp2250 +a(g217 +V\u000a +p2251 +tp2252 +a(g217 +g958 +tp2253 +a(g217 +g958 +tp2254 +a(g217 +g958 +tp2255 +a(g217 +g958 +tp2256 +a(g217 +g1257 +tp2257 +a(g217 +g1104 +tp2258 +a(g217 +g1091 +tp2259 +a(g217 +g1107 +tp2260 +a(g217 +g1109 +tp2261 +a(g217 +g1088 +tp2262 +a(g217 +g958 +tp2263 +a(g217 +VN +p2264 +tp2265 +a(g217 +VI +p2266 +tp2267 +a(g217 +VX +p2268 +tp2269 +a(g217 +g1839 +tp2270 +a(g217 +VC +p2271 +tp2272 +a(g217 +VF +p2273 +tp2274 +a(g217 +VL +p2275 +tp2276 +a(g217 +VA +p2277 +tp2278 +a(g217 +VG +p2279 +tp2280 +a(g217 +VS +p2281 +tp2282 +a(g217 +g1839 +tp2283 +a(g217 +g2271 +tp2284 +a(g217 +VO +p2285 +tp2286 +a(g217 +VM +p2287 +tp2288 +a(g217 +VP +p2289 +tp2290 +a(g217 +g2266 +tp2291 +a(g217 +g2275 +tp2292 +a(g217 +VE +p2293 +tp2294 +a(g217 +g2124 +tp2295 +a(g217 +g1059 +tp2296 +a(g217 +V$ +p2297 +tp2298 +a(g217 +g2264 +tp2299 +a(g217 +g2266 +tp2300 +a(g217 +g2268 +tp2301 +a(g217 +g1839 +tp2302 +a(g217 +g2271 +tp2303 +a(g217 +g2273 +tp2304 +a(g217 +g2275 +tp2305 +a(g217 +g2277 +tp2306 +a(g217 +g2279 +tp2307 +a(g217 +g2281 +tp2308 +a(g217 +g1839 +tp2309 +a(g217 +g2271 +tp2310 +a(g217 +g2285 +tp2311 +a(g217 +g2287 +tp2312 +a(g217 +g2289 +tp2313 +a(g217 +g2266 +tp2314 +a(g217 +g2275 +tp2315 +a(g217 +g2293 +tp2316 +a(g217 +g958 +tp2317 +a(g217 +g1131 +tp2318 +a(g217 +g2266 +tp2319 +a(g112 +V${ +p2320 +tp2321 +a(g892 +Vlibxml2 +p2322 +tp2323 +a(g892 +g958 +tp2324 +a(g112 +g1046 +tp2325 +a(g217 +g1094 +tp2326 +a(g217 +g1101 +tp2327 +a(g217 +g1097 +tp2328 +a(g217 +g1181 +tp2329 +a(g217 +g1119 +tp2330 +a(g217 +g1890 +tp2331 +a(g217 +g1113 +tp2332 +a(g217 +g1257 +tp2333 +a(g217 +g1094 +tp2334 +a(g217 +g1119 +tp2335 +a(g217 +g1101 +tp2336 +a(g217 +g1201 +tp2337 +a(g217 +g1104 +tp2338 +a(g217 +g1165 +tp2339 +a(g217 +g1119 +tp2340 +a(g217 +g1159 +tp2341 +a(g217 +g1059 +tp2342 +a(g217 +V\u000a +p2343 +tp2344 +a(g217 +g958 +tp2345 +a(g217 +g958 +tp2346 +a(g217 +V'' +p2347 +tp2348 +a(g7 +g1069 +tp2349 +a(g892 +V\u000a\u000a +p2350 +tp2351 +a(g729 +V# escape example +p2352 +tp2353 +a(g892 +V\u000a +p2354 +tp2355 +a(g104 +VpostInstall = +p2356 +tp2357 +a(g892 +g958 +tp2358 +a(g217 +V'' +p2359 +tp2360 +a(g217 +V\u000a +p2361 +tp2362 +a(g217 +g958 +tp2363 +a(g217 +g958 +tp2364 +a(g217 +g958 +tp2365 +a(g217 +g958 +tp2366 +a(g217 +g1165 +tp2367 +a(g217 +g1171 +tp2368 +a(g217 +g958 +tp2369 +a(g217 +g2297 +tp2370 +a(g217 +g1107 +tp2371 +a(g217 +g1890 +tp2372 +a(g217 +g1088 +tp2373 +a(g217 +g1094 +tp2374 +a(g217 +g1198 +tp2375 +a(g217 +g1201 +tp2376 +a(g217 +g1101 +tp2377 +a(g217 +g1097 +tp2378 +a(g217 +g958 +tp2379 +a(g217 +g2297 +tp2380 +a(g217 +g1107 +tp2381 +a(g217 +g1890 +tp2382 +a(g217 +g1088 +tp2383 +a(g217 +g1094 +tp2384 +a(g217 +g1201 +tp2385 +a(g217 +g1101 +tp2386 +a(g217 +g1097 +tp2387 +a(g217 +g958 +tp2388 +a(g200 +V''' +p2389 +tp2390 +a(g217 +g958 +tp2391 +a(g200 +V''${ +p2392 +tp2393 +a(g217 +V\u000a +p2394 +tp2395 +a(g217 +g958 +tp2396 +a(g217 +g958 +tp2397 +a(g217 +g958 +tp2398 +a(g112 +V${ +p2399 +tp2400 +a(g892 +g958 +tp2401 +a(g810 +Vif +p2402 +tp2403 +a(g892 +g958 +tp2404 +a(g553 +Vtrue +p2405 +tp2406 +a(g892 +g958 +tp2407 +a(g810 +Vthen +p2408 +tp2409 +a(g892 +g958 +tp2410 +a(g112 +V${ +p2411 +tp2412 +a(g892 +g958 +tp2413 +a(g144 +g1059 +tp2414 +a(g144 +g1059 +tp2415 +a(g892 +g958 +tp2416 +a(g112 +g1046 +tp2417 +a(g892 +g958 +tp2418 +a(g810 +Velse +p2419 +tp2420 +a(g892 +g958 +tp2421 +a(g553 +Vfalse +p2422 +tp2423 +a(g892 +g958 +tp2424 +a(g112 +g1046 +tp2425 +a(g217 +V\u000a +p2426 +tp2427 +a(g217 +g958 +tp2428 +a(g217 +g958 +tp2429 +a(g217 +V'' +p2430 +tp2431 +a(g7 +g1069 +tp2432 +a(g892 +V\u000a\u000a +p2433 +tp2434 +a(g104 +Vmeta = +p2435 +tp2436 +a(g892 +g958 +tp2437 +a(g7 +g956 +tp2438 +a(g892 +V\u000a +p2439 +tp2440 +a(g104 +Vdescription = +p2441 +tp2442 +a(g892 +g958 +tp2443 +a(g144 +g1059 +tp2444 +a(g144 +g2277 +tp2445 +a(g144 +g958 +tp2446 +a(g144 +g1109 +tp2447 +a(g144 +g1257 +tp2448 +a(g144 +g1171 +tp2449 +a(g144 +g1257 +tp2450 +a(g144 +g1109 +tp2451 +a(g144 +g1198 +tp2452 +a(g144 +g1257 +tp2453 +a(g144 +g958 +tp2454 +a(g144 +g1091 +tp2455 +a(g144 +g1109 +tp2456 +a(g144 +g1107 +tp2457 +a(g144 +g1104 +tp2458 +a(g144 +g1335 +tp2459 +a(g144 +g958 +tp2460 +a(g144 +g1122 +tp2461 +a(g144 +g1097 +tp2462 +a(g144 +g1113 +tp2463 +a(g144 +g958 +tp2464 +a(g144 +g1119 +tp2465 +a(g144 +g1101 +tp2466 +a(g144 +g1099 +tp2467 +a(g144 +g1086 +tp2468 +a(g144 +g1088 +tp2469 +a(g144 +g1116 +tp2470 +a(g144 +g1257 +tp2471 +a(g144 +g1101 +tp2472 +a(g144 +g1099 +tp2473 +a(g144 +g1086 +tp2474 +a(g144 +g1088 +tp2475 +a(g144 +g958 +tp2476 +a(g144 +g1116 +tp2477 +a(g144 +g1257 +tp2478 +a(g144 +g1201 +tp2479 +a(g144 +g1198 +tp2480 +a(g144 +g1257 +tp2481 +a(g144 +g1109 +tp2482 +a(g144 +g1171 +tp2483 +a(g144 +g1257 +tp2484 +a(g144 +g1109 +tp2485 +a(g144 +g1059 +tp2486 +a(g7 +g1069 +tp2487 +a(g892 +V\u000a +p2488 +tp2489 +a(g104 +Vmaintainers = +p2490 +tp2491 +a(g892 +g958 +tp2492 +a(g7 +g1770 +tp2493 +a(g892 +g958 +tp2494 +a(g892 +Vstdenv +p2495 +tp2496 +a(g400 +g1042 +tp2497 +a(g892 +Vlib +p2498 +tp2499 +a(g400 +g1042 +tp2500 +a(g892 +Vmaintainers +p2501 +tp2502 +a(g400 +g1042 +tp2503 +a(g892 +Vraskin +p2504 +tp2505 +a(g7 +g1789 +tp2506 +a(g7 +g1069 +tp2507 +a(g892 +V\u000a +p2508 +tp2509 +a(g104 +Vplatforms = +p2510 +tp2511 +a(g892 +g958 +tp2512 +a(g892 +Vstdenv +p2513 +tp2514 +a(g400 +g1042 +tp2515 +a(g892 +Vlib +p2516 +tp2517 +a(g400 +g1042 +tp2518 +a(g892 +Vplatforms +p2519 +tp2520 +a(g400 +g1042 +tp2521 +a(g892 +Vall +p2522 +tp2523 +a(g7 +g1069 +tp2524 +a(g892 +V\u000a +p2525 +tp2526 +a(g810 +Vinherit +p2527 +tp2528 +a(g892 +g958 +tp2529 +a(g892 +Vversion +p2530 +tp2531 +a(g7 +g1069 +tp2532 +a(g892 +V\u000a +p2533 +tp2534 +a(g7 +g1046 +tp2535 +a(g7 +g1069 +tp2536 +a(g892 +V\u000a +p2537 +tp2538 +a(g7 +g1046 +tp2539 +a(g892 +V\u000a +p2540 +tp2541 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.ns2 b/tests/examplefiles/output/example.ns2 new file mode 100644 index 0000000..5249576 --- /dev/null +++ b/tests/examplefiles/output/example.ns2 @@ -0,0 +1,3320 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Declaration' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsS'Reserved' +p928 +g1 +(g2 +g3 +(g4 +g928 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbsg34 +g35 +((lp936 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag894 +ag8 +ag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag931 +ag916 +atp951 +Rp952 +sg4 +g946 +sg5 +g8 +sg416 +g939 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVNewsqueak2 +p956 +tp957 +a(g831 +V\u000a +p958 +tp959 +a(g81 +V'Langexplr\u000a' +p960 +tp961 +a(g831 +V\u000a\u000a +p962 +tp963 +a(g8 +Vclass +p964 +tp965 +a(g831 +V +p966 +tp967 +a(g621 +VShapesExperiment +p968 +tp969 +a(g831 +g966 +tp970 +a(g566 +VusingLib: +p971 +tp972 +a(g831 +g966 +tp973 +a(g433 +Vplatform +p974 +tp975 +a(g831 +g966 +tp976 +a(g405 +V= +p977 +tp978 +a(g831 +g966 +tp979 +a(g698 +V( +p980 +tp981 +a(g831 +V\u000a +p982 +tp983 +a(g714 +V"A small experiment for using Hopscotch shape classes ." +p984 +tp985 +a(g831 +V\u000a +p986 +tp987 +a(g405 +V| +p988 +tp989 +a(g831 +V\u000a +p990 +tp991 +a(g659 +VCanvasDependent +p992 +tp993 +a(g831 +g966 +tp994 +a(g405 +g977 +tp995 +a(g831 +g966 +tp996 +a(g433 +Vplatform +p997 +tp998 +a(g831 +g966 +tp999 +a(g433 +VCanvasDependent +p1000 +tp1001 +a(g698 +V. +p1002 +tp1003 +a(g831 +V\u000a +p1004 +tp1005 +a(g659 +VPresenter +p1006 +tp1007 +a(g831 +g966 +tp1008 +a(g405 +g977 +tp1009 +a(g831 +g966 +tp1010 +a(g433 +Vplatform +p1011 +tp1012 +a(g831 +g966 +tp1013 +a(g433 +VHPresenter +p1014 +tp1015 +a(g698 +g1002 +tp1016 +a(g831 +V\u000a +p1017 +tp1018 +a(g659 +VSubject +p1019 +tp1020 +a(g831 +g966 +tp1021 +a(g405 +g977 +tp1022 +a(g831 +g966 +tp1023 +a(g433 +Vplatform +p1024 +tp1025 +a(g831 +g966 +tp1026 +a(g433 +VSubject +p1027 +tp1028 +a(g698 +g1002 +tp1029 +a(g831 +V\u000a +p1030 +tp1031 +a(g659 +VEllipseShape +p1032 +tp1033 +a(g831 +g966 +tp1034 +a(g405 +g977 +tp1035 +a(g831 +g966 +tp1036 +a(g433 +Vplatform +p1037 +tp1038 +a(g831 +g966 +tp1039 +a(g433 +VEllipseShape +p1040 +tp1041 +a(g698 +g1002 +tp1042 +a(g831 +V\u000a +p1043 +tp1044 +a(g659 +VColor +p1045 +tp1046 +a(g831 +g966 +tp1047 +a(g405 +g977 +tp1048 +a(g831 +g966 +tp1049 +a(g433 +Vplatform +p1050 +tp1051 +a(g831 +g966 +tp1052 +a(g433 +VColor +p1053 +tp1054 +a(g698 +g1002 +tp1055 +a(g831 +V\u000a +p1056 +tp1057 +a(g405 +g988 +tp1058 +a(g831 +V\u000a +p1059 +tp1060 +a(g698 +V) +p1061 +tp1062 +a(g831 +V\u000a +p1063 +tp1064 +a(g698 +g980 +tp1065 +a(g831 +V\u000a\u000a +p1066 +tp1067 +a(g8 +Vclass +p1068 +tp1069 +a(g831 +g966 +tp1070 +a(g621 +VShapesExperimentSubject +p1071 +tp1072 +a(g831 +g966 +tp1073 +a(g405 +g977 +tp1074 +a(g831 +g966 +tp1075 +a(g433 +VSubject +p1076 +tp1077 +a(g698 +g980 +tp1078 +a(g831 +V\u000a +p1079 +tp1080 +a(g714 +V"Subject for shapes experiment" +p1081 +tp1082 +a(g831 +V\u000a +p1083 +tp1084 +a(g405 +g988 +tp1085 +a(g831 +V\u000a\u000a +p1086 +tp1087 +a(g405 +g988 +tp1088 +a(g831 +V\u000a +p1089 +tp1090 +a(g698 +g1061 +tp1091 +a(g831 +V\u000a +p1092 +tp1093 +a(g698 +g980 +tp1094 +a(g81 +V'as yet unclassified' +p1095 +tp1096 +a(g831 +V\u000a +p1097 +tp1098 +a(g659 +VcreatePresenter +p1099 +tp1100 +a(g831 +g966 +tp1101 +a(g405 +g977 +tp1102 +a(g831 +g966 +tp1103 +a(g698 +g980 +tp1104 +a(g831 +V\u000a +p1105 +tp1106 +a(g405 +V^ +p1107 +tp1108 +a(g433 +VShapesExperimentPresenter +p1109 +tp1110 +a(g831 +g966 +tp1111 +a(g433 +Vnew +p1112 +tp1113 +a(g831 +g966 +tp1114 +a(g566 +Vsubject: +p1115 +tp1116 +a(g831 +g966 +tp1117 +a(g433 +Vself +p1118 +tp1119 +a(g698 +g1002 +tp1120 +a(g831 +V\u000a +p1121 +tp1122 +a(g698 +g1061 +tp1123 +a(g831 +V\u000a\u000a +p1124 +tp1125 +a(g698 +g1061 +tp1126 +a(g831 +V\u000a\u000a +p1127 +tp1128 +a(g8 +Vclass +p1129 +tp1130 +a(g831 +g966 +tp1131 +a(g621 +VShapesExperimentPresenter +p1132 +tp1133 +a(g831 +g966 +tp1134 +a(g405 +g977 +tp1135 +a(g831 +g966 +tp1136 +a(g433 +VPresenter +p1137 +tp1138 +a(g831 +g966 +tp1139 +a(g698 +g980 +tp1140 +a(g831 +V\u000a +p1141 +tp1142 +a(g714 +V"A presenter for a small experiment of using shape classes" +p1143 +tp1144 +a(g831 +V\u000a +p1145 +tp1146 +a(g405 +g988 +tp1147 +a(g831 +V\u000a +p1148 +tp1149 +a(g405 +g988 +tp1150 +a(g831 +V\u000a +p1151 +tp1152 +a(g698 +g1061 +tp1153 +a(g831 +V\u000a +p1154 +tp1155 +a(g698 +g980 +tp1156 +a(g81 +V'as yet unclassified' +p1157 +tp1158 +a(g831 +V\u000a +p1159 +tp1160 +a(g659 +VcontrolPoint +p1161 +tp1162 +a(g831 +g966 +tp1163 +a(g405 +g977 +tp1164 +a(g831 +g966 +tp1165 +a(g698 +g980 +tp1166 +a(g831 +V\u000a +p1167 +tp1168 +a(g405 +g1107 +tp1169 +a(g433 +VControlPoint +p1170 +tp1171 +a(g831 +g966 +tp1172 +a(g433 +Vnew +p1173 +tp1174 +a(g698 +g1002 +tp1175 +a(g831 +V\u000a +p1176 +tp1177 +a(g698 +g1061 +tp1178 +a(g831 +V\u000a\u000a +p1179 +tp1180 +a(g659 +Vdefinition +p1181 +tp1182 +a(g831 +g966 +tp1183 +a(g405 +g977 +tp1184 +a(g831 +g966 +tp1185 +a(g698 +g980 +tp1186 +a(g831 +V\u000a +p1187 +tp1188 +a(g405 +g1107 +tp1189 +a(g831 +g966 +tp1190 +a(g566 +Vcolumn: +p1191 +tp1192 +a(g831 +g966 +tp1193 +a(g698 +V{ +p1194 +tp1195 +a(g831 +V\u000a +p1196 +tp1197 +a(g566 +Vcanvas: +p1198 +tp1199 +a(g831 +g966 +tp1200 +a(g698 +g1194 +tp1201 +a(g831 +V\u000a +p1202 +tp1203 +a(g566 +Vat: +p1204 +tp1205 +a(g831 +g966 +tp1206 +a(g27 +V10 +p1207 +tp1208 +a(g831 +g966 +tp1209 +a(g405 +V@ +p1210 +tp1211 +a(g831 +g966 +tp1212 +a(g27 +V10 +p1213 +tp1214 +a(g831 +g966 +tp1215 +a(g566 +Vdisplay: +p1216 +tp1217 +a(g831 +g966 +tp1218 +a(g433 +VcontrolPoint +p1219 +tp1220 +a(g698 +g1002 +tp1221 +a(g831 +V \u000a +p1222 +tp1223 +a(g566 +Vat: +p1224 +tp1225 +a(g831 +g966 +tp1226 +a(g27 +V15 +p1227 +tp1228 +a(g831 +g966 +tp1229 +a(g405 +g1210 +tp1230 +a(g831 +g966 +tp1231 +a(g27 +V10 +p1232 +tp1233 +a(g831 +g966 +tp1234 +a(g566 +Vdisplay: +p1235 +tp1236 +a(g831 +g966 +tp1237 +a(g433 +VcontrolPoint +p1238 +tp1239 +a(g698 +g1002 +tp1240 +a(g831 +V\u000a +p1241 +tp1242 +a(g698 +V} +p1243 +tp1244 +a(g831 +V\u000a +p1245 +tp1246 +a(g698 +g1243 +tp1247 +a(g831 +V\u000a +p1248 +tp1249 +a(g698 +g1061 +tp1250 +a(g831 +V\u000a\u000a +p1251 +tp1252 +a(g698 +g1061 +tp1253 +a(g831 +V\u000a\u000a +p1254 +tp1255 +a(g8 +Vclass +p1256 +tp1257 +a(g831 +g966 +tp1258 +a(g621 +VControlPoint +p1259 +tp1260 +a(g831 +g966 +tp1261 +a(g405 +g977 +tp1262 +a(g831 +g966 +tp1263 +a(g433 +VCanvasDependent +p1264 +tp1265 +a(g698 +g980 +tp1266 +a(g831 +V\u000a +p1267 +tp1268 +a(g714 +V"A class that represents a small point in the screen" +p1269 +tp1270 +a(g831 +V\u000a +p1271 +tp1272 +a(g405 +g988 +tp1273 +a(g831 +V\u000a +p1274 +tp1275 +a(g405 +g988 +tp1276 +a(g831 +V\u000a +p1277 +tp1278 +a(g698 +g1061 +tp1279 +a(g831 +V\u000a +p1280 +tp1281 +a(g698 +g980 +tp1282 +a(g81 +V'as yet unclassified' +p1283 +tp1284 +a(g831 +V\u000a +p1285 +tp1286 +a(g566 +VaddVisualsTo: +p1287 +tp1288 +a(g831 +g966 +tp1289 +a(g433 +Vcontainer +p1290 +tp1291 +a(g831 +g966 +tp1292 +a(g405 +g977 +tp1293 +a(g831 +g966 +tp1294 +a(g698 +g980 +tp1295 +a(g831 +V\u000a +p1296 +tp1297 +a(g433 +Vcontainer +p1298 +tp1299 +a(g831 +g966 +tp1300 +a(g566 +Vadd: +p1301 +tp1302 +a(g831 +g966 +tp1303 +a(g433 +Vvisual +p1304 +tp1305 +a(g698 +g1002 +tp1306 +a(g831 +V\u000a +p1307 +tp1308 +a(g433 +VupdateLayout +p1309 +tp1310 +a(g698 +g1002 +tp1311 +a(g831 +V\u000a +p1312 +tp1313 +a(g698 +g1061 +tp1314 +a(g831 +V\u000a\u000a +p1315 +tp1316 +a(g659 +VcreateVisual +p1317 +tp1318 +a(g831 +g966 +tp1319 +a(g405 +g977 +tp1320 +a(g831 +g966 +tp1321 +a(g698 +g980 +tp1322 +a(g831 +V\u000a +p1323 +tp1324 +a(g405 +g988 +tp1325 +a(g831 +g966 +tp1326 +a(g433 +Vs +p1327 +tp1328 +a(g831 +g966 +tp1329 +a(g405 +g988 +tp1330 +a(g831 +V\u000a +p1331 +tp1332 +a(g433 +g1327 +tp1333 +a(g405 +V:: +p1334 +tp1335 +a(g831 +g966 +tp1336 +a(g433 +VEllipseShape +p1337 +tp1338 +a(g831 +g966 +tp1339 +a(g433 +Vnew +p1340 +tp1341 +a(g831 +g966 +tp1342 +a(g566 +Vsize: +p1343 +tp1344 +a(g831 +g966 +tp1345 +a(g27 +V5 +p1346 +tp1347 +a(g405 +g1210 +tp1348 +a(g27 +g1346 +tp1349 +a(g831 +g966 +tp1350 +a(g698 +g1002 +tp1351 +a(g831 +V\u000a +p1352 +tp1353 +a(g433 +g1327 +tp1354 +a(g831 +g966 +tp1355 +a(g566 +Vcolor: +p1356 +tp1357 +a(g831 +g966 +tp1358 +a(g433 +VColor +p1359 +tp1360 +a(g831 +g966 +tp1361 +a(g433 +Vred +p1362 +tp1363 +a(g698 +g1002 +tp1364 +a(g831 +V\u000a +p1365 +tp1366 +a(g405 +g1107 +tp1367 +a(g831 +g966 +tp1368 +a(g433 +g1327 +tp1369 +a(g831 +V\u000a +p1370 +tp1371 +a(g698 +g1061 +tp1372 +a(g831 +V\u000a\u000a +p1373 +tp1374 +a(g698 +g1061 +tp1375 +a(g698 +g1061 +tp1376 +a(g831 +V\u000a +p1377 +tp1378 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.pas b/tests/examplefiles/output/example.pas new file mode 100644 index 0000000..0701b12 --- /dev/null +++ b/tests/examplefiles/output/example.pas @@ -0,0 +1,78846 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// vim:ft=pascal +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g744 +Vunit +p960 +tp961 +a(g826 +V +p962 +tp963 +a(g435 +VYTools +p964 +tp965 +a(g412 +V; +p966 +tp967 +a(g826 +V\u000a\u000a +p968 +tp969 +a(g929 +V{===============================================================================\u000a\u000a cYcnus.YTools 1.0.3 Beta for Delphi 4+\u000a by licenser and Murphy\u000a\u000a ©2000-2003 by cYcnus\u000a visit www.cYcnus.de\u000a\u000a licenser@cYcnus.de (Heinz N. Gies)\u000a murphy@cYcnus.de (Kornelius Kalnbach)\u000a \u000a this unit is published under the terms of the GPL\u000a\u000a===============================================================================} +p970 +tp971 +a(g826 +V\u000a\u000a +p972 +tp973 +a(g744 +Vinterface +p974 +tp975 +a(g826 +V\u000a\u000a +p976 +tp977 +a(g744 +Vuses +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g435 +VWindows +p982 +tp983 +a(g412 +V, +p984 +tp985 +a(g826 +g962 +tp986 +a(g435 +VSysUtils +p987 +tp988 +a(g412 +g984 +tp989 +a(g826 +g962 +tp990 +a(g435 +VClasses +p991 +tp992 +a(g412 +g984 +tp993 +a(g826 +g962 +tp994 +a(g435 +VYTypes +p995 +tp996 +a(g412 +g966 +tp997 +a(g826 +V\u000a\u000a +p998 +tp999 +a(g744 +Vconst +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g435 +VBackSpace +p1004 +tp1005 +a(g826 +g962 +tp1006 +a(g412 +V= +p1007 +tp1008 +a(g826 +g962 +tp1009 +a(g93 +V#8 +p1010 +tp1011 +a(g412 +g966 +tp1012 +a(g826 +V\u000a +p1013 +tp1014 +a(g435 +VTab +p1015 +tp1016 +a(g826 +g962 +tp1017 +a(g412 +g1007 +tp1018 +a(g826 +g962 +tp1019 +a(g93 +V#9 +p1020 +tp1021 +a(g412 +g966 +tp1022 +a(g826 +V\u000a +p1023 +tp1024 +a(g435 +VLF +p1025 +tp1026 +a(g826 +g962 +tp1027 +a(g412 +g1007 +tp1028 +a(g826 +g962 +tp1029 +a(g93 +V#10 +p1030 +tp1031 +a(g412 +g966 +tp1032 +a(g826 +g962 +tp1033 +a(g8 +V//Line Feed +p1034 +tp1035 +a(g826 +V\u000a +p1036 +tp1037 +a(g435 +VCR +p1038 +tp1039 +a(g826 +g962 +tp1040 +a(g412 +g1007 +tp1041 +a(g826 +g962 +tp1042 +a(g93 +V#13 +p1043 +tp1044 +a(g412 +g966 +tp1045 +a(g826 +g962 +tp1046 +a(g8 +V//Carriage Return +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g435 +VSpace +p1051 +tp1052 +a(g826 +g962 +tp1053 +a(g412 +g1007 +tp1054 +a(g826 +g962 +tp1055 +a(g93 +V#32 +p1056 +tp1057 +a(g412 +g966 +tp1058 +a(g826 +V\u000a +p1059 +tp1060 +a(g435 +VEOLChars +p1061 +tp1062 +a(g826 +g962 +tp1063 +a(g412 +g1007 +tp1064 +a(g826 +g962 +tp1065 +a(g705 +V[ +p1066 +tp1067 +a(g435 +VCR +p1068 +tp1069 +a(g412 +g984 +tp1070 +a(g826 +g962 +tp1071 +a(g435 +VLF +p1072 +tp1073 +a(g705 +V] +p1074 +tp1075 +a(g412 +g966 +tp1076 +a(g826 +V\u000a +p1077 +tp1078 +a(g929 +V{$IFNDEF VER140} +p1079 +tp1080 +a(g826 +V\u000a +p1081 +tp1082 +a(g435 +VsLineBreak +p1083 +tp1084 +a(g826 +g962 +tp1085 +a(g412 +g1007 +tp1086 +a(g826 +g962 +tp1087 +a(g93 +V#13 +p1088 +tp1089 +a(g93 +V#10 +p1090 +tp1091 +a(g412 +g966 +tp1092 +a(g826 +V\u000a +p1093 +tp1094 +a(g435 +VSwitchChars +p1095 +tp1096 +a(g826 +g962 +tp1097 +a(g412 +g1007 +tp1098 +a(g826 +g962 +tp1099 +a(g705 +g1066 +tp1100 +a(g89 +V' +p1101 +tp1102 +a(g89 +V/ +p1103 +tp1104 +a(g89 +g1101 +tp1105 +a(g412 +g984 +tp1106 +a(g826 +g962 +tp1107 +a(g89 +g1101 +tp1108 +a(g89 +V- +p1109 +tp1110 +a(g89 +g1101 +tp1111 +a(g705 +g1074 +tp1112 +a(g412 +g966 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g929 +V{$ENDIF} +p1116 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g435 +VEOL +p1120 +tp1121 +a(g826 +g962 +tp1122 +a(g412 +g1007 +tp1123 +a(g826 +g962 +tp1124 +a(g435 +VsLineBreak +p1125 +tp1126 +a(g412 +g966 +tp1127 +a(g826 +V\u000a +p1128 +tp1129 +a(g435 +VMaxCard +p1130 +tp1131 +a(g826 +g962 +tp1132 +a(g412 +g1007 +tp1133 +a(g826 +g962 +tp1134 +a(g681 +VHigh +p1135 +tp1136 +a(g705 +V( +p1137 +tp1138 +a(g748 +VCardinal +p1139 +tp1140 +a(g705 +V) +p1141 +tp1142 +a(g412 +g966 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g435 +VAllChars +p1146 +tp1147 +a(g826 +g962 +tp1148 +a(g412 +g1007 +tp1149 +a(g826 +g962 +tp1150 +a(g705 +g1066 +tp1151 +a(g93 +V#0 +p1152 +tp1153 +a(g412 +V. +p1154 +tp1155 +a(g412 +g1154 +tp1156 +a(g93 +V#255 +p1157 +tp1158 +a(g705 +g1074 +tp1159 +a(g412 +g966 +tp1160 +a(g826 +V\u000a +p1161 +tp1162 +a(g435 +VAlphabetical +p1163 +tp1164 +a(g826 +g962 +tp1165 +a(g412 +g1007 +tp1166 +a(g826 +g962 +tp1167 +a(g705 +g1066 +tp1168 +a(g89 +g1101 +tp1169 +a(g89 +VA +p1170 +tp1171 +a(g89 +g1101 +tp1172 +a(g412 +g1154 +tp1173 +a(g412 +g1154 +tp1174 +a(g89 +g1101 +tp1175 +a(g89 +VZ +p1176 +tp1177 +a(g89 +g1101 +tp1178 +a(g412 +g984 +tp1179 +a(g826 +g962 +tp1180 +a(g89 +g1101 +tp1181 +a(g89 +Va +p1182 +tp1183 +a(g89 +g1101 +tp1184 +a(g412 +g1154 +tp1185 +a(g412 +g1154 +tp1186 +a(g89 +g1101 +tp1187 +a(g89 +Vz +p1188 +tp1189 +a(g89 +g1101 +tp1190 +a(g705 +g1074 +tp1191 +a(g412 +g966 +tp1192 +a(g826 +V\u000a +p1193 +tp1194 +a(g435 +VDecimalChars +p1195 +tp1196 +a(g826 +g962 +tp1197 +a(g412 +g1007 +tp1198 +a(g826 +g962 +tp1199 +a(g705 +g1066 +tp1200 +a(g89 +g1101 +tp1201 +a(g89 +V0 +p1202 +tp1203 +a(g89 +g1101 +tp1204 +a(g412 +g1154 +tp1205 +a(g412 +g1154 +tp1206 +a(g89 +g1101 +tp1207 +a(g89 +V9 +p1208 +tp1209 +a(g89 +g1101 +tp1210 +a(g705 +g1074 +tp1211 +a(g412 +g966 +tp1212 +a(g826 +V\u000a +p1213 +tp1214 +a(g435 +VAlphaNumerical +p1215 +tp1216 +a(g826 +g962 +tp1217 +a(g412 +g1007 +tp1218 +a(g826 +g962 +tp1219 +a(g435 +VAlphabetical +p1220 +tp1221 +a(g826 +g962 +tp1222 +a(g412 +V+ +p1223 +tp1224 +a(g826 +g962 +tp1225 +a(g435 +VDecimalChars +p1226 +tp1227 +a(g412 +g966 +tp1228 +a(g826 +V\u000a +p1229 +tp1230 +a(g435 +VStrangeChars +p1231 +tp1232 +a(g826 +g962 +tp1233 +a(g412 +g1007 +tp1234 +a(g826 +g962 +tp1235 +a(g705 +g1066 +tp1236 +a(g93 +V#0 +p1237 +tp1238 +a(g412 +g1154 +tp1239 +a(g412 +g1154 +tp1240 +a(g93 +V#31 +p1241 +tp1242 +a(g412 +g984 +tp1243 +a(g826 +g962 +tp1244 +a(g93 +V#127 +p1245 +tp1246 +a(g412 +g984 +tp1247 +a(g826 +g962 +tp1248 +a(g93 +V#129 +p1249 +tp1250 +a(g412 +g984 +tp1251 +a(g826 +g962 +tp1252 +a(g93 +V#141 +p1253 +tp1254 +a(g412 +g1154 +tp1255 +a(g412 +g1154 +tp1256 +a(g93 +V#144 +p1257 +tp1258 +a(g412 +g984 +tp1259 +a(g826 +g962 +tp1260 +a(g93 +V#157 +p1261 +tp1262 +a(g412 +g984 +tp1263 +a(g826 +g962 +tp1264 +a(g93 +V#158 +p1265 +tp1266 +a(g705 +g1074 +tp1267 +a(g412 +g966 +tp1268 +a(g826 +V\u000a\u000a +p1269 +tp1270 +a(g435 +VHexadecimalChars +p1271 +tp1272 +a(g826 +g962 +tp1273 +a(g412 +g1007 +tp1274 +a(g826 +g962 +tp1275 +a(g435 +VDecimalChars +p1276 +tp1277 +a(g826 +g962 +tp1278 +a(g412 +g1223 +tp1279 +a(g826 +g962 +tp1280 +a(g705 +g1066 +tp1281 +a(g89 +g1101 +tp1282 +a(g89 +g1170 +tp1283 +a(g89 +g1101 +tp1284 +a(g412 +g1154 +tp1285 +a(g412 +g1154 +tp1286 +a(g89 +g1101 +tp1287 +a(g89 +VF +p1288 +tp1289 +a(g89 +g1101 +tp1290 +a(g412 +g984 +tp1291 +a(g826 +g962 +tp1292 +a(g89 +g1101 +tp1293 +a(g89 +g1182 +tp1294 +a(g89 +g1101 +tp1295 +a(g412 +g1154 +tp1296 +a(g412 +g1154 +tp1297 +a(g89 +g1101 +tp1298 +a(g89 +Vf +p1299 +tp1300 +a(g89 +g1101 +tp1301 +a(g705 +g1074 +tp1302 +a(g412 +g966 +tp1303 +a(g826 +V\u000a +p1304 +tp1305 +a(g435 +VOctalChars +p1306 +tp1307 +a(g826 +g962 +tp1308 +a(g412 +g1007 +tp1309 +a(g826 +g962 +tp1310 +a(g705 +g1066 +tp1311 +a(g89 +g1101 +tp1312 +a(g89 +g1202 +tp1313 +a(g89 +g1101 +tp1314 +a(g412 +g1154 +tp1315 +a(g412 +g1154 +tp1316 +a(g89 +g1101 +tp1317 +a(g89 +V7 +p1318 +tp1319 +a(g89 +g1101 +tp1320 +a(g705 +g1074 +tp1321 +a(g412 +g966 +tp1322 +a(g826 +V\u000a +p1323 +tp1324 +a(g435 +VBinaryChars +p1325 +tp1326 +a(g826 +g962 +tp1327 +a(g412 +g1007 +tp1328 +a(g826 +g962 +tp1329 +a(g705 +g1066 +tp1330 +a(g89 +g1101 +tp1331 +a(g89 +g1202 +tp1332 +a(g89 +g1101 +tp1333 +a(g412 +g984 +tp1334 +a(g826 +g962 +tp1335 +a(g89 +g1101 +tp1336 +a(g89 +V1 +p1337 +tp1338 +a(g89 +g1101 +tp1339 +a(g705 +g1074 +tp1340 +a(g412 +g966 +tp1341 +a(g826 +V\u000a\u000a +p1342 +tp1343 +a(g435 +VQuoteChars +p1344 +tp1345 +a(g826 +g962 +tp1346 +a(g412 +g1007 +tp1347 +a(g826 +g962 +tp1348 +a(g705 +g1066 +tp1349 +a(g89 +g1101 +tp1350 +a(g213 +V'' +p1351 +tp1352 +a(g89 +g1101 +tp1353 +a(g412 +g984 +tp1354 +a(g826 +g962 +tp1355 +a(g89 +g1101 +tp1356 +a(g89 +V" +p1357 +tp1358 +a(g89 +g1101 +tp1359 +a(g705 +g1074 +tp1360 +a(g412 +g966 +tp1361 +a(g826 +V\u000a +p1362 +tp1363 +a(g435 +VWildCards +p1364 +tp1365 +a(g826 +g962 +tp1366 +a(g412 +g1007 +tp1367 +a(g826 +g962 +tp1368 +a(g705 +g1066 +tp1369 +a(g89 +g1101 +tp1370 +a(g89 +V* +p1371 +tp1372 +a(g89 +g1101 +tp1373 +a(g412 +g984 +tp1374 +a(g826 +g962 +tp1375 +a(g89 +g1101 +tp1376 +a(g89 +V? +p1377 +tp1378 +a(g89 +g1101 +tp1379 +a(g705 +g1074 +tp1380 +a(g412 +g966 +tp1381 +a(g826 +V\u000a +p1382 +tp1383 +a(g435 +VFileNameEnemies +p1384 +tp1385 +a(g826 +g962 +tp1386 +a(g412 +g1007 +tp1387 +a(g826 +g962 +tp1388 +a(g435 +VWildCards +p1389 +tp1390 +a(g826 +g962 +tp1391 +a(g412 +g1223 +tp1392 +a(g826 +g962 +tp1393 +a(g705 +g1066 +tp1394 +a(g89 +g1101 +tp1395 +a(g89 +V\u005c +p1396 +tp1397 +a(g89 +g1101 +tp1398 +a(g412 +g984 +tp1399 +a(g826 +g962 +tp1400 +a(g89 +g1101 +tp1401 +a(g89 +g1103 +tp1402 +a(g89 +g1101 +tp1403 +a(g412 +g984 +tp1404 +a(g826 +g962 +tp1405 +a(g89 +g1101 +tp1406 +a(g89 +V: +p1407 +tp1408 +a(g89 +g1101 +tp1409 +a(g412 +g984 +tp1410 +a(g826 +g962 +tp1411 +a(g89 +g1101 +tp1412 +a(g89 +V< +p1413 +tp1414 +a(g89 +g1101 +tp1415 +a(g412 +g984 +tp1416 +a(g826 +g962 +tp1417 +a(g89 +g1101 +tp1418 +a(g89 +V> +p1419 +tp1420 +a(g89 +g1101 +tp1421 +a(g412 +g984 +tp1422 +a(g826 +g962 +tp1423 +a(g89 +g1101 +tp1424 +a(g89 +V| +p1425 +tp1426 +a(g89 +g1101 +tp1427 +a(g705 +g1074 +tp1428 +a(g412 +g966 +tp1429 +a(g826 +V\u000a\u000a +p1430 +tp1431 +a(g435 +VHexChar +p1432 +tp1433 +a(g412 +g1407 +tp1434 +a(g826 +g962 +tp1435 +a(g744 +Varray +p1436 +tp1437 +a(g705 +g1066 +tp1438 +a(g435 +VTHex +p1439 +tp1440 +a(g705 +g1074 +tp1441 +a(g826 +g962 +tp1442 +a(g744 +Vof +p1443 +tp1444 +a(g826 +g962 +tp1445 +a(g748 +VChar +p1446 +tp1447 +a(g826 +g962 +tp1448 +a(g412 +g1007 +tp1449 +a(g826 +g962 +tp1450 +a(g705 +g1137 +tp1451 +a(g826 +V\u000a +p1452 +tp1453 +a(g89 +g1101 +tp1454 +a(g89 +g1202 +tp1455 +a(g89 +g1101 +tp1456 +a(g412 +g984 +tp1457 +a(g89 +g1101 +tp1458 +a(g89 +g1337 +tp1459 +a(g89 +g1101 +tp1460 +a(g412 +g984 +tp1461 +a(g89 +g1101 +tp1462 +a(g89 +V2 +p1463 +tp1464 +a(g89 +g1101 +tp1465 +a(g412 +g984 +tp1466 +a(g89 +g1101 +tp1467 +a(g89 +V3 +p1468 +tp1469 +a(g89 +g1101 +tp1470 +a(g412 +g984 +tp1471 +a(g89 +g1101 +tp1472 +a(g89 +V4 +p1473 +tp1474 +a(g89 +g1101 +tp1475 +a(g412 +g984 +tp1476 +a(g89 +g1101 +tp1477 +a(g89 +V5 +p1478 +tp1479 +a(g89 +g1101 +tp1480 +a(g412 +g984 +tp1481 +a(g89 +g1101 +tp1482 +a(g89 +V6 +p1483 +tp1484 +a(g89 +g1101 +tp1485 +a(g412 +g984 +tp1486 +a(g89 +g1101 +tp1487 +a(g89 +g1318 +tp1488 +a(g89 +g1101 +tp1489 +a(g412 +g984 +tp1490 +a(g89 +g1101 +tp1491 +a(g89 +V8 +p1492 +tp1493 +a(g89 +g1101 +tp1494 +a(g412 +g984 +tp1495 +a(g89 +g1101 +tp1496 +a(g89 +g1208 +tp1497 +a(g89 +g1101 +tp1498 +a(g412 +g984 +tp1499 +a(g89 +g1101 +tp1500 +a(g89 +g1170 +tp1501 +a(g89 +g1101 +tp1502 +a(g412 +g984 +tp1503 +a(g89 +g1101 +tp1504 +a(g89 +VB +p1505 +tp1506 +a(g89 +g1101 +tp1507 +a(g412 +g984 +tp1508 +a(g89 +g1101 +tp1509 +a(g89 +VC +p1510 +tp1511 +a(g89 +g1101 +tp1512 +a(g412 +g984 +tp1513 +a(g89 +g1101 +tp1514 +a(g89 +VD +p1515 +tp1516 +a(g89 +g1101 +tp1517 +a(g412 +g984 +tp1518 +a(g89 +g1101 +tp1519 +a(g89 +VE +p1520 +tp1521 +a(g89 +g1101 +tp1522 +a(g412 +g984 +tp1523 +a(g89 +g1101 +tp1524 +a(g89 +g1288 +tp1525 +a(g89 +g1101 +tp1526 +a(g705 +g1141 +tp1527 +a(g412 +g966 +tp1528 +a(g826 +V\u000a +p1529 +tp1530 +a(g435 +VLowerHexChar +p1531 +tp1532 +a(g412 +g1407 +tp1533 +a(g826 +g962 +tp1534 +a(g744 +Varray +p1535 +tp1536 +a(g705 +g1066 +tp1537 +a(g435 +VTHex +p1538 +tp1539 +a(g705 +g1074 +tp1540 +a(g826 +g962 +tp1541 +a(g744 +Vof +p1542 +tp1543 +a(g826 +g962 +tp1544 +a(g748 +VChar +p1545 +tp1546 +a(g826 +g962 +tp1547 +a(g412 +g1007 +tp1548 +a(g826 +g962 +tp1549 +a(g705 +g1137 +tp1550 +a(g826 +V\u000a +p1551 +tp1552 +a(g89 +g1101 +tp1553 +a(g89 +g1202 +tp1554 +a(g89 +g1101 +tp1555 +a(g412 +g984 +tp1556 +a(g89 +g1101 +tp1557 +a(g89 +g1337 +tp1558 +a(g89 +g1101 +tp1559 +a(g412 +g984 +tp1560 +a(g89 +g1101 +tp1561 +a(g89 +g1463 +tp1562 +a(g89 +g1101 +tp1563 +a(g412 +g984 +tp1564 +a(g89 +g1101 +tp1565 +a(g89 +g1468 +tp1566 +a(g89 +g1101 +tp1567 +a(g412 +g984 +tp1568 +a(g89 +g1101 +tp1569 +a(g89 +g1473 +tp1570 +a(g89 +g1101 +tp1571 +a(g412 +g984 +tp1572 +a(g89 +g1101 +tp1573 +a(g89 +g1478 +tp1574 +a(g89 +g1101 +tp1575 +a(g412 +g984 +tp1576 +a(g89 +g1101 +tp1577 +a(g89 +g1483 +tp1578 +a(g89 +g1101 +tp1579 +a(g412 +g984 +tp1580 +a(g89 +g1101 +tp1581 +a(g89 +g1318 +tp1582 +a(g89 +g1101 +tp1583 +a(g412 +g984 +tp1584 +a(g89 +g1101 +tp1585 +a(g89 +g1492 +tp1586 +a(g89 +g1101 +tp1587 +a(g412 +g984 +tp1588 +a(g89 +g1101 +tp1589 +a(g89 +g1208 +tp1590 +a(g89 +g1101 +tp1591 +a(g412 +g984 +tp1592 +a(g89 +g1101 +tp1593 +a(g89 +g1182 +tp1594 +a(g89 +g1101 +tp1595 +a(g412 +g984 +tp1596 +a(g89 +g1101 +tp1597 +a(g89 +Vb +p1598 +tp1599 +a(g89 +g1101 +tp1600 +a(g412 +g984 +tp1601 +a(g89 +g1101 +tp1602 +a(g89 +Vc +p1603 +tp1604 +a(g89 +g1101 +tp1605 +a(g412 +g984 +tp1606 +a(g89 +g1101 +tp1607 +a(g89 +Vd +p1608 +tp1609 +a(g89 +g1101 +tp1610 +a(g412 +g984 +tp1611 +a(g89 +g1101 +tp1612 +a(g89 +Ve +p1613 +tp1614 +a(g89 +g1101 +tp1615 +a(g412 +g984 +tp1616 +a(g89 +g1101 +tp1617 +a(g89 +g1299 +tp1618 +a(g89 +g1101 +tp1619 +a(g705 +g1141 +tp1620 +a(g412 +g966 +tp1621 +a(g826 +V\u000a +p1622 +tp1623 +a(g435 +VBaseNChar +p1624 +tp1625 +a(g412 +g1407 +tp1626 +a(g826 +g962 +tp1627 +a(g744 +Varray +p1628 +tp1629 +a(g705 +g1066 +tp1630 +a(g435 +VTBaseN +p1631 +tp1632 +a(g705 +g1074 +tp1633 +a(g826 +g962 +tp1634 +a(g744 +Vof +p1635 +tp1636 +a(g826 +g962 +tp1637 +a(g748 +VChar +p1638 +tp1639 +a(g826 +g962 +tp1640 +a(g412 +g1007 +tp1641 +a(g826 +g962 +tp1642 +a(g705 +g1137 +tp1643 +a(g826 +V\u000a +p1644 +tp1645 +a(g89 +g1101 +tp1646 +a(g89 +g1202 +tp1647 +a(g89 +g1101 +tp1648 +a(g412 +g984 +tp1649 +a(g89 +g1101 +tp1650 +a(g89 +g1337 +tp1651 +a(g89 +g1101 +tp1652 +a(g412 +g984 +tp1653 +a(g89 +g1101 +tp1654 +a(g89 +g1463 +tp1655 +a(g89 +g1101 +tp1656 +a(g412 +g984 +tp1657 +a(g89 +g1101 +tp1658 +a(g89 +g1468 +tp1659 +a(g89 +g1101 +tp1660 +a(g412 +g984 +tp1661 +a(g89 +g1101 +tp1662 +a(g89 +g1473 +tp1663 +a(g89 +g1101 +tp1664 +a(g412 +g984 +tp1665 +a(g89 +g1101 +tp1666 +a(g89 +g1478 +tp1667 +a(g89 +g1101 +tp1668 +a(g412 +g984 +tp1669 +a(g89 +g1101 +tp1670 +a(g89 +g1483 +tp1671 +a(g89 +g1101 +tp1672 +a(g412 +g984 +tp1673 +a(g89 +g1101 +tp1674 +a(g89 +g1318 +tp1675 +a(g89 +g1101 +tp1676 +a(g412 +g984 +tp1677 +a(g89 +g1101 +tp1678 +a(g89 +g1492 +tp1679 +a(g89 +g1101 +tp1680 +a(g412 +g984 +tp1681 +a(g89 +g1101 +tp1682 +a(g89 +g1208 +tp1683 +a(g89 +g1101 +tp1684 +a(g412 +g984 +tp1685 +a(g89 +g1101 +tp1686 +a(g89 +g1170 +tp1687 +a(g89 +g1101 +tp1688 +a(g412 +g984 +tp1689 +a(g89 +g1101 +tp1690 +a(g89 +g1505 +tp1691 +a(g89 +g1101 +tp1692 +a(g412 +g984 +tp1693 +a(g89 +g1101 +tp1694 +a(g89 +g1510 +tp1695 +a(g89 +g1101 +tp1696 +a(g412 +g984 +tp1697 +a(g89 +g1101 +tp1698 +a(g89 +g1515 +tp1699 +a(g89 +g1101 +tp1700 +a(g412 +g984 +tp1701 +a(g89 +g1101 +tp1702 +a(g89 +g1520 +tp1703 +a(g89 +g1101 +tp1704 +a(g412 +g984 +tp1705 +a(g89 +g1101 +tp1706 +a(g89 +g1288 +tp1707 +a(g89 +g1101 +tp1708 +a(g412 +g984 +tp1709 +a(g89 +g1101 +tp1710 +a(g89 +VG +p1711 +tp1712 +a(g89 +g1101 +tp1713 +a(g412 +g984 +tp1714 +a(g89 +g1101 +tp1715 +a(g89 +VH +p1716 +tp1717 +a(g89 +g1101 +tp1718 +a(g412 +g984 +tp1719 +a(g826 +V\u000a +p1720 +tp1721 +a(g89 +g1101 +tp1722 +a(g89 +VI +p1723 +tp1724 +a(g89 +g1101 +tp1725 +a(g412 +g984 +tp1726 +a(g89 +g1101 +tp1727 +a(g89 +VJ +p1728 +tp1729 +a(g89 +g1101 +tp1730 +a(g412 +g984 +tp1731 +a(g89 +g1101 +tp1732 +a(g89 +VK +p1733 +tp1734 +a(g89 +g1101 +tp1735 +a(g412 +g984 +tp1736 +a(g89 +g1101 +tp1737 +a(g89 +VL +p1738 +tp1739 +a(g89 +g1101 +tp1740 +a(g412 +g984 +tp1741 +a(g89 +g1101 +tp1742 +a(g89 +VM +p1743 +tp1744 +a(g89 +g1101 +tp1745 +a(g412 +g984 +tp1746 +a(g89 +g1101 +tp1747 +a(g89 +VN +p1748 +tp1749 +a(g89 +g1101 +tp1750 +a(g412 +g984 +tp1751 +a(g89 +g1101 +tp1752 +a(g89 +VO +p1753 +tp1754 +a(g89 +g1101 +tp1755 +a(g412 +g984 +tp1756 +a(g89 +g1101 +tp1757 +a(g89 +VP +p1758 +tp1759 +a(g89 +g1101 +tp1760 +a(g412 +g984 +tp1761 +a(g89 +g1101 +tp1762 +a(g89 +VQ +p1763 +tp1764 +a(g89 +g1101 +tp1765 +a(g412 +g984 +tp1766 +a(g89 +g1101 +tp1767 +a(g89 +VR +p1768 +tp1769 +a(g89 +g1101 +tp1770 +a(g412 +g984 +tp1771 +a(g89 +g1101 +tp1772 +a(g89 +VS +p1773 +tp1774 +a(g89 +g1101 +tp1775 +a(g412 +g984 +tp1776 +a(g89 +g1101 +tp1777 +a(g89 +VT +p1778 +tp1779 +a(g89 +g1101 +tp1780 +a(g412 +g984 +tp1781 +a(g89 +g1101 +tp1782 +a(g89 +VU +p1783 +tp1784 +a(g89 +g1101 +tp1785 +a(g412 +g984 +tp1786 +a(g89 +g1101 +tp1787 +a(g89 +VV +p1788 +tp1789 +a(g89 +g1101 +tp1790 +a(g412 +g984 +tp1791 +a(g89 +g1101 +tp1792 +a(g89 +VW +p1793 +tp1794 +a(g89 +g1101 +tp1795 +a(g412 +g984 +tp1796 +a(g89 +g1101 +tp1797 +a(g89 +VX +p1798 +tp1799 +a(g89 +g1101 +tp1800 +a(g412 +g984 +tp1801 +a(g89 +g1101 +tp1802 +a(g89 +VY +p1803 +tp1804 +a(g89 +g1101 +tp1805 +a(g412 +g984 +tp1806 +a(g89 +g1101 +tp1807 +a(g89 +g1176 +tp1808 +a(g89 +g1101 +tp1809 +a(g705 +g1141 +tp1810 +a(g412 +g966 +tp1811 +a(g826 +V\u000a\u000a +p1812 +tp1813 +a(g435 +VcYcnusOverlayColor +p1814 +tp1815 +a(g826 +g962 +tp1816 +a(g412 +g1007 +tp1817 +a(g826 +g962 +tp1818 +a(g297 +V$050001 +p1819 +tp1820 +a(g412 +g966 +tp1821 +a(g826 +V\u000a\u000a +p1822 +tp1823 +a(g435 +VfaFindEveryFile +p1824 +tp1825 +a(g826 +g962 +tp1826 +a(g412 +g1007 +tp1827 +a(g826 +g962 +tp1828 +a(g435 +VfaReadOnly +p1829 +tp1830 +a(g826 +g962 +tp1831 +a(g412 +g1223 +tp1832 +a(g826 +g962 +tp1833 +a(g435 +VfaHidden +p1834 +tp1835 +a(g826 +g962 +tp1836 +a(g412 +g1223 +tp1837 +a(g826 +g962 +tp1838 +a(g435 +VfaSysFile +p1839 +tp1840 +a(g826 +g962 +tp1841 +a(g412 +g1223 +tp1842 +a(g826 +g962 +tp1843 +a(g435 +VfaArchive +p1844 +tp1845 +a(g412 +g966 +tp1846 +a(g826 +V\u000a\u000a +p1847 +tp1848 +a(g435 +VplatWin9x +p1849 +tp1850 +a(g826 +g962 +tp1851 +a(g412 +g1007 +tp1852 +a(g826 +g962 +tp1853 +a(g705 +g1066 +tp1854 +a(g435 +VVER_PLATFORM_WIN32s +p1855 +tp1856 +a(g412 +g984 +tp1857 +a(g826 +g962 +tp1858 +a(g435 +VVER_PLATFORM_WIN32_WINDOWS +p1859 +tp1860 +a(g705 +g1074 +tp1861 +a(g412 +g966 +tp1862 +a(g826 +V\u000a\u000a\u000a +p1863 +tp1864 +a(g929 +V{ Debugging } +p1865 +tp1866 +a(g826 +V\u000a +p1867 +tp1868 +a(g744 +Vprocedure +p1869 +tp1870 +a(g826 +g962 +tp1871 +a(g573 +VClearReport +p1872 +tp1873 +a(g705 +g1137 +tp1874 +a(g744 +Vconst +p1875 +tp1876 +a(g826 +g962 +tp1877 +a(g435 +VReportName +p1878 +tp1879 +a(g412 +g1407 +tp1880 +a(g826 +g962 +tp1881 +a(g744 +Vstring +p1882 +tp1883 +a(g705 +g1141 +tp1884 +a(g412 +g966 +tp1885 +a(g826 +V\u000a +p1886 +tp1887 +a(g744 +Vprocedure +p1888 +tp1889 +a(g826 +g962 +tp1890 +a(g573 +VReport +p1891 +tp1892 +a(g705 +g1137 +tp1893 +a(g744 +Vconst +p1894 +tp1895 +a(g826 +g962 +tp1896 +a(g435 +VReportName +p1897 +tp1898 +a(g412 +g984 +tp1899 +a(g826 +g962 +tp1900 +a(g435 +VText +p1901 +tp1902 +a(g412 +g1407 +tp1903 +a(g826 +g962 +tp1904 +a(g744 +Vstring +p1905 +tp1906 +a(g705 +g1141 +tp1907 +a(g412 +g966 +tp1908 +a(g826 +V\u000a +p1909 +tp1910 +a(g744 +Vprocedure +p1911 +tp1912 +a(g826 +g962 +tp1913 +a(g573 +VReportFmt +p1914 +tp1915 +a(g705 +g1137 +tp1916 +a(g744 +Vconst +p1917 +tp1918 +a(g826 +g962 +tp1919 +a(g435 +VReportName +p1920 +tp1921 +a(g412 +g984 +tp1922 +a(g826 +g962 +tp1923 +a(g435 +VFmt +p1924 +tp1925 +a(g412 +g1407 +tp1926 +a(g826 +g962 +tp1927 +a(g744 +Vstring +p1928 +tp1929 +a(g412 +g966 +tp1930 +a(g826 +g962 +tp1931 +a(g744 +Vconst +p1932 +tp1933 +a(g826 +g962 +tp1934 +a(g435 +VArgs +p1935 +tp1936 +a(g412 +g1407 +tp1937 +a(g826 +g962 +tp1938 +a(g744 +Varray +p1939 +tp1940 +a(g826 +g962 +tp1941 +a(g744 +Vof +p1942 +tp1943 +a(g826 +g962 +tp1944 +a(g744 +Vconst +p1945 +tp1946 +a(g705 +g1141 +tp1947 +a(g412 +g966 +tp1948 +a(g826 +V\u000a\u000a +p1949 +tp1950 +a(g929 +V{ Params } +p1951 +tp1952 +a(g826 +V\u000a +p1953 +tp1954 +a(g744 +Vprocedure +p1955 +tp1956 +a(g826 +g962 +tp1957 +a(g573 +VGetParams +p1958 +tp1959 +a(g705 +g1137 +tp1960 +a(g435 +VStrings +p1961 +tp1962 +a(g412 +g1407 +tp1963 +a(g826 +g962 +tp1964 +a(g435 +VTStrings +p1965 +tp1966 +a(g705 +g1141 +tp1967 +a(g412 +g966 +tp1968 +a(g826 +g962 +tp1969 +a(g435 +Voverload +p1970 +tp1971 +a(g412 +g966 +tp1972 +a(g826 +V\u000a +p1973 +tp1974 +a(g744 +Vfunction +p1975 +tp1976 +a(g826 +g962 +tp1977 +a(g573 +VGetParams +p1978 +tp1979 +a(g705 +g1137 +tp1980 +a(g744 +Vconst +p1981 +tp1982 +a(g826 +g962 +tp1983 +a(g435 +VSeparator +p1984 +tp1985 +a(g412 +g1407 +tp1986 +a(g826 +g962 +tp1987 +a(g744 +Vstring +p1988 +tp1989 +a(g826 +g962 +tp1990 +a(g412 +g1007 +tp1991 +a(g826 +g962 +tp1992 +a(g89 +g1101 +tp1993 +a(g89 +g962 +tp1994 +a(g89 +g1101 +tp1995 +a(g705 +g1141 +tp1996 +a(g412 +g1407 +tp1997 +a(g826 +g962 +tp1998 +a(g744 +Vstring +p1999 +tp2000 +a(g412 +g966 +tp2001 +a(g826 +g962 +tp2002 +a(g435 +Voverload +p2003 +tp2004 +a(g412 +g966 +tp2005 +a(g826 +V\u000a\u000a +p2006 +tp2007 +a(g744 +Vfunction +p2008 +tp2009 +a(g826 +g962 +tp2010 +a(g573 +VParamNum +p2011 +tp2012 +a(g705 +g1137 +tp2013 +a(g744 +Vconst +p2014 +tp2015 +a(g826 +g962 +tp2016 +a(g435 +g1773 +tp2017 +a(g412 +g1407 +tp2018 +a(g826 +g962 +tp2019 +a(g744 +Vstring +p2020 +tp2021 +a(g705 +g1141 +tp2022 +a(g412 +g1407 +tp2023 +a(g826 +g962 +tp2024 +a(g748 +VInteger +p2025 +tp2026 +a(g412 +g966 +tp2027 +a(g826 +V\u000a +p2028 +tp2029 +a(g744 +Vfunction +p2030 +tp2031 +a(g826 +g962 +tp2032 +a(g573 +VParamPrefixNum +p2033 +tp2034 +a(g705 +g1137 +tp2035 +a(g744 +Vconst +p2036 +tp2037 +a(g826 +g962 +tp2038 +a(g435 +VPrefix +p2039 +tp2040 +a(g412 +g1407 +tp2041 +a(g826 +g962 +tp2042 +a(g744 +Vstring +p2043 +tp2044 +a(g705 +g1141 +tp2045 +a(g412 +g1407 +tp2046 +a(g826 +g962 +tp2047 +a(g748 +VInteger +p2048 +tp2049 +a(g412 +g966 +tp2050 +a(g826 +V\u000a +p2051 +tp2052 +a(g744 +Vfunction +p2053 +tp2054 +a(g826 +g962 +tp2055 +a(g573 +VParam +p2056 +tp2057 +a(g705 +g1137 +tp2058 +a(g744 +Vconst +p2059 +tp2060 +a(g826 +g962 +tp2061 +a(g435 +g1773 +tp2062 +a(g412 +g1407 +tp2063 +a(g826 +g962 +tp2064 +a(g744 +Vstring +p2065 +tp2066 +a(g705 +g1141 +tp2067 +a(g412 +g1407 +tp2068 +a(g826 +g962 +tp2069 +a(g748 +VBoolean +p2070 +tp2071 +a(g412 +g966 +tp2072 +a(g826 +V\u000a +p2073 +tp2074 +a(g744 +Vfunction +p2075 +tp2076 +a(g826 +g962 +tp2077 +a(g573 +VParamPrefix +p2078 +tp2079 +a(g705 +g1137 +tp2080 +a(g744 +Vconst +p2081 +tp2082 +a(g826 +g962 +tp2083 +a(g435 +VPrefix +p2084 +tp2085 +a(g412 +g1407 +tp2086 +a(g826 +g962 +tp2087 +a(g744 +Vstring +p2088 +tp2089 +a(g705 +g1141 +tp2090 +a(g412 +g1407 +tp2091 +a(g826 +g962 +tp2092 +a(g748 +VBoolean +p2093 +tp2094 +a(g412 +g966 +tp2095 +a(g826 +V\u000a\u000a +p2096 +tp2097 +a(g744 +Vfunction +p2098 +tp2099 +a(g826 +g962 +tp2100 +a(g573 +VSwitch +p2101 +tp2102 +a(g705 +g1137 +tp2103 +a(g744 +Vconst +p2104 +tp2105 +a(g826 +g962 +tp2106 +a(g435 +VSwitch +p2107 +tp2108 +a(g412 +g1407 +tp2109 +a(g826 +g962 +tp2110 +a(g744 +Vstring +p2111 +tp2112 +a(g412 +g966 +tp2113 +a(g826 +g962 +tp2114 +a(g744 +Vconst +p2115 +tp2116 +a(g826 +g962 +tp2117 +a(g435 +VPrefixChars +p2118 +tp2119 +a(g412 +g1407 +tp2120 +a(g826 +g962 +tp2121 +a(g435 +VTCharSet +p2122 +tp2123 +a(g826 +g962 +tp2124 +a(g412 +g1007 +tp2125 +a(g826 +g962 +tp2126 +a(g435 +VSwitchChars +p2127 +tp2128 +a(g412 +g966 +tp2129 +a(g826 +V\u000a +p2130 +tp2131 +a(g435 +VIgnoreCase +p2132 +tp2133 +a(g412 +g1407 +tp2134 +a(g826 +g962 +tp2135 +a(g748 +VBoolean +p2136 +tp2137 +a(g826 +g962 +tp2138 +a(g412 +g1007 +tp2139 +a(g826 +g962 +tp2140 +a(g744 +VTrue +p2141 +tp2142 +a(g705 +g1141 +tp2143 +a(g412 +g1407 +tp2144 +a(g826 +g962 +tp2145 +a(g748 +VBoolean +p2146 +tp2147 +a(g412 +g966 +tp2148 +a(g826 +V\u000a +p2149 +tp2150 +a(g744 +Vfunction +p2151 +tp2152 +a(g826 +g962 +tp2153 +a(g573 +VGetParam +p2154 +tp2155 +a(g705 +g1137 +tp2156 +a(g744 +Vconst +p2157 +tp2158 +a(g826 +g962 +tp2159 +a(g435 +VPrefix +p2160 +tp2161 +a(g412 +g1407 +tp2162 +a(g826 +g962 +tp2163 +a(g744 +Vstring +p2164 +tp2165 +a(g826 +g962 +tp2166 +a(g412 +g1007 +tp2167 +a(g826 +g962 +tp2168 +a(g89 +g1101 +tp2169 +a(g89 +g1101 +tp2170 +a(g412 +g966 +tp2171 +a(g826 +g962 +tp2172 +a(g744 +Vconst +p2173 +tp2174 +a(g826 +g962 +tp2175 +a(g785 +VDefault +p2176 +tp2177 +a(g412 +g1407 +tp2178 +a(g826 +g962 +tp2179 +a(g744 +Vstring +p2180 +tp2181 +a(g826 +g962 +tp2182 +a(g412 +g1007 +tp2183 +a(g826 +g962 +tp2184 +a(g89 +g1101 +tp2185 +a(g89 +g1101 +tp2186 +a(g705 +g1141 +tp2187 +a(g412 +g1407 +tp2188 +a(g826 +g962 +tp2189 +a(g744 +Vstring +p2190 +tp2191 +a(g412 +g966 +tp2192 +a(g826 +V\u000a\u000a +p2193 +tp2194 +a(g929 +V{ Dirs & UserName} +p2195 +tp2196 +a(g826 +V\u000a +p2197 +tp2198 +a(g744 +Vfunction +p2199 +tp2200 +a(g826 +g962 +tp2201 +a(g573 +VGetMyDir +p2202 +tp2203 +a(g705 +g1137 +tp2204 +a(g435 +VFullPath +p2205 +tp2206 +a(g412 +g1407 +tp2207 +a(g826 +g962 +tp2208 +a(g748 +VBoolean +p2209 +tp2210 +a(g826 +g962 +tp2211 +a(g412 +g1007 +tp2212 +a(g826 +g962 +tp2213 +a(g744 +VFalse +p2214 +tp2215 +a(g705 +g1141 +tp2216 +a(g412 +g1407 +tp2217 +a(g826 +g962 +tp2218 +a(g744 +Vstring +p2219 +tp2220 +a(g412 +g966 +tp2221 +a(g826 +V\u000a +p2222 +tp2223 +a(g744 +Vfunction +p2224 +tp2225 +a(g826 +g962 +tp2226 +a(g573 +VWinDir +p2227 +tp2228 +a(g412 +g1407 +tp2229 +a(g826 +g962 +tp2230 +a(g744 +Vstring +p2231 +tp2232 +a(g412 +g966 +tp2233 +a(g826 +V\u000a +p2234 +tp2235 +a(g744 +Vfunction +p2236 +tp2237 +a(g826 +g962 +tp2238 +a(g573 +VSysDir +p2239 +tp2240 +a(g412 +g1407 +tp2241 +a(g826 +g962 +tp2242 +a(g744 +Vstring +p2243 +tp2244 +a(g412 +g966 +tp2245 +a(g826 +V\u000a +p2246 +tp2247 +a(g744 +Vfunction +p2248 +tp2249 +a(g826 +g962 +tp2250 +a(g573 +VUserName +p2251 +tp2252 +a(g412 +g1407 +tp2253 +a(g826 +g962 +tp2254 +a(g744 +Vstring +p2255 +tp2256 +a(g412 +g966 +tp2257 +a(g826 +V\u000a\u000a +p2258 +tp2259 +a(g929 +V{ Strings & Chars} +p2260 +tp2261 +a(g826 +V\u000a +p2262 +tp2263 +a(g744 +Vfunction +p2264 +tp2265 +a(g826 +g962 +tp2266 +a(g573 +VFirstChar +p2267 +tp2268 +a(g705 +g1137 +tp2269 +a(g744 +Vconst +p2270 +tp2271 +a(g826 +g962 +tp2272 +a(g435 +g1773 +tp2273 +a(g412 +g1407 +tp2274 +a(g826 +g962 +tp2275 +a(g744 +Vstring +p2276 +tp2277 +a(g705 +g1141 +tp2278 +a(g412 +g1407 +tp2279 +a(g826 +g962 +tp2280 +a(g748 +VChar +p2281 +tp2282 +a(g412 +g966 +tp2283 +a(g826 +V\u000a +p2284 +tp2285 +a(g744 +Vfunction +p2286 +tp2287 +a(g826 +g962 +tp2288 +a(g573 +VLastChar +p2289 +tp2290 +a(g705 +g1137 +tp2291 +a(g744 +Vconst +p2292 +tp2293 +a(g826 +g962 +tp2294 +a(g435 +g1773 +tp2295 +a(g412 +g1407 +tp2296 +a(g826 +g962 +tp2297 +a(g744 +Vstring +p2298 +tp2299 +a(g705 +g1141 +tp2300 +a(g412 +g1407 +tp2301 +a(g826 +g962 +tp2302 +a(g748 +VChar +p2303 +tp2304 +a(g412 +g966 +tp2305 +a(g826 +V\u000a\u000a +p2306 +tp2307 +a(g744 +Vfunction +p2308 +tp2309 +a(g826 +g962 +tp2310 +a(g573 +VCharPos +p2311 +tp2312 +a(g705 +g1137 +tp2313 +a(g435 +g1510 +tp2314 +a(g412 +g1407 +tp2315 +a(g826 +g962 +tp2316 +a(g748 +VChar +p2317 +tp2318 +a(g412 +g966 +tp2319 +a(g826 +g962 +tp2320 +a(g744 +Vconst +p2321 +tp2322 +a(g826 +g962 +tp2323 +a(g435 +g1773 +tp2324 +a(g412 +g1407 +tp2325 +a(g826 +g962 +tp2326 +a(g744 +Vstring +p2327 +tp2328 +a(g412 +g966 +tp2329 +a(g826 +g962 +tp2330 +a(g435 +VOffset +p2331 +tp2332 +a(g412 +g1407 +tp2333 +a(g826 +g962 +tp2334 +a(g748 +VInteger +p2335 +tp2336 +a(g826 +g962 +tp2337 +a(g412 +g1007 +tp2338 +a(g826 +g962 +tp2339 +a(g37 +g1337 +tp2340 +a(g705 +g1141 +tp2341 +a(g412 +g1407 +tp2342 +a(g826 +g962 +tp2343 +a(g748 +VInteger +p2344 +tp2345 +a(g412 +g966 +tp2346 +a(g826 +g962 +tp2347 +a(g435 +Voverload +p2348 +tp2349 +a(g412 +g966 +tp2350 +a(g826 +V\u000a +p2351 +tp2352 +a(g744 +Vfunction +p2353 +tp2354 +a(g826 +g962 +tp2355 +a(g573 +VCharPos +p2356 +tp2357 +a(g705 +g1137 +tp2358 +a(g435 +g1510 +tp2359 +a(g412 +g1407 +tp2360 +a(g826 +g962 +tp2361 +a(g435 +VTCharSet +p2362 +tp2363 +a(g412 +g966 +tp2364 +a(g826 +g962 +tp2365 +a(g744 +Vconst +p2366 +tp2367 +a(g826 +g962 +tp2368 +a(g435 +g1773 +tp2369 +a(g412 +g1407 +tp2370 +a(g826 +g962 +tp2371 +a(g744 +Vstring +p2372 +tp2373 +a(g412 +g966 +tp2374 +a(g826 +g962 +tp2375 +a(g435 +VOffset +p2376 +tp2377 +a(g412 +g1407 +tp2378 +a(g826 +g962 +tp2379 +a(g748 +VInteger +p2380 +tp2381 +a(g826 +g962 +tp2382 +a(g412 +g1007 +tp2383 +a(g826 +g962 +tp2384 +a(g37 +g1337 +tp2385 +a(g705 +g1141 +tp2386 +a(g412 +g1407 +tp2387 +a(g826 +g962 +tp2388 +a(g748 +VInteger +p2389 +tp2390 +a(g412 +g966 +tp2391 +a(g826 +g962 +tp2392 +a(g435 +Voverload +p2393 +tp2394 +a(g412 +g966 +tp2395 +a(g826 +V\u000a +p2396 +tp2397 +a(g744 +Vfunction +p2398 +tp2399 +a(g826 +g962 +tp2400 +a(g573 +VCharPosR +p2401 +tp2402 +a(g705 +g1137 +tp2403 +a(g435 +g1510 +tp2404 +a(g412 +g1407 +tp2405 +a(g826 +g962 +tp2406 +a(g748 +VChar +p2407 +tp2408 +a(g412 +g966 +tp2409 +a(g826 +g962 +tp2410 +a(g744 +Vconst +p2411 +tp2412 +a(g826 +g962 +tp2413 +a(g435 +g1773 +tp2414 +a(g412 +g1407 +tp2415 +a(g826 +g962 +tp2416 +a(g744 +Vstring +p2417 +tp2418 +a(g412 +g966 +tp2419 +a(g826 +g962 +tp2420 +a(g435 +VOffset +p2421 +tp2422 +a(g412 +g1407 +tp2423 +a(g826 +g962 +tp2424 +a(g748 +VInteger +p2425 +tp2426 +a(g826 +g962 +tp2427 +a(g412 +g1007 +tp2428 +a(g826 +g962 +tp2429 +a(g412 +g1109 +tp2430 +a(g37 +g1337 +tp2431 +a(g705 +g1141 +tp2432 +a(g412 +g1407 +tp2433 +a(g826 +g962 +tp2434 +a(g748 +VInteger +p2435 +tp2436 +a(g412 +g966 +tp2437 +a(g826 +V\u000a +p2438 +tp2439 +a(g744 +Vfunction +p2440 +tp2441 +a(g826 +g962 +tp2442 +a(g573 +VPosEx +p2443 +tp2444 +a(g705 +g1137 +tp2445 +a(g744 +Vconst +p2446 +tp2447 +a(g826 +g962 +tp2448 +a(g435 +VSubStr +p2449 +tp2450 +a(g412 +g984 +tp2451 +a(g826 +g962 +tp2452 +a(g435 +g1773 +tp2453 +a(g412 +g1407 +tp2454 +a(g826 +g962 +tp2455 +a(g744 +Vstring +p2456 +tp2457 +a(g412 +g966 +tp2458 +a(g826 +g962 +tp2459 +a(g435 +VOffset +p2460 +tp2461 +a(g412 +g1407 +tp2462 +a(g826 +g962 +tp2463 +a(g748 +VInteger +p2464 +tp2465 +a(g826 +g962 +tp2466 +a(g412 +g1007 +tp2467 +a(g826 +g962 +tp2468 +a(g37 +g1337 +tp2469 +a(g705 +g1141 +tp2470 +a(g412 +g1407 +tp2471 +a(g826 +g962 +tp2472 +a(g748 +VInteger +p2473 +tp2474 +a(g412 +g966 +tp2475 +a(g826 +V\u000a +p2476 +tp2477 +a(g744 +Vfunction +p2478 +tp2479 +a(g826 +g962 +tp2480 +a(g573 +VPosExText +p2481 +tp2482 +a(g705 +g1137 +tp2483 +a(g744 +Vconst +p2484 +tp2485 +a(g826 +g962 +tp2486 +a(g435 +VSubStr +p2487 +tp2488 +a(g412 +g984 +tp2489 +a(g826 +g962 +tp2490 +a(g435 +g1773 +tp2491 +a(g412 +g1407 +tp2492 +a(g826 +g962 +tp2493 +a(g744 +Vstring +p2494 +tp2495 +a(g412 +g966 +tp2496 +a(g826 +g962 +tp2497 +a(g435 +VOffset +p2498 +tp2499 +a(g412 +g1407 +tp2500 +a(g826 +g962 +tp2501 +a(g748 +VInteger +p2502 +tp2503 +a(g826 +g962 +tp2504 +a(g412 +g1007 +tp2505 +a(g826 +g962 +tp2506 +a(g37 +g1337 +tp2507 +a(g705 +g1141 +tp2508 +a(g412 +g1407 +tp2509 +a(g826 +g962 +tp2510 +a(g748 +VInteger +p2511 +tp2512 +a(g412 +g966 +tp2513 +a(g826 +V\u000a +p2514 +tp2515 +a(g744 +Vfunction +p2516 +tp2517 +a(g826 +g962 +tp2518 +a(g573 +VPosExAnsiText +p2519 +tp2520 +a(g705 +g1137 +tp2521 +a(g744 +Vconst +p2522 +tp2523 +a(g826 +g962 +tp2524 +a(g435 +VSubStr +p2525 +tp2526 +a(g412 +g984 +tp2527 +a(g826 +g962 +tp2528 +a(g435 +g1773 +tp2529 +a(g412 +g1407 +tp2530 +a(g826 +g962 +tp2531 +a(g744 +Vstring +p2532 +tp2533 +a(g412 +g966 +tp2534 +a(g826 +g962 +tp2535 +a(g435 +VOffset +p2536 +tp2537 +a(g412 +g1407 +tp2538 +a(g826 +g962 +tp2539 +a(g748 +VInteger +p2540 +tp2541 +a(g826 +g962 +tp2542 +a(g412 +g1007 +tp2543 +a(g826 +g962 +tp2544 +a(g37 +g1337 +tp2545 +a(g705 +g1141 +tp2546 +a(g412 +g1407 +tp2547 +a(g826 +g962 +tp2548 +a(g748 +VInteger +p2549 +tp2550 +a(g412 +g966 +tp2551 +a(g826 +V\u000a\u000a +p2552 +tp2553 +a(g744 +Vfunction +p2554 +tp2555 +a(g826 +g962 +tp2556 +a(g573 +VUntilChar +p2557 +tp2558 +a(g705 +g1137 +tp2559 +a(g744 +Vconst +p2560 +tp2561 +a(g826 +g962 +tp2562 +a(g435 +g1773 +tp2563 +a(g412 +g1407 +tp2564 +a(g826 +g962 +tp2565 +a(g744 +Vstring +p2566 +tp2567 +a(g412 +g966 +tp2568 +a(g826 +g962 +tp2569 +a(g435 +VBrake +p2570 +tp2571 +a(g412 +g1407 +tp2572 +a(g826 +g962 +tp2573 +a(g748 +VChar +p2574 +tp2575 +a(g705 +g1141 +tp2576 +a(g412 +g1407 +tp2577 +a(g826 +g962 +tp2578 +a(g744 +Vstring +p2579 +tp2580 +a(g412 +g966 +tp2581 +a(g826 +g962 +tp2582 +a(g435 +Voverload +p2583 +tp2584 +a(g412 +g966 +tp2585 +a(g826 +V\u000a +p2586 +tp2587 +a(g744 +Vfunction +p2588 +tp2589 +a(g826 +g962 +tp2590 +a(g573 +VUntilChar +p2591 +tp2592 +a(g705 +g1137 +tp2593 +a(g744 +Vconst +p2594 +tp2595 +a(g826 +g962 +tp2596 +a(g435 +g1773 +tp2597 +a(g412 +g1407 +tp2598 +a(g826 +g962 +tp2599 +a(g744 +Vstring +p2600 +tp2601 +a(g412 +g966 +tp2602 +a(g826 +g962 +tp2603 +a(g435 +VBrake +p2604 +tp2605 +a(g412 +g1407 +tp2606 +a(g826 +g962 +tp2607 +a(g435 +VTCharSet +p2608 +tp2609 +a(g705 +g1141 +tp2610 +a(g412 +g1407 +tp2611 +a(g826 +g962 +tp2612 +a(g744 +Vstring +p2613 +tp2614 +a(g412 +g966 +tp2615 +a(g826 +g962 +tp2616 +a(g435 +Voverload +p2617 +tp2618 +a(g412 +g966 +tp2619 +a(g826 +V\u000a +p2620 +tp2621 +a(g744 +Vfunction +p2622 +tp2623 +a(g826 +g962 +tp2624 +a(g573 +VUntilLastChar +p2625 +tp2626 +a(g705 +g1137 +tp2627 +a(g744 +Vconst +p2628 +tp2629 +a(g826 +g962 +tp2630 +a(g435 +g1773 +tp2631 +a(g412 +g1407 +tp2632 +a(g826 +g962 +tp2633 +a(g744 +Vstring +p2634 +tp2635 +a(g412 +g966 +tp2636 +a(g826 +g962 +tp2637 +a(g435 +VBrake +p2638 +tp2639 +a(g412 +g1407 +tp2640 +a(g826 +g962 +tp2641 +a(g748 +VChar +p2642 +tp2643 +a(g412 +g966 +tp2644 +a(g826 +V\u000a +p2645 +tp2646 +a(g435 +VIgnoreNoBrake +p2647 +tp2648 +a(g412 +g1407 +tp2649 +a(g826 +g962 +tp2650 +a(g748 +VBoolean +p2651 +tp2652 +a(g826 +g962 +tp2653 +a(g412 +g1007 +tp2654 +a(g826 +g962 +tp2655 +a(g744 +VTrue +p2656 +tp2657 +a(g705 +g1141 +tp2658 +a(g412 +g1407 +tp2659 +a(g826 +g962 +tp2660 +a(g744 +Vstring +p2661 +tp2662 +a(g412 +g966 +tp2663 +a(g826 +V\u000a\u000a +p2664 +tp2665 +a(g744 +Vfunction +p2666 +tp2667 +a(g826 +g962 +tp2668 +a(g573 +VFromChar +p2669 +tp2670 +a(g705 +g1137 +tp2671 +a(g744 +Vconst +p2672 +tp2673 +a(g826 +g962 +tp2674 +a(g435 +g1773 +tp2675 +a(g412 +g1407 +tp2676 +a(g826 +g962 +tp2677 +a(g744 +Vstring +p2678 +tp2679 +a(g412 +g966 +tp2680 +a(g826 +g962 +tp2681 +a(g435 +VBrake +p2682 +tp2683 +a(g412 +g1407 +tp2684 +a(g826 +g962 +tp2685 +a(g748 +VChar +p2686 +tp2687 +a(g705 +g1141 +tp2688 +a(g412 +g1407 +tp2689 +a(g826 +g962 +tp2690 +a(g744 +Vstring +p2691 +tp2692 +a(g412 +g966 +tp2693 +a(g826 +g962 +tp2694 +a(g435 +Voverload +p2695 +tp2696 +a(g412 +g966 +tp2697 +a(g826 +V\u000a +p2698 +tp2699 +a(g744 +Vfunction +p2700 +tp2701 +a(g826 +g962 +tp2702 +a(g573 +VFromChar +p2703 +tp2704 +a(g705 +g1137 +tp2705 +a(g744 +Vconst +p2706 +tp2707 +a(g826 +g962 +tp2708 +a(g435 +g1773 +tp2709 +a(g412 +g1407 +tp2710 +a(g826 +g962 +tp2711 +a(g744 +Vstring +p2712 +tp2713 +a(g412 +g966 +tp2714 +a(g826 +g962 +tp2715 +a(g435 +VBrake +p2716 +tp2717 +a(g412 +g1407 +tp2718 +a(g826 +g962 +tp2719 +a(g435 +VTCharSet +p2720 +tp2721 +a(g705 +g1141 +tp2722 +a(g412 +g1407 +tp2723 +a(g826 +g962 +tp2724 +a(g744 +Vstring +p2725 +tp2726 +a(g412 +g966 +tp2727 +a(g826 +g962 +tp2728 +a(g435 +Voverload +p2729 +tp2730 +a(g412 +g966 +tp2731 +a(g826 +V\u000a +p2732 +tp2733 +a(g744 +Vfunction +p2734 +tp2735 +a(g826 +g962 +tp2736 +a(g573 +VFromLastChar +p2737 +tp2738 +a(g705 +g1137 +tp2739 +a(g744 +Vconst +p2740 +tp2741 +a(g826 +g962 +tp2742 +a(g435 +g1773 +tp2743 +a(g412 +g1407 +tp2744 +a(g826 +g962 +tp2745 +a(g744 +Vstring +p2746 +tp2747 +a(g412 +g966 +tp2748 +a(g826 +g962 +tp2749 +a(g435 +VBrake +p2750 +tp2751 +a(g412 +g1407 +tp2752 +a(g826 +g962 +tp2753 +a(g748 +VChar +p2754 +tp2755 +a(g412 +g966 +tp2756 +a(g826 +V\u000a +p2757 +tp2758 +a(g435 +VIgnoreNoBrake +p2759 +tp2760 +a(g412 +g1407 +tp2761 +a(g826 +g962 +tp2762 +a(g748 +VBoolean +p2763 +tp2764 +a(g826 +g962 +tp2765 +a(g412 +g1007 +tp2766 +a(g826 +g962 +tp2767 +a(g744 +VFalse +p2768 +tp2769 +a(g705 +g1141 +tp2770 +a(g412 +g1407 +tp2771 +a(g826 +g962 +tp2772 +a(g744 +Vstring +p2773 +tp2774 +a(g412 +g966 +tp2775 +a(g826 +V\u000a\u000a +p2776 +tp2777 +a(g744 +Vfunction +p2778 +tp2779 +a(g826 +g962 +tp2780 +a(g573 +VBetweenChars +p2781 +tp2782 +a(g705 +g1137 +tp2783 +a(g744 +Vconst +p2784 +tp2785 +a(g826 +g962 +tp2786 +a(g435 +g1773 +tp2787 +a(g412 +g1407 +tp2788 +a(g826 +g962 +tp2789 +a(g744 +Vstring +p2790 +tp2791 +a(g412 +g966 +tp2792 +a(g826 +g962 +tp2793 +a(g435 +VStart +p2794 +tp2795 +a(g412 +g984 +tp2796 +a(g826 +g962 +tp2797 +a(g435 +VFinish +p2798 +tp2799 +a(g412 +g1407 +tp2800 +a(g826 +g962 +tp2801 +a(g748 +VChar +p2802 +tp2803 +a(g412 +g966 +tp2804 +a(g826 +V\u000a +p2805 +tp2806 +a(g435 +VInclusive +p2807 +tp2808 +a(g412 +g1407 +tp2809 +a(g826 +g962 +tp2810 +a(g748 +VBoolean +p2811 +tp2812 +a(g826 +g962 +tp2813 +a(g412 +g1007 +tp2814 +a(g826 +g962 +tp2815 +a(g744 +VFalse +p2816 +tp2817 +a(g705 +g1141 +tp2818 +a(g412 +g1407 +tp2819 +a(g826 +g962 +tp2820 +a(g744 +Vstring +p2821 +tp2822 +a(g412 +g966 +tp2823 +a(g826 +V\u000a\u000a +p2824 +tp2825 +a(g744 +Vfunction +p2826 +tp2827 +a(g826 +g962 +tp2828 +a(g573 +VUntilStr +p2829 +tp2830 +a(g705 +g1137 +tp2831 +a(g744 +Vconst +p2832 +tp2833 +a(g826 +g962 +tp2834 +a(g435 +g1773 +tp2835 +a(g412 +g1407 +tp2836 +a(g826 +g962 +tp2837 +a(g744 +Vstring +p2838 +tp2839 +a(g412 +g966 +tp2840 +a(g826 +g962 +tp2841 +a(g435 +VBrake +p2842 +tp2843 +a(g412 +g1407 +tp2844 +a(g826 +g962 +tp2845 +a(g744 +Vstring +p2846 +tp2847 +a(g705 +g1141 +tp2848 +a(g412 +g1407 +tp2849 +a(g826 +g962 +tp2850 +a(g744 +Vstring +p2851 +tp2852 +a(g412 +g966 +tp2853 +a(g826 +V\u000a +p2854 +tp2855 +a(g744 +Vfunction +p2856 +tp2857 +a(g826 +g962 +tp2858 +a(g573 +VFromStr +p2859 +tp2860 +a(g705 +g1137 +tp2861 +a(g744 +Vconst +p2862 +tp2863 +a(g826 +g962 +tp2864 +a(g435 +g1773 +tp2865 +a(g412 +g1407 +tp2866 +a(g826 +g962 +tp2867 +a(g744 +Vstring +p2868 +tp2869 +a(g412 +g966 +tp2870 +a(g826 +g962 +tp2871 +a(g435 +VBrake +p2872 +tp2873 +a(g412 +g1407 +tp2874 +a(g826 +g962 +tp2875 +a(g744 +Vstring +p2876 +tp2877 +a(g705 +g1141 +tp2878 +a(g412 +g1407 +tp2879 +a(g826 +g962 +tp2880 +a(g744 +Vstring +p2881 +tp2882 +a(g412 +g966 +tp2883 +a(g826 +V\u000a\u000a +p2884 +tp2885 +a(g744 +Vfunction +p2886 +tp2887 +a(g826 +g962 +tp2888 +a(g573 +VStringWrap +p2889 +tp2890 +a(g705 +g1137 +tp2891 +a(g744 +Vconst +p2892 +tp2893 +a(g826 +g962 +tp2894 +a(g435 +g1773 +tp2895 +a(g412 +g1407 +tp2896 +a(g826 +g962 +tp2897 +a(g744 +Vstring +p2898 +tp2899 +a(g412 +g966 +tp2900 +a(g826 +g962 +tp2901 +a(g435 +VWidth +p2902 +tp2903 +a(g412 +g1407 +tp2904 +a(g826 +g962 +tp2905 +a(g748 +VInteger +p2906 +tp2907 +a(g412 +g966 +tp2908 +a(g826 +g962 +tp2909 +a(g744 +Vconst +p2910 +tp2911 +a(g826 +g962 +tp2912 +a(g435 +VLineEnd +p2913 +tp2914 +a(g412 +g1407 +tp2915 +a(g826 +g962 +tp2916 +a(g744 +Vstring +p2917 +tp2918 +a(g826 +g962 +tp2919 +a(g412 +g1007 +tp2920 +a(g826 +g962 +tp2921 +a(g435 +VEOL +p2922 +tp2923 +a(g705 +g1141 +tp2924 +a(g412 +g1407 +tp2925 +a(g826 +g962 +tp2926 +a(g744 +Vstring +p2927 +tp2928 +a(g412 +g966 +tp2929 +a(g826 +V\u000a\u000a +p2930 +tp2931 +a(g929 +V{ Splitting & Combining } +p2932 +tp2933 +a(g826 +V\u000a +p2934 +tp2935 +a(g744 +Vfunction +p2936 +tp2937 +a(g826 +g962 +tp2938 +a(g573 +VSplit +p2939 +tp2940 +a(g705 +g1137 +tp2941 +a(g744 +Vconst +p2942 +tp2943 +a(g826 +g962 +tp2944 +a(g435 +g1773 +tp2945 +a(g412 +g984 +tp2946 +a(g826 +g962 +tp2947 +a(g435 +VSeparator +p2948 +tp2949 +a(g412 +g1407 +tp2950 +a(g826 +g962 +tp2951 +a(g744 +Vstring +p2952 +tp2953 +a(g412 +g966 +tp2954 +a(g826 +g962 +tp2955 +a(g435 +VIgnoreMultiSep +p2956 +tp2957 +a(g412 +g1407 +tp2958 +a(g826 +g962 +tp2959 +a(g748 +VBoolean +p2960 +tp2961 +a(g826 +g962 +tp2962 +a(g412 +g1007 +tp2963 +a(g826 +g962 +tp2964 +a(g744 +VTrue +p2965 +tp2966 +a(g412 +g966 +tp2967 +a(g826 +V\u000a +p2968 +tp2969 +a(g435 +VMinCount +p2970 +tp2971 +a(g412 +g1407 +tp2972 +a(g826 +g962 +tp2973 +a(g748 +VInteger +p2974 +tp2975 +a(g826 +g962 +tp2976 +a(g412 +g1007 +tp2977 +a(g826 +g962 +tp2978 +a(g37 +g1202 +tp2979 +a(g705 +g1141 +tp2980 +a(g412 +g1407 +tp2981 +a(g826 +g962 +tp2982 +a(g435 +VTStrA +p2983 +tp2984 +a(g412 +g966 +tp2985 +a(g826 +g962 +tp2986 +a(g435 +Voverload +p2987 +tp2988 +a(g412 +g966 +tp2989 +a(g826 +V\u000a +p2990 +tp2991 +a(g744 +Vprocedure +p2992 +tp2993 +a(g826 +g962 +tp2994 +a(g573 +VSplit +p2995 +tp2996 +a(g705 +g1137 +tp2997 +a(g744 +Vconst +p2998 +tp2999 +a(g826 +g962 +tp3000 +a(g435 +g1773 +tp3001 +a(g412 +g984 +tp3002 +a(g826 +g962 +tp3003 +a(g435 +VSeparator +p3004 +tp3005 +a(g412 +g1407 +tp3006 +a(g826 +g962 +tp3007 +a(g744 +Vstring +p3008 +tp3009 +a(g412 +g966 +tp3010 +a(g826 +g962 +tp3011 +a(g435 +VStrings +p3012 +tp3013 +a(g412 +g1407 +tp3014 +a(g826 +g962 +tp3015 +a(g435 +VTStrings +p3016 +tp3017 +a(g412 +g966 +tp3018 +a(g826 +V\u000a +p3019 +tp3020 +a(g435 +VIgnoreMultiSep +p3021 +tp3022 +a(g412 +g1407 +tp3023 +a(g826 +g962 +tp3024 +a(g748 +VBoolean +p3025 +tp3026 +a(g826 +g962 +tp3027 +a(g412 +g1007 +tp3028 +a(g826 +g962 +tp3029 +a(g744 +VTrue +p3030 +tp3031 +a(g705 +g1141 +tp3032 +a(g412 +g966 +tp3033 +a(g826 +g962 +tp3034 +a(g435 +Voverload +p3035 +tp3036 +a(g412 +g966 +tp3037 +a(g826 +V\u000a +p3038 +tp3039 +a(g744 +Vfunction +p3040 +tp3041 +a(g826 +g962 +tp3042 +a(g573 +VSplit +p3043 +tp3044 +a(g705 +g1137 +tp3045 +a(g744 +Vconst +p3046 +tp3047 +a(g826 +g962 +tp3048 +a(g435 +g1773 +tp3049 +a(g412 +g1407 +tp3050 +a(g826 +g962 +tp3051 +a(g744 +Vstring +p3052 +tp3053 +a(g412 +g966 +tp3054 +a(g826 +g962 +tp3055 +a(g435 +VSeparators +p3056 +tp3057 +a(g412 +g1407 +tp3058 +a(g826 +g962 +tp3059 +a(g435 +VTCharSet +p3060 +tp3061 +a(g412 +g966 +tp3062 +a(g826 +V\u000a +p3063 +tp3064 +a(g435 +VIgnoreMultiSep +p3065 +tp3066 +a(g412 +g1407 +tp3067 +a(g826 +g962 +tp3068 +a(g748 +VBoolean +p3069 +tp3070 +a(g826 +g962 +tp3071 +a(g412 +g1007 +tp3072 +a(g826 +g962 +tp3073 +a(g744 +VTrue +p3074 +tp3075 +a(g412 +g966 +tp3076 +a(g826 +g962 +tp3077 +a(g435 +VMinCount +p3078 +tp3079 +a(g412 +g1407 +tp3080 +a(g826 +g962 +tp3081 +a(g748 +VInteger +p3082 +tp3083 +a(g826 +g962 +tp3084 +a(g412 +g1007 +tp3085 +a(g826 +g962 +tp3086 +a(g37 +g1202 +tp3087 +a(g705 +g1141 +tp3088 +a(g412 +g1407 +tp3089 +a(g826 +g962 +tp3090 +a(g435 +VTStrA +p3091 +tp3092 +a(g412 +g966 +tp3093 +a(g826 +g962 +tp3094 +a(g435 +Voverload +p3095 +tp3096 +a(g412 +g966 +tp3097 +a(g826 +V\u000a\u000a +p3098 +tp3099 +a(g744 +Vprocedure +p3100 +tp3101 +a(g826 +g962 +tp3102 +a(g573 +VTileStr +p3103 +tp3104 +a(g705 +g1137 +tp3105 +a(g744 +Vconst +p3106 +tp3107 +a(g826 +g962 +tp3108 +a(g435 +g1773 +tp3109 +a(g412 +g1407 +tp3110 +a(g826 +g962 +tp3111 +a(g744 +Vstring +p3112 +tp3113 +a(g412 +g966 +tp3114 +a(g826 +g962 +tp3115 +a(g435 +VBrakeStart +p3116 +tp3117 +a(g412 +g1407 +tp3118 +a(g826 +g962 +tp3119 +a(g748 +VInteger +p3120 +tp3121 +a(g412 +g966 +tp3122 +a(g826 +g962 +tp3123 +a(g435 +VBrakeEnd +p3124 +tp3125 +a(g412 +g1407 +tp3126 +a(g826 +g962 +tp3127 +a(g748 +VInteger +p3128 +tp3129 +a(g412 +g966 +tp3130 +a(g826 +V\u000a +p3131 +tp3132 +a(g435 +Vout +p3133 +tp3134 +a(g826 +g962 +tp3135 +a(g435 +VLeft +p3136 +tp3137 +a(g412 +g984 +tp3138 +a(g826 +g962 +tp3139 +a(g435 +VRight +p3140 +tp3141 +a(g412 +g1407 +tp3142 +a(g826 +g962 +tp3143 +a(g744 +Vstring +p3144 +tp3145 +a(g705 +g1141 +tp3146 +a(g412 +g966 +tp3147 +a(g826 +V\u000a\u000a +p3148 +tp3149 +a(g744 +Vfunction +p3150 +tp3151 +a(g826 +g962 +tp3152 +a(g573 +VJoin +p3153 +tp3154 +a(g705 +g1137 +tp3155 +a(g435 +VStrings +p3156 +tp3157 +a(g412 +g1407 +tp3158 +a(g826 +g962 +tp3159 +a(g435 +VTStrings +p3160 +tp3161 +a(g412 +g966 +tp3162 +a(g826 +g962 +tp3163 +a(g435 +VSeparator +p3164 +tp3165 +a(g412 +g1407 +tp3166 +a(g826 +g962 +tp3167 +a(g744 +Vstring +p3168 +tp3169 +a(g826 +g962 +tp3170 +a(g412 +g1007 +tp3171 +a(g826 +g962 +tp3172 +a(g89 +g1101 +tp3173 +a(g89 +g962 +tp3174 +a(g89 +g1101 +tp3175 +a(g705 +g1141 +tp3176 +a(g412 +g1407 +tp3177 +a(g826 +g962 +tp3178 +a(g744 +Vstring +p3179 +tp3180 +a(g412 +g966 +tp3181 +a(g826 +g962 +tp3182 +a(g435 +Voverload +p3183 +tp3184 +a(g412 +g966 +tp3185 +a(g826 +V\u000a +p3186 +tp3187 +a(g744 +Vfunction +p3188 +tp3189 +a(g826 +g962 +tp3190 +a(g573 +VJoin +p3191 +tp3192 +a(g705 +g1137 +tp3193 +a(g435 +VStrA +p3194 +tp3195 +a(g412 +g1407 +tp3196 +a(g826 +g962 +tp3197 +a(g435 +VTStrA +p3198 +tp3199 +a(g412 +g966 +tp3200 +a(g826 +g962 +tp3201 +a(g435 +VSeparator +p3202 +tp3203 +a(g412 +g1407 +tp3204 +a(g826 +g962 +tp3205 +a(g744 +Vstring +p3206 +tp3207 +a(g826 +g962 +tp3208 +a(g412 +g1007 +tp3209 +a(g826 +g962 +tp3210 +a(g89 +g1101 +tp3211 +a(g89 +g962 +tp3212 +a(g89 +g1101 +tp3213 +a(g705 +g1141 +tp3214 +a(g412 +g1407 +tp3215 +a(g826 +g962 +tp3216 +a(g744 +Vstring +p3217 +tp3218 +a(g412 +g966 +tp3219 +a(g826 +g962 +tp3220 +a(g435 +Voverload +p3221 +tp3222 +a(g412 +g966 +tp3223 +a(g826 +V\u000a\u000a +p3224 +tp3225 +a(g744 +Vfunction +p3226 +tp3227 +a(g826 +g962 +tp3228 +a(g573 +VMulStr +p3229 +tp3230 +a(g705 +g1137 +tp3231 +a(g744 +Vconst +p3232 +tp3233 +a(g826 +g962 +tp3234 +a(g435 +g1773 +tp3235 +a(g412 +g1407 +tp3236 +a(g826 +g962 +tp3237 +a(g744 +Vstring +p3238 +tp3239 +a(g412 +g966 +tp3240 +a(g826 +g962 +tp3241 +a(g435 +VCount +p3242 +tp3243 +a(g412 +g1407 +tp3244 +a(g826 +g962 +tp3245 +a(g748 +VInteger +p3246 +tp3247 +a(g705 +g1141 +tp3248 +a(g412 +g1407 +tp3249 +a(g826 +g962 +tp3250 +a(g744 +Vstring +p3251 +tp3252 +a(g412 +g966 +tp3253 +a(g826 +V\u000a\u000a +p3254 +tp3255 +a(g929 +V{ Strings ausrichten } +p3256 +tp3257 +a(g826 +V\u000a +p3258 +tp3259 +a(g744 +Vfunction +p3260 +tp3261 +a(g826 +g962 +tp3262 +a(g573 +VAlignR +p3263 +tp3264 +a(g705 +g1137 +tp3265 +a(g744 +Vconst +p3266 +tp3267 +a(g826 +g962 +tp3268 +a(g435 +g1773 +tp3269 +a(g412 +g1407 +tp3270 +a(g826 +g962 +tp3271 +a(g744 +Vstring +p3272 +tp3273 +a(g412 +g966 +tp3274 +a(g826 +g962 +tp3275 +a(g435 +VWidth +p3276 +tp3277 +a(g412 +g1407 +tp3278 +a(g826 +g962 +tp3279 +a(g748 +VInteger +p3280 +tp3281 +a(g412 +g966 +tp3282 +a(g826 +g962 +tp3283 +a(g435 +VFiller +p3284 +tp3285 +a(g412 +g1407 +tp3286 +a(g826 +g962 +tp3287 +a(g748 +VChar +p3288 +tp3289 +a(g826 +g962 +tp3290 +a(g412 +g1007 +tp3291 +a(g826 +g962 +tp3292 +a(g89 +g1101 +tp3293 +a(g89 +g962 +tp3294 +a(g89 +g1101 +tp3295 +a(g705 +g1141 +tp3296 +a(g412 +g1407 +tp3297 +a(g826 +g962 +tp3298 +a(g744 +Vstring +p3299 +tp3300 +a(g412 +g966 +tp3301 +a(g826 +V\u000a +p3302 +tp3303 +a(g744 +Vfunction +p3304 +tp3305 +a(g826 +g962 +tp3306 +a(g573 +VMaxStr +p3307 +tp3308 +a(g705 +g1137 +tp3309 +a(g744 +Vconst +p3310 +tp3311 +a(g826 +g962 +tp3312 +a(g435 +g1773 +tp3313 +a(g412 +g1407 +tp3314 +a(g826 +g962 +tp3315 +a(g744 +Vstring +p3316 +tp3317 +a(g412 +g966 +tp3318 +a(g826 +g962 +tp3319 +a(g435 +VMaxLen +p3320 +tp3321 +a(g412 +g1407 +tp3322 +a(g826 +g962 +tp3323 +a(g748 +VInteger +p3324 +tp3325 +a(g705 +g1141 +tp3326 +a(g412 +g1407 +tp3327 +a(g826 +g962 +tp3328 +a(g744 +Vstring +p3329 +tp3330 +a(g412 +g966 +tp3331 +a(g826 +V\u000a\u000a +p3332 +tp3333 +a(g929 +V{ Stringing } +p3334 +tp3335 +a(g826 +V\u000a +p3336 +tp3337 +a(g744 +Vfunction +p3338 +tp3339 +a(g826 +g962 +tp3340 +a(g573 +VTrimAll +p3341 +tp3342 +a(g705 +g1137 +tp3343 +a(g744 +Vconst +p3344 +tp3345 +a(g826 +g962 +tp3346 +a(g435 +g1773 +tp3347 +a(g412 +g1407 +tp3348 +a(g826 +g962 +tp3349 +a(g744 +Vstring +p3350 +tp3351 +a(g705 +g1141 +tp3352 +a(g412 +g1407 +tp3353 +a(g826 +g962 +tp3354 +a(g744 +Vstring +p3355 +tp3356 +a(g412 +g966 +tp3357 +a(g826 +V\u000a\u000a +p3358 +tp3359 +a(g744 +Vfunction +p3360 +tp3361 +a(g826 +g962 +tp3362 +a(g573 +VControlChar +p3363 +tp3364 +a(g705 +g1137 +tp3365 +a(g435 +g1510 +tp3366 +a(g412 +g1407 +tp3367 +a(g826 +g962 +tp3368 +a(g748 +VChar +p3369 +tp3370 +a(g705 +g1141 +tp3371 +a(g412 +g1407 +tp3372 +a(g826 +g962 +tp3373 +a(g748 +VBoolean +p3374 +tp3375 +a(g412 +g966 +tp3376 +a(g826 +V\u000a +p3377 +tp3378 +a(g744 +Vfunction +p3379 +tp3380 +a(g826 +g962 +tp3381 +a(g573 +VFriendlyChar +p3382 +tp3383 +a(g705 +g1137 +tp3384 +a(g435 +g1510 +tp3385 +a(g412 +g1407 +tp3386 +a(g826 +g962 +tp3387 +a(g748 +VChar +p3388 +tp3389 +a(g705 +g1141 +tp3390 +a(g412 +g1407 +tp3391 +a(g826 +g962 +tp3392 +a(g748 +VChar +p3393 +tp3394 +a(g412 +g966 +tp3395 +a(g826 +V\u000a\u000a +p3396 +tp3397 +a(g744 +Vfunction +p3398 +tp3399 +a(g826 +g962 +tp3400 +a(g573 +VFriendlyStr +p3401 +tp3402 +a(g705 +g1137 +tp3403 +a(g744 +Vconst +p3404 +tp3405 +a(g826 +g962 +tp3406 +a(g435 +g1773 +tp3407 +a(g412 +g1407 +tp3408 +a(g826 +g962 +tp3409 +a(g744 +Vstring +p3410 +tp3411 +a(g705 +g1141 +tp3412 +a(g412 +g1407 +tp3413 +a(g826 +g962 +tp3414 +a(g744 +Vstring +p3415 +tp3416 +a(g412 +g966 +tp3417 +a(g826 +g962 +tp3418 +a(g435 +Voverload +p3419 +tp3420 +a(g412 +g966 +tp3421 +a(g826 +V\u000a +p3422 +tp3423 +a(g744 +Vfunction +p3424 +tp3425 +a(g826 +g962 +tp3426 +a(g573 +VFriendlyStr +p3427 +tp3428 +a(g705 +g1137 +tp3429 +a(g435 +g1182 +tp3430 +a(g412 +g1407 +tp3431 +a(g826 +g962 +tp3432 +a(g435 +VTByteA +p3433 +tp3434 +a(g705 +g1141 +tp3435 +a(g412 +g1407 +tp3436 +a(g826 +g962 +tp3437 +a(g744 +Vstring +p3438 +tp3439 +a(g412 +g966 +tp3440 +a(g826 +g962 +tp3441 +a(g435 +Voverload +p3442 +tp3443 +a(g412 +g966 +tp3444 +a(g826 +V\u000a\u000a +p3445 +tp3446 +a(g744 +Vfunction +p3447 +tp3448 +a(g826 +g962 +tp3449 +a(g573 +VQuote +p3450 +tp3451 +a(g705 +g1137 +tp3452 +a(g744 +Vconst +p3453 +tp3454 +a(g826 +g962 +tp3455 +a(g435 +g1773 +tp3456 +a(g412 +g1407 +tp3457 +a(g826 +g962 +tp3458 +a(g744 +Vstring +p3459 +tp3460 +a(g412 +g966 +tp3461 +a(g826 +g962 +tp3462 +a(g435 +VQuoter +p3463 +tp3464 +a(g412 +g1407 +tp3465 +a(g826 +g962 +tp3466 +a(g748 +VChar +p3467 +tp3468 +a(g826 +g962 +tp3469 +a(g412 +g1007 +tp3470 +a(g826 +g962 +tp3471 +a(g89 +g1101 +tp3472 +a(g89 +g1357 +tp3473 +a(g89 +g1101 +tp3474 +a(g705 +g1141 +tp3475 +a(g412 +g1407 +tp3476 +a(g826 +g962 +tp3477 +a(g744 +Vstring +p3478 +tp3479 +a(g412 +g966 +tp3480 +a(g826 +V\u000a +p3481 +tp3482 +a(g744 +Vfunction +p3483 +tp3484 +a(g826 +g962 +tp3485 +a(g573 +VUnQuote +p3486 +tp3487 +a(g705 +g1137 +tp3488 +a(g744 +Vconst +p3489 +tp3490 +a(g826 +g962 +tp3491 +a(g435 +g1773 +tp3492 +a(g412 +g1407 +tp3493 +a(g826 +g962 +tp3494 +a(g744 +Vstring +p3495 +tp3496 +a(g705 +g1141 +tp3497 +a(g412 +g1407 +tp3498 +a(g826 +g962 +tp3499 +a(g744 +Vstring +p3500 +tp3501 +a(g412 +g966 +tp3502 +a(g826 +V\u000a +p3503 +tp3504 +a(g744 +Vfunction +p3505 +tp3506 +a(g826 +g962 +tp3507 +a(g573 +VDeQuote +p3508 +tp3509 +a(g705 +g1137 +tp3510 +a(g744 +Vconst +p3511 +tp3512 +a(g826 +g962 +tp3513 +a(g435 +g1773 +tp3514 +a(g412 +g1407 +tp3515 +a(g826 +g962 +tp3516 +a(g744 +Vstring +p3517 +tp3518 +a(g705 +g1141 +tp3519 +a(g412 +g1407 +tp3520 +a(g826 +g962 +tp3521 +a(g744 +Vstring +p3522 +tp3523 +a(g412 +g966 +tp3524 +a(g826 +V\u000a\u000a +p3525 +tp3526 +a(g744 +Vfunction +p3527 +tp3528 +a(g826 +g962 +tp3529 +a(g573 +VStrNumerus +p3530 +tp3531 +a(g705 +g1137 +tp3532 +a(g744 +Vconst +p3533 +tp3534 +a(g826 +g962 +tp3535 +a(g435 +VValue +p3536 +tp3537 +a(g412 +g1407 +tp3538 +a(g826 +g962 +tp3539 +a(g748 +VInteger +p3540 +tp3541 +a(g412 +g966 +tp3542 +a(g826 +g962 +tp3543 +a(g744 +Vconst +p3544 +tp3545 +a(g826 +g962 +tp3546 +a(g435 +VSingular +p3547 +tp3548 +a(g412 +g984 +tp3549 +a(g826 +g962 +tp3550 +a(g435 +VPlural +p3551 +tp3552 +a(g412 +g1407 +tp3553 +a(g826 +g962 +tp3554 +a(g744 +Vstring +p3555 +tp3556 +a(g412 +g966 +tp3557 +a(g826 +V\u000a +p3558 +tp3559 +a(g744 +Vconst +p3560 +tp3561 +a(g826 +g962 +tp3562 +a(g435 +VZero +p3563 +tp3564 +a(g412 +g1407 +tp3565 +a(g826 +g962 +tp3566 +a(g744 +Vstring +p3567 +tp3568 +a(g826 +g962 +tp3569 +a(g412 +g1007 +tp3570 +a(g826 +g962 +tp3571 +a(g89 +g1101 +tp3572 +a(g89 +g1202 +tp3573 +a(g89 +g1101 +tp3574 +a(g705 +g1141 +tp3575 +a(g412 +g1407 +tp3576 +a(g826 +g962 +tp3577 +a(g744 +Vstring +p3578 +tp3579 +a(g412 +g966 +tp3580 +a(g826 +V\u000a\u000a +p3581 +tp3582 +a(g744 +Vfunction +p3583 +tp3584 +a(g826 +g962 +tp3585 +a(g573 +VMakeStr +p3586 +tp3587 +a(g705 +g1137 +tp3588 +a(g744 +Vconst +p3589 +tp3590 +a(g826 +g962 +tp3591 +a(g435 +VItems +p3592 +tp3593 +a(g412 +g1407 +tp3594 +a(g826 +g962 +tp3595 +a(g744 +Varray +p3596 +tp3597 +a(g826 +g962 +tp3598 +a(g744 +Vof +p3599 +tp3600 +a(g826 +g962 +tp3601 +a(g744 +Vconst +p3602 +tp3603 +a(g412 +g966 +tp3604 +a(g826 +g962 +tp3605 +a(g435 +VSeparator +p3606 +tp3607 +a(g412 +g1407 +tp3608 +a(g826 +g962 +tp3609 +a(g744 +Vstring +p3610 +tp3611 +a(g826 +g962 +tp3612 +a(g412 +g1007 +tp3613 +a(g826 +g962 +tp3614 +a(g89 +g1101 +tp3615 +a(g89 +g1101 +tp3616 +a(g705 +g1141 +tp3617 +a(g412 +g1407 +tp3618 +a(g826 +g962 +tp3619 +a(g744 +Vstring +p3620 +tp3621 +a(g412 +g966 +tp3622 +a(g826 +V\u000a +p3623 +tp3624 +a(g744 +Vprocedure +p3625 +tp3626 +a(g826 +g962 +tp3627 +a(g573 +VShowText +p3628 +tp3629 +a(g705 +g1137 +tp3630 +a(g744 +Vconst +p3631 +tp3632 +a(g826 +g962 +tp3633 +a(g435 +VItems +p3634 +tp3635 +a(g412 +g1407 +tp3636 +a(g826 +g962 +tp3637 +a(g744 +Varray +p3638 +tp3639 +a(g826 +g962 +tp3640 +a(g744 +Vof +p3641 +tp3642 +a(g826 +g962 +tp3643 +a(g744 +Vconst +p3644 +tp3645 +a(g412 +g966 +tp3646 +a(g826 +g962 +tp3647 +a(g435 +VSeparator +p3648 +tp3649 +a(g412 +g1407 +tp3650 +a(g826 +g962 +tp3651 +a(g744 +Vstring +p3652 +tp3653 +a(g826 +g962 +tp3654 +a(g412 +g1007 +tp3655 +a(g826 +g962 +tp3656 +a(g89 +g1101 +tp3657 +a(g89 +g1101 +tp3658 +a(g705 +g1141 +tp3659 +a(g412 +g966 +tp3660 +a(g826 +V\u000a\u000a +p3661 +tp3662 +a(g929 +V{ Delete } +p3663 +tp3664 +a(g826 +V\u000a +p3665 +tp3666 +a(g744 +Vfunction +p3667 +tp3668 +a(g826 +g962 +tp3669 +a(g573 +VDeleteChars +p3670 +tp3671 +a(g705 +g1137 +tp3672 +a(g744 +Vconst +p3673 +tp3674 +a(g826 +g962 +tp3675 +a(g435 +g1773 +tp3676 +a(g412 +g1407 +tp3677 +a(g826 +g962 +tp3678 +a(g744 +Vstring +p3679 +tp3680 +a(g412 +g966 +tp3681 +a(g826 +g962 +tp3682 +a(g435 +g1510 +tp3683 +a(g412 +g1407 +tp3684 +a(g826 +g962 +tp3685 +a(g748 +VChar +p3686 +tp3687 +a(g705 +g1141 +tp3688 +a(g412 +g1407 +tp3689 +a(g826 +g962 +tp3690 +a(g744 +Vstring +p3691 +tp3692 +a(g412 +g966 +tp3693 +a(g826 +g962 +tp3694 +a(g435 +Voverload +p3695 +tp3696 +a(g412 +g966 +tp3697 +a(g826 +V\u000a +p3698 +tp3699 +a(g744 +Vfunction +p3700 +tp3701 +a(g826 +g962 +tp3702 +a(g573 +VDeleteChars +p3703 +tp3704 +a(g705 +g1137 +tp3705 +a(g744 +Vconst +p3706 +tp3707 +a(g826 +g962 +tp3708 +a(g435 +g1773 +tp3709 +a(g412 +g1407 +tp3710 +a(g826 +g962 +tp3711 +a(g744 +Vstring +p3712 +tp3713 +a(g412 +g966 +tp3714 +a(g826 +g962 +tp3715 +a(g435 +g1510 +tp3716 +a(g412 +g1407 +tp3717 +a(g826 +g962 +tp3718 +a(g435 +VTCharSet +p3719 +tp3720 +a(g705 +g1141 +tp3721 +a(g412 +g1407 +tp3722 +a(g826 +g962 +tp3723 +a(g744 +Vstring +p3724 +tp3725 +a(g412 +g966 +tp3726 +a(g826 +g962 +tp3727 +a(g435 +Voverload +p3728 +tp3729 +a(g412 +g966 +tp3730 +a(g826 +V\u000a +p3731 +tp3732 +a(g744 +Vfunction +p3733 +tp3734 +a(g826 +g962 +tp3735 +a(g573 +VExtractChars +p3736 +tp3737 +a(g705 +g1137 +tp3738 +a(g744 +Vconst +p3739 +tp3740 +a(g826 +g962 +tp3741 +a(g435 +g1773 +tp3742 +a(g412 +g1407 +tp3743 +a(g826 +g962 +tp3744 +a(g744 +Vstring +p3745 +tp3746 +a(g412 +g966 +tp3747 +a(g826 +g962 +tp3748 +a(g435 +g1510 +tp3749 +a(g412 +g1407 +tp3750 +a(g826 +g962 +tp3751 +a(g435 +VTCharSet +p3752 +tp3753 +a(g705 +g1141 +tp3754 +a(g412 +g1407 +tp3755 +a(g826 +g962 +tp3756 +a(g744 +Vstring +p3757 +tp3758 +a(g412 +g966 +tp3759 +a(g826 +V\u000a\u000a +p3760 +tp3761 +a(g929 +V{ Find } +p3762 +tp3763 +a(g826 +V\u000a +p3764 +tp3765 +a(g744 +Vfunction +p3766 +tp3767 +a(g826 +g962 +tp3768 +a(g573 +VCharCount +p3769 +tp3770 +a(g705 +g1137 +tp3771 +a(g744 +Vconst +p3772 +tp3773 +a(g826 +g962 +tp3774 +a(g435 +g1773 +tp3775 +a(g412 +g1407 +tp3776 +a(g826 +g962 +tp3777 +a(g744 +Vstring +p3778 +tp3779 +a(g412 +g966 +tp3780 +a(g826 +g962 +tp3781 +a(g435 +g1510 +tp3782 +a(g412 +g1407 +tp3783 +a(g826 +g962 +tp3784 +a(g748 +VChar +p3785 +tp3786 +a(g705 +g1141 +tp3787 +a(g412 +g1407 +tp3788 +a(g826 +g962 +tp3789 +a(g748 +VInteger +p3790 +tp3791 +a(g412 +g966 +tp3792 +a(g826 +V\u000a\u000a +p3793 +tp3794 +a(g744 +Vfunction +p3795 +tp3796 +a(g826 +g962 +tp3797 +a(g573 +VCharIn +p3798 +tp3799 +a(g705 +g1137 +tp3800 +a(g744 +Vconst +p3801 +tp3802 +a(g826 +g962 +tp3803 +a(g435 +g1773 +tp3804 +a(g412 +g1407 +tp3805 +a(g826 +g962 +tp3806 +a(g744 +Vstring +p3807 +tp3808 +a(g412 +g966 +tp3809 +a(g826 +g962 +tp3810 +a(g435 +g1510 +tp3811 +a(g412 +g1407 +tp3812 +a(g826 +g962 +tp3813 +a(g748 +VChar +p3814 +tp3815 +a(g705 +g1141 +tp3816 +a(g412 +g1407 +tp3817 +a(g826 +g962 +tp3818 +a(g748 +VBoolean +p3819 +tp3820 +a(g412 +g966 +tp3821 +a(g826 +g962 +tp3822 +a(g435 +Voverload +p3823 +tp3824 +a(g412 +g966 +tp3825 +a(g826 +V\u000a +p3826 +tp3827 +a(g744 +Vfunction +p3828 +tp3829 +a(g826 +g962 +tp3830 +a(g573 +VCharIn +p3831 +tp3832 +a(g705 +g1137 +tp3833 +a(g744 +Vconst +p3834 +tp3835 +a(g826 +g962 +tp3836 +a(g435 +g1773 +tp3837 +a(g412 +g1407 +tp3838 +a(g826 +g962 +tp3839 +a(g744 +Vstring +p3840 +tp3841 +a(g412 +g966 +tp3842 +a(g826 +g962 +tp3843 +a(g435 +g1510 +tp3844 +a(g412 +g1407 +tp3845 +a(g826 +g962 +tp3846 +a(g435 +VTCharSet +p3847 +tp3848 +a(g705 +g1141 +tp3849 +a(g412 +g1407 +tp3850 +a(g826 +g962 +tp3851 +a(g748 +VBoolean +p3852 +tp3853 +a(g412 +g966 +tp3854 +a(g826 +g962 +tp3855 +a(g435 +Voverload +p3856 +tp3857 +a(g412 +g966 +tp3858 +a(g826 +V\u000a\u000a +p3859 +tp3860 +a(g744 +Vfunction +p3861 +tp3862 +a(g826 +g962 +tp3863 +a(g573 +VStrAtPos +p3864 +tp3865 +a(g705 +g1137 +tp3866 +a(g744 +Vconst +p3867 +tp3868 +a(g826 +g962 +tp3869 +a(g435 +g1773 +tp3870 +a(g412 +g1407 +tp3871 +a(g826 +g962 +tp3872 +a(g744 +Vstring +p3873 +tp3874 +a(g412 +g966 +tp3875 +a(g826 +g962 +tp3876 +a(g681 +VPos +p3877 +tp3878 +a(g412 +g1407 +tp3879 +a(g826 +g962 +tp3880 +a(g748 +VInteger +p3881 +tp3882 +a(g412 +g966 +tp3883 +a(g826 +g962 +tp3884 +a(g744 +Vconst +p3885 +tp3886 +a(g826 +g962 +tp3887 +a(g681 +VStr +p3888 +tp3889 +a(g412 +g1407 +tp3890 +a(g826 +g962 +tp3891 +a(g744 +Vstring +p3892 +tp3893 +a(g705 +g1141 +tp3894 +a(g412 +g1407 +tp3895 +a(g826 +g962 +tp3896 +a(g748 +VBoolean +p3897 +tp3898 +a(g412 +g966 +tp3899 +a(g826 +V\u000a +p3900 +tp3901 +a(g744 +Vfunction +p3902 +tp3903 +a(g826 +g962 +tp3904 +a(g573 +VStrAtBegin +p3905 +tp3906 +a(g705 +g1137 +tp3907 +a(g744 +Vconst +p3908 +tp3909 +a(g826 +g962 +tp3910 +a(g435 +g1773 +tp3911 +a(g412 +g984 +tp3912 +a(g826 +g962 +tp3913 +a(g681 +VStr +p3914 +tp3915 +a(g412 +g1407 +tp3916 +a(g826 +g962 +tp3917 +a(g744 +Vstring +p3918 +tp3919 +a(g705 +g1141 +tp3920 +a(g412 +g1407 +tp3921 +a(g826 +g962 +tp3922 +a(g748 +VBoolean +p3923 +tp3924 +a(g412 +g966 +tp3925 +a(g826 +V\u000a +p3926 +tp3927 +a(g744 +Vfunction +p3928 +tp3929 +a(g826 +g962 +tp3930 +a(g573 +VStrIn +p3931 +tp3932 +a(g705 +g1137 +tp3933 +a(g744 +Vconst +p3934 +tp3935 +a(g826 +g962 +tp3936 +a(g435 +g1773 +tp3937 +a(g412 +g984 +tp3938 +a(g826 +g962 +tp3939 +a(g435 +VSubStr +p3940 +tp3941 +a(g412 +g1407 +tp3942 +a(g826 +g962 +tp3943 +a(g744 +Vstring +p3944 +tp3945 +a(g705 +g1141 +tp3946 +a(g412 +g1407 +tp3947 +a(g826 +g962 +tp3948 +a(g748 +VBoolean +p3949 +tp3950 +a(g412 +g966 +tp3951 +a(g826 +g962 +tp3952 +a(g435 +Voverload +p3953 +tp3954 +a(g412 +g966 +tp3955 +a(g826 +V\u000a +p3956 +tp3957 +a(g744 +Vfunction +p3958 +tp3959 +a(g826 +g962 +tp3960 +a(g573 +VStrIn +p3961 +tp3962 +a(g705 +g1137 +tp3963 +a(g435 +g1170 +tp3964 +a(g412 +g1407 +tp3965 +a(g826 +g962 +tp3966 +a(g435 +VTStrA +p3967 +tp3968 +a(g412 +g966 +tp3969 +a(g826 +g962 +tp3970 +a(g744 +Vconst +p3971 +tp3972 +a(g826 +g962 +tp3973 +a(g435 +g1773 +tp3974 +a(g412 +g1407 +tp3975 +a(g826 +g962 +tp3976 +a(g744 +Vstring +p3977 +tp3978 +a(g705 +g1141 +tp3979 +a(g412 +g1407 +tp3980 +a(g826 +g962 +tp3981 +a(g748 +VBoolean +p3982 +tp3983 +a(g412 +g966 +tp3984 +a(g826 +g962 +tp3985 +a(g435 +Voverload +p3986 +tp3987 +a(g412 +g966 +tp3988 +a(g826 +V\u000a +p3989 +tp3990 +a(g744 +Vfunction +p3991 +tp3992 +a(g826 +g962 +tp3993 +a(g573 +VStrIn +p3994 +tp3995 +a(g705 +g1137 +tp3996 +a(g435 +VSL +p3997 +tp3998 +a(g412 +g1407 +tp3999 +a(g826 +g962 +tp4000 +a(g435 +VTStrings +p4001 +tp4002 +a(g412 +g966 +tp4003 +a(g826 +g962 +tp4004 +a(g744 +Vconst +p4005 +tp4006 +a(g826 +g962 +tp4007 +a(g435 +g1773 +tp4008 +a(g412 +g1407 +tp4009 +a(g826 +g962 +tp4010 +a(g744 +Vstring +p4011 +tp4012 +a(g705 +g1141 +tp4013 +a(g412 +g1407 +tp4014 +a(g826 +g962 +tp4015 +a(g748 +VBoolean +p4016 +tp4017 +a(g412 +g966 +tp4018 +a(g826 +g962 +tp4019 +a(g435 +Voverload +p4020 +tp4021 +a(g412 +g966 +tp4022 +a(g826 +V\u000a +p4023 +tp4024 +a(g744 +Vfunction +p4025 +tp4026 +a(g826 +g962 +tp4027 +a(g573 +VStrIndex +p4028 +tp4029 +a(g705 +g1137 +tp4030 +a(g435 +g1170 +tp4031 +a(g412 +g1407 +tp4032 +a(g826 +g962 +tp4033 +a(g435 +VTStrA +p4034 +tp4035 +a(g412 +g966 +tp4036 +a(g826 +g962 +tp4037 +a(g744 +Vconst +p4038 +tp4039 +a(g826 +g962 +tp4040 +a(g435 +g1773 +tp4041 +a(g412 +g1407 +tp4042 +a(g826 +g962 +tp4043 +a(g744 +Vstring +p4044 +tp4045 +a(g705 +g1141 +tp4046 +a(g412 +g1407 +tp4047 +a(g826 +g962 +tp4048 +a(g748 +VInteger +p4049 +tp4050 +a(g412 +g966 +tp4051 +a(g826 +g962 +tp4052 +a(g435 +Voverload +p4053 +tp4054 +a(g412 +g966 +tp4055 +a(g826 +V\u000a +p4056 +tp4057 +a(g744 +Vfunction +p4058 +tp4059 +a(g826 +g962 +tp4060 +a(g573 +VStrIndex +p4061 +tp4062 +a(g705 +g1137 +tp4063 +a(g435 +VSL +p4064 +tp4065 +a(g412 +g1407 +tp4066 +a(g826 +g962 +tp4067 +a(g435 +VTStrings +p4068 +tp4069 +a(g412 +g966 +tp4070 +a(g826 +g962 +tp4071 +a(g744 +Vconst +p4072 +tp4073 +a(g826 +g962 +tp4074 +a(g435 +g1773 +tp4075 +a(g412 +g1407 +tp4076 +a(g826 +g962 +tp4077 +a(g744 +Vstring +p4078 +tp4079 +a(g705 +g1141 +tp4080 +a(g412 +g1407 +tp4081 +a(g826 +g962 +tp4082 +a(g748 +VInteger +p4083 +tp4084 +a(g412 +g966 +tp4085 +a(g826 +g962 +tp4086 +a(g435 +Voverload +p4087 +tp4088 +a(g412 +g966 +tp4089 +a(g826 +V\u000a\u000a +p4090 +tp4091 +a(g744 +Vfunction +p4092 +tp4093 +a(g826 +g962 +tp4094 +a(g573 +VTextAtPos +p4095 +tp4096 +a(g705 +g1137 +tp4097 +a(g744 +Vconst +p4098 +tp4099 +a(g826 +g962 +tp4100 +a(g435 +g1773 +tp4101 +a(g412 +g1407 +tp4102 +a(g826 +g962 +tp4103 +a(g744 +Vstring +p4104 +tp4105 +a(g412 +g966 +tp4106 +a(g826 +g962 +tp4107 +a(g681 +VPos +p4108 +tp4109 +a(g412 +g1407 +tp4110 +a(g826 +g962 +tp4111 +a(g748 +VInteger +p4112 +tp4113 +a(g412 +g966 +tp4114 +a(g826 +g962 +tp4115 +a(g744 +Vconst +p4116 +tp4117 +a(g826 +g962 +tp4118 +a(g435 +VText +p4119 +tp4120 +a(g412 +g1407 +tp4121 +a(g826 +g962 +tp4122 +a(g744 +Vstring +p4123 +tp4124 +a(g705 +g1141 +tp4125 +a(g412 +g1407 +tp4126 +a(g826 +g962 +tp4127 +a(g748 +VBoolean +p4128 +tp4129 +a(g412 +g966 +tp4130 +a(g826 +V\u000a +p4131 +tp4132 +a(g744 +Vfunction +p4133 +tp4134 +a(g826 +g962 +tp4135 +a(g573 +VTextAtBegin +p4136 +tp4137 +a(g705 +g1137 +tp4138 +a(g744 +Vconst +p4139 +tp4140 +a(g826 +g962 +tp4141 +a(g435 +g1773 +tp4142 +a(g412 +g984 +tp4143 +a(g826 +g962 +tp4144 +a(g435 +VText +p4145 +tp4146 +a(g412 +g1407 +tp4147 +a(g826 +g962 +tp4148 +a(g744 +Vstring +p4149 +tp4150 +a(g705 +g1141 +tp4151 +a(g412 +g1407 +tp4152 +a(g826 +g962 +tp4153 +a(g748 +VBoolean +p4154 +tp4155 +a(g412 +g966 +tp4156 +a(g826 +V\u000a +p4157 +tp4158 +a(g744 +Vfunction +p4159 +tp4160 +a(g826 +g962 +tp4161 +a(g573 +VTextIn +p4162 +tp4163 +a(g705 +g1137 +tp4164 +a(g744 +Vconst +p4165 +tp4166 +a(g826 +g962 +tp4167 +a(g435 +g1773 +tp4168 +a(g412 +g984 +tp4169 +a(g826 +g962 +tp4170 +a(g435 +VText +p4171 +tp4172 +a(g412 +g1407 +tp4173 +a(g826 +g962 +tp4174 +a(g744 +Vstring +p4175 +tp4176 +a(g705 +g1141 +tp4177 +a(g412 +g1407 +tp4178 +a(g826 +g962 +tp4179 +a(g748 +VBoolean +p4180 +tp4181 +a(g412 +g966 +tp4182 +a(g826 +g962 +tp4183 +a(g435 +Voverload +p4184 +tp4185 +a(g412 +g966 +tp4186 +a(g826 +V\u000a +p4187 +tp4188 +a(g744 +Vfunction +p4189 +tp4190 +a(g826 +g962 +tp4191 +a(g573 +VTextIn +p4192 +tp4193 +a(g705 +g1137 +tp4194 +a(g435 +g1170 +tp4195 +a(g412 +g1407 +tp4196 +a(g826 +g962 +tp4197 +a(g435 +VTStrA +p4198 +tp4199 +a(g412 +g966 +tp4200 +a(g826 +g962 +tp4201 +a(g744 +Vconst +p4202 +tp4203 +a(g826 +g962 +tp4204 +a(g435 +VText +p4205 +tp4206 +a(g412 +g1407 +tp4207 +a(g826 +g962 +tp4208 +a(g744 +Vstring +p4209 +tp4210 +a(g705 +g1141 +tp4211 +a(g412 +g1407 +tp4212 +a(g826 +g962 +tp4213 +a(g748 +VBoolean +p4214 +tp4215 +a(g412 +g966 +tp4216 +a(g826 +g962 +tp4217 +a(g435 +Voverload +p4218 +tp4219 +a(g412 +g966 +tp4220 +a(g826 +V\u000a +p4221 +tp4222 +a(g744 +Vfunction +p4223 +tp4224 +a(g826 +g962 +tp4225 +a(g573 +VTextIn +p4226 +tp4227 +a(g705 +g1137 +tp4228 +a(g435 +VSL +p4229 +tp4230 +a(g412 +g1407 +tp4231 +a(g826 +g962 +tp4232 +a(g435 +VTStrings +p4233 +tp4234 +a(g412 +g966 +tp4235 +a(g826 +g962 +tp4236 +a(g744 +Vconst +p4237 +tp4238 +a(g826 +g962 +tp4239 +a(g435 +VText +p4240 +tp4241 +a(g412 +g1407 +tp4242 +a(g826 +g962 +tp4243 +a(g744 +Vstring +p4244 +tp4245 +a(g705 +g1141 +tp4246 +a(g412 +g1407 +tp4247 +a(g826 +g962 +tp4248 +a(g748 +VBoolean +p4249 +tp4250 +a(g412 +g966 +tp4251 +a(g826 +g962 +tp4252 +a(g435 +Voverload +p4253 +tp4254 +a(g412 +g966 +tp4255 +a(g826 +V\u000a +p4256 +tp4257 +a(g744 +Vfunction +p4258 +tp4259 +a(g826 +g962 +tp4260 +a(g573 +VTextIndex +p4261 +tp4262 +a(g705 +g1137 +tp4263 +a(g435 +g1170 +tp4264 +a(g412 +g1407 +tp4265 +a(g826 +g962 +tp4266 +a(g435 +VTStrA +p4267 +tp4268 +a(g412 +g966 +tp4269 +a(g826 +g962 +tp4270 +a(g744 +Vconst +p4271 +tp4272 +a(g826 +g962 +tp4273 +a(g435 +VText +p4274 +tp4275 +a(g412 +g1407 +tp4276 +a(g826 +g962 +tp4277 +a(g744 +Vstring +p4278 +tp4279 +a(g705 +g1141 +tp4280 +a(g412 +g1407 +tp4281 +a(g826 +g962 +tp4282 +a(g748 +VInteger +p4283 +tp4284 +a(g412 +g966 +tp4285 +a(g826 +g962 +tp4286 +a(g435 +Voverload +p4287 +tp4288 +a(g412 +g966 +tp4289 +a(g826 +V\u000a +p4290 +tp4291 +a(g744 +Vfunction +p4292 +tp4293 +a(g826 +g962 +tp4294 +a(g573 +VTextIndex +p4295 +tp4296 +a(g705 +g1137 +tp4297 +a(g435 +VSL +p4298 +tp4299 +a(g412 +g1407 +tp4300 +a(g826 +g962 +tp4301 +a(g435 +VTStrings +p4302 +tp4303 +a(g412 +g966 +tp4304 +a(g826 +g962 +tp4305 +a(g744 +Vconst +p4306 +tp4307 +a(g826 +g962 +tp4308 +a(g435 +VText +p4309 +tp4310 +a(g412 +g1407 +tp4311 +a(g826 +g962 +tp4312 +a(g744 +Vstring +p4313 +tp4314 +a(g705 +g1141 +tp4315 +a(g412 +g1407 +tp4316 +a(g826 +g962 +tp4317 +a(g748 +VInteger +p4318 +tp4319 +a(g412 +g966 +tp4320 +a(g826 +g962 +tp4321 +a(g435 +Voverload +p4322 +tp4323 +a(g412 +g966 +tp4324 +a(g826 +V\u000a\u000a +p4325 +tp4326 +a(g929 +V{ Replace } +p4327 +tp4328 +a(g826 +V\u000a +p4329 +tp4330 +a(g744 +Vfunction +p4331 +tp4332 +a(g826 +g962 +tp4333 +a(g573 +VReplaceChars +p4334 +tp4335 +a(g705 +g1137 +tp4336 +a(g744 +Vconst +p4337 +tp4338 +a(g826 +g962 +tp4339 +a(g435 +g1773 +tp4340 +a(g412 +g1407 +tp4341 +a(g826 +g962 +tp4342 +a(g744 +Vstring +p4343 +tp4344 +a(g412 +g966 +tp4345 +a(g826 +g962 +tp4346 +a(g435 +VOld +p4347 +tp4348 +a(g412 +g984 +tp4349 +a(g826 +g962 +tp4350 +a(g744 +VNew +p4351 +tp4352 +a(g412 +g1407 +tp4353 +a(g826 +g962 +tp4354 +a(g748 +VChar +p4355 +tp4356 +a(g705 +g1141 +tp4357 +a(g412 +g1407 +tp4358 +a(g826 +g962 +tp4359 +a(g744 +Vstring +p4360 +tp4361 +a(g412 +g966 +tp4362 +a(g826 +g962 +tp4363 +a(g435 +Voverload +p4364 +tp4365 +a(g412 +g966 +tp4366 +a(g826 +V\u000a +p4367 +tp4368 +a(g744 +Vfunction +p4369 +tp4370 +a(g826 +g962 +tp4371 +a(g573 +VReplaceChars +p4372 +tp4373 +a(g705 +g1137 +tp4374 +a(g744 +Vconst +p4375 +tp4376 +a(g826 +g962 +tp4377 +a(g435 +g1773 +tp4378 +a(g412 +g1407 +tp4379 +a(g826 +g962 +tp4380 +a(g744 +Vstring +p4381 +tp4382 +a(g412 +g966 +tp4383 +a(g826 +g962 +tp4384 +a(g435 +VOld +p4385 +tp4386 +a(g412 +g1407 +tp4387 +a(g826 +g962 +tp4388 +a(g435 +VTCharSet +p4389 +tp4390 +a(g412 +g966 +tp4391 +a(g826 +g962 +tp4392 +a(g744 +VNew +p4393 +tp4394 +a(g412 +g1407 +tp4395 +a(g826 +g962 +tp4396 +a(g748 +VChar +p4397 +tp4398 +a(g705 +g1141 +tp4399 +a(g412 +g1407 +tp4400 +a(g826 +g962 +tp4401 +a(g744 +Vstring +p4402 +tp4403 +a(g412 +g966 +tp4404 +a(g826 +g962 +tp4405 +a(g435 +Voverload +p4406 +tp4407 +a(g412 +g966 +tp4408 +a(g826 +V\u000a\u000a +p4409 +tp4410 +a(g744 +Vfunction +p4411 +tp4412 +a(g826 +g962 +tp4413 +a(g573 +VReplace +p4414 +tp4415 +a(g705 +g1137 +tp4416 +a(g744 +Vconst +p4417 +tp4418 +a(g826 +g962 +tp4419 +a(g435 +g1773 +tp4420 +a(g412 +g984 +tp4421 +a(g826 +g962 +tp4422 +a(g435 +VOld +p4423 +tp4424 +a(g412 +g984 +tp4425 +a(g826 +g962 +tp4426 +a(g744 +VNew +p4427 +tp4428 +a(g412 +g1407 +tp4429 +a(g826 +g962 +tp4430 +a(g744 +Vstring +p4431 +tp4432 +a(g705 +g1141 +tp4433 +a(g412 +g1407 +tp4434 +a(g826 +g962 +tp4435 +a(g744 +Vstring +p4436 +tp4437 +a(g412 +g966 +tp4438 +a(g826 +V\u000a\u000a +p4439 +tp4440 +a(g929 +V{ TStrings } +p4441 +tp4442 +a(g826 +V\u000a +p4443 +tp4444 +a(g744 +Vfunction +p4445 +tp4446 +a(g826 +g962 +tp4447 +a(g573 +VSLOfFile +p4448 +tp4449 +a(g705 +g1137 +tp4450 +a(g744 +Vconst +p4451 +tp4452 +a(g826 +g962 +tp4453 +a(g435 +VFileName +p4454 +tp4455 +a(g412 +g1407 +tp4456 +a(g826 +g962 +tp4457 +a(g744 +Vstring +p4458 +tp4459 +a(g705 +g1141 +tp4460 +a(g412 +g1407 +tp4461 +a(g826 +g962 +tp4462 +a(g435 +VTStringList +p4463 +tp4464 +a(g412 +g966 +tp4465 +a(g826 +V\u000a +p4466 +tp4467 +a(g744 +Vfunction +p4468 +tp4469 +a(g826 +g962 +tp4470 +a(g573 +VContainsEmptyLines +p4471 +tp4472 +a(g705 +g1137 +tp4473 +a(g435 +VSL +p4474 +tp4475 +a(g412 +g1407 +tp4476 +a(g826 +g962 +tp4477 +a(g435 +VTStrings +p4478 +tp4479 +a(g705 +g1141 +tp4480 +a(g412 +g1407 +tp4481 +a(g826 +g962 +tp4482 +a(g748 +VBoolean +p4483 +tp4484 +a(g412 +g966 +tp4485 +a(g826 +V\u000a +p4486 +tp4487 +a(g744 +Vprocedure +p4488 +tp4489 +a(g826 +g962 +tp4490 +a(g573 +VDeleteEmptyLines +p4491 +tp4492 +a(g705 +g1137 +tp4493 +a(g435 +VSL +p4494 +tp4495 +a(g412 +g1407 +tp4496 +a(g826 +g962 +tp4497 +a(g435 +VTStrings +p4498 +tp4499 +a(g705 +g1141 +tp4500 +a(g412 +g966 +tp4501 +a(g826 +V\u000a +p4502 +tp4503 +a(g744 +Vprocedure +p4504 +tp4505 +a(g826 +g962 +tp4506 +a(g573 +VDeleteCommentLines +p4507 +tp4508 +a(g705 +g1137 +tp4509 +a(g435 +VSL +p4510 +tp4511 +a(g412 +g1407 +tp4512 +a(g826 +g962 +tp4513 +a(g435 +VTStrings +p4514 +tp4515 +a(g412 +g966 +tp4516 +a(g826 +g962 +tp4517 +a(g744 +Vconst +p4518 +tp4519 +a(g826 +g962 +tp4520 +a(g435 +VCommentSign +p4521 +tp4522 +a(g412 +g1407 +tp4523 +a(g826 +g962 +tp4524 +a(g744 +Vstring +p4525 +tp4526 +a(g826 +g962 +tp4527 +a(g412 +g1007 +tp4528 +a(g826 +g962 +tp4529 +a(g89 +g1101 +tp4530 +a(g89 +V// +p4531 +tp4532 +a(g89 +g1101 +tp4533 +a(g705 +g1141 +tp4534 +a(g412 +g966 +tp4535 +a(g826 +V\u000a +p4536 +tp4537 +a(g744 +Vprocedure +p4538 +tp4539 +a(g826 +g962 +tp4540 +a(g573 +VWriteSL +p4541 +tp4542 +a(g705 +g1137 +tp4543 +a(g435 +VStrings +p4544 +tp4545 +a(g412 +g1407 +tp4546 +a(g826 +g962 +tp4547 +a(g435 +VTStrings +p4548 +tp4549 +a(g412 +g966 +tp4550 +a(g826 +g962 +tp4551 +a(g744 +Vconst +p4552 +tp4553 +a(g826 +g962 +tp4554 +a(g435 +VPrefix +p4555 +tp4556 +a(g412 +g1407 +tp4557 +a(g826 +g962 +tp4558 +a(g744 +Vstring +p4559 +tp4560 +a(g826 +g962 +tp4561 +a(g412 +g1007 +tp4562 +a(g826 +g962 +tp4563 +a(g89 +g1101 +tp4564 +a(g89 +g1101 +tp4565 +a(g412 +g966 +tp4566 +a(g826 +V\u000a +p4567 +tp4568 +a(g744 +Vconst +p4569 +tp4570 +a(g826 +g962 +tp4571 +a(g435 +VSuffix +p4572 +tp4573 +a(g412 +g1407 +tp4574 +a(g826 +g962 +tp4575 +a(g744 +Vstring +p4576 +tp4577 +a(g826 +g962 +tp4578 +a(g412 +g1007 +tp4579 +a(g826 +g962 +tp4580 +a(g89 +g1101 +tp4581 +a(g89 +g1101 +tp4582 +a(g705 +g1141 +tp4583 +a(g412 +g966 +tp4584 +a(g826 +V\u000a\u000a +p4585 +tp4586 +a(g744 +Vfunction +p4587 +tp4588 +a(g826 +g962 +tp4589 +a(g573 +VFindLine +p4590 +tp4591 +a(g705 +g1137 +tp4592 +a(g435 +VSL +p4593 +tp4594 +a(g412 +g1407 +tp4595 +a(g826 +g962 +tp4596 +a(g435 +VTStrings +p4597 +tp4598 +a(g412 +g966 +tp4599 +a(g826 +g962 +tp4600 +a(g744 +Vconst +p4601 +tp4602 +a(g826 +g962 +tp4603 +a(g435 +g1773 +tp4604 +a(g412 +g1407 +tp4605 +a(g826 +g962 +tp4606 +a(g744 +Vstring +p4607 +tp4608 +a(g705 +g1141 +tp4609 +a(g412 +g1407 +tp4610 +a(g826 +g962 +tp4611 +a(g748 +VInteger +p4612 +tp4613 +a(g412 +g966 +tp4614 +a(g826 +V\u000a\u000a +p4615 +tp4616 +a(g744 +Vprocedure +p4617 +tp4618 +a(g826 +g962 +tp4619 +a(g573 +VQuickSortSL +p4620 +tp4621 +a(g705 +g1137 +tp4622 +a(g435 +VSL +p4623 +tp4624 +a(g412 +g1407 +tp4625 +a(g826 +g962 +tp4626 +a(g435 +VTStringList +p4627 +tp4628 +a(g705 +g1141 +tp4629 +a(g412 +g966 +tp4630 +a(g826 +V\u000a\u000a +p4631 +tp4632 +a(g929 +V{ TStrA } +p4633 +tp4634 +a(g826 +V\u000a +p4635 +tp4636 +a(g744 +Vfunction +p4637 +tp4638 +a(g826 +g962 +tp4639 +a(g573 +VIncStrA +p4640 +tp4641 +a(g705 +g1137 +tp4642 +a(g435 +VStrA +p4643 +tp4644 +a(g412 +g1407 +tp4645 +a(g826 +g962 +tp4646 +a(g435 +VTStrA +p4647 +tp4648 +a(g705 +g1141 +tp4649 +a(g412 +g1407 +tp4650 +a(g826 +g962 +tp4651 +a(g748 +VInteger +p4652 +tp4653 +a(g412 +g966 +tp4654 +a(g826 +V\u000a\u000a +p4655 +tp4656 +a(g929 +V{ TByteA } +p4657 +tp4658 +a(g826 +V\u000a +p4659 +tp4660 +a(g744 +Vfunction +p4661 +tp4662 +a(g826 +g962 +tp4663 +a(g573 +VStrOfByteA +p4664 +tp4665 +a(g705 +g1137 +tp4666 +a(g435 +g1182 +tp4667 +a(g412 +g1407 +tp4668 +a(g826 +g962 +tp4669 +a(g435 +VTByteA +p4670 +tp4671 +a(g705 +g1141 +tp4672 +a(g412 +g1407 +tp4673 +a(g826 +g962 +tp4674 +a(g744 +Vstring +p4675 +tp4676 +a(g412 +g966 +tp4677 +a(g826 +V\u000a +p4678 +tp4679 +a(g744 +Vfunction +p4680 +tp4681 +a(g826 +g962 +tp4682 +a(g573 +VByteAOfStr +p4683 +tp4684 +a(g705 +g1137 +tp4685 +a(g744 +Vconst +p4686 +tp4687 +a(g826 +g962 +tp4688 +a(g435 +g1773 +tp4689 +a(g412 +g1407 +tp4690 +a(g826 +g962 +tp4691 +a(g744 +Vstring +p4692 +tp4693 +a(g705 +g1141 +tp4694 +a(g412 +g1407 +tp4695 +a(g826 +g962 +tp4696 +a(g435 +VTByteA +p4697 +tp4698 +a(g412 +g966 +tp4699 +a(g826 +V\u000a +p4700 +tp4701 +a(g744 +Vfunction +p4702 +tp4703 +a(g826 +g962 +tp4704 +a(g573 +VByteAOfInt +p4705 +tp4706 +a(g705 +g1137 +tp4707 +a(g435 +Vi +p4708 +tp4709 +a(g412 +g1407 +tp4710 +a(g826 +g962 +tp4711 +a(g748 +VInteger +p4712 +tp4713 +a(g705 +g1141 +tp4714 +a(g412 +g1407 +tp4715 +a(g826 +g962 +tp4716 +a(g435 +VTByteA +p4717 +tp4718 +a(g412 +g966 +tp4719 +a(g826 +V\u000a +p4720 +tp4721 +a(g744 +Vfunction +p4722 +tp4723 +a(g826 +g962 +tp4724 +a(g573 +VIntOfByteA +p4725 +tp4726 +a(g705 +g1137 +tp4727 +a(g435 +g1170 +tp4728 +a(g412 +g1407 +tp4729 +a(g826 +g962 +tp4730 +a(g435 +VTByteA +p4731 +tp4732 +a(g705 +g1141 +tp4733 +a(g412 +g1407 +tp4734 +a(g826 +g962 +tp4735 +a(g748 +VInteger +p4736 +tp4737 +a(g412 +g966 +tp4738 +a(g826 +V\u000a +p4739 +tp4740 +a(g744 +Vfunction +p4741 +tp4742 +a(g826 +g962 +tp4743 +a(g573 +VByteAOfHex +p4744 +tp4745 +a(g705 +g1137 +tp4746 +a(g744 +Vconst +p4747 +tp4748 +a(g826 +g962 +tp4749 +a(g435 +VHex +p4750 +tp4751 +a(g412 +g1407 +tp4752 +a(g826 +g962 +tp4753 +a(g744 +Vstring +p4754 +tp4755 +a(g705 +g1141 +tp4756 +a(g412 +g1407 +tp4757 +a(g826 +g962 +tp4758 +a(g435 +VTByteA +p4759 +tp4760 +a(g412 +g966 +tp4761 +a(g826 +V\u000a\u000a +p4762 +tp4763 +a(g744 +Vfunction +p4764 +tp4765 +a(g826 +g962 +tp4766 +a(g573 +VSameByteA +p4767 +tp4768 +a(g705 +g1137 +tp4769 +a(g744 +Vconst +p4770 +tp4771 +a(g826 +g962 +tp4772 +a(g435 +g1170 +tp4773 +a(g412 +g984 +tp4774 +a(g826 +g962 +tp4775 +a(g435 +g1505 +tp4776 +a(g412 +g1407 +tp4777 +a(g826 +g962 +tp4778 +a(g435 +VTByteA +p4779 +tp4780 +a(g705 +g1141 +tp4781 +a(g412 +g1407 +tp4782 +a(g826 +g962 +tp4783 +a(g748 +VBoolean +p4784 +tp4785 +a(g412 +g966 +tp4786 +a(g826 +V\u000a +p4787 +tp4788 +a(g744 +Vfunction +p4789 +tp4790 +a(g826 +g962 +tp4791 +a(g573 +VReverse +p4792 +tp4793 +a(g705 +g1137 +tp4794 +a(g435 +g1182 +tp4795 +a(g412 +g1407 +tp4796 +a(g826 +g962 +tp4797 +a(g435 +VTByteA +p4798 +tp4799 +a(g705 +g1141 +tp4800 +a(g412 +g1407 +tp4801 +a(g826 +g962 +tp4802 +a(g435 +VTByteA +p4803 +tp4804 +a(g412 +g966 +tp4805 +a(g826 +V\u000a +p4806 +tp4807 +a(g744 +Vfunction +p4808 +tp4809 +a(g826 +g962 +tp4810 +a(g573 +VSaveByteA +p4811 +tp4812 +a(g705 +g1137 +tp4813 +a(g435 +VData +p4814 +tp4815 +a(g412 +g1407 +tp4816 +a(g826 +g962 +tp4817 +a(g435 +VTByteA +p4818 +tp4819 +a(g412 +g966 +tp4820 +a(g826 +g962 +tp4821 +a(g744 +Vconst +p4822 +tp4823 +a(g826 +g962 +tp4824 +a(g435 +VFileName +p4825 +tp4826 +a(g412 +g1407 +tp4827 +a(g826 +g962 +tp4828 +a(g744 +Vstring +p4829 +tp4830 +a(g412 +g966 +tp4831 +a(g826 +g962 +tp4832 +a(g435 +VOverwrite +p4833 +tp4834 +a(g412 +g1407 +tp4835 +a(g826 +g962 +tp4836 +a(g748 +VBoolean +p4837 +tp4838 +a(g826 +g962 +tp4839 +a(g412 +g1007 +tp4840 +a(g826 +g962 +tp4841 +a(g744 +VTrue +p4842 +tp4843 +a(g705 +g1141 +tp4844 +a(g412 +g1407 +tp4845 +a(g826 +g962 +tp4846 +a(g748 +VBoolean +p4847 +tp4848 +a(g412 +g966 +tp4849 +a(g826 +V\u000a +p4850 +tp4851 +a(g744 +Vfunction +p4852 +tp4853 +a(g826 +g962 +tp4854 +a(g573 +VLoadByteA +p4855 +tp4856 +a(g705 +g1137 +tp4857 +a(g744 +Vconst +p4858 +tp4859 +a(g826 +g962 +tp4860 +a(g435 +VFileName +p4861 +tp4862 +a(g412 +g1407 +tp4863 +a(g826 +g962 +tp4864 +a(g744 +Vstring +p4865 +tp4866 +a(g705 +g1141 +tp4867 +a(g412 +g1407 +tp4868 +a(g826 +g962 +tp4869 +a(g435 +VTByteA +p4870 +tp4871 +a(g412 +g966 +tp4872 +a(g826 +V\u000a\u000a +p4873 +tp4874 +a(g744 +Vfunction +p4875 +tp4876 +a(g826 +g962 +tp4877 +a(g573 +VEndian +p4878 +tp4879 +a(g705 +g1137 +tp4880 +a(g435 +g4708 +tp4881 +a(g412 +g1407 +tp4882 +a(g826 +g962 +tp4883 +a(g748 +VInteger +p4884 +tp4885 +a(g705 +g1141 +tp4886 +a(g412 +g1407 +tp4887 +a(g826 +g962 +tp4888 +a(g748 +VInteger +p4889 +tp4890 +a(g412 +g966 +tp4891 +a(g826 +V\u000a\u000a +p4892 +tp4893 +a(g929 +V{ Files } +p4894 +tp4895 +a(g826 +V\u000a +p4896 +tp4897 +a(g744 +Vfunction +p4898 +tp4899 +a(g826 +g962 +tp4900 +a(g573 +VSizeOfFile +p4901 +tp4902 +a(g705 +g1137 +tp4903 +a(g744 +Vconst +p4904 +tp4905 +a(g826 +g962 +tp4906 +a(g435 +VFileName +p4907 +tp4908 +a(g412 +g1407 +tp4909 +a(g826 +g962 +tp4910 +a(g744 +Vstring +p4911 +tp4912 +a(g705 +g1141 +tp4913 +a(g412 +g1407 +tp4914 +a(g826 +g962 +tp4915 +a(g748 +VInteger +p4916 +tp4917 +a(g412 +g966 +tp4918 +a(g826 +V\u000a +p4919 +tp4920 +a(g744 +Vfunction +p4921 +tp4922 +a(g826 +g962 +tp4923 +a(g573 +VFileEx +p4924 +tp4925 +a(g705 +g1137 +tp4926 +a(g744 +Vconst +p4927 +tp4928 +a(g826 +g962 +tp4929 +a(g435 +VFileName +p4930 +tp4931 +a(g412 +g1407 +tp4932 +a(g826 +g962 +tp4933 +a(g744 +Vstring +p4934 +tp4935 +a(g412 +g966 +tp4936 +a(g826 +g962 +tp4937 +a(g435 +VAllowFolders +p4938 +tp4939 +a(g412 +g1407 +tp4940 +a(g826 +g962 +tp4941 +a(g748 +VBoolean +p4942 +tp4943 +a(g826 +g962 +tp4944 +a(g412 +g1007 +tp4945 +a(g826 +g962 +tp4946 +a(g744 +VFalse +p4947 +tp4948 +a(g705 +g1141 +tp4949 +a(g412 +g1407 +tp4950 +a(g826 +g962 +tp4951 +a(g748 +VBoolean +p4952 +tp4953 +a(g412 +g966 +tp4954 +a(g826 +V\u000a +p4955 +tp4956 +a(g744 +Vfunction +p4957 +tp4958 +a(g826 +g962 +tp4959 +a(g573 +VLWPSolve +p4960 +tp4961 +a(g705 +g1137 +tp4962 +a(g744 +Vconst +p4963 +tp4964 +a(g826 +g962 +tp4965 +a(g435 +VDir +p4966 +tp4967 +a(g412 +g1407 +tp4968 +a(g826 +g962 +tp4969 +a(g744 +Vstring +p4970 +tp4971 +a(g705 +g1141 +tp4972 +a(g412 +g1407 +tp4973 +a(g826 +g962 +tp4974 +a(g744 +Vstring +p4975 +tp4976 +a(g412 +g966 +tp4977 +a(g826 +V\u000a +p4978 +tp4979 +a(g744 +Vfunction +p4980 +tp4981 +a(g826 +g962 +tp4982 +a(g573 +VLWPSlash +p4983 +tp4984 +a(g705 +g1137 +tp4985 +a(g744 +Vconst +p4986 +tp4987 +a(g826 +g962 +tp4988 +a(g435 +VDir +p4989 +tp4990 +a(g412 +g1407 +tp4991 +a(g826 +g962 +tp4992 +a(g744 +Vstring +p4993 +tp4994 +a(g705 +g1141 +tp4995 +a(g412 +g1407 +tp4996 +a(g826 +g962 +tp4997 +a(g744 +Vstring +p4998 +tp4999 +a(g412 +g966 +tp5000 +a(g826 +V\u000a\u000a +p5001 +tp5002 +a(g744 +Vfunction +p5003 +tp5004 +a(g826 +g962 +tp5005 +a(g573 +VExtractDrive +p5006 +tp5007 +a(g705 +g1137 +tp5008 +a(g744 +Vconst +p5009 +tp5010 +a(g826 +g962 +tp5011 +a(g435 +VFileName +p5012 +tp5013 +a(g412 +g1407 +tp5014 +a(g826 +g962 +tp5015 +a(g744 +Vstring +p5016 +tp5017 +a(g705 +g1141 +tp5018 +a(g412 +g1407 +tp5019 +a(g826 +g962 +tp5020 +a(g744 +Vstring +p5021 +tp5022 +a(g412 +g966 +tp5023 +a(g826 +V\u000a +p5024 +tp5025 +a(g744 +Vfunction +p5026 +tp5027 +a(g826 +g962 +tp5028 +a(g573 +VExtractPath +p5029 +tp5030 +a(g705 +g1137 +tp5031 +a(g744 +Vconst +p5032 +tp5033 +a(g826 +g962 +tp5034 +a(g435 +VFileName +p5035 +tp5036 +a(g412 +g1407 +tp5037 +a(g826 +g962 +tp5038 +a(g744 +Vstring +p5039 +tp5040 +a(g705 +g1141 +tp5041 +a(g412 +g1407 +tp5042 +a(g826 +g962 +tp5043 +a(g744 +Vstring +p5044 +tp5045 +a(g412 +g966 +tp5046 +a(g826 +V\u000a +p5047 +tp5048 +a(g744 +Vfunction +p5049 +tp5050 +a(g826 +g962 +tp5051 +a(g573 +VExtractPrefix +p5052 +tp5053 +a(g705 +g1137 +tp5054 +a(g744 +Vconst +p5055 +tp5056 +a(g826 +g962 +tp5057 +a(g435 +VFileName +p5058 +tp5059 +a(g412 +g1407 +tp5060 +a(g826 +g962 +tp5061 +a(g744 +Vstring +p5062 +tp5063 +a(g705 +g1141 +tp5064 +a(g412 +g1407 +tp5065 +a(g826 +g962 +tp5066 +a(g744 +Vstring +p5067 +tp5068 +a(g412 +g966 +tp5069 +a(g826 +V\u000a +p5070 +tp5071 +a(g744 +Vfunction +p5072 +tp5073 +a(g826 +g962 +tp5074 +a(g573 +VExtractSuffix +p5075 +tp5076 +a(g705 +g1137 +tp5077 +a(g744 +Vconst +p5078 +tp5079 +a(g826 +g962 +tp5080 +a(g435 +VFileName +p5081 +tp5082 +a(g412 +g1407 +tp5083 +a(g826 +g962 +tp5084 +a(g744 +Vstring +p5085 +tp5086 +a(g705 +g1141 +tp5087 +a(g412 +g1407 +tp5088 +a(g826 +g962 +tp5089 +a(g744 +Vstring +p5090 +tp5091 +a(g412 +g966 +tp5092 +a(g826 +V\u000a\u000a +p5093 +tp5094 +a(g744 +Vfunction +p5095 +tp5096 +a(g826 +g962 +tp5097 +a(g573 +VIsValidFileName +p5098 +tp5099 +a(g705 +g1137 +tp5100 +a(g744 +Vconst +p5101 +tp5102 +a(g826 +g962 +tp5103 +a(g435 +VFileName +p5104 +tp5105 +a(g412 +g1407 +tp5106 +a(g826 +g962 +tp5107 +a(g744 +Vstring +p5108 +tp5109 +a(g705 +g1141 +tp5110 +a(g412 +g1407 +tp5111 +a(g826 +g962 +tp5112 +a(g748 +VBoolean +p5113 +tp5114 +a(g412 +g966 +tp5115 +a(g826 +V\u000a +p5116 +tp5117 +a(g744 +Vfunction +p5118 +tp5119 +a(g826 +g962 +tp5120 +a(g573 +VMakeValidFileName +p5121 +tp5122 +a(g705 +g1137 +tp5123 +a(g435 +VFileName +p5124 +tp5125 +a(g412 +g1407 +tp5126 +a(g826 +g962 +tp5127 +a(g744 +Vstring +p5128 +tp5129 +a(g412 +g966 +tp5130 +a(g826 +g962 +tp5131 +a(g744 +Vconst +p5132 +tp5133 +a(g826 +g962 +tp5134 +a(g785 +VDefault +p5135 +tp5136 +a(g412 +g1407 +tp5137 +a(g826 +g962 +tp5138 +a(g744 +Vstring +p5139 +tp5140 +a(g826 +g962 +tp5141 +a(g412 +g1007 +tp5142 +a(g826 +g962 +tp5143 +a(g89 +g1101 +tp5144 +a(g89 +VFile +p5145 +tp5146 +a(g89 +g1101 +tp5147 +a(g705 +g1141 +tp5148 +a(g412 +g1407 +tp5149 +a(g826 +g962 +tp5150 +a(g744 +Vstring +p5151 +tp5152 +a(g412 +g966 +tp5153 +a(g826 +V\u000a\u000a +p5154 +tp5155 +a(g929 +V{ Converting } +p5156 +tp5157 +a(g826 +V\u000a +p5158 +tp5159 +a(g744 +Vfunction +p5160 +tp5161 +a(g826 +g962 +tp5162 +a(g573 +VIsValidInteger +p5163 +tp5164 +a(g705 +g1137 +tp5165 +a(g744 +Vconst +p5166 +tp5167 +a(g826 +g962 +tp5168 +a(g435 +g1773 +tp5169 +a(g412 +g1407 +tp5170 +a(g826 +g962 +tp5171 +a(g744 +Vstring +p5172 +tp5173 +a(g705 +g1141 +tp5174 +a(g412 +g1407 +tp5175 +a(g826 +g962 +tp5176 +a(g748 +VBoolean +p5177 +tp5178 +a(g412 +g966 +tp5179 +a(g826 +V\u000a +p5180 +tp5181 +a(g744 +Vfunction +p5182 +tp5183 +a(g826 +g962 +tp5184 +a(g573 +VIsValidCardinal +p5185 +tp5186 +a(g705 +g1137 +tp5187 +a(g744 +Vconst +p5188 +tp5189 +a(g826 +g962 +tp5190 +a(g435 +g1773 +tp5191 +a(g412 +g1407 +tp5192 +a(g826 +g962 +tp5193 +a(g744 +Vstring +p5194 +tp5195 +a(g705 +g1141 +tp5196 +a(g412 +g1407 +tp5197 +a(g826 +g962 +tp5198 +a(g748 +VBoolean +p5199 +tp5200 +a(g412 +g966 +tp5201 +a(g826 +V\u000a\u000a +p5202 +tp5203 +a(g744 +Vfunction +p5204 +tp5205 +a(g826 +g962 +tp5206 +a(g573 +VStrOfBool +p5207 +tp5208 +a(g705 +g1137 +tp5209 +a(g435 +Vflag +p5210 +tp5211 +a(g412 +g1407 +tp5212 +a(g826 +g962 +tp5213 +a(g748 +VBoolean +p5214 +tp5215 +a(g412 +g966 +tp5216 +a(g826 +g962 +tp5217 +a(g744 +Vconst +p5218 +tp5219 +a(g826 +g962 +tp5220 +a(g435 +VTrueStr +p5221 +tp5222 +a(g412 +g1407 +tp5223 +a(g826 +g962 +tp5224 +a(g744 +Vstring +p5225 +tp5226 +a(g826 +g962 +tp5227 +a(g412 +g1007 +tp5228 +a(g826 +g962 +tp5229 +a(g89 +g1101 +tp5230 +a(g89 +VTrue +p5231 +tp5232 +a(g89 +g1101 +tp5233 +a(g412 +g966 +tp5234 +a(g826 +V\u000a +p5235 +tp5236 +a(g744 +Vconst +p5237 +tp5238 +a(g826 +g962 +tp5239 +a(g435 +VFalseStr +p5240 +tp5241 +a(g412 +g1407 +tp5242 +a(g826 +g962 +tp5243 +a(g744 +Vstring +p5244 +tp5245 +a(g826 +g962 +tp5246 +a(g412 +g1007 +tp5247 +a(g826 +g962 +tp5248 +a(g89 +g1101 +tp5249 +a(g89 +VFalse +p5250 +tp5251 +a(g89 +g1101 +tp5252 +a(g705 +g1141 +tp5253 +a(g412 +g1407 +tp5254 +a(g826 +g962 +tp5255 +a(g744 +Vstring +p5256 +tp5257 +a(g412 +g966 +tp5258 +a(g826 +V\u000a +p5259 +tp5260 +a(g744 +Vfunction +p5261 +tp5262 +a(g826 +g962 +tp5263 +a(g573 +VStrOfInt +p5264 +tp5265 +a(g705 +g1137 +tp5266 +a(g435 +g4708 +tp5267 +a(g412 +g1407 +tp5268 +a(g826 +g962 +tp5269 +a(g748 +VInteger +p5270 +tp5271 +a(g705 +g1141 +tp5272 +a(g412 +g1407 +tp5273 +a(g826 +g962 +tp5274 +a(g744 +Vstring +p5275 +tp5276 +a(g412 +g966 +tp5277 +a(g826 +V\u000a +p5278 +tp5279 +a(g744 +Vfunction +p5280 +tp5281 +a(g826 +g962 +tp5282 +a(g573 +VCardOfStr +p5283 +tp5284 +a(g705 +g1137 +tp5285 +a(g744 +Vconst +p5286 +tp5287 +a(g826 +g962 +tp5288 +a(g435 +g1773 +tp5289 +a(g412 +g1407 +tp5290 +a(g826 +g962 +tp5291 +a(g744 +Vstring +p5292 +tp5293 +a(g705 +g1141 +tp5294 +a(g412 +g1407 +tp5295 +a(g826 +g962 +tp5296 +a(g748 +VCardinal +p5297 +tp5298 +a(g412 +g966 +tp5299 +a(g826 +V\u000a\u000a +p5300 +tp5301 +a(g744 +Vfunction +p5302 +tp5303 +a(g826 +g962 +tp5304 +a(g573 +VHexOrd +p5305 +tp5306 +a(g705 +g1137 +tp5307 +a(g435 +VHex +p5308 +tp5309 +a(g412 +g1407 +tp5310 +a(g826 +g962 +tp5311 +a(g748 +VChar +p5312 +tp5313 +a(g705 +g1141 +tp5314 +a(g412 +g1407 +tp5315 +a(g826 +g962 +tp5316 +a(g435 +VTHex +p5317 +tp5318 +a(g412 +g966 +tp5319 +a(g826 +V\u000a +p5320 +tp5321 +a(g744 +Vfunction +p5322 +tp5323 +a(g826 +g962 +tp5324 +a(g573 +VByteOfHex +p5325 +tp5326 +a(g705 +g1137 +tp5327 +a(g435 +VHex +p5328 +tp5329 +a(g412 +g1407 +tp5330 +a(g826 +g962 +tp5331 +a(g435 +VTHexByteStr +p5332 +tp5333 +a(g705 +g1141 +tp5334 +a(g412 +g1407 +tp5335 +a(g826 +g962 +tp5336 +a(g748 +VByte +p5337 +tp5338 +a(g412 +g966 +tp5339 +a(g826 +V\u000a\u000a +p5340 +tp5341 +a(g744 +Vfunction +p5342 +tp5343 +a(g826 +g962 +tp5344 +a(g573 +VDecOfHex +p5345 +tp5346 +a(g705 +g1137 +tp5347 +a(g744 +Vconst +p5348 +tp5349 +a(g826 +g962 +tp5350 +a(g435 +VHex +p5351 +tp5352 +a(g412 +g1407 +tp5353 +a(g826 +g962 +tp5354 +a(g744 +Vstring +p5355 +tp5356 +a(g705 +g1141 +tp5357 +a(g412 +g1407 +tp5358 +a(g826 +g962 +tp5359 +a(g744 +Vstring +p5360 +tp5361 +a(g412 +g966 +tp5362 +a(g826 +V\u000a +p5363 +tp5364 +a(g744 +Vfunction +p5365 +tp5366 +a(g826 +g962 +tp5367 +a(g573 +VHexOfByte +p5368 +tp5369 +a(g705 +g1137 +tp5370 +a(g435 +g1598 +tp5371 +a(g412 +g1407 +tp5372 +a(g826 +g962 +tp5373 +a(g748 +VByte +p5374 +tp5375 +a(g705 +g1141 +tp5376 +a(g412 +g1407 +tp5377 +a(g826 +g962 +tp5378 +a(g435 +VTHexByteStr +p5379 +tp5380 +a(g412 +g966 +tp5381 +a(g826 +V\u000a +p5382 +tp5383 +a(g744 +Vfunction +p5384 +tp5385 +a(g826 +g962 +tp5386 +a(g573 +VHexOfCard +p5387 +tp5388 +a(g705 +g1137 +tp5389 +a(g435 +g4708 +tp5390 +a(g412 +g1407 +tp5391 +a(g826 +g962 +tp5392 +a(g748 +VCardinal +p5393 +tp5394 +a(g705 +g1141 +tp5395 +a(g412 +g1407 +tp5396 +a(g826 +g962 +tp5397 +a(g744 +Vstring +p5398 +tp5399 +a(g412 +g966 +tp5400 +a(g826 +g962 +tp5401 +a(g435 +Voverload +p5402 +tp5403 +a(g412 +g966 +tp5404 +a(g826 +V\u000a +p5405 +tp5406 +a(g744 +Vfunction +p5407 +tp5408 +a(g826 +g962 +tp5409 +a(g573 +VHexOfCard +p5410 +tp5411 +a(g705 +g1137 +tp5412 +a(g435 +g4708 +tp5413 +a(g412 +g1407 +tp5414 +a(g826 +g962 +tp5415 +a(g748 +VCardinal +p5416 +tp5417 +a(g412 +g966 +tp5418 +a(g826 +g962 +tp5419 +a(g435 +VDigits +p5420 +tp5421 +a(g412 +g1407 +tp5422 +a(g826 +g962 +tp5423 +a(g748 +VInteger +p5424 +tp5425 +a(g705 +g1141 +tp5426 +a(g412 +g1407 +tp5427 +a(g826 +g962 +tp5428 +a(g744 +Vstring +p5429 +tp5430 +a(g412 +g966 +tp5431 +a(g826 +g962 +tp5432 +a(g435 +Voverload +p5433 +tp5434 +a(g412 +g966 +tp5435 +a(g826 +V\u000a\u000a +p5436 +tp5437 +a(g744 +Vfunction +p5438 +tp5439 +a(g826 +g962 +tp5440 +a(g573 +VPascalHexArray +p5441 +tp5442 +a(g705 +g1137 +tp5443 +a(g435 +g1182 +tp5444 +a(g412 +g1407 +tp5445 +a(g826 +g962 +tp5446 +a(g435 +VTByteA +p5447 +tp5448 +a(g412 +g966 +tp5449 +a(g826 +g962 +tp5450 +a(g785 +VName +p5451 +tp5452 +a(g412 +g1407 +tp5453 +a(g826 +g962 +tp5454 +a(g744 +Vstring +p5455 +tp5456 +a(g705 +g1141 +tp5457 +a(g412 +g1407 +tp5458 +a(g826 +g962 +tp5459 +a(g744 +Vstring +p5460 +tp5461 +a(g412 +g966 +tp5462 +a(g826 +V\u000a\u000a +p5463 +tp5464 +a(g744 +Vfunction +p5465 +tp5466 +a(g826 +g962 +tp5467 +a(g573 +VHexOfByteA +p5468 +tp5469 +a(g705 +g1137 +tp5470 +a(g435 +g1182 +tp5471 +a(g412 +g1407 +tp5472 +a(g826 +g962 +tp5473 +a(g435 +VTByteA +p5474 +tp5475 +a(g412 +g966 +tp5476 +a(g826 +g962 +tp5477 +a(g435 +VBlocks +p5478 +tp5479 +a(g412 +g1407 +tp5480 +a(g826 +g962 +tp5481 +a(g748 +VInteger +p5482 +tp5483 +a(g826 +g962 +tp5484 +a(g412 +g1007 +tp5485 +a(g826 +g962 +tp5486 +a(g37 +g1337 +tp5487 +a(g412 +g966 +tp5488 +a(g826 +V\u000a +p5489 +tp5490 +a(g744 +Vconst +p5491 +tp5492 +a(g826 +g962 +tp5493 +a(g435 +VSplitter +p5494 +tp5495 +a(g412 +g1407 +tp5496 +a(g826 +g962 +tp5497 +a(g744 +Vstring +p5498 +tp5499 +a(g826 +g962 +tp5500 +a(g412 +g1007 +tp5501 +a(g826 +g962 +tp5502 +a(g89 +g1101 +tp5503 +a(g89 +g962 +tp5504 +a(g89 +g1101 +tp5505 +a(g705 +g1141 +tp5506 +a(g412 +g1407 +tp5507 +a(g826 +g962 +tp5508 +a(g744 +Vstring +p5509 +tp5510 +a(g412 +g966 +tp5511 +a(g826 +V\u000a +p5512 +tp5513 +a(g744 +Vfunction +p5514 +tp5515 +a(g826 +g962 +tp5516 +a(g573 +VBinOfByteA +p5517 +tp5518 +a(g705 +g1137 +tp5519 +a(g435 +g1182 +tp5520 +a(g412 +g1407 +tp5521 +a(g826 +g962 +tp5522 +a(g435 +VTByteA +p5523 +tp5524 +a(g412 +g966 +tp5525 +a(g826 +g962 +tp5526 +a(g435 +VBlocks +p5527 +tp5528 +a(g412 +g1407 +tp5529 +a(g826 +g962 +tp5530 +a(g748 +VInteger +p5531 +tp5532 +a(g826 +g962 +tp5533 +a(g412 +g1007 +tp5534 +a(g826 +g962 +tp5535 +a(g37 +g1473 +tp5536 +a(g412 +g966 +tp5537 +a(g826 +V\u000a +p5538 +tp5539 +a(g744 +Vconst +p5540 +tp5541 +a(g826 +g962 +tp5542 +a(g435 +VSplitter +p5543 +tp5544 +a(g412 +g1407 +tp5545 +a(g826 +g962 +tp5546 +a(g744 +Vstring +p5547 +tp5548 +a(g826 +g962 +tp5549 +a(g412 +g1007 +tp5550 +a(g826 +g962 +tp5551 +a(g89 +g1101 +tp5552 +a(g89 +g962 +tp5553 +a(g89 +g1101 +tp5554 +a(g705 +g1141 +tp5555 +a(g412 +g1407 +tp5556 +a(g826 +g962 +tp5557 +a(g744 +Vstring +p5558 +tp5559 +a(g412 +g966 +tp5560 +a(g826 +V\u000a\u000a +p5561 +tp5562 +a(g744 +Vfunction +p5563 +tp5564 +a(g826 +g962 +tp5565 +a(g573 +VCardOfHex +p5566 +tp5567 +a(g705 +g1137 +tp5568 +a(g435 +VHex +p5569 +tp5570 +a(g412 +g1407 +tp5571 +a(g826 +g962 +tp5572 +a(g744 +Vstring +p5573 +tp5574 +a(g705 +g1141 +tp5575 +a(g412 +g1407 +tp5576 +a(g826 +g962 +tp5577 +a(g748 +VCardinal +p5578 +tp5579 +a(g412 +g966 +tp5580 +a(g826 +V\u000a +p5581 +tp5582 +a(g744 +Vfunction +p5583 +tp5584 +a(g826 +g962 +tp5585 +a(g573 +VIntOfBin +p5586 +tp5587 +a(g705 +g1137 +tp5588 +a(g435 +VBin +p5589 +tp5590 +a(g412 +g1407 +tp5591 +a(g826 +g962 +tp5592 +a(g744 +Vstring +p5593 +tp5594 +a(g705 +g1141 +tp5595 +a(g412 +g1407 +tp5596 +a(g826 +g962 +tp5597 +a(g748 +VCardinal +p5598 +tp5599 +a(g412 +g966 +tp5600 +a(g826 +V\u000a\u000a +p5601 +tp5602 +a(g744 +Vfunction +p5603 +tp5604 +a(g826 +g962 +tp5605 +a(g573 +VBinOfIntFill +p5606 +tp5607 +a(g705 +g1137 +tp5608 +a(g435 +Vn +p5609 +tp5610 +a(g412 +g1407 +tp5611 +a(g826 +g962 +tp5612 +a(g748 +Vcardinal +p5613 +tp5614 +a(g412 +g966 +tp5615 +a(g826 +g962 +tp5616 +a(g435 +VMinCount +p5617 +tp5618 +a(g412 +g1407 +tp5619 +a(g826 +g962 +tp5620 +a(g748 +VInteger +p5621 +tp5622 +a(g826 +g962 +tp5623 +a(g412 +g1007 +tp5624 +a(g826 +g962 +tp5625 +a(g37 +g1492 +tp5626 +a(g705 +g1141 +tp5627 +a(g412 +g1407 +tp5628 +a(g826 +g962 +tp5629 +a(g744 +Vstring +p5630 +tp5631 +a(g412 +g966 +tp5632 +a(g826 +V\u000a +p5633 +tp5634 +a(g744 +Vfunction +p5635 +tp5636 +a(g826 +g962 +tp5637 +a(g573 +VBinOfInt +p5638 +tp5639 +a(g705 +g1137 +tp5640 +a(g435 +g5609 +tp5641 +a(g412 +g1407 +tp5642 +a(g826 +g962 +tp5643 +a(g748 +Vcardinal +p5644 +tp5645 +a(g705 +g1141 +tp5646 +a(g412 +g1407 +tp5647 +a(g826 +g962 +tp5648 +a(g744 +Vstring +p5649 +tp5650 +a(g412 +g966 +tp5651 +a(g826 +V\u000a\u000a +p5652 +tp5653 +a(g744 +Vfunction +p5654 +tp5655 +a(g826 +g962 +tp5656 +a(g573 +VBaseNOfInt +p5657 +tp5658 +a(g705 +g1137 +tp5659 +a(g435 +g1723 +tp5660 +a(g412 +g1407 +tp5661 +a(g826 +g962 +tp5662 +a(g748 +VCardinal +p5663 +tp5664 +a(g412 +g966 +tp5665 +a(g826 +g962 +tp5666 +a(g435 +g1505 +tp5667 +a(g412 +g1407 +tp5668 +a(g826 +g962 +tp5669 +a(g435 +VTBaseN +p5670 +tp5671 +a(g705 +g1141 +tp5672 +a(g412 +g1407 +tp5673 +a(g826 +g962 +tp5674 +a(g744 +Vstring +p5675 +tp5676 +a(g412 +g966 +tp5677 +a(g826 +V\u000a +p5678 +tp5679 +a(g744 +Vfunction +p5680 +tp5681 +a(g826 +g962 +tp5682 +a(g573 +VIntOfBaseN +p5683 +tp5684 +a(g705 +g1137 +tp5685 +a(g435 +g1788 +tp5686 +a(g412 +g1407 +tp5687 +a(g826 +g962 +tp5688 +a(g744 +Vstring +p5689 +tp5690 +a(g412 +g966 +tp5691 +a(g826 +g962 +tp5692 +a(g435 +g1505 +tp5693 +a(g412 +g1407 +tp5694 +a(g826 +g962 +tp5695 +a(g435 +VTBaseN +p5696 +tp5697 +a(g705 +g1141 +tp5698 +a(g412 +g1407 +tp5699 +a(g826 +g962 +tp5700 +a(g748 +VCardinal +p5701 +tp5702 +a(g412 +g966 +tp5703 +a(g826 +V\u000a\u000a +p5704 +tp5705 +a(g929 +V{ Ranges } +p5706 +tp5707 +a(g826 +V\u000a +p5708 +tp5709 +a(g744 +Vfunction +p5710 +tp5711 +a(g826 +g962 +tp5712 +a(g573 +VKeepIn +p5713 +tp5714 +a(g705 +g1137 +tp5715 +a(g435 +g4708 +tp5716 +a(g412 +g984 +tp5717 +a(g826 +g962 +tp5718 +a(g435 +VBottom +p5719 +tp5720 +a(g412 +g984 +tp5721 +a(g826 +g962 +tp5722 +a(g435 +VTop +p5723 +tp5724 +a(g412 +g1407 +tp5725 +a(g826 +g962 +tp5726 +a(g748 +VVariant +p5727 +tp5728 +a(g705 +g1141 +tp5729 +a(g412 +g1407 +tp5730 +a(g826 +g962 +tp5731 +a(g748 +VVariant +p5732 +tp5733 +a(g412 +g966 +tp5734 +a(g826 +V\u000a +p5735 +tp5736 +a(g744 +Vfunction +p5737 +tp5738 +a(g826 +g962 +tp5739 +a(g573 +VInRange +p5740 +tp5741 +a(g705 +g1137 +tp5742 +a(g435 +VValue +p5743 +tp5744 +a(g412 +g984 +tp5745 +a(g826 +g962 +tp5746 +a(g435 +VBottom +p5747 +tp5748 +a(g412 +g984 +tp5749 +a(g826 +g962 +tp5750 +a(g435 +VTop +p5751 +tp5752 +a(g412 +g1407 +tp5753 +a(g826 +g962 +tp5754 +a(g748 +VVariant +p5755 +tp5756 +a(g705 +g1141 +tp5757 +a(g412 +g1407 +tp5758 +a(g826 +g962 +tp5759 +a(g748 +VBoolean +p5760 +tp5761 +a(g412 +g966 +tp5762 +a(g826 +V\u000a +p5763 +tp5764 +a(g744 +Vfunction +p5765 +tp5766 +a(g826 +g962 +tp5767 +a(g573 +VInStrictRange +p5768 +tp5769 +a(g705 +g1137 +tp5770 +a(g435 +VValue +p5771 +tp5772 +a(g412 +g984 +tp5773 +a(g826 +g962 +tp5774 +a(g435 +VBottom +p5775 +tp5776 +a(g412 +g984 +tp5777 +a(g826 +g962 +tp5778 +a(g435 +VTop +p5779 +tp5780 +a(g412 +g1407 +tp5781 +a(g826 +g962 +tp5782 +a(g748 +VVariant +p5783 +tp5784 +a(g705 +g1141 +tp5785 +a(g412 +g1407 +tp5786 +a(g826 +g962 +tp5787 +a(g748 +VBoolean +p5788 +tp5789 +a(g412 +g966 +tp5790 +a(g826 +V\u000a +p5791 +tp5792 +a(g744 +Vfunction +p5793 +tp5794 +a(g826 +g962 +tp5795 +a(g573 +VMin +p5796 +tp5797 +a(g705 +g1137 +tp5798 +a(g744 +Vconst +p5799 +tp5800 +a(g826 +g962 +tp5801 +a(g435 +g1170 +tp5802 +a(g412 +g984 +tp5803 +a(g826 +g962 +tp5804 +a(g435 +g1505 +tp5805 +a(g412 +g1407 +tp5806 +a(g826 +g962 +tp5807 +a(g748 +VInteger +p5808 +tp5809 +a(g705 +g1141 +tp5810 +a(g412 +g1407 +tp5811 +a(g826 +g962 +tp5812 +a(g748 +VInteger +p5813 +tp5814 +a(g412 +g966 +tp5815 +a(g826 +g962 +tp5816 +a(g435 +Voverload +p5817 +tp5818 +a(g412 +g966 +tp5819 +a(g826 +V\u000a +p5820 +tp5821 +a(g744 +Vfunction +p5822 +tp5823 +a(g826 +g962 +tp5824 +a(g573 +VMin +p5825 +tp5826 +a(g705 +g1137 +tp5827 +a(g744 +Vconst +p5828 +tp5829 +a(g826 +g962 +tp5830 +a(g435 +g1170 +tp5831 +a(g412 +g1407 +tp5832 +a(g826 +g962 +tp5833 +a(g435 +VTIntA +p5834 +tp5835 +a(g705 +g1141 +tp5836 +a(g412 +g1407 +tp5837 +a(g826 +g962 +tp5838 +a(g748 +VInteger +p5839 +tp5840 +a(g412 +g966 +tp5841 +a(g826 +g962 +tp5842 +a(g435 +Voverload +p5843 +tp5844 +a(g412 +g966 +tp5845 +a(g826 +V\u000a +p5846 +tp5847 +a(g744 +Vfunction +p5848 +tp5849 +a(g826 +g962 +tp5850 +a(g573 +VMax +p5851 +tp5852 +a(g705 +g1137 +tp5853 +a(g744 +Vconst +p5854 +tp5855 +a(g826 +g962 +tp5856 +a(g435 +g1170 +tp5857 +a(g412 +g984 +tp5858 +a(g826 +g962 +tp5859 +a(g435 +g1505 +tp5860 +a(g412 +g1407 +tp5861 +a(g826 +g962 +tp5862 +a(g748 +VInteger +p5863 +tp5864 +a(g705 +g1141 +tp5865 +a(g412 +g1407 +tp5866 +a(g826 +g962 +tp5867 +a(g748 +VInteger +p5868 +tp5869 +a(g412 +g966 +tp5870 +a(g826 +g962 +tp5871 +a(g435 +Voverload +p5872 +tp5873 +a(g412 +g966 +tp5874 +a(g826 +V\u000a +p5875 +tp5876 +a(g744 +Vfunction +p5877 +tp5878 +a(g826 +g962 +tp5879 +a(g573 +VMax +p5880 +tp5881 +a(g705 +g1137 +tp5882 +a(g744 +Vconst +p5883 +tp5884 +a(g826 +g962 +tp5885 +a(g435 +g1170 +tp5886 +a(g412 +g1407 +tp5887 +a(g826 +g962 +tp5888 +a(g435 +VTIntA +p5889 +tp5890 +a(g705 +g1141 +tp5891 +a(g412 +g1407 +tp5892 +a(g826 +g962 +tp5893 +a(g748 +VInteger +p5894 +tp5895 +a(g412 +g966 +tp5896 +a(g826 +g962 +tp5897 +a(g435 +Voverload +p5898 +tp5899 +a(g412 +g966 +tp5900 +a(g826 +V\u000a\u000a +p5901 +tp5902 +a(g744 +Vconst +p5903 +tp5904 +a(g826 +V\u000a +p5905 +tp5906 +a(g435 +VRangesSeparator +p5907 +tp5908 +a(g826 +g962 +tp5909 +a(g412 +g1007 +tp5910 +a(g826 +g962 +tp5911 +a(g89 +g1101 +tp5912 +a(g89 +g984 +tp5913 +a(g89 +g1101 +tp5914 +a(g412 +g966 +tp5915 +a(g826 +V\u000a +p5916 +tp5917 +a(g435 +VRangeInnerSeparator +p5918 +tp5919 +a(g826 +g962 +tp5920 +a(g412 +g1007 +tp5921 +a(g826 +g962 +tp5922 +a(g89 +g1101 +tp5923 +a(g89 +g1109 +tp5924 +a(g89 +g1101 +tp5925 +a(g412 +g966 +tp5926 +a(g826 +V\u000a +p5927 +tp5928 +a(g435 +VRangeInfinite +p5929 +tp5930 +a(g826 +g962 +tp5931 +a(g412 +g1007 +tp5932 +a(g826 +g962 +tp5933 +a(g89 +g1101 +tp5934 +a(g89 +g1371 +tp5935 +a(g89 +g1101 +tp5936 +a(g412 +g966 +tp5937 +a(g826 +V\u000a +p5938 +tp5939 +a(g435 +VRangeSpecialChars +p5940 +tp5941 +a(g826 +g962 +tp5942 +a(g412 +g1007 +tp5943 +a(g826 +g962 +tp5944 +a(g705 +g1066 +tp5945 +a(g435 +VRangesSeparator +p5946 +tp5947 +a(g412 +g984 +tp5948 +a(g826 +g962 +tp5949 +a(g435 +VRangeInnerSeparator +p5950 +tp5951 +a(g412 +g984 +tp5952 +a(g826 +g962 +tp5953 +a(g435 +VRangeInfinite +p5954 +tp5955 +a(g705 +g1074 +tp5956 +a(g412 +g966 +tp5957 +a(g826 +V\u000a\u000a +p5958 +tp5959 +a(g744 +Vfunction +p5960 +tp5961 +a(g826 +g962 +tp5962 +a(g573 +VRangesOfStr +p5963 +tp5964 +a(g705 +g1137 +tp5965 +a(g744 +Vconst +p5966 +tp5967 +a(g826 +g962 +tp5968 +a(g435 +g1773 +tp5969 +a(g412 +g1407 +tp5970 +a(g826 +g962 +tp5971 +a(g744 +Vstring +p5972 +tp5973 +a(g705 +g1141 +tp5974 +a(g412 +g1407 +tp5975 +a(g826 +g962 +tp5976 +a(g435 +VTRanges +p5977 +tp5978 +a(g412 +g966 +tp5979 +a(g826 +V\u000a +p5980 +tp5981 +a(g744 +Vfunction +p5982 +tp5983 +a(g826 +g962 +tp5984 +a(g573 +VInRanges +p5985 +tp5986 +a(g705 +g1137 +tp5987 +a(g435 +VRanges +p5988 +tp5989 +a(g412 +g1407 +tp5990 +a(g826 +g962 +tp5991 +a(g435 +VTRanges +p5992 +tp5993 +a(g412 +g966 +tp5994 +a(g826 +g962 +tp5995 +a(g435 +VTestValue +p5996 +tp5997 +a(g412 +g1407 +tp5998 +a(g826 +g962 +tp5999 +a(g748 +VCardinal +p6000 +tp6001 +a(g705 +g1141 +tp6002 +a(g412 +g1407 +tp6003 +a(g826 +g962 +tp6004 +a(g748 +VBoolean +p6005 +tp6006 +a(g412 +g966 +tp6007 +a(g826 +V\u000a\u000a +p6008 +tp6009 +a(g744 +Vfunction +p6010 +tp6011 +a(g826 +g962 +tp6012 +a(g573 +VSuccess +p6013 +tp6014 +a(g705 +g1137 +tp6015 +a(g435 +VRes +p6016 +tp6017 +a(g412 +g1407 +tp6018 +a(g826 +g962 +tp6019 +a(g748 +VInteger +p6020 +tp6021 +a(g412 +g966 +tp6022 +a(g826 +g962 +tp6023 +a(g435 +VResultOnSuccess +p6024 +tp6025 +a(g412 +g1407 +tp6026 +a(g826 +g962 +tp6027 +a(g748 +VInteger +p6028 +tp6029 +a(g826 +g962 +tp6030 +a(g412 +g1007 +tp6031 +a(g826 +g962 +tp6032 +a(g435 +VERROR_SUCCESS +p6033 +tp6034 +a(g705 +g1141 +tp6035 +a(g412 +g1407 +tp6036 +a(g826 +g962 +tp6037 +a(g748 +VBoolean +p6038 +tp6039 +a(g412 +g966 +tp6040 +a(g826 +V\u000a +p6041 +tp6042 +a(g744 +Vfunction +p6043 +tp6044 +a(g826 +g962 +tp6045 +a(g573 +VFailure +p6046 +tp6047 +a(g705 +g1137 +tp6048 +a(g435 +VRes +p6049 +tp6050 +a(g412 +g1407 +tp6051 +a(g826 +g962 +tp6052 +a(g748 +VInteger +p6053 +tp6054 +a(g412 +g966 +tp6055 +a(g826 +g962 +tp6056 +a(g435 +VResultOnSuccess +p6057 +tp6058 +a(g412 +g1407 +tp6059 +a(g826 +g962 +tp6060 +a(g748 +VInteger +p6061 +tp6062 +a(g826 +g962 +tp6063 +a(g412 +g1007 +tp6064 +a(g826 +g962 +tp6065 +a(g435 +VERROR_SUCCESS +p6066 +tp6067 +a(g705 +g1141 +tp6068 +a(g412 +g1407 +tp6069 +a(g826 +g962 +tp6070 +a(g748 +VBoolean +p6071 +tp6072 +a(g412 +g966 +tp6073 +a(g826 +V\u000a\u000a +p6074 +tp6075 +a(g744 +Vfunction +p6076 +tp6077 +a(g826 +g962 +tp6078 +a(g573 +VExpandString +p6079 +tp6080 +a(g705 +g1137 +tp6081 +a(g744 +Vconst +p6082 +tp6083 +a(g826 +g962 +tp6084 +a(g435 +g1773 +tp6085 +a(g412 +g1407 +tp6086 +a(g826 +g962 +tp6087 +a(g744 +Vstring +p6088 +tp6089 +a(g705 +g1141 +tp6090 +a(g412 +g1407 +tp6091 +a(g826 +g962 +tp6092 +a(g744 +Vstring +p6093 +tp6094 +a(g412 +g966 +tp6095 +a(g826 +V\u000a\u000a +p6096 +tp6097 +a(g929 +V{ Files } +p6098 +tp6099 +a(g826 +V\u000a +p6100 +tp6101 +a(g744 +Vprocedure +p6102 +tp6103 +a(g826 +g962 +tp6104 +a(g573 +VDeleteFiles +p6105 +tp6106 +a(g705 +g1137 +tp6107 +a(g744 +Vconst +p6108 +tp6109 +a(g826 +g962 +tp6110 +a(g435 +VMask +p6111 +tp6112 +a(g412 +g1407 +tp6113 +a(g826 +g962 +tp6114 +a(g744 +Vstring +p6115 +tp6116 +a(g412 +g966 +tp6117 +a(g826 +g962 +tp6118 +a(g435 +VScanSubDirs +p6119 +tp6120 +a(g412 +g1407 +tp6121 +a(g826 +g962 +tp6122 +a(g748 +VBoolean +p6123 +tp6124 +a(g826 +g962 +tp6125 +a(g412 +g1007 +tp6126 +a(g826 +g962 +tp6127 +a(g744 +VTrue +p6128 +tp6129 +a(g412 +g966 +tp6130 +a(g826 +V\u000a +p6131 +tp6132 +a(g435 +VAttributes +p6133 +tp6134 +a(g412 +g1407 +tp6135 +a(g826 +g962 +tp6136 +a(g748 +VInteger +p6137 +tp6138 +a(g826 +g962 +tp6139 +a(g412 +g1007 +tp6140 +a(g826 +g962 +tp6141 +a(g435 +VfaFindEveryFile +p6142 +tp6143 +a(g705 +g1141 +tp6144 +a(g412 +g966 +tp6145 +a(g826 +V\u000a +p6146 +tp6147 +a(g744 +Vprocedure +p6148 +tp6149 +a(g826 +g962 +tp6150 +a(g573 +VFileNew +p6151 +tp6152 +a(g705 +g1137 +tp6153 +a(g744 +Vconst +p6154 +tp6155 +a(g826 +g962 +tp6156 +a(g435 +VFileName +p6157 +tp6158 +a(g412 +g1407 +tp6159 +a(g826 +g962 +tp6160 +a(g744 +Vstring +p6161 +tp6162 +a(g705 +g1141 +tp6163 +a(g412 +g966 +tp6164 +a(g826 +V\u000a +p6165 +tp6166 +a(g744 +Vfunction +p6167 +tp6168 +a(g826 +g962 +tp6169 +a(g573 +VDateTimeOfFileTime +p6170 +tp6171 +a(g705 +g1137 +tp6172 +a(g744 +Vconst +p6173 +tp6174 +a(g826 +g962 +tp6175 +a(g435 +VFileTime +p6176 +tp6177 +a(g412 +g1407 +tp6178 +a(g826 +g962 +tp6179 +a(g435 +VTFileTime +p6180 +tp6181 +a(g705 +g1141 +tp6182 +a(g412 +g1407 +tp6183 +a(g826 +g962 +tp6184 +a(g748 +VTDateTime +p6185 +tp6186 +a(g412 +g966 +tp6187 +a(g826 +V\u000a\u000a +p6188 +tp6189 +a(g929 +V{ FileNames } +p6190 +tp6191 +a(g826 +V\u000a +p6192 +tp6193 +a(g744 +Vfunction +p6194 +tp6195 +a(g826 +g962 +tp6196 +a(g573 +VGetFileNew +p6197 +tp6198 +a(g705 +g1137 +tp6199 +a(g435 +VFileName +p6200 +tp6201 +a(g412 +g1407 +tp6202 +a(g826 +g962 +tp6203 +a(g744 +Vstring +p6204 +tp6205 +a(g412 +g966 +tp6206 +a(g826 +g962 +tp6207 +a(g435 +VNoFloppyDrives +p6208 +tp6209 +a(g412 +g1407 +tp6210 +a(g826 +g962 +tp6211 +a(g748 +VBoolean +p6212 +tp6213 +a(g826 +g962 +tp6214 +a(g412 +g1007 +tp6215 +a(g826 +g962 +tp6216 +a(g744 +VTrue +p6217 +tp6218 +a(g705 +g1141 +tp6219 +a(g412 +g1407 +tp6220 +a(g826 +g962 +tp6221 +a(g744 +Vstring +p6222 +tp6223 +a(g412 +g966 +tp6224 +a(g826 +V\u000a\u000a +p6225 +tp6226 +a(g929 +V{ Finding Files } +p6227 +tp6228 +a(g826 +V\u000a +p6229 +tp6230 +a(g744 +Vfunction +p6231 +tp6232 +a(g826 +g962 +tp6233 +a(g573 +VFindAll +p6234 +tp6235 +a(g705 +g1137 +tp6236 +a(g435 +VStrings +p6237 +tp6238 +a(g412 +g1407 +tp6239 +a(g826 +g962 +tp6240 +a(g435 +VTStrings +p6241 +tp6242 +a(g412 +g966 +tp6243 +a(g826 +g962 +tp6244 +a(g744 +Vconst +p6245 +tp6246 +a(g826 +g962 +tp6247 +a(g435 +VMask +p6248 +tp6249 +a(g412 +g1407 +tp6250 +a(g826 +g962 +tp6251 +a(g744 +Vstring +p6252 +tp6253 +a(g412 +g966 +tp6254 +a(g826 +V\u000a +p6255 +tp6256 +a(g435 +VScanSubDirs +p6257 +tp6258 +a(g412 +g1407 +tp6259 +a(g826 +g962 +tp6260 +a(g748 +VBoolean +p6261 +tp6262 +a(g826 +g962 +tp6263 +a(g412 +g1007 +tp6264 +a(g826 +g962 +tp6265 +a(g744 +VTrue +p6266 +tp6267 +a(g412 +g966 +tp6268 +a(g826 +g962 +tp6269 +a(g435 +VAttributes +p6270 +tp6271 +a(g412 +g1407 +tp6272 +a(g826 +g962 +tp6273 +a(g748 +VInteger +p6274 +tp6275 +a(g826 +g962 +tp6276 +a(g412 +g1007 +tp6277 +a(g826 +g962 +tp6278 +a(g435 +VfaFindEveryFile +p6279 +tp6280 +a(g412 +g966 +tp6281 +a(g826 +V\u000a +p6282 +tp6283 +a(g435 +VFileReturn +p6284 +tp6285 +a(g412 +g1407 +tp6286 +a(g826 +g962 +tp6287 +a(g435 +VTFileNameFunc +p6288 +tp6289 +a(g826 +g962 +tp6290 +a(g412 +g1007 +tp6291 +a(g826 +g962 +tp6292 +a(g744 +Vnil +p6293 +tp6294 +a(g705 +g1141 +tp6295 +a(g412 +g1407 +tp6296 +a(g826 +g962 +tp6297 +a(g748 +VBoolean +p6298 +tp6299 +a(g412 +g966 +tp6300 +a(g826 +V\u000a +p6301 +tp6302 +a(g744 +Vfunction +p6303 +tp6304 +a(g826 +g962 +tp6305 +a(g573 +VFindAllFirst +p6306 +tp6307 +a(g705 +g1137 +tp6308 +a(g744 +Vconst +p6309 +tp6310 +a(g826 +g962 +tp6311 +a(g435 +VMask +p6312 +tp6313 +a(g412 +g1407 +tp6314 +a(g826 +g962 +tp6315 +a(g744 +Vstring +p6316 +tp6317 +a(g412 +g966 +tp6318 +a(g826 +g962 +tp6319 +a(g435 +VScanSubDirs +p6320 +tp6321 +a(g412 +g1407 +tp6322 +a(g826 +g962 +tp6323 +a(g748 +VBoolean +p6324 +tp6325 +a(g826 +g962 +tp6326 +a(g412 +g1007 +tp6327 +a(g826 +g962 +tp6328 +a(g744 +VTrue +p6329 +tp6330 +a(g412 +g966 +tp6331 +a(g826 +V\u000a +p6332 +tp6333 +a(g435 +VAttributes +p6334 +tp6335 +a(g412 +g1407 +tp6336 +a(g826 +g962 +tp6337 +a(g748 +VInteger +p6338 +tp6339 +a(g826 +g962 +tp6340 +a(g412 +g1007 +tp6341 +a(g826 +g962 +tp6342 +a(g435 +VfaFindEveryFile +p6343 +tp6344 +a(g705 +g1141 +tp6345 +a(g412 +g1407 +tp6346 +a(g826 +g962 +tp6347 +a(g744 +Vstring +p6348 +tp6349 +a(g412 +g966 +tp6350 +a(g826 +V\u000a\u000a +p6351 +tp6352 +a(g744 +Vfunction +p6353 +tp6354 +a(g826 +g962 +tp6355 +a(g573 +VFullOSInfo +p6356 +tp6357 +a(g412 +g1407 +tp6358 +a(g826 +g962 +tp6359 +a(g744 +Vstring +p6360 +tp6361 +a(g412 +g966 +tp6362 +a(g826 +V\u000a +p6363 +tp6364 +a(g744 +Vfunction +p6365 +tp6366 +a(g826 +g962 +tp6367 +a(g573 +VWin32PlatformStr +p6368 +tp6369 +a(g412 +g1407 +tp6370 +a(g826 +g962 +tp6371 +a(g744 +Vstring +p6372 +tp6373 +a(g412 +g966 +tp6374 +a(g826 +V\u000a +p6375 +tp6376 +a(g744 +Vfunction +p6377 +tp6378 +a(g826 +g962 +tp6379 +a(g573 +VWin9x +p6380 +tp6381 +a(g412 +g1407 +tp6382 +a(g826 +g962 +tp6383 +a(g748 +VBoolean +p6384 +tp6385 +a(g412 +g966 +tp6386 +a(g826 +V\u000a +p6387 +tp6388 +a(g744 +Vfunction +p6389 +tp6390 +a(g826 +g962 +tp6391 +a(g573 +VWinNT +p6392 +tp6393 +a(g412 +g1407 +tp6394 +a(g826 +g962 +tp6395 +a(g748 +VBoolean +p6396 +tp6397 +a(g412 +g966 +tp6398 +a(g826 +V\u000a +p6399 +tp6400 +a(g744 +Vfunction +p6401 +tp6402 +a(g826 +g962 +tp6403 +a(g573 +VWin2000 +p6404 +tp6405 +a(g412 +g1407 +tp6406 +a(g826 +g962 +tp6407 +a(g748 +VBoolean +p6408 +tp6409 +a(g412 +g966 +tp6410 +a(g826 +V\u000a +p6411 +tp6412 +a(g744 +Vfunction +p6413 +tp6414 +a(g826 +g962 +tp6415 +a(g573 +VWinXP +p6416 +tp6417 +a(g412 +g1407 +tp6418 +a(g826 +g962 +tp6419 +a(g748 +VBoolean +p6420 +tp6421 +a(g412 +g966 +tp6422 +a(g826 +V\u000a\u000a +p6423 +tp6424 +a(g744 +Vvar +p6425 +tp6426 +a(g826 +V\u000a +p6427 +tp6428 +a(g435 +VMyDir +p6429 +tp6430 +a(g412 +g1407 +tp6431 +a(g826 +g962 +tp6432 +a(g744 +Vstring +p6433 +tp6434 +a(g826 +g962 +tp6435 +a(g412 +g1007 +tp6436 +a(g826 +g962 +tp6437 +a(g89 +g1101 +tp6438 +a(g89 +g1101 +tp6439 +a(g412 +g966 +tp6440 +a(g826 +V\u000a +p6441 +tp6442 +a(g435 +VLastSuccessRes +p6443 +tp6444 +a(g412 +g1407 +tp6445 +a(g826 +g962 +tp6446 +a(g748 +VInteger +p6447 +tp6448 +a(g826 +g962 +tp6449 +a(g412 +g1007 +tp6450 +a(g826 +g962 +tp6451 +a(g37 +g1202 +tp6452 +a(g412 +g966 +tp6453 +a(g826 +V\u000a \u000a +p6454 +tp6455 +a(g929 +V{ Backward compatibility } +p6456 +tp6457 +a(g826 +V\u000a +p6458 +tp6459 +a(g929 +V{$IFNDEF VER130} +p6460 +tp6461 +a(g826 +V\u000a +p6462 +tp6463 +a(g744 +Vfunction +p6464 +tp6465 +a(g826 +g962 +tp6466 +a(g573 +VSameText +p6467 +tp6468 +a(g705 +g1137 +tp6469 +a(g744 +Vconst +p6470 +tp6471 +a(g826 +g962 +tp6472 +a(g435 +VS1 +p6473 +tp6474 +a(g412 +g984 +tp6475 +a(g826 +g962 +tp6476 +a(g435 +VS2 +p6477 +tp6478 +a(g412 +g1407 +tp6479 +a(g826 +g962 +tp6480 +a(g744 +Vstring +p6481 +tp6482 +a(g705 +g1141 +tp6483 +a(g412 +g1407 +tp6484 +a(g826 +g962 +tp6485 +a(g748 +VBoolean +p6486 +tp6487 +a(g412 +g966 +tp6488 +a(g826 +V\u000a +p6489 +tp6490 +a(g929 +V{$ENDIF} +p6491 +tp6492 +a(g826 +V\u000a\u000a +p6493 +tp6494 +a(g744 +Vimplementation +p6495 +tp6496 +a(g826 +V\u000a +p6497 +tp6498 +a(g929 +V{$IFNDEF VER140} +p6499 +tp6500 +a(g826 +V\u000a +p6501 +tp6502 +a(g744 +Vuses +p6503 +tp6504 +a(g826 +g962 +tp6505 +a(g435 +VFileCtrl +p6506 +tp6507 +a(g412 +g966 +tp6508 +a(g826 +V\u000a +p6509 +tp6510 +a(g929 +V{$ENDIF} +p6511 +tp6512 +a(g826 +V\u000a\u000a +p6513 +tp6514 +a(g929 +V{$IFNDEF VER130} +p6515 +tp6516 +a(g826 +V\u000a +p6517 +tp6518 +a(g744 +Vfunction +p6519 +tp6520 +a(g826 +g962 +tp6521 +a(g573 +VSameText +p6522 +tp6523 +a(g705 +g1137 +tp6524 +a(g744 +Vconst +p6525 +tp6526 +a(g826 +g962 +tp6527 +a(g435 +VS1 +p6528 +tp6529 +a(g412 +g984 +tp6530 +a(g826 +g962 +tp6531 +a(g435 +VS2 +p6532 +tp6533 +a(g412 +g1407 +tp6534 +a(g826 +g962 +tp6535 +a(g744 +Vstring +p6536 +tp6537 +a(g705 +g1141 +tp6538 +a(g412 +g1407 +tp6539 +a(g826 +g962 +tp6540 +a(g748 +VBoolean +p6541 +tp6542 +a(g412 +g966 +tp6543 +a(g826 +V\u000a +p6544 +tp6545 +a(g744 +Vbegin +p6546 +tp6547 +a(g826 +V\u000a +p6548 +tp6549 +a(g693 +VResult +p6550 +tp6551 +a(g826 +g962 +tp6552 +a(g412 +g1407 +tp6553 +a(g412 +g1007 +tp6554 +a(g826 +g962 +tp6555 +a(g681 +VCompareText +p6556 +tp6557 +a(g705 +g1137 +tp6558 +a(g435 +VS1 +p6559 +tp6560 +a(g412 +g984 +tp6561 +a(g826 +g962 +tp6562 +a(g435 +VS2 +p6563 +tp6564 +a(g705 +g1141 +tp6565 +a(g826 +g962 +tp6566 +a(g412 +g1007 +tp6567 +a(g826 +g962 +tp6568 +a(g37 +g1202 +tp6569 +a(g412 +g966 +tp6570 +a(g826 +V\u000a +p6571 +tp6572 +a(g744 +Vend +p6573 +tp6574 +a(g412 +g966 +tp6575 +a(g826 +V\u000a +p6576 +tp6577 +a(g929 +V{$ENDIF} +p6578 +tp6579 +a(g826 +V\u000a\u000a +p6580 +tp6581 +a(g744 +Vprocedure +p6582 +tp6583 +a(g826 +g962 +tp6584 +a(g573 +VReport +p6585 +tp6586 +a(g705 +g1137 +tp6587 +a(g744 +Vconst +p6588 +tp6589 +a(g826 +g962 +tp6590 +a(g435 +VReportName +p6591 +tp6592 +a(g412 +g984 +tp6593 +a(g826 +g962 +tp6594 +a(g435 +VText +p6595 +tp6596 +a(g412 +g1407 +tp6597 +a(g826 +g962 +tp6598 +a(g744 +Vstring +p6599 +tp6600 +a(g705 +g1141 +tp6601 +a(g412 +g966 +tp6602 +a(g826 +V\u000a +p6603 +tp6604 +a(g744 +Vvar +p6605 +tp6606 +a(g826 +V\u000a +p6607 +tp6608 +a(g435 +g1288 +tp6609 +a(g412 +g1407 +tp6610 +a(g826 +g962 +tp6611 +a(g748 +VTextFile +p6612 +tp6613 +a(g412 +g966 +tp6614 +a(g826 +V\u000a +p6615 +tp6616 +a(g435 +VFileName +p6617 +tp6618 +a(g412 +g1407 +tp6619 +a(g826 +g962 +tp6620 +a(g744 +Vstring +p6621 +tp6622 +a(g412 +g966 +tp6623 +a(g826 +V\u000a +p6624 +tp6625 +a(g744 +Vbegin +p6626 +tp6627 +a(g826 +V\u000a +p6628 +tp6629 +a(g435 +VFileName +p6630 +tp6631 +a(g826 +g962 +tp6632 +a(g412 +g1407 +tp6633 +a(g412 +g1007 +tp6634 +a(g826 +g962 +tp6635 +a(g435 +VMyDir +p6636 +tp6637 +a(g826 +g962 +tp6638 +a(g412 +g1223 +tp6639 +a(g826 +g962 +tp6640 +a(g435 +VReportName +p6641 +tp6642 +a(g826 +g962 +tp6643 +a(g412 +g1223 +tp6644 +a(g826 +g962 +tp6645 +a(g89 +g1101 +tp6646 +a(g89 +V.rep +p6647 +tp6648 +a(g89 +g1101 +tp6649 +a(g412 +g966 +tp6650 +a(g826 +V\u000a +p6651 +tp6652 +a(g435 +VAssign +p6653 +tp6654 +a(g705 +g1137 +tp6655 +a(g435 +g1288 +tp6656 +a(g412 +g984 +tp6657 +a(g826 +g962 +tp6658 +a(g435 +VFileName +p6659 +tp6660 +a(g705 +g1141 +tp6661 +a(g412 +g966 +tp6662 +a(g826 +V\u000a +p6663 +tp6664 +a(g744 +Vtry +p6665 +tp6666 +a(g826 +V\u000a +p6667 +tp6668 +a(g744 +Vif +p6669 +tp6670 +a(g826 +g962 +tp6671 +a(g744 +Vnot +p6672 +tp6673 +a(g826 +g962 +tp6674 +a(g681 +VFileExists +p6675 +tp6676 +a(g705 +g1137 +tp6677 +a(g435 +VFileName +p6678 +tp6679 +a(g705 +g1141 +tp6680 +a(g826 +g962 +tp6681 +a(g744 +Vthen +p6682 +tp6683 +a(g826 +V\u000a +p6684 +tp6685 +a(g681 +VRewrite +p6686 +tp6687 +a(g705 +g1137 +tp6688 +a(g435 +g1288 +tp6689 +a(g705 +g1141 +tp6690 +a(g826 +V\u000a +p6691 +tp6692 +a(g744 +Velse +p6693 +tp6694 +a(g826 +V\u000a +p6695 +tp6696 +a(g681 +VAppend +p6697 +tp6698 +a(g705 +g1137 +tp6699 +a(g435 +g1288 +tp6700 +a(g705 +g1141 +tp6701 +a(g412 +g966 +tp6702 +a(g826 +V\u000a +p6703 +tp6704 +a(g681 +VWriteLn +p6705 +tp6706 +a(g705 +g1137 +tp6707 +a(g435 +g1288 +tp6708 +a(g412 +g984 +tp6709 +a(g826 +g962 +tp6710 +a(g435 +VText +p6711 +tp6712 +a(g705 +g1141 +tp6713 +a(g412 +g966 +tp6714 +a(g826 +V\u000a +p6715 +tp6716 +a(g744 +Vfinally +p6717 +tp6718 +a(g826 +V\u000a +p6719 +tp6720 +a(g681 +VClose +p6721 +tp6722 +a(g705 +g1137 +tp6723 +a(g435 +g1288 +tp6724 +a(g705 +g1141 +tp6725 +a(g412 +g966 +tp6726 +a(g826 +V\u000a +p6727 +tp6728 +a(g744 +Vend +p6729 +tp6730 +a(g412 +g966 +tp6731 +a(g826 +V\u000a +p6732 +tp6733 +a(g744 +Vend +p6734 +tp6735 +a(g412 +g966 +tp6736 +a(g826 +V\u000a\u000a +p6737 +tp6738 +a(g744 +Vprocedure +p6739 +tp6740 +a(g826 +g962 +tp6741 +a(g573 +VClearReport +p6742 +tp6743 +a(g705 +g1137 +tp6744 +a(g744 +Vconst +p6745 +tp6746 +a(g826 +g962 +tp6747 +a(g435 +VReportName +p6748 +tp6749 +a(g412 +g1407 +tp6750 +a(g826 +g962 +tp6751 +a(g744 +Vstring +p6752 +tp6753 +a(g705 +g1141 +tp6754 +a(g412 +g966 +tp6755 +a(g826 +V\u000a +p6756 +tp6757 +a(g744 +Vvar +p6758 +tp6759 +a(g826 +V\u000a +p6760 +tp6761 +a(g435 +VFileName +p6762 +tp6763 +a(g412 +g1407 +tp6764 +a(g826 +g962 +tp6765 +a(g744 +Vstring +p6766 +tp6767 +a(g412 +g966 +tp6768 +a(g826 +V\u000a +p6769 +tp6770 +a(g744 +Vbegin +p6771 +tp6772 +a(g826 +V\u000a +p6773 +tp6774 +a(g435 +VFileName +p6775 +tp6776 +a(g826 +g962 +tp6777 +a(g412 +g1407 +tp6778 +a(g412 +g1007 +tp6779 +a(g826 +g962 +tp6780 +a(g435 +VMyDir +p6781 +tp6782 +a(g826 +g962 +tp6783 +a(g412 +g1223 +tp6784 +a(g826 +g962 +tp6785 +a(g435 +VReportName +p6786 +tp6787 +a(g826 +g962 +tp6788 +a(g412 +g1223 +tp6789 +a(g826 +g962 +tp6790 +a(g89 +g1101 +tp6791 +a(g89 +V.rep +p6792 +tp6793 +a(g89 +g1101 +tp6794 +a(g412 +g966 +tp6795 +a(g826 +V\u000a +p6796 +tp6797 +a(g681 +VDeleteFile +p6798 +tp6799 +a(g705 +g1137 +tp6800 +a(g435 +VFileName +p6801 +tp6802 +a(g705 +g1141 +tp6803 +a(g412 +g966 +tp6804 +a(g826 +V\u000a +p6805 +tp6806 +a(g744 +Vend +p6807 +tp6808 +a(g412 +g966 +tp6809 +a(g826 +V\u000a\u000a +p6810 +tp6811 +a(g744 +Vprocedure +p6812 +tp6813 +a(g826 +g962 +tp6814 +a(g573 +VReportFmt +p6815 +tp6816 +a(g705 +g1137 +tp6817 +a(g744 +Vconst +p6818 +tp6819 +a(g826 +g962 +tp6820 +a(g435 +VReportName +p6821 +tp6822 +a(g412 +g984 +tp6823 +a(g826 +g962 +tp6824 +a(g435 +VFmt +p6825 +tp6826 +a(g412 +g1407 +tp6827 +a(g826 +g962 +tp6828 +a(g744 +Vstring +p6829 +tp6830 +a(g412 +g966 +tp6831 +a(g826 +g962 +tp6832 +a(g744 +Vconst +p6833 +tp6834 +a(g826 +g962 +tp6835 +a(g435 +VArgs +p6836 +tp6837 +a(g412 +g1407 +tp6838 +a(g826 +g962 +tp6839 +a(g744 +Varray +p6840 +tp6841 +a(g826 +g962 +tp6842 +a(g744 +Vof +p6843 +tp6844 +a(g826 +g962 +tp6845 +a(g744 +Vconst +p6846 +tp6847 +a(g705 +g1141 +tp6848 +a(g412 +g966 +tp6849 +a(g826 +V\u000a +p6850 +tp6851 +a(g744 +Vbegin +p6852 +tp6853 +a(g826 +V\u000a +p6854 +tp6855 +a(g435 +VReport +p6856 +tp6857 +a(g705 +g1137 +tp6858 +a(g435 +VReportName +p6859 +tp6860 +a(g412 +g984 +tp6861 +a(g826 +g962 +tp6862 +a(g681 +VFormat +p6863 +tp6864 +a(g705 +g1137 +tp6865 +a(g435 +VFmt +p6866 +tp6867 +a(g412 +g984 +tp6868 +a(g826 +g962 +tp6869 +a(g435 +VArgs +p6870 +tp6871 +a(g705 +V)) +p6872 +tp6873 +a(g412 +g966 +tp6874 +a(g826 +V\u000a +p6875 +tp6876 +a(g744 +Vend +p6877 +tp6878 +a(g412 +g966 +tp6879 +a(g826 +V\u000a\u000a +p6880 +tp6881 +a(g744 +Vprocedure +p6882 +tp6883 +a(g826 +g962 +tp6884 +a(g573 +VGetParams +p6885 +tp6886 +a(g705 +g1137 +tp6887 +a(g435 +VStrings +p6888 +tp6889 +a(g412 +g1407 +tp6890 +a(g826 +g962 +tp6891 +a(g435 +VTStrings +p6892 +tp6893 +a(g705 +g1141 +tp6894 +a(g412 +g966 +tp6895 +a(g826 +V\u000a +p6896 +tp6897 +a(g744 +Vvar +p6898 +tp6899 +a(g826 +V\u000a +p6900 +tp6901 +a(g435 +g1758 +tp6902 +a(g412 +g1407 +tp6903 +a(g826 +g962 +tp6904 +a(g748 +VPChar +p6905 +tp6906 +a(g412 +g966 +tp6907 +a(g826 +V\u000a +p6908 +tp6909 +a(g435 +VParam +p6910 +tp6911 +a(g412 +g1407 +tp6912 +a(g826 +g962 +tp6913 +a(g744 +Vstring +p6914 +tp6915 +a(g412 +g966 +tp6916 +a(g826 +V\u000a\u000a +p6917 +tp6918 +a(g744 +Vfunction +p6919 +tp6920 +a(g826 +g962 +tp6921 +a(g573 +VGetParamStr +p6922 +tp6923 +a(g705 +g1137 +tp6924 +a(g744 +Vvar +p6925 +tp6926 +a(g826 +g962 +tp6927 +a(g435 +g1758 +tp6928 +a(g412 +g1407 +tp6929 +a(g826 +g962 +tp6930 +a(g748 +VPChar +p6931 +tp6932 +a(g412 +g966 +tp6933 +a(g826 +g962 +tp6934 +a(g744 +Vvar +p6935 +tp6936 +a(g826 +g962 +tp6937 +a(g435 +VParam +p6938 +tp6939 +a(g412 +g1407 +tp6940 +a(g826 +g962 +tp6941 +a(g744 +Vstring +p6942 +tp6943 +a(g705 +g1141 +tp6944 +a(g412 +g1407 +tp6945 +a(g826 +g962 +tp6946 +a(g748 +VBoolean +p6947 +tp6948 +a(g412 +g966 +tp6949 +a(g826 +V\u000a +p6950 +tp6951 +a(g744 +Vvar +p6952 +tp6953 +a(g826 +V\u000a +p6954 +tp6955 +a(g435 +VQuoted +p6956 +tp6957 +a(g412 +g1407 +tp6958 +a(g826 +g962 +tp6959 +a(g748 +VBoolean +p6960 +tp6961 +a(g412 +g966 +tp6962 +a(g826 +V\u000a +p6963 +tp6964 +a(g744 +Vbegin +p6965 +tp6966 +a(g826 +V\u000a +p6967 +tp6968 +a(g435 +VParam +p6969 +tp6970 +a(g826 +g962 +tp6971 +a(g412 +g1407 +tp6972 +a(g412 +g1007 +tp6973 +a(g826 +g962 +tp6974 +a(g89 +g1101 +tp6975 +a(g89 +g1101 +tp6976 +a(g412 +g966 +tp6977 +a(g826 +V\u000a\u000a +p6978 +tp6979 +a(g744 +Vrepeat +p6980 +tp6981 +a(g826 +V\u000a +p6982 +tp6983 +a(g744 +Vwhile +p6984 +tp6985 +a(g826 +g962 +tp6986 +a(g705 +g1137 +tp6987 +a(g435 +g1758 +tp6988 +a(g705 +g1066 +tp6989 +a(g37 +g1202 +tp6990 +a(g705 +g1074 +tp6991 +a(g826 +g962 +tp6992 +a(g412 +g1413 +tp6993 +a(g412 +g1419 +tp6994 +a(g826 +g962 +tp6995 +a(g93 +V#0 +p6996 +tp6997 +a(g705 +g1141 +tp6998 +a(g826 +g962 +tp6999 +a(g744 +Vand +p7000 +tp7001 +a(g826 +g962 +tp7002 +a(g705 +g1137 +tp7003 +a(g435 +g1758 +tp7004 +a(g705 +g1066 +tp7005 +a(g37 +g1202 +tp7006 +a(g705 +g1074 +tp7007 +a(g826 +g962 +tp7008 +a(g412 +g1413 +tp7009 +a(g412 +g1007 +tp7010 +a(g826 +g962 +tp7011 +a(g89 +g1101 +tp7012 +a(g89 +g962 +tp7013 +a(g89 +g1101 +tp7014 +a(g705 +g1141 +tp7015 +a(g826 +g962 +tp7016 +a(g744 +Vdo +p7017 +tp7018 +a(g826 +V\u000a +p7019 +tp7020 +a(g681 +VInc +p7021 +tp7022 +a(g705 +g1137 +tp7023 +a(g435 +g1758 +tp7024 +a(g705 +g1141 +tp7025 +a(g412 +g966 +tp7026 +a(g826 +V\u000a\u000a +p7027 +tp7028 +a(g435 +VQuoted +p7029 +tp7030 +a(g826 +g962 +tp7031 +a(g412 +g1407 +tp7032 +a(g412 +g1007 +tp7033 +a(g826 +g962 +tp7034 +a(g744 +VFalse +p7035 +tp7036 +a(g412 +g966 +tp7037 +a(g826 +V\u000a +p7038 +tp7039 +a(g744 +Vwhile +p7040 +tp7041 +a(g826 +g962 +tp7042 +a(g435 +g1758 +tp7043 +a(g705 +g1066 +tp7044 +a(g37 +g1202 +tp7045 +a(g705 +g1074 +tp7046 +a(g826 +g962 +tp7047 +a(g412 +g1413 +tp7048 +a(g412 +g1419 +tp7049 +a(g826 +g962 +tp7050 +a(g93 +V#0 +p7051 +tp7052 +a(g826 +g962 +tp7053 +a(g744 +Vdo +p7054 +tp7055 +a(g826 +g962 +tp7056 +a(g744 +Vbegin +p7057 +tp7058 +a(g826 +V\u000a +p7059 +tp7060 +a(g744 +Vif +p7061 +tp7062 +a(g826 +g962 +tp7063 +a(g435 +g1758 +tp7064 +a(g705 +g1066 +tp7065 +a(g37 +g1202 +tp7066 +a(g705 +g1074 +tp7067 +a(g826 +g962 +tp7068 +a(g412 +g1007 +tp7069 +a(g826 +g962 +tp7070 +a(g89 +g1101 +tp7071 +a(g89 +g1357 +tp7072 +a(g89 +g1101 +tp7073 +a(g826 +g962 +tp7074 +a(g744 +Vthen +p7075 +tp7076 +a(g826 +g962 +tp7077 +a(g744 +Vbegin +p7078 +tp7079 +a(g826 +V\u000a +p7080 +tp7081 +a(g435 +VQuoted +p7082 +tp7083 +a(g826 +g962 +tp7084 +a(g412 +g1407 +tp7085 +a(g412 +g1007 +tp7086 +a(g826 +g962 +tp7087 +a(g744 +Vnot +p7088 +tp7089 +a(g826 +g962 +tp7090 +a(g435 +VQuoted +p7091 +tp7092 +a(g412 +g966 +tp7093 +a(g826 +V\u000a +p7094 +tp7095 +a(g681 +VInc +p7096 +tp7097 +a(g705 +g1137 +tp7098 +a(g435 +g1758 +tp7099 +a(g705 +g1141 +tp7100 +a(g412 +g966 +tp7101 +a(g826 +V\u000a +p7102 +tp7103 +a(g744 +VContinue +p7104 +tp7105 +a(g412 +g966 +tp7106 +a(g826 +g962 +tp7107 +a(g744 +Vend +p7108 +tp7109 +a(g412 +g966 +tp7110 +a(g826 +V\u000a +p7111 +tp7112 +a(g744 +Vif +p7113 +tp7114 +a(g826 +g962 +tp7115 +a(g705 +g1137 +tp7116 +a(g435 +g1758 +tp7117 +a(g705 +g1066 +tp7118 +a(g37 +g1202 +tp7119 +a(g705 +g1074 +tp7120 +a(g826 +g962 +tp7121 +a(g412 +g1413 +tp7122 +a(g412 +g1007 +tp7123 +a(g826 +g962 +tp7124 +a(g89 +g1101 +tp7125 +a(g89 +g962 +tp7126 +a(g89 +g1101 +tp7127 +a(g705 +g1141 +tp7128 +a(g826 +g962 +tp7129 +a(g744 +Vand +p7130 +tp7131 +a(g826 +g962 +tp7132 +a(g744 +Vnot +p7133 +tp7134 +a(g826 +g962 +tp7135 +a(g435 +VQuoted +p7136 +tp7137 +a(g826 +g962 +tp7138 +a(g744 +Vthen +p7139 +tp7140 +a(g826 +V\u000a +p7141 +tp7142 +a(g744 +VBreak +p7143 +tp7144 +a(g412 +g966 +tp7145 +a(g826 +V\u000a +p7146 +tp7147 +a(g435 +VParam +p7148 +tp7149 +a(g826 +g962 +tp7150 +a(g412 +g1407 +tp7151 +a(g412 +g1007 +tp7152 +a(g826 +g962 +tp7153 +a(g435 +VParam +p7154 +tp7155 +a(g826 +g962 +tp7156 +a(g412 +g1223 +tp7157 +a(g826 +g962 +tp7158 +a(g435 +g1758 +tp7159 +a(g705 +g1066 +tp7160 +a(g37 +g1202 +tp7161 +a(g705 +g1074 +tp7162 +a(g412 +g966 +tp7163 +a(g826 +V\u000a +p7164 +tp7165 +a(g681 +VInc +p7166 +tp7167 +a(g705 +g1137 +tp7168 +a(g435 +g1758 +tp7169 +a(g705 +g1141 +tp7170 +a(g412 +g966 +tp7171 +a(g826 +V\u000a +p7172 +tp7173 +a(g744 +Vend +p7174 +tp7175 +a(g412 +g966 +tp7176 +a(g826 +V\u000a +p7177 +tp7178 +a(g744 +Vuntil +p7179 +tp7180 +a(g826 +g962 +tp7181 +a(g705 +g1137 +tp7182 +a(g435 +VParam +p7183 +tp7184 +a(g826 +g962 +tp7185 +a(g412 +g1413 +tp7186 +a(g412 +g1419 +tp7187 +a(g826 +g962 +tp7188 +a(g89 +g1101 +tp7189 +a(g89 +g1101 +tp7190 +a(g705 +g1141 +tp7191 +a(g826 +g962 +tp7192 +a(g744 +Vor +p7193 +tp7194 +a(g826 +g962 +tp7195 +a(g705 +g1137 +tp7196 +a(g435 +g1758 +tp7197 +a(g705 +g1066 +tp7198 +a(g37 +g1202 +tp7199 +a(g705 +g1074 +tp7200 +a(g826 +g962 +tp7201 +a(g412 +g1007 +tp7202 +a(g826 +g962 +tp7203 +a(g93 +V#0 +p7204 +tp7205 +a(g705 +g1141 +tp7206 +a(g412 +g966 +tp7207 +a(g826 +V\u000a\u000a +p7208 +tp7209 +a(g693 +VResult +p7210 +tp7211 +a(g826 +g962 +tp7212 +a(g412 +g1407 +tp7213 +a(g412 +g1007 +tp7214 +a(g826 +g962 +tp7215 +a(g435 +VParam +p7216 +tp7217 +a(g826 +g962 +tp7218 +a(g412 +g1413 +tp7219 +a(g412 +g1419 +tp7220 +a(g826 +g962 +tp7221 +a(g89 +g1101 +tp7222 +a(g89 +g1101 +tp7223 +a(g412 +g966 +tp7224 +a(g826 +V\u000a +p7225 +tp7226 +a(g744 +Vend +p7227 +tp7228 +a(g412 +g966 +tp7229 +a(g826 +V\u000a\u000a +p7230 +tp7231 +a(g744 +Vbegin +p7232 +tp7233 +a(g826 +V\u000a +p7234 +tp7235 +a(g435 +VStrings +p7236 +tp7237 +a(g412 +g1154 +tp7238 +a(g435 +VClear +p7239 +tp7240 +a(g412 +g966 +tp7241 +a(g826 +V\u000a +p7242 +tp7243 +a(g435 +g1758 +tp7244 +a(g826 +g962 +tp7245 +a(g412 +g1407 +tp7246 +a(g412 +g1007 +tp7247 +a(g826 +g962 +tp7248 +a(g435 +VGetCommandLine +p7249 +tp7250 +a(g412 +g966 +tp7251 +a(g826 +V\u000a +p7252 +tp7253 +a(g435 +VGetParamStr +p7254 +tp7255 +a(g705 +g1137 +tp7256 +a(g435 +g1758 +tp7257 +a(g412 +g984 +tp7258 +a(g826 +g962 +tp7259 +a(g435 +VParam +p7260 +tp7261 +a(g705 +g1141 +tp7262 +a(g412 +g966 +tp7263 +a(g826 +V\u000a +p7264 +tp7265 +a(g744 +Vwhile +p7266 +tp7267 +a(g826 +g962 +tp7268 +a(g435 +VGetParamStr +p7269 +tp7270 +a(g705 +g1137 +tp7271 +a(g435 +g1758 +tp7272 +a(g412 +g984 +tp7273 +a(g826 +g962 +tp7274 +a(g435 +VParam +p7275 +tp7276 +a(g705 +g1141 +tp7277 +a(g826 +g962 +tp7278 +a(g744 +Vdo +p7279 +tp7280 +a(g826 +V\u000a +p7281 +tp7282 +a(g435 +VStrings +p7283 +tp7284 +a(g412 +g1154 +tp7285 +a(g435 +VAdd +p7286 +tp7287 +a(g705 +g1137 +tp7288 +a(g435 +VParam +p7289 +tp7290 +a(g705 +g1141 +tp7291 +a(g412 +g966 +tp7292 +a(g826 +V\u000a +p7293 +tp7294 +a(g744 +Vend +p7295 +tp7296 +a(g412 +g966 +tp7297 +a(g826 +V\u000a\u000a +p7298 +tp7299 +a(g744 +Vfunction +p7300 +tp7301 +a(g826 +g962 +tp7302 +a(g573 +VGetParams +p7303 +tp7304 +a(g705 +g1137 +tp7305 +a(g744 +Vconst +p7306 +tp7307 +a(g826 +g962 +tp7308 +a(g435 +VSeparator +p7309 +tp7310 +a(g412 +g1407 +tp7311 +a(g826 +g962 +tp7312 +a(g744 +Vstring +p7313 +tp7314 +a(g826 +g962 +tp7315 +a(g412 +g1007 +tp7316 +a(g826 +g962 +tp7317 +a(g89 +g1101 +tp7318 +a(g89 +g962 +tp7319 +a(g89 +g1101 +tp7320 +a(g705 +g1141 +tp7321 +a(g412 +g1407 +tp7322 +a(g826 +g962 +tp7323 +a(g744 +Vstring +p7324 +tp7325 +a(g412 +g966 +tp7326 +a(g826 +V\u000a +p7327 +tp7328 +a(g744 +Vvar +p7329 +tp7330 +a(g826 +V\u000a +p7331 +tp7332 +a(g435 +VSL +p7333 +tp7334 +a(g412 +g1407 +tp7335 +a(g826 +g962 +tp7336 +a(g435 +VTStringList +p7337 +tp7338 +a(g412 +g966 +tp7339 +a(g826 +V\u000a +p7340 +tp7341 +a(g744 +Vbegin +p7342 +tp7343 +a(g826 +V\u000a +p7344 +tp7345 +a(g435 +VSL +p7346 +tp7347 +a(g826 +g962 +tp7348 +a(g412 +g1407 +tp7349 +a(g412 +g1007 +tp7350 +a(g826 +g962 +tp7351 +a(g435 +VTStringList +p7352 +tp7353 +a(g412 +g1154 +tp7354 +a(g435 +VCreate +p7355 +tp7356 +a(g412 +g966 +tp7357 +a(g826 +V\u000a +p7358 +tp7359 +a(g435 +VGetParams +p7360 +tp7361 +a(g705 +g1137 +tp7362 +a(g435 +VSL +p7363 +tp7364 +a(g705 +g1141 +tp7365 +a(g412 +g966 +tp7366 +a(g826 +V\u000a +p7367 +tp7368 +a(g693 +VResult +p7369 +tp7370 +a(g826 +g962 +tp7371 +a(g412 +g1407 +tp7372 +a(g412 +g1007 +tp7373 +a(g826 +g962 +tp7374 +a(g435 +VJoin +p7375 +tp7376 +a(g705 +g1137 +tp7377 +a(g435 +VSL +p7378 +tp7379 +a(g412 +g984 +tp7380 +a(g826 +g962 +tp7381 +a(g435 +VSeparator +p7382 +tp7383 +a(g705 +g1141 +tp7384 +a(g412 +g966 +tp7385 +a(g826 +V\u000a +p7386 +tp7387 +a(g435 +VSL +p7388 +tp7389 +a(g412 +g1154 +tp7390 +a(g435 +VFree +p7391 +tp7392 +a(g412 +g966 +tp7393 +a(g826 +V\u000a +p7394 +tp7395 +a(g744 +Vend +p7396 +tp7397 +a(g412 +g966 +tp7398 +a(g826 +V\u000a\u000a +p7399 +tp7400 +a(g744 +Vfunction +p7401 +tp7402 +a(g826 +g962 +tp7403 +a(g573 +VSwitch +p7404 +tp7405 +a(g705 +g1137 +tp7406 +a(g744 +Vconst +p7407 +tp7408 +a(g826 +g962 +tp7409 +a(g435 +VSwitch +p7410 +tp7411 +a(g412 +g1407 +tp7412 +a(g826 +g962 +tp7413 +a(g744 +Vstring +p7414 +tp7415 +a(g412 +g966 +tp7416 +a(g826 +g962 +tp7417 +a(g744 +Vconst +p7418 +tp7419 +a(g826 +g962 +tp7420 +a(g435 +VPrefixChars +p7421 +tp7422 +a(g412 +g1407 +tp7423 +a(g826 +g962 +tp7424 +a(g435 +VTCharSet +p7425 +tp7426 +a(g826 +g962 +tp7427 +a(g412 +g1007 +tp7428 +a(g826 +g962 +tp7429 +a(g435 +VSwitchChars +p7430 +tp7431 +a(g412 +g966 +tp7432 +a(g826 +V\u000a +p7433 +tp7434 +a(g435 +VIgnoreCase +p7435 +tp7436 +a(g412 +g1407 +tp7437 +a(g826 +g962 +tp7438 +a(g748 +VBoolean +p7439 +tp7440 +a(g826 +g962 +tp7441 +a(g412 +g1007 +tp7442 +a(g826 +g962 +tp7443 +a(g744 +VTrue +p7444 +tp7445 +a(g705 +g1141 +tp7446 +a(g412 +g1407 +tp7447 +a(g826 +g962 +tp7448 +a(g748 +VBoolean +p7449 +tp7450 +a(g412 +g966 +tp7451 +a(g826 +V\u000a +p7452 +tp7453 +a(g8 +V//= SysUtils.FindCmdLineSwitch +p7454 +tp7455 +a(g826 +V\u000a +p7456 +tp7457 +a(g744 +Vvar +p7458 +tp7459 +a(g826 +V\u000a +p7460 +tp7461 +a(g435 +g4708 +tp7462 +a(g412 +g1407 +tp7463 +a(g826 +g962 +tp7464 +a(g748 +VInteger +p7465 +tp7466 +a(g412 +g966 +tp7467 +a(g826 +V\u000a +p7468 +tp7469 +a(g435 +Vs +p7470 +tp7471 +a(g412 +g1407 +tp7472 +a(g826 +g962 +tp7473 +a(g744 +Vstring +p7474 +tp7475 +a(g412 +g966 +tp7476 +a(g826 +V\u000a +p7477 +tp7478 +a(g744 +Vbegin +p7479 +tp7480 +a(g826 +V\u000a +p7481 +tp7482 +a(g693 +VResult +p7483 +tp7484 +a(g826 +g962 +tp7485 +a(g412 +g1407 +tp7486 +a(g412 +g1007 +tp7487 +a(g826 +g962 +tp7488 +a(g744 +VTrue +p7489 +tp7490 +a(g412 +g966 +tp7491 +a(g826 +V\u000a\u000a +p7492 +tp7493 +a(g744 +Vfor +p7494 +tp7495 +a(g826 +g962 +tp7496 +a(g435 +g4708 +tp7497 +a(g826 +g962 +tp7498 +a(g412 +g1407 +tp7499 +a(g412 +g1007 +tp7500 +a(g826 +g962 +tp7501 +a(g37 +g1337 +tp7502 +a(g826 +g962 +tp7503 +a(g744 +Vto +p7504 +tp7505 +a(g826 +g962 +tp7506 +a(g681 +VParamCount +p7507 +tp7508 +a(g826 +g962 +tp7509 +a(g744 +Vdo +p7510 +tp7511 +a(g826 +g962 +tp7512 +a(g744 +Vbegin +p7513 +tp7514 +a(g826 +V\u000a +p7515 +tp7516 +a(g435 +g7470 +tp7517 +a(g826 +g962 +tp7518 +a(g412 +g1407 +tp7519 +a(g412 +g1007 +tp7520 +a(g826 +g962 +tp7521 +a(g681 +VParamStr +p7522 +tp7523 +a(g705 +g1137 +tp7524 +a(g435 +g4708 +tp7525 +a(g705 +g1141 +tp7526 +a(g412 +g966 +tp7527 +a(g826 +V\u000a\u000a +p7528 +tp7529 +a(g744 +Vif +p7530 +tp7531 +a(g826 +g962 +tp7532 +a(g705 +g1137 +tp7533 +a(g435 +g7470 +tp7534 +a(g826 +g962 +tp7535 +a(g412 +g1413 +tp7536 +a(g412 +g1419 +tp7537 +a(g826 +g962 +tp7538 +a(g89 +g1101 +tp7539 +a(g89 +g1101 +tp7540 +a(g705 +g1141 +tp7541 +a(g826 +g962 +tp7542 +a(g744 +Vand +p7543 +tp7544 +a(g826 +g962 +tp7545 +a(g705 +g1137 +tp7546 +a(g435 +g7470 +tp7547 +a(g705 +g1066 +tp7548 +a(g37 +g1337 +tp7549 +a(g705 +g1074 +tp7550 +a(g826 +g962 +tp7551 +a(g744 +Vin +p7552 +tp7553 +a(g826 +g962 +tp7554 +a(g435 +VPrefixChars +p7555 +tp7556 +a(g705 +g1141 +tp7557 +a(g826 +g962 +tp7558 +a(g744 +Vthen +p7559 +tp7560 +a(g826 +g962 +tp7561 +a(g744 +Vbegin +p7562 +tp7563 +a(g826 +V\u000a +p7564 +tp7565 +a(g8 +V//i know that always s <> '', but this is saver +p7566 +tp7567 +a(g826 +V\u000a +p7568 +tp7569 +a(g435 +g7470 +tp7570 +a(g826 +g962 +tp7571 +a(g412 +g1407 +tp7572 +a(g412 +g1007 +tp7573 +a(g826 +g962 +tp7574 +a(g681 +VCopy +p7575 +tp7576 +a(g705 +g1137 +tp7577 +a(g435 +g7470 +tp7578 +a(g412 +g984 +tp7579 +a(g826 +g962 +tp7580 +a(g37 +g1463 +tp7581 +a(g412 +g984 +tp7582 +a(g826 +g962 +tp7583 +a(g435 +VMaxInt +p7584 +tp7585 +a(g705 +g1141 +tp7586 +a(g412 +g966 +tp7587 +a(g826 +V\u000a +p7588 +tp7589 +a(g744 +Vif +p7590 +tp7591 +a(g826 +g962 +tp7592 +a(g705 +g1137 +tp7593 +a(g435 +g7470 +tp7594 +a(g826 +g962 +tp7595 +a(g412 +g1007 +tp7596 +a(g826 +g962 +tp7597 +a(g435 +VSwitch +p7598 +tp7599 +a(g705 +g1141 +tp7600 +a(g826 +g962 +tp7601 +a(g744 +Vor +p7602 +tp7603 +a(g826 +g962 +tp7604 +a(g705 +g1137 +tp7605 +a(g435 +VIgnoreCase +p7606 +tp7607 +a(g826 +g962 +tp7608 +a(g744 +Vand +p7609 +tp7610 +a(g826 +g962 +tp7611 +a(g705 +g1137 +tp7612 +a(g37 +g1202 +tp7613 +a(g412 +g1007 +tp7614 +a(g681 +VAnsiCompareText +p7615 +tp7616 +a(g705 +g1137 +tp7617 +a(g435 +g7470 +tp7618 +a(g412 +g984 +tp7619 +a(g826 +g962 +tp7620 +a(g435 +VSwitch +p7621 +tp7622 +a(g705 +V))) +p7623 +tp7624 +a(g826 +g962 +tp7625 +a(g744 +Vthen +p7626 +tp7627 +a(g826 +V\u000a +p7628 +tp7629 +a(g744 +VExit +p7630 +tp7631 +a(g412 +g966 +tp7632 +a(g826 +V\u000a +p7633 +tp7634 +a(g744 +Vend +p7635 +tp7636 +a(g412 +g966 +tp7637 +a(g826 +V\u000a +p7638 +tp7639 +a(g744 +Vend +p7640 +tp7641 +a(g412 +g966 +tp7642 +a(g826 +V\u000a\u000a +p7643 +tp7644 +a(g693 +VResult +p7645 +tp7646 +a(g826 +g962 +tp7647 +a(g412 +g1407 +tp7648 +a(g412 +g1007 +tp7649 +a(g826 +g962 +tp7650 +a(g744 +VFalse +p7651 +tp7652 +a(g412 +g966 +tp7653 +a(g826 +V\u000a +p7654 +tp7655 +a(g744 +Vend +p7656 +tp7657 +a(g412 +g966 +tp7658 +a(g826 +V\u000a\u000a +p7659 +tp7660 +a(g744 +Vfunction +p7661 +tp7662 +a(g826 +g962 +tp7663 +a(g573 +VParamNum +p7664 +tp7665 +a(g705 +g1137 +tp7666 +a(g744 +Vconst +p7667 +tp7668 +a(g826 +g962 +tp7669 +a(g435 +g1773 +tp7670 +a(g412 +g1407 +tp7671 +a(g826 +g962 +tp7672 +a(g744 +Vstring +p7673 +tp7674 +a(g705 +g1141 +tp7675 +a(g412 +g1407 +tp7676 +a(g826 +g962 +tp7677 +a(g748 +VInteger +p7678 +tp7679 +a(g412 +g966 +tp7680 +a(g826 +V\u000a +p7681 +tp7682 +a(g744 +Vbegin +p7683 +tp7684 +a(g826 +V\u000a +p7685 +tp7686 +a(g744 +Vfor +p7687 +tp7688 +a(g826 +g962 +tp7689 +a(g693 +VResult +p7690 +tp7691 +a(g826 +g962 +tp7692 +a(g412 +g1407 +tp7693 +a(g412 +g1007 +tp7694 +a(g826 +g962 +tp7695 +a(g37 +g1337 +tp7696 +a(g826 +g962 +tp7697 +a(g744 +Vto +p7698 +tp7699 +a(g826 +g962 +tp7700 +a(g681 +VParamCount +p7701 +tp7702 +a(g826 +g962 +tp7703 +a(g744 +Vdo +p7704 +tp7705 +a(g826 +V\u000a +p7706 +tp7707 +a(g744 +Vif +p7708 +tp7709 +a(g826 +g962 +tp7710 +a(g37 +g1202 +tp7711 +a(g412 +g1007 +tp7712 +a(g681 +VAnsiCompareText +p7713 +tp7714 +a(g705 +g1137 +tp7715 +a(g681 +VParamStr +p7716 +tp7717 +a(g705 +g1137 +tp7718 +a(g693 +VResult +p7719 +tp7720 +a(g705 +g1141 +tp7721 +a(g412 +g984 +tp7722 +a(g826 +g962 +tp7723 +a(g435 +g1773 +tp7724 +a(g705 +g1141 +tp7725 +a(g826 +g962 +tp7726 +a(g744 +Vthen +p7727 +tp7728 +a(g826 +V\u000a +p7729 +tp7730 +a(g744 +VExit +p7731 +tp7732 +a(g412 +g966 +tp7733 +a(g826 +V\u000a\u000a +p7734 +tp7735 +a(g693 +VResult +p7736 +tp7737 +a(g826 +g962 +tp7738 +a(g412 +g1407 +tp7739 +a(g412 +g1007 +tp7740 +a(g826 +g962 +tp7741 +a(g37 +g1202 +tp7742 +a(g412 +g966 +tp7743 +a(g826 +V\u000a +p7744 +tp7745 +a(g744 +Vend +p7746 +tp7747 +a(g412 +g966 +tp7748 +a(g826 +V\u000a\u000a +p7749 +tp7750 +a(g744 +Vfunction +p7751 +tp7752 +a(g826 +g962 +tp7753 +a(g573 +VParamPrefixNum +p7754 +tp7755 +a(g705 +g1137 +tp7756 +a(g744 +Vconst +p7757 +tp7758 +a(g826 +g962 +tp7759 +a(g435 +VPrefix +p7760 +tp7761 +a(g412 +g1407 +tp7762 +a(g826 +g962 +tp7763 +a(g744 +Vstring +p7764 +tp7765 +a(g705 +g1141 +tp7766 +a(g412 +g1407 +tp7767 +a(g826 +g962 +tp7768 +a(g748 +VInteger +p7769 +tp7770 +a(g412 +g966 +tp7771 +a(g826 +V\u000a +p7772 +tp7773 +a(g744 +Vvar +p7774 +tp7775 +a(g826 +V\u000a +p7776 +tp7777 +a(g435 +VLen +p7778 +tp7779 +a(g412 +g1407 +tp7780 +a(g826 +g962 +tp7781 +a(g748 +VInteger +p7782 +tp7783 +a(g412 +g966 +tp7784 +a(g826 +V\u000a +p7785 +tp7786 +a(g744 +Vbegin +p7787 +tp7788 +a(g826 +V\u000a +p7789 +tp7790 +a(g435 +VLen +p7791 +tp7792 +a(g826 +g962 +tp7793 +a(g412 +g1407 +tp7794 +a(g412 +g1007 +tp7795 +a(g826 +g962 +tp7796 +a(g681 +VLength +p7797 +tp7798 +a(g705 +g1137 +tp7799 +a(g435 +VPrefix +p7800 +tp7801 +a(g705 +g1141 +tp7802 +a(g412 +g966 +tp7803 +a(g826 +V\u000a +p7804 +tp7805 +a(g744 +Vfor +p7806 +tp7807 +a(g826 +g962 +tp7808 +a(g693 +VResult +p7809 +tp7810 +a(g826 +g962 +tp7811 +a(g412 +g1407 +tp7812 +a(g412 +g1007 +tp7813 +a(g826 +g962 +tp7814 +a(g37 +g1337 +tp7815 +a(g826 +g962 +tp7816 +a(g744 +Vto +p7817 +tp7818 +a(g826 +g962 +tp7819 +a(g681 +VParamCount +p7820 +tp7821 +a(g826 +g962 +tp7822 +a(g744 +Vdo +p7823 +tp7824 +a(g826 +V\u000a +p7825 +tp7826 +a(g744 +Vif +p7827 +tp7828 +a(g826 +g962 +tp7829 +a(g37 +g1202 +tp7830 +a(g412 +g1007 +tp7831 +a(g681 +VAnsiCompareText +p7832 +tp7833 +a(g705 +g1137 +tp7834 +a(g681 +VCopy +p7835 +tp7836 +a(g705 +g1137 +tp7837 +a(g681 +VParamStr +p7838 +tp7839 +a(g705 +g1137 +tp7840 +a(g693 +VResult +p7841 +tp7842 +a(g705 +g1141 +tp7843 +a(g412 +g984 +tp7844 +a(g826 +g962 +tp7845 +a(g37 +g1337 +tp7846 +a(g412 +g984 +tp7847 +a(g826 +g962 +tp7848 +a(g435 +VLen +p7849 +tp7850 +a(g705 +g1141 +tp7851 +a(g412 +g984 +tp7852 +a(g826 +g962 +tp7853 +a(g435 +VPrefix +p7854 +tp7855 +a(g705 +g1141 +tp7856 +a(g826 +g962 +tp7857 +a(g744 +Vthen +p7858 +tp7859 +a(g826 +V\u000a +p7860 +tp7861 +a(g744 +VExit +p7862 +tp7863 +a(g412 +g966 +tp7864 +a(g826 +V\u000a\u000a +p7865 +tp7866 +a(g693 +VResult +p7867 +tp7868 +a(g826 +g962 +tp7869 +a(g412 +g1407 +tp7870 +a(g412 +g1007 +tp7871 +a(g826 +g962 +tp7872 +a(g37 +g1202 +tp7873 +a(g412 +g966 +tp7874 +a(g826 +V\u000a +p7875 +tp7876 +a(g744 +Vend +p7877 +tp7878 +a(g412 +g966 +tp7879 +a(g826 +V\u000a\u000a +p7880 +tp7881 +a(g744 +Vfunction +p7882 +tp7883 +a(g826 +g962 +tp7884 +a(g573 +VParam +p7885 +tp7886 +a(g705 +g1137 +tp7887 +a(g744 +Vconst +p7888 +tp7889 +a(g826 +g962 +tp7890 +a(g435 +g1773 +tp7891 +a(g412 +g1407 +tp7892 +a(g826 +g962 +tp7893 +a(g744 +Vstring +p7894 +tp7895 +a(g705 +g1141 +tp7896 +a(g412 +g1407 +tp7897 +a(g826 +g962 +tp7898 +a(g748 +VBoolean +p7899 +tp7900 +a(g412 +g966 +tp7901 +a(g826 +V\u000a +p7902 +tp7903 +a(g744 +Vbegin +p7904 +tp7905 +a(g826 +V\u000a +p7906 +tp7907 +a(g693 +VResult +p7908 +tp7909 +a(g826 +g962 +tp7910 +a(g412 +g1407 +tp7911 +a(g412 +g1007 +tp7912 +a(g826 +g962 +tp7913 +a(g435 +VParamNum +p7914 +tp7915 +a(g705 +g1137 +tp7916 +a(g435 +g1773 +tp7917 +a(g705 +g1141 +tp7918 +a(g826 +g962 +tp7919 +a(g412 +g1419 +tp7920 +a(g826 +g962 +tp7921 +a(g37 +g1202 +tp7922 +a(g412 +g966 +tp7923 +a(g826 +V\u000a +p7924 +tp7925 +a(g744 +Vend +p7926 +tp7927 +a(g412 +g966 +tp7928 +a(g826 +V\u000a\u000a +p7929 +tp7930 +a(g744 +Vfunction +p7931 +tp7932 +a(g826 +g962 +tp7933 +a(g573 +VParamPrefix +p7934 +tp7935 +a(g705 +g1137 +tp7936 +a(g744 +Vconst +p7937 +tp7938 +a(g826 +g962 +tp7939 +a(g435 +VPrefix +p7940 +tp7941 +a(g412 +g1407 +tp7942 +a(g826 +g962 +tp7943 +a(g744 +Vstring +p7944 +tp7945 +a(g705 +g1141 +tp7946 +a(g412 +g1407 +tp7947 +a(g826 +g962 +tp7948 +a(g748 +VBoolean +p7949 +tp7950 +a(g412 +g966 +tp7951 +a(g826 +V\u000a +p7952 +tp7953 +a(g744 +Vbegin +p7954 +tp7955 +a(g826 +V\u000a +p7956 +tp7957 +a(g693 +VResult +p7958 +tp7959 +a(g826 +g962 +tp7960 +a(g412 +g1407 +tp7961 +a(g412 +g1007 +tp7962 +a(g826 +g962 +tp7963 +a(g435 +VParamPrefixNum +p7964 +tp7965 +a(g705 +g1137 +tp7966 +a(g435 +VPrefix +p7967 +tp7968 +a(g705 +g1141 +tp7969 +a(g826 +g962 +tp7970 +a(g412 +g1419 +tp7971 +a(g826 +g962 +tp7972 +a(g37 +g1202 +tp7973 +a(g412 +g966 +tp7974 +a(g826 +V\u000a +p7975 +tp7976 +a(g744 +Vend +p7977 +tp7978 +a(g412 +g966 +tp7979 +a(g826 +V\u000a\u000a +p7980 +tp7981 +a(g744 +Vfunction +p7982 +tp7983 +a(g826 +g962 +tp7984 +a(g573 +VGetParam +p7985 +tp7986 +a(g705 +g1137 +tp7987 +a(g744 +Vconst +p7988 +tp7989 +a(g826 +g962 +tp7990 +a(g435 +VPrefix +p7991 +tp7992 +a(g412 +g1407 +tp7993 +a(g826 +g962 +tp7994 +a(g744 +Vstring +p7995 +tp7996 +a(g826 +g962 +tp7997 +a(g412 +g1007 +tp7998 +a(g826 +g962 +tp7999 +a(g89 +g1101 +tp8000 +a(g89 +g1101 +tp8001 +a(g412 +g966 +tp8002 +a(g826 +g962 +tp8003 +a(g744 +Vconst +p8004 +tp8005 +a(g826 +g962 +tp8006 +a(g785 +VDefault +p8007 +tp8008 +a(g412 +g1407 +tp8009 +a(g826 +g962 +tp8010 +a(g744 +Vstring +p8011 +tp8012 +a(g826 +g962 +tp8013 +a(g412 +g1007 +tp8014 +a(g826 +g962 +tp8015 +a(g89 +g1101 +tp8016 +a(g89 +g1101 +tp8017 +a(g705 +g1141 +tp8018 +a(g412 +g1407 +tp8019 +a(g826 +g962 +tp8020 +a(g744 +Vstring +p8021 +tp8022 +a(g412 +g966 +tp8023 +a(g826 +V\u000a +p8024 +tp8025 +a(g744 +Vvar +p8026 +tp8027 +a(g826 +V\u000a +p8028 +tp8029 +a(g435 +g4708 +tp8030 +a(g412 +g1407 +tp8031 +a(g826 +g962 +tp8032 +a(g748 +VInteger +p8033 +tp8034 +a(g412 +g966 +tp8035 +a(g826 +V\u000a +p8036 +tp8037 +a(g744 +Vbegin +p8038 +tp8039 +a(g826 +V\u000a +p8040 +tp8041 +a(g693 +VResult +p8042 +tp8043 +a(g826 +g962 +tp8044 +a(g412 +g1407 +tp8045 +a(g412 +g1007 +tp8046 +a(g826 +g962 +tp8047 +a(g785 +VDefault +p8048 +tp8049 +a(g412 +g966 +tp8050 +a(g826 +V\u000a\u000a +p8051 +tp8052 +a(g744 +Vif +p8053 +tp8054 +a(g826 +g962 +tp8055 +a(g435 +VPrefix +p8056 +tp8057 +a(g826 +g962 +tp8058 +a(g412 +g1007 +tp8059 +a(g826 +g962 +tp8060 +a(g89 +g1101 +tp8061 +a(g89 +g1101 +tp8062 +a(g826 +g962 +tp8063 +a(g744 +Vthen +p8064 +tp8065 +a(g826 +g962 +tp8066 +a(g744 +Vbegin +p8067 +tp8068 +a(g826 +V\u000a +p8069 +tp8070 +a(g693 +VResult +p8071 +tp8072 +a(g826 +g962 +tp8073 +a(g412 +g1407 +tp8074 +a(g412 +g1007 +tp8075 +a(g826 +g962 +tp8076 +a(g681 +VParamStr +p8077 +tp8078 +a(g705 +g1137 +tp8079 +a(g37 +g1337 +tp8080 +a(g705 +g1141 +tp8081 +a(g412 +g966 +tp8082 +a(g826 +V\u000a +p8083 +tp8084 +a(g744 +VExit +p8085 +tp8086 +a(g412 +g966 +tp8087 +a(g826 +g962 +tp8088 +a(g744 +Vend +p8089 +tp8090 +a(g412 +g966 +tp8091 +a(g826 +V\u000a\u000a +p8092 +tp8093 +a(g435 +g4708 +tp8094 +a(g826 +g962 +tp8095 +a(g412 +g1407 +tp8096 +a(g412 +g1007 +tp8097 +a(g826 +g962 +tp8098 +a(g435 +VParamPrefixNum +p8099 +tp8100 +a(g705 +g1137 +tp8101 +a(g435 +VPrefix +p8102 +tp8103 +a(g705 +g1141 +tp8104 +a(g412 +g966 +tp8105 +a(g826 +V\u000a +p8106 +tp8107 +a(g744 +Vif +p8108 +tp8109 +a(g826 +g962 +tp8110 +a(g435 +g4708 +tp8111 +a(g826 +g962 +tp8112 +a(g412 +g1419 +tp8113 +a(g826 +g962 +tp8114 +a(g37 +g1202 +tp8115 +a(g826 +g962 +tp8116 +a(g744 +Vthen +p8117 +tp8118 +a(g826 +V\u000a +p8119 +tp8120 +a(g693 +VResult +p8121 +tp8122 +a(g826 +g962 +tp8123 +a(g412 +g1407 +tp8124 +a(g412 +g1007 +tp8125 +a(g826 +g962 +tp8126 +a(g681 +VCopy +p8127 +tp8128 +a(g705 +g1137 +tp8129 +a(g681 +VParamStr +p8130 +tp8131 +a(g705 +g1137 +tp8132 +a(g435 +g4708 +tp8133 +a(g705 +g1141 +tp8134 +a(g412 +g984 +tp8135 +a(g826 +g962 +tp8136 +a(g681 +VLength +p8137 +tp8138 +a(g705 +g1137 +tp8139 +a(g435 +VPrefix +p8140 +tp8141 +a(g705 +g1141 +tp8142 +a(g826 +g962 +tp8143 +a(g412 +g1223 +tp8144 +a(g826 +g962 +tp8145 +a(g37 +g1337 +tp8146 +a(g412 +g984 +tp8147 +a(g826 +g962 +tp8148 +a(g435 +VMaxInt +p8149 +tp8150 +a(g705 +g1141 +tp8151 +a(g412 +g966 +tp8152 +a(g826 +V\u000a +p8153 +tp8154 +a(g744 +Vend +p8155 +tp8156 +a(g412 +g966 +tp8157 +a(g826 +V\u000a\u000a +p8158 +tp8159 +a(g744 +Vfunction +p8160 +tp8161 +a(g826 +g962 +tp8162 +a(g573 +VGetMyDir +p8163 +tp8164 +a(g705 +g1137 +tp8165 +a(g435 +VFullPath +p8166 +tp8167 +a(g412 +g1407 +tp8168 +a(g826 +g962 +tp8169 +a(g748 +VBoolean +p8170 +tp8171 +a(g826 +g962 +tp8172 +a(g412 +g1007 +tp8173 +a(g826 +g962 +tp8174 +a(g744 +VFalse +p8175 +tp8176 +a(g705 +g1141 +tp8177 +a(g412 +g1407 +tp8178 +a(g826 +g962 +tp8179 +a(g744 +Vstring +p8180 +tp8181 +a(g412 +g966 +tp8182 +a(g826 +V\u000a +p8183 +tp8184 +a(g744 +Vvar +p8185 +tp8186 +a(g826 +V\u000a +p8187 +tp8188 +a(g435 +VBuffer +p8189 +tp8190 +a(g412 +g1407 +tp8191 +a(g826 +g962 +tp8192 +a(g744 +Varray +p8193 +tp8194 +a(g705 +g1066 +tp8195 +a(g37 +g1202 +tp8196 +a(g412 +g1154 +tp8197 +a(g412 +g1154 +tp8198 +a(g37 +V260 +p8199 +tp8200 +a(g705 +g1074 +tp8201 +a(g826 +g962 +tp8202 +a(g744 +Vof +p8203 +tp8204 +a(g826 +g962 +tp8205 +a(g748 +VChar +p8206 +tp8207 +a(g412 +g966 +tp8208 +a(g826 +V\u000a +p8209 +tp8210 +a(g744 +Vbegin +p8211 +tp8212 +a(g826 +V\u000a +p8213 +tp8214 +a(g693 +VResult +p8215 +tp8216 +a(g826 +g962 +tp8217 +a(g412 +g1407 +tp8218 +a(g412 +g1007 +tp8219 +a(g826 +g962 +tp8220 +a(g89 +g1101 +tp8221 +a(g89 +g1101 +tp8222 +a(g412 +g966 +tp8223 +a(g826 +V\u000a +p8224 +tp8225 +a(g681 +VSetString +p8226 +tp8227 +a(g705 +g1137 +tp8228 +a(g693 +VResult +p8229 +tp8230 +a(g412 +g984 +tp8231 +a(g826 +g962 +tp8232 +a(g435 +VBuffer +p8233 +tp8234 +a(g412 +g984 +tp8235 +a(g826 +g962 +tp8236 +a(g681 +VGetModuleFileName +p8237 +tp8238 +a(g705 +g1137 +tp8239 +a(g37 +g1202 +tp8240 +a(g412 +g984 +tp8241 +a(g826 +g962 +tp8242 +a(g435 +VBuffer +p8243 +tp8244 +a(g412 +g984 +tp8245 +a(g826 +g962 +tp8246 +a(g681 +VSizeOf +p8247 +tp8248 +a(g705 +g1137 +tp8249 +a(g435 +VBuffer +p8250 +tp8251 +a(g705 +V))) +p8252 +tp8253 +a(g412 +g966 +tp8254 +a(g826 +V\u000a +p8255 +tp8256 +a(g744 +Vif +p8257 +tp8258 +a(g826 +g962 +tp8259 +a(g435 +VFullPath +p8260 +tp8261 +a(g826 +g962 +tp8262 +a(g744 +Vthen +p8263 +tp8264 +a(g826 +V\u000a +p8265 +tp8266 +a(g693 +VResult +p8267 +tp8268 +a(g826 +g962 +tp8269 +a(g412 +g1407 +tp8270 +a(g412 +g1007 +tp8271 +a(g826 +g962 +tp8272 +a(g435 +VGetFileNew +p8273 +tp8274 +a(g705 +g1137 +tp8275 +a(g693 +VResult +p8276 +tp8277 +a(g705 +g1141 +tp8278 +a(g412 +g966 +tp8279 +a(g826 +V\u000a +p8280 +tp8281 +a(g693 +VResult +p8282 +tp8283 +a(g826 +g962 +tp8284 +a(g412 +g1407 +tp8285 +a(g412 +g1007 +tp8286 +a(g826 +g962 +tp8287 +a(g435 +VExtractPath +p8288 +tp8289 +a(g705 +g1137 +tp8290 +a(g693 +VResult +p8291 +tp8292 +a(g705 +g1141 +tp8293 +a(g412 +g966 +tp8294 +a(g826 +V\u000a +p8295 +tp8296 +a(g744 +Vend +p8297 +tp8298 +a(g412 +g966 +tp8299 +a(g826 +V\u000a\u000a +p8300 +tp8301 +a(g744 +Vfunction +p8302 +tp8303 +a(g826 +g962 +tp8304 +a(g573 +VWinDir +p8305 +tp8306 +a(g412 +g1407 +tp8307 +a(g826 +g962 +tp8308 +a(g744 +Vstring +p8309 +tp8310 +a(g412 +g966 +tp8311 +a(g826 +V\u000a +p8312 +tp8313 +a(g744 +Vvar +p8314 +tp8315 +a(g826 +V\u000a +p8316 +tp8317 +a(g435 +VRes +p8318 +tp8319 +a(g412 +g1407 +tp8320 +a(g826 +g962 +tp8321 +a(g748 +VPChar +p8322 +tp8323 +a(g412 +g966 +tp8324 +a(g826 +V\u000a +p8325 +tp8326 +a(g744 +Vbegin +p8327 +tp8328 +a(g826 +V\u000a +p8329 +tp8330 +a(g693 +VResult +p8331 +tp8332 +a(g826 +g962 +tp8333 +a(g412 +g1407 +tp8334 +a(g412 +g1007 +tp8335 +a(g826 +g962 +tp8336 +a(g89 +g1101 +tp8337 +a(g89 +V\u005c +p8338 +tp8339 +a(g89 +g1101 +tp8340 +a(g412 +g966 +tp8341 +a(g826 +V\u000a +p8342 +tp8343 +a(g681 +VGetMem +p8344 +tp8345 +a(g705 +g1137 +tp8346 +a(g435 +VRes +p8347 +tp8348 +a(g412 +g984 +tp8349 +a(g826 +g962 +tp8350 +a(g435 +VMAX_PATH +p8351 +tp8352 +a(g705 +g1141 +tp8353 +a(g412 +g966 +tp8354 +a(g826 +V\u000a +p8355 +tp8356 +a(g435 +VGetWindowsDirectory +p8357 +tp8358 +a(g705 +g1137 +tp8359 +a(g435 +VRes +p8360 +tp8361 +a(g412 +g984 +tp8362 +a(g826 +g962 +tp8363 +a(g435 +VMAX_PATH +p8364 +tp8365 +a(g705 +g1141 +tp8366 +a(g412 +g966 +tp8367 +a(g826 +V\u000a +p8368 +tp8369 +a(g693 +VResult +p8370 +tp8371 +a(g826 +g962 +tp8372 +a(g412 +g1407 +tp8373 +a(g412 +g1007 +tp8374 +a(g826 +g962 +tp8375 +a(g435 +VRes +p8376 +tp8377 +a(g826 +g962 +tp8378 +a(g412 +g1223 +tp8379 +a(g826 +g962 +tp8380 +a(g89 +g1101 +tp8381 +a(g89 +V\u005c +p8382 +tp8383 +a(g89 +g1101 +tp8384 +a(g412 +g966 +tp8385 +a(g826 +V\u000a +p8386 +tp8387 +a(g681 +VFreeMem +p8388 +tp8389 +a(g705 +g1137 +tp8390 +a(g435 +VRes +p8391 +tp8392 +a(g412 +g984 +tp8393 +a(g826 +g962 +tp8394 +a(g435 +VMAX_PATH +p8395 +tp8396 +a(g705 +g1141 +tp8397 +a(g412 +g966 +tp8398 +a(g826 +V\u000a +p8399 +tp8400 +a(g744 +Vend +p8401 +tp8402 +a(g412 +g966 +tp8403 +a(g826 +V\u000a\u000a +p8404 +tp8405 +a(g744 +Vfunction +p8406 +tp8407 +a(g826 +g962 +tp8408 +a(g573 +VSysDir +p8409 +tp8410 +a(g412 +g1407 +tp8411 +a(g826 +g962 +tp8412 +a(g744 +Vstring +p8413 +tp8414 +a(g412 +g966 +tp8415 +a(g826 +V\u000a +p8416 +tp8417 +a(g744 +Vvar +p8418 +tp8419 +a(g826 +V\u000a +p8420 +tp8421 +a(g435 +VRes +p8422 +tp8423 +a(g412 +g1407 +tp8424 +a(g826 +g962 +tp8425 +a(g748 +VPChar +p8426 +tp8427 +a(g412 +g966 +tp8428 +a(g826 +V\u000a +p8429 +tp8430 +a(g744 +Vbegin +p8431 +tp8432 +a(g826 +V\u000a +p8433 +tp8434 +a(g693 +VResult +p8435 +tp8436 +a(g826 +g962 +tp8437 +a(g412 +g1407 +tp8438 +a(g412 +g1007 +tp8439 +a(g826 +g962 +tp8440 +a(g89 +g1101 +tp8441 +a(g89 +V\u005c +p8442 +tp8443 +a(g89 +g1101 +tp8444 +a(g412 +g966 +tp8445 +a(g826 +V\u000a +p8446 +tp8447 +a(g681 +VGetMem +p8448 +tp8449 +a(g705 +g1137 +tp8450 +a(g435 +VRes +p8451 +tp8452 +a(g412 +g984 +tp8453 +a(g826 +g962 +tp8454 +a(g435 +VMAX_PATH +p8455 +tp8456 +a(g705 +g1141 +tp8457 +a(g412 +g966 +tp8458 +a(g826 +V\u000a +p8459 +tp8460 +a(g435 +VGetSystemDirectory +p8461 +tp8462 +a(g705 +g1137 +tp8463 +a(g435 +VRes +p8464 +tp8465 +a(g412 +g984 +tp8466 +a(g826 +g962 +tp8467 +a(g435 +VMAX_PATH +p8468 +tp8469 +a(g705 +g1141 +tp8470 +a(g412 +g966 +tp8471 +a(g826 +V\u000a +p8472 +tp8473 +a(g693 +VResult +p8474 +tp8475 +a(g826 +g962 +tp8476 +a(g412 +g1407 +tp8477 +a(g412 +g1007 +tp8478 +a(g826 +g962 +tp8479 +a(g435 +VRes +p8480 +tp8481 +a(g826 +g962 +tp8482 +a(g412 +g1223 +tp8483 +a(g826 +g962 +tp8484 +a(g89 +g1101 +tp8485 +a(g89 +V\u005c +p8486 +tp8487 +a(g89 +g1101 +tp8488 +a(g412 +g966 +tp8489 +a(g826 +V\u000a +p8490 +tp8491 +a(g681 +VFreeMem +p8492 +tp8493 +a(g705 +g1137 +tp8494 +a(g435 +VRes +p8495 +tp8496 +a(g412 +g984 +tp8497 +a(g826 +g962 +tp8498 +a(g435 +VMAX_PATH +p8499 +tp8500 +a(g705 +g1141 +tp8501 +a(g412 +g966 +tp8502 +a(g826 +V\u000a +p8503 +tp8504 +a(g744 +Vend +p8505 +tp8506 +a(g412 +g966 +tp8507 +a(g826 +V\u000a\u000a +p8508 +tp8509 +a(g744 +Vfunction +p8510 +tp8511 +a(g826 +g962 +tp8512 +a(g573 +VUserName +p8513 +tp8514 +a(g412 +g1407 +tp8515 +a(g826 +g962 +tp8516 +a(g744 +Vstring +p8517 +tp8518 +a(g412 +g966 +tp8519 +a(g826 +V\u000a +p8520 +tp8521 +a(g744 +Vvar +p8522 +tp8523 +a(g826 +V\u000a +p8524 +tp8525 +a(g435 +VLen +p8526 +tp8527 +a(g412 +g1407 +tp8528 +a(g826 +g962 +tp8529 +a(g748 +VCardinal +p8530 +tp8531 +a(g412 +g966 +tp8532 +a(g826 +V\u000a +p8533 +tp8534 +a(g435 +VRes +p8535 +tp8536 +a(g412 +g1407 +tp8537 +a(g826 +g962 +tp8538 +a(g748 +VPChar +p8539 +tp8540 +a(g412 +g966 +tp8541 +a(g826 +V\u000a +p8542 +tp8543 +a(g744 +Vbegin +p8544 +tp8545 +a(g826 +V\u000a +p8546 +tp8547 +a(g693 +VResult +p8548 +tp8549 +a(g826 +g962 +tp8550 +a(g412 +g1407 +tp8551 +a(g412 +g1007 +tp8552 +a(g826 +g962 +tp8553 +a(g89 +g1101 +tp8554 +a(g89 +g1101 +tp8555 +a(g412 +g966 +tp8556 +a(g826 +V\u000a +p8557 +tp8558 +a(g681 +VGetMem +p8559 +tp8560 +a(g705 +g1137 +tp8561 +a(g435 +VRes +p8562 +tp8563 +a(g412 +g984 +tp8564 +a(g826 +g962 +tp8565 +a(g435 +VMAX_PATH +p8566 +tp8567 +a(g705 +g1141 +tp8568 +a(g412 +g966 +tp8569 +a(g826 +V\u000a +p8570 +tp8571 +a(g435 +VLen +p8572 +tp8573 +a(g826 +g962 +tp8574 +a(g412 +g1407 +tp8575 +a(g412 +g1007 +tp8576 +a(g826 +g962 +tp8577 +a(g435 +VMAX_PATH +p8578 +tp8579 +a(g412 +g966 +tp8580 +a(g826 +V\u000a +p8581 +tp8582 +a(g435 +VGetUserName +p8583 +tp8584 +a(g705 +g1137 +tp8585 +a(g435 +VRes +p8586 +tp8587 +a(g412 +g984 +tp8588 +a(g826 +g962 +tp8589 +a(g435 +VLen +p8590 +tp8591 +a(g705 +g1141 +tp8592 +a(g412 +g966 +tp8593 +a(g826 +V\u000a +p8594 +tp8595 +a(g693 +VResult +p8596 +tp8597 +a(g826 +g962 +tp8598 +a(g412 +g1407 +tp8599 +a(g412 +g1007 +tp8600 +a(g826 +g962 +tp8601 +a(g435 +VRes +p8602 +tp8603 +a(g412 +g966 +tp8604 +a(g826 +V\u000a +p8605 +tp8606 +a(g681 +VFreeMem +p8607 +tp8608 +a(g705 +g1137 +tp8609 +a(g435 +VRes +p8610 +tp8611 +a(g412 +g984 +tp8612 +a(g826 +g962 +tp8613 +a(g435 +VMAX_PATH +p8614 +tp8615 +a(g705 +g1141 +tp8616 +a(g412 +g966 +tp8617 +a(g826 +V\u000a +p8618 +tp8619 +a(g744 +Vend +p8620 +tp8621 +a(g412 +g966 +tp8622 +a(g826 +V\u000a\u000a +p8623 +tp8624 +a(g744 +Vfunction +p8625 +tp8626 +a(g826 +g962 +tp8627 +a(g573 +VFirstChar +p8628 +tp8629 +a(g705 +g1137 +tp8630 +a(g744 +Vconst +p8631 +tp8632 +a(g826 +g962 +tp8633 +a(g435 +g1773 +tp8634 +a(g412 +g1407 +tp8635 +a(g826 +g962 +tp8636 +a(g744 +Vstring +p8637 +tp8638 +a(g705 +g1141 +tp8639 +a(g412 +g1407 +tp8640 +a(g826 +g962 +tp8641 +a(g748 +VChar +p8642 +tp8643 +a(g412 +g966 +tp8644 +a(g826 +V\u000a +p8645 +tp8646 +a(g744 +Vbegin +p8647 +tp8648 +a(g826 +V\u000a +p8649 +tp8650 +a(g744 +Vif +p8651 +tp8652 +a(g826 +g962 +tp8653 +a(g435 +g7470 +tp8654 +a(g826 +g962 +tp8655 +a(g412 +g1007 +tp8656 +a(g826 +g962 +tp8657 +a(g89 +g1101 +tp8658 +a(g89 +g1101 +tp8659 +a(g826 +g962 +tp8660 +a(g744 +Vthen +p8661 +tp8662 +a(g826 +V\u000a +p8663 +tp8664 +a(g693 +VResult +p8665 +tp8666 +a(g826 +g962 +tp8667 +a(g412 +g1407 +tp8668 +a(g412 +g1007 +tp8669 +a(g826 +g962 +tp8670 +a(g93 +V#0 +p8671 +tp8672 +a(g826 +V\u000a +p8673 +tp8674 +a(g744 +Velse +p8675 +tp8676 +a(g826 +V\u000a +p8677 +tp8678 +a(g693 +VResult +p8679 +tp8680 +a(g826 +g962 +tp8681 +a(g412 +g1407 +tp8682 +a(g412 +g1007 +tp8683 +a(g826 +g962 +tp8684 +a(g435 +g7470 +tp8685 +a(g705 +g1066 +tp8686 +a(g37 +g1337 +tp8687 +a(g705 +g1074 +tp8688 +a(g412 +g966 +tp8689 +a(g826 +V\u000a +p8690 +tp8691 +a(g744 +Vend +p8692 +tp8693 +a(g412 +g966 +tp8694 +a(g826 +V\u000a\u000a +p8695 +tp8696 +a(g744 +Vfunction +p8697 +tp8698 +a(g826 +g962 +tp8699 +a(g573 +VLastChar +p8700 +tp8701 +a(g705 +g1137 +tp8702 +a(g744 +Vconst +p8703 +tp8704 +a(g826 +g962 +tp8705 +a(g435 +g1773 +tp8706 +a(g412 +g1407 +tp8707 +a(g826 +g962 +tp8708 +a(g744 +Vstring +p8709 +tp8710 +a(g705 +g1141 +tp8711 +a(g412 +g1407 +tp8712 +a(g826 +g962 +tp8713 +a(g748 +VChar +p8714 +tp8715 +a(g412 +g966 +tp8716 +a(g826 +V\u000a +p8717 +tp8718 +a(g744 +Vbegin +p8719 +tp8720 +a(g826 +V\u000a +p8721 +tp8722 +a(g744 +Vif +p8723 +tp8724 +a(g826 +g962 +tp8725 +a(g435 +g7470 +tp8726 +a(g826 +g962 +tp8727 +a(g412 +g1007 +tp8728 +a(g826 +g962 +tp8729 +a(g89 +g1101 +tp8730 +a(g89 +g1101 +tp8731 +a(g826 +g962 +tp8732 +a(g744 +Vthen +p8733 +tp8734 +a(g826 +V\u000a +p8735 +tp8736 +a(g693 +VResult +p8737 +tp8738 +a(g826 +g962 +tp8739 +a(g412 +g1407 +tp8740 +a(g412 +g1007 +tp8741 +a(g826 +g962 +tp8742 +a(g93 +V#0 +p8743 +tp8744 +a(g826 +V\u000a +p8745 +tp8746 +a(g744 +Velse +p8747 +tp8748 +a(g826 +V\u000a +p8749 +tp8750 +a(g693 +VResult +p8751 +tp8752 +a(g826 +g962 +tp8753 +a(g412 +g1407 +tp8754 +a(g412 +g1007 +tp8755 +a(g826 +g962 +tp8756 +a(g435 +g7470 +tp8757 +a(g705 +g1066 +tp8758 +a(g681 +VLength +p8759 +tp8760 +a(g705 +g1137 +tp8761 +a(g435 +g7470 +tp8762 +a(g705 +V)] +p8763 +tp8764 +a(g412 +g966 +tp8765 +a(g826 +V\u000a +p8766 +tp8767 +a(g744 +Vend +p8768 +tp8769 +a(g412 +g966 +tp8770 +a(g826 +V\u000a\u000a +p8771 +tp8772 +a(g744 +Vfunction +p8773 +tp8774 +a(g826 +g962 +tp8775 +a(g573 +VCharPos +p8776 +tp8777 +a(g705 +g1137 +tp8778 +a(g435 +g1510 +tp8779 +a(g412 +g1407 +tp8780 +a(g826 +g962 +tp8781 +a(g748 +VChar +p8782 +tp8783 +a(g412 +g966 +tp8784 +a(g826 +g962 +tp8785 +a(g744 +Vconst +p8786 +tp8787 +a(g826 +g962 +tp8788 +a(g435 +g1773 +tp8789 +a(g412 +g1407 +tp8790 +a(g826 +g962 +tp8791 +a(g744 +Vstring +p8792 +tp8793 +a(g412 +g966 +tp8794 +a(g826 +g962 +tp8795 +a(g435 +VOffset +p8796 +tp8797 +a(g412 +g1407 +tp8798 +a(g826 +g962 +tp8799 +a(g748 +VInteger +p8800 +tp8801 +a(g826 +g962 +tp8802 +a(g412 +g1007 +tp8803 +a(g826 +g962 +tp8804 +a(g37 +g1337 +tp8805 +a(g705 +g1141 +tp8806 +a(g412 +g1407 +tp8807 +a(g826 +g962 +tp8808 +a(g748 +VInteger +p8809 +tp8810 +a(g412 +g966 +tp8811 +a(g826 +V\u000a +p8812 +tp8813 +a(g744 +Vvar +p8814 +tp8815 +a(g826 +V\u000a +p8816 +tp8817 +a(g435 +VMaxPosToSearch +p8818 +tp8819 +a(g412 +g1407 +tp8820 +a(g826 +g962 +tp8821 +a(g748 +VInteger +p8822 +tp8823 +a(g412 +g966 +tp8824 +a(g826 +V\u000a +p8825 +tp8826 +a(g744 +Vbegin +p8827 +tp8828 +a(g826 +V\u000a +p8829 +tp8830 +a(g693 +VResult +p8831 +tp8832 +a(g826 +g962 +tp8833 +a(g412 +g1407 +tp8834 +a(g412 +g1007 +tp8835 +a(g826 +g962 +tp8836 +a(g435 +VOffset +p8837 +tp8838 +a(g412 +g966 +tp8839 +a(g826 +V\u000a +p8840 +tp8841 +a(g435 +VMaxPosToSearch +p8842 +tp8843 +a(g826 +g962 +tp8844 +a(g412 +g1407 +tp8845 +a(g412 +g1007 +tp8846 +a(g826 +g962 +tp8847 +a(g681 +VLength +p8848 +tp8849 +a(g705 +g1137 +tp8850 +a(g435 +g1773 +tp8851 +a(g705 +g1141 +tp8852 +a(g412 +g966 +tp8853 +a(g826 +V\u000a\u000a +p8854 +tp8855 +a(g744 +Vwhile +p8856 +tp8857 +a(g826 +g962 +tp8858 +a(g693 +VResult +p8859 +tp8860 +a(g826 +g962 +tp8861 +a(g412 +g1413 +tp8862 +a(g412 +g1007 +tp8863 +a(g826 +g962 +tp8864 +a(g435 +VMaxPosToSearch +p8865 +tp8866 +a(g826 +g962 +tp8867 +a(g744 +Vdo +p8868 +tp8869 +a(g826 +g962 +tp8870 +a(g744 +Vbegin +p8871 +tp8872 +a(g826 +V\u000a +p8873 +tp8874 +a(g744 +Vif +p8875 +tp8876 +a(g826 +g962 +tp8877 +a(g435 +g1773 +tp8878 +a(g705 +g1066 +tp8879 +a(g693 +VResult +p8880 +tp8881 +a(g705 +g1074 +tp8882 +a(g826 +g962 +tp8883 +a(g412 +g1007 +tp8884 +a(g826 +g962 +tp8885 +a(g435 +g1510 +tp8886 +a(g826 +g962 +tp8887 +a(g744 +Vthen +p8888 +tp8889 +a(g826 +V\u000a +p8890 +tp8891 +a(g744 +VExit +p8892 +tp8893 +a(g412 +g966 +tp8894 +a(g826 +V\u000a +p8895 +tp8896 +a(g681 +VInc +p8897 +tp8898 +a(g705 +g1137 +tp8899 +a(g693 +VResult +p8900 +tp8901 +a(g705 +g1141 +tp8902 +a(g412 +g966 +tp8903 +a(g826 +V\u000a +p8904 +tp8905 +a(g744 +Vend +p8906 +tp8907 +a(g412 +g966 +tp8908 +a(g826 +V\u000a\u000a +p8909 +tp8910 +a(g693 +VResult +p8911 +tp8912 +a(g826 +g962 +tp8913 +a(g412 +g1407 +tp8914 +a(g412 +g1007 +tp8915 +a(g826 +g962 +tp8916 +a(g37 +g1202 +tp8917 +a(g412 +g966 +tp8918 +a(g826 +V\u000a +p8919 +tp8920 +a(g744 +Vend +p8921 +tp8922 +a(g412 +g966 +tp8923 +a(g826 +V\u000a\u000a +p8924 +tp8925 +a(g744 +Vfunction +p8926 +tp8927 +a(g826 +g962 +tp8928 +a(g573 +VCharPos +p8929 +tp8930 +a(g705 +g1137 +tp8931 +a(g435 +g1510 +tp8932 +a(g412 +g1407 +tp8933 +a(g826 +g962 +tp8934 +a(g435 +VTCharSet +p8935 +tp8936 +a(g412 +g966 +tp8937 +a(g826 +g962 +tp8938 +a(g744 +Vconst +p8939 +tp8940 +a(g826 +g962 +tp8941 +a(g435 +g1773 +tp8942 +a(g412 +g1407 +tp8943 +a(g826 +g962 +tp8944 +a(g744 +Vstring +p8945 +tp8946 +a(g412 +g966 +tp8947 +a(g826 +g962 +tp8948 +a(g435 +VOffset +p8949 +tp8950 +a(g412 +g1407 +tp8951 +a(g826 +g962 +tp8952 +a(g748 +VInteger +p8953 +tp8954 +a(g826 +g962 +tp8955 +a(g412 +g1007 +tp8956 +a(g826 +g962 +tp8957 +a(g37 +g1337 +tp8958 +a(g705 +g1141 +tp8959 +a(g412 +g1407 +tp8960 +a(g826 +g962 +tp8961 +a(g748 +VInteger +p8962 +tp8963 +a(g412 +g966 +tp8964 +a(g826 +V\u000a +p8965 +tp8966 +a(g744 +Vvar +p8967 +tp8968 +a(g826 +V\u000a +p8969 +tp8970 +a(g435 +VMaxPosToSearch +p8971 +tp8972 +a(g412 +g1407 +tp8973 +a(g826 +g962 +tp8974 +a(g748 +VInteger +p8975 +tp8976 +a(g412 +g966 +tp8977 +a(g826 +V\u000a +p8978 +tp8979 +a(g744 +Vbegin +p8980 +tp8981 +a(g826 +V\u000a +p8982 +tp8983 +a(g693 +VResult +p8984 +tp8985 +a(g826 +g962 +tp8986 +a(g412 +g1407 +tp8987 +a(g412 +g1007 +tp8988 +a(g826 +g962 +tp8989 +a(g435 +VOffset +p8990 +tp8991 +a(g412 +g966 +tp8992 +a(g826 +V\u000a +p8993 +tp8994 +a(g435 +VMaxPosToSearch +p8995 +tp8996 +a(g826 +g962 +tp8997 +a(g412 +g1407 +tp8998 +a(g412 +g1007 +tp8999 +a(g826 +g962 +tp9000 +a(g681 +VLength +p9001 +tp9002 +a(g705 +g1137 +tp9003 +a(g435 +g1773 +tp9004 +a(g705 +g1141 +tp9005 +a(g412 +g966 +tp9006 +a(g826 +V\u000a\u000a +p9007 +tp9008 +a(g744 +Vwhile +p9009 +tp9010 +a(g826 +g962 +tp9011 +a(g693 +VResult +p9012 +tp9013 +a(g826 +g962 +tp9014 +a(g412 +g1413 +tp9015 +a(g412 +g1007 +tp9016 +a(g826 +g962 +tp9017 +a(g435 +VMaxPosToSearch +p9018 +tp9019 +a(g826 +g962 +tp9020 +a(g744 +Vdo +p9021 +tp9022 +a(g826 +g962 +tp9023 +a(g744 +Vbegin +p9024 +tp9025 +a(g826 +V\u000a +p9026 +tp9027 +a(g744 +Vif +p9028 +tp9029 +a(g826 +g962 +tp9030 +a(g435 +g1773 +tp9031 +a(g705 +g1066 +tp9032 +a(g693 +VResult +p9033 +tp9034 +a(g705 +g1074 +tp9035 +a(g826 +g962 +tp9036 +a(g744 +Vin +p9037 +tp9038 +a(g826 +g962 +tp9039 +a(g435 +g1510 +tp9040 +a(g826 +g962 +tp9041 +a(g744 +Vthen +p9042 +tp9043 +a(g826 +V\u000a +p9044 +tp9045 +a(g744 +VExit +p9046 +tp9047 +a(g412 +g966 +tp9048 +a(g826 +V\u000a +p9049 +tp9050 +a(g681 +VInc +p9051 +tp9052 +a(g705 +g1137 +tp9053 +a(g693 +VResult +p9054 +tp9055 +a(g705 +g1141 +tp9056 +a(g412 +g966 +tp9057 +a(g826 +V\u000a +p9058 +tp9059 +a(g744 +Vend +p9060 +tp9061 +a(g412 +g966 +tp9062 +a(g826 +V\u000a\u000a +p9063 +tp9064 +a(g693 +VResult +p9065 +tp9066 +a(g826 +g962 +tp9067 +a(g412 +g1407 +tp9068 +a(g412 +g1007 +tp9069 +a(g826 +g962 +tp9070 +a(g37 +g1202 +tp9071 +a(g412 +g966 +tp9072 +a(g826 +V\u000a +p9073 +tp9074 +a(g744 +Vend +p9075 +tp9076 +a(g412 +g966 +tp9077 +a(g826 +V\u000a\u000a +p9078 +tp9079 +a(g744 +Vfunction +p9080 +tp9081 +a(g826 +g962 +tp9082 +a(g573 +VCharPosR +p9083 +tp9084 +a(g705 +g1137 +tp9085 +a(g435 +g1510 +tp9086 +a(g412 +g1407 +tp9087 +a(g826 +g962 +tp9088 +a(g748 +VChar +p9089 +tp9090 +a(g412 +g966 +tp9091 +a(g826 +g962 +tp9092 +a(g744 +Vconst +p9093 +tp9094 +a(g826 +g962 +tp9095 +a(g435 +g1773 +tp9096 +a(g412 +g1407 +tp9097 +a(g826 +g962 +tp9098 +a(g744 +Vstring +p9099 +tp9100 +a(g412 +g966 +tp9101 +a(g826 +g962 +tp9102 +a(g435 +VOffset +p9103 +tp9104 +a(g412 +g1407 +tp9105 +a(g826 +g962 +tp9106 +a(g748 +VInteger +p9107 +tp9108 +a(g826 +g962 +tp9109 +a(g412 +g1007 +tp9110 +a(g826 +g962 +tp9111 +a(g412 +g1109 +tp9112 +a(g37 +g1337 +tp9113 +a(g705 +g1141 +tp9114 +a(g412 +g1407 +tp9115 +a(g826 +g962 +tp9116 +a(g748 +VInteger +p9117 +tp9118 +a(g412 +g966 +tp9119 +a(g826 +V\u000a +p9120 +tp9121 +a(g744 +Vbegin +p9122 +tp9123 +a(g826 +V\u000a +p9124 +tp9125 +a(g744 +Vif +p9126 +tp9127 +a(g826 +g962 +tp9128 +a(g435 +VOffset +p9129 +tp9130 +a(g826 +g962 +tp9131 +a(g412 +g1413 +tp9132 +a(g826 +g962 +tp9133 +a(g37 +g1202 +tp9134 +a(g826 +g962 +tp9135 +a(g744 +Vthen +p9136 +tp9137 +a(g826 +V\u000a +p9138 +tp9139 +a(g693 +VResult +p9140 +tp9141 +a(g826 +g962 +tp9142 +a(g412 +g1407 +tp9143 +a(g412 +g1007 +tp9144 +a(g826 +g962 +tp9145 +a(g681 +VLength +p9146 +tp9147 +a(g705 +g1137 +tp9148 +a(g435 +g1773 +tp9149 +a(g705 +g1141 +tp9150 +a(g826 +g962 +tp9151 +a(g412 +g1223 +tp9152 +a(g826 +g962 +tp9153 +a(g37 +g1337 +tp9154 +a(g826 +g962 +tp9155 +a(g412 +g1109 +tp9156 +a(g826 +g962 +tp9157 +a(g435 +VOffset +p9158 +tp9159 +a(g826 +V\u000a +p9160 +tp9161 +a(g744 +Velse +p9162 +tp9163 +a(g826 +V\u000a +p9164 +tp9165 +a(g693 +VResult +p9166 +tp9167 +a(g826 +g962 +tp9168 +a(g412 +g1407 +tp9169 +a(g412 +g1007 +tp9170 +a(g826 +g962 +tp9171 +a(g435 +VOffset +p9172 +tp9173 +a(g412 +g966 +tp9174 +a(g826 +V\u000a +p9175 +tp9176 +a(g744 +Vif +p9177 +tp9178 +a(g826 +g962 +tp9179 +a(g693 +VResult +p9180 +tp9181 +a(g826 +g962 +tp9182 +a(g412 +g1419 +tp9183 +a(g826 +g962 +tp9184 +a(g681 +VLength +p9185 +tp9186 +a(g705 +g1137 +tp9187 +a(g435 +g1773 +tp9188 +a(g705 +g1141 +tp9189 +a(g826 +g962 +tp9190 +a(g744 +Vthen +p9191 +tp9192 +a(g826 +V\u000a +p9193 +tp9194 +a(g693 +VResult +p9195 +tp9196 +a(g826 +g962 +tp9197 +a(g412 +g1407 +tp9198 +a(g412 +g1007 +tp9199 +a(g826 +g962 +tp9200 +a(g681 +VLength +p9201 +tp9202 +a(g705 +g1137 +tp9203 +a(g435 +g1773 +tp9204 +a(g705 +g1141 +tp9205 +a(g412 +g966 +tp9206 +a(g826 +V\u000a\u000a +p9207 +tp9208 +a(g744 +Vwhile +p9209 +tp9210 +a(g826 +g962 +tp9211 +a(g693 +VResult +p9212 +tp9213 +a(g826 +g962 +tp9214 +a(g412 +g1419 +tp9215 +a(g826 +g962 +tp9216 +a(g37 +g1202 +tp9217 +a(g826 +g962 +tp9218 +a(g744 +Vdo +p9219 +tp9220 +a(g826 +g962 +tp9221 +a(g744 +Vbegin +p9222 +tp9223 +a(g826 +V\u000a +p9224 +tp9225 +a(g744 +Vif +p9226 +tp9227 +a(g826 +g962 +tp9228 +a(g435 +g1773 +tp9229 +a(g705 +g1066 +tp9230 +a(g693 +VResult +p9231 +tp9232 +a(g705 +g1074 +tp9233 +a(g826 +g962 +tp9234 +a(g412 +g1007 +tp9235 +a(g826 +g962 +tp9236 +a(g435 +g1510 +tp9237 +a(g826 +g962 +tp9238 +a(g744 +Vthen +p9239 +tp9240 +a(g826 +V\u000a +p9241 +tp9242 +a(g744 +VExit +p9243 +tp9244 +a(g412 +g966 +tp9245 +a(g826 +V\u000a +p9246 +tp9247 +a(g681 +VDec +p9248 +tp9249 +a(g705 +g1137 +tp9250 +a(g693 +VResult +p9251 +tp9252 +a(g705 +g1141 +tp9253 +a(g412 +g966 +tp9254 +a(g826 +V\u000a +p9255 +tp9256 +a(g744 +Vend +p9257 +tp9258 +a(g412 +g966 +tp9259 +a(g826 +V\u000a +p9260 +tp9261 +a(g744 +Vend +p9262 +tp9263 +a(g412 +g966 +tp9264 +a(g826 +V\u000a\u000a +p9265 +tp9266 +a(g744 +Vfunction +p9267 +tp9268 +a(g826 +g962 +tp9269 +a(g573 +VPosEx +p9270 +tp9271 +a(g705 +g1137 +tp9272 +a(g744 +Vconst +p9273 +tp9274 +a(g826 +g962 +tp9275 +a(g435 +VSubStr +p9276 +tp9277 +a(g412 +g984 +tp9278 +a(g826 +g962 +tp9279 +a(g435 +g1773 +tp9280 +a(g412 +g1407 +tp9281 +a(g826 +g962 +tp9282 +a(g744 +Vstring +p9283 +tp9284 +a(g412 +g966 +tp9285 +a(g826 +g962 +tp9286 +a(g435 +VOffset +p9287 +tp9288 +a(g412 +g1407 +tp9289 +a(g826 +g962 +tp9290 +a(g748 +VInteger +p9291 +tp9292 +a(g826 +g962 +tp9293 +a(g412 +g1007 +tp9294 +a(g826 +g962 +tp9295 +a(g37 +g1337 +tp9296 +a(g705 +g1141 +tp9297 +a(g412 +g1407 +tp9298 +a(g826 +g962 +tp9299 +a(g748 +VInteger +p9300 +tp9301 +a(g412 +g966 +tp9302 +a(g826 +V\u000a +p9303 +tp9304 +a(g744 +Vvar +p9305 +tp9306 +a(g826 +V\u000a +p9307 +tp9308 +a(g435 +VMaxPosToSearch +p9309 +tp9310 +a(g412 +g984 +tp9311 +a(g826 +g962 +tp9312 +a(g435 +VLenSubStr +p9313 +tp9314 +a(g412 +g984 +tp9315 +a(g826 +g962 +tp9316 +a(g435 +g4708 +tp9317 +a(g412 +g1407 +tp9318 +a(g826 +g962 +tp9319 +a(g748 +VInteger +p9320 +tp9321 +a(g412 +g966 +tp9322 +a(g826 +V\u000a +p9323 +tp9324 +a(g744 +Vbegin +p9325 +tp9326 +a(g826 +V\u000a +p9327 +tp9328 +a(g744 +Vif +p9329 +tp9330 +a(g826 +g962 +tp9331 +a(g435 +VSubStr +p9332 +tp9333 +a(g826 +g962 +tp9334 +a(g412 +g1007 +tp9335 +a(g826 +g962 +tp9336 +a(g89 +g1101 +tp9337 +a(g89 +g1101 +tp9338 +a(g826 +g962 +tp9339 +a(g744 +Vthen +p9340 +tp9341 +a(g826 +g962 +tp9342 +a(g744 +Vbegin +p9343 +tp9344 +a(g826 +V\u000a +p9345 +tp9346 +a(g693 +VResult +p9347 +tp9348 +a(g826 +g962 +tp9349 +a(g412 +g1407 +tp9350 +a(g412 +g1007 +tp9351 +a(g826 +g962 +tp9352 +a(g37 +g1202 +tp9353 +a(g412 +g966 +tp9354 +a(g826 +V\u000a +p9355 +tp9356 +a(g744 +VExit +p9357 +tp9358 +a(g412 +g966 +tp9359 +a(g826 +g962 +tp9360 +a(g744 +Vend +p9361 +tp9362 +a(g412 +g966 +tp9363 +a(g826 +V\u000a\u000a +p9364 +tp9365 +a(g744 +Vif +p9366 +tp9367 +a(g826 +g962 +tp9368 +a(g435 +VOffset +p9369 +tp9370 +a(g826 +g962 +tp9371 +a(g412 +g1413 +tp9372 +a(g826 +g962 +tp9373 +a(g37 +g1337 +tp9374 +a(g826 +g962 +tp9375 +a(g744 +Vthen +p9376 +tp9377 +a(g826 +V\u000a +p9378 +tp9379 +a(g693 +VResult +p9380 +tp9381 +a(g826 +g962 +tp9382 +a(g412 +g1407 +tp9383 +a(g412 +g1007 +tp9384 +a(g826 +g962 +tp9385 +a(g37 +g1337 +tp9386 +a(g826 +V\u000a +p9387 +tp9388 +a(g744 +Velse +p9389 +tp9390 +a(g826 +V\u000a +p9391 +tp9392 +a(g693 +VResult +p9393 +tp9394 +a(g826 +g962 +tp9395 +a(g412 +g1407 +tp9396 +a(g412 +g1007 +tp9397 +a(g826 +g962 +tp9398 +a(g435 +VOffset +p9399 +tp9400 +a(g412 +g966 +tp9401 +a(g826 +V\u000a\u000a +p9402 +tp9403 +a(g435 +VLenSubStr +p9404 +tp9405 +a(g826 +g962 +tp9406 +a(g412 +g1407 +tp9407 +a(g412 +g1007 +tp9408 +a(g826 +g962 +tp9409 +a(g681 +VLength +p9410 +tp9411 +a(g705 +g1137 +tp9412 +a(g435 +VSubStr +p9413 +tp9414 +a(g705 +g1141 +tp9415 +a(g412 +g966 +tp9416 +a(g826 +V\u000a +p9417 +tp9418 +a(g435 +VMaxPosToSearch +p9419 +tp9420 +a(g826 +g962 +tp9421 +a(g412 +g1407 +tp9422 +a(g412 +g1007 +tp9423 +a(g826 +g962 +tp9424 +a(g681 +VLength +p9425 +tp9426 +a(g705 +g1137 +tp9427 +a(g435 +g1773 +tp9428 +a(g705 +g1141 +tp9429 +a(g826 +g962 +tp9430 +a(g412 +g1109 +tp9431 +a(g826 +g962 +tp9432 +a(g435 +VLenSubStr +p9433 +tp9434 +a(g826 +g962 +tp9435 +a(g412 +g1223 +tp9436 +a(g826 +g962 +tp9437 +a(g37 +g1337 +tp9438 +a(g412 +g966 +tp9439 +a(g826 +V\u000a\u000a +p9440 +tp9441 +a(g744 +Vwhile +p9442 +tp9443 +a(g826 +g962 +tp9444 +a(g693 +VResult +p9445 +tp9446 +a(g826 +g962 +tp9447 +a(g412 +g1413 +tp9448 +a(g412 +g1007 +tp9449 +a(g826 +g962 +tp9450 +a(g435 +VMaxPosToSearch +p9451 +tp9452 +a(g826 +g962 +tp9453 +a(g744 +Vdo +p9454 +tp9455 +a(g826 +g962 +tp9456 +a(g744 +Vbegin +p9457 +tp9458 +a(g826 +V\u000a +p9459 +tp9460 +a(g744 +Vif +p9461 +tp9462 +a(g826 +g962 +tp9463 +a(g435 +g1773 +tp9464 +a(g705 +g1066 +tp9465 +a(g693 +VResult +p9466 +tp9467 +a(g705 +g1074 +tp9468 +a(g826 +g962 +tp9469 +a(g412 +g1007 +tp9470 +a(g826 +g962 +tp9471 +a(g435 +VSubStr +p9472 +tp9473 +a(g705 +g1066 +tp9474 +a(g37 +g1337 +tp9475 +a(g705 +g1074 +tp9476 +a(g826 +g962 +tp9477 +a(g744 +Vthen +p9478 +tp9479 +a(g826 +g962 +tp9480 +a(g744 +Vbegin +p9481 +tp9482 +a(g826 +V\u000a +p9483 +tp9484 +a(g435 +g4708 +tp9485 +a(g826 +g962 +tp9486 +a(g412 +g1407 +tp9487 +a(g412 +g1007 +tp9488 +a(g826 +g962 +tp9489 +a(g37 +g1337 +tp9490 +a(g412 +g966 +tp9491 +a(g826 +V\u000a\u000a +p9492 +tp9493 +a(g744 +Vwhile +p9494 +tp9495 +a(g826 +g962 +tp9496 +a(g705 +g1137 +tp9497 +a(g435 +g4708 +tp9498 +a(g826 +g962 +tp9499 +a(g412 +g1413 +tp9500 +a(g826 +g962 +tp9501 +a(g435 +VLenSubStr +p9502 +tp9503 +a(g705 +g1141 +tp9504 +a(g826 +V\u000a +p9505 +tp9506 +a(g744 +Vand +p9507 +tp9508 +a(g826 +g962 +tp9509 +a(g705 +g1137 +tp9510 +a(g435 +g1773 +tp9511 +a(g705 +g1066 +tp9512 +a(g693 +VResult +p9513 +tp9514 +a(g826 +g962 +tp9515 +a(g412 +g1223 +tp9516 +a(g826 +g962 +tp9517 +a(g435 +g4708 +tp9518 +a(g705 +g1074 +tp9519 +a(g826 +g962 +tp9520 +a(g412 +g1007 +tp9521 +a(g826 +g962 +tp9522 +a(g435 +VSubStr +p9523 +tp9524 +a(g705 +g1066 +tp9525 +a(g435 +g4708 +tp9526 +a(g826 +g962 +tp9527 +a(g412 +g1223 +tp9528 +a(g826 +g962 +tp9529 +a(g37 +g1337 +tp9530 +a(g705 +V]) +p9531 +tp9532 +a(g826 +g962 +tp9533 +a(g744 +Vdo +p9534 +tp9535 +a(g826 +V\u000a +p9536 +tp9537 +a(g681 +VInc +p9538 +tp9539 +a(g705 +g1137 +tp9540 +a(g435 +g4708 +tp9541 +a(g705 +g1141 +tp9542 +a(g412 +g966 +tp9543 +a(g826 +V\u000a\u000a +p9544 +tp9545 +a(g744 +Vif +p9546 +tp9547 +a(g826 +g962 +tp9548 +a(g435 +g4708 +tp9549 +a(g826 +g962 +tp9550 +a(g412 +g1007 +tp9551 +a(g826 +g962 +tp9552 +a(g435 +VLenSubStr +p9553 +tp9554 +a(g826 +g962 +tp9555 +a(g744 +Vthen +p9556 +tp9557 +a(g826 +V\u000a +p9558 +tp9559 +a(g744 +VExit +p9560 +tp9561 +a(g412 +g966 +tp9562 +a(g826 +V\u000a +p9563 +tp9564 +a(g744 +Vend +p9565 +tp9566 +a(g412 +g966 +tp9567 +a(g826 +V\u000a +p9568 +tp9569 +a(g681 +VInc +p9570 +tp9571 +a(g705 +g1137 +tp9572 +a(g693 +VResult +p9573 +tp9574 +a(g705 +g1141 +tp9575 +a(g412 +g966 +tp9576 +a(g826 +V\u000a +p9577 +tp9578 +a(g744 +Vend +p9579 +tp9580 +a(g412 +g966 +tp9581 +a(g826 +V\u000a\u000a +p9582 +tp9583 +a(g693 +VResult +p9584 +tp9585 +a(g826 +g962 +tp9586 +a(g412 +g1407 +tp9587 +a(g412 +g1007 +tp9588 +a(g826 +g962 +tp9589 +a(g37 +g1202 +tp9590 +a(g412 +g966 +tp9591 +a(g826 +V\u000a +p9592 +tp9593 +a(g744 +Vend +p9594 +tp9595 +a(g412 +g966 +tp9596 +a(g826 +V\u000a\u000a +p9597 +tp9598 +a(g744 +Vfunction +p9599 +tp9600 +a(g826 +g962 +tp9601 +a(g573 +VPosExText +p9602 +tp9603 +a(g705 +g1137 +tp9604 +a(g744 +Vconst +p9605 +tp9606 +a(g826 +g962 +tp9607 +a(g435 +VSubStr +p9608 +tp9609 +a(g412 +g984 +tp9610 +a(g826 +g962 +tp9611 +a(g435 +g1773 +tp9612 +a(g412 +g1407 +tp9613 +a(g826 +g962 +tp9614 +a(g744 +Vstring +p9615 +tp9616 +a(g412 +g966 +tp9617 +a(g826 +g962 +tp9618 +a(g435 +VOffset +p9619 +tp9620 +a(g412 +g1407 +tp9621 +a(g826 +g962 +tp9622 +a(g748 +VInteger +p9623 +tp9624 +a(g826 +g962 +tp9625 +a(g412 +g1007 +tp9626 +a(g826 +g962 +tp9627 +a(g37 +g1337 +tp9628 +a(g705 +g1141 +tp9629 +a(g412 +g1407 +tp9630 +a(g826 +g962 +tp9631 +a(g748 +VInteger +p9632 +tp9633 +a(g412 +g966 +tp9634 +a(g826 +V\u000a +p9635 +tp9636 +a(g744 +Vvar +p9637 +tp9638 +a(g826 +V\u000a +p9639 +tp9640 +a(g435 +VMaxPosToSearch +p9641 +tp9642 +a(g412 +g984 +tp9643 +a(g826 +g962 +tp9644 +a(g435 +VLenSubStr +p9645 +tp9646 +a(g412 +g984 +tp9647 +a(g826 +g962 +tp9648 +a(g435 +g4708 +tp9649 +a(g412 +g1407 +tp9650 +a(g826 +g962 +tp9651 +a(g748 +VInteger +p9652 +tp9653 +a(g412 +g966 +tp9654 +a(g826 +V\u000a\u000a +p9655 +tp9656 +a(g744 +Vfunction +p9657 +tp9658 +a(g826 +g962 +tp9659 +a(g573 +VSameChar +p9660 +tp9661 +a(g705 +g1137 +tp9662 +a(g435 +g1182 +tp9663 +a(g412 +g984 +tp9664 +a(g826 +g962 +tp9665 +a(g435 +g1598 +tp9666 +a(g412 +g1407 +tp9667 +a(g826 +g962 +tp9668 +a(g748 +VChar +p9669 +tp9670 +a(g705 +g1141 +tp9671 +a(g412 +g1407 +tp9672 +a(g826 +g962 +tp9673 +a(g748 +VBoolean +p9674 +tp9675 +a(g412 +g966 +tp9676 +a(g826 +V\u000a +p9677 +tp9678 +a(g744 +Vbegin +p9679 +tp9680 +a(g826 +V\u000a +p9681 +tp9682 +a(g693 +VResult +p9683 +tp9684 +a(g826 +g962 +tp9685 +a(g412 +g1407 +tp9686 +a(g412 +g1007 +tp9687 +a(g826 +g962 +tp9688 +a(g681 +VUpCase +p9689 +tp9690 +a(g705 +g1137 +tp9691 +a(g435 +g1182 +tp9692 +a(g705 +g1141 +tp9693 +a(g826 +g962 +tp9694 +a(g412 +g1007 +tp9695 +a(g826 +g962 +tp9696 +a(g681 +VUpCase +p9697 +tp9698 +a(g705 +g1137 +tp9699 +a(g435 +g1598 +tp9700 +a(g705 +g1141 +tp9701 +a(g826 +V\u000a +p9702 +tp9703 +a(g744 +Vend +p9704 +tp9705 +a(g412 +g966 +tp9706 +a(g826 +V\u000a\u000a +p9707 +tp9708 +a(g744 +Vbegin +p9709 +tp9710 +a(g826 +V\u000a +p9711 +tp9712 +a(g744 +Vif +p9713 +tp9714 +a(g826 +g962 +tp9715 +a(g435 +VSubStr +p9716 +tp9717 +a(g826 +g962 +tp9718 +a(g412 +g1007 +tp9719 +a(g826 +g962 +tp9720 +a(g89 +g1101 +tp9721 +a(g89 +g1101 +tp9722 +a(g826 +g962 +tp9723 +a(g744 +Vthen +p9724 +tp9725 +a(g826 +g962 +tp9726 +a(g744 +Vbegin +p9727 +tp9728 +a(g826 +V\u000a +p9729 +tp9730 +a(g693 +VResult +p9731 +tp9732 +a(g826 +g962 +tp9733 +a(g412 +g1407 +tp9734 +a(g412 +g1007 +tp9735 +a(g826 +g962 +tp9736 +a(g37 +g1202 +tp9737 +a(g412 +g966 +tp9738 +a(g826 +V\u000a +p9739 +tp9740 +a(g744 +VExit +p9741 +tp9742 +a(g412 +g966 +tp9743 +a(g826 +g962 +tp9744 +a(g744 +Vend +p9745 +tp9746 +a(g412 +g966 +tp9747 +a(g826 +V\u000a\u000a +p9748 +tp9749 +a(g744 +Vif +p9750 +tp9751 +a(g826 +g962 +tp9752 +a(g435 +VOffset +p9753 +tp9754 +a(g826 +g962 +tp9755 +a(g412 +g1413 +tp9756 +a(g826 +g962 +tp9757 +a(g37 +g1337 +tp9758 +a(g826 +g962 +tp9759 +a(g744 +Vthen +p9760 +tp9761 +a(g826 +V\u000a +p9762 +tp9763 +a(g693 +VResult +p9764 +tp9765 +a(g826 +g962 +tp9766 +a(g412 +g1407 +tp9767 +a(g412 +g1007 +tp9768 +a(g826 +g962 +tp9769 +a(g37 +g1337 +tp9770 +a(g826 +V\u000a +p9771 +tp9772 +a(g744 +Velse +p9773 +tp9774 +a(g826 +V\u000a +p9775 +tp9776 +a(g693 +VResult +p9777 +tp9778 +a(g826 +g962 +tp9779 +a(g412 +g1407 +tp9780 +a(g412 +g1007 +tp9781 +a(g826 +g962 +tp9782 +a(g435 +VOffset +p9783 +tp9784 +a(g412 +g966 +tp9785 +a(g826 +V\u000a\u000a +p9786 +tp9787 +a(g435 +VLenSubStr +p9788 +tp9789 +a(g826 +g962 +tp9790 +a(g412 +g1407 +tp9791 +a(g412 +g1007 +tp9792 +a(g826 +g962 +tp9793 +a(g681 +VLength +p9794 +tp9795 +a(g705 +g1137 +tp9796 +a(g435 +VSubStr +p9797 +tp9798 +a(g705 +g1141 +tp9799 +a(g412 +g966 +tp9800 +a(g826 +V\u000a +p9801 +tp9802 +a(g435 +VMaxPosToSearch +p9803 +tp9804 +a(g826 +g962 +tp9805 +a(g412 +g1407 +tp9806 +a(g412 +g1007 +tp9807 +a(g826 +g962 +tp9808 +a(g681 +VLength +p9809 +tp9810 +a(g705 +g1137 +tp9811 +a(g435 +g1773 +tp9812 +a(g705 +g1141 +tp9813 +a(g826 +g962 +tp9814 +a(g412 +g1109 +tp9815 +a(g826 +g962 +tp9816 +a(g435 +VLenSubStr +p9817 +tp9818 +a(g826 +g962 +tp9819 +a(g412 +g1223 +tp9820 +a(g826 +g962 +tp9821 +a(g37 +g1337 +tp9822 +a(g412 +g966 +tp9823 +a(g826 +V\u000a\u000a +p9824 +tp9825 +a(g744 +Vwhile +p9826 +tp9827 +a(g826 +g962 +tp9828 +a(g693 +VResult +p9829 +tp9830 +a(g826 +g962 +tp9831 +a(g412 +g1413 +tp9832 +a(g412 +g1007 +tp9833 +a(g826 +g962 +tp9834 +a(g435 +VMaxPosToSearch +p9835 +tp9836 +a(g826 +g962 +tp9837 +a(g744 +Vdo +p9838 +tp9839 +a(g826 +g962 +tp9840 +a(g744 +Vbegin +p9841 +tp9842 +a(g826 +V\u000a +p9843 +tp9844 +a(g744 +Vif +p9845 +tp9846 +a(g826 +g962 +tp9847 +a(g435 +VSameChar +p9848 +tp9849 +a(g705 +g1137 +tp9850 +a(g435 +g1773 +tp9851 +a(g705 +g1066 +tp9852 +a(g693 +VResult +p9853 +tp9854 +a(g705 +g1074 +tp9855 +a(g412 +g984 +tp9856 +a(g826 +g962 +tp9857 +a(g435 +VSubStr +p9858 +tp9859 +a(g705 +g1066 +tp9860 +a(g37 +g1337 +tp9861 +a(g705 +V]) +p9862 +tp9863 +a(g826 +g962 +tp9864 +a(g744 +Vthen +p9865 +tp9866 +a(g826 +g962 +tp9867 +a(g744 +Vbegin +p9868 +tp9869 +a(g826 +V\u000a +p9870 +tp9871 +a(g435 +g4708 +tp9872 +a(g826 +g962 +tp9873 +a(g412 +g1407 +tp9874 +a(g412 +g1007 +tp9875 +a(g826 +g962 +tp9876 +a(g37 +g1337 +tp9877 +a(g412 +g966 +tp9878 +a(g826 +V\u000a\u000a +p9879 +tp9880 +a(g744 +Vwhile +p9881 +tp9882 +a(g826 +g962 +tp9883 +a(g705 +g1137 +tp9884 +a(g435 +g4708 +tp9885 +a(g826 +g962 +tp9886 +a(g412 +g1413 +tp9887 +a(g826 +g962 +tp9888 +a(g435 +VLenSubStr +p9889 +tp9890 +a(g705 +g1141 +tp9891 +a(g826 +V\u000a +p9892 +tp9893 +a(g744 +Vand +p9894 +tp9895 +a(g826 +g962 +tp9896 +a(g705 +g1137 +tp9897 +a(g435 +VSameChar +p9898 +tp9899 +a(g705 +g1137 +tp9900 +a(g435 +g1773 +tp9901 +a(g705 +g1066 +tp9902 +a(g693 +VResult +p9903 +tp9904 +a(g826 +g962 +tp9905 +a(g412 +g1223 +tp9906 +a(g826 +g962 +tp9907 +a(g435 +g4708 +tp9908 +a(g705 +g1074 +tp9909 +a(g412 +g984 +tp9910 +a(g826 +g962 +tp9911 +a(g435 +VSubStr +p9912 +tp9913 +a(g705 +g1066 +tp9914 +a(g435 +g4708 +tp9915 +a(g826 +g962 +tp9916 +a(g412 +g1223 +tp9917 +a(g826 +g962 +tp9918 +a(g37 +g1337 +tp9919 +a(g705 +V])) +p9920 +tp9921 +a(g826 +g962 +tp9922 +a(g744 +Vdo +p9923 +tp9924 +a(g826 +V\u000a +p9925 +tp9926 +a(g681 +VInc +p9927 +tp9928 +a(g705 +g1137 +tp9929 +a(g435 +g4708 +tp9930 +a(g705 +g1141 +tp9931 +a(g412 +g966 +tp9932 +a(g826 +V\u000a\u000a +p9933 +tp9934 +a(g744 +Vif +p9935 +tp9936 +a(g826 +g962 +tp9937 +a(g435 +g4708 +tp9938 +a(g826 +g962 +tp9939 +a(g412 +g1007 +tp9940 +a(g826 +g962 +tp9941 +a(g435 +VLenSubStr +p9942 +tp9943 +a(g826 +g962 +tp9944 +a(g744 +Vthen +p9945 +tp9946 +a(g826 +V\u000a +p9947 +tp9948 +a(g744 +VExit +p9949 +tp9950 +a(g412 +g966 +tp9951 +a(g826 +V\u000a +p9952 +tp9953 +a(g744 +Vend +p9954 +tp9955 +a(g412 +g966 +tp9956 +a(g826 +V\u000a +p9957 +tp9958 +a(g681 +VInc +p9959 +tp9960 +a(g705 +g1137 +tp9961 +a(g693 +VResult +p9962 +tp9963 +a(g705 +g1141 +tp9964 +a(g412 +g966 +tp9965 +a(g826 +V\u000a +p9966 +tp9967 +a(g744 +Vend +p9968 +tp9969 +a(g412 +g966 +tp9970 +a(g826 +V\u000a\u000a +p9971 +tp9972 +a(g693 +VResult +p9973 +tp9974 +a(g826 +g962 +tp9975 +a(g412 +g1407 +tp9976 +a(g412 +g1007 +tp9977 +a(g826 +g962 +tp9978 +a(g37 +g1202 +tp9979 +a(g412 +g966 +tp9980 +a(g826 +V\u000a +p9981 +tp9982 +a(g744 +Vend +p9983 +tp9984 +a(g412 +g966 +tp9985 +a(g826 +V\u000a\u000a +p9986 +tp9987 +a(g744 +Vfunction +p9988 +tp9989 +a(g826 +g962 +tp9990 +a(g573 +VPosExAnsiText +p9991 +tp9992 +a(g705 +g1137 +tp9993 +a(g744 +Vconst +p9994 +tp9995 +a(g826 +g962 +tp9996 +a(g435 +VSubStr +p9997 +tp9998 +a(g412 +g984 +tp9999 +a(g826 +g962 +tp10000 +a(g435 +g1773 +tp10001 +a(g412 +g1407 +tp10002 +a(g826 +g962 +tp10003 +a(g744 +Vstring +p10004 +tp10005 +a(g412 +g966 +tp10006 +a(g826 +g962 +tp10007 +a(g435 +VOffset +p10008 +tp10009 +a(g412 +g1407 +tp10010 +a(g826 +g962 +tp10011 +a(g748 +VInteger +p10012 +tp10013 +a(g826 +g962 +tp10014 +a(g412 +g1007 +tp10015 +a(g826 +g962 +tp10016 +a(g37 +g1337 +tp10017 +a(g705 +g1141 +tp10018 +a(g412 +g1407 +tp10019 +a(g826 +g962 +tp10020 +a(g748 +VInteger +p10021 +tp10022 +a(g412 +g966 +tp10023 +a(g826 +V\u000a +p10024 +tp10025 +a(g744 +Vvar +p10026 +tp10027 +a(g826 +V\u000a +p10028 +tp10029 +a(g435 +VMaxPosToSearch +p10030 +tp10031 +a(g412 +g984 +tp10032 +a(g826 +g962 +tp10033 +a(g435 +VLenSubStr +p10034 +tp10035 +a(g412 +g984 +tp10036 +a(g826 +g962 +tp10037 +a(g435 +g4708 +tp10038 +a(g412 +g1407 +tp10039 +a(g826 +g962 +tp10040 +a(g748 +VInteger +p10041 +tp10042 +a(g412 +g966 +tp10043 +a(g826 +V\u000a\u000a +p10044 +tp10045 +a(g744 +Vfunction +p10046 +tp10047 +a(g826 +g962 +tp10048 +a(g573 +VSameChar +p10049 +tp10050 +a(g705 +g1137 +tp10051 +a(g435 +g1182 +tp10052 +a(g412 +g984 +tp10053 +a(g826 +g962 +tp10054 +a(g435 +g1598 +tp10055 +a(g412 +g1407 +tp10056 +a(g826 +g962 +tp10057 +a(g748 +VChar +p10058 +tp10059 +a(g705 +g1141 +tp10060 +a(g412 +g1407 +tp10061 +a(g826 +g962 +tp10062 +a(g748 +VBoolean +p10063 +tp10064 +a(g412 +g966 +tp10065 +a(g826 +V\u000a +p10066 +tp10067 +a(g744 +Vbegin +p10068 +tp10069 +a(g826 +V\u000a +p10070 +tp10071 +a(g693 +VResult +p10072 +tp10073 +a(g826 +g962 +tp10074 +a(g412 +g1407 +tp10075 +a(g412 +g1007 +tp10076 +a(g826 +g962 +tp10077 +a(g435 +VCharLower +p10078 +tp10079 +a(g705 +g1137 +tp10080 +a(g748 +VPChar +p10081 +tp10082 +a(g705 +g1137 +tp10083 +a(g435 +g1182 +tp10084 +a(g705 +V)) +p10085 +tp10086 +a(g826 +g962 +tp10087 +a(g412 +g1007 +tp10088 +a(g826 +g962 +tp10089 +a(g435 +VCharLower +p10090 +tp10091 +a(g705 +g1137 +tp10092 +a(g748 +VPChar +p10093 +tp10094 +a(g705 +g1137 +tp10095 +a(g435 +g1598 +tp10096 +a(g705 +V)) +p10097 +tp10098 +a(g412 +g966 +tp10099 +a(g826 +V\u000a +p10100 +tp10101 +a(g744 +Vend +p10102 +tp10103 +a(g412 +g966 +tp10104 +a(g826 +V\u000a\u000a +p10105 +tp10106 +a(g744 +Vbegin +p10107 +tp10108 +a(g826 +V\u000a +p10109 +tp10110 +a(g744 +Vif +p10111 +tp10112 +a(g826 +g962 +tp10113 +a(g435 +VSubStr +p10114 +tp10115 +a(g826 +g962 +tp10116 +a(g412 +g1007 +tp10117 +a(g826 +g962 +tp10118 +a(g89 +g1101 +tp10119 +a(g89 +g1101 +tp10120 +a(g826 +g962 +tp10121 +a(g744 +Vthen +p10122 +tp10123 +a(g826 +g962 +tp10124 +a(g744 +Vbegin +p10125 +tp10126 +a(g826 +V\u000a +p10127 +tp10128 +a(g693 +VResult +p10129 +tp10130 +a(g826 +g962 +tp10131 +a(g412 +g1407 +tp10132 +a(g412 +g1007 +tp10133 +a(g826 +g962 +tp10134 +a(g37 +g1202 +tp10135 +a(g412 +g966 +tp10136 +a(g826 +V\u000a +p10137 +tp10138 +a(g744 +VExit +p10139 +tp10140 +a(g412 +g966 +tp10141 +a(g826 +g962 +tp10142 +a(g744 +Vend +p10143 +tp10144 +a(g412 +g966 +tp10145 +a(g826 +V\u000a\u000a +p10146 +tp10147 +a(g744 +Vif +p10148 +tp10149 +a(g826 +g962 +tp10150 +a(g435 +VOffset +p10151 +tp10152 +a(g826 +g962 +tp10153 +a(g412 +g1413 +tp10154 +a(g826 +g962 +tp10155 +a(g37 +g1337 +tp10156 +a(g826 +g962 +tp10157 +a(g744 +Vthen +p10158 +tp10159 +a(g826 +V\u000a +p10160 +tp10161 +a(g693 +VResult +p10162 +tp10163 +a(g826 +g962 +tp10164 +a(g412 +g1407 +tp10165 +a(g412 +g1007 +tp10166 +a(g826 +g962 +tp10167 +a(g37 +g1337 +tp10168 +a(g826 +V\u000a +p10169 +tp10170 +a(g744 +Velse +p10171 +tp10172 +a(g826 +V\u000a +p10173 +tp10174 +a(g693 +VResult +p10175 +tp10176 +a(g826 +g962 +tp10177 +a(g412 +g1407 +tp10178 +a(g412 +g1007 +tp10179 +a(g826 +g962 +tp10180 +a(g435 +VOffset +p10181 +tp10182 +a(g412 +g966 +tp10183 +a(g826 +V\u000a\u000a +p10184 +tp10185 +a(g435 +VLenSubStr +p10186 +tp10187 +a(g826 +g962 +tp10188 +a(g412 +g1407 +tp10189 +a(g412 +g1007 +tp10190 +a(g826 +g962 +tp10191 +a(g681 +VLength +p10192 +tp10193 +a(g705 +g1137 +tp10194 +a(g435 +VSubStr +p10195 +tp10196 +a(g705 +g1141 +tp10197 +a(g412 +g966 +tp10198 +a(g826 +V\u000a +p10199 +tp10200 +a(g435 +VMaxPosToSearch +p10201 +tp10202 +a(g826 +g962 +tp10203 +a(g412 +g1407 +tp10204 +a(g412 +g1007 +tp10205 +a(g826 +g962 +tp10206 +a(g681 +VLength +p10207 +tp10208 +a(g705 +g1137 +tp10209 +a(g435 +g1773 +tp10210 +a(g705 +g1141 +tp10211 +a(g826 +g962 +tp10212 +a(g412 +g1109 +tp10213 +a(g826 +g962 +tp10214 +a(g435 +VLenSubStr +p10215 +tp10216 +a(g826 +g962 +tp10217 +a(g412 +g1223 +tp10218 +a(g826 +g962 +tp10219 +a(g37 +g1337 +tp10220 +a(g412 +g966 +tp10221 +a(g826 +V\u000a\u000a +p10222 +tp10223 +a(g744 +Vwhile +p10224 +tp10225 +a(g826 +g962 +tp10226 +a(g693 +VResult +p10227 +tp10228 +a(g826 +g962 +tp10229 +a(g412 +g1413 +tp10230 +a(g412 +g1007 +tp10231 +a(g826 +g962 +tp10232 +a(g435 +VMaxPosToSearch +p10233 +tp10234 +a(g826 +g962 +tp10235 +a(g744 +Vdo +p10236 +tp10237 +a(g826 +g962 +tp10238 +a(g744 +Vbegin +p10239 +tp10240 +a(g826 +V\u000a +p10241 +tp10242 +a(g744 +Vif +p10243 +tp10244 +a(g826 +g962 +tp10245 +a(g435 +VSameChar +p10246 +tp10247 +a(g705 +g1137 +tp10248 +a(g435 +g1773 +tp10249 +a(g705 +g1066 +tp10250 +a(g693 +VResult +p10251 +tp10252 +a(g705 +g1074 +tp10253 +a(g412 +g984 +tp10254 +a(g826 +g962 +tp10255 +a(g435 +VSubStr +p10256 +tp10257 +a(g705 +g1066 +tp10258 +a(g37 +g1337 +tp10259 +a(g705 +V]) +p10260 +tp10261 +a(g826 +g962 +tp10262 +a(g744 +Vthen +p10263 +tp10264 +a(g826 +g962 +tp10265 +a(g744 +Vbegin +p10266 +tp10267 +a(g826 +V\u000a +p10268 +tp10269 +a(g435 +g4708 +tp10270 +a(g826 +g962 +tp10271 +a(g412 +g1407 +tp10272 +a(g412 +g1007 +tp10273 +a(g826 +g962 +tp10274 +a(g37 +g1337 +tp10275 +a(g412 +g966 +tp10276 +a(g826 +V\u000a\u000a +p10277 +tp10278 +a(g744 +Vwhile +p10279 +tp10280 +a(g826 +g962 +tp10281 +a(g705 +g1137 +tp10282 +a(g435 +g4708 +tp10283 +a(g826 +g962 +tp10284 +a(g412 +g1413 +tp10285 +a(g826 +g962 +tp10286 +a(g435 +VLenSubStr +p10287 +tp10288 +a(g705 +g1141 +tp10289 +a(g826 +V\u000a +p10290 +tp10291 +a(g744 +Vand +p10292 +tp10293 +a(g826 +g962 +tp10294 +a(g705 +g1137 +tp10295 +a(g435 +VSameChar +p10296 +tp10297 +a(g705 +g1137 +tp10298 +a(g435 +g1773 +tp10299 +a(g705 +g1066 +tp10300 +a(g693 +VResult +p10301 +tp10302 +a(g826 +g962 +tp10303 +a(g412 +g1223 +tp10304 +a(g826 +g962 +tp10305 +a(g435 +g4708 +tp10306 +a(g705 +g1074 +tp10307 +a(g412 +g984 +tp10308 +a(g826 +g962 +tp10309 +a(g435 +VSubStr +p10310 +tp10311 +a(g705 +g1066 +tp10312 +a(g435 +g4708 +tp10313 +a(g826 +g962 +tp10314 +a(g412 +g1223 +tp10315 +a(g826 +g962 +tp10316 +a(g37 +g1337 +tp10317 +a(g705 +V])) +p10318 +tp10319 +a(g826 +g962 +tp10320 +a(g744 +Vdo +p10321 +tp10322 +a(g826 +V\u000a +p10323 +tp10324 +a(g681 +VInc +p10325 +tp10326 +a(g705 +g1137 +tp10327 +a(g435 +g4708 +tp10328 +a(g705 +g1141 +tp10329 +a(g412 +g966 +tp10330 +a(g826 +V\u000a\u000a +p10331 +tp10332 +a(g744 +Vif +p10333 +tp10334 +a(g826 +g962 +tp10335 +a(g435 +g4708 +tp10336 +a(g826 +g962 +tp10337 +a(g412 +g1007 +tp10338 +a(g826 +g962 +tp10339 +a(g435 +VLenSubStr +p10340 +tp10341 +a(g826 +g962 +tp10342 +a(g744 +Vthen +p10343 +tp10344 +a(g826 +V\u000a +p10345 +tp10346 +a(g744 +VExit +p10347 +tp10348 +a(g412 +g966 +tp10349 +a(g826 +V\u000a +p10350 +tp10351 +a(g744 +Vend +p10352 +tp10353 +a(g412 +g966 +tp10354 +a(g826 +V\u000a +p10355 +tp10356 +a(g681 +VInc +p10357 +tp10358 +a(g705 +g1137 +tp10359 +a(g693 +VResult +p10360 +tp10361 +a(g705 +g1141 +tp10362 +a(g412 +g966 +tp10363 +a(g826 +V\u000a +p10364 +tp10365 +a(g744 +Vend +p10366 +tp10367 +a(g412 +g966 +tp10368 +a(g826 +V\u000a\u000a +p10369 +tp10370 +a(g693 +VResult +p10371 +tp10372 +a(g826 +g962 +tp10373 +a(g412 +g1407 +tp10374 +a(g412 +g1007 +tp10375 +a(g826 +g962 +tp10376 +a(g37 +g1202 +tp10377 +a(g412 +g966 +tp10378 +a(g826 +V\u000a +p10379 +tp10380 +a(g744 +Vend +p10381 +tp10382 +a(g412 +g966 +tp10383 +a(g826 +V\u000a\u000a +p10384 +tp10385 +a(g744 +Vfunction +p10386 +tp10387 +a(g826 +g962 +tp10388 +a(g573 +VUntilChar +p10389 +tp10390 +a(g705 +g1137 +tp10391 +a(g744 +Vconst +p10392 +tp10393 +a(g826 +g962 +tp10394 +a(g435 +g1773 +tp10395 +a(g412 +g1407 +tp10396 +a(g826 +g962 +tp10397 +a(g744 +Vstring +p10398 +tp10399 +a(g412 +g966 +tp10400 +a(g826 +g962 +tp10401 +a(g435 +VBrake +p10402 +tp10403 +a(g412 +g1407 +tp10404 +a(g826 +g962 +tp10405 +a(g748 +VChar +p10406 +tp10407 +a(g705 +g1141 +tp10408 +a(g412 +g1407 +tp10409 +a(g826 +g962 +tp10410 +a(g744 +Vstring +p10411 +tp10412 +a(g412 +g966 +tp10413 +a(g826 +V\u000a +p10414 +tp10415 +a(g744 +Vvar +p10416 +tp10417 +a(g826 +V\u000a +p10418 +tp10419 +a(g435 +Vp +p10420 +tp10421 +a(g412 +g1407 +tp10422 +a(g826 +g962 +tp10423 +a(g748 +VInteger +p10424 +tp10425 +a(g412 +g966 +tp10426 +a(g826 +V\u000a +p10427 +tp10428 +a(g744 +Vbegin +p10429 +tp10430 +a(g826 +V\u000a +p10431 +tp10432 +a(g435 +g10420 +tp10433 +a(g826 +g962 +tp10434 +a(g412 +g1407 +tp10435 +a(g412 +g1007 +tp10436 +a(g826 +g962 +tp10437 +a(g435 +VCharPos +p10438 +tp10439 +a(g705 +g1137 +tp10440 +a(g435 +VBrake +p10441 +tp10442 +a(g412 +g984 +tp10443 +a(g826 +g962 +tp10444 +a(g435 +g1773 +tp10445 +a(g705 +g1141 +tp10446 +a(g412 +g966 +tp10447 +a(g826 +V\u000a\u000a +p10448 +tp10449 +a(g744 +Vif +p10450 +tp10451 +a(g826 +g962 +tp10452 +a(g435 +g10420 +tp10453 +a(g826 +g962 +tp10454 +a(g412 +g1419 +tp10455 +a(g826 +g962 +tp10456 +a(g37 +g1202 +tp10457 +a(g826 +g962 +tp10458 +a(g744 +Vthen +p10459 +tp10460 +a(g826 +V\u000a +p10461 +tp10462 +a(g693 +VResult +p10463 +tp10464 +a(g826 +g962 +tp10465 +a(g412 +g1407 +tp10466 +a(g412 +g1007 +tp10467 +a(g826 +g962 +tp10468 +a(g681 +VCopy +p10469 +tp10470 +a(g705 +g1137 +tp10471 +a(g435 +g1773 +tp10472 +a(g412 +g984 +tp10473 +a(g826 +g962 +tp10474 +a(g37 +g1337 +tp10475 +a(g412 +g984 +tp10476 +a(g826 +g962 +tp10477 +a(g435 +g10420 +tp10478 +a(g826 +g962 +tp10479 +a(g412 +g1109 +tp10480 +a(g826 +g962 +tp10481 +a(g37 +g1337 +tp10482 +a(g705 +g1141 +tp10483 +a(g826 +V\u000a +p10484 +tp10485 +a(g744 +Velse +p10486 +tp10487 +a(g826 +V\u000a +p10488 +tp10489 +a(g693 +VResult +p10490 +tp10491 +a(g826 +g962 +tp10492 +a(g412 +g1407 +tp10493 +a(g412 +g1007 +tp10494 +a(g826 +g962 +tp10495 +a(g435 +g1773 +tp10496 +a(g412 +g966 +tp10497 +a(g826 +V\u000a +p10498 +tp10499 +a(g744 +Vend +p10500 +tp10501 +a(g412 +g966 +tp10502 +a(g826 +V\u000a\u000a +p10503 +tp10504 +a(g744 +Vfunction +p10505 +tp10506 +a(g826 +g962 +tp10507 +a(g573 +VUntilChar +p10508 +tp10509 +a(g705 +g1137 +tp10510 +a(g744 +Vconst +p10511 +tp10512 +a(g826 +g962 +tp10513 +a(g435 +g1773 +tp10514 +a(g412 +g1407 +tp10515 +a(g826 +g962 +tp10516 +a(g744 +Vstring +p10517 +tp10518 +a(g412 +g966 +tp10519 +a(g826 +g962 +tp10520 +a(g435 +VBrake +p10521 +tp10522 +a(g412 +g1407 +tp10523 +a(g826 +g962 +tp10524 +a(g435 +VTCharSet +p10525 +tp10526 +a(g705 +g1141 +tp10527 +a(g412 +g1407 +tp10528 +a(g826 +g962 +tp10529 +a(g744 +Vstring +p10530 +tp10531 +a(g412 +g966 +tp10532 +a(g826 +V\u000a +p10533 +tp10534 +a(g744 +Vvar +p10535 +tp10536 +a(g826 +V\u000a +p10537 +tp10538 +a(g435 +g10420 +tp10539 +a(g412 +g1407 +tp10540 +a(g826 +g962 +tp10541 +a(g748 +VInteger +p10542 +tp10543 +a(g412 +g966 +tp10544 +a(g826 +V\u000a +p10545 +tp10546 +a(g744 +Vbegin +p10547 +tp10548 +a(g826 +V\u000a +p10549 +tp10550 +a(g693 +VResult +p10551 +tp10552 +a(g826 +g962 +tp10553 +a(g412 +g1407 +tp10554 +a(g412 +g1007 +tp10555 +a(g826 +g962 +tp10556 +a(g89 +g1101 +tp10557 +a(g89 +g1101 +tp10558 +a(g412 +g966 +tp10559 +a(g826 +V\u000a +p10560 +tp10561 +a(g435 +g10420 +tp10562 +a(g826 +g962 +tp10563 +a(g412 +g1407 +tp10564 +a(g412 +g1007 +tp10565 +a(g826 +g962 +tp10566 +a(g435 +VCharPos +p10567 +tp10568 +a(g705 +g1137 +tp10569 +a(g435 +VBrake +p10570 +tp10571 +a(g412 +g984 +tp10572 +a(g826 +g962 +tp10573 +a(g435 +g1773 +tp10574 +a(g705 +g1141 +tp10575 +a(g412 +g966 +tp10576 +a(g826 +V\u000a\u000a +p10577 +tp10578 +a(g744 +Vif +p10579 +tp10580 +a(g826 +g962 +tp10581 +a(g435 +g10420 +tp10582 +a(g826 +g962 +tp10583 +a(g412 +g1419 +tp10584 +a(g826 +g962 +tp10585 +a(g37 +g1202 +tp10586 +a(g826 +g962 +tp10587 +a(g744 +Vthen +p10588 +tp10589 +a(g826 +V\u000a +p10590 +tp10591 +a(g693 +VResult +p10592 +tp10593 +a(g826 +g962 +tp10594 +a(g412 +g1407 +tp10595 +a(g412 +g1007 +tp10596 +a(g826 +g962 +tp10597 +a(g681 +VCopy +p10598 +tp10599 +a(g705 +g1137 +tp10600 +a(g435 +g1773 +tp10601 +a(g412 +g984 +tp10602 +a(g826 +g962 +tp10603 +a(g37 +g1337 +tp10604 +a(g412 +g984 +tp10605 +a(g826 +g962 +tp10606 +a(g435 +g10420 +tp10607 +a(g826 +g962 +tp10608 +a(g412 +g1109 +tp10609 +a(g826 +g962 +tp10610 +a(g37 +g1337 +tp10611 +a(g705 +g1141 +tp10612 +a(g826 +V\u000a +p10613 +tp10614 +a(g744 +Velse +p10615 +tp10616 +a(g826 +V\u000a +p10617 +tp10618 +a(g693 +VResult +p10619 +tp10620 +a(g826 +g962 +tp10621 +a(g412 +g1407 +tp10622 +a(g412 +g1007 +tp10623 +a(g826 +g962 +tp10624 +a(g435 +g1773 +tp10625 +a(g412 +g966 +tp10626 +a(g826 +V\u000a +p10627 +tp10628 +a(g744 +Vend +p10629 +tp10630 +a(g412 +g966 +tp10631 +a(g826 +V\u000a\u000a +p10632 +tp10633 +a(g744 +Vfunction +p10634 +tp10635 +a(g826 +g962 +tp10636 +a(g573 +VUntilLastChar +p10637 +tp10638 +a(g705 +g1137 +tp10639 +a(g744 +Vconst +p10640 +tp10641 +a(g826 +g962 +tp10642 +a(g435 +g1773 +tp10643 +a(g412 +g1407 +tp10644 +a(g826 +g962 +tp10645 +a(g744 +Vstring +p10646 +tp10647 +a(g412 +g966 +tp10648 +a(g826 +g962 +tp10649 +a(g435 +VBrake +p10650 +tp10651 +a(g412 +g1407 +tp10652 +a(g826 +g962 +tp10653 +a(g748 +VChar +p10654 +tp10655 +a(g412 +g966 +tp10656 +a(g826 +V\u000a +p10657 +tp10658 +a(g435 +VIgnoreNoBrake +p10659 +tp10660 +a(g412 +g1407 +tp10661 +a(g826 +g962 +tp10662 +a(g748 +VBoolean +p10663 +tp10664 +a(g826 +g962 +tp10665 +a(g412 +g1007 +tp10666 +a(g826 +g962 +tp10667 +a(g744 +VTrue +p10668 +tp10669 +a(g705 +g1141 +tp10670 +a(g412 +g1407 +tp10671 +a(g826 +g962 +tp10672 +a(g744 +Vstring +p10673 +tp10674 +a(g412 +g966 +tp10675 +a(g826 +V\u000a +p10676 +tp10677 +a(g744 +Vvar +p10678 +tp10679 +a(g826 +V\u000a +p10680 +tp10681 +a(g435 +g10420 +tp10682 +a(g412 +g1407 +tp10683 +a(g826 +g962 +tp10684 +a(g748 +VInteger +p10685 +tp10686 +a(g412 +g966 +tp10687 +a(g826 +V\u000a +p10688 +tp10689 +a(g744 +Vbegin +p10690 +tp10691 +a(g826 +V\u000a +p10692 +tp10693 +a(g693 +VResult +p10694 +tp10695 +a(g826 +g962 +tp10696 +a(g412 +g1407 +tp10697 +a(g412 +g1007 +tp10698 +a(g826 +g962 +tp10699 +a(g89 +g1101 +tp10700 +a(g89 +g1101 +tp10701 +a(g412 +g966 +tp10702 +a(g826 +V\u000a +p10703 +tp10704 +a(g435 +g10420 +tp10705 +a(g826 +g962 +tp10706 +a(g412 +g1407 +tp10707 +a(g412 +g1007 +tp10708 +a(g826 +g962 +tp10709 +a(g435 +VCharPosR +p10710 +tp10711 +a(g705 +g1137 +tp10712 +a(g435 +VBrake +p10713 +tp10714 +a(g412 +g984 +tp10715 +a(g826 +g962 +tp10716 +a(g435 +g1773 +tp10717 +a(g705 +g1141 +tp10718 +a(g412 +g966 +tp10719 +a(g826 +V\u000a\u000a +p10720 +tp10721 +a(g744 +Vif +p10722 +tp10723 +a(g826 +g962 +tp10724 +a(g435 +g10420 +tp10725 +a(g826 +g962 +tp10726 +a(g412 +g1419 +tp10727 +a(g826 +g962 +tp10728 +a(g37 +g1202 +tp10729 +a(g826 +g962 +tp10730 +a(g744 +Vthen +p10731 +tp10732 +a(g826 +V\u000a +p10733 +tp10734 +a(g693 +VResult +p10735 +tp10736 +a(g826 +g962 +tp10737 +a(g412 +g1407 +tp10738 +a(g412 +g1007 +tp10739 +a(g826 +g962 +tp10740 +a(g681 +VCopy +p10741 +tp10742 +a(g705 +g1137 +tp10743 +a(g435 +g1773 +tp10744 +a(g412 +g984 +tp10745 +a(g826 +g962 +tp10746 +a(g37 +g1337 +tp10747 +a(g412 +g984 +tp10748 +a(g826 +g962 +tp10749 +a(g435 +g10420 +tp10750 +a(g826 +g962 +tp10751 +a(g412 +g1109 +tp10752 +a(g826 +g962 +tp10753 +a(g37 +g1337 +tp10754 +a(g705 +g1141 +tp10755 +a(g826 +V\u000a +p10756 +tp10757 +a(g744 +Velse +p10758 +tp10759 +a(g826 +g962 +tp10760 +a(g744 +Vif +p10761 +tp10762 +a(g826 +g962 +tp10763 +a(g435 +VIgnoreNoBrake +p10764 +tp10765 +a(g826 +g962 +tp10766 +a(g744 +Vthen +p10767 +tp10768 +a(g826 +V\u000a +p10769 +tp10770 +a(g693 +VResult +p10771 +tp10772 +a(g826 +g962 +tp10773 +a(g412 +g1407 +tp10774 +a(g412 +g1007 +tp10775 +a(g826 +g962 +tp10776 +a(g435 +g1773 +tp10777 +a(g412 +g966 +tp10778 +a(g826 +V\u000a +p10779 +tp10780 +a(g744 +Vend +p10781 +tp10782 +a(g412 +g966 +tp10783 +a(g826 +V\u000a\u000a +p10784 +tp10785 +a(g744 +Vfunction +p10786 +tp10787 +a(g826 +g962 +tp10788 +a(g573 +VFromChar +p10789 +tp10790 +a(g705 +g1137 +tp10791 +a(g744 +Vconst +p10792 +tp10793 +a(g826 +g962 +tp10794 +a(g435 +g1773 +tp10795 +a(g412 +g1407 +tp10796 +a(g826 +g962 +tp10797 +a(g744 +Vstring +p10798 +tp10799 +a(g412 +g966 +tp10800 +a(g826 +g962 +tp10801 +a(g435 +VBrake +p10802 +tp10803 +a(g412 +g1407 +tp10804 +a(g826 +g962 +tp10805 +a(g748 +VChar +p10806 +tp10807 +a(g705 +g1141 +tp10808 +a(g412 +g1407 +tp10809 +a(g826 +g962 +tp10810 +a(g744 +Vstring +p10811 +tp10812 +a(g412 +g966 +tp10813 +a(g826 +V\u000a +p10814 +tp10815 +a(g744 +Vvar +p10816 +tp10817 +a(g826 +V\u000a +p10818 +tp10819 +a(g435 +g10420 +tp10820 +a(g412 +g1407 +tp10821 +a(g826 +g962 +tp10822 +a(g748 +VInteger +p10823 +tp10824 +a(g412 +g966 +tp10825 +a(g826 +V\u000a +p10826 +tp10827 +a(g744 +Vbegin +p10828 +tp10829 +a(g826 +V\u000a +p10830 +tp10831 +a(g693 +VResult +p10832 +tp10833 +a(g826 +g962 +tp10834 +a(g412 +g1407 +tp10835 +a(g412 +g1007 +tp10836 +a(g826 +g962 +tp10837 +a(g89 +g1101 +tp10838 +a(g89 +g1101 +tp10839 +a(g412 +g966 +tp10840 +a(g826 +V\u000a +p10841 +tp10842 +a(g435 +g10420 +tp10843 +a(g826 +g962 +tp10844 +a(g412 +g1407 +tp10845 +a(g412 +g1007 +tp10846 +a(g826 +g962 +tp10847 +a(g435 +VCharPos +p10848 +tp10849 +a(g705 +g1137 +tp10850 +a(g435 +VBrake +p10851 +tp10852 +a(g412 +g984 +tp10853 +a(g826 +g962 +tp10854 +a(g435 +g1773 +tp10855 +a(g705 +g1141 +tp10856 +a(g412 +g966 +tp10857 +a(g826 +V\u000a\u000a +p10858 +tp10859 +a(g744 +Vif +p10860 +tp10861 +a(g826 +g962 +tp10862 +a(g435 +g10420 +tp10863 +a(g826 +g962 +tp10864 +a(g412 +g1419 +tp10865 +a(g826 +g962 +tp10866 +a(g37 +g1202 +tp10867 +a(g826 +g962 +tp10868 +a(g744 +Vthen +p10869 +tp10870 +a(g826 +V\u000a +p10871 +tp10872 +a(g693 +VResult +p10873 +tp10874 +a(g826 +g962 +tp10875 +a(g412 +g1407 +tp10876 +a(g412 +g1007 +tp10877 +a(g826 +g962 +tp10878 +a(g681 +VCopy +p10879 +tp10880 +a(g705 +g1137 +tp10881 +a(g435 +g1773 +tp10882 +a(g412 +g984 +tp10883 +a(g826 +g962 +tp10884 +a(g435 +g10420 +tp10885 +a(g826 +g962 +tp10886 +a(g412 +g1223 +tp10887 +a(g826 +g962 +tp10888 +a(g37 +g1337 +tp10889 +a(g412 +g984 +tp10890 +a(g826 +g962 +tp10891 +a(g681 +VLength +p10892 +tp10893 +a(g705 +g1137 +tp10894 +a(g435 +g1773 +tp10895 +a(g705 +g1141 +tp10896 +a(g826 +g962 +tp10897 +a(g412 +g1109 +tp10898 +a(g826 +g962 +tp10899 +a(g435 +g10420 +tp10900 +a(g705 +g1141 +tp10901 +a(g412 +g966 +tp10902 +a(g826 +V\u000a +p10903 +tp10904 +a(g744 +Vend +p10905 +tp10906 +a(g412 +g966 +tp10907 +a(g826 +V\u000a\u000a +p10908 +tp10909 +a(g744 +Vfunction +p10910 +tp10911 +a(g826 +g962 +tp10912 +a(g573 +VFromChar +p10913 +tp10914 +a(g705 +g1137 +tp10915 +a(g744 +Vconst +p10916 +tp10917 +a(g826 +g962 +tp10918 +a(g435 +g1773 +tp10919 +a(g412 +g1407 +tp10920 +a(g826 +g962 +tp10921 +a(g744 +Vstring +p10922 +tp10923 +a(g412 +g966 +tp10924 +a(g826 +g962 +tp10925 +a(g435 +VBrake +p10926 +tp10927 +a(g412 +g1407 +tp10928 +a(g826 +g962 +tp10929 +a(g435 +VTCharSet +p10930 +tp10931 +a(g705 +g1141 +tp10932 +a(g412 +g1407 +tp10933 +a(g826 +g962 +tp10934 +a(g744 +Vstring +p10935 +tp10936 +a(g412 +g966 +tp10937 +a(g826 +V\u000a +p10938 +tp10939 +a(g744 +Vvar +p10940 +tp10941 +a(g826 +V\u000a +p10942 +tp10943 +a(g435 +g10420 +tp10944 +a(g412 +g1407 +tp10945 +a(g826 +g962 +tp10946 +a(g748 +VInteger +p10947 +tp10948 +a(g412 +g966 +tp10949 +a(g826 +V\u000a +p10950 +tp10951 +a(g744 +Vbegin +p10952 +tp10953 +a(g826 +V\u000a +p10954 +tp10955 +a(g693 +VResult +p10956 +tp10957 +a(g826 +g962 +tp10958 +a(g412 +g1407 +tp10959 +a(g412 +g1007 +tp10960 +a(g826 +g962 +tp10961 +a(g89 +g1101 +tp10962 +a(g89 +g1101 +tp10963 +a(g412 +g966 +tp10964 +a(g826 +V\u000a +p10965 +tp10966 +a(g435 +g10420 +tp10967 +a(g826 +g962 +tp10968 +a(g412 +g1407 +tp10969 +a(g412 +g1007 +tp10970 +a(g826 +g962 +tp10971 +a(g435 +VCharPos +p10972 +tp10973 +a(g705 +g1137 +tp10974 +a(g435 +VBrake +p10975 +tp10976 +a(g412 +g984 +tp10977 +a(g826 +g962 +tp10978 +a(g435 +g1773 +tp10979 +a(g705 +g1141 +tp10980 +a(g412 +g966 +tp10981 +a(g826 +V\u000a\u000a +p10982 +tp10983 +a(g744 +Vif +p10984 +tp10985 +a(g826 +g962 +tp10986 +a(g435 +g10420 +tp10987 +a(g826 +g962 +tp10988 +a(g412 +g1419 +tp10989 +a(g826 +g962 +tp10990 +a(g37 +g1202 +tp10991 +a(g826 +g962 +tp10992 +a(g744 +Vthen +p10993 +tp10994 +a(g826 +V\u000a +p10995 +tp10996 +a(g693 +VResult +p10997 +tp10998 +a(g826 +g962 +tp10999 +a(g412 +g1407 +tp11000 +a(g412 +g1007 +tp11001 +a(g826 +g962 +tp11002 +a(g681 +VCopy +p11003 +tp11004 +a(g705 +g1137 +tp11005 +a(g435 +g1773 +tp11006 +a(g412 +g984 +tp11007 +a(g826 +g962 +tp11008 +a(g435 +g10420 +tp11009 +a(g826 +g962 +tp11010 +a(g412 +g1223 +tp11011 +a(g826 +g962 +tp11012 +a(g37 +g1337 +tp11013 +a(g412 +g984 +tp11014 +a(g826 +g962 +tp11015 +a(g681 +VLength +p11016 +tp11017 +a(g705 +g1137 +tp11018 +a(g435 +g1773 +tp11019 +a(g705 +g1141 +tp11020 +a(g826 +g962 +tp11021 +a(g412 +g1109 +tp11022 +a(g826 +g962 +tp11023 +a(g435 +g10420 +tp11024 +a(g705 +g1141 +tp11025 +a(g412 +g966 +tp11026 +a(g826 +V\u000a +p11027 +tp11028 +a(g744 +Vend +p11029 +tp11030 +a(g412 +g966 +tp11031 +a(g826 +V\u000a\u000a +p11032 +tp11033 +a(g744 +Vfunction +p11034 +tp11035 +a(g826 +g962 +tp11036 +a(g573 +VFromLastChar +p11037 +tp11038 +a(g705 +g1137 +tp11039 +a(g744 +Vconst +p11040 +tp11041 +a(g826 +g962 +tp11042 +a(g435 +g1773 +tp11043 +a(g412 +g1407 +tp11044 +a(g826 +g962 +tp11045 +a(g744 +Vstring +p11046 +tp11047 +a(g412 +g966 +tp11048 +a(g826 +g962 +tp11049 +a(g435 +VBrake +p11050 +tp11051 +a(g412 +g1407 +tp11052 +a(g826 +g962 +tp11053 +a(g748 +VChar +p11054 +tp11055 +a(g412 +g966 +tp11056 +a(g826 +V\u000a +p11057 +tp11058 +a(g435 +VIgnoreNoBrake +p11059 +tp11060 +a(g412 +g1407 +tp11061 +a(g826 +g962 +tp11062 +a(g748 +VBoolean +p11063 +tp11064 +a(g826 +g962 +tp11065 +a(g412 +g1007 +tp11066 +a(g826 +g962 +tp11067 +a(g744 +VFalse +p11068 +tp11069 +a(g705 +g1141 +tp11070 +a(g412 +g1407 +tp11071 +a(g826 +g962 +tp11072 +a(g744 +Vstring +p11073 +tp11074 +a(g412 +g966 +tp11075 +a(g826 +V\u000a +p11076 +tp11077 +a(g744 +Vvar +p11078 +tp11079 +a(g826 +V\u000a +p11080 +tp11081 +a(g435 +g10420 +tp11082 +a(g412 +g1407 +tp11083 +a(g826 +g962 +tp11084 +a(g748 +VInteger +p11085 +tp11086 +a(g412 +g966 +tp11087 +a(g826 +V\u000a +p11088 +tp11089 +a(g744 +Vbegin +p11090 +tp11091 +a(g826 +V\u000a +p11092 +tp11093 +a(g693 +VResult +p11094 +tp11095 +a(g826 +g962 +tp11096 +a(g412 +g1407 +tp11097 +a(g412 +g1007 +tp11098 +a(g826 +g962 +tp11099 +a(g89 +g1101 +tp11100 +a(g89 +g1101 +tp11101 +a(g412 +g966 +tp11102 +a(g826 +V\u000a +p11103 +tp11104 +a(g435 +g10420 +tp11105 +a(g826 +g962 +tp11106 +a(g412 +g1407 +tp11107 +a(g412 +g1007 +tp11108 +a(g826 +g962 +tp11109 +a(g435 +VCharPosR +p11110 +tp11111 +a(g705 +g1137 +tp11112 +a(g435 +VBrake +p11113 +tp11114 +a(g412 +g984 +tp11115 +a(g826 +g962 +tp11116 +a(g435 +g1773 +tp11117 +a(g705 +g1141 +tp11118 +a(g412 +g966 +tp11119 +a(g826 +V\u000a\u000a +p11120 +tp11121 +a(g744 +Vif +p11122 +tp11123 +a(g826 +g962 +tp11124 +a(g435 +g10420 +tp11125 +a(g826 +g962 +tp11126 +a(g412 +g1419 +tp11127 +a(g826 +g962 +tp11128 +a(g37 +g1202 +tp11129 +a(g826 +g962 +tp11130 +a(g744 +Vthen +p11131 +tp11132 +a(g826 +V\u000a +p11133 +tp11134 +a(g693 +VResult +p11135 +tp11136 +a(g826 +g962 +tp11137 +a(g412 +g1407 +tp11138 +a(g412 +g1007 +tp11139 +a(g826 +g962 +tp11140 +a(g681 +VCopy +p11141 +tp11142 +a(g705 +g1137 +tp11143 +a(g435 +g1773 +tp11144 +a(g412 +g984 +tp11145 +a(g826 +g962 +tp11146 +a(g435 +g10420 +tp11147 +a(g826 +g962 +tp11148 +a(g412 +g1223 +tp11149 +a(g826 +g962 +tp11150 +a(g37 +g1337 +tp11151 +a(g412 +g984 +tp11152 +a(g826 +g962 +tp11153 +a(g681 +VLength +p11154 +tp11155 +a(g705 +g1137 +tp11156 +a(g435 +g1773 +tp11157 +a(g705 +g1141 +tp11158 +a(g826 +g962 +tp11159 +a(g412 +g1109 +tp11160 +a(g826 +g962 +tp11161 +a(g435 +g10420 +tp11162 +a(g705 +g1141 +tp11163 +a(g826 +V\u000a +p11164 +tp11165 +a(g744 +Velse +p11166 +tp11167 +a(g826 +g962 +tp11168 +a(g744 +Vif +p11169 +tp11170 +a(g826 +g962 +tp11171 +a(g435 +VIgnoreNoBrake +p11172 +tp11173 +a(g826 +g962 +tp11174 +a(g744 +Vthen +p11175 +tp11176 +a(g826 +V\u000a +p11177 +tp11178 +a(g693 +VResult +p11179 +tp11180 +a(g826 +g962 +tp11181 +a(g412 +g1407 +tp11182 +a(g412 +g1007 +tp11183 +a(g826 +g962 +tp11184 +a(g435 +g1773 +tp11185 +a(g412 +g966 +tp11186 +a(g826 +V\u000a +p11187 +tp11188 +a(g744 +Vend +p11189 +tp11190 +a(g412 +g966 +tp11191 +a(g826 +V\u000a\u000a +p11192 +tp11193 +a(g744 +Vfunction +p11194 +tp11195 +a(g826 +g962 +tp11196 +a(g573 +VBetweenChars +p11197 +tp11198 +a(g705 +g1137 +tp11199 +a(g744 +Vconst +p11200 +tp11201 +a(g826 +g962 +tp11202 +a(g435 +g1773 +tp11203 +a(g412 +g1407 +tp11204 +a(g826 +g962 +tp11205 +a(g744 +Vstring +p11206 +tp11207 +a(g412 +g966 +tp11208 +a(g826 +g962 +tp11209 +a(g435 +VStart +p11210 +tp11211 +a(g412 +g984 +tp11212 +a(g826 +g962 +tp11213 +a(g435 +VFinish +p11214 +tp11215 +a(g412 +g1407 +tp11216 +a(g826 +g962 +tp11217 +a(g748 +VChar +p11218 +tp11219 +a(g412 +g966 +tp11220 +a(g826 +V\u000a +p11221 +tp11222 +a(g435 +VInclusive +p11223 +tp11224 +a(g412 +g1407 +tp11225 +a(g826 +g962 +tp11226 +a(g748 +VBoolean +p11227 +tp11228 +a(g826 +g962 +tp11229 +a(g412 +g1007 +tp11230 +a(g826 +g962 +tp11231 +a(g744 +VFalse +p11232 +tp11233 +a(g705 +g1141 +tp11234 +a(g412 +g1407 +tp11235 +a(g826 +g962 +tp11236 +a(g744 +Vstring +p11237 +tp11238 +a(g412 +g966 +tp11239 +a(g826 +V\u000a +p11240 +tp11241 +a(g744 +Vvar +p11242 +tp11243 +a(g826 +V\u000a +p11244 +tp11245 +a(g435 +g10420 +tp11246 +a(g412 +g984 +tp11247 +a(g826 +g962 +tp11248 +a(g435 +Vfin +p11249 +tp11250 +a(g412 +g1407 +tp11251 +a(g826 +g962 +tp11252 +a(g748 +VInteger +p11253 +tp11254 +a(g412 +g966 +tp11255 +a(g826 +V\u000a +p11256 +tp11257 +a(g744 +Vbegin +p11258 +tp11259 +a(g826 +V\u000a +p11260 +tp11261 +a(g693 +VResult +p11262 +tp11263 +a(g826 +g962 +tp11264 +a(g412 +g1407 +tp11265 +a(g412 +g1007 +tp11266 +a(g826 +g962 +tp11267 +a(g89 +g1101 +tp11268 +a(g89 +g1101 +tp11269 +a(g412 +g966 +tp11270 +a(g826 +V\u000a\u000a +p11271 +tp11272 +a(g435 +g10420 +tp11273 +a(g826 +g962 +tp11274 +a(g412 +g1407 +tp11275 +a(g412 +g1007 +tp11276 +a(g826 +g962 +tp11277 +a(g435 +VCharPos +p11278 +tp11279 +a(g705 +g1137 +tp11280 +a(g435 +VStart +p11281 +tp11282 +a(g412 +g984 +tp11283 +a(g826 +g962 +tp11284 +a(g435 +g1773 +tp11285 +a(g705 +g1141 +tp11286 +a(g412 +g966 +tp11287 +a(g826 +V\u000a +p11288 +tp11289 +a(g744 +Vif +p11290 +tp11291 +a(g826 +g962 +tp11292 +a(g435 +g10420 +tp11293 +a(g826 +g962 +tp11294 +a(g412 +g1007 +tp11295 +a(g826 +g962 +tp11296 +a(g37 +g1202 +tp11297 +a(g826 +g962 +tp11298 +a(g744 +Vthen +p11299 +tp11300 +a(g826 +V\u000a +p11301 +tp11302 +a(g744 +VExit +p11303 +tp11304 +a(g412 +g966 +tp11305 +a(g826 +V\u000a\u000a +p11306 +tp11307 +a(g435 +Vfin +p11308 +tp11309 +a(g826 +g962 +tp11310 +a(g412 +g1407 +tp11311 +a(g412 +g1007 +tp11312 +a(g826 +g962 +tp11313 +a(g435 +VCharPos +p11314 +tp11315 +a(g705 +g1137 +tp11316 +a(g435 +VFinish +p11317 +tp11318 +a(g412 +g984 +tp11319 +a(g826 +g962 +tp11320 +a(g435 +g1773 +tp11321 +a(g412 +g984 +tp11322 +a(g826 +g962 +tp11323 +a(g435 +g10420 +tp11324 +a(g826 +g962 +tp11325 +a(g412 +g1223 +tp11326 +a(g826 +g962 +tp11327 +a(g37 +g1337 +tp11328 +a(g705 +g1141 +tp11329 +a(g412 +g966 +tp11330 +a(g826 +V\u000a +p11331 +tp11332 +a(g744 +Vif +p11333 +tp11334 +a(g826 +g962 +tp11335 +a(g435 +Vfin +p11336 +tp11337 +a(g826 +g962 +tp11338 +a(g412 +g1007 +tp11339 +a(g826 +g962 +tp11340 +a(g37 +g1202 +tp11341 +a(g826 +g962 +tp11342 +a(g744 +Vthen +p11343 +tp11344 +a(g826 +V\u000a +p11345 +tp11346 +a(g744 +VExit +p11347 +tp11348 +a(g412 +g966 +tp11349 +a(g826 +V\u000a\u000a +p11350 +tp11351 +a(g744 +Vif +p11352 +tp11353 +a(g826 +g962 +tp11354 +a(g744 +Vnot +p11355 +tp11356 +a(g826 +g962 +tp11357 +a(g435 +VInclusive +p11358 +tp11359 +a(g826 +g962 +tp11360 +a(g744 +Vthen +p11361 +tp11362 +a(g826 +g962 +tp11363 +a(g744 +Vbegin +p11364 +tp11365 +a(g826 +V\u000a +p11366 +tp11367 +a(g681 +VInc +p11368 +tp11369 +a(g705 +g1137 +tp11370 +a(g435 +g10420 +tp11371 +a(g705 +g1141 +tp11372 +a(g412 +g966 +tp11373 +a(g826 +V\u000a +p11374 +tp11375 +a(g681 +VDec +p11376 +tp11377 +a(g705 +g1137 +tp11378 +a(g435 +Vfin +p11379 +tp11380 +a(g705 +g1141 +tp11381 +a(g412 +g966 +tp11382 +a(g826 +V\u000a +p11383 +tp11384 +a(g744 +Vend +p11385 +tp11386 +a(g412 +g966 +tp11387 +a(g826 +V\u000a\u000a +p11388 +tp11389 +a(g693 +VResult +p11390 +tp11391 +a(g826 +g962 +tp11392 +a(g412 +g1407 +tp11393 +a(g412 +g1007 +tp11394 +a(g826 +g962 +tp11395 +a(g681 +VCopy +p11396 +tp11397 +a(g705 +g1137 +tp11398 +a(g435 +g1773 +tp11399 +a(g412 +g984 +tp11400 +a(g826 +g962 +tp11401 +a(g435 +g10420 +tp11402 +a(g412 +g984 +tp11403 +a(g826 +g962 +tp11404 +a(g435 +Vfin +p11405 +tp11406 +a(g826 +g962 +tp11407 +a(g412 +g1109 +tp11408 +a(g826 +g962 +tp11409 +a(g435 +g10420 +tp11410 +a(g826 +g962 +tp11411 +a(g412 +g1223 +tp11412 +a(g826 +g962 +tp11413 +a(g37 +g1337 +tp11414 +a(g705 +g1141 +tp11415 +a(g412 +g966 +tp11416 +a(g826 +V\u000a +p11417 +tp11418 +a(g744 +Vend +p11419 +tp11420 +a(g412 +g966 +tp11421 +a(g826 +V\u000a\u000a +p11422 +tp11423 +a(g744 +Vfunction +p11424 +tp11425 +a(g826 +g962 +tp11426 +a(g573 +VUntilStr +p11427 +tp11428 +a(g705 +g1137 +tp11429 +a(g744 +Vconst +p11430 +tp11431 +a(g826 +g962 +tp11432 +a(g435 +g1773 +tp11433 +a(g412 +g1407 +tp11434 +a(g826 +g962 +tp11435 +a(g744 +Vstring +p11436 +tp11437 +a(g412 +g966 +tp11438 +a(g826 +g962 +tp11439 +a(g435 +VBrake +p11440 +tp11441 +a(g412 +g1407 +tp11442 +a(g826 +g962 +tp11443 +a(g744 +Vstring +p11444 +tp11445 +a(g705 +g1141 +tp11446 +a(g412 +g1407 +tp11447 +a(g826 +g962 +tp11448 +a(g744 +Vstring +p11449 +tp11450 +a(g412 +g966 +tp11451 +a(g826 +V\u000a +p11452 +tp11453 +a(g744 +Vvar +p11454 +tp11455 +a(g826 +V\u000a +p11456 +tp11457 +a(g435 +g10420 +tp11458 +a(g412 +g1407 +tp11459 +a(g826 +g962 +tp11460 +a(g748 +VInteger +p11461 +tp11462 +a(g412 +g966 +tp11463 +a(g826 +V\u000a +p11464 +tp11465 +a(g744 +Vbegin +p11466 +tp11467 +a(g826 +V\u000a +p11468 +tp11469 +a(g744 +Vif +p11470 +tp11471 +a(g826 +g962 +tp11472 +a(g681 +VLength +p11473 +tp11474 +a(g705 +g1137 +tp11475 +a(g435 +VBrake +p11476 +tp11477 +a(g705 +g1141 +tp11478 +a(g826 +g962 +tp11479 +a(g412 +g1007 +tp11480 +a(g826 +g962 +tp11481 +a(g37 +g1337 +tp11482 +a(g826 +g962 +tp11483 +a(g744 +Vthen +p11484 +tp11485 +a(g826 +g962 +tp11486 +a(g744 +Vbegin +p11487 +tp11488 +a(g826 +V\u000a +p11489 +tp11490 +a(g693 +VResult +p11491 +tp11492 +a(g826 +g962 +tp11493 +a(g412 +g1407 +tp11494 +a(g412 +g1007 +tp11495 +a(g826 +g962 +tp11496 +a(g435 +VUntilChar +p11497 +tp11498 +a(g705 +g1137 +tp11499 +a(g435 +g1773 +tp11500 +a(g412 +g984 +tp11501 +a(g826 +g962 +tp11502 +a(g435 +VBrake +p11503 +tp11504 +a(g705 +g1066 +tp11505 +a(g37 +g1337 +tp11506 +a(g705 +V]) +p11507 +tp11508 +a(g412 +g966 +tp11509 +a(g826 +V\u000a +p11510 +tp11511 +a(g744 +VExit +p11512 +tp11513 +a(g412 +g966 +tp11514 +a(g826 +g962 +tp11515 +a(g744 +Vend +p11516 +tp11517 +a(g412 +g966 +tp11518 +a(g826 +V\u000a\u000a +p11519 +tp11520 +a(g435 +g10420 +tp11521 +a(g826 +g962 +tp11522 +a(g412 +g1407 +tp11523 +a(g412 +g1007 +tp11524 +a(g826 +g962 +tp11525 +a(g435 +VPosEx +p11526 +tp11527 +a(g705 +g1137 +tp11528 +a(g435 +VBrake +p11529 +tp11530 +a(g412 +g984 +tp11531 +a(g826 +g962 +tp11532 +a(g435 +g1773 +tp11533 +a(g705 +g1141 +tp11534 +a(g412 +g966 +tp11535 +a(g826 +V\u000a\u000a +p11536 +tp11537 +a(g744 +Vif +p11538 +tp11539 +a(g826 +g962 +tp11540 +a(g435 +g10420 +tp11541 +a(g826 +g962 +tp11542 +a(g412 +g1419 +tp11543 +a(g826 +g962 +tp11544 +a(g37 +g1202 +tp11545 +a(g826 +g962 +tp11546 +a(g744 +Vthen +p11547 +tp11548 +a(g826 +V\u000a +p11549 +tp11550 +a(g693 +VResult +p11551 +tp11552 +a(g826 +g962 +tp11553 +a(g412 +g1407 +tp11554 +a(g412 +g1007 +tp11555 +a(g826 +g962 +tp11556 +a(g681 +VCopy +p11557 +tp11558 +a(g705 +g1137 +tp11559 +a(g435 +g1773 +tp11560 +a(g412 +g984 +tp11561 +a(g826 +g962 +tp11562 +a(g37 +g1337 +tp11563 +a(g412 +g984 +tp11564 +a(g826 +g962 +tp11565 +a(g435 +g10420 +tp11566 +a(g826 +g962 +tp11567 +a(g412 +g1109 +tp11568 +a(g826 +g962 +tp11569 +a(g37 +g1337 +tp11570 +a(g705 +g1141 +tp11571 +a(g826 +V\u000a +p11572 +tp11573 +a(g744 +Velse +p11574 +tp11575 +a(g826 +V\u000a +p11576 +tp11577 +a(g693 +VResult +p11578 +tp11579 +a(g826 +g962 +tp11580 +a(g412 +g1407 +tp11581 +a(g412 +g1007 +tp11582 +a(g826 +g962 +tp11583 +a(g435 +g1773 +tp11584 +a(g412 +g966 +tp11585 +a(g826 +V\u000a +p11586 +tp11587 +a(g744 +Vend +p11588 +tp11589 +a(g412 +g966 +tp11590 +a(g826 +V\u000a\u000a +p11591 +tp11592 +a(g744 +Vfunction +p11593 +tp11594 +a(g826 +g962 +tp11595 +a(g573 +VFromStr +p11596 +tp11597 +a(g705 +g1137 +tp11598 +a(g744 +Vconst +p11599 +tp11600 +a(g826 +g962 +tp11601 +a(g435 +g1773 +tp11602 +a(g412 +g1407 +tp11603 +a(g826 +g962 +tp11604 +a(g744 +Vstring +p11605 +tp11606 +a(g412 +g966 +tp11607 +a(g826 +g962 +tp11608 +a(g435 +VBrake +p11609 +tp11610 +a(g412 +g1407 +tp11611 +a(g826 +g962 +tp11612 +a(g744 +Vstring +p11613 +tp11614 +a(g705 +g1141 +tp11615 +a(g412 +g1407 +tp11616 +a(g826 +g962 +tp11617 +a(g744 +Vstring +p11618 +tp11619 +a(g412 +g966 +tp11620 +a(g826 +V\u000a +p11621 +tp11622 +a(g744 +Vvar +p11623 +tp11624 +a(g826 +V\u000a +p11625 +tp11626 +a(g435 +g10420 +tp11627 +a(g412 +g1407 +tp11628 +a(g826 +g962 +tp11629 +a(g748 +VInteger +p11630 +tp11631 +a(g412 +g966 +tp11632 +a(g826 +V\u000a +p11633 +tp11634 +a(g744 +Vbegin +p11635 +tp11636 +a(g826 +V\u000a +p11637 +tp11638 +a(g744 +Vif +p11639 +tp11640 +a(g826 +g962 +tp11641 +a(g681 +VLength +p11642 +tp11643 +a(g705 +g1137 +tp11644 +a(g435 +VBrake +p11645 +tp11646 +a(g705 +g1141 +tp11647 +a(g826 +g962 +tp11648 +a(g412 +g1007 +tp11649 +a(g826 +g962 +tp11650 +a(g37 +g1337 +tp11651 +a(g826 +g962 +tp11652 +a(g744 +Vthen +p11653 +tp11654 +a(g826 +g962 +tp11655 +a(g744 +Vbegin +p11656 +tp11657 +a(g826 +V\u000a +p11658 +tp11659 +a(g693 +VResult +p11660 +tp11661 +a(g826 +g962 +tp11662 +a(g412 +g1407 +tp11663 +a(g412 +g1007 +tp11664 +a(g826 +g962 +tp11665 +a(g435 +VFromChar +p11666 +tp11667 +a(g705 +g1137 +tp11668 +a(g435 +g1773 +tp11669 +a(g412 +g984 +tp11670 +a(g826 +g962 +tp11671 +a(g435 +VBrake +p11672 +tp11673 +a(g705 +g1066 +tp11674 +a(g37 +g1337 +tp11675 +a(g705 +V]) +p11676 +tp11677 +a(g412 +g966 +tp11678 +a(g826 +V\u000a +p11679 +tp11680 +a(g744 +VExit +p11681 +tp11682 +a(g412 +g966 +tp11683 +a(g826 +g962 +tp11684 +a(g744 +Vend +p11685 +tp11686 +a(g412 +g966 +tp11687 +a(g826 +V\u000a\u000a +p11688 +tp11689 +a(g693 +VResult +p11690 +tp11691 +a(g826 +g962 +tp11692 +a(g412 +g1407 +tp11693 +a(g412 +g1007 +tp11694 +a(g826 +g962 +tp11695 +a(g89 +g1101 +tp11696 +a(g89 +g1101 +tp11697 +a(g412 +g966 +tp11698 +a(g826 +V\u000a +p11699 +tp11700 +a(g435 +g10420 +tp11701 +a(g826 +g962 +tp11702 +a(g412 +g1407 +tp11703 +a(g412 +g1007 +tp11704 +a(g826 +g962 +tp11705 +a(g435 +VPosEx +p11706 +tp11707 +a(g705 +g1137 +tp11708 +a(g435 +VBrake +p11709 +tp11710 +a(g412 +g984 +tp11711 +a(g826 +g962 +tp11712 +a(g435 +g7470 +tp11713 +a(g705 +g1141 +tp11714 +a(g412 +g966 +tp11715 +a(g826 +V\u000a\u000a +p11716 +tp11717 +a(g744 +Vif +p11718 +tp11719 +a(g826 +g962 +tp11720 +a(g435 +g10420 +tp11721 +a(g826 +g962 +tp11722 +a(g412 +g1419 +tp11723 +a(g826 +g962 +tp11724 +a(g37 +g1202 +tp11725 +a(g826 +g962 +tp11726 +a(g744 +Vthen +p11727 +tp11728 +a(g826 +g962 +tp11729 +a(g744 +Vbegin +p11730 +tp11731 +a(g826 +V\u000a +p11732 +tp11733 +a(g681 +VInc +p11734 +tp11735 +a(g705 +g1137 +tp11736 +a(g435 +g10420 +tp11737 +a(g412 +g984 +tp11738 +a(g826 +g962 +tp11739 +a(g681 +VLength +p11740 +tp11741 +a(g705 +g1137 +tp11742 +a(g435 +VBrake +p11743 +tp11744 +a(g705 +V)) +p11745 +tp11746 +a(g412 +g966 +tp11747 +a(g826 +V\u000a +p11748 +tp11749 +a(g693 +VResult +p11750 +tp11751 +a(g826 +g962 +tp11752 +a(g412 +g1407 +tp11753 +a(g412 +g1007 +tp11754 +a(g826 +g962 +tp11755 +a(g681 +VCopy +p11756 +tp11757 +a(g705 +g1137 +tp11758 +a(g435 +g1773 +tp11759 +a(g412 +g984 +tp11760 +a(g826 +g962 +tp11761 +a(g435 +g10420 +tp11762 +a(g412 +g984 +tp11763 +a(g826 +g962 +tp11764 +a(g681 +VLength +p11765 +tp11766 +a(g705 +g1137 +tp11767 +a(g435 +g1773 +tp11768 +a(g705 +g1141 +tp11769 +a(g826 +g962 +tp11770 +a(g412 +g1109 +tp11771 +a(g826 +g962 +tp11772 +a(g435 +g10420 +tp11773 +a(g826 +g962 +tp11774 +a(g412 +g1223 +tp11775 +a(g826 +g962 +tp11776 +a(g37 +g1337 +tp11777 +a(g705 +g1141 +tp11778 +a(g412 +g966 +tp11779 +a(g826 +V\u000a +p11780 +tp11781 +a(g744 +Vend +p11782 +tp11783 +a(g412 +g966 +tp11784 +a(g826 +V\u000a +p11785 +tp11786 +a(g744 +Vend +p11787 +tp11788 +a(g412 +g966 +tp11789 +a(g826 +V\u000a\u000a +p11790 +tp11791 +a(g744 +Vfunction +p11792 +tp11793 +a(g826 +g962 +tp11794 +a(g573 +VStringWrap +p11795 +tp11796 +a(g705 +g1137 +tp11797 +a(g744 +Vconst +p11798 +tp11799 +a(g826 +g962 +tp11800 +a(g435 +g1773 +tp11801 +a(g412 +g1407 +tp11802 +a(g826 +g962 +tp11803 +a(g744 +Vstring +p11804 +tp11805 +a(g412 +g966 +tp11806 +a(g826 +g962 +tp11807 +a(g435 +VWidth +p11808 +tp11809 +a(g412 +g1407 +tp11810 +a(g826 +g962 +tp11811 +a(g748 +VInteger +p11812 +tp11813 +a(g412 +g966 +tp11814 +a(g826 +g962 +tp11815 +a(g744 +Vconst +p11816 +tp11817 +a(g826 +g962 +tp11818 +a(g435 +VLineEnd +p11819 +tp11820 +a(g412 +g1407 +tp11821 +a(g826 +g962 +tp11822 +a(g744 +Vstring +p11823 +tp11824 +a(g826 +g962 +tp11825 +a(g412 +g1007 +tp11826 +a(g826 +g962 +tp11827 +a(g435 +VEOL +p11828 +tp11829 +a(g705 +g1141 +tp11830 +a(g412 +g1407 +tp11831 +a(g826 +g962 +tp11832 +a(g744 +Vstring +p11833 +tp11834 +a(g412 +g966 +tp11835 +a(g826 +V\u000a +p11836 +tp11837 +a(g744 +Vvar +p11838 +tp11839 +a(g826 +V\u000a +p11840 +tp11841 +a(g435 +g4708 +tp11842 +a(g412 +g1407 +tp11843 +a(g826 +g962 +tp11844 +a(g748 +VInteger +p11845 +tp11846 +a(g412 +g966 +tp11847 +a(g826 +V\u000a +p11848 +tp11849 +a(g744 +Vbegin +p11850 +tp11851 +a(g826 +V\u000a +p11852 +tp11853 +a(g693 +VResult +p11854 +tp11855 +a(g826 +g962 +tp11856 +a(g412 +g1407 +tp11857 +a(g412 +g1007 +tp11858 +a(g826 +g962 +tp11859 +a(g89 +g1101 +tp11860 +a(g89 +g1101 +tp11861 +a(g412 +g966 +tp11862 +a(g826 +V\u000a +p11863 +tp11864 +a(g744 +Vif +p11865 +tp11866 +a(g826 +g962 +tp11867 +a(g705 +g1137 +tp11868 +a(g435 +g1773 +tp11869 +a(g826 +g962 +tp11870 +a(g412 +g1007 +tp11871 +a(g826 +g962 +tp11872 +a(g89 +g1101 +tp11873 +a(g89 +g1101 +tp11874 +a(g705 +g1141 +tp11875 +a(g826 +g962 +tp11876 +a(g744 +Vor +p11877 +tp11878 +a(g826 +g962 +tp11879 +a(g705 +g1137 +tp11880 +a(g435 +VWidth +p11881 +tp11882 +a(g826 +g962 +tp11883 +a(g412 +g1413 +tp11884 +a(g826 +g962 +tp11885 +a(g37 +g1337 +tp11886 +a(g705 +g1141 +tp11887 +a(g826 +g962 +tp11888 +a(g744 +Vthen +p11889 +tp11890 +a(g826 +V\u000a +p11891 +tp11892 +a(g744 +VExit +p11893 +tp11894 +a(g412 +g966 +tp11895 +a(g826 +V\u000a\u000a +p11896 +tp11897 +a(g435 +g4708 +tp11898 +a(g826 +g962 +tp11899 +a(g412 +g1407 +tp11900 +a(g412 +g1007 +tp11901 +a(g826 +g962 +tp11902 +a(g37 +g1337 +tp11903 +a(g412 +g966 +tp11904 +a(g826 +V\u000a +p11905 +tp11906 +a(g744 +Vwhile +p11907 +tp11908 +a(g826 +g962 +tp11909 +a(g744 +VTrue +p11910 +tp11911 +a(g826 +g962 +tp11912 +a(g744 +Vdo +p11913 +tp11914 +a(g826 +g962 +tp11915 +a(g744 +Vbegin +p11916 +tp11917 +a(g826 +V\u000a +p11918 +tp11919 +a(g693 +VResult +p11920 +tp11921 +a(g826 +g962 +tp11922 +a(g412 +g1407 +tp11923 +a(g412 +g1007 +tp11924 +a(g826 +g962 +tp11925 +a(g693 +VResult +p11926 +tp11927 +a(g826 +g962 +tp11928 +a(g412 +g1223 +tp11929 +a(g826 +g962 +tp11930 +a(g681 +VCopy +p11931 +tp11932 +a(g705 +g1137 +tp11933 +a(g435 +g1773 +tp11934 +a(g412 +g984 +tp11935 +a(g826 +g962 +tp11936 +a(g435 +g4708 +tp11937 +a(g412 +g984 +tp11938 +a(g826 +g962 +tp11939 +a(g435 +VWidth +p11940 +tp11941 +a(g705 +g1141 +tp11942 +a(g412 +g966 +tp11943 +a(g826 +V\u000a +p11944 +tp11945 +a(g681 +VInc +p11946 +tp11947 +a(g705 +g1137 +tp11948 +a(g435 +g4708 +tp11949 +a(g412 +g984 +tp11950 +a(g826 +g962 +tp11951 +a(g435 +VWidth +p11952 +tp11953 +a(g705 +g1141 +tp11954 +a(g412 +g966 +tp11955 +a(g826 +V\u000a +p11956 +tp11957 +a(g744 +Vif +p11958 +tp11959 +a(g826 +g962 +tp11960 +a(g435 +g4708 +tp11961 +a(g826 +g962 +tp11962 +a(g412 +g1413 +tp11963 +a(g412 +g1007 +tp11964 +a(g826 +g962 +tp11965 +a(g681 +VLength +p11966 +tp11967 +a(g705 +g1137 +tp11968 +a(g435 +g1773 +tp11969 +a(g705 +g1141 +tp11970 +a(g826 +g962 +tp11971 +a(g744 +Vthen +p11972 +tp11973 +a(g826 +V\u000a +p11974 +tp11975 +a(g693 +VResult +p11976 +tp11977 +a(g826 +g962 +tp11978 +a(g412 +g1407 +tp11979 +a(g412 +g1007 +tp11980 +a(g826 +g962 +tp11981 +a(g693 +VResult +p11982 +tp11983 +a(g826 +g962 +tp11984 +a(g412 +g1223 +tp11985 +a(g826 +g962 +tp11986 +a(g435 +VLineEnd +p11987 +tp11988 +a(g826 +V\u000a +p11989 +tp11990 +a(g744 +Velse +p11991 +tp11992 +a(g826 +V\u000a +p11993 +tp11994 +a(g744 +VExit +p11995 +tp11996 +a(g412 +g966 +tp11997 +a(g826 +V\u000a +p11998 +tp11999 +a(g744 +Vend +p12000 +tp12001 +a(g412 +g966 +tp12002 +a(g826 +V\u000a +p12003 +tp12004 +a(g744 +Vend +p12005 +tp12006 +a(g412 +g966 +tp12007 +a(g826 +V\u000a\u000a +p12008 +tp12009 +a(g744 +Vfunction +p12010 +tp12011 +a(g826 +g962 +tp12012 +a(g573 +VSplit +p12013 +tp12014 +a(g705 +g1137 +tp12015 +a(g744 +Vconst +p12016 +tp12017 +a(g826 +g962 +tp12018 +a(g435 +g1773 +tp12019 +a(g412 +g984 +tp12020 +a(g826 +g962 +tp12021 +a(g435 +VSeparator +p12022 +tp12023 +a(g412 +g1407 +tp12024 +a(g826 +g962 +tp12025 +a(g744 +Vstring +p12026 +tp12027 +a(g412 +g966 +tp12028 +a(g826 +g962 +tp12029 +a(g435 +VIgnoreMultiSep +p12030 +tp12031 +a(g412 +g1407 +tp12032 +a(g826 +g962 +tp12033 +a(g748 +VBoolean +p12034 +tp12035 +a(g826 +g962 +tp12036 +a(g412 +g1007 +tp12037 +a(g826 +g962 +tp12038 +a(g744 +VTrue +p12039 +tp12040 +a(g412 +g966 +tp12041 +a(g826 +V\u000a +p12042 +tp12043 +a(g435 +VMinCount +p12044 +tp12045 +a(g412 +g1407 +tp12046 +a(g826 +g962 +tp12047 +a(g748 +VInteger +p12048 +tp12049 +a(g826 +g962 +tp12050 +a(g412 +g1007 +tp12051 +a(g826 +g962 +tp12052 +a(g37 +g1202 +tp12053 +a(g705 +g1141 +tp12054 +a(g412 +g1407 +tp12055 +a(g826 +g962 +tp12056 +a(g435 +VTStrA +p12057 +tp12058 +a(g412 +g966 +tp12059 +a(g826 +V\u000a +p12060 +tp12061 +a(g744 +Vvar +p12062 +tp12063 +a(g826 +V\u000a +p12064 +tp12065 +a(g435 +g10420 +tp12066 +a(g412 +g984 +tp12067 +a(g826 +g962 +tp12068 +a(g435 +Vfin +p12069 +tp12070 +a(g412 +g984 +tp12071 +a(g826 +g962 +tp12072 +a(g435 +VSepLen +p12073 +tp12074 +a(g412 +g1407 +tp12075 +a(g826 +g962 +tp12076 +a(g748 +VInteger +p12077 +tp12078 +a(g412 +g966 +tp12079 +a(g826 +V\u000a\u000a +p12080 +tp12081 +a(g744 +Vprocedure +p12082 +tp12083 +a(g826 +g962 +tp12084 +a(g573 +VAdd +p12085 +tp12086 +a(g705 +g1137 +tp12087 +a(g744 +Vconst +p12088 +tp12089 +a(g826 +g962 +tp12090 +a(g435 +g1773 +tp12091 +a(g412 +g1407 +tp12092 +a(g826 +g962 +tp12093 +a(g744 +Vstring +p12094 +tp12095 +a(g705 +g1141 +tp12096 +a(g412 +g966 +tp12097 +a(g826 +V\u000a +p12098 +tp12099 +a(g744 +Vbegin +p12100 +tp12101 +a(g826 +V\u000a +p12102 +tp12103 +a(g744 +Vif +p12104 +tp12105 +a(g826 +g962 +tp12106 +a(g435 +VIgnoreMultiSep +p12107 +tp12108 +a(g826 +g962 +tp12109 +a(g744 +Vand +p12110 +tp12111 +a(g826 +g962 +tp12112 +a(g705 +g1137 +tp12113 +a(g435 +g1773 +tp12114 +a(g826 +g962 +tp12115 +a(g412 +g1007 +tp12116 +a(g826 +g962 +tp12117 +a(g89 +g1101 +tp12118 +a(g89 +g1101 +tp12119 +a(g705 +g1141 +tp12120 +a(g826 +g962 +tp12121 +a(g744 +Vthen +p12122 +tp12123 +a(g826 +V\u000a +p12124 +tp12125 +a(g744 +VExit +p12126 +tp12127 +a(g412 +g966 +tp12128 +a(g826 +V\u000a +p12129 +tp12130 +a(g681 +VSetLength +p12131 +tp12132 +a(g705 +g1137 +tp12133 +a(g693 +VResult +p12134 +tp12135 +a(g412 +g984 +tp12136 +a(g826 +g962 +tp12137 +a(g681 +VLength +p12138 +tp12139 +a(g705 +g1137 +tp12140 +a(g693 +VResult +p12141 +tp12142 +a(g705 +g1141 +tp12143 +a(g826 +g962 +tp12144 +a(g412 +g1223 +tp12145 +a(g826 +g962 +tp12146 +a(g37 +g1337 +tp12147 +a(g705 +g1141 +tp12148 +a(g412 +g966 +tp12149 +a(g826 +V\u000a +p12150 +tp12151 +a(g693 +VResult +p12152 +tp12153 +a(g705 +g1066 +tp12154 +a(g681 +VHigh +p12155 +tp12156 +a(g705 +g1137 +tp12157 +a(g693 +VResult +p12158 +tp12159 +a(g705 +V)] +p12160 +tp12161 +a(g826 +g962 +tp12162 +a(g412 +g1407 +tp12163 +a(g412 +g1007 +tp12164 +a(g826 +g962 +tp12165 +a(g435 +g1773 +tp12166 +a(g412 +g966 +tp12167 +a(g826 +V\u000a +p12168 +tp12169 +a(g744 +Vend +p12170 +tp12171 +a(g412 +g966 +tp12172 +a(g826 +V\u000a\u000a +p12173 +tp12174 +a(g744 +Vbegin +p12175 +tp12176 +a(g826 +V\u000a +p12177 +tp12178 +a(g744 +Vif +p12179 +tp12180 +a(g826 +g962 +tp12181 +a(g435 +g1773 +tp12182 +a(g826 +g962 +tp12183 +a(g412 +g1007 +tp12184 +a(g826 +g962 +tp12185 +a(g89 +g1101 +tp12186 +a(g89 +g1101 +tp12187 +a(g826 +g962 +tp12188 +a(g744 +Vthen +p12189 +tp12190 +a(g826 +g962 +tp12191 +a(g744 +Vbegin +p12192 +tp12193 +a(g826 +V\u000a +p12194 +tp12195 +a(g744 +Vif +p12196 +tp12197 +a(g826 +g962 +tp12198 +a(g681 +VLength +p12199 +tp12200 +a(g705 +g1137 +tp12201 +a(g693 +VResult +p12202 +tp12203 +a(g705 +g1141 +tp12204 +a(g826 +g962 +tp12205 +a(g412 +g1413 +tp12206 +a(g826 +g962 +tp12207 +a(g435 +VMinCount +p12208 +tp12209 +a(g826 +g962 +tp12210 +a(g744 +Vthen +p12211 +tp12212 +a(g826 +V\u000a +p12213 +tp12214 +a(g681 +VSetLength +p12215 +tp12216 +a(g705 +g1137 +tp12217 +a(g693 +VResult +p12218 +tp12219 +a(g412 +g984 +tp12220 +a(g826 +g962 +tp12221 +a(g435 +VMinCount +p12222 +tp12223 +a(g705 +g1141 +tp12224 +a(g412 +g966 +tp12225 +a(g826 +V\u000a +p12226 +tp12227 +a(g744 +VExit +p12228 +tp12229 +a(g412 +g966 +tp12230 +a(g826 +g962 +tp12231 +a(g744 +Vend +p12232 +tp12233 +a(g412 +g966 +tp12234 +a(g826 +V\u000a\u000a +p12235 +tp12236 +a(g693 +VResult +p12237 +tp12238 +a(g826 +g962 +tp12239 +a(g412 +g1407 +tp12240 +a(g412 +g1007 +tp12241 +a(g826 +g962 +tp12242 +a(g744 +Vnil +p12243 +tp12244 +a(g412 +g966 +tp12245 +a(g826 +V\u000a +p12246 +tp12247 +a(g435 +VSepLen +p12248 +tp12249 +a(g826 +g962 +tp12250 +a(g412 +g1407 +tp12251 +a(g412 +g1007 +tp12252 +a(g826 +g962 +tp12253 +a(g681 +VLength +p12254 +tp12255 +a(g705 +g1137 +tp12256 +a(g435 +VSeparator +p12257 +tp12258 +a(g705 +g1141 +tp12259 +a(g412 +g966 +tp12260 +a(g826 +V\u000a\u000a +p12261 +tp12262 +a(g435 +g10420 +tp12263 +a(g826 +g962 +tp12264 +a(g412 +g1407 +tp12265 +a(g412 +g1007 +tp12266 +a(g826 +g962 +tp12267 +a(g37 +g1337 +tp12268 +a(g412 +g966 +tp12269 +a(g826 +V\u000a +p12270 +tp12271 +a(g435 +Vfin +p12272 +tp12273 +a(g826 +g962 +tp12274 +a(g412 +g1407 +tp12275 +a(g412 +g1007 +tp12276 +a(g826 +g962 +tp12277 +a(g435 +VPosEx +p12278 +tp12279 +a(g705 +g1137 +tp12280 +a(g435 +VSeparator +p12281 +tp12282 +a(g412 +g984 +tp12283 +a(g826 +g962 +tp12284 +a(g435 +g1773 +tp12285 +a(g705 +g1141 +tp12286 +a(g412 +g966 +tp12287 +a(g826 +V\u000a +p12288 +tp12289 +a(g744 +Vwhile +p12290 +tp12291 +a(g826 +g962 +tp12292 +a(g435 +Vfin +p12293 +tp12294 +a(g826 +g962 +tp12295 +a(g412 +g1419 +tp12296 +a(g826 +g962 +tp12297 +a(g37 +g1202 +tp12298 +a(g826 +g962 +tp12299 +a(g744 +Vdo +p12300 +tp12301 +a(g826 +g962 +tp12302 +a(g744 +Vbegin +p12303 +tp12304 +a(g826 +V\u000a +p12305 +tp12306 +a(g435 +VAdd +p12307 +tp12308 +a(g705 +g1137 +tp12309 +a(g681 +VCopy +p12310 +tp12311 +a(g705 +g1137 +tp12312 +a(g435 +g1773 +tp12313 +a(g412 +g984 +tp12314 +a(g826 +g962 +tp12315 +a(g435 +g10420 +tp12316 +a(g412 +g984 +tp12317 +a(g826 +g962 +tp12318 +a(g435 +Vfin +p12319 +tp12320 +a(g826 +g962 +tp12321 +a(g412 +g1109 +tp12322 +a(g826 +g962 +tp12323 +a(g435 +g10420 +tp12324 +a(g705 +V)) +p12325 +tp12326 +a(g412 +g966 +tp12327 +a(g826 +V\u000a +p12328 +tp12329 +a(g435 +g10420 +tp12330 +a(g826 +g962 +tp12331 +a(g412 +g1407 +tp12332 +a(g412 +g1007 +tp12333 +a(g826 +g962 +tp12334 +a(g435 +Vfin +p12335 +tp12336 +a(g826 +g962 +tp12337 +a(g412 +g1223 +tp12338 +a(g826 +g962 +tp12339 +a(g435 +VSepLen +p12340 +tp12341 +a(g412 +g966 +tp12342 +a(g826 +V\u000a +p12343 +tp12344 +a(g435 +Vfin +p12345 +tp12346 +a(g826 +g962 +tp12347 +a(g412 +g1407 +tp12348 +a(g412 +g1007 +tp12349 +a(g826 +g962 +tp12350 +a(g435 +VPosEx +p12351 +tp12352 +a(g705 +g1137 +tp12353 +a(g435 +VSeparator +p12354 +tp12355 +a(g412 +g984 +tp12356 +a(g826 +g962 +tp12357 +a(g435 +g1773 +tp12358 +a(g412 +g984 +tp12359 +a(g826 +g962 +tp12360 +a(g435 +g10420 +tp12361 +a(g705 +g1141 +tp12362 +a(g412 +g966 +tp12363 +a(g826 +V\u000a +p12364 +tp12365 +a(g744 +Vend +p12366 +tp12367 +a(g412 +g966 +tp12368 +a(g826 +V\u000a +p12369 +tp12370 +a(g435 +VAdd +p12371 +tp12372 +a(g705 +g1137 +tp12373 +a(g681 +VCopy +p12374 +tp12375 +a(g705 +g1137 +tp12376 +a(g435 +g1773 +tp12377 +a(g412 +g984 +tp12378 +a(g826 +g962 +tp12379 +a(g435 +g10420 +tp12380 +a(g412 +g984 +tp12381 +a(g826 +g962 +tp12382 +a(g681 +VLength +p12383 +tp12384 +a(g705 +g1137 +tp12385 +a(g435 +g1773 +tp12386 +a(g705 +g1141 +tp12387 +a(g826 +g962 +tp12388 +a(g412 +g1109 +tp12389 +a(g826 +g962 +tp12390 +a(g435 +g10420 +tp12391 +a(g826 +g962 +tp12392 +a(g412 +g1223 +tp12393 +a(g826 +g962 +tp12394 +a(g37 +g1337 +tp12395 +a(g705 +V)) +p12396 +tp12397 +a(g412 +g966 +tp12398 +a(g826 +V\u000a\u000a +p12399 +tp12400 +a(g744 +Vif +p12401 +tp12402 +a(g826 +g962 +tp12403 +a(g681 +VLength +p12404 +tp12405 +a(g705 +g1137 +tp12406 +a(g693 +VResult +p12407 +tp12408 +a(g705 +g1141 +tp12409 +a(g826 +g962 +tp12410 +a(g412 +g1413 +tp12411 +a(g826 +g962 +tp12412 +a(g435 +VMinCount +p12413 +tp12414 +a(g826 +g962 +tp12415 +a(g744 +Vthen +p12416 +tp12417 +a(g826 +V\u000a +p12418 +tp12419 +a(g681 +VSetLength +p12420 +tp12421 +a(g705 +g1137 +tp12422 +a(g693 +VResult +p12423 +tp12424 +a(g412 +g984 +tp12425 +a(g826 +g962 +tp12426 +a(g435 +VMinCount +p12427 +tp12428 +a(g705 +g1141 +tp12429 +a(g412 +g966 +tp12430 +a(g826 +V\u000a +p12431 +tp12432 +a(g744 +Vend +p12433 +tp12434 +a(g412 +g966 +tp12435 +a(g826 +V\u000a\u000a +p12436 +tp12437 +a(g744 +Vprocedure +p12438 +tp12439 +a(g826 +g962 +tp12440 +a(g573 +VSplit +p12441 +tp12442 +a(g705 +g1137 +tp12443 +a(g744 +Vconst +p12444 +tp12445 +a(g826 +g962 +tp12446 +a(g435 +g1773 +tp12447 +a(g412 +g984 +tp12448 +a(g826 +g962 +tp12449 +a(g435 +VSeparator +p12450 +tp12451 +a(g412 +g1407 +tp12452 +a(g826 +g962 +tp12453 +a(g744 +Vstring +p12454 +tp12455 +a(g412 +g966 +tp12456 +a(g826 +g962 +tp12457 +a(g435 +VStrings +p12458 +tp12459 +a(g412 +g1407 +tp12460 +a(g826 +g962 +tp12461 +a(g435 +VTStrings +p12462 +tp12463 +a(g412 +g966 +tp12464 +a(g826 +V\u000a +p12465 +tp12466 +a(g435 +VIgnoreMultiSep +p12467 +tp12468 +a(g412 +g1407 +tp12469 +a(g826 +g962 +tp12470 +a(g748 +VBoolean +p12471 +tp12472 +a(g826 +g962 +tp12473 +a(g412 +g1007 +tp12474 +a(g826 +g962 +tp12475 +a(g744 +VTrue +p12476 +tp12477 +a(g705 +g1141 +tp12478 +a(g412 +g966 +tp12479 +a(g826 +V \u000a +p12480 +tp12481 +a(g744 +Vvar +p12482 +tp12483 +a(g826 +V\u000a +p12484 +tp12485 +a(g435 +g10420 +tp12486 +a(g412 +g984 +tp12487 +a(g826 +g962 +tp12488 +a(g435 +Vfin +p12489 +tp12490 +a(g412 +g984 +tp12491 +a(g826 +g962 +tp12492 +a(g435 +VSepLen +p12493 +tp12494 +a(g412 +g1407 +tp12495 +a(g826 +g962 +tp12496 +a(g748 +VInteger +p12497 +tp12498 +a(g412 +g966 +tp12499 +a(g826 +V\u000a\u000a +p12500 +tp12501 +a(g744 +Vprocedure +p12502 +tp12503 +a(g826 +g962 +tp12504 +a(g573 +VAdd +p12505 +tp12506 +a(g705 +g1137 +tp12507 +a(g744 +Vconst +p12508 +tp12509 +a(g826 +g962 +tp12510 +a(g435 +g1773 +tp12511 +a(g412 +g1407 +tp12512 +a(g826 +g962 +tp12513 +a(g744 +Vstring +p12514 +tp12515 +a(g705 +g1141 +tp12516 +a(g412 +g966 +tp12517 +a(g826 +V\u000a +p12518 +tp12519 +a(g744 +Vbegin +p12520 +tp12521 +a(g826 +V\u000a +p12522 +tp12523 +a(g744 +Vif +p12524 +tp12525 +a(g826 +g962 +tp12526 +a(g435 +VIgnoreMultiSep +p12527 +tp12528 +a(g826 +g962 +tp12529 +a(g744 +Vand +p12530 +tp12531 +a(g826 +g962 +tp12532 +a(g705 +g1137 +tp12533 +a(g435 +g1773 +tp12534 +a(g826 +g962 +tp12535 +a(g412 +g1007 +tp12536 +a(g826 +g962 +tp12537 +a(g89 +g1101 +tp12538 +a(g89 +g1101 +tp12539 +a(g705 +g1141 +tp12540 +a(g826 +g962 +tp12541 +a(g744 +Vthen +p12542 +tp12543 +a(g826 +V\u000a +p12544 +tp12545 +a(g744 +VExit +p12546 +tp12547 +a(g412 +g966 +tp12548 +a(g826 +V\u000a +p12549 +tp12550 +a(g435 +VStrings +p12551 +tp12552 +a(g412 +g1154 +tp12553 +a(g435 +VAdd +p12554 +tp12555 +a(g705 +g1137 +tp12556 +a(g435 +g1773 +tp12557 +a(g705 +g1141 +tp12558 +a(g412 +g966 +tp12559 +a(g826 +V\u000a +p12560 +tp12561 +a(g744 +Vend +p12562 +tp12563 +a(g412 +g966 +tp12564 +a(g826 +V\u000a\u000a +p12565 +tp12566 +a(g744 +Vbegin +p12567 +tp12568 +a(g826 +V\u000a +p12569 +tp12570 +a(g744 +Vif +p12571 +tp12572 +a(g826 +g962 +tp12573 +a(g435 +g1773 +tp12574 +a(g826 +g962 +tp12575 +a(g412 +g1007 +tp12576 +a(g826 +g962 +tp12577 +a(g89 +g1101 +tp12578 +a(g89 +g1101 +tp12579 +a(g826 +g962 +tp12580 +a(g744 +Vthen +p12581 +tp12582 +a(g826 +V\u000a +p12583 +tp12584 +a(g744 +VExit +p12585 +tp12586 +a(g412 +g966 +tp12587 +a(g826 +V\u000a\u000a +p12588 +tp12589 +a(g435 +VStrings +p12590 +tp12591 +a(g412 +g1154 +tp12592 +a(g435 +VBeginUpdate +p12593 +tp12594 +a(g412 +g966 +tp12595 +a(g826 +V\u000a +p12596 +tp12597 +a(g435 +VSepLen +p12598 +tp12599 +a(g826 +g962 +tp12600 +a(g412 +g1407 +tp12601 +a(g412 +g1007 +tp12602 +a(g826 +g962 +tp12603 +a(g681 +VLength +p12604 +tp12605 +a(g705 +g1137 +tp12606 +a(g435 +VSeparator +p12607 +tp12608 +a(g705 +g1141 +tp12609 +a(g412 +g966 +tp12610 +a(g826 +V\u000a +p12611 +tp12612 +a(g435 +g10420 +tp12613 +a(g826 +g962 +tp12614 +a(g412 +g1407 +tp12615 +a(g412 +g1007 +tp12616 +a(g826 +g962 +tp12617 +a(g37 +g1337 +tp12618 +a(g412 +g966 +tp12619 +a(g826 +V\u000a +p12620 +tp12621 +a(g435 +Vfin +p12622 +tp12623 +a(g826 +g962 +tp12624 +a(g412 +g1407 +tp12625 +a(g412 +g1007 +tp12626 +a(g826 +g962 +tp12627 +a(g435 +VPosEx +p12628 +tp12629 +a(g705 +g1137 +tp12630 +a(g435 +VSeparator +p12631 +tp12632 +a(g412 +g984 +tp12633 +a(g826 +g962 +tp12634 +a(g435 +g1773 +tp12635 +a(g705 +g1141 +tp12636 +a(g412 +g966 +tp12637 +a(g826 +V\u000a +p12638 +tp12639 +a(g744 +Vwhile +p12640 +tp12641 +a(g826 +g962 +tp12642 +a(g435 +Vfin +p12643 +tp12644 +a(g826 +g962 +tp12645 +a(g412 +g1419 +tp12646 +a(g826 +g962 +tp12647 +a(g37 +g1202 +tp12648 +a(g826 +g962 +tp12649 +a(g744 +Vdo +p12650 +tp12651 +a(g826 +g962 +tp12652 +a(g744 +Vbegin +p12653 +tp12654 +a(g826 +V\u000a +p12655 +tp12656 +a(g435 +VAdd +p12657 +tp12658 +a(g705 +g1137 +tp12659 +a(g681 +VCopy +p12660 +tp12661 +a(g705 +g1137 +tp12662 +a(g435 +g1773 +tp12663 +a(g412 +g984 +tp12664 +a(g826 +g962 +tp12665 +a(g435 +g10420 +tp12666 +a(g412 +g984 +tp12667 +a(g826 +g962 +tp12668 +a(g435 +Vfin +p12669 +tp12670 +a(g826 +g962 +tp12671 +a(g412 +g1109 +tp12672 +a(g826 +g962 +tp12673 +a(g435 +g10420 +tp12674 +a(g705 +V)) +p12675 +tp12676 +a(g412 +g966 +tp12677 +a(g826 +V\u000a +p12678 +tp12679 +a(g435 +g10420 +tp12680 +a(g826 +g962 +tp12681 +a(g412 +g1407 +tp12682 +a(g412 +g1007 +tp12683 +a(g826 +g962 +tp12684 +a(g435 +Vfin +p12685 +tp12686 +a(g826 +g962 +tp12687 +a(g412 +g1223 +tp12688 +a(g826 +g962 +tp12689 +a(g435 +VSepLen +p12690 +tp12691 +a(g412 +g966 +tp12692 +a(g826 +V\u000a +p12693 +tp12694 +a(g435 +Vfin +p12695 +tp12696 +a(g826 +g962 +tp12697 +a(g412 +g1407 +tp12698 +a(g412 +g1007 +tp12699 +a(g826 +g962 +tp12700 +a(g435 +VPosEx +p12701 +tp12702 +a(g705 +g1137 +tp12703 +a(g435 +VSeparator +p12704 +tp12705 +a(g412 +g984 +tp12706 +a(g826 +g962 +tp12707 +a(g435 +g1773 +tp12708 +a(g412 +g984 +tp12709 +a(g826 +g962 +tp12710 +a(g435 +g10420 +tp12711 +a(g705 +g1141 +tp12712 +a(g412 +g966 +tp12713 +a(g826 +V\u000a +p12714 +tp12715 +a(g744 +Vend +p12716 +tp12717 +a(g412 +g966 +tp12718 +a(g826 +V\u000a +p12719 +tp12720 +a(g435 +VAdd +p12721 +tp12722 +a(g705 +g1137 +tp12723 +a(g681 +VCopy +p12724 +tp12725 +a(g705 +g1137 +tp12726 +a(g435 +g1773 +tp12727 +a(g412 +g984 +tp12728 +a(g826 +g962 +tp12729 +a(g435 +g10420 +tp12730 +a(g412 +g984 +tp12731 +a(g826 +g962 +tp12732 +a(g681 +VLength +p12733 +tp12734 +a(g705 +g1137 +tp12735 +a(g435 +g1773 +tp12736 +a(g705 +g1141 +tp12737 +a(g826 +g962 +tp12738 +a(g412 +g1109 +tp12739 +a(g826 +g962 +tp12740 +a(g435 +g10420 +tp12741 +a(g826 +g962 +tp12742 +a(g412 +g1223 +tp12743 +a(g826 +g962 +tp12744 +a(g37 +g1337 +tp12745 +a(g705 +V)) +p12746 +tp12747 +a(g412 +g966 +tp12748 +a(g826 +V\u000a +p12749 +tp12750 +a(g435 +VStrings +p12751 +tp12752 +a(g412 +g1154 +tp12753 +a(g435 +VEndUpdate +p12754 +tp12755 +a(g412 +g966 +tp12756 +a(g826 +V\u000a +p12757 +tp12758 +a(g744 +Vend +p12759 +tp12760 +a(g412 +g966 +tp12761 +a(g826 +V\u000a\u000a +p12762 +tp12763 +a(g744 +Vfunction +p12764 +tp12765 +a(g826 +g962 +tp12766 +a(g573 +VSplit +p12767 +tp12768 +a(g705 +g1137 +tp12769 +a(g744 +Vconst +p12770 +tp12771 +a(g826 +g962 +tp12772 +a(g435 +g1773 +tp12773 +a(g412 +g1407 +tp12774 +a(g826 +g962 +tp12775 +a(g744 +Vstring +p12776 +tp12777 +a(g412 +g966 +tp12778 +a(g826 +g962 +tp12779 +a(g435 +VSeparators +p12780 +tp12781 +a(g412 +g1407 +tp12782 +a(g826 +g962 +tp12783 +a(g435 +VTCharSet +p12784 +tp12785 +a(g412 +g966 +tp12786 +a(g826 +V\u000a +p12787 +tp12788 +a(g435 +VIgnoreMultiSep +p12789 +tp12790 +a(g412 +g1407 +tp12791 +a(g826 +g962 +tp12792 +a(g748 +VBoolean +p12793 +tp12794 +a(g826 +g962 +tp12795 +a(g412 +g1007 +tp12796 +a(g826 +g962 +tp12797 +a(g744 +VTrue +p12798 +tp12799 +a(g412 +g966 +tp12800 +a(g826 +g962 +tp12801 +a(g435 +VMinCount +p12802 +tp12803 +a(g412 +g1407 +tp12804 +a(g826 +g962 +tp12805 +a(g748 +VInteger +p12806 +tp12807 +a(g826 +g962 +tp12808 +a(g412 +g1007 +tp12809 +a(g826 +g962 +tp12810 +a(g37 +g1202 +tp12811 +a(g705 +g1141 +tp12812 +a(g412 +g1407 +tp12813 +a(g826 +g962 +tp12814 +a(g435 +VTStrA +p12815 +tp12816 +a(g412 +g966 +tp12817 +a(g826 +V\u000a +p12818 +tp12819 +a(g744 +Vvar +p12820 +tp12821 +a(g826 +V\u000a +p12822 +tp12823 +a(g435 +g10420 +tp12824 +a(g412 +g984 +tp12825 +a(g826 +g962 +tp12826 +a(g435 +Vfin +p12827 +tp12828 +a(g412 +g1407 +tp12829 +a(g826 +g962 +tp12830 +a(g748 +VInteger +p12831 +tp12832 +a(g412 +g966 +tp12833 +a(g826 +V\u000a\u000a +p12834 +tp12835 +a(g744 +Vprocedure +p12836 +tp12837 +a(g826 +g962 +tp12838 +a(g573 +VAdd +p12839 +tp12840 +a(g705 +g1137 +tp12841 +a(g744 +Vconst +p12842 +tp12843 +a(g826 +g962 +tp12844 +a(g435 +g1773 +tp12845 +a(g412 +g1407 +tp12846 +a(g826 +g962 +tp12847 +a(g744 +Vstring +p12848 +tp12849 +a(g705 +g1141 +tp12850 +a(g412 +g966 +tp12851 +a(g826 +V\u000a +p12852 +tp12853 +a(g744 +Vbegin +p12854 +tp12855 +a(g826 +V\u000a +p12856 +tp12857 +a(g744 +Vif +p12858 +tp12859 +a(g826 +g962 +tp12860 +a(g435 +VIgnoreMultiSep +p12861 +tp12862 +a(g826 +g962 +tp12863 +a(g744 +Vand +p12864 +tp12865 +a(g826 +g962 +tp12866 +a(g705 +g1137 +tp12867 +a(g435 +g1773 +tp12868 +a(g826 +g962 +tp12869 +a(g412 +g1007 +tp12870 +a(g826 +g962 +tp12871 +a(g89 +g1101 +tp12872 +a(g89 +g1101 +tp12873 +a(g705 +g1141 +tp12874 +a(g826 +g962 +tp12875 +a(g744 +Vthen +p12876 +tp12877 +a(g826 +V\u000a +p12878 +tp12879 +a(g744 +VExit +p12880 +tp12881 +a(g412 +g966 +tp12882 +a(g826 +V\u000a +p12883 +tp12884 +a(g681 +VSetLength +p12885 +tp12886 +a(g705 +g1137 +tp12887 +a(g693 +VResult +p12888 +tp12889 +a(g412 +g984 +tp12890 +a(g826 +g962 +tp12891 +a(g681 +VLength +p12892 +tp12893 +a(g705 +g1137 +tp12894 +a(g693 +VResult +p12895 +tp12896 +a(g705 +g1141 +tp12897 +a(g826 +g962 +tp12898 +a(g412 +g1223 +tp12899 +a(g826 +g962 +tp12900 +a(g37 +g1337 +tp12901 +a(g705 +g1141 +tp12902 +a(g412 +g966 +tp12903 +a(g826 +V\u000a +p12904 +tp12905 +a(g693 +VResult +p12906 +tp12907 +a(g705 +g1066 +tp12908 +a(g681 +VHigh +p12909 +tp12910 +a(g705 +g1137 +tp12911 +a(g693 +VResult +p12912 +tp12913 +a(g705 +V)] +p12914 +tp12915 +a(g826 +g962 +tp12916 +a(g412 +g1407 +tp12917 +a(g412 +g1007 +tp12918 +a(g826 +g962 +tp12919 +a(g435 +g1773 +tp12920 +a(g412 +g966 +tp12921 +a(g826 +V\u000a +p12922 +tp12923 +a(g744 +Vend +p12924 +tp12925 +a(g412 +g966 +tp12926 +a(g826 +V\u000a\u000a +p12927 +tp12928 +a(g744 +Vbegin +p12929 +tp12930 +a(g826 +V\u000a +p12931 +tp12932 +a(g744 +Vif +p12933 +tp12934 +a(g826 +g962 +tp12935 +a(g435 +g1773 +tp12936 +a(g826 +g962 +tp12937 +a(g412 +g1007 +tp12938 +a(g826 +g962 +tp12939 +a(g89 +g1101 +tp12940 +a(g89 +g1101 +tp12941 +a(g826 +g962 +tp12942 +a(g744 +Vthen +p12943 +tp12944 +a(g826 +g962 +tp12945 +a(g744 +Vbegin +p12946 +tp12947 +a(g826 +V\u000a +p12948 +tp12949 +a(g744 +Vif +p12950 +tp12951 +a(g826 +g962 +tp12952 +a(g681 +VLength +p12953 +tp12954 +a(g705 +g1137 +tp12955 +a(g693 +VResult +p12956 +tp12957 +a(g705 +g1141 +tp12958 +a(g826 +g962 +tp12959 +a(g412 +g1413 +tp12960 +a(g826 +g962 +tp12961 +a(g435 +VMinCount +p12962 +tp12963 +a(g826 +g962 +tp12964 +a(g744 +Vthen +p12965 +tp12966 +a(g826 +V\u000a +p12967 +tp12968 +a(g681 +VSetLength +p12969 +tp12970 +a(g705 +g1137 +tp12971 +a(g693 +VResult +p12972 +tp12973 +a(g412 +g984 +tp12974 +a(g826 +g962 +tp12975 +a(g435 +VMinCount +p12976 +tp12977 +a(g705 +g1141 +tp12978 +a(g412 +g966 +tp12979 +a(g826 +V\u000a +p12980 +tp12981 +a(g744 +VExit +p12982 +tp12983 +a(g412 +g966 +tp12984 +a(g826 +g962 +tp12985 +a(g744 +Vend +p12986 +tp12987 +a(g412 +g966 +tp12988 +a(g826 +V\u000a\u000a +p12989 +tp12990 +a(g693 +VResult +p12991 +tp12992 +a(g826 +g962 +tp12993 +a(g412 +g1407 +tp12994 +a(g412 +g1007 +tp12995 +a(g826 +g962 +tp12996 +a(g744 +Vnil +p12997 +tp12998 +a(g412 +g966 +tp12999 +a(g826 +V\u000a\u000a +p13000 +tp13001 +a(g435 +g10420 +tp13002 +a(g826 +g962 +tp13003 +a(g412 +g1407 +tp13004 +a(g412 +g1007 +tp13005 +a(g826 +g962 +tp13006 +a(g37 +g1337 +tp13007 +a(g412 +g966 +tp13008 +a(g826 +V\u000a +p13009 +tp13010 +a(g435 +Vfin +p13011 +tp13012 +a(g826 +g962 +tp13013 +a(g412 +g1407 +tp13014 +a(g412 +g1007 +tp13015 +a(g826 +g962 +tp13016 +a(g435 +VCharPos +p13017 +tp13018 +a(g705 +g1137 +tp13019 +a(g435 +VSeparators +p13020 +tp13021 +a(g412 +g984 +tp13022 +a(g826 +g962 +tp13023 +a(g435 +g1773 +tp13024 +a(g705 +g1141 +tp13025 +a(g412 +g966 +tp13026 +a(g826 +V\u000a +p13027 +tp13028 +a(g744 +Vwhile +p13029 +tp13030 +a(g826 +g962 +tp13031 +a(g435 +Vfin +p13032 +tp13033 +a(g826 +g962 +tp13034 +a(g412 +g1419 +tp13035 +a(g826 +g962 +tp13036 +a(g37 +g1202 +tp13037 +a(g826 +g962 +tp13038 +a(g744 +Vdo +p13039 +tp13040 +a(g826 +g962 +tp13041 +a(g744 +Vbegin +p13042 +tp13043 +a(g826 +V\u000a +p13044 +tp13045 +a(g435 +VAdd +p13046 +tp13047 +a(g705 +g1137 +tp13048 +a(g681 +VCopy +p13049 +tp13050 +a(g705 +g1137 +tp13051 +a(g435 +g1773 +tp13052 +a(g412 +g984 +tp13053 +a(g826 +g962 +tp13054 +a(g435 +g10420 +tp13055 +a(g412 +g984 +tp13056 +a(g826 +g962 +tp13057 +a(g435 +Vfin +p13058 +tp13059 +a(g826 +g962 +tp13060 +a(g412 +g1109 +tp13061 +a(g826 +g962 +tp13062 +a(g435 +g10420 +tp13063 +a(g705 +V)) +p13064 +tp13065 +a(g412 +g966 +tp13066 +a(g826 +V\u000a +p13067 +tp13068 +a(g435 +g10420 +tp13069 +a(g826 +g962 +tp13070 +a(g412 +g1407 +tp13071 +a(g412 +g1007 +tp13072 +a(g826 +g962 +tp13073 +a(g435 +Vfin +p13074 +tp13075 +a(g826 +g962 +tp13076 +a(g412 +g1223 +tp13077 +a(g826 +g962 +tp13078 +a(g37 +g1337 +tp13079 +a(g412 +g966 +tp13080 +a(g826 +V\u000a +p13081 +tp13082 +a(g435 +Vfin +p13083 +tp13084 +a(g826 +g962 +tp13085 +a(g412 +g1407 +tp13086 +a(g412 +g1007 +tp13087 +a(g826 +g962 +tp13088 +a(g435 +VCharPos +p13089 +tp13090 +a(g705 +g1137 +tp13091 +a(g435 +VSeparators +p13092 +tp13093 +a(g412 +g984 +tp13094 +a(g826 +g962 +tp13095 +a(g435 +g1773 +tp13096 +a(g412 +g984 +tp13097 +a(g826 +g962 +tp13098 +a(g435 +g10420 +tp13099 +a(g705 +g1141 +tp13100 +a(g412 +g966 +tp13101 +a(g826 +V\u000a +p13102 +tp13103 +a(g744 +Vend +p13104 +tp13105 +a(g412 +g966 +tp13106 +a(g826 +V\u000a +p13107 +tp13108 +a(g435 +VAdd +p13109 +tp13110 +a(g705 +g1137 +tp13111 +a(g681 +VCopy +p13112 +tp13113 +a(g705 +g1137 +tp13114 +a(g435 +g1773 +tp13115 +a(g412 +g984 +tp13116 +a(g826 +g962 +tp13117 +a(g435 +g10420 +tp13118 +a(g412 +g984 +tp13119 +a(g826 +g962 +tp13120 +a(g681 +VLength +p13121 +tp13122 +a(g705 +g1137 +tp13123 +a(g435 +g1773 +tp13124 +a(g705 +g1141 +tp13125 +a(g826 +g962 +tp13126 +a(g412 +g1109 +tp13127 +a(g826 +g962 +tp13128 +a(g435 +g10420 +tp13129 +a(g826 +g962 +tp13130 +a(g412 +g1223 +tp13131 +a(g826 +g962 +tp13132 +a(g37 +g1337 +tp13133 +a(g705 +V)) +p13134 +tp13135 +a(g412 +g966 +tp13136 +a(g826 +V\u000a\u000a +p13137 +tp13138 +a(g744 +Vif +p13139 +tp13140 +a(g826 +g962 +tp13141 +a(g681 +VLength +p13142 +tp13143 +a(g705 +g1137 +tp13144 +a(g693 +VResult +p13145 +tp13146 +a(g705 +g1141 +tp13147 +a(g826 +g962 +tp13148 +a(g412 +g1413 +tp13149 +a(g826 +g962 +tp13150 +a(g435 +VMinCount +p13151 +tp13152 +a(g826 +g962 +tp13153 +a(g744 +Vthen +p13154 +tp13155 +a(g826 +V\u000a +p13156 +tp13157 +a(g681 +VSetLength +p13158 +tp13159 +a(g705 +g1137 +tp13160 +a(g693 +VResult +p13161 +tp13162 +a(g412 +g984 +tp13163 +a(g826 +g962 +tp13164 +a(g435 +VMinCount +p13165 +tp13166 +a(g705 +g1141 +tp13167 +a(g412 +g966 +tp13168 +a(g826 +V\u000a +p13169 +tp13170 +a(g744 +Vend +p13171 +tp13172 +a(g412 +g966 +tp13173 +a(g826 +V\u000a\u000a +p13174 +tp13175 +a(g744 +Vprocedure +p13176 +tp13177 +a(g826 +g962 +tp13178 +a(g573 +VTileStr +p13179 +tp13180 +a(g705 +g1137 +tp13181 +a(g744 +Vconst +p13182 +tp13183 +a(g826 +g962 +tp13184 +a(g435 +g1773 +tp13185 +a(g412 +g1407 +tp13186 +a(g826 +g962 +tp13187 +a(g744 +Vstring +p13188 +tp13189 +a(g412 +g966 +tp13190 +a(g826 +g962 +tp13191 +a(g435 +VBrakeStart +p13192 +tp13193 +a(g412 +g1407 +tp13194 +a(g826 +g962 +tp13195 +a(g748 +VInteger +p13196 +tp13197 +a(g412 +g966 +tp13198 +a(g826 +g962 +tp13199 +a(g435 +VBrakeEnd +p13200 +tp13201 +a(g412 +g1407 +tp13202 +a(g826 +g962 +tp13203 +a(g748 +VInteger +p13204 +tp13205 +a(g412 +g966 +tp13206 +a(g826 +V\u000a +p13207 +tp13208 +a(g435 +Vout +p13209 +tp13210 +a(g826 +g962 +tp13211 +a(g435 +VLeft +p13212 +tp13213 +a(g412 +g984 +tp13214 +a(g826 +g962 +tp13215 +a(g435 +VRight +p13216 +tp13217 +a(g412 +g1407 +tp13218 +a(g826 +g962 +tp13219 +a(g744 +Vstring +p13220 +tp13221 +a(g705 +g1141 +tp13222 +a(g412 +g966 +tp13223 +a(g826 +V\u000a +p13224 +tp13225 +a(g744 +Vbegin +p13226 +tp13227 +a(g826 +V\u000a +p13228 +tp13229 +a(g435 +VLeft +p13230 +tp13231 +a(g826 +g962 +tp13232 +a(g412 +g1407 +tp13233 +a(g412 +g1007 +tp13234 +a(g826 +g962 +tp13235 +a(g681 +VCopy +p13236 +tp13237 +a(g705 +g1137 +tp13238 +a(g435 +g1773 +tp13239 +a(g412 +g984 +tp13240 +a(g826 +g962 +tp13241 +a(g37 +g1337 +tp13242 +a(g412 +g984 +tp13243 +a(g826 +g962 +tp13244 +a(g435 +VBrakeStart +p13245 +tp13246 +a(g412 +g1109 +tp13247 +a(g37 +g1337 +tp13248 +a(g705 +g1141 +tp13249 +a(g412 +g966 +tp13250 +a(g826 +V\u000a +p13251 +tp13252 +a(g435 +VRight +p13253 +tp13254 +a(g826 +g962 +tp13255 +a(g412 +g1407 +tp13256 +a(g412 +g1007 +tp13257 +a(g826 +g962 +tp13258 +a(g681 +VCopy +p13259 +tp13260 +a(g705 +g1137 +tp13261 +a(g435 +g1773 +tp13262 +a(g412 +g984 +tp13263 +a(g826 +g962 +tp13264 +a(g435 +VBrakeEnd +p13265 +tp13266 +a(g826 +g962 +tp13267 +a(g412 +g1223 +tp13268 +a(g826 +g962 +tp13269 +a(g37 +g1337 +tp13270 +a(g412 +g984 +tp13271 +a(g826 +g962 +tp13272 +a(g435 +VMaxInt +p13273 +tp13274 +a(g705 +g1141 +tp13275 +a(g412 +g966 +tp13276 +a(g826 +V\u000a +p13277 +tp13278 +a(g744 +Vend +p13279 +tp13280 +a(g412 +g966 +tp13281 +a(g826 +V\u000a\u000a +p13282 +tp13283 +a(g744 +Vfunction +p13284 +tp13285 +a(g826 +g962 +tp13286 +a(g573 +VJoin +p13287 +tp13288 +a(g705 +g1137 +tp13289 +a(g435 +VStrings +p13290 +tp13291 +a(g412 +g1407 +tp13292 +a(g826 +g962 +tp13293 +a(g435 +VTStrings +p13294 +tp13295 +a(g412 +g966 +tp13296 +a(g826 +g962 +tp13297 +a(g435 +VSeparator +p13298 +tp13299 +a(g412 +g1407 +tp13300 +a(g826 +g962 +tp13301 +a(g744 +Vstring +p13302 +tp13303 +a(g826 +g962 +tp13304 +a(g412 +g1007 +tp13305 +a(g826 +g962 +tp13306 +a(g89 +g1101 +tp13307 +a(g89 +g962 +tp13308 +a(g89 +g1101 +tp13309 +a(g705 +g1141 +tp13310 +a(g412 +g1407 +tp13311 +a(g826 +g962 +tp13312 +a(g744 +Vstring +p13313 +tp13314 +a(g412 +g966 +tp13315 +a(g826 +V\u000a +p13316 +tp13317 +a(g744 +Vvar +p13318 +tp13319 +a(g826 +V\u000a +p13320 +tp13321 +a(g435 +g4708 +tp13322 +a(g412 +g984 +tp13323 +a(g826 +g962 +tp13324 +a(g435 +Vimax +p13325 +tp13326 +a(g412 +g1407 +tp13327 +a(g826 +g962 +tp13328 +a(g748 +VInteger +p13329 +tp13330 +a(g412 +g966 +tp13331 +a(g826 +V\u000a +p13332 +tp13333 +a(g744 +Vbegin +p13334 +tp13335 +a(g826 +V\u000a +p13336 +tp13337 +a(g693 +VResult +p13338 +tp13339 +a(g826 +g962 +tp13340 +a(g412 +g1407 +tp13341 +a(g412 +g1007 +tp13342 +a(g826 +g962 +tp13343 +a(g89 +g1101 +tp13344 +a(g89 +g1101 +tp13345 +a(g412 +g966 +tp13346 +a(g826 +V\u000a +p13347 +tp13348 +a(g435 +Vimax +p13349 +tp13350 +a(g826 +g962 +tp13351 +a(g412 +g1407 +tp13352 +a(g412 +g1007 +tp13353 +a(g826 +g962 +tp13354 +a(g435 +VStrings +p13355 +tp13356 +a(g412 +g1154 +tp13357 +a(g435 +VCount +p13358 +tp13359 +a(g412 +g1109 +tp13360 +a(g37 +g1337 +tp13361 +a(g412 +g966 +tp13362 +a(g826 +V\u000a +p13363 +tp13364 +a(g744 +Vfor +p13365 +tp13366 +a(g826 +g962 +tp13367 +a(g435 +g4708 +tp13368 +a(g826 +g962 +tp13369 +a(g412 +g1407 +tp13370 +a(g412 +g1007 +tp13371 +a(g826 +g962 +tp13372 +a(g37 +g1202 +tp13373 +a(g826 +g962 +tp13374 +a(g744 +Vto +p13375 +tp13376 +a(g826 +g962 +tp13377 +a(g435 +Vimax +p13378 +tp13379 +a(g826 +g962 +tp13380 +a(g744 +Vdo +p13381 +tp13382 +a(g826 +g962 +tp13383 +a(g744 +Vbegin +p13384 +tp13385 +a(g826 +V\u000a +p13386 +tp13387 +a(g693 +VResult +p13388 +tp13389 +a(g826 +g962 +tp13390 +a(g412 +g1407 +tp13391 +a(g412 +g1007 +tp13392 +a(g826 +g962 +tp13393 +a(g693 +VResult +p13394 +tp13395 +a(g826 +g962 +tp13396 +a(g412 +g1223 +tp13397 +a(g826 +g962 +tp13398 +a(g435 +VStrings +p13399 +tp13400 +a(g705 +g1066 +tp13401 +a(g435 +g4708 +tp13402 +a(g705 +g1074 +tp13403 +a(g412 +g966 +tp13404 +a(g826 +V\u000a +p13405 +tp13406 +a(g744 +Vif +p13407 +tp13408 +a(g826 +g962 +tp13409 +a(g435 +g4708 +tp13410 +a(g826 +g962 +tp13411 +a(g412 +g1413 +tp13412 +a(g826 +g962 +tp13413 +a(g435 +Vimax +p13414 +tp13415 +a(g826 +g962 +tp13416 +a(g744 +Vthen +p13417 +tp13418 +a(g826 +V\u000a +p13419 +tp13420 +a(g693 +VResult +p13421 +tp13422 +a(g826 +g962 +tp13423 +a(g412 +g1407 +tp13424 +a(g412 +g1007 +tp13425 +a(g826 +g962 +tp13426 +a(g693 +VResult +p13427 +tp13428 +a(g826 +g962 +tp13429 +a(g412 +g1223 +tp13430 +a(g826 +g962 +tp13431 +a(g435 +VSeparator +p13432 +tp13433 +a(g412 +g966 +tp13434 +a(g826 +V\u000a +p13435 +tp13436 +a(g744 +Vend +p13437 +tp13438 +a(g412 +g966 +tp13439 +a(g826 +V\u000a +p13440 +tp13441 +a(g744 +Vend +p13442 +tp13443 +a(g412 +g966 +tp13444 +a(g826 +V\u000a\u000a +p13445 +tp13446 +a(g744 +Vfunction +p13447 +tp13448 +a(g826 +g962 +tp13449 +a(g573 +VJoin +p13450 +tp13451 +a(g705 +g1137 +tp13452 +a(g435 +VStrA +p13453 +tp13454 +a(g412 +g1407 +tp13455 +a(g826 +g962 +tp13456 +a(g435 +VTStrA +p13457 +tp13458 +a(g412 +g966 +tp13459 +a(g826 +g962 +tp13460 +a(g435 +VSeparator +p13461 +tp13462 +a(g412 +g1407 +tp13463 +a(g826 +g962 +tp13464 +a(g744 +Vstring +p13465 +tp13466 +a(g826 +g962 +tp13467 +a(g412 +g1007 +tp13468 +a(g826 +g962 +tp13469 +a(g89 +g1101 +tp13470 +a(g89 +g962 +tp13471 +a(g89 +g1101 +tp13472 +a(g705 +g1141 +tp13473 +a(g412 +g1407 +tp13474 +a(g826 +g962 +tp13475 +a(g744 +Vstring +p13476 +tp13477 +a(g412 +g966 +tp13478 +a(g826 +g962 +tp13479 +a(g435 +Voverload +p13480 +tp13481 +a(g412 +g966 +tp13482 +a(g826 +V\u000a +p13483 +tp13484 +a(g744 +Vvar +p13485 +tp13486 +a(g826 +V\u000a +p13487 +tp13488 +a(g435 +g4708 +tp13489 +a(g412 +g1407 +tp13490 +a(g826 +g962 +tp13491 +a(g748 +VInteger +p13492 +tp13493 +a(g412 +g966 +tp13494 +a(g826 +V\u000a +p13495 +tp13496 +a(g744 +Vbegin +p13497 +tp13498 +a(g826 +V\u000a +p13499 +tp13500 +a(g693 +VResult +p13501 +tp13502 +a(g826 +g962 +tp13503 +a(g412 +g1407 +tp13504 +a(g412 +g1007 +tp13505 +a(g826 +g962 +tp13506 +a(g89 +g1101 +tp13507 +a(g89 +g1101 +tp13508 +a(g412 +g966 +tp13509 +a(g826 +V\u000a +p13510 +tp13511 +a(g744 +Vfor +p13512 +tp13513 +a(g826 +g962 +tp13514 +a(g435 +g4708 +tp13515 +a(g826 +g962 +tp13516 +a(g412 +g1407 +tp13517 +a(g412 +g1007 +tp13518 +a(g826 +g962 +tp13519 +a(g37 +g1202 +tp13520 +a(g826 +g962 +tp13521 +a(g744 +Vto +p13522 +tp13523 +a(g826 +g962 +tp13524 +a(g681 +VHigh +p13525 +tp13526 +a(g705 +g1137 +tp13527 +a(g435 +VStrA +p13528 +tp13529 +a(g705 +g1141 +tp13530 +a(g826 +g962 +tp13531 +a(g744 +Vdo +p13532 +tp13533 +a(g826 +g962 +tp13534 +a(g744 +Vbegin +p13535 +tp13536 +a(g826 +V\u000a +p13537 +tp13538 +a(g693 +VResult +p13539 +tp13540 +a(g826 +g962 +tp13541 +a(g412 +g1407 +tp13542 +a(g412 +g1007 +tp13543 +a(g826 +g962 +tp13544 +a(g693 +VResult +p13545 +tp13546 +a(g826 +g962 +tp13547 +a(g412 +g1223 +tp13548 +a(g826 +g962 +tp13549 +a(g435 +VStrA +p13550 +tp13551 +a(g705 +g1066 +tp13552 +a(g435 +g4708 +tp13553 +a(g705 +g1074 +tp13554 +a(g412 +g966 +tp13555 +a(g826 +V\u000a +p13556 +tp13557 +a(g744 +Vif +p13558 +tp13559 +a(g826 +g962 +tp13560 +a(g435 +g4708 +tp13561 +a(g826 +g962 +tp13562 +a(g412 +g1413 +tp13563 +a(g826 +g962 +tp13564 +a(g681 +VHigh +p13565 +tp13566 +a(g705 +g1137 +tp13567 +a(g435 +VStrA +p13568 +tp13569 +a(g705 +g1141 +tp13570 +a(g826 +g962 +tp13571 +a(g744 +Vthen +p13572 +tp13573 +a(g826 +V\u000a +p13574 +tp13575 +a(g693 +VResult +p13576 +tp13577 +a(g826 +g962 +tp13578 +a(g412 +g1407 +tp13579 +a(g412 +g1007 +tp13580 +a(g826 +g962 +tp13581 +a(g693 +VResult +p13582 +tp13583 +a(g826 +g962 +tp13584 +a(g412 +g1223 +tp13585 +a(g826 +g962 +tp13586 +a(g435 +VSeparator +p13587 +tp13588 +a(g412 +g966 +tp13589 +a(g826 +V\u000a +p13590 +tp13591 +a(g744 +Vend +p13592 +tp13593 +a(g412 +g966 +tp13594 +a(g826 +V\u000a +p13595 +tp13596 +a(g744 +Vend +p13597 +tp13598 +a(g412 +g966 +tp13599 +a(g826 +V\u000a\u000a +p13600 +tp13601 +a(g744 +Vfunction +p13602 +tp13603 +a(g826 +g962 +tp13604 +a(g573 +VMulStr +p13605 +tp13606 +a(g705 +g1137 +tp13607 +a(g744 +Vconst +p13608 +tp13609 +a(g826 +g962 +tp13610 +a(g435 +g1773 +tp13611 +a(g412 +g1407 +tp13612 +a(g826 +g962 +tp13613 +a(g744 +Vstring +p13614 +tp13615 +a(g412 +g966 +tp13616 +a(g826 +g962 +tp13617 +a(g435 +VCount +p13618 +tp13619 +a(g412 +g1407 +tp13620 +a(g826 +g962 +tp13621 +a(g748 +VInteger +p13622 +tp13623 +a(g705 +g1141 +tp13624 +a(g412 +g1407 +tp13625 +a(g826 +g962 +tp13626 +a(g744 +Vstring +p13627 +tp13628 +a(g412 +g966 +tp13629 +a(g826 +V\u000a +p13630 +tp13631 +a(g744 +Vvar +p13632 +tp13633 +a(g826 +V\u000a +p13634 +tp13635 +a(g435 +g1758 +tp13636 +a(g412 +g1407 +tp13637 +a(g826 +g962 +tp13638 +a(g748 +VPChar +p13639 +tp13640 +a(g412 +g966 +tp13641 +a(g826 +V\u000a +p13642 +tp13643 +a(g435 +VLen +p13644 +tp13645 +a(g412 +g984 +tp13646 +a(g826 +g962 +tp13647 +a(g435 +g4708 +tp13648 +a(g412 +g1407 +tp13649 +a(g826 +g962 +tp13650 +a(g748 +VInteger +p13651 +tp13652 +a(g412 +g966 +tp13653 +a(g826 +V\u000a +p13654 +tp13655 +a(g744 +Vbegin +p13656 +tp13657 +a(g826 +V\u000a +p13658 +tp13659 +a(g693 +VResult +p13660 +tp13661 +a(g826 +g962 +tp13662 +a(g412 +g1407 +tp13663 +a(g412 +g1007 +tp13664 +a(g826 +g962 +tp13665 +a(g89 +g1101 +tp13666 +a(g89 +g1101 +tp13667 +a(g412 +g966 +tp13668 +a(g826 +V\u000a +p13669 +tp13670 +a(g744 +Vif +p13671 +tp13672 +a(g826 +g962 +tp13673 +a(g435 +VCount +p13674 +tp13675 +a(g826 +g962 +tp13676 +a(g412 +g1007 +tp13677 +a(g826 +g962 +tp13678 +a(g37 +g1202 +tp13679 +a(g826 +g962 +tp13680 +a(g744 +Vthen +p13681 +tp13682 +a(g826 +V\u000a +p13683 +tp13684 +a(g744 +VExit +p13685 +tp13686 +a(g412 +g966 +tp13687 +a(g826 +V\u000a\u000a +p13688 +tp13689 +a(g435 +VLen +p13690 +tp13691 +a(g826 +g962 +tp13692 +a(g412 +g1407 +tp13693 +a(g412 +g1007 +tp13694 +a(g826 +g962 +tp13695 +a(g681 +VLength +p13696 +tp13697 +a(g705 +g1137 +tp13698 +a(g435 +g1773 +tp13699 +a(g705 +g1141 +tp13700 +a(g412 +g966 +tp13701 +a(g826 +V\u000a +p13702 +tp13703 +a(g681 +VSetLength +p13704 +tp13705 +a(g705 +g1137 +tp13706 +a(g693 +VResult +p13707 +tp13708 +a(g412 +g984 +tp13709 +a(g826 +g962 +tp13710 +a(g435 +VLen +p13711 +tp13712 +a(g826 +g962 +tp13713 +a(g412 +g1371 +tp13714 +a(g826 +g962 +tp13715 +a(g435 +VCount +p13716 +tp13717 +a(g705 +g1141 +tp13718 +a(g412 +g966 +tp13719 +a(g826 +V\u000a\u000a +p13720 +tp13721 +a(g435 +g1758 +tp13722 +a(g826 +g962 +tp13723 +a(g412 +g1407 +tp13724 +a(g412 +g1007 +tp13725 +a(g826 +g962 +tp13726 +a(g748 +VPointer +p13727 +tp13728 +a(g705 +g1137 +tp13729 +a(g693 +VResult +p13730 +tp13731 +a(g705 +g1141 +tp13732 +a(g412 +g966 +tp13733 +a(g826 +V\u000a +p13734 +tp13735 +a(g744 +Vfor +p13736 +tp13737 +a(g826 +g962 +tp13738 +a(g435 +g4708 +tp13739 +a(g826 +g962 +tp13740 +a(g412 +g1407 +tp13741 +a(g412 +g1007 +tp13742 +a(g826 +g962 +tp13743 +a(g37 +g1337 +tp13744 +a(g826 +g962 +tp13745 +a(g744 +Vto +p13746 +tp13747 +a(g826 +g962 +tp13748 +a(g435 +VCount +p13749 +tp13750 +a(g826 +g962 +tp13751 +a(g744 +Vdo +p13752 +tp13753 +a(g826 +g962 +tp13754 +a(g744 +Vbegin +p13755 +tp13756 +a(g826 +V\u000a +p13757 +tp13758 +a(g681 +VMove +p13759 +tp13760 +a(g705 +g1137 +tp13761 +a(g748 +VPointer +p13762 +tp13763 +a(g705 +g1137 +tp13764 +a(g435 +g1773 +tp13765 +a(g705 +g1141 +tp13766 +a(g412 +V^ +p13767 +tp13768 +a(g412 +g984 +tp13769 +a(g826 +g962 +tp13770 +a(g435 +g1758 +tp13771 +a(g412 +g13767 +tp13772 +a(g412 +g984 +tp13773 +a(g826 +g962 +tp13774 +a(g435 +VLen +p13775 +tp13776 +a(g705 +g1141 +tp13777 +a(g412 +g966 +tp13778 +a(g826 +V\u000a +p13779 +tp13780 +a(g681 +VInc +p13781 +tp13782 +a(g705 +g1137 +tp13783 +a(g435 +g1758 +tp13784 +a(g412 +g984 +tp13785 +a(g826 +g962 +tp13786 +a(g435 +VLen +p13787 +tp13788 +a(g705 +g1141 +tp13789 +a(g412 +g966 +tp13790 +a(g826 +V\u000a +p13791 +tp13792 +a(g744 +Vend +p13793 +tp13794 +a(g412 +g966 +tp13795 +a(g826 +V\u000a +p13796 +tp13797 +a(g744 +Vend +p13798 +tp13799 +a(g412 +g966 +tp13800 +a(g826 +V\u000a\u000a +p13801 +tp13802 +a(g744 +Vfunction +p13803 +tp13804 +a(g826 +g962 +tp13805 +a(g573 +VAlignR +p13806 +tp13807 +a(g705 +g1137 +tp13808 +a(g744 +Vconst +p13809 +tp13810 +a(g826 +g962 +tp13811 +a(g435 +g1773 +tp13812 +a(g412 +g1407 +tp13813 +a(g826 +g962 +tp13814 +a(g744 +Vstring +p13815 +tp13816 +a(g412 +g966 +tp13817 +a(g826 +g962 +tp13818 +a(g435 +VWidth +p13819 +tp13820 +a(g412 +g1407 +tp13821 +a(g826 +g962 +tp13822 +a(g748 +VInteger +p13823 +tp13824 +a(g412 +g966 +tp13825 +a(g826 +g962 +tp13826 +a(g435 +VFiller +p13827 +tp13828 +a(g412 +g1407 +tp13829 +a(g826 +g962 +tp13830 +a(g748 +VChar +p13831 +tp13832 +a(g826 +g962 +tp13833 +a(g412 +g1007 +tp13834 +a(g826 +g962 +tp13835 +a(g89 +g1101 +tp13836 +a(g89 +g962 +tp13837 +a(g89 +g1101 +tp13838 +a(g705 +g1141 +tp13839 +a(g412 +g1407 +tp13840 +a(g826 +g962 +tp13841 +a(g744 +Vstring +p13842 +tp13843 +a(g412 +g966 +tp13844 +a(g826 +V\u000a +p13845 +tp13846 +a(g744 +Vbegin +p13847 +tp13848 +a(g826 +V\u000a +p13849 +tp13850 +a(g693 +VResult +p13851 +tp13852 +a(g826 +g962 +tp13853 +a(g412 +g1407 +tp13854 +a(g412 +g1007 +tp13855 +a(g826 +g962 +tp13856 +a(g435 +VMulStr +p13857 +tp13858 +a(g705 +g1137 +tp13859 +a(g435 +VFiller +p13860 +tp13861 +a(g412 +g984 +tp13862 +a(g826 +g962 +tp13863 +a(g435 +VWidth +p13864 +tp13865 +a(g826 +g962 +tp13866 +a(g412 +g1109 +tp13867 +a(g826 +g962 +tp13868 +a(g681 +VLength +p13869 +tp13870 +a(g705 +g1137 +tp13871 +a(g435 +g1773 +tp13872 +a(g705 +V)) +p13873 +tp13874 +a(g826 +g962 +tp13875 +a(g412 +g1223 +tp13876 +a(g826 +g962 +tp13877 +a(g435 +g1773 +tp13878 +a(g412 +g966 +tp13879 +a(g826 +V\u000a +p13880 +tp13881 +a(g744 +Vend +p13882 +tp13883 +a(g412 +g966 +tp13884 +a(g826 +V\u000a\u000a +p13885 +tp13886 +a(g744 +Vfunction +p13887 +tp13888 +a(g826 +g962 +tp13889 +a(g573 +VMaxStr +p13890 +tp13891 +a(g705 +g1137 +tp13892 +a(g744 +Vconst +p13893 +tp13894 +a(g826 +g962 +tp13895 +a(g435 +g1773 +tp13896 +a(g412 +g1407 +tp13897 +a(g826 +g962 +tp13898 +a(g744 +Vstring +p13899 +tp13900 +a(g412 +g966 +tp13901 +a(g826 +g962 +tp13902 +a(g435 +VMaxLen +p13903 +tp13904 +a(g412 +g1407 +tp13905 +a(g826 +g962 +tp13906 +a(g748 +VInteger +p13907 +tp13908 +a(g705 +g1141 +tp13909 +a(g412 +g1407 +tp13910 +a(g826 +g962 +tp13911 +a(g744 +Vstring +p13912 +tp13913 +a(g412 +g966 +tp13914 +a(g826 +V\u000a +p13915 +tp13916 +a(g744 +Vvar +p13917 +tp13918 +a(g826 +V\u000a +p13919 +tp13920 +a(g435 +VLen +p13921 +tp13922 +a(g412 +g1407 +tp13923 +a(g826 +g962 +tp13924 +a(g748 +VInteger +p13925 +tp13926 +a(g412 +g966 +tp13927 +a(g826 +V\u000a +p13928 +tp13929 +a(g744 +Vbegin +p13930 +tp13931 +a(g826 +V\u000a +p13932 +tp13933 +a(g435 +VLen +p13934 +tp13935 +a(g826 +g962 +tp13936 +a(g412 +g1407 +tp13937 +a(g412 +g1007 +tp13938 +a(g826 +g962 +tp13939 +a(g681 +VLength +p13940 +tp13941 +a(g705 +g1137 +tp13942 +a(g435 +g1773 +tp13943 +a(g705 +g1141 +tp13944 +a(g412 +g966 +tp13945 +a(g826 +V\u000a +p13946 +tp13947 +a(g744 +Vif +p13948 +tp13949 +a(g826 +g962 +tp13950 +a(g435 +VLen +p13951 +tp13952 +a(g826 +g962 +tp13953 +a(g412 +g1413 +tp13954 +a(g412 +g1007 +tp13955 +a(g826 +g962 +tp13956 +a(g435 +VMaxLen +p13957 +tp13958 +a(g826 +g962 +tp13959 +a(g744 +Vthen +p13960 +tp13961 +a(g826 +g962 +tp13962 +a(g744 +Vbegin +p13963 +tp13964 +a(g826 +V\u000a +p13965 +tp13966 +a(g693 +VResult +p13967 +tp13968 +a(g826 +g962 +tp13969 +a(g412 +g1407 +tp13970 +a(g412 +g1007 +tp13971 +a(g826 +g962 +tp13972 +a(g435 +g1773 +tp13973 +a(g412 +g966 +tp13974 +a(g826 +V\u000a +p13975 +tp13976 +a(g744 +VExit +p13977 +tp13978 +a(g826 +g962 +tp13979 +a(g744 +Vend +p13980 +tp13981 +a(g412 +g966 +tp13982 +a(g826 +V\u000a\u000a +p13983 +tp13984 +a(g693 +VResult +p13985 +tp13986 +a(g826 +g962 +tp13987 +a(g412 +g1407 +tp13988 +a(g412 +g1007 +tp13989 +a(g826 +g962 +tp13990 +a(g681 +VCopy +p13991 +tp13992 +a(g705 +g1137 +tp13993 +a(g435 +g1773 +tp13994 +a(g412 +g984 +tp13995 +a(g826 +g962 +tp13996 +a(g37 +g1337 +tp13997 +a(g412 +g984 +tp13998 +a(g826 +g962 +tp13999 +a(g435 +VMaxLen +p14000 +tp14001 +a(g826 +g962 +tp14002 +a(g412 +g1109 +tp14003 +a(g826 +g962 +tp14004 +a(g37 +g1468 +tp14005 +a(g705 +g1141 +tp14006 +a(g826 +g962 +tp14007 +a(g412 +g1223 +tp14008 +a(g826 +g962 +tp14009 +a(g89 +g1101 +tp14010 +a(g89 +V... +p14011 +tp14012 +a(g89 +g1101 +tp14013 +a(g412 +g966 +tp14014 +a(g826 +V\u000a +p14015 +tp14016 +a(g744 +Vend +p14017 +tp14018 +a(g412 +g966 +tp14019 +a(g826 +V\u000a\u000a +p14020 +tp14021 +a(g744 +Vfunction +p14022 +tp14023 +a(g826 +g962 +tp14024 +a(g573 +VTrimAll +p14025 +tp14026 +a(g705 +g1137 +tp14027 +a(g744 +Vconst +p14028 +tp14029 +a(g826 +g962 +tp14030 +a(g435 +g1773 +tp14031 +a(g412 +g1407 +tp14032 +a(g826 +g962 +tp14033 +a(g744 +Vstring +p14034 +tp14035 +a(g705 +g1141 +tp14036 +a(g412 +g1407 +tp14037 +a(g826 +g962 +tp14038 +a(g744 +Vstring +p14039 +tp14040 +a(g412 +g966 +tp14041 +a(g826 +V\u000a +p14042 +tp14043 +a(g744 +Vvar +p14044 +tp14045 +a(g826 +V\u000a +p14046 +tp14047 +a(g435 +g4708 +tp14048 +a(g412 +g1407 +tp14049 +a(g826 +g962 +tp14050 +a(g748 +VInteger +p14051 +tp14052 +a(g412 +g966 +tp14053 +a(g826 +V\u000a +p14054 +tp14055 +a(g744 +Vbegin +p14056 +tp14057 +a(g826 +V\u000a +p14058 +tp14059 +a(g744 +Vfor +p14060 +tp14061 +a(g826 +g962 +tp14062 +a(g435 +g4708 +tp14063 +a(g826 +g962 +tp14064 +a(g412 +g1407 +tp14065 +a(g412 +g1007 +tp14066 +a(g826 +g962 +tp14067 +a(g37 +g1337 +tp14068 +a(g826 +g962 +tp14069 +a(g744 +Vto +p14070 +tp14071 +a(g826 +g962 +tp14072 +a(g681 +VLength +p14073 +tp14074 +a(g705 +g1137 +tp14075 +a(g435 +g1773 +tp14076 +a(g705 +g1141 +tp14077 +a(g826 +g962 +tp14078 +a(g744 +Vdo +p14079 +tp14080 +a(g826 +V\u000a +p14081 +tp14082 +a(g744 +Vif +p14083 +tp14084 +a(g826 +g962 +tp14085 +a(g435 +g1773 +tp14086 +a(g705 +g1066 +tp14087 +a(g435 +g4708 +tp14088 +a(g705 +g1074 +tp14089 +a(g826 +g962 +tp14090 +a(g412 +g1419 +tp14091 +a(g826 +g962 +tp14092 +a(g93 +V#32 +p14093 +tp14094 +a(g826 +g962 +tp14095 +a(g744 +Vthen +p14096 +tp14097 +a(g826 +V\u000a +p14098 +tp14099 +a(g693 +VResult +p14100 +tp14101 +a(g826 +g962 +tp14102 +a(g412 +g1407 +tp14103 +a(g412 +g1007 +tp14104 +a(g826 +g962 +tp14105 +a(g693 +VResult +p14106 +tp14107 +a(g826 +g962 +tp14108 +a(g412 +g1223 +tp14109 +a(g826 +g962 +tp14110 +a(g435 +g1773 +tp14111 +a(g705 +g1066 +tp14112 +a(g435 +g4708 +tp14113 +a(g705 +g1074 +tp14114 +a(g412 +g966 +tp14115 +a(g826 +V\u000a +p14116 +tp14117 +a(g744 +Vend +p14118 +tp14119 +a(g412 +g966 +tp14120 +a(g826 +V\u000a\u000a +p14121 +tp14122 +a(g744 +Vfunction +p14123 +tp14124 +a(g826 +g962 +tp14125 +a(g573 +VControlChar +p14126 +tp14127 +a(g705 +g1137 +tp14128 +a(g435 +g1510 +tp14129 +a(g412 +g1407 +tp14130 +a(g826 +g962 +tp14131 +a(g748 +VChar +p14132 +tp14133 +a(g705 +g1141 +tp14134 +a(g412 +g1407 +tp14135 +a(g826 +g962 +tp14136 +a(g748 +VBoolean +p14137 +tp14138 +a(g412 +g966 +tp14139 +a(g826 +V\u000a +p14140 +tp14141 +a(g744 +Vbegin +p14142 +tp14143 +a(g826 +V\u000a +p14144 +tp14145 +a(g693 +VResult +p14146 +tp14147 +a(g826 +g962 +tp14148 +a(g412 +g1407 +tp14149 +a(g412 +g1007 +tp14150 +a(g826 +g962 +tp14151 +a(g435 +g1510 +tp14152 +a(g826 +g962 +tp14153 +a(g744 +Vin +p14154 +tp14155 +a(g826 +g962 +tp14156 +a(g435 +VStrangeChars +p14157 +tp14158 +a(g412 +g966 +tp14159 +a(g826 +V\u000a +p14160 +tp14161 +a(g744 +Vend +p14162 +tp14163 +a(g412 +g966 +tp14164 +a(g826 +V\u000a\u000a +p14165 +tp14166 +a(g744 +Vfunction +p14167 +tp14168 +a(g826 +g962 +tp14169 +a(g573 +VFriendlyChar +p14170 +tp14171 +a(g705 +g1137 +tp14172 +a(g435 +g1510 +tp14173 +a(g412 +g1407 +tp14174 +a(g826 +g962 +tp14175 +a(g748 +VChar +p14176 +tp14177 +a(g705 +g1141 +tp14178 +a(g412 +g1407 +tp14179 +a(g826 +g962 +tp14180 +a(g748 +VChar +p14181 +tp14182 +a(g412 +g966 +tp14183 +a(g826 +V\u000a +p14184 +tp14185 +a(g744 +Vbegin +p14186 +tp14187 +a(g826 +V\u000a +p14188 +tp14189 +a(g744 +Vcase +p14190 +tp14191 +a(g826 +g962 +tp14192 +a(g435 +g1510 +tp14193 +a(g826 +g962 +tp14194 +a(g744 +Vof +p14195 +tp14196 +a(g826 +V\u000a +p14197 +tp14198 +a(g93 +V#0 +p14199 +tp14200 +a(g412 +g1407 +tp14201 +a(g826 +g962 +tp14202 +a(g693 +VResult +p14203 +tp14204 +a(g826 +g962 +tp14205 +a(g412 +g1407 +tp14206 +a(g412 +g1007 +tp14207 +a(g826 +g962 +tp14208 +a(g89 +g1101 +tp14209 +a(g89 +g1154 +tp14210 +a(g89 +g1101 +tp14211 +a(g412 +g966 +tp14212 +a(g826 +V\u000a +p14213 +tp14214 +a(g93 +V#1 +p14215 +tp14216 +a(g412 +g1154 +tp14217 +a(g412 +g1154 +tp14218 +a(g93 +V#31 +p14219 +tp14220 +a(g412 +g1407 +tp14221 +a(g826 +g962 +tp14222 +a(g693 +VResult +p14223 +tp14224 +a(g826 +g962 +tp14225 +a(g412 +g1407 +tp14226 +a(g412 +g1007 +tp14227 +a(g826 +g962 +tp14228 +a(g89 +g1101 +tp14229 +a(g89 +g1377 +tp14230 +a(g89 +g1101 +tp14231 +a(g412 +g966 +tp14232 +a(g826 +V\u000a +p14233 +tp14234 +a(g93 +V#255 +p14235 +tp14236 +a(g412 +g1407 +tp14237 +a(g826 +g962 +tp14238 +a(g693 +VResult +p14239 +tp14240 +a(g826 +g962 +tp14241 +a(g412 +g1407 +tp14242 +a(g412 +g1007 +tp14243 +a(g826 +g962 +tp14244 +a(g89 +g1101 +tp14245 +a(g89 +V# +p14246 +tp14247 +a(g89 +g1101 +tp14248 +a(g412 +g966 +tp14249 +a(g826 +V\u000a +p14250 +tp14251 +a(g744 +Velse +p14252 +tp14253 +a(g826 +V\u000a +p14254 +tp14255 +a(g693 +VResult +p14256 +tp14257 +a(g826 +g962 +tp14258 +a(g412 +g1407 +tp14259 +a(g412 +g1007 +tp14260 +a(g826 +g962 +tp14261 +a(g435 +g1510 +tp14262 +a(g412 +g966 +tp14263 +a(g826 +V\u000a +p14264 +tp14265 +a(g744 +Vend +p14266 +tp14267 +a(g412 +g966 +tp14268 +a(g826 +V\u000a +p14269 +tp14270 +a(g744 +Vend +p14271 +tp14272 +a(g412 +g966 +tp14273 +a(g826 +V\u000a\u000a +p14274 +tp14275 +a(g744 +Vfunction +p14276 +tp14277 +a(g826 +g962 +tp14278 +a(g573 +VFriendlyStr +p14279 +tp14280 +a(g705 +g1137 +tp14281 +a(g744 +Vconst +p14282 +tp14283 +a(g826 +g962 +tp14284 +a(g435 +g1773 +tp14285 +a(g412 +g1407 +tp14286 +a(g826 +g962 +tp14287 +a(g744 +Vstring +p14288 +tp14289 +a(g705 +g1141 +tp14290 +a(g412 +g1407 +tp14291 +a(g826 +g962 +tp14292 +a(g744 +Vstring +p14293 +tp14294 +a(g412 +g966 +tp14295 +a(g826 +V\u000a +p14296 +tp14297 +a(g744 +Vvar +p14298 +tp14299 +a(g826 +V\u000a +p14300 +tp14301 +a(g435 +g4708 +tp14302 +a(g412 +g1407 +tp14303 +a(g826 +g962 +tp14304 +a(g748 +VInteger +p14305 +tp14306 +a(g412 +g966 +tp14307 +a(g826 +V\u000a +p14308 +tp14309 +a(g744 +Vbegin +p14310 +tp14311 +a(g826 +V\u000a +p14312 +tp14313 +a(g681 +VSetLength +p14314 +tp14315 +a(g705 +g1137 +tp14316 +a(g693 +VResult +p14317 +tp14318 +a(g412 +g984 +tp14319 +a(g826 +g962 +tp14320 +a(g681 +VLength +p14321 +tp14322 +a(g705 +g1137 +tp14323 +a(g435 +g1773 +tp14324 +a(g705 +V)) +p14325 +tp14326 +a(g412 +g966 +tp14327 +a(g826 +V\u000a +p14328 +tp14329 +a(g744 +Vfor +p14330 +tp14331 +a(g826 +g962 +tp14332 +a(g435 +g4708 +tp14333 +a(g826 +g962 +tp14334 +a(g412 +g1407 +tp14335 +a(g412 +g1007 +tp14336 +a(g826 +g962 +tp14337 +a(g37 +g1337 +tp14338 +a(g826 +g962 +tp14339 +a(g744 +Vto +p14340 +tp14341 +a(g826 +g962 +tp14342 +a(g681 +VLength +p14343 +tp14344 +a(g705 +g1137 +tp14345 +a(g435 +g1773 +tp14346 +a(g705 +g1141 +tp14347 +a(g826 +g962 +tp14348 +a(g744 +Vdo +p14349 +tp14350 +a(g826 +V\u000a +p14351 +tp14352 +a(g693 +VResult +p14353 +tp14354 +a(g705 +g1066 +tp14355 +a(g435 +g4708 +tp14356 +a(g705 +g1074 +tp14357 +a(g826 +g962 +tp14358 +a(g412 +g1407 +tp14359 +a(g412 +g1007 +tp14360 +a(g826 +g962 +tp14361 +a(g435 +VFriendlyChar +p14362 +tp14363 +a(g705 +g1137 +tp14364 +a(g435 +g1773 +tp14365 +a(g705 +g1066 +tp14366 +a(g435 +g4708 +tp14367 +a(g705 +V]) +p14368 +tp14369 +a(g412 +g966 +tp14370 +a(g826 +V\u000a +p14371 +tp14372 +a(g744 +Vend +p14373 +tp14374 +a(g412 +g966 +tp14375 +a(g826 +V\u000a\u000a +p14376 +tp14377 +a(g744 +Vfunction +p14378 +tp14379 +a(g826 +g962 +tp14380 +a(g573 +VFriendlyStr +p14381 +tp14382 +a(g705 +g1137 +tp14383 +a(g435 +g1182 +tp14384 +a(g412 +g1407 +tp14385 +a(g826 +g962 +tp14386 +a(g435 +VTByteA +p14387 +tp14388 +a(g705 +g1141 +tp14389 +a(g412 +g1407 +tp14390 +a(g826 +g962 +tp14391 +a(g744 +Vstring +p14392 +tp14393 +a(g412 +g966 +tp14394 +a(g826 +V\u000a +p14395 +tp14396 +a(g744 +Vvar +p14397 +tp14398 +a(g826 +V\u000a +p14399 +tp14400 +a(g435 +g4708 +tp14401 +a(g412 +g1407 +tp14402 +a(g826 +g962 +tp14403 +a(g748 +VInteger +p14404 +tp14405 +a(g412 +g966 +tp14406 +a(g826 +V\u000a +p14407 +tp14408 +a(g744 +Vbegin +p14409 +tp14410 +a(g826 +V\u000a +p14411 +tp14412 +a(g681 +VSetLength +p14413 +tp14414 +a(g705 +g1137 +tp14415 +a(g693 +VResult +p14416 +tp14417 +a(g412 +g984 +tp14418 +a(g826 +g962 +tp14419 +a(g681 +VLength +p14420 +tp14421 +a(g705 +g1137 +tp14422 +a(g435 +g1182 +tp14423 +a(g705 +V)) +p14424 +tp14425 +a(g412 +g966 +tp14426 +a(g826 +V\u000a +p14427 +tp14428 +a(g744 +Vfor +p14429 +tp14430 +a(g826 +g962 +tp14431 +a(g435 +g4708 +tp14432 +a(g826 +g962 +tp14433 +a(g412 +g1407 +tp14434 +a(g412 +g1007 +tp14435 +a(g826 +g962 +tp14436 +a(g37 +g1202 +tp14437 +a(g826 +g962 +tp14438 +a(g744 +Vto +p14439 +tp14440 +a(g826 +g962 +tp14441 +a(g681 +VHigh +p14442 +tp14443 +a(g705 +g1137 +tp14444 +a(g435 +g1182 +tp14445 +a(g705 +g1141 +tp14446 +a(g826 +g962 +tp14447 +a(g744 +Vdo +p14448 +tp14449 +a(g826 +V\u000a +p14450 +tp14451 +a(g693 +VResult +p14452 +tp14453 +a(g705 +g1066 +tp14454 +a(g435 +g4708 +tp14455 +a(g826 +g962 +tp14456 +a(g412 +g1223 +tp14457 +a(g826 +g962 +tp14458 +a(g37 +g1337 +tp14459 +a(g705 +g1074 +tp14460 +a(g826 +g962 +tp14461 +a(g412 +g1407 +tp14462 +a(g412 +g1007 +tp14463 +a(g826 +g962 +tp14464 +a(g435 +VFriendlyChar +p14465 +tp14466 +a(g705 +g1137 +tp14467 +a(g748 +VChar +p14468 +tp14469 +a(g705 +g1137 +tp14470 +a(g435 +g1182 +tp14471 +a(g705 +g1066 +tp14472 +a(g435 +g4708 +tp14473 +a(g705 +V])) +p14474 +tp14475 +a(g412 +g966 +tp14476 +a(g826 +V\u000a +p14477 +tp14478 +a(g744 +Vend +p14479 +tp14480 +a(g412 +g966 +tp14481 +a(g826 +V\u000a\u000a +p14482 +tp14483 +a(g744 +Vfunction +p14484 +tp14485 +a(g826 +g962 +tp14486 +a(g573 +VQuote +p14487 +tp14488 +a(g705 +g1137 +tp14489 +a(g744 +Vconst +p14490 +tp14491 +a(g826 +g962 +tp14492 +a(g435 +g1773 +tp14493 +a(g412 +g1407 +tp14494 +a(g826 +g962 +tp14495 +a(g744 +Vstring +p14496 +tp14497 +a(g412 +g966 +tp14498 +a(g826 +g962 +tp14499 +a(g435 +VQuoter +p14500 +tp14501 +a(g412 +g1407 +tp14502 +a(g826 +g962 +tp14503 +a(g748 +VChar +p14504 +tp14505 +a(g826 +g962 +tp14506 +a(g412 +g1007 +tp14507 +a(g826 +g962 +tp14508 +a(g89 +g1101 +tp14509 +a(g89 +g1357 +tp14510 +a(g89 +g1101 +tp14511 +a(g705 +g1141 +tp14512 +a(g412 +g1407 +tp14513 +a(g826 +g962 +tp14514 +a(g744 +Vstring +p14515 +tp14516 +a(g412 +g966 +tp14517 +a(g826 +V\u000a +p14518 +tp14519 +a(g744 +Vbegin +p14520 +tp14521 +a(g826 +V\u000a +p14522 +tp14523 +a(g693 +VResult +p14524 +tp14525 +a(g826 +g962 +tp14526 +a(g412 +g1407 +tp14527 +a(g412 +g1007 +tp14528 +a(g826 +g962 +tp14529 +a(g435 +g1773 +tp14530 +a(g412 +g966 +tp14531 +a(g826 +V\u000a\u000a +p14532 +tp14533 +a(g744 +Vif +p14534 +tp14535 +a(g826 +g962 +tp14536 +a(g435 +VFirstChar +p14537 +tp14538 +a(g705 +g1137 +tp14539 +a(g435 +g1773 +tp14540 +a(g705 +g1141 +tp14541 +a(g826 +g962 +tp14542 +a(g412 +g1413 +tp14543 +a(g412 +g1419 +tp14544 +a(g826 +g962 +tp14545 +a(g435 +VQuoter +p14546 +tp14547 +a(g826 +g962 +tp14548 +a(g744 +Vthen +p14549 +tp14550 +a(g826 +V\u000a +p14551 +tp14552 +a(g693 +VResult +p14553 +tp14554 +a(g826 +g962 +tp14555 +a(g412 +g1407 +tp14556 +a(g412 +g1007 +tp14557 +a(g826 +g962 +tp14558 +a(g435 +VQuoter +p14559 +tp14560 +a(g826 +g962 +tp14561 +a(g412 +g1223 +tp14562 +a(g826 +g962 +tp14563 +a(g693 +VResult +p14564 +tp14565 +a(g412 +g966 +tp14566 +a(g826 +V\u000a\u000a +p14567 +tp14568 +a(g744 +Vif +p14569 +tp14570 +a(g826 +g962 +tp14571 +a(g435 +VLastChar +p14572 +tp14573 +a(g705 +g1137 +tp14574 +a(g435 +g1773 +tp14575 +a(g705 +g1141 +tp14576 +a(g826 +g962 +tp14577 +a(g412 +g1413 +tp14578 +a(g412 +g1419 +tp14579 +a(g826 +g962 +tp14580 +a(g435 +VQuoter +p14581 +tp14582 +a(g826 +g962 +tp14583 +a(g744 +Vthen +p14584 +tp14585 +a(g826 +V\u000a +p14586 +tp14587 +a(g693 +VResult +p14588 +tp14589 +a(g826 +g962 +tp14590 +a(g412 +g1407 +tp14591 +a(g412 +g1007 +tp14592 +a(g826 +g962 +tp14593 +a(g693 +VResult +p14594 +tp14595 +a(g826 +g962 +tp14596 +a(g412 +g1223 +tp14597 +a(g826 +g962 +tp14598 +a(g435 +VQuoter +p14599 +tp14600 +a(g412 +g966 +tp14601 +a(g826 +V\u000a +p14602 +tp14603 +a(g744 +Vend +p14604 +tp14605 +a(g412 +g966 +tp14606 +a(g826 +V\u000a\u000a +p14607 +tp14608 +a(g744 +Vfunction +p14609 +tp14610 +a(g826 +g962 +tp14611 +a(g573 +VDeQuote +p14612 +tp14613 +a(g705 +g1137 +tp14614 +a(g744 +Vconst +p14615 +tp14616 +a(g826 +g962 +tp14617 +a(g435 +g1773 +tp14618 +a(g412 +g1407 +tp14619 +a(g826 +g962 +tp14620 +a(g744 +Vstring +p14621 +tp14622 +a(g705 +g1141 +tp14623 +a(g412 +g1407 +tp14624 +a(g826 +g962 +tp14625 +a(g744 +Vstring +p14626 +tp14627 +a(g412 +g966 +tp14628 +a(g826 +V\u000a +p14629 +tp14630 +a(g744 +Vbegin +p14631 +tp14632 +a(g826 +V\u000a +p14633 +tp14634 +a(g693 +VResult +p14635 +tp14636 +a(g826 +g962 +tp14637 +a(g412 +g1407 +tp14638 +a(g412 +g1007 +tp14639 +a(g826 +g962 +tp14640 +a(g89 +g1101 +tp14641 +a(g89 +g1101 +tp14642 +a(g412 +g966 +tp14643 +a(g826 +V\u000a +p14644 +tp14645 +a(g744 +Vif +p14646 +tp14647 +a(g826 +g962 +tp14648 +a(g681 +VLength +p14649 +tp14650 +a(g705 +g1137 +tp14651 +a(g435 +g1773 +tp14652 +a(g705 +g1141 +tp14653 +a(g826 +g962 +tp14654 +a(g412 +g1419 +tp14655 +a(g826 +g962 +tp14656 +a(g37 +g1463 +tp14657 +a(g826 +g962 +tp14658 +a(g744 +Vthen +p14659 +tp14660 +a(g826 +V\u000a +p14661 +tp14662 +a(g693 +VResult +p14663 +tp14664 +a(g826 +g962 +tp14665 +a(g412 +g1407 +tp14666 +a(g412 +g1007 +tp14667 +a(g826 +g962 +tp14668 +a(g681 +VCopy +p14669 +tp14670 +a(g705 +g1137 +tp14671 +a(g435 +g1773 +tp14672 +a(g412 +g984 +tp14673 +a(g826 +g962 +tp14674 +a(g37 +g1463 +tp14675 +a(g412 +g984 +tp14676 +a(g826 +g962 +tp14677 +a(g681 +VLength +p14678 +tp14679 +a(g705 +g1137 +tp14680 +a(g435 +g1773 +tp14681 +a(g705 +g1141 +tp14682 +a(g826 +g962 +tp14683 +a(g412 +g1109 +tp14684 +a(g826 +g962 +tp14685 +a(g37 +g1463 +tp14686 +a(g705 +g1141 +tp14687 +a(g412 +g966 +tp14688 +a(g826 +V\u000a +p14689 +tp14690 +a(g744 +Vend +p14691 +tp14692 +a(g412 +g966 +tp14693 +a(g826 +V\u000a\u000a +p14694 +tp14695 +a(g744 +Vfunction +p14696 +tp14697 +a(g826 +g962 +tp14698 +a(g573 +VUnQuote +p14699 +tp14700 +a(g705 +g1137 +tp14701 +a(g744 +Vconst +p14702 +tp14703 +a(g826 +g962 +tp14704 +a(g435 +g1773 +tp14705 +a(g412 +g1407 +tp14706 +a(g826 +g962 +tp14707 +a(g744 +Vstring +p14708 +tp14709 +a(g705 +g1141 +tp14710 +a(g412 +g1407 +tp14711 +a(g826 +g962 +tp14712 +a(g744 +Vstring +p14713 +tp14714 +a(g412 +g966 +tp14715 +a(g826 +V\u000a +p14716 +tp14717 +a(g744 +Vvar +p14718 +tp14719 +a(g826 +V\u000a +p14720 +tp14721 +a(g435 +VStart +p14722 +tp14723 +a(g412 +g984 +tp14724 +a(g826 +g962 +tp14725 +a(g435 +VLen +p14726 +tp14727 +a(g412 +g1407 +tp14728 +a(g826 +g962 +tp14729 +a(g748 +VInteger +p14730 +tp14731 +a(g412 +g966 +tp14732 +a(g826 +V\u000a +p14733 +tp14734 +a(g744 +Vbegin +p14735 +tp14736 +a(g826 +V\u000a +p14737 +tp14738 +a(g435 +VStart +p14739 +tp14740 +a(g826 +g962 +tp14741 +a(g412 +g1407 +tp14742 +a(g412 +g1007 +tp14743 +a(g826 +g962 +tp14744 +a(g37 +g1337 +tp14745 +a(g412 +g966 +tp14746 +a(g826 +V\u000a +p14747 +tp14748 +a(g435 +VLen +p14749 +tp14750 +a(g826 +g962 +tp14751 +a(g412 +g1407 +tp14752 +a(g412 +g1007 +tp14753 +a(g826 +g962 +tp14754 +a(g681 +VLength +p14755 +tp14756 +a(g705 +g1137 +tp14757 +a(g435 +g1773 +tp14758 +a(g705 +g1141 +tp14759 +a(g412 +g966 +tp14760 +a(g826 +V\u000a\u000a +p14761 +tp14762 +a(g744 +Vif +p14763 +tp14764 +a(g826 +g962 +tp14765 +a(g705 +g1137 +tp14766 +a(g435 +g1773 +tp14767 +a(g826 +g962 +tp14768 +a(g412 +g1413 +tp14769 +a(g412 +g1419 +tp14770 +a(g826 +g962 +tp14771 +a(g89 +g1101 +tp14772 +a(g89 +g1101 +tp14773 +a(g705 +g1141 +tp14774 +a(g826 +g962 +tp14775 +a(g744 +Vand +p14776 +tp14777 +a(g826 +g962 +tp14778 +a(g705 +g1137 +tp14779 +a(g435 +g1773 +tp14780 +a(g705 +g1066 +tp14781 +a(g37 +g1337 +tp14782 +a(g705 +g1074 +tp14783 +a(g826 +g962 +tp14784 +a(g744 +Vin +p14785 +tp14786 +a(g826 +g962 +tp14787 +a(g705 +V([ +p14788 +tp14789 +a(g93 +V#0 +p14790 +tp14791 +a(g412 +g1154 +tp14792 +a(g412 +g1154 +tp14793 +a(g93 +V#32 +p14794 +tp14795 +a(g705 +g1074 +tp14796 +a(g826 +g962 +tp14797 +a(g412 +g1223 +tp14798 +a(g826 +g962 +tp14799 +a(g435 +VQuoteChars +p14800 +tp14801 +a(g705 +V)) +p14802 +tp14803 +a(g826 +g962 +tp14804 +a(g744 +Vthen +p14805 +tp14806 +a(g826 +g962 +tp14807 +a(g744 +Vbegin +p14808 +tp14809 +a(g826 +V\u000a +p14810 +tp14811 +a(g744 +Vif +p14812 +tp14813 +a(g826 +g962 +tp14814 +a(g705 +g1137 +tp14815 +a(g435 +VLastChar +p14816 +tp14817 +a(g705 +g1137 +tp14818 +a(g435 +g1773 +tp14819 +a(g705 +g1141 +tp14820 +a(g826 +g962 +tp14821 +a(g412 +g1007 +tp14822 +a(g826 +g962 +tp14823 +a(g435 +g1773 +tp14824 +a(g705 +g1066 +tp14825 +a(g37 +g1337 +tp14826 +a(g705 +V]) +p14827 +tp14828 +a(g826 +g962 +tp14829 +a(g744 +Vthen +p14830 +tp14831 +a(g826 +V\u000a +p14832 +tp14833 +a(g681 +VDec +p14834 +tp14835 +a(g705 +g1137 +tp14836 +a(g435 +VLen +p14837 +tp14838 +a(g705 +g1141 +tp14839 +a(g412 +g966 +tp14840 +a(g826 +V\u000a +p14841 +tp14842 +a(g681 +VInc +p14843 +tp14844 +a(g705 +g1137 +tp14845 +a(g435 +VStart +p14846 +tp14847 +a(g705 +g1141 +tp14848 +a(g412 +g966 +tp14849 +a(g826 +V\u000a +p14850 +tp14851 +a(g744 +Vend +p14852 +tp14853 +a(g412 +g966 +tp14854 +a(g826 +V\u000a\u000a +p14855 +tp14856 +a(g693 +VResult +p14857 +tp14858 +a(g826 +g962 +tp14859 +a(g412 +g1407 +tp14860 +a(g412 +g1007 +tp14861 +a(g826 +g962 +tp14862 +a(g681 +VCopy +p14863 +tp14864 +a(g705 +g1137 +tp14865 +a(g435 +g1773 +tp14866 +a(g412 +g984 +tp14867 +a(g826 +g962 +tp14868 +a(g435 +VStart +p14869 +tp14870 +a(g412 +g984 +tp14871 +a(g826 +g962 +tp14872 +a(g435 +VLen +p14873 +tp14874 +a(g826 +g962 +tp14875 +a(g412 +g1109 +tp14876 +a(g826 +g962 +tp14877 +a(g435 +VStart +p14878 +tp14879 +a(g826 +g962 +tp14880 +a(g412 +g1223 +tp14881 +a(g826 +g962 +tp14882 +a(g37 +g1337 +tp14883 +a(g705 +g1141 +tp14884 +a(g412 +g966 +tp14885 +a(g826 +V\u000a +p14886 +tp14887 +a(g744 +Vend +p14888 +tp14889 +a(g412 +g966 +tp14890 +a(g826 +V\u000a\u000a +p14891 +tp14892 +a(g744 +Vfunction +p14893 +tp14894 +a(g826 +g962 +tp14895 +a(g573 +VStrNumerus +p14896 +tp14897 +a(g705 +g1137 +tp14898 +a(g744 +Vconst +p14899 +tp14900 +a(g826 +g962 +tp14901 +a(g435 +VValue +p14902 +tp14903 +a(g412 +g1407 +tp14904 +a(g826 +g962 +tp14905 +a(g748 +VInteger +p14906 +tp14907 +a(g412 +g966 +tp14908 +a(g826 +g962 +tp14909 +a(g744 +Vconst +p14910 +tp14911 +a(g826 +g962 +tp14912 +a(g435 +VSingular +p14913 +tp14914 +a(g412 +g984 +tp14915 +a(g826 +g962 +tp14916 +a(g435 +VPlural +p14917 +tp14918 +a(g412 +g1407 +tp14919 +a(g826 +g962 +tp14920 +a(g744 +Vstring +p14921 +tp14922 +a(g412 +g966 +tp14923 +a(g826 +V\u000a +p14924 +tp14925 +a(g744 +Vconst +p14926 +tp14927 +a(g826 +g962 +tp14928 +a(g435 +VZero +p14929 +tp14930 +a(g412 +g1407 +tp14931 +a(g826 +g962 +tp14932 +a(g744 +Vstring +p14933 +tp14934 +a(g826 +g962 +tp14935 +a(g412 +g1007 +tp14936 +a(g826 +g962 +tp14937 +a(g89 +g1101 +tp14938 +a(g89 +g1202 +tp14939 +a(g89 +g1101 +tp14940 +a(g705 +g1141 +tp14941 +a(g412 +g1407 +tp14942 +a(g826 +g962 +tp14943 +a(g744 +Vstring +p14944 +tp14945 +a(g412 +g966 +tp14946 +a(g826 +V\u000a +p14947 +tp14948 +a(g744 +Vbegin +p14949 +tp14950 +a(g826 +V\u000a +p14951 +tp14952 +a(g744 +Vif +p14953 +tp14954 +a(g826 +g962 +tp14955 +a(g681 +VAbs +p14956 +tp14957 +a(g705 +g1137 +tp14958 +a(g435 +VValue +p14959 +tp14960 +a(g705 +g1141 +tp14961 +a(g826 +g962 +tp14962 +a(g412 +g1007 +tp14963 +a(g826 +g962 +tp14964 +a(g37 +g1337 +tp14965 +a(g826 +g962 +tp14966 +a(g744 +Vthen +p14967 +tp14968 +a(g826 +V\u000a +p14969 +tp14970 +a(g693 +VResult +p14971 +tp14972 +a(g826 +g962 +tp14973 +a(g412 +g1407 +tp14974 +a(g412 +g1007 +tp14975 +a(g826 +g962 +tp14976 +a(g681 +VIntToStr +p14977 +tp14978 +a(g705 +g1137 +tp14979 +a(g435 +VValue +p14980 +tp14981 +a(g705 +g1141 +tp14982 +a(g826 +g962 +tp14983 +a(g412 +g1223 +tp14984 +a(g826 +g962 +tp14985 +a(g89 +g1101 +tp14986 +a(g89 +g962 +tp14987 +a(g89 +g1101 +tp14988 +a(g826 +g962 +tp14989 +a(g412 +g1223 +tp14990 +a(g826 +g962 +tp14991 +a(g435 +VSingular +p14992 +tp14993 +a(g826 +V\u000a +p14994 +tp14995 +a(g744 +Velse +p14996 +tp14997 +a(g826 +g962 +tp14998 +a(g744 +Vif +p14999 +tp15000 +a(g826 +g962 +tp15001 +a(g435 +VValue +p15002 +tp15003 +a(g826 +g962 +tp15004 +a(g412 +g1007 +tp15005 +a(g826 +g962 +tp15006 +a(g37 +g1202 +tp15007 +a(g826 +g962 +tp15008 +a(g744 +Vthen +p15009 +tp15010 +a(g826 +V\u000a +p15011 +tp15012 +a(g693 +VResult +p15013 +tp15014 +a(g826 +g962 +tp15015 +a(g412 +g1407 +tp15016 +a(g412 +g1007 +tp15017 +a(g826 +g962 +tp15018 +a(g435 +VZero +p15019 +tp15020 +a(g826 +g962 +tp15021 +a(g412 +g1223 +tp15022 +a(g826 +g962 +tp15023 +a(g89 +g1101 +tp15024 +a(g89 +g962 +tp15025 +a(g89 +g1101 +tp15026 +a(g826 +g962 +tp15027 +a(g412 +g1223 +tp15028 +a(g826 +g962 +tp15029 +a(g435 +VPlural +p15030 +tp15031 +a(g826 +V\u000a +p15032 +tp15033 +a(g744 +Velse +p15034 +tp15035 +a(g826 +V\u000a +p15036 +tp15037 +a(g693 +VResult +p15038 +tp15039 +a(g826 +g962 +tp15040 +a(g412 +g1407 +tp15041 +a(g412 +g1007 +tp15042 +a(g826 +g962 +tp15043 +a(g681 +VIntToStr +p15044 +tp15045 +a(g705 +g1137 +tp15046 +a(g435 +VValue +p15047 +tp15048 +a(g705 +g1141 +tp15049 +a(g826 +g962 +tp15050 +a(g412 +g1223 +tp15051 +a(g826 +g962 +tp15052 +a(g89 +g1101 +tp15053 +a(g89 +g962 +tp15054 +a(g89 +g1101 +tp15055 +a(g826 +g962 +tp15056 +a(g412 +g1223 +tp15057 +a(g826 +g962 +tp15058 +a(g435 +VPlural +p15059 +tp15060 +a(g412 +g966 +tp15061 +a(g826 +V\u000a +p15062 +tp15063 +a(g744 +Vend +p15064 +tp15065 +a(g412 +g966 +tp15066 +a(g826 +V\u000a\u000a +p15067 +tp15068 +a(g744 +Vfunction +p15069 +tp15070 +a(g826 +g962 +tp15071 +a(g573 +VMakeStr +p15072 +tp15073 +a(g705 +g1137 +tp15074 +a(g744 +Vconst +p15075 +tp15076 +a(g826 +g962 +tp15077 +a(g435 +VItems +p15078 +tp15079 +a(g412 +g1407 +tp15080 +a(g826 +g962 +tp15081 +a(g744 +Varray +p15082 +tp15083 +a(g826 +g962 +tp15084 +a(g744 +Vof +p15085 +tp15086 +a(g826 +g962 +tp15087 +a(g744 +Vconst +p15088 +tp15089 +a(g412 +g966 +tp15090 +a(g826 +g962 +tp15091 +a(g435 +VSeparator +p15092 +tp15093 +a(g412 +g1407 +tp15094 +a(g826 +g962 +tp15095 +a(g744 +Vstring +p15096 +tp15097 +a(g826 +g962 +tp15098 +a(g412 +g1007 +tp15099 +a(g826 +g962 +tp15100 +a(g89 +g1101 +tp15101 +a(g89 +g1101 +tp15102 +a(g705 +g1141 +tp15103 +a(g412 +g1407 +tp15104 +a(g826 +g962 +tp15105 +a(g744 +Vstring +p15106 +tp15107 +a(g412 +g966 +tp15108 +a(g826 +V\u000a +p15109 +tp15110 +a(g744 +Vconst +p15111 +tp15112 +a(g826 +V\u000a +p15113 +tp15114 +a(g435 +VBoolStrings +p15115 +tp15116 +a(g412 +g1407 +tp15117 +a(g826 +g962 +tp15118 +a(g744 +Varray +p15119 +tp15120 +a(g705 +g1066 +tp15121 +a(g748 +VBoolean +p15122 +tp15123 +a(g705 +g1074 +tp15124 +a(g826 +g962 +tp15125 +a(g744 +Vof +p15126 +tp15127 +a(g826 +g962 +tp15128 +a(g744 +Vstring +p15129 +tp15130 +a(g826 +g962 +tp15131 +a(g412 +g1007 +tp15132 +a(g826 +g962 +tp15133 +a(g705 +g1137 +tp15134 +a(g89 +g1101 +tp15135 +a(g89 +VFalse +p15136 +tp15137 +a(g89 +g1101 +tp15138 +a(g412 +g984 +tp15139 +a(g826 +g962 +tp15140 +a(g89 +g1101 +tp15141 +a(g89 +VTrue +p15142 +tp15143 +a(g89 +g1101 +tp15144 +a(g705 +g1141 +tp15145 +a(g412 +g966 +tp15146 +a(g826 +V\u000a\u000a +p15147 +tp15148 +a(g744 +Vvar +p15149 +tp15150 +a(g826 +V\u000a +p15151 +tp15152 +a(g435 +g4708 +tp15153 +a(g412 +g1407 +tp15154 +a(g826 +g962 +tp15155 +a(g748 +VInteger +p15156 +tp15157 +a(g412 +g966 +tp15158 +a(g826 +V\u000a\u000a +p15159 +tp15160 +a(g744 +Vfunction +p15161 +tp15162 +a(g826 +g962 +tp15163 +a(g573 +VStrOfP +p15164 +tp15165 +a(g705 +g1137 +tp15166 +a(g435 +g1758 +tp15167 +a(g412 +g1407 +tp15168 +a(g826 +g962 +tp15169 +a(g748 +VPointer +p15170 +tp15171 +a(g705 +g1141 +tp15172 +a(g412 +g1407 +tp15173 +a(g826 +g962 +tp15174 +a(g744 +Vstring +p15175 +tp15176 +a(g412 +g966 +tp15177 +a(g826 +V\u000a +p15178 +tp15179 +a(g744 +Vbegin +p15180 +tp15181 +a(g826 +V\u000a +p15182 +tp15183 +a(g744 +Vif +p15184 +tp15185 +a(g826 +g962 +tp15186 +a(g435 +g1758 +tp15187 +a(g826 +g962 +tp15188 +a(g412 +g1007 +tp15189 +a(g826 +g962 +tp15190 +a(g744 +Vnil +p15191 +tp15192 +a(g826 +g962 +tp15193 +a(g744 +Vthen +p15194 +tp15195 +a(g826 +V\u000a +p15196 +tp15197 +a(g693 +VResult +p15198 +tp15199 +a(g826 +g962 +tp15200 +a(g412 +g1407 +tp15201 +a(g412 +g1007 +tp15202 +a(g826 +g962 +tp15203 +a(g89 +g1101 +tp15204 +a(g89 +V[nil] +p15205 +tp15206 +a(g89 +g1101 +tp15207 +a(g826 +V\u000a +p15208 +tp15209 +a(g744 +Velse +p15210 +tp15211 +a(g826 +V\u000a +p15212 +tp15213 +a(g693 +VResult +p15214 +tp15215 +a(g826 +g962 +tp15216 +a(g412 +g1407 +tp15217 +a(g412 +g1007 +tp15218 +a(g826 +g962 +tp15219 +a(g89 +g1101 +tp15220 +a(g89 +g1066 +tp15221 +a(g89 +g1101 +tp15222 +a(g826 +g962 +tp15223 +a(g412 +g1223 +tp15224 +a(g826 +g962 +tp15225 +a(g681 +VIntToStr +p15226 +tp15227 +a(g705 +g1137 +tp15228 +a(g748 +VCardinal +p15229 +tp15230 +a(g705 +g1137 +tp15231 +a(g435 +g1758 +tp15232 +a(g705 +V)) +p15233 +tp15234 +a(g826 +g962 +tp15235 +a(g412 +g1223 +tp15236 +a(g826 +g962 +tp15237 +a(g89 +g1101 +tp15238 +a(g89 +g1074 +tp15239 +a(g89 +g1101 +tp15240 +a(g412 +g966 +tp15241 +a(g826 +V\u000a +p15242 +tp15243 +a(g744 +Vend +p15244 +tp15245 +a(g412 +g966 +tp15246 +a(g826 +V\u000a\u000a +p15247 +tp15248 +a(g744 +Vprocedure +p15249 +tp15250 +a(g826 +g962 +tp15251 +a(g573 +VAdd +p15252 +tp15253 +a(g705 +g1137 +tp15254 +a(g744 +Vconst +p15255 +tp15256 +a(g826 +g962 +tp15257 +a(g435 +g1773 +tp15258 +a(g412 +g1407 +tp15259 +a(g826 +g962 +tp15260 +a(g744 +Vstring +p15261 +tp15262 +a(g705 +g1141 +tp15263 +a(g412 +g966 +tp15264 +a(g826 +V\u000a +p15265 +tp15266 +a(g744 +Vbegin +p15267 +tp15268 +a(g826 +V\u000a +p15269 +tp15270 +a(g693 +VResult +p15271 +tp15272 +a(g826 +g962 +tp15273 +a(g412 +g1407 +tp15274 +a(g412 +g1007 +tp15275 +a(g826 +g962 +tp15276 +a(g693 +VResult +p15277 +tp15278 +a(g826 +g962 +tp15279 +a(g412 +g1223 +tp15280 +a(g826 +g962 +tp15281 +a(g435 +g7470 +tp15282 +a(g826 +g962 +tp15283 +a(g412 +g1223 +tp15284 +a(g826 +g962 +tp15285 +a(g435 +VSeparator +p15286 +tp15287 +a(g412 +g966 +tp15288 +a(g826 +V\u000a +p15289 +tp15290 +a(g744 +Vend +p15291 +tp15292 +a(g412 +g966 +tp15293 +a(g826 +V\u000a\u000a +p15294 +tp15295 +a(g744 +Vbegin +p15296 +tp15297 +a(g826 +V\u000a +p15298 +tp15299 +a(g693 +VResult +p15300 +tp15301 +a(g826 +g962 +tp15302 +a(g412 +g1407 +tp15303 +a(g412 +g1007 +tp15304 +a(g826 +g962 +tp15305 +a(g89 +g1101 +tp15306 +a(g89 +g1101 +tp15307 +a(g412 +g966 +tp15308 +a(g826 +V\u000a +p15309 +tp15310 +a(g744 +Vfor +p15311 +tp15312 +a(g826 +g962 +tp15313 +a(g435 +g4708 +tp15314 +a(g826 +g962 +tp15315 +a(g412 +g1407 +tp15316 +a(g412 +g1007 +tp15317 +a(g826 +g962 +tp15318 +a(g37 +g1202 +tp15319 +a(g826 +g962 +tp15320 +a(g744 +Vto +p15321 +tp15322 +a(g826 +g962 +tp15323 +a(g681 +VHigh +p15324 +tp15325 +a(g705 +g1137 +tp15326 +a(g435 +VItems +p15327 +tp15328 +a(g705 +g1141 +tp15329 +a(g826 +g962 +tp15330 +a(g744 +Vdo +p15331 +tp15332 +a(g826 +V\u000a +p15333 +tp15334 +a(g744 +Vwith +p15335 +tp15336 +a(g826 +g962 +tp15337 +a(g435 +VItems +p15338 +tp15339 +a(g705 +g1066 +tp15340 +a(g435 +g4708 +tp15341 +a(g705 +g1074 +tp15342 +a(g826 +g962 +tp15343 +a(g744 +Vdo +p15344 +tp15345 +a(g826 +V\u000a +p15346 +tp15347 +a(g744 +Vcase +p15348 +tp15349 +a(g826 +g962 +tp15350 +a(g435 +VVType +p15351 +tp15352 +a(g826 +g962 +tp15353 +a(g744 +Vof +p15354 +tp15355 +a(g826 +V\u000a +p15356 +tp15357 +a(g435 +VvtString +p15358 +tp15359 +a(g412 +g1407 +tp15360 +a(g826 +V +p15361 +tp15362 +a(g435 +VAdd +p15363 +tp15364 +a(g705 +g1137 +tp15365 +a(g435 +VVString +p15366 +tp15367 +a(g412 +g13767 +tp15368 +a(g705 +g1141 +tp15369 +a(g412 +g966 +tp15370 +a(g826 +V\u000a +p15371 +tp15372 +a(g435 +VvtInteger +p15373 +tp15374 +a(g412 +g1407 +tp15375 +a(g826 +V +p15376 +tp15377 +a(g435 +VAdd +p15378 +tp15379 +a(g705 +g1137 +tp15380 +a(g681 +VIntToStr +p15381 +tp15382 +a(g705 +g1137 +tp15383 +a(g435 +VVInteger +p15384 +tp15385 +a(g705 +V)) +p15386 +tp15387 +a(g412 +g966 +tp15388 +a(g826 +V\u000a +p15389 +tp15390 +a(g435 +VvtBoolean +p15391 +tp15392 +a(g412 +g1407 +tp15393 +a(g826 +V +p15394 +tp15395 +a(g435 +VAdd +p15396 +tp15397 +a(g705 +g1137 +tp15398 +a(g435 +VBoolStrings +p15399 +tp15400 +a(g705 +g1066 +tp15401 +a(g435 +VVBoolean +p15402 +tp15403 +a(g705 +V]) +p15404 +tp15405 +a(g412 +g966 +tp15406 +a(g826 +V\u000a +p15407 +tp15408 +a(g435 +VvtChar +p15409 +tp15410 +a(g412 +g1407 +tp15411 +a(g826 +V +p15412 +tp15413 +a(g435 +VAdd +p15414 +tp15415 +a(g705 +g1137 +tp15416 +a(g435 +VVChar +p15417 +tp15418 +a(g705 +g1141 +tp15419 +a(g412 +g966 +tp15420 +a(g826 +V\u000a +p15421 +tp15422 +a(g435 +VvtPChar +p15423 +tp15424 +a(g412 +g1407 +tp15425 +a(g826 +V +p15426 +tp15427 +a(g435 +VAdd +p15428 +tp15429 +a(g705 +g1137 +tp15430 +a(g435 +VVPChar +p15431 +tp15432 +a(g705 +g1141 +tp15433 +a(g412 +g966 +tp15434 +a(g826 +V\u000a +p15435 +tp15436 +a(g435 +VvtExtended +p15437 +tp15438 +a(g412 +g1407 +tp15439 +a(g826 +V +p15440 +tp15441 +a(g435 +VAdd +p15442 +tp15443 +a(g705 +g1137 +tp15444 +a(g681 +VFloatToStr +p15445 +tp15446 +a(g705 +g1137 +tp15447 +a(g435 +VVExtended +p15448 +tp15449 +a(g412 +g13767 +tp15450 +a(g705 +V)) +p15451 +tp15452 +a(g412 +g966 +tp15453 +a(g826 +V\u000a +p15454 +tp15455 +a(g435 +VvtObject +p15456 +tp15457 +a(g412 +g1407 +tp15458 +a(g826 +V +p15459 +tp15460 +a(g744 +Vif +p15461 +tp15462 +a(g826 +g962 +tp15463 +a(g435 +VVObject +p15464 +tp15465 +a(g826 +g962 +tp15466 +a(g744 +Vis +p15467 +tp15468 +a(g826 +g962 +tp15469 +a(g435 +VTComponent +p15470 +tp15471 +a(g826 +g962 +tp15472 +a(g744 +Vthen +p15473 +tp15474 +a(g826 +V\u000a +p15475 +tp15476 +a(g435 +VAdd +p15477 +tp15478 +a(g705 +g1137 +tp15479 +a(g435 +VTComponent +p15480 +tp15481 +a(g705 +g1137 +tp15482 +a(g435 +VVObject +p15483 +tp15484 +a(g705 +g1141 +tp15485 +a(g412 +g1154 +tp15486 +a(g435 +VName +p15487 +tp15488 +a(g705 +g1141 +tp15489 +a(g826 +V\u000a +p15490 +tp15491 +a(g744 +Velse +p15492 +tp15493 +a(g826 +V\u000a +p15494 +tp15495 +a(g435 +VAdd +p15496 +tp15497 +a(g705 +g1137 +tp15498 +a(g435 +VVObject +p15499 +tp15500 +a(g412 +g1154 +tp15501 +a(g435 +VClassName +p15502 +tp15503 +a(g705 +g1141 +tp15504 +a(g412 +g966 +tp15505 +a(g826 +V\u000a +p15506 +tp15507 +a(g435 +VvtClass +p15508 +tp15509 +a(g412 +g1407 +tp15510 +a(g826 +V +p15511 +tp15512 +a(g435 +VAdd +p15513 +tp15514 +a(g705 +g1137 +tp15515 +a(g435 +VVClass +p15516 +tp15517 +a(g412 +g1154 +tp15518 +a(g435 +VClassName +p15519 +tp15520 +a(g705 +g1141 +tp15521 +a(g412 +g966 +tp15522 +a(g826 +V\u000a +p15523 +tp15524 +a(g435 +VvtAnsiString +p15525 +tp15526 +a(g412 +g1407 +tp15527 +a(g826 +g962 +tp15528 +a(g435 +VAdd +p15529 +tp15530 +a(g705 +g1137 +tp15531 +a(g744 +Vstring +p15532 +tp15533 +a(g705 +g1137 +tp15534 +a(g435 +VVAnsiString +p15535 +tp15536 +a(g705 +V)) +p15537 +tp15538 +a(g412 +g966 +tp15539 +a(g826 +V\u000a +p15540 +tp15541 +a(g435 +VvtCurrency +p15542 +tp15543 +a(g412 +g1407 +tp15544 +a(g826 +V +p15545 +tp15546 +a(g435 +VAdd +p15547 +tp15548 +a(g705 +g1137 +tp15549 +a(g681 +VCurrToStr +p15550 +tp15551 +a(g705 +g1137 +tp15552 +a(g435 +VVCurrency +p15553 +tp15554 +a(g412 +g13767 +tp15555 +a(g705 +V)) +p15556 +tp15557 +a(g412 +g966 +tp15558 +a(g826 +V\u000a +p15559 +tp15560 +a(g435 +VvtInt64 +p15561 +tp15562 +a(g412 +g1407 +tp15563 +a(g826 +V +p15564 +tp15565 +a(g435 +VAdd +p15566 +tp15567 +a(g705 +g1137 +tp15568 +a(g681 +VIntToStr +p15569 +tp15570 +a(g705 +g1137 +tp15571 +a(g435 +VVInt64 +p15572 +tp15573 +a(g412 +g13767 +tp15574 +a(g705 +V)) +p15575 +tp15576 +a(g412 +g966 +tp15577 +a(g826 +V\u000a +p15578 +tp15579 +a(g435 +VvtVariant +p15580 +tp15581 +a(g412 +g1407 +tp15582 +a(g826 +V +p15583 +tp15584 +a(g435 +VAdd +p15585 +tp15586 +a(g705 +g1137 +tp15587 +a(g744 +Vstring +p15588 +tp15589 +a(g705 +g1137 +tp15590 +a(g435 +VVVariant +p15591 +tp15592 +a(g412 +g13767 +tp15593 +a(g705 +V)) +p15594 +tp15595 +a(g412 +g966 +tp15596 +a(g826 +V\u000a\u000a +p15597 +tp15598 +a(g435 +VvtWideChar +p15599 +tp15600 +a(g412 +g1407 +tp15601 +a(g826 +V +p15602 +tp15603 +a(g435 +VAdd +p15604 +tp15605 +a(g705 +g1137 +tp15606 +a(g435 +VVWideChar +p15607 +tp15608 +a(g705 +g1141 +tp15609 +a(g412 +g966 +tp15610 +a(g826 +V\u000a +p15611 +tp15612 +a(g435 +VvtPWideChar +p15613 +tp15614 +a(g412 +g1407 +tp15615 +a(g826 +V +p15616 +tp15617 +a(g435 +VAdd +p15618 +tp15619 +a(g705 +g1137 +tp15620 +a(g435 +VVPWideChar +p15621 +tp15622 +a(g705 +g1141 +tp15623 +a(g412 +g966 +tp15624 +a(g826 +V\u000a +p15625 +tp15626 +a(g435 +VvtInterface +p15627 +tp15628 +a(g412 +g1407 +tp15629 +a(g826 +V +p15630 +tp15631 +a(g435 +VAdd +p15632 +tp15633 +a(g705 +g1137 +tp15634 +a(g435 +VStrOfP +p15635 +tp15636 +a(g705 +g1137 +tp15637 +a(g435 +VVInterface +p15638 +tp15639 +a(g705 +V)) +p15640 +tp15641 +a(g412 +g966 +tp15642 +a(g826 +V\u000a +p15643 +tp15644 +a(g435 +VvtPointer +p15645 +tp15646 +a(g412 +g1407 +tp15647 +a(g826 +V +p15648 +tp15649 +a(g435 +VAdd +p15650 +tp15651 +a(g705 +g1137 +tp15652 +a(g435 +VStrOfP +p15653 +tp15654 +a(g705 +g1137 +tp15655 +a(g435 +VVPointer +p15656 +tp15657 +a(g705 +V)) +p15658 +tp15659 +a(g412 +g966 +tp15660 +a(g826 +V\u000a +p15661 +tp15662 +a(g435 +VvtWideString +p15663 +tp15664 +a(g412 +g1407 +tp15665 +a(g826 +g962 +tp15666 +a(g435 +VAdd +p15667 +tp15668 +a(g705 +g1137 +tp15669 +a(g748 +VWideString +p15670 +tp15671 +a(g705 +g1137 +tp15672 +a(g435 +VVWideString +p15673 +tp15674 +a(g705 +V)) +p15675 +tp15676 +a(g412 +g966 +tp15677 +a(g826 +V\u000a +p15678 +tp15679 +a(g744 +Vend +p15680 +tp15681 +a(g412 +g966 +tp15682 +a(g826 +V\u000a +p15683 +tp15684 +a(g744 +Vif +p15685 +tp15686 +a(g826 +g962 +tp15687 +a(g693 +VResult +p15688 +tp15689 +a(g826 +g962 +tp15690 +a(g412 +g1413 +tp15691 +a(g412 +g1419 +tp15692 +a(g826 +g962 +tp15693 +a(g89 +g1101 +tp15694 +a(g89 +g1101 +tp15695 +a(g826 +g962 +tp15696 +a(g744 +Vthen +p15697 +tp15698 +a(g826 +V\u000a +p15699 +tp15700 +a(g681 +VSetLength +p15701 +tp15702 +a(g705 +g1137 +tp15703 +a(g693 +Vresult +p15704 +tp15705 +a(g412 +g984 +tp15706 +a(g826 +g962 +tp15707 +a(g681 +VLength +p15708 +tp15709 +a(g705 +g1137 +tp15710 +a(g693 +VResult +p15711 +tp15712 +a(g705 +g1141 +tp15713 +a(g826 +g962 +tp15714 +a(g412 +g1109 +tp15715 +a(g826 +g962 +tp15716 +a(g681 +VLength +p15717 +tp15718 +a(g705 +g1137 +tp15719 +a(g435 +VSeparator +p15720 +tp15721 +a(g705 +V)) +p15722 +tp15723 +a(g412 +g966 +tp15724 +a(g826 +V\u000a +p15725 +tp15726 +a(g744 +Vend +p15727 +tp15728 +a(g412 +g966 +tp15729 +a(g826 +V\u000a\u000a +p15730 +tp15731 +a(g744 +Vprocedure +p15732 +tp15733 +a(g826 +g962 +tp15734 +a(g573 +VShowText +p15735 +tp15736 +a(g705 +g1137 +tp15737 +a(g744 +Vconst +p15738 +tp15739 +a(g826 +g962 +tp15740 +a(g435 +VItems +p15741 +tp15742 +a(g412 +g1407 +tp15743 +a(g826 +g962 +tp15744 +a(g744 +Varray +p15745 +tp15746 +a(g826 +g962 +tp15747 +a(g744 +Vof +p15748 +tp15749 +a(g826 +g962 +tp15750 +a(g744 +Vconst +p15751 +tp15752 +a(g412 +g966 +tp15753 +a(g826 +g962 +tp15754 +a(g435 +VSeparator +p15755 +tp15756 +a(g412 +g1407 +tp15757 +a(g826 +g962 +tp15758 +a(g744 +Vstring +p15759 +tp15760 +a(g826 +g962 +tp15761 +a(g412 +g1007 +tp15762 +a(g826 +g962 +tp15763 +a(g89 +g1101 +tp15764 +a(g89 +g1101 +tp15765 +a(g705 +g1141 +tp15766 +a(g412 +g966 +tp15767 +a(g826 +V\u000a +p15768 +tp15769 +a(g744 +Vvar +p15770 +tp15771 +a(g826 +V\u000a +p15772 +tp15773 +a(g435 +VText +p15774 +tp15775 +a(g412 +g1407 +tp15776 +a(g826 +g962 +tp15777 +a(g744 +Vstring +p15778 +tp15779 +a(g412 +g966 +tp15780 +a(g826 +V\u000a +p15781 +tp15782 +a(g744 +Vbegin +p15783 +tp15784 +a(g826 +V\u000a +p15785 +tp15786 +a(g435 +VText +p15787 +tp15788 +a(g826 +g962 +tp15789 +a(g412 +g1407 +tp15790 +a(g412 +g1007 +tp15791 +a(g826 +g962 +tp15792 +a(g435 +VMakeStr +p15793 +tp15794 +a(g705 +g1137 +tp15795 +a(g435 +VItems +p15796 +tp15797 +a(g412 +g984 +tp15798 +a(g826 +g962 +tp15799 +a(g435 +VSeparator +p15800 +tp15801 +a(g705 +g1141 +tp15802 +a(g412 +g966 +tp15803 +a(g826 +V\u000a\u000a +p15804 +tp15805 +a(g435 +VMessageBox +p15806 +tp15807 +a(g705 +g1137 +tp15808 +a(g37 +g1202 +tp15809 +a(g412 +g984 +tp15810 +a(g826 +g962 +tp15811 +a(g748 +VPChar +p15812 +tp15813 +a(g705 +g1137 +tp15814 +a(g435 +VText +p15815 +tp15816 +a(g705 +g1141 +tp15817 +a(g412 +g984 +tp15818 +a(g826 +g962 +tp15819 +a(g89 +g1101 +tp15820 +a(g89 +VInfo +p15821 +tp15822 +a(g89 +g1101 +tp15823 +a(g412 +g984 +tp15824 +a(g826 +g962 +tp15825 +a(g435 +VMB_OK +p15826 +tp15827 +a(g826 +g962 +tp15828 +a(g744 +Vand +p15829 +tp15830 +a(g826 +g962 +tp15831 +a(g435 +VMB_APPLMODAL +p15832 +tp15833 +a(g705 +g1141 +tp15834 +a(g412 +g966 +tp15835 +a(g826 +V\u000a +p15836 +tp15837 +a(g744 +Vend +p15838 +tp15839 +a(g412 +g966 +tp15840 +a(g826 +V\u000a\u000a +p15841 +tp15842 +a(g744 +Vfunction +p15843 +tp15844 +a(g826 +g962 +tp15845 +a(g573 +VDeleteChars +p15846 +tp15847 +a(g705 +g1137 +tp15848 +a(g744 +Vconst +p15849 +tp15850 +a(g826 +g962 +tp15851 +a(g435 +g1773 +tp15852 +a(g412 +g1407 +tp15853 +a(g826 +g962 +tp15854 +a(g744 +Vstring +p15855 +tp15856 +a(g412 +g966 +tp15857 +a(g826 +g962 +tp15858 +a(g435 +g1510 +tp15859 +a(g412 +g1407 +tp15860 +a(g826 +g962 +tp15861 +a(g748 +VChar +p15862 +tp15863 +a(g705 +g1141 +tp15864 +a(g412 +g1407 +tp15865 +a(g826 +g962 +tp15866 +a(g744 +Vstring +p15867 +tp15868 +a(g412 +g966 +tp15869 +a(g826 +V\u000a +p15870 +tp15871 +a(g744 +Vvar +p15872 +tp15873 +a(g826 +V\u000a +p15874 +tp15875 +a(g435 +g4708 +tp15876 +a(g412 +g1407 +tp15877 +a(g826 +g962 +tp15878 +a(g748 +VInteger +p15879 +tp15880 +a(g412 +g966 +tp15881 +a(g826 +V\u000a +p15882 +tp15883 +a(g744 +Vbegin +p15884 +tp15885 +a(g826 +V\u000a +p15886 +tp15887 +a(g693 +VResult +p15888 +tp15889 +a(g826 +g962 +tp15890 +a(g412 +g1407 +tp15891 +a(g412 +g1007 +tp15892 +a(g826 +g962 +tp15893 +a(g89 +g1101 +tp15894 +a(g89 +g1101 +tp15895 +a(g412 +g966 +tp15896 +a(g826 +V\u000a +p15897 +tp15898 +a(g744 +Vfor +p15899 +tp15900 +a(g826 +g962 +tp15901 +a(g435 +g4708 +tp15902 +a(g826 +g962 +tp15903 +a(g412 +g1407 +tp15904 +a(g412 +g1007 +tp15905 +a(g826 +g962 +tp15906 +a(g37 +g1337 +tp15907 +a(g826 +g962 +tp15908 +a(g744 +Vto +p15909 +tp15910 +a(g826 +g962 +tp15911 +a(g681 +VLength +p15912 +tp15913 +a(g705 +g1137 +tp15914 +a(g435 +g1773 +tp15915 +a(g705 +g1141 +tp15916 +a(g826 +g962 +tp15917 +a(g744 +Vdo +p15918 +tp15919 +a(g826 +V\u000a +p15920 +tp15921 +a(g744 +Vif +p15922 +tp15923 +a(g826 +g962 +tp15924 +a(g435 +g1773 +tp15925 +a(g705 +g1066 +tp15926 +a(g435 +g4708 +tp15927 +a(g705 +g1074 +tp15928 +a(g826 +g962 +tp15929 +a(g412 +g1413 +tp15930 +a(g412 +g1419 +tp15931 +a(g826 +g962 +tp15932 +a(g435 +g1510 +tp15933 +a(g826 +g962 +tp15934 +a(g744 +Vthen +p15935 +tp15936 +a(g826 +V\u000a +p15937 +tp15938 +a(g693 +VResult +p15939 +tp15940 +a(g826 +g962 +tp15941 +a(g412 +g1407 +tp15942 +a(g412 +g1007 +tp15943 +a(g826 +g962 +tp15944 +a(g693 +VResult +p15945 +tp15946 +a(g826 +g962 +tp15947 +a(g412 +g1223 +tp15948 +a(g826 +g962 +tp15949 +a(g435 +g1773 +tp15950 +a(g705 +g1066 +tp15951 +a(g435 +g4708 +tp15952 +a(g705 +g1074 +tp15953 +a(g412 +g966 +tp15954 +a(g826 +V\u000a +p15955 +tp15956 +a(g744 +Vend +p15957 +tp15958 +a(g412 +g966 +tp15959 +a(g826 +V\u000a\u000a +p15960 +tp15961 +a(g744 +Vfunction +p15962 +tp15963 +a(g826 +g962 +tp15964 +a(g573 +VDeleteChars +p15965 +tp15966 +a(g705 +g1137 +tp15967 +a(g744 +Vconst +p15968 +tp15969 +a(g826 +g962 +tp15970 +a(g435 +g1773 +tp15971 +a(g412 +g1407 +tp15972 +a(g826 +g962 +tp15973 +a(g744 +Vstring +p15974 +tp15975 +a(g412 +g966 +tp15976 +a(g826 +g962 +tp15977 +a(g435 +g1510 +tp15978 +a(g412 +g1407 +tp15979 +a(g826 +g962 +tp15980 +a(g435 +VTCharSet +p15981 +tp15982 +a(g705 +g1141 +tp15983 +a(g412 +g1407 +tp15984 +a(g826 +g962 +tp15985 +a(g744 +Vstring +p15986 +tp15987 +a(g412 +g966 +tp15988 +a(g826 +V\u000a +p15989 +tp15990 +a(g744 +Vvar +p15991 +tp15992 +a(g826 +V\u000a +p15993 +tp15994 +a(g435 +g4708 +tp15995 +a(g412 +g1407 +tp15996 +a(g826 +g962 +tp15997 +a(g748 +VInteger +p15998 +tp15999 +a(g412 +g966 +tp16000 +a(g826 +V\u000a +p16001 +tp16002 +a(g744 +Vbegin +p16003 +tp16004 +a(g826 +V\u000a +p16005 +tp16006 +a(g693 +VResult +p16007 +tp16008 +a(g826 +g962 +tp16009 +a(g412 +g1407 +tp16010 +a(g412 +g1007 +tp16011 +a(g826 +g962 +tp16012 +a(g89 +g1101 +tp16013 +a(g89 +g1101 +tp16014 +a(g412 +g966 +tp16015 +a(g826 +V\u000a +p16016 +tp16017 +a(g744 +Vfor +p16018 +tp16019 +a(g826 +g962 +tp16020 +a(g435 +g4708 +tp16021 +a(g826 +g962 +tp16022 +a(g412 +g1407 +tp16023 +a(g412 +g1007 +tp16024 +a(g826 +g962 +tp16025 +a(g37 +g1337 +tp16026 +a(g826 +g962 +tp16027 +a(g744 +Vto +p16028 +tp16029 +a(g826 +g962 +tp16030 +a(g681 +VLength +p16031 +tp16032 +a(g705 +g1137 +tp16033 +a(g435 +g1773 +tp16034 +a(g705 +g1141 +tp16035 +a(g826 +g962 +tp16036 +a(g744 +Vdo +p16037 +tp16038 +a(g826 +V\u000a +p16039 +tp16040 +a(g744 +Vif +p16041 +tp16042 +a(g826 +g962 +tp16043 +a(g744 +Vnot +p16044 +tp16045 +a(g826 +g962 +tp16046 +a(g705 +g1137 +tp16047 +a(g435 +g1773 +tp16048 +a(g705 +g1066 +tp16049 +a(g435 +g4708 +tp16050 +a(g705 +g1074 +tp16051 +a(g826 +g962 +tp16052 +a(g744 +Vin +p16053 +tp16054 +a(g826 +g962 +tp16055 +a(g435 +g1510 +tp16056 +a(g705 +g1141 +tp16057 +a(g826 +g962 +tp16058 +a(g744 +Vthen +p16059 +tp16060 +a(g826 +V\u000a +p16061 +tp16062 +a(g693 +VResult +p16063 +tp16064 +a(g826 +g962 +tp16065 +a(g412 +g1407 +tp16066 +a(g412 +g1007 +tp16067 +a(g826 +g962 +tp16068 +a(g693 +VResult +p16069 +tp16070 +a(g826 +g962 +tp16071 +a(g412 +g1223 +tp16072 +a(g826 +g962 +tp16073 +a(g435 +g1773 +tp16074 +a(g705 +g1066 +tp16075 +a(g435 +g4708 +tp16076 +a(g705 +g1074 +tp16077 +a(g412 +g966 +tp16078 +a(g826 +V\u000a +p16079 +tp16080 +a(g744 +Vend +p16081 +tp16082 +a(g412 +g966 +tp16083 +a(g826 +V\u000a\u000a +p16084 +tp16085 +a(g744 +Vfunction +p16086 +tp16087 +a(g826 +g962 +tp16088 +a(g573 +VExtractChars +p16089 +tp16090 +a(g705 +g1137 +tp16091 +a(g744 +Vconst +p16092 +tp16093 +a(g826 +g962 +tp16094 +a(g435 +g1773 +tp16095 +a(g412 +g1407 +tp16096 +a(g826 +g962 +tp16097 +a(g744 +Vstring +p16098 +tp16099 +a(g412 +g966 +tp16100 +a(g826 +g962 +tp16101 +a(g435 +g1510 +tp16102 +a(g412 +g1407 +tp16103 +a(g826 +g962 +tp16104 +a(g435 +VTCharSet +p16105 +tp16106 +a(g705 +g1141 +tp16107 +a(g412 +g1407 +tp16108 +a(g826 +g962 +tp16109 +a(g744 +Vstring +p16110 +tp16111 +a(g412 +g966 +tp16112 +a(g826 +V\u000a +p16113 +tp16114 +a(g744 +Vvar +p16115 +tp16116 +a(g826 +V\u000a +p16117 +tp16118 +a(g435 +g4708 +tp16119 +a(g412 +g1407 +tp16120 +a(g826 +g962 +tp16121 +a(g748 +VInteger +p16122 +tp16123 +a(g412 +g966 +tp16124 +a(g826 +V\u000a +p16125 +tp16126 +a(g744 +Vbegin +p16127 +tp16128 +a(g826 +V\u000a +p16129 +tp16130 +a(g693 +VResult +p16131 +tp16132 +a(g826 +g962 +tp16133 +a(g412 +g1407 +tp16134 +a(g412 +g1007 +tp16135 +a(g826 +g962 +tp16136 +a(g89 +g1101 +tp16137 +a(g89 +g1101 +tp16138 +a(g412 +g966 +tp16139 +a(g826 +V\u000a +p16140 +tp16141 +a(g744 +Vfor +p16142 +tp16143 +a(g826 +g962 +tp16144 +a(g435 +g4708 +tp16145 +a(g826 +g962 +tp16146 +a(g412 +g1407 +tp16147 +a(g412 +g1007 +tp16148 +a(g826 +g962 +tp16149 +a(g37 +g1337 +tp16150 +a(g826 +g962 +tp16151 +a(g744 +Vto +p16152 +tp16153 +a(g826 +g962 +tp16154 +a(g681 +VLength +p16155 +tp16156 +a(g705 +g1137 +tp16157 +a(g435 +g1773 +tp16158 +a(g705 +g1141 +tp16159 +a(g826 +g962 +tp16160 +a(g744 +Vdo +p16161 +tp16162 +a(g826 +V\u000a +p16163 +tp16164 +a(g744 +Vif +p16165 +tp16166 +a(g826 +g962 +tp16167 +a(g435 +g1773 +tp16168 +a(g705 +g1066 +tp16169 +a(g435 +g4708 +tp16170 +a(g705 +g1074 +tp16171 +a(g826 +g962 +tp16172 +a(g744 +Vin +p16173 +tp16174 +a(g826 +g962 +tp16175 +a(g435 +g1510 +tp16176 +a(g826 +g962 +tp16177 +a(g744 +Vthen +p16178 +tp16179 +a(g826 +V\u000a +p16180 +tp16181 +a(g693 +VResult +p16182 +tp16183 +a(g826 +g962 +tp16184 +a(g412 +g1407 +tp16185 +a(g412 +g1007 +tp16186 +a(g826 +g962 +tp16187 +a(g693 +VResult +p16188 +tp16189 +a(g826 +g962 +tp16190 +a(g412 +g1223 +tp16191 +a(g826 +g962 +tp16192 +a(g435 +g1773 +tp16193 +a(g705 +g1066 +tp16194 +a(g435 +g4708 +tp16195 +a(g705 +g1074 +tp16196 +a(g412 +g966 +tp16197 +a(g826 +V\u000a +p16198 +tp16199 +a(g744 +Vend +p16200 +tp16201 +a(g412 +g966 +tp16202 +a(g826 +V\u000a\u000a +p16203 +tp16204 +a(g744 +Vfunction +p16205 +tp16206 +a(g826 +g962 +tp16207 +a(g573 +VCharCount +p16208 +tp16209 +a(g705 +g1137 +tp16210 +a(g744 +Vconst +p16211 +tp16212 +a(g826 +g962 +tp16213 +a(g435 +g1773 +tp16214 +a(g412 +g1407 +tp16215 +a(g826 +g962 +tp16216 +a(g744 +Vstring +p16217 +tp16218 +a(g412 +g966 +tp16219 +a(g826 +g962 +tp16220 +a(g435 +g1510 +tp16221 +a(g412 +g1407 +tp16222 +a(g826 +g962 +tp16223 +a(g748 +VChar +p16224 +tp16225 +a(g705 +g1141 +tp16226 +a(g412 +g1407 +tp16227 +a(g826 +g962 +tp16228 +a(g748 +VInteger +p16229 +tp16230 +a(g412 +g966 +tp16231 +a(g826 +V\u000a +p16232 +tp16233 +a(g744 +Vvar +p16234 +tp16235 +a(g826 +V\u000a +p16236 +tp16237 +a(g435 +g4708 +tp16238 +a(g412 +g1407 +tp16239 +a(g826 +g962 +tp16240 +a(g748 +VInteger +p16241 +tp16242 +a(g412 +g966 +tp16243 +a(g826 +V\u000a +p16244 +tp16245 +a(g744 +Vbegin +p16246 +tp16247 +a(g826 +V\u000a +p16248 +tp16249 +a(g693 +VResult +p16250 +tp16251 +a(g826 +g962 +tp16252 +a(g412 +g1407 +tp16253 +a(g412 +g1007 +tp16254 +a(g826 +g962 +tp16255 +a(g37 +g1202 +tp16256 +a(g412 +g966 +tp16257 +a(g826 +V\u000a +p16258 +tp16259 +a(g744 +Vfor +p16260 +tp16261 +a(g826 +g962 +tp16262 +a(g435 +g4708 +tp16263 +a(g826 +g962 +tp16264 +a(g412 +g1407 +tp16265 +a(g412 +g1007 +tp16266 +a(g826 +g962 +tp16267 +a(g37 +g1337 +tp16268 +a(g826 +g962 +tp16269 +a(g744 +Vto +p16270 +tp16271 +a(g826 +g962 +tp16272 +a(g681 +VLength +p16273 +tp16274 +a(g705 +g1137 +tp16275 +a(g435 +g1773 +tp16276 +a(g705 +g1141 +tp16277 +a(g826 +g962 +tp16278 +a(g744 +Vdo +p16279 +tp16280 +a(g826 +V\u000a +p16281 +tp16282 +a(g744 +Vif +p16283 +tp16284 +a(g826 +g962 +tp16285 +a(g435 +g1773 +tp16286 +a(g705 +g1066 +tp16287 +a(g435 +g4708 +tp16288 +a(g705 +g1074 +tp16289 +a(g826 +g962 +tp16290 +a(g412 +g1007 +tp16291 +a(g826 +g962 +tp16292 +a(g435 +g1510 +tp16293 +a(g826 +g962 +tp16294 +a(g744 +Vthen +p16295 +tp16296 +a(g826 +V\u000a +p16297 +tp16298 +a(g681 +VInc +p16299 +tp16300 +a(g705 +g1137 +tp16301 +a(g693 +VResult +p16302 +tp16303 +a(g705 +g1141 +tp16304 +a(g412 +g966 +tp16305 +a(g826 +V\u000a +p16306 +tp16307 +a(g744 +Vend +p16308 +tp16309 +a(g412 +g966 +tp16310 +a(g826 +V\u000a\u000a +p16311 +tp16312 +a(g744 +Vfunction +p16313 +tp16314 +a(g826 +g962 +tp16315 +a(g573 +VStrAtPos +p16316 +tp16317 +a(g705 +g1137 +tp16318 +a(g744 +Vconst +p16319 +tp16320 +a(g826 +g962 +tp16321 +a(g435 +g1773 +tp16322 +a(g412 +g1407 +tp16323 +a(g826 +g962 +tp16324 +a(g744 +Vstring +p16325 +tp16326 +a(g412 +g966 +tp16327 +a(g826 +g962 +tp16328 +a(g681 +VPos +p16329 +tp16330 +a(g412 +g1407 +tp16331 +a(g826 +g962 +tp16332 +a(g748 +VInteger +p16333 +tp16334 +a(g412 +g966 +tp16335 +a(g826 +g962 +tp16336 +a(g744 +Vconst +p16337 +tp16338 +a(g826 +g962 +tp16339 +a(g681 +VStr +p16340 +tp16341 +a(g412 +g1407 +tp16342 +a(g826 +g962 +tp16343 +a(g744 +Vstring +p16344 +tp16345 +a(g705 +g1141 +tp16346 +a(g412 +g1407 +tp16347 +a(g826 +g962 +tp16348 +a(g748 +VBoolean +p16349 +tp16350 +a(g412 +g966 +tp16351 +a(g826 +V\u000a +p16352 +tp16353 +a(g744 +Vbegin +p16354 +tp16355 +a(g826 +V\u000a +p16356 +tp16357 +a(g693 +VResult +p16358 +tp16359 +a(g826 +g962 +tp16360 +a(g412 +g1407 +tp16361 +a(g412 +g1007 +tp16362 +a(g826 +g962 +tp16363 +a(g705 +g1137 +tp16364 +a(g681 +VStr +p16365 +tp16366 +a(g826 +g962 +tp16367 +a(g412 +g1413 +tp16368 +a(g412 +g1419 +tp16369 +a(g826 +g962 +tp16370 +a(g89 +g1101 +tp16371 +a(g89 +g1101 +tp16372 +a(g705 +g1141 +tp16373 +a(g826 +g962 +tp16374 +a(g744 +Vand +p16375 +tp16376 +a(g826 +g962 +tp16377 +a(g705 +g1137 +tp16378 +a(g681 +VStr +p16379 +tp16380 +a(g826 +g962 +tp16381 +a(g412 +g1007 +tp16382 +a(g826 +g962 +tp16383 +a(g681 +VCopy +p16384 +tp16385 +a(g705 +g1137 +tp16386 +a(g435 +g1773 +tp16387 +a(g412 +g984 +tp16388 +a(g826 +g962 +tp16389 +a(g681 +VPos +p16390 +tp16391 +a(g412 +g984 +tp16392 +a(g826 +g962 +tp16393 +a(g681 +VLength +p16394 +tp16395 +a(g705 +g1137 +tp16396 +a(g681 +VStr +p16397 +tp16398 +a(g705 +V))) +p16399 +tp16400 +a(g412 +g966 +tp16401 +a(g826 +V\u000a +p16402 +tp16403 +a(g744 +Vend +p16404 +tp16405 +a(g412 +g966 +tp16406 +a(g826 +V\u000a\u000a +p16407 +tp16408 +a(g744 +Vfunction +p16409 +tp16410 +a(g826 +g962 +tp16411 +a(g573 +VTextAtPos +p16412 +tp16413 +a(g705 +g1137 +tp16414 +a(g744 +Vconst +p16415 +tp16416 +a(g826 +g962 +tp16417 +a(g435 +g1773 +tp16418 +a(g412 +g1407 +tp16419 +a(g826 +g962 +tp16420 +a(g744 +Vstring +p16421 +tp16422 +a(g412 +g966 +tp16423 +a(g826 +g962 +tp16424 +a(g681 +VPos +p16425 +tp16426 +a(g412 +g1407 +tp16427 +a(g826 +g962 +tp16428 +a(g748 +VInteger +p16429 +tp16430 +a(g412 +g966 +tp16431 +a(g826 +g962 +tp16432 +a(g744 +Vconst +p16433 +tp16434 +a(g826 +g962 +tp16435 +a(g435 +VText +p16436 +tp16437 +a(g412 +g1407 +tp16438 +a(g826 +g962 +tp16439 +a(g744 +Vstring +p16440 +tp16441 +a(g705 +g1141 +tp16442 +a(g412 +g1407 +tp16443 +a(g826 +g962 +tp16444 +a(g748 +VBoolean +p16445 +tp16446 +a(g412 +g966 +tp16447 +a(g826 +V\u000a +p16448 +tp16449 +a(g744 +Vbegin +p16450 +tp16451 +a(g826 +V\u000a +p16452 +tp16453 +a(g693 +VResult +p16454 +tp16455 +a(g826 +g962 +tp16456 +a(g412 +g1407 +tp16457 +a(g412 +g1007 +tp16458 +a(g826 +g962 +tp16459 +a(g705 +g1137 +tp16460 +a(g435 +VText +p16461 +tp16462 +a(g826 +g962 +tp16463 +a(g412 +g1413 +tp16464 +a(g412 +g1419 +tp16465 +a(g826 +g962 +tp16466 +a(g89 +g1101 +tp16467 +a(g89 +g1101 +tp16468 +a(g705 +g1141 +tp16469 +a(g826 +g962 +tp16470 +a(g744 +Vand +p16471 +tp16472 +a(g826 +g962 +tp16473 +a(g681 +VSameText +p16474 +tp16475 +a(g705 +g1137 +tp16476 +a(g435 +VText +p16477 +tp16478 +a(g412 +g984 +tp16479 +a(g826 +g962 +tp16480 +a(g681 +VCopy +p16481 +tp16482 +a(g705 +g1137 +tp16483 +a(g435 +g1773 +tp16484 +a(g412 +g984 +tp16485 +a(g826 +g962 +tp16486 +a(g681 +VPos +p16487 +tp16488 +a(g412 +g984 +tp16489 +a(g826 +g962 +tp16490 +a(g681 +VLength +p16491 +tp16492 +a(g705 +g1137 +tp16493 +a(g435 +VText +p16494 +tp16495 +a(g705 +V))) +p16496 +tp16497 +a(g412 +g966 +tp16498 +a(g826 +V\u000a +p16499 +tp16500 +a(g744 +Vend +p16501 +tp16502 +a(g412 +g966 +tp16503 +a(g826 +V\u000a\u000a +p16504 +tp16505 +a(g744 +Vfunction +p16506 +tp16507 +a(g826 +g962 +tp16508 +a(g573 +VStrAtBegin +p16509 +tp16510 +a(g705 +g1137 +tp16511 +a(g744 +Vconst +p16512 +tp16513 +a(g826 +g962 +tp16514 +a(g435 +g1773 +tp16515 +a(g412 +g984 +tp16516 +a(g826 +g962 +tp16517 +a(g681 +VStr +p16518 +tp16519 +a(g412 +g1407 +tp16520 +a(g826 +g962 +tp16521 +a(g744 +Vstring +p16522 +tp16523 +a(g705 +g1141 +tp16524 +a(g412 +g1407 +tp16525 +a(g826 +g962 +tp16526 +a(g748 +VBoolean +p16527 +tp16528 +a(g412 +g966 +tp16529 +a(g826 +V\u000a +p16530 +tp16531 +a(g744 +Vbegin +p16532 +tp16533 +a(g826 +V\u000a +p16534 +tp16535 +a(g693 +VResult +p16536 +tp16537 +a(g826 +g962 +tp16538 +a(g412 +g1407 +tp16539 +a(g412 +g1007 +tp16540 +a(g826 +g962 +tp16541 +a(g435 +VStrAtPos +p16542 +tp16543 +a(g705 +g1137 +tp16544 +a(g435 +g1773 +tp16545 +a(g412 +g984 +tp16546 +a(g826 +g962 +tp16547 +a(g37 +g1337 +tp16548 +a(g412 +g984 +tp16549 +a(g826 +g962 +tp16550 +a(g681 +VStr +p16551 +tp16552 +a(g705 +g1141 +tp16553 +a(g412 +g966 +tp16554 +a(g826 +V\u000a +p16555 +tp16556 +a(g744 +Vend +p16557 +tp16558 +a(g412 +g966 +tp16559 +a(g826 +V\u000a\u000a +p16560 +tp16561 +a(g744 +Vfunction +p16562 +tp16563 +a(g826 +g962 +tp16564 +a(g573 +VTextAtBegin +p16565 +tp16566 +a(g705 +g1137 +tp16567 +a(g744 +Vconst +p16568 +tp16569 +a(g826 +g962 +tp16570 +a(g435 +g1773 +tp16571 +a(g412 +g984 +tp16572 +a(g826 +g962 +tp16573 +a(g435 +VText +p16574 +tp16575 +a(g412 +g1407 +tp16576 +a(g826 +g962 +tp16577 +a(g744 +Vstring +p16578 +tp16579 +a(g705 +g1141 +tp16580 +a(g412 +g1407 +tp16581 +a(g826 +g962 +tp16582 +a(g748 +VBoolean +p16583 +tp16584 +a(g412 +g966 +tp16585 +a(g826 +V\u000a +p16586 +tp16587 +a(g744 +Vbegin +p16588 +tp16589 +a(g826 +V\u000a +p16590 +tp16591 +a(g693 +VResult +p16592 +tp16593 +a(g826 +g962 +tp16594 +a(g412 +g1407 +tp16595 +a(g412 +g1007 +tp16596 +a(g826 +g962 +tp16597 +a(g435 +VTextAtPos +p16598 +tp16599 +a(g705 +g1137 +tp16600 +a(g435 +g1773 +tp16601 +a(g412 +g984 +tp16602 +a(g826 +g962 +tp16603 +a(g37 +g1337 +tp16604 +a(g412 +g984 +tp16605 +a(g826 +g962 +tp16606 +a(g435 +VText +p16607 +tp16608 +a(g705 +g1141 +tp16609 +a(g412 +g966 +tp16610 +a(g826 +V\u000a +p16611 +tp16612 +a(g744 +Vend +p16613 +tp16614 +a(g412 +g966 +tp16615 +a(g826 +V\u000a\u000a +p16616 +tp16617 +a(g744 +Vfunction +p16618 +tp16619 +a(g826 +g962 +tp16620 +a(g573 +VCharIn +p16621 +tp16622 +a(g705 +g1137 +tp16623 +a(g744 +Vconst +p16624 +tp16625 +a(g826 +g962 +tp16626 +a(g435 +g1773 +tp16627 +a(g412 +g1407 +tp16628 +a(g826 +g962 +tp16629 +a(g744 +Vstring +p16630 +tp16631 +a(g412 +g966 +tp16632 +a(g826 +g962 +tp16633 +a(g435 +g1510 +tp16634 +a(g412 +g1407 +tp16635 +a(g826 +g962 +tp16636 +a(g748 +VChar +p16637 +tp16638 +a(g705 +g1141 +tp16639 +a(g412 +g1407 +tp16640 +a(g826 +g962 +tp16641 +a(g748 +VBoolean +p16642 +tp16643 +a(g412 +g966 +tp16644 +a(g826 +V\u000a +p16645 +tp16646 +a(g744 +Vvar +p16647 +tp16648 +a(g826 +V\u000a +p16649 +tp16650 +a(g435 +g4708 +tp16651 +a(g412 +g1407 +tp16652 +a(g826 +g962 +tp16653 +a(g748 +VInteger +p16654 +tp16655 +a(g412 +g966 +tp16656 +a(g826 +V\u000a +p16657 +tp16658 +a(g744 +Vbegin +p16659 +tp16660 +a(g826 +V\u000a +p16661 +tp16662 +a(g693 +VResult +p16663 +tp16664 +a(g826 +g962 +tp16665 +a(g412 +g1407 +tp16666 +a(g412 +g1007 +tp16667 +a(g826 +g962 +tp16668 +a(g744 +VTrue +p16669 +tp16670 +a(g412 +g966 +tp16671 +a(g826 +V\u000a +p16672 +tp16673 +a(g744 +Vfor +p16674 +tp16675 +a(g826 +g962 +tp16676 +a(g435 +g4708 +tp16677 +a(g826 +g962 +tp16678 +a(g412 +g1407 +tp16679 +a(g412 +g1007 +tp16680 +a(g826 +g962 +tp16681 +a(g37 +g1337 +tp16682 +a(g826 +g962 +tp16683 +a(g744 +Vto +p16684 +tp16685 +a(g826 +g962 +tp16686 +a(g681 +VLength +p16687 +tp16688 +a(g705 +g1137 +tp16689 +a(g435 +g1773 +tp16690 +a(g705 +g1141 +tp16691 +a(g826 +g962 +tp16692 +a(g744 +Vdo +p16693 +tp16694 +a(g826 +V\u000a +p16695 +tp16696 +a(g744 +Vif +p16697 +tp16698 +a(g826 +g962 +tp16699 +a(g435 +g1773 +tp16700 +a(g705 +g1066 +tp16701 +a(g435 +g4708 +tp16702 +a(g705 +g1074 +tp16703 +a(g826 +g962 +tp16704 +a(g412 +g1007 +tp16705 +a(g826 +g962 +tp16706 +a(g435 +g1510 +tp16707 +a(g826 +g962 +tp16708 +a(g744 +Vthen +p16709 +tp16710 +a(g826 +g962 +tp16711 +a(g744 +VExit +p16712 +tp16713 +a(g412 +g966 +tp16714 +a(g826 +V\u000a +p16715 +tp16716 +a(g693 +VResult +p16717 +tp16718 +a(g826 +g962 +tp16719 +a(g412 +g1407 +tp16720 +a(g412 +g1007 +tp16721 +a(g826 +g962 +tp16722 +a(g744 +VFalse +p16723 +tp16724 +a(g412 +g966 +tp16725 +a(g826 +V\u000a +p16726 +tp16727 +a(g744 +Vend +p16728 +tp16729 +a(g412 +g966 +tp16730 +a(g826 +V\u000a\u000a +p16731 +tp16732 +a(g744 +Vfunction +p16733 +tp16734 +a(g826 +g962 +tp16735 +a(g573 +VCharIn +p16736 +tp16737 +a(g705 +g1137 +tp16738 +a(g744 +Vconst +p16739 +tp16740 +a(g826 +g962 +tp16741 +a(g435 +g1773 +tp16742 +a(g412 +g1407 +tp16743 +a(g826 +g962 +tp16744 +a(g744 +Vstring +p16745 +tp16746 +a(g412 +g966 +tp16747 +a(g826 +g962 +tp16748 +a(g435 +g1510 +tp16749 +a(g412 +g1407 +tp16750 +a(g826 +g962 +tp16751 +a(g435 +VTCharSet +p16752 +tp16753 +a(g705 +g1141 +tp16754 +a(g412 +g1407 +tp16755 +a(g826 +g962 +tp16756 +a(g748 +VBoolean +p16757 +tp16758 +a(g412 +g966 +tp16759 +a(g826 +V\u000a +p16760 +tp16761 +a(g744 +Vvar +p16762 +tp16763 +a(g826 +V\u000a +p16764 +tp16765 +a(g435 +g4708 +tp16766 +a(g412 +g1407 +tp16767 +a(g826 +g962 +tp16768 +a(g748 +VInteger +p16769 +tp16770 +a(g412 +g966 +tp16771 +a(g826 +V\u000a +p16772 +tp16773 +a(g744 +Vbegin +p16774 +tp16775 +a(g826 +V\u000a +p16776 +tp16777 +a(g693 +VResult +p16778 +tp16779 +a(g826 +g962 +tp16780 +a(g412 +g1407 +tp16781 +a(g412 +g1007 +tp16782 +a(g826 +g962 +tp16783 +a(g744 +VFalse +p16784 +tp16785 +a(g412 +g966 +tp16786 +a(g826 +V\u000a +p16787 +tp16788 +a(g744 +Vfor +p16789 +tp16790 +a(g826 +g962 +tp16791 +a(g435 +g4708 +tp16792 +a(g826 +g962 +tp16793 +a(g412 +g1407 +tp16794 +a(g412 +g1007 +tp16795 +a(g826 +g962 +tp16796 +a(g37 +g1337 +tp16797 +a(g826 +g962 +tp16798 +a(g744 +Vto +p16799 +tp16800 +a(g826 +g962 +tp16801 +a(g681 +VLength +p16802 +tp16803 +a(g705 +g1137 +tp16804 +a(g435 +g1773 +tp16805 +a(g705 +g1141 +tp16806 +a(g826 +g962 +tp16807 +a(g744 +Vdo +p16808 +tp16809 +a(g826 +g962 +tp16810 +a(g744 +Vbegin +p16811 +tp16812 +a(g826 +V\u000a +p16813 +tp16814 +a(g693 +VResult +p16815 +tp16816 +a(g826 +g962 +tp16817 +a(g412 +g1407 +tp16818 +a(g412 +g1007 +tp16819 +a(g826 +g962 +tp16820 +a(g435 +g1773 +tp16821 +a(g705 +g1066 +tp16822 +a(g435 +g4708 +tp16823 +a(g705 +g1074 +tp16824 +a(g826 +g962 +tp16825 +a(g744 +Vin +p16826 +tp16827 +a(g826 +g962 +tp16828 +a(g435 +g1510 +tp16829 +a(g412 +g966 +tp16830 +a(g826 +V\u000a +p16831 +tp16832 +a(g744 +Vif +p16833 +tp16834 +a(g826 +g962 +tp16835 +a(g693 +VResult +p16836 +tp16837 +a(g826 +g962 +tp16838 +a(g744 +Vthen +p16839 +tp16840 +a(g826 +V\u000a +p16841 +tp16842 +a(g744 +VExit +p16843 +tp16844 +a(g412 +g966 +tp16845 +a(g826 +V\u000a +p16846 +tp16847 +a(g744 +Vend +p16848 +tp16849 +a(g412 +g966 +tp16850 +a(g826 +V\u000a +p16851 +tp16852 +a(g744 +Vend +p16853 +tp16854 +a(g412 +g966 +tp16855 +a(g826 +V\u000a\u000a +p16856 +tp16857 +a(g744 +Vfunction +p16858 +tp16859 +a(g826 +g962 +tp16860 +a(g573 +VStrIn +p16861 +tp16862 +a(g705 +g1137 +tp16863 +a(g744 +Vconst +p16864 +tp16865 +a(g826 +g962 +tp16866 +a(g435 +g1773 +tp16867 +a(g412 +g984 +tp16868 +a(g826 +g962 +tp16869 +a(g435 +VSubStr +p16870 +tp16871 +a(g412 +g1407 +tp16872 +a(g826 +g962 +tp16873 +a(g744 +Vstring +p16874 +tp16875 +a(g705 +g1141 +tp16876 +a(g412 +g1407 +tp16877 +a(g826 +g962 +tp16878 +a(g748 +VBoolean +p16879 +tp16880 +a(g412 +g966 +tp16881 +a(g826 +V\u000a +p16882 +tp16883 +a(g744 +Vbegin +p16884 +tp16885 +a(g826 +V\u000a +p16886 +tp16887 +a(g693 +VResult +p16888 +tp16889 +a(g826 +g962 +tp16890 +a(g412 +g1407 +tp16891 +a(g412 +g1007 +tp16892 +a(g826 +g962 +tp16893 +a(g435 +VPosEx +p16894 +tp16895 +a(g705 +g1137 +tp16896 +a(g435 +VSubStr +p16897 +tp16898 +a(g412 +g984 +tp16899 +a(g826 +g962 +tp16900 +a(g435 +g1773 +tp16901 +a(g705 +g1141 +tp16902 +a(g826 +g962 +tp16903 +a(g412 +g1419 +tp16904 +a(g826 +g962 +tp16905 +a(g37 +g1202 +tp16906 +a(g412 +g966 +tp16907 +a(g826 +V\u000a +p16908 +tp16909 +a(g744 +Vend +p16910 +tp16911 +a(g412 +g966 +tp16912 +a(g826 +V\u000a\u000a +p16913 +tp16914 +a(g744 +Vfunction +p16915 +tp16916 +a(g826 +g962 +tp16917 +a(g573 +VStrIn +p16918 +tp16919 +a(g705 +g1137 +tp16920 +a(g435 +VSL +p16921 +tp16922 +a(g412 +g1407 +tp16923 +a(g826 +g962 +tp16924 +a(g435 +VTStrings +p16925 +tp16926 +a(g412 +g966 +tp16927 +a(g826 +g962 +tp16928 +a(g744 +Vconst +p16929 +tp16930 +a(g826 +g962 +tp16931 +a(g435 +g1773 +tp16932 +a(g412 +g1407 +tp16933 +a(g826 +g962 +tp16934 +a(g744 +Vstring +p16935 +tp16936 +a(g705 +g1141 +tp16937 +a(g412 +g1407 +tp16938 +a(g826 +g962 +tp16939 +a(g748 +VBoolean +p16940 +tp16941 +a(g412 +g966 +tp16942 +a(g826 +V\u000a +p16943 +tp16944 +a(g744 +Vvar +p16945 +tp16946 +a(g826 +V\u000a +p16947 +tp16948 +a(g435 +g4708 +tp16949 +a(g412 +g1407 +tp16950 +a(g826 +g962 +tp16951 +a(g748 +VInteger +p16952 +tp16953 +a(g412 +g966 +tp16954 +a(g826 +V\u000a +p16955 +tp16956 +a(g744 +Vbegin +p16957 +tp16958 +a(g826 +V\u000a +p16959 +tp16960 +a(g693 +VResult +p16961 +tp16962 +a(g826 +g962 +tp16963 +a(g412 +g1407 +tp16964 +a(g412 +g1007 +tp16965 +a(g826 +g962 +tp16966 +a(g744 +VFalse +p16967 +tp16968 +a(g412 +g966 +tp16969 +a(g826 +V\u000a +p16970 +tp16971 +a(g744 +Vfor +p16972 +tp16973 +a(g826 +g962 +tp16974 +a(g435 +g4708 +tp16975 +a(g826 +g962 +tp16976 +a(g412 +g1407 +tp16977 +a(g412 +g1007 +tp16978 +a(g826 +g962 +tp16979 +a(g37 +g1202 +tp16980 +a(g826 +g962 +tp16981 +a(g744 +Vto +p16982 +tp16983 +a(g826 +g962 +tp16984 +a(g435 +VSL +p16985 +tp16986 +a(g412 +g1154 +tp16987 +a(g435 +VCount +p16988 +tp16989 +a(g412 +g1109 +tp16990 +a(g37 +g1337 +tp16991 +a(g826 +g962 +tp16992 +a(g744 +Vdo +p16993 +tp16994 +a(g826 +g962 +tp16995 +a(g744 +Vbegin +p16996 +tp16997 +a(g826 +V\u000a +p16998 +tp16999 +a(g693 +VResult +p17000 +tp17001 +a(g826 +g962 +tp17002 +a(g412 +g1407 +tp17003 +a(g412 +g1007 +tp17004 +a(g826 +g962 +tp17005 +a(g705 +g1137 +tp17006 +a(g435 +g1773 +tp17007 +a(g826 +g962 +tp17008 +a(g412 +g1007 +tp17009 +a(g826 +g962 +tp17010 +a(g435 +VSL +p17011 +tp17012 +a(g705 +g1066 +tp17013 +a(g435 +g4708 +tp17014 +a(g705 +V]) +p17015 +tp17016 +a(g412 +g966 +tp17017 +a(g826 +V\u000a +p17018 +tp17019 +a(g744 +Vif +p17020 +tp17021 +a(g826 +g962 +tp17022 +a(g693 +VResult +p17023 +tp17024 +a(g826 +g962 +tp17025 +a(g744 +Vthen +p17026 +tp17027 +a(g826 +V\u000a +p17028 +tp17029 +a(g744 +VExit +p17030 +tp17031 +a(g412 +g966 +tp17032 +a(g826 +V\u000a +p17033 +tp17034 +a(g744 +Vend +p17035 +tp17036 +a(g412 +g966 +tp17037 +a(g826 +V\u000a +p17038 +tp17039 +a(g744 +Vend +p17040 +tp17041 +a(g412 +g966 +tp17042 +a(g826 +V\u000a\u000a +p17043 +tp17044 +a(g744 +Vfunction +p17045 +tp17046 +a(g826 +g962 +tp17047 +a(g573 +VStrIn +p17048 +tp17049 +a(g705 +g1137 +tp17050 +a(g435 +g1170 +tp17051 +a(g412 +g1407 +tp17052 +a(g826 +g962 +tp17053 +a(g435 +VTStrA +p17054 +tp17055 +a(g412 +g966 +tp17056 +a(g826 +g962 +tp17057 +a(g744 +Vconst +p17058 +tp17059 +a(g826 +g962 +tp17060 +a(g435 +g1773 +tp17061 +a(g412 +g1407 +tp17062 +a(g826 +g962 +tp17063 +a(g744 +Vstring +p17064 +tp17065 +a(g705 +g1141 +tp17066 +a(g412 +g1407 +tp17067 +a(g826 +g962 +tp17068 +a(g748 +VBoolean +p17069 +tp17070 +a(g412 +g966 +tp17071 +a(g826 +V\u000a +p17072 +tp17073 +a(g744 +Vvar +p17074 +tp17075 +a(g826 +V\u000a +p17076 +tp17077 +a(g435 +g4708 +tp17078 +a(g412 +g1407 +tp17079 +a(g826 +g962 +tp17080 +a(g748 +VInteger +p17081 +tp17082 +a(g412 +g966 +tp17083 +a(g826 +V\u000a +p17084 +tp17085 +a(g744 +Vbegin +p17086 +tp17087 +a(g826 +V\u000a +p17088 +tp17089 +a(g693 +VResult +p17090 +tp17091 +a(g826 +g962 +tp17092 +a(g412 +g1407 +tp17093 +a(g412 +g1007 +tp17094 +a(g826 +g962 +tp17095 +a(g744 +VFalse +p17096 +tp17097 +a(g412 +g966 +tp17098 +a(g826 +V\u000a +p17099 +tp17100 +a(g744 +Vfor +p17101 +tp17102 +a(g826 +g962 +tp17103 +a(g435 +g4708 +tp17104 +a(g826 +g962 +tp17105 +a(g412 +g1407 +tp17106 +a(g412 +g1007 +tp17107 +a(g826 +g962 +tp17108 +a(g681 +VLow +p17109 +tp17110 +a(g705 +g1137 +tp17111 +a(g435 +g1170 +tp17112 +a(g705 +g1141 +tp17113 +a(g826 +g962 +tp17114 +a(g744 +Vto +p17115 +tp17116 +a(g826 +g962 +tp17117 +a(g681 +VHigh +p17118 +tp17119 +a(g705 +g1137 +tp17120 +a(g435 +g1170 +tp17121 +a(g705 +g1141 +tp17122 +a(g826 +g962 +tp17123 +a(g744 +Vdo +p17124 +tp17125 +a(g826 +g962 +tp17126 +a(g744 +Vbegin +p17127 +tp17128 +a(g826 +V\u000a +p17129 +tp17130 +a(g693 +VResult +p17131 +tp17132 +a(g826 +g962 +tp17133 +a(g412 +g1407 +tp17134 +a(g412 +g1007 +tp17135 +a(g826 +g962 +tp17136 +a(g705 +g1137 +tp17137 +a(g435 +g1773 +tp17138 +a(g826 +g962 +tp17139 +a(g412 +g1007 +tp17140 +a(g826 +g962 +tp17141 +a(g435 +g1170 +tp17142 +a(g705 +g1066 +tp17143 +a(g435 +g4708 +tp17144 +a(g705 +V]) +p17145 +tp17146 +a(g412 +g966 +tp17147 +a(g826 +V\u000a +p17148 +tp17149 +a(g744 +Vif +p17150 +tp17151 +a(g826 +g962 +tp17152 +a(g693 +VResult +p17153 +tp17154 +a(g826 +g962 +tp17155 +a(g744 +Vthen +p17156 +tp17157 +a(g826 +V\u000a +p17158 +tp17159 +a(g744 +VExit +p17160 +tp17161 +a(g412 +g966 +tp17162 +a(g826 +V\u000a +p17163 +tp17164 +a(g744 +Vend +p17165 +tp17166 +a(g412 +g966 +tp17167 +a(g826 +V\u000a +p17168 +tp17169 +a(g744 +Vend +p17170 +tp17171 +a(g412 +g966 +tp17172 +a(g826 +V\u000a\u000a +p17173 +tp17174 +a(g744 +Vfunction +p17175 +tp17176 +a(g826 +g962 +tp17177 +a(g573 +VTextIn +p17178 +tp17179 +a(g705 +g1137 +tp17180 +a(g744 +Vconst +p17181 +tp17182 +a(g826 +g962 +tp17183 +a(g435 +g1773 +tp17184 +a(g412 +g984 +tp17185 +a(g826 +g962 +tp17186 +a(g435 +VText +p17187 +tp17188 +a(g412 +g1407 +tp17189 +a(g826 +g962 +tp17190 +a(g744 +Vstring +p17191 +tp17192 +a(g705 +g1141 +tp17193 +a(g412 +g1407 +tp17194 +a(g826 +g962 +tp17195 +a(g748 +VBoolean +p17196 +tp17197 +a(g412 +g966 +tp17198 +a(g826 +V\u000a +p17199 +tp17200 +a(g744 +Vbegin +p17201 +tp17202 +a(g826 +V\u000a +p17203 +tp17204 +a(g693 +VResult +p17205 +tp17206 +a(g826 +g962 +tp17207 +a(g412 +g1407 +tp17208 +a(g412 +g1007 +tp17209 +a(g826 +g962 +tp17210 +a(g435 +VPosExText +p17211 +tp17212 +a(g705 +g1137 +tp17213 +a(g435 +VText +p17214 +tp17215 +a(g412 +g984 +tp17216 +a(g826 +g962 +tp17217 +a(g435 +g1773 +tp17218 +a(g705 +g1141 +tp17219 +a(g826 +g962 +tp17220 +a(g412 +g1419 +tp17221 +a(g826 +g962 +tp17222 +a(g37 +g1202 +tp17223 +a(g412 +g966 +tp17224 +a(g826 +V\u000a +p17225 +tp17226 +a(g744 +Vend +p17227 +tp17228 +a(g412 +g966 +tp17229 +a(g826 +V\u000a\u000a +p17230 +tp17231 +a(g744 +Vfunction +p17232 +tp17233 +a(g826 +g962 +tp17234 +a(g573 +VTextIn +p17235 +tp17236 +a(g705 +g1137 +tp17237 +a(g435 +VSL +p17238 +tp17239 +a(g412 +g1407 +tp17240 +a(g826 +g962 +tp17241 +a(g435 +VTStrings +p17242 +tp17243 +a(g412 +g966 +tp17244 +a(g826 +g962 +tp17245 +a(g744 +Vconst +p17246 +tp17247 +a(g826 +g962 +tp17248 +a(g435 +VText +p17249 +tp17250 +a(g412 +g1407 +tp17251 +a(g826 +g962 +tp17252 +a(g744 +Vstring +p17253 +tp17254 +a(g705 +g1141 +tp17255 +a(g412 +g1407 +tp17256 +a(g826 +g962 +tp17257 +a(g748 +VBoolean +p17258 +tp17259 +a(g412 +g966 +tp17260 +a(g826 +V\u000a +p17261 +tp17262 +a(g744 +Vvar +p17263 +tp17264 +a(g826 +V\u000a +p17265 +tp17266 +a(g435 +g4708 +tp17267 +a(g412 +g1407 +tp17268 +a(g826 +g962 +tp17269 +a(g748 +VInteger +p17270 +tp17271 +a(g412 +g966 +tp17272 +a(g826 +V\u000a +p17273 +tp17274 +a(g744 +Vbegin +p17275 +tp17276 +a(g826 +V\u000a +p17277 +tp17278 +a(g693 +VResult +p17279 +tp17280 +a(g826 +g962 +tp17281 +a(g412 +g1407 +tp17282 +a(g412 +g1007 +tp17283 +a(g826 +g962 +tp17284 +a(g744 +VFalse +p17285 +tp17286 +a(g412 +g966 +tp17287 +a(g826 +V\u000a +p17288 +tp17289 +a(g744 +Vfor +p17290 +tp17291 +a(g826 +g962 +tp17292 +a(g435 +g4708 +tp17293 +a(g826 +g962 +tp17294 +a(g412 +g1407 +tp17295 +a(g412 +g1007 +tp17296 +a(g826 +g962 +tp17297 +a(g37 +g1202 +tp17298 +a(g826 +g962 +tp17299 +a(g744 +Vto +p17300 +tp17301 +a(g826 +g962 +tp17302 +a(g435 +VSL +p17303 +tp17304 +a(g412 +g1154 +tp17305 +a(g435 +VCount +p17306 +tp17307 +a(g412 +g1109 +tp17308 +a(g37 +g1337 +tp17309 +a(g826 +g962 +tp17310 +a(g744 +Vdo +p17311 +tp17312 +a(g826 +g962 +tp17313 +a(g744 +Vbegin +p17314 +tp17315 +a(g826 +V\u000a +p17316 +tp17317 +a(g693 +VResult +p17318 +tp17319 +a(g826 +g962 +tp17320 +a(g412 +g1407 +tp17321 +a(g412 +g1007 +tp17322 +a(g826 +g962 +tp17323 +a(g681 +VSameText +p17324 +tp17325 +a(g705 +g1137 +tp17326 +a(g435 +VText +p17327 +tp17328 +a(g412 +g984 +tp17329 +a(g826 +g962 +tp17330 +a(g435 +VSL +p17331 +tp17332 +a(g705 +g1066 +tp17333 +a(g435 +g4708 +tp17334 +a(g705 +V]) +p17335 +tp17336 +a(g412 +g966 +tp17337 +a(g826 +V\u000a +p17338 +tp17339 +a(g744 +Vif +p17340 +tp17341 +a(g826 +g962 +tp17342 +a(g693 +VResult +p17343 +tp17344 +a(g826 +g962 +tp17345 +a(g744 +Vthen +p17346 +tp17347 +a(g826 +V\u000a +p17348 +tp17349 +a(g744 +VExit +p17350 +tp17351 +a(g412 +g966 +tp17352 +a(g826 +V\u000a +p17353 +tp17354 +a(g744 +Vend +p17355 +tp17356 +a(g412 +g966 +tp17357 +a(g826 +V\u000a +p17358 +tp17359 +a(g744 +Vend +p17360 +tp17361 +a(g412 +g966 +tp17362 +a(g826 +V\u000a\u000a +p17363 +tp17364 +a(g744 +Vfunction +p17365 +tp17366 +a(g826 +g962 +tp17367 +a(g573 +VTextIn +p17368 +tp17369 +a(g705 +g1137 +tp17370 +a(g435 +g1170 +tp17371 +a(g412 +g1407 +tp17372 +a(g826 +g962 +tp17373 +a(g435 +VTStrA +p17374 +tp17375 +a(g412 +g966 +tp17376 +a(g826 +g962 +tp17377 +a(g744 +Vconst +p17378 +tp17379 +a(g826 +g962 +tp17380 +a(g435 +VText +p17381 +tp17382 +a(g412 +g1407 +tp17383 +a(g826 +g962 +tp17384 +a(g744 +Vstring +p17385 +tp17386 +a(g705 +g1141 +tp17387 +a(g412 +g1407 +tp17388 +a(g826 +g962 +tp17389 +a(g748 +VBoolean +p17390 +tp17391 +a(g412 +g966 +tp17392 +a(g826 +V\u000a +p17393 +tp17394 +a(g744 +Vvar +p17395 +tp17396 +a(g826 +V\u000a +p17397 +tp17398 +a(g435 +g4708 +tp17399 +a(g412 +g1407 +tp17400 +a(g826 +g962 +tp17401 +a(g748 +VInteger +p17402 +tp17403 +a(g412 +g966 +tp17404 +a(g826 +V\u000a +p17405 +tp17406 +a(g744 +Vbegin +p17407 +tp17408 +a(g826 +V\u000a +p17409 +tp17410 +a(g693 +VResult +p17411 +tp17412 +a(g826 +g962 +tp17413 +a(g412 +g1407 +tp17414 +a(g412 +g1007 +tp17415 +a(g826 +g962 +tp17416 +a(g744 +VFalse +p17417 +tp17418 +a(g412 +g966 +tp17419 +a(g826 +V\u000a +p17420 +tp17421 +a(g744 +Vfor +p17422 +tp17423 +a(g826 +g962 +tp17424 +a(g435 +g4708 +tp17425 +a(g826 +g962 +tp17426 +a(g412 +g1407 +tp17427 +a(g412 +g1007 +tp17428 +a(g826 +g962 +tp17429 +a(g681 +VLow +p17430 +tp17431 +a(g705 +g1137 +tp17432 +a(g435 +g1170 +tp17433 +a(g705 +g1141 +tp17434 +a(g826 +g962 +tp17435 +a(g744 +Vto +p17436 +tp17437 +a(g826 +g962 +tp17438 +a(g681 +VHigh +p17439 +tp17440 +a(g705 +g1137 +tp17441 +a(g435 +g1170 +tp17442 +a(g705 +g1141 +tp17443 +a(g826 +g962 +tp17444 +a(g744 +Vdo +p17445 +tp17446 +a(g826 +g962 +tp17447 +a(g744 +Vbegin +p17448 +tp17449 +a(g826 +V\u000a +p17450 +tp17451 +a(g693 +VResult +p17452 +tp17453 +a(g826 +g962 +tp17454 +a(g412 +g1407 +tp17455 +a(g412 +g1007 +tp17456 +a(g826 +g962 +tp17457 +a(g681 +VSameText +p17458 +tp17459 +a(g705 +g1137 +tp17460 +a(g435 +VText +p17461 +tp17462 +a(g412 +g984 +tp17463 +a(g826 +g962 +tp17464 +a(g435 +g1170 +tp17465 +a(g705 +g1066 +tp17466 +a(g435 +g4708 +tp17467 +a(g705 +V]) +p17468 +tp17469 +a(g412 +g966 +tp17470 +a(g826 +V\u000a +p17471 +tp17472 +a(g744 +Vif +p17473 +tp17474 +a(g826 +g962 +tp17475 +a(g693 +VResult +p17476 +tp17477 +a(g826 +g962 +tp17478 +a(g744 +Vthen +p17479 +tp17480 +a(g826 +V\u000a +p17481 +tp17482 +a(g744 +VExit +p17483 +tp17484 +a(g412 +g966 +tp17485 +a(g826 +V\u000a +p17486 +tp17487 +a(g744 +Vend +p17488 +tp17489 +a(g412 +g966 +tp17490 +a(g826 +V\u000a +p17491 +tp17492 +a(g744 +Vend +p17493 +tp17494 +a(g412 +g966 +tp17495 +a(g826 +V\u000a\u000a +p17496 +tp17497 +a(g744 +Vfunction +p17498 +tp17499 +a(g826 +g962 +tp17500 +a(g573 +VStrIndex +p17501 +tp17502 +a(g705 +g1137 +tp17503 +a(g435 +VSL +p17504 +tp17505 +a(g412 +g1407 +tp17506 +a(g826 +g962 +tp17507 +a(g435 +VTStrings +p17508 +tp17509 +a(g412 +g966 +tp17510 +a(g826 +g962 +tp17511 +a(g744 +Vconst +p17512 +tp17513 +a(g826 +g962 +tp17514 +a(g435 +g1773 +tp17515 +a(g412 +g1407 +tp17516 +a(g826 +g962 +tp17517 +a(g744 +Vstring +p17518 +tp17519 +a(g705 +g1141 +tp17520 +a(g412 +g1407 +tp17521 +a(g826 +g962 +tp17522 +a(g748 +VInteger +p17523 +tp17524 +a(g412 +g966 +tp17525 +a(g826 +V\u000a +p17526 +tp17527 +a(g744 +Vbegin +p17528 +tp17529 +a(g826 +V\u000a +p17530 +tp17531 +a(g744 +Vfor +p17532 +tp17533 +a(g826 +g962 +tp17534 +a(g693 +VResult +p17535 +tp17536 +a(g826 +g962 +tp17537 +a(g412 +g1407 +tp17538 +a(g412 +g1007 +tp17539 +a(g826 +g962 +tp17540 +a(g37 +g1202 +tp17541 +a(g826 +g962 +tp17542 +a(g744 +Vto +p17543 +tp17544 +a(g826 +g962 +tp17545 +a(g435 +VSL +p17546 +tp17547 +a(g412 +g1154 +tp17548 +a(g435 +VCount +p17549 +tp17550 +a(g412 +g1109 +tp17551 +a(g37 +g1337 +tp17552 +a(g826 +g962 +tp17553 +a(g744 +Vdo +p17554 +tp17555 +a(g826 +V\u000a +p17556 +tp17557 +a(g744 +Vif +p17558 +tp17559 +a(g826 +g962 +tp17560 +a(g435 +g1773 +tp17561 +a(g826 +g962 +tp17562 +a(g412 +g1007 +tp17563 +a(g826 +g962 +tp17564 +a(g435 +VSL +p17565 +tp17566 +a(g705 +g1066 +tp17567 +a(g693 +VResult +p17568 +tp17569 +a(g705 +g1074 +tp17570 +a(g826 +g962 +tp17571 +a(g744 +Vthen +p17572 +tp17573 +a(g826 +V\u000a +p17574 +tp17575 +a(g744 +VExit +p17576 +tp17577 +a(g412 +g966 +tp17578 +a(g826 +V\u000a +p17579 +tp17580 +a(g693 +VResult +p17581 +tp17582 +a(g826 +g962 +tp17583 +a(g412 +g1407 +tp17584 +a(g412 +g1007 +tp17585 +a(g826 +g962 +tp17586 +a(g412 +g1109 +tp17587 +a(g37 +g1337 +tp17588 +a(g412 +g966 +tp17589 +a(g826 +V\u000a +p17590 +tp17591 +a(g744 +Vend +p17592 +tp17593 +a(g412 +g966 +tp17594 +a(g826 +V\u000a\u000a +p17595 +tp17596 +a(g744 +Vfunction +p17597 +tp17598 +a(g826 +g962 +tp17599 +a(g573 +VStrIndex +p17600 +tp17601 +a(g705 +g1137 +tp17602 +a(g435 +g1170 +tp17603 +a(g412 +g1407 +tp17604 +a(g826 +g962 +tp17605 +a(g435 +VTStrA +p17606 +tp17607 +a(g412 +g966 +tp17608 +a(g826 +g962 +tp17609 +a(g744 +Vconst +p17610 +tp17611 +a(g826 +g962 +tp17612 +a(g435 +g1773 +tp17613 +a(g412 +g1407 +tp17614 +a(g826 +g962 +tp17615 +a(g744 +Vstring +p17616 +tp17617 +a(g705 +g1141 +tp17618 +a(g412 +g1407 +tp17619 +a(g826 +g962 +tp17620 +a(g748 +VInteger +p17621 +tp17622 +a(g412 +g966 +tp17623 +a(g826 +V\u000a +p17624 +tp17625 +a(g744 +Vbegin +p17626 +tp17627 +a(g826 +V\u000a +p17628 +tp17629 +a(g744 +Vfor +p17630 +tp17631 +a(g826 +g962 +tp17632 +a(g693 +VResult +p17633 +tp17634 +a(g826 +g962 +tp17635 +a(g412 +g1407 +tp17636 +a(g412 +g1007 +tp17637 +a(g826 +g962 +tp17638 +a(g681 +VLow +p17639 +tp17640 +a(g705 +g1137 +tp17641 +a(g435 +g1170 +tp17642 +a(g705 +g1141 +tp17643 +a(g826 +g962 +tp17644 +a(g744 +Vto +p17645 +tp17646 +a(g826 +g962 +tp17647 +a(g681 +VHigh +p17648 +tp17649 +a(g705 +g1137 +tp17650 +a(g435 +g1170 +tp17651 +a(g705 +g1141 +tp17652 +a(g826 +g962 +tp17653 +a(g744 +Vdo +p17654 +tp17655 +a(g826 +V\u000a +p17656 +tp17657 +a(g744 +Vif +p17658 +tp17659 +a(g826 +g962 +tp17660 +a(g435 +g1773 +tp17661 +a(g826 +g962 +tp17662 +a(g412 +g1007 +tp17663 +a(g826 +g962 +tp17664 +a(g435 +g1170 +tp17665 +a(g705 +g1066 +tp17666 +a(g693 +VResult +p17667 +tp17668 +a(g705 +g1074 +tp17669 +a(g826 +g962 +tp17670 +a(g744 +Vthen +p17671 +tp17672 +a(g826 +V\u000a +p17673 +tp17674 +a(g744 +VExit +p17675 +tp17676 +a(g412 +g966 +tp17677 +a(g826 +V\u000a +p17678 +tp17679 +a(g693 +VResult +p17680 +tp17681 +a(g826 +g962 +tp17682 +a(g412 +g1407 +tp17683 +a(g412 +g1007 +tp17684 +a(g826 +g962 +tp17685 +a(g412 +g1109 +tp17686 +a(g37 +g1337 +tp17687 +a(g412 +g966 +tp17688 +a(g826 +V\u000a +p17689 +tp17690 +a(g744 +Vend +p17691 +tp17692 +a(g412 +g966 +tp17693 +a(g826 +V\u000a\u000a +p17694 +tp17695 +a(g744 +Vfunction +p17696 +tp17697 +a(g826 +g962 +tp17698 +a(g573 +VTextIndex +p17699 +tp17700 +a(g705 +g1137 +tp17701 +a(g435 +VSL +p17702 +tp17703 +a(g412 +g1407 +tp17704 +a(g826 +g962 +tp17705 +a(g435 +VTStrings +p17706 +tp17707 +a(g412 +g966 +tp17708 +a(g826 +g962 +tp17709 +a(g744 +Vconst +p17710 +tp17711 +a(g826 +g962 +tp17712 +a(g435 +VText +p17713 +tp17714 +a(g412 +g1407 +tp17715 +a(g826 +g962 +tp17716 +a(g744 +Vstring +p17717 +tp17718 +a(g705 +g1141 +tp17719 +a(g412 +g1407 +tp17720 +a(g826 +g962 +tp17721 +a(g748 +VInteger +p17722 +tp17723 +a(g412 +g966 +tp17724 +a(g826 +V\u000a +p17725 +tp17726 +a(g744 +Vbegin +p17727 +tp17728 +a(g826 +V\u000a +p17729 +tp17730 +a(g744 +Vfor +p17731 +tp17732 +a(g826 +g962 +tp17733 +a(g693 +VResult +p17734 +tp17735 +a(g826 +g962 +tp17736 +a(g412 +g1407 +tp17737 +a(g412 +g1007 +tp17738 +a(g826 +g962 +tp17739 +a(g37 +g1202 +tp17740 +a(g826 +g962 +tp17741 +a(g744 +Vto +p17742 +tp17743 +a(g826 +g962 +tp17744 +a(g435 +VSL +p17745 +tp17746 +a(g412 +g1154 +tp17747 +a(g435 +VCount +p17748 +tp17749 +a(g412 +g1109 +tp17750 +a(g37 +g1337 +tp17751 +a(g826 +g962 +tp17752 +a(g744 +Vdo +p17753 +tp17754 +a(g826 +V\u000a +p17755 +tp17756 +a(g744 +Vif +p17757 +tp17758 +a(g826 +g962 +tp17759 +a(g681 +VSameText +p17760 +tp17761 +a(g705 +g1137 +tp17762 +a(g435 +VText +p17763 +tp17764 +a(g412 +g984 +tp17765 +a(g826 +g962 +tp17766 +a(g435 +VSL +p17767 +tp17768 +a(g705 +g1066 +tp17769 +a(g693 +VResult +p17770 +tp17771 +a(g705 +V]) +p17772 +tp17773 +a(g826 +g962 +tp17774 +a(g744 +Vthen +p17775 +tp17776 +a(g826 +V\u000a +p17777 +tp17778 +a(g744 +VExit +p17779 +tp17780 +a(g412 +g966 +tp17781 +a(g826 +V\u000a +p17782 +tp17783 +a(g693 +VResult +p17784 +tp17785 +a(g826 +g962 +tp17786 +a(g412 +g1407 +tp17787 +a(g412 +g1007 +tp17788 +a(g826 +g962 +tp17789 +a(g412 +g1109 +tp17790 +a(g37 +g1337 +tp17791 +a(g412 +g966 +tp17792 +a(g826 +V\u000a +p17793 +tp17794 +a(g744 +Vend +p17795 +tp17796 +a(g412 +g966 +tp17797 +a(g826 +V\u000a\u000a +p17798 +tp17799 +a(g744 +Vfunction +p17800 +tp17801 +a(g826 +g962 +tp17802 +a(g573 +VTextIndex +p17803 +tp17804 +a(g705 +g1137 +tp17805 +a(g435 +g1170 +tp17806 +a(g412 +g1407 +tp17807 +a(g826 +g962 +tp17808 +a(g435 +VTStrA +p17809 +tp17810 +a(g412 +g966 +tp17811 +a(g826 +g962 +tp17812 +a(g744 +Vconst +p17813 +tp17814 +a(g826 +g962 +tp17815 +a(g435 +VText +p17816 +tp17817 +a(g412 +g1407 +tp17818 +a(g826 +g962 +tp17819 +a(g744 +Vstring +p17820 +tp17821 +a(g705 +g1141 +tp17822 +a(g412 +g1407 +tp17823 +a(g826 +g962 +tp17824 +a(g748 +VInteger +p17825 +tp17826 +a(g412 +g966 +tp17827 +a(g826 +V\u000a +p17828 +tp17829 +a(g744 +Vbegin +p17830 +tp17831 +a(g826 +V\u000a +p17832 +tp17833 +a(g744 +Vfor +p17834 +tp17835 +a(g826 +g962 +tp17836 +a(g693 +VResult +p17837 +tp17838 +a(g826 +g962 +tp17839 +a(g412 +g1407 +tp17840 +a(g412 +g1007 +tp17841 +a(g826 +g962 +tp17842 +a(g681 +VLow +p17843 +tp17844 +a(g705 +g1137 +tp17845 +a(g435 +g1170 +tp17846 +a(g705 +g1141 +tp17847 +a(g826 +g962 +tp17848 +a(g744 +Vto +p17849 +tp17850 +a(g826 +g962 +tp17851 +a(g681 +VHigh +p17852 +tp17853 +a(g705 +g1137 +tp17854 +a(g435 +g1170 +tp17855 +a(g705 +g1141 +tp17856 +a(g826 +g962 +tp17857 +a(g744 +Vdo +p17858 +tp17859 +a(g826 +V\u000a +p17860 +tp17861 +a(g744 +Vif +p17862 +tp17863 +a(g826 +g962 +tp17864 +a(g681 +VSameText +p17865 +tp17866 +a(g705 +g1137 +tp17867 +a(g435 +VText +p17868 +tp17869 +a(g412 +g984 +tp17870 +a(g826 +g962 +tp17871 +a(g435 +g1170 +tp17872 +a(g705 +g1066 +tp17873 +a(g693 +VResult +p17874 +tp17875 +a(g705 +V]) +p17876 +tp17877 +a(g826 +g962 +tp17878 +a(g744 +Vthen +p17879 +tp17880 +a(g826 +V\u000a +p17881 +tp17882 +a(g744 +VExit +p17883 +tp17884 +a(g412 +g966 +tp17885 +a(g826 +V\u000a +p17886 +tp17887 +a(g693 +VResult +p17888 +tp17889 +a(g826 +g962 +tp17890 +a(g412 +g1407 +tp17891 +a(g412 +g1007 +tp17892 +a(g826 +g962 +tp17893 +a(g412 +g1109 +tp17894 +a(g37 +g1337 +tp17895 +a(g412 +g966 +tp17896 +a(g826 +V\u000a +p17897 +tp17898 +a(g744 +Vend +p17899 +tp17900 +a(g412 +g966 +tp17901 +a(g826 +V\u000a\u000a +p17902 +tp17903 +a(g744 +Vfunction +p17904 +tp17905 +a(g826 +g962 +tp17906 +a(g573 +VReplaceChars +p17907 +tp17908 +a(g705 +g1137 +tp17909 +a(g744 +Vconst +p17910 +tp17911 +a(g826 +g962 +tp17912 +a(g435 +g1773 +tp17913 +a(g412 +g1407 +tp17914 +a(g826 +g962 +tp17915 +a(g744 +Vstring +p17916 +tp17917 +a(g412 +g966 +tp17918 +a(g826 +g962 +tp17919 +a(g435 +VOld +p17920 +tp17921 +a(g412 +g984 +tp17922 +a(g826 +g962 +tp17923 +a(g744 +VNew +p17924 +tp17925 +a(g412 +g1407 +tp17926 +a(g826 +g962 +tp17927 +a(g748 +VChar +p17928 +tp17929 +a(g705 +g1141 +tp17930 +a(g412 +g1407 +tp17931 +a(g826 +g962 +tp17932 +a(g744 +Vstring +p17933 +tp17934 +a(g412 +g966 +tp17935 +a(g826 +V\u000a +p17936 +tp17937 +a(g744 +Vvar +p17938 +tp17939 +a(g826 +V\u000a +p17940 +tp17941 +a(g435 +g4708 +tp17942 +a(g412 +g1407 +tp17943 +a(g826 +g962 +tp17944 +a(g748 +VInteger +p17945 +tp17946 +a(g412 +g966 +tp17947 +a(g826 +V\u000a +p17948 +tp17949 +a(g744 +Vbegin +p17950 +tp17951 +a(g826 +V\u000a +p17952 +tp17953 +a(g693 +VResult +p17954 +tp17955 +a(g826 +g962 +tp17956 +a(g412 +g1407 +tp17957 +a(g412 +g1007 +tp17958 +a(g826 +g962 +tp17959 +a(g435 +g1773 +tp17960 +a(g412 +g966 +tp17961 +a(g826 +V\u000a +p17962 +tp17963 +a(g744 +Vfor +p17964 +tp17965 +a(g826 +g962 +tp17966 +a(g435 +g4708 +tp17967 +a(g826 +g962 +tp17968 +a(g412 +g1407 +tp17969 +a(g412 +g1007 +tp17970 +a(g826 +g962 +tp17971 +a(g37 +g1337 +tp17972 +a(g826 +g962 +tp17973 +a(g744 +Vto +p17974 +tp17975 +a(g826 +g962 +tp17976 +a(g681 +VLength +p17977 +tp17978 +a(g705 +g1137 +tp17979 +a(g693 +VResult +p17980 +tp17981 +a(g705 +g1141 +tp17982 +a(g826 +g962 +tp17983 +a(g744 +Vdo +p17984 +tp17985 +a(g826 +V\u000a +p17986 +tp17987 +a(g744 +Vif +p17988 +tp17989 +a(g826 +g962 +tp17990 +a(g693 +VResult +p17991 +tp17992 +a(g705 +g1066 +tp17993 +a(g435 +g4708 +tp17994 +a(g705 +g1074 +tp17995 +a(g826 +g962 +tp17996 +a(g412 +g1007 +tp17997 +a(g826 +g962 +tp17998 +a(g435 +VOld +p17999 +tp18000 +a(g826 +g962 +tp18001 +a(g744 +Vthen +p18002 +tp18003 +a(g826 +V\u000a +p18004 +tp18005 +a(g693 +VResult +p18006 +tp18007 +a(g705 +g1066 +tp18008 +a(g435 +g4708 +tp18009 +a(g705 +g1074 +tp18010 +a(g826 +g962 +tp18011 +a(g412 +g1407 +tp18012 +a(g412 +g1007 +tp18013 +a(g826 +g962 +tp18014 +a(g744 +VNew +p18015 +tp18016 +a(g412 +g966 +tp18017 +a(g826 +V\u000a +p18018 +tp18019 +a(g744 +Vend +p18020 +tp18021 +a(g412 +g966 +tp18022 +a(g826 +V\u000a\u000a +p18023 +tp18024 +a(g744 +Vfunction +p18025 +tp18026 +a(g826 +g962 +tp18027 +a(g573 +VReplaceChars +p18028 +tp18029 +a(g705 +g1137 +tp18030 +a(g744 +Vconst +p18031 +tp18032 +a(g826 +g962 +tp18033 +a(g435 +g1773 +tp18034 +a(g412 +g1407 +tp18035 +a(g826 +g962 +tp18036 +a(g744 +Vstring +p18037 +tp18038 +a(g412 +g966 +tp18039 +a(g826 +g962 +tp18040 +a(g435 +VOld +p18041 +tp18042 +a(g412 +g1407 +tp18043 +a(g826 +g962 +tp18044 +a(g435 +VTCharSet +p18045 +tp18046 +a(g412 +g966 +tp18047 +a(g826 +g962 +tp18048 +a(g744 +VNew +p18049 +tp18050 +a(g412 +g1407 +tp18051 +a(g826 +g962 +tp18052 +a(g748 +VChar +p18053 +tp18054 +a(g705 +g1141 +tp18055 +a(g412 +g1407 +tp18056 +a(g826 +g962 +tp18057 +a(g744 +Vstring +p18058 +tp18059 +a(g412 +g966 +tp18060 +a(g826 +V\u000a +p18061 +tp18062 +a(g744 +Vvar +p18063 +tp18064 +a(g826 +V\u000a +p18065 +tp18066 +a(g435 +g4708 +tp18067 +a(g412 +g1407 +tp18068 +a(g826 +g962 +tp18069 +a(g748 +VInteger +p18070 +tp18071 +a(g412 +g966 +tp18072 +a(g826 +V\u000a +p18073 +tp18074 +a(g744 +Vbegin +p18075 +tp18076 +a(g826 +V\u000a +p18077 +tp18078 +a(g693 +VResult +p18079 +tp18080 +a(g826 +g962 +tp18081 +a(g412 +g1407 +tp18082 +a(g412 +g1007 +tp18083 +a(g826 +g962 +tp18084 +a(g435 +g1773 +tp18085 +a(g412 +g966 +tp18086 +a(g826 +V\u000a +p18087 +tp18088 +a(g744 +Vfor +p18089 +tp18090 +a(g826 +g962 +tp18091 +a(g435 +g4708 +tp18092 +a(g826 +g962 +tp18093 +a(g412 +g1407 +tp18094 +a(g412 +g1007 +tp18095 +a(g826 +g962 +tp18096 +a(g37 +g1337 +tp18097 +a(g826 +g962 +tp18098 +a(g744 +Vto +p18099 +tp18100 +a(g826 +g962 +tp18101 +a(g681 +VLength +p18102 +tp18103 +a(g705 +g1137 +tp18104 +a(g693 +VResult +p18105 +tp18106 +a(g705 +g1141 +tp18107 +a(g826 +g962 +tp18108 +a(g744 +Vdo +p18109 +tp18110 +a(g826 +V\u000a +p18111 +tp18112 +a(g744 +Vif +p18113 +tp18114 +a(g826 +g962 +tp18115 +a(g693 +VResult +p18116 +tp18117 +a(g705 +g1066 +tp18118 +a(g435 +g4708 +tp18119 +a(g705 +g1074 +tp18120 +a(g826 +g962 +tp18121 +a(g744 +Vin +p18122 +tp18123 +a(g826 +g962 +tp18124 +a(g435 +VOld +p18125 +tp18126 +a(g826 +g962 +tp18127 +a(g744 +Vthen +p18128 +tp18129 +a(g826 +V\u000a +p18130 +tp18131 +a(g693 +VResult +p18132 +tp18133 +a(g705 +g1066 +tp18134 +a(g435 +g4708 +tp18135 +a(g705 +g1074 +tp18136 +a(g826 +g962 +tp18137 +a(g412 +g1407 +tp18138 +a(g412 +g1007 +tp18139 +a(g826 +g962 +tp18140 +a(g744 +VNew +p18141 +tp18142 +a(g412 +g966 +tp18143 +a(g826 +V\u000a +p18144 +tp18145 +a(g744 +Vend +p18146 +tp18147 +a(g412 +g966 +tp18148 +a(g826 +V\u000a\u000a +p18149 +tp18150 +a(g744 +Vfunction +p18151 +tp18152 +a(g826 +g962 +tp18153 +a(g573 +VReplace +p18154 +tp18155 +a(g705 +g1137 +tp18156 +a(g744 +Vconst +p18157 +tp18158 +a(g826 +g962 +tp18159 +a(g435 +g1773 +tp18160 +a(g412 +g984 +tp18161 +a(g826 +g962 +tp18162 +a(g435 +VOld +p18163 +tp18164 +a(g412 +g984 +tp18165 +a(g826 +g962 +tp18166 +a(g744 +VNew +p18167 +tp18168 +a(g412 +g1407 +tp18169 +a(g826 +g962 +tp18170 +a(g744 +Vstring +p18171 +tp18172 +a(g705 +g1141 +tp18173 +a(g412 +g1407 +tp18174 +a(g826 +g962 +tp18175 +a(g744 +Vstring +p18176 +tp18177 +a(g412 +g966 +tp18178 +a(g826 +V\u000a +p18179 +tp18180 +a(g744 +Vvar +p18181 +tp18182 +a(g826 +V\u000a +p18183 +tp18184 +a(g435 +Voldp +p18185 +tp18186 +a(g412 +g984 +tp18187 +a(g826 +g962 +tp18188 +a(g435 +Vps +p18189 +tp18190 +a(g412 +g1407 +tp18191 +a(g826 +g962 +tp18192 +a(g748 +VInteger +p18193 +tp18194 +a(g412 +g966 +tp18195 +a(g826 +V\u000a +p18196 +tp18197 +a(g744 +Vbegin +p18198 +tp18199 +a(g826 +V\u000a +p18200 +tp18201 +a(g435 +Vps +p18202 +tp18203 +a(g826 +g962 +tp18204 +a(g412 +g1407 +tp18205 +a(g412 +g1007 +tp18206 +a(g826 +g962 +tp18207 +a(g37 +g1337 +tp18208 +a(g412 +g966 +tp18209 +a(g826 +V\u000a +p18210 +tp18211 +a(g693 +VResult +p18212 +tp18213 +a(g826 +g962 +tp18214 +a(g412 +g1407 +tp18215 +a(g412 +g1007 +tp18216 +a(g826 +g962 +tp18217 +a(g89 +g1101 +tp18218 +a(g89 +g1101 +tp18219 +a(g412 +g966 +tp18220 +a(g826 +V\u000a +p18221 +tp18222 +a(g744 +Vwhile +p18223 +tp18224 +a(g826 +g962 +tp18225 +a(g744 +VTrue +p18226 +tp18227 +a(g826 +g962 +tp18228 +a(g744 +Vdo +p18229 +tp18230 +a(g826 +g962 +tp18231 +a(g744 +Vbegin +p18232 +tp18233 +a(g826 +V\u000a +p18234 +tp18235 +a(g435 +Voldp +p18236 +tp18237 +a(g826 +g962 +tp18238 +a(g412 +g1407 +tp18239 +a(g412 +g1007 +tp18240 +a(g826 +g962 +tp18241 +a(g435 +Vps +p18242 +tp18243 +a(g412 +g966 +tp18244 +a(g826 +V\u000a +p18245 +tp18246 +a(g435 +Vps +p18247 +tp18248 +a(g826 +g962 +tp18249 +a(g412 +g1407 +tp18250 +a(g412 +g1007 +tp18251 +a(g826 +g962 +tp18252 +a(g435 +VPosEx +p18253 +tp18254 +a(g705 +g1137 +tp18255 +a(g435 +VOld +p18256 +tp18257 +a(g412 +g984 +tp18258 +a(g826 +g962 +tp18259 +a(g435 +g1773 +tp18260 +a(g412 +g984 +tp18261 +a(g826 +g962 +tp18262 +a(g435 +Voldp +p18263 +tp18264 +a(g705 +g1141 +tp18265 +a(g412 +g966 +tp18266 +a(g826 +V\u000a +p18267 +tp18268 +a(g744 +Vif +p18269 +tp18270 +a(g826 +g962 +tp18271 +a(g435 +Vps +p18272 +tp18273 +a(g826 +g962 +tp18274 +a(g412 +g1007 +tp18275 +a(g826 +g962 +tp18276 +a(g37 +g1202 +tp18277 +a(g826 +g962 +tp18278 +a(g744 +Vthen +p18279 +tp18280 +a(g826 +g962 +tp18281 +a(g744 +Vbegin +p18282 +tp18283 +a(g826 +V\u000a +p18284 +tp18285 +a(g693 +VResult +p18286 +tp18287 +a(g826 +g962 +tp18288 +a(g412 +g1407 +tp18289 +a(g412 +g1007 +tp18290 +a(g826 +g962 +tp18291 +a(g693 +VResult +p18292 +tp18293 +a(g826 +g962 +tp18294 +a(g412 +g1223 +tp18295 +a(g826 +g962 +tp18296 +a(g681 +VCopy +p18297 +tp18298 +a(g705 +g1137 +tp18299 +a(g435 +g1773 +tp18300 +a(g412 +g984 +tp18301 +a(g826 +g962 +tp18302 +a(g435 +Voldp +p18303 +tp18304 +a(g412 +g984 +tp18305 +a(g826 +g962 +tp18306 +a(g681 +VLength +p18307 +tp18308 +a(g705 +g1137 +tp18309 +a(g435 +g1773 +tp18310 +a(g705 +g1141 +tp18311 +a(g826 +g962 +tp18312 +a(g412 +g1109 +tp18313 +a(g826 +g962 +tp18314 +a(g435 +Voldp +p18315 +tp18316 +a(g826 +g962 +tp18317 +a(g412 +g1223 +tp18318 +a(g826 +g962 +tp18319 +a(g37 +g1337 +tp18320 +a(g705 +g1141 +tp18321 +a(g412 +g966 +tp18322 +a(g826 +V\u000a +p18323 +tp18324 +a(g744 +VExit +p18325 +tp18326 +a(g412 +g966 +tp18327 +a(g826 +g962 +tp18328 +a(g744 +Vend +p18329 +tp18330 +a(g412 +g966 +tp18331 +a(g826 +V\u000a +p18332 +tp18333 +a(g693 +VResult +p18334 +tp18335 +a(g826 +g962 +tp18336 +a(g412 +g1407 +tp18337 +a(g412 +g1007 +tp18338 +a(g826 +g962 +tp18339 +a(g693 +VResult +p18340 +tp18341 +a(g826 +g962 +tp18342 +a(g412 +g1223 +tp18343 +a(g826 +g962 +tp18344 +a(g681 +VCopy +p18345 +tp18346 +a(g705 +g1137 +tp18347 +a(g435 +g1773 +tp18348 +a(g412 +g984 +tp18349 +a(g826 +g962 +tp18350 +a(g435 +Voldp +p18351 +tp18352 +a(g412 +g984 +tp18353 +a(g826 +g962 +tp18354 +a(g435 +Vps +p18355 +tp18356 +a(g826 +g962 +tp18357 +a(g412 +g1109 +tp18358 +a(g826 +g962 +tp18359 +a(g435 +Voldp +p18360 +tp18361 +a(g705 +g1141 +tp18362 +a(g826 +g962 +tp18363 +a(g412 +g1223 +tp18364 +a(g826 +g962 +tp18365 +a(g744 +VNew +p18366 +tp18367 +a(g412 +g966 +tp18368 +a(g826 +V\u000a +p18369 +tp18370 +a(g681 +VInc +p18371 +tp18372 +a(g705 +g1137 +tp18373 +a(g435 +Vps +p18374 +tp18375 +a(g412 +g984 +tp18376 +a(g826 +g962 +tp18377 +a(g681 +VLength +p18378 +tp18379 +a(g705 +g1137 +tp18380 +a(g435 +VOld +p18381 +tp18382 +a(g705 +V)) +p18383 +tp18384 +a(g412 +g966 +tp18385 +a(g826 +V\u000a +p18386 +tp18387 +a(g744 +Vend +p18388 +tp18389 +a(g412 +g966 +tp18390 +a(g826 +V\u000a +p18391 +tp18392 +a(g744 +Vend +p18393 +tp18394 +a(g412 +g966 +tp18395 +a(g826 +V\u000a\u000a +p18396 +tp18397 +a(g744 +Vfunction +p18398 +tp18399 +a(g826 +g962 +tp18400 +a(g573 +VSLOfFile +p18401 +tp18402 +a(g705 +g1137 +tp18403 +a(g744 +Vconst +p18404 +tp18405 +a(g826 +g962 +tp18406 +a(g435 +VFileName +p18407 +tp18408 +a(g412 +g1407 +tp18409 +a(g826 +g962 +tp18410 +a(g744 +Vstring +p18411 +tp18412 +a(g705 +g1141 +tp18413 +a(g412 +g1407 +tp18414 +a(g826 +g962 +tp18415 +a(g435 +VTStringList +p18416 +tp18417 +a(g412 +g966 +tp18418 +a(g826 +V\u000a +p18419 +tp18420 +a(g744 +Vbegin +p18421 +tp18422 +a(g826 +V\u000a +p18423 +tp18424 +a(g693 +VResult +p18425 +tp18426 +a(g826 +g962 +tp18427 +a(g412 +g1407 +tp18428 +a(g412 +g1007 +tp18429 +a(g826 +g962 +tp18430 +a(g435 +VTStringList +p18431 +tp18432 +a(g412 +g1154 +tp18433 +a(g435 +VCreate +p18434 +tp18435 +a(g412 +g966 +tp18436 +a(g826 +V\u000a +p18437 +tp18438 +a(g744 +Vif +p18439 +tp18440 +a(g826 +g962 +tp18441 +a(g681 +VFileExists +p18442 +tp18443 +a(g705 +g1137 +tp18444 +a(g435 +VFileName +p18445 +tp18446 +a(g705 +g1141 +tp18447 +a(g826 +g962 +tp18448 +a(g744 +Vthen +p18449 +tp18450 +a(g826 +V\u000a +p18451 +tp18452 +a(g693 +VResult +p18453 +tp18454 +a(g412 +g1154 +tp18455 +a(g435 +VLoadFromFile +p18456 +tp18457 +a(g705 +g1137 +tp18458 +a(g435 +VFileName +p18459 +tp18460 +a(g705 +g1141 +tp18461 +a(g412 +g966 +tp18462 +a(g826 +V\u000a +p18463 +tp18464 +a(g744 +Vend +p18465 +tp18466 +a(g412 +g966 +tp18467 +a(g826 +V\u000a\u000a +p18468 +tp18469 +a(g744 +Vfunction +p18470 +tp18471 +a(g826 +g962 +tp18472 +a(g573 +VContainsEmptyLines +p18473 +tp18474 +a(g705 +g1137 +tp18475 +a(g435 +VSL +p18476 +tp18477 +a(g412 +g1407 +tp18478 +a(g826 +g962 +tp18479 +a(g435 +VTStrings +p18480 +tp18481 +a(g705 +g1141 +tp18482 +a(g412 +g1407 +tp18483 +a(g826 +g962 +tp18484 +a(g748 +VBoolean +p18485 +tp18486 +a(g412 +g966 +tp18487 +a(g826 +V\u000a +p18488 +tp18489 +a(g744 +Vbegin +p18490 +tp18491 +a(g826 +V\u000a +p18492 +tp18493 +a(g693 +VResult +p18494 +tp18495 +a(g826 +g962 +tp18496 +a(g412 +g1407 +tp18497 +a(g412 +g1007 +tp18498 +a(g826 +g962 +tp18499 +a(g435 +VStrIn +p18500 +tp18501 +a(g705 +g1137 +tp18502 +a(g435 +VSL +p18503 +tp18504 +a(g412 +g984 +tp18505 +a(g826 +g962 +tp18506 +a(g89 +g1101 +tp18507 +a(g89 +g1101 +tp18508 +a(g705 +g1141 +tp18509 +a(g412 +g966 +tp18510 +a(g826 +V\u000a +p18511 +tp18512 +a(g744 +Vend +p18513 +tp18514 +a(g412 +g966 +tp18515 +a(g826 +V\u000a\u000a +p18516 +tp18517 +a(g744 +Vprocedure +p18518 +tp18519 +a(g826 +g962 +tp18520 +a(g573 +VDeleteEmptyLines +p18521 +tp18522 +a(g705 +g1137 +tp18523 +a(g435 +VSL +p18524 +tp18525 +a(g412 +g1407 +tp18526 +a(g826 +g962 +tp18527 +a(g435 +VTStrings +p18528 +tp18529 +a(g705 +g1141 +tp18530 +a(g412 +g966 +tp18531 +a(g826 +V\u000a +p18532 +tp18533 +a(g744 +Vvar +p18534 +tp18535 +a(g826 +V\u000a +p18536 +tp18537 +a(g435 +g4708 +tp18538 +a(g412 +g1407 +tp18539 +a(g826 +g962 +tp18540 +a(g748 +VInteger +p18541 +tp18542 +a(g412 +g966 +tp18543 +a(g826 +V\u000a +p18544 +tp18545 +a(g744 +Vbegin +p18546 +tp18547 +a(g826 +V\u000a +p18548 +tp18549 +a(g435 +g4708 +tp18550 +a(g826 +g962 +tp18551 +a(g412 +g1407 +tp18552 +a(g412 +g1007 +tp18553 +a(g826 +g962 +tp18554 +a(g37 +g1202 +tp18555 +a(g412 +g966 +tp18556 +a(g826 +V\u000a +p18557 +tp18558 +a(g744 +Vwhile +p18559 +tp18560 +a(g826 +g962 +tp18561 +a(g435 +g4708 +tp18562 +a(g826 +g962 +tp18563 +a(g412 +g1413 +tp18564 +a(g826 +g962 +tp18565 +a(g435 +VSL +p18566 +tp18567 +a(g412 +g1154 +tp18568 +a(g435 +VCount +p18569 +tp18570 +a(g826 +g962 +tp18571 +a(g744 +Vdo +p18572 +tp18573 +a(g826 +g962 +tp18574 +a(g744 +Vbegin +p18575 +tp18576 +a(g826 +V\u000a +p18577 +tp18578 +a(g744 +Vif +p18579 +tp18580 +a(g826 +g962 +tp18581 +a(g435 +VSL +p18582 +tp18583 +a(g705 +g1066 +tp18584 +a(g435 +g4708 +tp18585 +a(g705 +g1074 +tp18586 +a(g826 +g962 +tp18587 +a(g412 +g1007 +tp18588 +a(g826 +g962 +tp18589 +a(g89 +g1101 +tp18590 +a(g89 +g1101 +tp18591 +a(g826 +g962 +tp18592 +a(g744 +Vthen +p18593 +tp18594 +a(g826 +V\u000a +p18595 +tp18596 +a(g435 +VSL +p18597 +tp18598 +a(g412 +g1154 +tp18599 +a(g435 +VDelete +p18600 +tp18601 +a(g705 +g1137 +tp18602 +a(g435 +g4708 +tp18603 +a(g705 +g1141 +tp18604 +a(g826 +V\u000a +p18605 +tp18606 +a(g744 +Velse +p18607 +tp18608 +a(g826 +V\u000a +p18609 +tp18610 +a(g681 +VInc +p18611 +tp18612 +a(g705 +g1137 +tp18613 +a(g435 +g4708 +tp18614 +a(g705 +g1141 +tp18615 +a(g412 +g966 +tp18616 +a(g826 +V\u000a +p18617 +tp18618 +a(g744 +Vend +p18619 +tp18620 +a(g412 +g966 +tp18621 +a(g826 +V\u000a +p18622 +tp18623 +a(g744 +Vend +p18624 +tp18625 +a(g412 +g966 +tp18626 +a(g826 +V\u000a\u000a +p18627 +tp18628 +a(g744 +Vprocedure +p18629 +tp18630 +a(g826 +g962 +tp18631 +a(g573 +VDeleteCommentLines +p18632 +tp18633 +a(g705 +g1137 +tp18634 +a(g435 +VSL +p18635 +tp18636 +a(g412 +g1407 +tp18637 +a(g826 +g962 +tp18638 +a(g435 +VTStrings +p18639 +tp18640 +a(g412 +g966 +tp18641 +a(g826 +g962 +tp18642 +a(g744 +Vconst +p18643 +tp18644 +a(g826 +g962 +tp18645 +a(g435 +VCommentSign +p18646 +tp18647 +a(g412 +g1407 +tp18648 +a(g826 +g962 +tp18649 +a(g744 +Vstring +p18650 +tp18651 +a(g826 +g962 +tp18652 +a(g412 +g1007 +tp18653 +a(g826 +g962 +tp18654 +a(g89 +g1101 +tp18655 +a(g89 +V// +p18656 +tp18657 +a(g89 +g1101 +tp18658 +a(g705 +g1141 +tp18659 +a(g412 +g966 +tp18660 +a(g826 +V\u000a +p18661 +tp18662 +a(g744 +Vvar +p18663 +tp18664 +a(g826 +V\u000a +p18665 +tp18666 +a(g435 +g4708 +tp18667 +a(g412 +g1407 +tp18668 +a(g826 +g962 +tp18669 +a(g748 +VInteger +p18670 +tp18671 +a(g412 +g966 +tp18672 +a(g826 +V\u000a +p18673 +tp18674 +a(g744 +Vbegin +p18675 +tp18676 +a(g826 +V\u000a +p18677 +tp18678 +a(g435 +g4708 +tp18679 +a(g826 +g962 +tp18680 +a(g412 +g1407 +tp18681 +a(g412 +g1007 +tp18682 +a(g826 +g962 +tp18683 +a(g37 +g1202 +tp18684 +a(g412 +g966 +tp18685 +a(g826 +V\u000a +p18686 +tp18687 +a(g744 +Vwhile +p18688 +tp18689 +a(g826 +g962 +tp18690 +a(g435 +g4708 +tp18691 +a(g826 +g962 +tp18692 +a(g412 +g1413 +tp18693 +a(g826 +g962 +tp18694 +a(g435 +VSL +p18695 +tp18696 +a(g412 +g1154 +tp18697 +a(g435 +VCount +p18698 +tp18699 +a(g826 +g962 +tp18700 +a(g744 +Vdo +p18701 +tp18702 +a(g826 +g962 +tp18703 +a(g744 +Vbegin +p18704 +tp18705 +a(g826 +V\u000a +p18706 +tp18707 +a(g744 +Vif +p18708 +tp18709 +a(g826 +g962 +tp18710 +a(g705 +g1137 +tp18711 +a(g435 +VSL +p18712 +tp18713 +a(g705 +g1066 +tp18714 +a(g435 +g4708 +tp18715 +a(g705 +g1074 +tp18716 +a(g826 +g962 +tp18717 +a(g412 +g1007 +tp18718 +a(g826 +g962 +tp18719 +a(g89 +g1101 +tp18720 +a(g89 +g1101 +tp18721 +a(g705 +g1141 +tp18722 +a(g826 +g962 +tp18723 +a(g744 +Vor +p18724 +tp18725 +a(g826 +g962 +tp18726 +a(g705 +g1137 +tp18727 +a(g435 +VStrAtBegin +p18728 +tp18729 +a(g705 +g1137 +tp18730 +a(g681 +VTrimLeft +p18731 +tp18732 +a(g705 +g1137 +tp18733 +a(g435 +VSL +p18734 +tp18735 +a(g705 +g1066 +tp18736 +a(g435 +g4708 +tp18737 +a(g705 +V]) +p18738 +tp18739 +a(g412 +g984 +tp18740 +a(g826 +g962 +tp18741 +a(g435 +VCommentSign +p18742 +tp18743 +a(g705 +V)) +p18744 +tp18745 +a(g826 +g962 +tp18746 +a(g744 +Vthen +p18747 +tp18748 +a(g826 +V\u000a +p18749 +tp18750 +a(g435 +VSL +p18751 +tp18752 +a(g412 +g1154 +tp18753 +a(g435 +VDelete +p18754 +tp18755 +a(g705 +g1137 +tp18756 +a(g435 +g4708 +tp18757 +a(g705 +g1141 +tp18758 +a(g826 +V\u000a +p18759 +tp18760 +a(g744 +Velse +p18761 +tp18762 +a(g826 +V\u000a +p18763 +tp18764 +a(g681 +VInc +p18765 +tp18766 +a(g705 +g1137 +tp18767 +a(g435 +g4708 +tp18768 +a(g705 +g1141 +tp18769 +a(g412 +g966 +tp18770 +a(g826 +V\u000a +p18771 +tp18772 +a(g744 +Vend +p18773 +tp18774 +a(g412 +g966 +tp18775 +a(g826 +V\u000a +p18776 +tp18777 +a(g744 +Vend +p18778 +tp18779 +a(g412 +g966 +tp18780 +a(g826 +V\u000a\u000a +p18781 +tp18782 +a(g744 +Vfunction +p18783 +tp18784 +a(g826 +g962 +tp18785 +a(g573 +VFindLine +p18786 +tp18787 +a(g705 +g1137 +tp18788 +a(g435 +VSL +p18789 +tp18790 +a(g412 +g1407 +tp18791 +a(g826 +g962 +tp18792 +a(g435 +VTStrings +p18793 +tp18794 +a(g412 +g966 +tp18795 +a(g826 +g962 +tp18796 +a(g744 +Vconst +p18797 +tp18798 +a(g826 +g962 +tp18799 +a(g435 +g1773 +tp18800 +a(g412 +g1407 +tp18801 +a(g826 +g962 +tp18802 +a(g744 +Vstring +p18803 +tp18804 +a(g705 +g1141 +tp18805 +a(g412 +g1407 +tp18806 +a(g826 +g962 +tp18807 +a(g748 +VInteger +p18808 +tp18809 +a(g412 +g966 +tp18810 +a(g826 +V\u000a +p18811 +tp18812 +a(g744 +Vbegin +p18813 +tp18814 +a(g826 +V\u000a +p18815 +tp18816 +a(g744 +Vfor +p18817 +tp18818 +a(g826 +g962 +tp18819 +a(g693 +VResult +p18820 +tp18821 +a(g826 +g962 +tp18822 +a(g412 +g1407 +tp18823 +a(g412 +g1007 +tp18824 +a(g826 +g962 +tp18825 +a(g37 +g1202 +tp18826 +a(g826 +g962 +tp18827 +a(g744 +Vto +p18828 +tp18829 +a(g826 +g962 +tp18830 +a(g435 +VSL +p18831 +tp18832 +a(g412 +g1154 +tp18833 +a(g435 +VCount +p18834 +tp18835 +a(g412 +g1109 +tp18836 +a(g37 +g1337 +tp18837 +a(g826 +g962 +tp18838 +a(g744 +Vdo +p18839 +tp18840 +a(g826 +V\u000a +p18841 +tp18842 +a(g744 +Vif +p18843 +tp18844 +a(g826 +g962 +tp18845 +a(g435 +VTextAtBegin +p18846 +tp18847 +a(g705 +g1137 +tp18848 +a(g435 +VSL +p18849 +tp18850 +a(g705 +g1066 +tp18851 +a(g693 +VResult +p18852 +tp18853 +a(g705 +g1074 +tp18854 +a(g412 +g984 +tp18855 +a(g826 +g962 +tp18856 +a(g435 +g1773 +tp18857 +a(g705 +g1141 +tp18858 +a(g826 +g962 +tp18859 +a(g744 +Vthen +p18860 +tp18861 +a(g826 +V\u000a +p18862 +tp18863 +a(g744 +VExit +p18864 +tp18865 +a(g412 +g966 +tp18866 +a(g826 +V\u000a +p18867 +tp18868 +a(g693 +VResult +p18869 +tp18870 +a(g826 +g962 +tp18871 +a(g412 +g1407 +tp18872 +a(g412 +g1007 +tp18873 +a(g826 +g962 +tp18874 +a(g412 +g1109 +tp18875 +a(g37 +g1337 +tp18876 +a(g412 +g966 +tp18877 +a(g826 +V\u000a +p18878 +tp18879 +a(g744 +Vend +p18880 +tp18881 +a(g412 +g966 +tp18882 +a(g826 +V\u000a\u000a +p18883 +tp18884 +a(g744 +Vprocedure +p18885 +tp18886 +a(g826 +g962 +tp18887 +a(g573 +VQuickSortSL +p18888 +tp18889 +a(g705 +g1137 +tp18890 +a(g435 +VSL +p18891 +tp18892 +a(g412 +g1407 +tp18893 +a(g826 +g962 +tp18894 +a(g435 +VTStringList +p18895 +tp18896 +a(g705 +g1141 +tp18897 +a(g412 +g966 +tp18898 +a(g826 +V\u000a\u000a +p18899 +tp18900 +a(g744 +Vprocedure +p18901 +tp18902 +a(g826 +g962 +tp18903 +a(g573 +VSort +p18904 +tp18905 +a(g705 +g1137 +tp18906 +a(g435 +Vl +p18907 +tp18908 +a(g412 +g984 +tp18909 +a(g826 +g962 +tp18910 +a(g435 +Vr +p18911 +tp18912 +a(g412 +g1407 +tp18913 +a(g826 +g962 +tp18914 +a(g748 +VInteger +p18915 +tp18916 +a(g705 +g1141 +tp18917 +a(g412 +g966 +tp18918 +a(g826 +V\u000a +p18919 +tp18920 +a(g744 +Vvar +p18921 +tp18922 +a(g826 +V\u000a +p18923 +tp18924 +a(g435 +g4708 +tp18925 +a(g412 +g984 +tp18926 +a(g435 +Vj +p18927 +tp18928 +a(g412 +g1407 +tp18929 +a(g826 +g962 +tp18930 +a(g748 +VInteger +p18931 +tp18932 +a(g412 +g966 +tp18933 +a(g826 +V\u000a +p18934 +tp18935 +a(g435 +g1188 +tp18936 +a(g412 +g984 +tp18937 +a(g435 +Vx +p18938 +tp18939 +a(g412 +g1407 +tp18940 +a(g826 +g962 +tp18941 +a(g744 +Vstring +p18942 +tp18943 +a(g412 +g966 +tp18944 +a(g826 +V\u000a +p18945 +tp18946 +a(g744 +Vbegin +p18947 +tp18948 +a(g826 +V\u000a +p18949 +tp18950 +a(g435 +g4708 +tp18951 +a(g826 +g962 +tp18952 +a(g412 +g1407 +tp18953 +a(g412 +g1007 +tp18954 +a(g826 +g962 +tp18955 +a(g435 +g18907 +tp18956 +a(g412 +g966 +tp18957 +a(g826 +V\u000a +p18958 +tp18959 +a(g435 +g18927 +tp18960 +a(g826 +g962 +tp18961 +a(g412 +g1407 +tp18962 +a(g412 +g1007 +tp18963 +a(g826 +g962 +tp18964 +a(g435 +g18911 +tp18965 +a(g412 +g966 +tp18966 +a(g826 +V\u000a +p18967 +tp18968 +a(g435 +g18938 +tp18969 +a(g826 +g962 +tp18970 +a(g412 +g1407 +tp18971 +a(g412 +g1007 +tp18972 +a(g826 +g962 +tp18973 +a(g435 +VSL +p18974 +tp18975 +a(g705 +V[( +p18976 +tp18977 +a(g435 +g18927 +tp18978 +a(g826 +g962 +tp18979 +a(g412 +g1223 +tp18980 +a(g826 +g962 +tp18981 +a(g435 +g4708 +tp18982 +a(g705 +g1141 +tp18983 +a(g826 +g962 +tp18984 +a(g744 +Vdiv +p18985 +tp18986 +a(g826 +g962 +tp18987 +a(g37 +g1463 +tp18988 +a(g705 +g1074 +tp18989 +a(g412 +g966 +tp18990 +a(g826 +V\u000a +p18991 +tp18992 +a(g744 +Vrepeat +p18993 +tp18994 +a(g826 +V\u000a +p18995 +tp18996 +a(g744 +Vwhile +p18997 +tp18998 +a(g826 +g962 +tp18999 +a(g435 +VSL +p19000 +tp19001 +a(g705 +g1066 +tp19002 +a(g435 +g4708 +tp19003 +a(g705 +g1074 +tp19004 +a(g826 +g962 +tp19005 +a(g412 +g1413 +tp19006 +a(g826 +g962 +tp19007 +a(g435 +g18938 +tp19008 +a(g826 +g962 +tp19009 +a(g744 +Vdo +p19010 +tp19011 +a(g826 +g962 +tp19012 +a(g681 +VInc +p19013 +tp19014 +a(g705 +g1137 +tp19015 +a(g435 +g4708 +tp19016 +a(g705 +g1141 +tp19017 +a(g412 +g966 +tp19018 +a(g826 +V\u000a +p19019 +tp19020 +a(g744 +Vwhile +p19021 +tp19022 +a(g826 +g962 +tp19023 +a(g435 +VSL +p19024 +tp19025 +a(g705 +g1066 +tp19026 +a(g435 +g18927 +tp19027 +a(g705 +g1074 +tp19028 +a(g826 +g962 +tp19029 +a(g412 +g1419 +tp19030 +a(g826 +g962 +tp19031 +a(g435 +g18938 +tp19032 +a(g826 +g962 +tp19033 +a(g744 +Vdo +p19034 +tp19035 +a(g826 +g962 +tp19036 +a(g681 +VDec +p19037 +tp19038 +a(g705 +g1137 +tp19039 +a(g435 +g18927 +tp19040 +a(g705 +g1141 +tp19041 +a(g412 +g966 +tp19042 +a(g826 +V\u000a +p19043 +tp19044 +a(g744 +Vif +p19045 +tp19046 +a(g826 +g962 +tp19047 +a(g435 +g4708 +tp19048 +a(g826 +g962 +tp19049 +a(g412 +g1413 +tp19050 +a(g412 +g1007 +tp19051 +a(g826 +g962 +tp19052 +a(g435 +g18927 +tp19053 +a(g826 +g962 +tp19054 +a(g744 +Vthen +p19055 +tp19056 +a(g826 +g962 +tp19057 +a(g744 +Vbegin +p19058 +tp19059 +a(g826 +V\u000a +p19060 +tp19061 +a(g435 +g1188 +tp19062 +a(g826 +g962 +tp19063 +a(g412 +g1407 +tp19064 +a(g412 +g1007 +tp19065 +a(g826 +g962 +tp19066 +a(g435 +VSL +p19067 +tp19068 +a(g705 +g1066 +tp19069 +a(g435 +g4708 +tp19070 +a(g705 +g1074 +tp19071 +a(g412 +g966 +tp19072 +a(g826 +V\u000a +p19073 +tp19074 +a(g435 +VSL +p19075 +tp19076 +a(g705 +g1066 +tp19077 +a(g435 +g4708 +tp19078 +a(g705 +g1074 +tp19079 +a(g826 +g962 +tp19080 +a(g412 +g1407 +tp19081 +a(g412 +g1007 +tp19082 +a(g826 +g962 +tp19083 +a(g435 +VSL +p19084 +tp19085 +a(g705 +g1066 +tp19086 +a(g435 +g18927 +tp19087 +a(g705 +g1074 +tp19088 +a(g412 +g966 +tp19089 +a(g826 +V\u000a +p19090 +tp19091 +a(g435 +VSL +p19092 +tp19093 +a(g705 +g1066 +tp19094 +a(g435 +g18927 +tp19095 +a(g705 +g1074 +tp19096 +a(g826 +g962 +tp19097 +a(g412 +g1407 +tp19098 +a(g412 +g1007 +tp19099 +a(g826 +g962 +tp19100 +a(g435 +g1188 +tp19101 +a(g412 +g966 +tp19102 +a(g826 +V\u000a +p19103 +tp19104 +a(g681 +VInc +p19105 +tp19106 +a(g705 +g1137 +tp19107 +a(g435 +g4708 +tp19108 +a(g705 +g1141 +tp19109 +a(g412 +g966 +tp19110 +a(g826 +g962 +tp19111 +a(g681 +VDec +p19112 +tp19113 +a(g705 +g1137 +tp19114 +a(g435 +g18927 +tp19115 +a(g705 +g1141 +tp19116 +a(g412 +g966 +tp19117 +a(g826 +V\u000a +p19118 +tp19119 +a(g744 +Vend +p19120 +tp19121 +a(g412 +g966 +tp19122 +a(g826 +V\u000a +p19123 +tp19124 +a(g744 +Vuntil +p19125 +tp19126 +a(g826 +g962 +tp19127 +a(g435 +g4708 +tp19128 +a(g826 +g962 +tp19129 +a(g412 +g1419 +tp19130 +a(g826 +g962 +tp19131 +a(g435 +g18927 +tp19132 +a(g412 +g966 +tp19133 +a(g826 +V\u000a +p19134 +tp19135 +a(g744 +Vif +p19136 +tp19137 +a(g826 +g962 +tp19138 +a(g435 +g18927 +tp19139 +a(g826 +g962 +tp19140 +a(g412 +g1419 +tp19141 +a(g826 +g962 +tp19142 +a(g435 +g18907 +tp19143 +a(g826 +g962 +tp19144 +a(g744 +Vthen +p19145 +tp19146 +a(g826 +g962 +tp19147 +a(g435 +VSort +p19148 +tp19149 +a(g705 +g1137 +tp19150 +a(g435 +g18907 +tp19151 +a(g412 +g984 +tp19152 +a(g826 +g962 +tp19153 +a(g435 +g18927 +tp19154 +a(g705 +g1141 +tp19155 +a(g412 +g966 +tp19156 +a(g826 +V\u000a +p19157 +tp19158 +a(g744 +Vif +p19159 +tp19160 +a(g826 +g962 +tp19161 +a(g435 +g4708 +tp19162 +a(g826 +g962 +tp19163 +a(g412 +g1413 +tp19164 +a(g826 +g962 +tp19165 +a(g435 +g18911 +tp19166 +a(g826 +g962 +tp19167 +a(g744 +Vthen +p19168 +tp19169 +a(g826 +g962 +tp19170 +a(g435 +VSort +p19171 +tp19172 +a(g705 +g1137 +tp19173 +a(g435 +g4708 +tp19174 +a(g412 +g984 +tp19175 +a(g826 +g962 +tp19176 +a(g435 +g18911 +tp19177 +a(g705 +g1141 +tp19178 +a(g412 +g966 +tp19179 +a(g826 +V\u000a +p19180 +tp19181 +a(g744 +Vend +p19182 +tp19183 +a(g412 +g966 +tp19184 +a(g826 +V\u000a\u000a +p19185 +tp19186 +a(g744 +Vbegin +p19187 +tp19188 +a(g826 +V\u000a +p19189 +tp19190 +a(g744 +Vif +p19191 +tp19192 +a(g826 +g962 +tp19193 +a(g435 +VSL +p19194 +tp19195 +a(g412 +g1154 +tp19196 +a(g435 +VCount +p19197 +tp19198 +a(g826 +g962 +tp19199 +a(g412 +g1419 +tp19200 +a(g826 +g962 +tp19201 +a(g37 +g1202 +tp19202 +a(g826 +g962 +tp19203 +a(g744 +Vthen +p19204 +tp19205 +a(g826 +V\u000a +p19206 +tp19207 +a(g435 +VSort +p19208 +tp19209 +a(g705 +g1137 +tp19210 +a(g37 +g1202 +tp19211 +a(g412 +g984 +tp19212 +a(g826 +g962 +tp19213 +a(g435 +VSL +p19214 +tp19215 +a(g412 +g1154 +tp19216 +a(g435 +VCount +p19217 +tp19218 +a(g412 +g1109 +tp19219 +a(g37 +g1337 +tp19220 +a(g705 +g1141 +tp19221 +a(g412 +g966 +tp19222 +a(g826 +V\u000a +p19223 +tp19224 +a(g744 +Vend +p19225 +tp19226 +a(g412 +g966 +tp19227 +a(g826 +V\u000a\u000a +p19228 +tp19229 +a(g744 +Vfunction +p19230 +tp19231 +a(g826 +g962 +tp19232 +a(g573 +VIncStrA +p19233 +tp19234 +a(g705 +g1137 +tp19235 +a(g435 +VStrA +p19236 +tp19237 +a(g412 +g1407 +tp19238 +a(g826 +g962 +tp19239 +a(g435 +VTStrA +p19240 +tp19241 +a(g705 +g1141 +tp19242 +a(g412 +g1407 +tp19243 +a(g826 +g962 +tp19244 +a(g748 +VInteger +p19245 +tp19246 +a(g412 +g966 +tp19247 +a(g826 +V\u000a +p19248 +tp19249 +a(g744 +Vbegin +p19250 +tp19251 +a(g826 +V\u000a +p19252 +tp19253 +a(g681 +VSetLength +p19254 +tp19255 +a(g705 +g1137 +tp19256 +a(g435 +VStrA +p19257 +tp19258 +a(g412 +g984 +tp19259 +a(g826 +g962 +tp19260 +a(g681 +VLength +p19261 +tp19262 +a(g705 +g1137 +tp19263 +a(g435 +VStrA +p19264 +tp19265 +a(g705 +g1141 +tp19266 +a(g826 +g962 +tp19267 +a(g412 +g1223 +tp19268 +a(g826 +g962 +tp19269 +a(g37 +g1337 +tp19270 +a(g705 +g1141 +tp19271 +a(g412 +g966 +tp19272 +a(g826 +V\u000a +p19273 +tp19274 +a(g693 +VResult +p19275 +tp19276 +a(g826 +g962 +tp19277 +a(g412 +g1407 +tp19278 +a(g412 +g1007 +tp19279 +a(g826 +g962 +tp19280 +a(g681 +VHigh +p19281 +tp19282 +a(g705 +g1137 +tp19283 +a(g435 +VStrA +p19284 +tp19285 +a(g705 +g1141 +tp19286 +a(g412 +g966 +tp19287 +a(g826 +V\u000a +p19288 +tp19289 +a(g744 +Vend +p19290 +tp19291 +a(g412 +g966 +tp19292 +a(g826 +V\u000a\u000a +p19293 +tp19294 +a(g744 +Vfunction +p19295 +tp19296 +a(g826 +g962 +tp19297 +a(g573 +VStrOfByteA +p19298 +tp19299 +a(g705 +g1137 +tp19300 +a(g435 +g1182 +tp19301 +a(g412 +g1407 +tp19302 +a(g826 +g962 +tp19303 +a(g435 +VTByteA +p19304 +tp19305 +a(g705 +g1141 +tp19306 +a(g412 +g1407 +tp19307 +a(g826 +g962 +tp19308 +a(g744 +Vstring +p19309 +tp19310 +a(g412 +g966 +tp19311 +a(g826 +V\u000a +p19312 +tp19313 +a(g744 +Vbegin +p19314 +tp19315 +a(g826 +V\u000a +p19316 +tp19317 +a(g693 +VResult +p19318 +tp19319 +a(g826 +g962 +tp19320 +a(g412 +g1407 +tp19321 +a(g412 +g1007 +tp19322 +a(g826 +g962 +tp19323 +a(g744 +Vstring +p19324 +tp19325 +a(g705 +g1137 +tp19326 +a(g681 +VCopy +p19327 +tp19328 +a(g705 +g1137 +tp19329 +a(g435 +g1182 +tp19330 +a(g412 +g984 +tp19331 +a(g826 +g962 +tp19332 +a(g37 +g1202 +tp19333 +a(g412 +g984 +tp19334 +a(g826 +g962 +tp19335 +a(g681 +VLength +p19336 +tp19337 +a(g705 +g1137 +tp19338 +a(g435 +g1182 +tp19339 +a(g705 +V))) +p19340 +tp19341 +a(g412 +g966 +tp19342 +a(g826 +V\u000a +p19343 +tp19344 +a(g744 +Vend +p19345 +tp19346 +a(g412 +g966 +tp19347 +a(g826 +V\u000a\u000a +p19348 +tp19349 +a(g744 +Vfunction +p19350 +tp19351 +a(g826 +g962 +tp19352 +a(g573 +VByteAOfStr +p19353 +tp19354 +a(g705 +g1137 +tp19355 +a(g744 +Vconst +p19356 +tp19357 +a(g826 +g962 +tp19358 +a(g435 +g1773 +tp19359 +a(g412 +g1407 +tp19360 +a(g826 +g962 +tp19361 +a(g744 +Vstring +p19362 +tp19363 +a(g705 +g1141 +tp19364 +a(g412 +g1407 +tp19365 +a(g826 +g962 +tp19366 +a(g435 +VTByteA +p19367 +tp19368 +a(g412 +g966 +tp19369 +a(g826 +V\u000a +p19370 +tp19371 +a(g744 +Vbegin +p19372 +tp19373 +a(g826 +V\u000a +p19374 +tp19375 +a(g693 +VResult +p19376 +tp19377 +a(g826 +g962 +tp19378 +a(g412 +g1407 +tp19379 +a(g412 +g1007 +tp19380 +a(g826 +g962 +tp19381 +a(g435 +VTByteA +p19382 +tp19383 +a(g705 +g1137 +tp19384 +a(g681 +VCopy +p19385 +tp19386 +a(g705 +g1137 +tp19387 +a(g435 +g1773 +tp19388 +a(g412 +g984 +tp19389 +a(g826 +g962 +tp19390 +a(g37 +g1337 +tp19391 +a(g412 +g984 +tp19392 +a(g826 +g962 +tp19393 +a(g681 +VLength +p19394 +tp19395 +a(g705 +g1137 +tp19396 +a(g435 +g7470 +tp19397 +a(g705 +V))) +p19398 +tp19399 +a(g412 +g966 +tp19400 +a(g826 +V\u000a +p19401 +tp19402 +a(g744 +Vend +p19403 +tp19404 +a(g412 +g966 +tp19405 +a(g826 +V\u000a\u000a +p19406 +tp19407 +a(g744 +Vfunction +p19408 +tp19409 +a(g826 +g962 +tp19410 +a(g573 +VByteAOfInt +p19411 +tp19412 +a(g705 +g1137 +tp19413 +a(g435 +g4708 +tp19414 +a(g412 +g1407 +tp19415 +a(g826 +g962 +tp19416 +a(g748 +VInteger +p19417 +tp19418 +a(g705 +g1141 +tp19419 +a(g412 +g1407 +tp19420 +a(g826 +g962 +tp19421 +a(g435 +VTByteA +p19422 +tp19423 +a(g412 +g966 +tp19424 +a(g826 +V\u000a +p19425 +tp19426 +a(g744 +Vbegin +p19427 +tp19428 +a(g826 +V\u000a +p19429 +tp19430 +a(g681 +VSetLength +p19431 +tp19432 +a(g705 +g1137 +tp19433 +a(g693 +VResult +p19434 +tp19435 +a(g412 +g984 +tp19436 +a(g826 +g962 +tp19437 +a(g681 +VSizeOf +p19438 +tp19439 +a(g705 +g1137 +tp19440 +a(g748 +VInteger +p19441 +tp19442 +a(g705 +V)) +p19443 +tp19444 +a(g412 +g966 +tp19445 +a(g826 +V\u000a +p19446 +tp19447 +a(g681 +VMove +p19448 +tp19449 +a(g705 +g1137 +tp19450 +a(g435 +g4708 +tp19451 +a(g412 +g984 +tp19452 +a(g826 +g962 +tp19453 +a(g748 +VPointer +p19454 +tp19455 +a(g705 +g1137 +tp19456 +a(g693 +VResult +p19457 +tp19458 +a(g705 +g1141 +tp19459 +a(g412 +g13767 +tp19460 +a(g412 +g984 +tp19461 +a(g826 +g962 +tp19462 +a(g681 +VSizeOf +p19463 +tp19464 +a(g705 +g1137 +tp19465 +a(g748 +VInteger +p19466 +tp19467 +a(g705 +V)) +p19468 +tp19469 +a(g412 +g966 +tp19470 +a(g826 +V\u000a +p19471 +tp19472 +a(g744 +Vend +p19473 +tp19474 +a(g412 +g966 +tp19475 +a(g826 +V\u000a\u000a +p19476 +tp19477 +a(g744 +Vfunction +p19478 +tp19479 +a(g826 +g962 +tp19480 +a(g573 +VIntOfByteA +p19481 +tp19482 +a(g705 +g1137 +tp19483 +a(g435 +g1170 +tp19484 +a(g412 +g1407 +tp19485 +a(g826 +g962 +tp19486 +a(g435 +VTByteA +p19487 +tp19488 +a(g705 +g1141 +tp19489 +a(g412 +g1407 +tp19490 +a(g826 +g962 +tp19491 +a(g748 +VInteger +p19492 +tp19493 +a(g412 +g966 +tp19494 +a(g826 +V\u000a +p19495 +tp19496 +a(g744 +Vbegin +p19497 +tp19498 +a(g826 +V\u000a +p19499 +tp19500 +a(g693 +VResult +p19501 +tp19502 +a(g826 +g962 +tp19503 +a(g412 +g1407 +tp19504 +a(g412 +g1007 +tp19505 +a(g826 +g962 +tp19506 +a(g37 +g1202 +tp19507 +a(g412 +g966 +tp19508 +a(g826 +V\u000a +p19509 +tp19510 +a(g681 +VMove +p19511 +tp19512 +a(g705 +g1137 +tp19513 +a(g748 +VPointer +p19514 +tp19515 +a(g705 +g1137 +tp19516 +a(g435 +g1170 +tp19517 +a(g705 +g1141 +tp19518 +a(g412 +g13767 +tp19519 +a(g412 +g984 +tp19520 +a(g826 +g962 +tp19521 +a(g693 +VResult +p19522 +tp19523 +a(g412 +g984 +tp19524 +a(g826 +g962 +tp19525 +a(g681 +VMin +p19526 +tp19527 +a(g705 +g1137 +tp19528 +a(g681 +VLength +p19529 +tp19530 +a(g705 +g1137 +tp19531 +a(g435 +g1170 +tp19532 +a(g705 +g1141 +tp19533 +a(g412 +g984 +tp19534 +a(g826 +g962 +tp19535 +a(g681 +VSizeOf +p19536 +tp19537 +a(g705 +g1137 +tp19538 +a(g748 +VInteger +p19539 +tp19540 +a(g705 +V))) +p19541 +tp19542 +a(g412 +g966 +tp19543 +a(g826 +V\u000a +p19544 +tp19545 +a(g744 +Vend +p19546 +tp19547 +a(g412 +g966 +tp19548 +a(g826 +V\u000a\u000a +p19549 +tp19550 +a(g744 +Vfunction +p19551 +tp19552 +a(g826 +g962 +tp19553 +a(g573 +VByteAOfHex +p19554 +tp19555 +a(g705 +g1137 +tp19556 +a(g744 +Vconst +p19557 +tp19558 +a(g826 +g962 +tp19559 +a(g435 +VHex +p19560 +tp19561 +a(g412 +g1407 +tp19562 +a(g826 +g962 +tp19563 +a(g744 +Vstring +p19564 +tp19565 +a(g705 +g1141 +tp19566 +a(g412 +g1407 +tp19567 +a(g826 +g962 +tp19568 +a(g435 +VTByteA +p19569 +tp19570 +a(g412 +g966 +tp19571 +a(g826 +V\u000a +p19572 +tp19573 +a(g744 +Vvar +p19574 +tp19575 +a(g826 +V\u000a +p19576 +tp19577 +a(g435 +g4708 +tp19578 +a(g412 +g1407 +tp19579 +a(g826 +g962 +tp19580 +a(g748 +VInteger +p19581 +tp19582 +a(g412 +g966 +tp19583 +a(g826 +V\u000a +p19584 +tp19585 +a(g435 +Vh +p19586 +tp19587 +a(g412 +g1407 +tp19588 +a(g826 +g962 +tp19589 +a(g744 +Vstring +p19590 +tp19591 +a(g412 +g966 +tp19592 +a(g826 +V\u000a +p19593 +tp19594 +a(g744 +Vbegin +p19595 +tp19596 +a(g826 +V\u000a +p19597 +tp19598 +a(g435 +g19586 +tp19599 +a(g826 +g962 +tp19600 +a(g412 +g1407 +tp19601 +a(g412 +g1007 +tp19602 +a(g826 +g962 +tp19603 +a(g435 +VExtractChars +p19604 +tp19605 +a(g705 +g1137 +tp19606 +a(g435 +VHex +p19607 +tp19608 +a(g412 +g984 +tp19609 +a(g826 +g962 +tp19610 +a(g435 +VHexadecimalChars +p19611 +tp19612 +a(g705 +g1141 +tp19613 +a(g412 +g966 +tp19614 +a(g826 +V\u000a +p19615 +tp19616 +a(g681 +VSetLength +p19617 +tp19618 +a(g705 +g1137 +tp19619 +a(g693 +VResult +p19620 +tp19621 +a(g412 +g984 +tp19622 +a(g826 +g962 +tp19623 +a(g681 +VLength +p19624 +tp19625 +a(g705 +g1137 +tp19626 +a(g435 +g19586 +tp19627 +a(g705 +g1141 +tp19628 +a(g826 +g962 +tp19629 +a(g744 +Vdiv +p19630 +tp19631 +a(g826 +g962 +tp19632 +a(g37 +g1463 +tp19633 +a(g705 +g1141 +tp19634 +a(g412 +g966 +tp19635 +a(g826 +V\u000a +p19636 +tp19637 +a(g744 +Vfor +p19638 +tp19639 +a(g826 +g962 +tp19640 +a(g435 +g4708 +tp19641 +a(g826 +g962 +tp19642 +a(g412 +g1407 +tp19643 +a(g412 +g1007 +tp19644 +a(g826 +g962 +tp19645 +a(g37 +g1202 +tp19646 +a(g826 +g962 +tp19647 +a(g744 +Vto +p19648 +tp19649 +a(g826 +g962 +tp19650 +a(g681 +VHigh +p19651 +tp19652 +a(g705 +g1137 +tp19653 +a(g693 +VResult +p19654 +tp19655 +a(g705 +g1141 +tp19656 +a(g826 +g962 +tp19657 +a(g744 +Vdo +p19658 +tp19659 +a(g826 +V\u000a +p19660 +tp19661 +a(g693 +VResult +p19662 +tp19663 +a(g705 +g1066 +tp19664 +a(g435 +g4708 +tp19665 +a(g705 +g1074 +tp19666 +a(g826 +g962 +tp19667 +a(g412 +g1407 +tp19668 +a(g412 +g1007 +tp19669 +a(g826 +g962 +tp19670 +a(g435 +VByteOfHex +p19671 +tp19672 +a(g705 +g1137 +tp19673 +a(g681 +VCopy +p19674 +tp19675 +a(g705 +g1137 +tp19676 +a(g435 +g19586 +tp19677 +a(g412 +g984 +tp19678 +a(g826 +g962 +tp19679 +a(g705 +g1137 +tp19680 +a(g435 +g4708 +tp19681 +a(g826 +g962 +tp19682 +a(g744 +Vshl +p19683 +tp19684 +a(g826 +g962 +tp19685 +a(g37 +g1337 +tp19686 +a(g705 +g1141 +tp19687 +a(g826 +g962 +tp19688 +a(g412 +g1223 +tp19689 +a(g826 +g962 +tp19690 +a(g37 +g1337 +tp19691 +a(g412 +g984 +tp19692 +a(g826 +g962 +tp19693 +a(g37 +g1463 +tp19694 +a(g705 +V)) +p19695 +tp19696 +a(g412 +g966 +tp19697 +a(g826 +V\u000a +p19698 +tp19699 +a(g744 +Vend +p19700 +tp19701 +a(g412 +g966 +tp19702 +a(g826 +V\u000a\u000a +p19703 +tp19704 +a(g744 +Vfunction +p19705 +tp19706 +a(g826 +g962 +tp19707 +a(g573 +VSizeOfFile +p19708 +tp19709 +a(g705 +g1137 +tp19710 +a(g744 +Vconst +p19711 +tp19712 +a(g826 +g962 +tp19713 +a(g435 +VFileName +p19714 +tp19715 +a(g412 +g1407 +tp19716 +a(g826 +g962 +tp19717 +a(g744 +Vstring +p19718 +tp19719 +a(g705 +g1141 +tp19720 +a(g412 +g1407 +tp19721 +a(g826 +g962 +tp19722 +a(g748 +VInteger +p19723 +tp19724 +a(g412 +g966 +tp19725 +a(g826 +V\u000a +p19726 +tp19727 +a(g744 +Vvar +p19728 +tp19729 +a(g826 +V\u000a +p19730 +tp19731 +a(g435 +g1288 +tp19732 +a(g412 +g1407 +tp19733 +a(g826 +g962 +tp19734 +a(g744 +Vfile +p19735 +tp19736 +a(g412 +g966 +tp19737 +a(g826 +V\u000a +p19738 +tp19739 +a(g744 +Vbegin +p19740 +tp19741 +a(g826 +V\u000a +p19742 +tp19743 +a(g681 +VAssignFile +p19744 +tp19745 +a(g705 +g1137 +tp19746 +a(g435 +g1288 +tp19747 +a(g412 +g984 +tp19748 +a(g826 +g962 +tp19749 +a(g435 +VFileName +p19750 +tp19751 +a(g705 +g1141 +tp19752 +a(g412 +g966 +tp19753 +a(g826 +V\u000a +p19754 +tp19755 +a(g929 +V{$I-} +p19756 +tp19757 +a(g681 +VReset +p19758 +tp19759 +a(g705 +g1137 +tp19760 +a(g435 +g1288 +tp19761 +a(g412 +g984 +tp19762 +a(g826 +g962 +tp19763 +a(g37 +g1337 +tp19764 +a(g705 +g1141 +tp19765 +a(g412 +g966 +tp19766 +a(g929 +V{$I+} +p19767 +tp19768 +a(g826 +V\u000a +p19769 +tp19770 +a(g744 +Vif +p19771 +tp19772 +a(g826 +g962 +tp19773 +a(g681 +VIOResult +p19774 +tp19775 +a(g826 +g962 +tp19776 +a(g412 +g1007 +tp19777 +a(g826 +g962 +tp19778 +a(g37 +g1202 +tp19779 +a(g826 +g962 +tp19780 +a(g744 +Vthen +p19781 +tp19782 +a(g826 +g962 +tp19783 +a(g744 +Vbegin +p19784 +tp19785 +a(g826 +V\u000a +p19786 +tp19787 +a(g693 +VResult +p19788 +tp19789 +a(g826 +g962 +tp19790 +a(g412 +g1407 +tp19791 +a(g412 +g1007 +tp19792 +a(g826 +g962 +tp19793 +a(g681 +VFileSize +p19794 +tp19795 +a(g705 +g1137 +tp19796 +a(g435 +g1288 +tp19797 +a(g705 +g1141 +tp19798 +a(g412 +g966 +tp19799 +a(g826 +V\u000a +p19800 +tp19801 +a(g681 +VCloseFile +p19802 +tp19803 +a(g705 +g1137 +tp19804 +a(g435 +g1288 +tp19805 +a(g705 +g1141 +tp19806 +a(g412 +g966 +tp19807 +a(g826 +V\u000a +p19808 +tp19809 +a(g744 +Vend +p19810 +tp19811 +a(g826 +g962 +tp19812 +a(g744 +Velse +p19813 +tp19814 +a(g826 +V\u000a +p19815 +tp19816 +a(g693 +VResult +p19817 +tp19818 +a(g826 +g962 +tp19819 +a(g412 +g1407 +tp19820 +a(g412 +g1007 +tp19821 +a(g826 +g962 +tp19822 +a(g37 +g1202 +tp19823 +a(g412 +g966 +tp19824 +a(g826 +V\u000a +p19825 +tp19826 +a(g744 +Vend +p19827 +tp19828 +a(g412 +g966 +tp19829 +a(g826 +V\u000a\u000a +p19830 +tp19831 +a(g744 +Vfunction +p19832 +tp19833 +a(g826 +g962 +tp19834 +a(g573 +VFileEx +p19835 +tp19836 +a(g705 +g1137 +tp19837 +a(g744 +Vconst +p19838 +tp19839 +a(g826 +g962 +tp19840 +a(g435 +VFileName +p19841 +tp19842 +a(g412 +g1407 +tp19843 +a(g826 +g962 +tp19844 +a(g744 +Vstring +p19845 +tp19846 +a(g412 +g966 +tp19847 +a(g826 +g962 +tp19848 +a(g435 +VAllowFolders +p19849 +tp19850 +a(g412 +g1407 +tp19851 +a(g826 +g962 +tp19852 +a(g748 +VBoolean +p19853 +tp19854 +a(g826 +g962 +tp19855 +a(g412 +g1007 +tp19856 +a(g826 +g962 +tp19857 +a(g744 +VFalse +p19858 +tp19859 +a(g705 +g1141 +tp19860 +a(g412 +g1407 +tp19861 +a(g826 +g962 +tp19862 +a(g748 +VBoolean +p19863 +tp19864 +a(g412 +g966 +tp19865 +a(g826 +V\u000a +p19866 +tp19867 +a(g744 +Vvar +p19868 +tp19869 +a(g826 +V\u000a +p19870 +tp19871 +a(g435 +VFindData +p19872 +tp19873 +a(g412 +g1407 +tp19874 +a(g826 +g962 +tp19875 +a(g435 +VTWin32FindData +p19876 +tp19877 +a(g412 +g966 +tp19878 +a(g826 +V\u000a +p19879 +tp19880 +a(g744 +Vbegin +p19881 +tp19882 +a(g826 +V\u000a +p19883 +tp19884 +a(g744 +Vif +p19885 +tp19886 +a(g826 +g962 +tp19887 +a(g435 +VFileName +p19888 +tp19889 +a(g826 +g962 +tp19890 +a(g412 +g1007 +tp19891 +a(g826 +g962 +tp19892 +a(g89 +g1101 +tp19893 +a(g89 +g1101 +tp19894 +a(g826 +g962 +tp19895 +a(g744 +Vthen +p19896 +tp19897 +a(g826 +g962 +tp19898 +a(g744 +Vbegin +p19899 +tp19900 +a(g826 +V\u000a +p19901 +tp19902 +a(g693 +VResult +p19903 +tp19904 +a(g826 +g962 +tp19905 +a(g412 +g1407 +tp19906 +a(g412 +g1007 +tp19907 +a(g826 +g962 +tp19908 +a(g744 +VFalse +p19909 +tp19910 +a(g412 +g966 +tp19911 +a(g826 +V\u000a +p19912 +tp19913 +a(g744 +VExit +p19914 +tp19915 +a(g412 +g966 +tp19916 +a(g826 +g962 +tp19917 +a(g744 +Vend +p19918 +tp19919 +a(g412 +g966 +tp19920 +a(g826 +V\u000a\u000a +p19921 +tp19922 +a(g693 +VResult +p19923 +tp19924 +a(g826 +g962 +tp19925 +a(g412 +g1407 +tp19926 +a(g412 +g1007 +tp19927 +a(g826 +g962 +tp19928 +a(g705 +g1137 +tp19929 +a(g435 +VAllowFolders +p19930 +tp19931 +a(g826 +g962 +tp19932 +a(g744 +Vand +p19933 +tp19934 +a(g826 +g962 +tp19935 +a(g681 +VDirectoryExists +p19936 +tp19937 +a(g705 +g1137 +tp19938 +a(g435 +VFileName +p19939 +tp19940 +a(g705 +V)) +p19941 +tp19942 +a(g826 +g962 +tp19943 +a(g744 +Vor +p19944 +tp19945 +a(g826 +V\u000a +p19946 +tp19947 +a(g705 +g1137 +tp19948 +a(g435 +VFindFirstFile +p19949 +tp19950 +a(g705 +g1137 +tp19951 +a(g748 +VPChar +p19952 +tp19953 +a(g705 +g1137 +tp19954 +a(g435 +VFileName +p19955 +tp19956 +a(g705 +g1141 +tp19957 +a(g412 +g984 +tp19958 +a(g826 +g962 +tp19959 +a(g435 +VFindData +p19960 +tp19961 +a(g705 +g1141 +tp19962 +a(g826 +g962 +tp19963 +a(g412 +g1413 +tp19964 +a(g412 +g1419 +tp19965 +a(g826 +g962 +tp19966 +a(g435 +VINVALID_HANDLE_VALUE +p19967 +tp19968 +a(g705 +g1141 +tp19969 +a(g412 +g966 +tp19970 +a(g826 +V\u000a +p19971 +tp19972 +a(g693 +VResult +p19973 +tp19974 +a(g826 +g962 +tp19975 +a(g412 +g1407 +tp19976 +a(g412 +g1007 +tp19977 +a(g826 +g962 +tp19978 +a(g693 +VResult +p19979 +tp19980 +a(g826 +g962 +tp19981 +a(g744 +Vand +p19982 +tp19983 +a(g826 +g962 +tp19984 +a(g744 +Vnot +p19985 +tp19986 +a(g826 +g962 +tp19987 +a(g435 +VCharIn +p19988 +tp19989 +a(g705 +g1137 +tp19990 +a(g435 +VFileName +p19991 +tp19992 +a(g412 +g984 +tp19993 +a(g826 +g962 +tp19994 +a(g435 +VWildCards +p19995 +tp19996 +a(g705 +g1141 +tp19997 +a(g412 +g966 +tp19998 +a(g826 +V\u000a +p19999 +tp20000 +a(g693 +VResult +p20001 +tp20002 +a(g826 +g962 +tp20003 +a(g412 +g1407 +tp20004 +a(g412 +g1007 +tp20005 +a(g826 +g962 +tp20006 +a(g693 +VResult +p20007 +tp20008 +a(g826 +g962 +tp20009 +a(g744 +Vand +p20010 +tp20011 +a(g826 +g962 +tp20012 +a(g705 +g1137 +tp20013 +a(g435 +VAllowFolders +p20014 +tp20015 +a(g826 +V\u000a +p20016 +tp20017 +a(g744 +Vor +p20018 +tp20019 +a(g826 +g962 +tp20020 +a(g705 +V(( +p20021 +tp20022 +a(g435 +VFindData +p20023 +tp20024 +a(g412 +g1154 +tp20025 +a(g435 +VdwFileAttributes +p20026 +tp20027 +a(g826 +g962 +tp20028 +a(g744 +Vand +p20029 +tp20030 +a(g826 +g962 +tp20031 +a(g435 +VFILE_ATTRIBUTE_DIRECTORY +p20032 +tp20033 +a(g705 +g1141 +tp20034 +a(g826 +g962 +tp20035 +a(g412 +g1007 +tp20036 +a(g826 +g962 +tp20037 +a(g37 +g1202 +tp20038 +a(g705 +V)) +p20039 +tp20040 +a(g412 +g966 +tp20041 +a(g826 +V\u000a +p20042 +tp20043 +a(g744 +Vend +p20044 +tp20045 +a(g412 +g966 +tp20046 +a(g826 +V\u000a\u000a +p20047 +tp20048 +a(g744 +Vfunction +p20049 +tp20050 +a(g826 +g962 +tp20051 +a(g573 +VLWPSolve +p20052 +tp20053 +a(g705 +g1137 +tp20054 +a(g744 +Vconst +p20055 +tp20056 +a(g826 +g962 +tp20057 +a(g435 +VDir +p20058 +tp20059 +a(g412 +g1407 +tp20060 +a(g826 +g962 +tp20061 +a(g744 +Vstring +p20062 +tp20063 +a(g705 +g1141 +tp20064 +a(g412 +g1407 +tp20065 +a(g826 +g962 +tp20066 +a(g744 +Vstring +p20067 +tp20068 +a(g412 +g966 +tp20069 +a(g826 +V\u000a +p20070 +tp20071 +a(g744 +Vbegin +p20072 +tp20073 +a(g826 +V\u000a +p20074 +tp20075 +a(g744 +Vif +p20076 +tp20077 +a(g826 +g962 +tp20078 +a(g705 +g1137 +tp20079 +a(g435 +VDir +p20080 +tp20081 +a(g826 +g962 +tp20082 +a(g412 +g1413 +tp20083 +a(g412 +g1419 +tp20084 +a(g826 +g962 +tp20085 +a(g89 +g1101 +tp20086 +a(g89 +g1101 +tp20087 +a(g705 +g1141 +tp20088 +a(g826 +g962 +tp20089 +a(g744 +Vand +p20090 +tp20091 +a(g826 +g962 +tp20092 +a(g705 +g1137 +tp20093 +a(g435 +VDir +p20094 +tp20095 +a(g705 +g1066 +tp20096 +a(g681 +VLength +p20097 +tp20098 +a(g705 +g1137 +tp20099 +a(g435 +VDir +p20100 +tp20101 +a(g705 +V)] +p20102 +tp20103 +a(g826 +g962 +tp20104 +a(g412 +g1007 +tp20105 +a(g826 +g962 +tp20106 +a(g89 +g1101 +tp20107 +a(g89 +V\u005c +p20108 +tp20109 +a(g89 +g1101 +tp20110 +a(g705 +g1141 +tp20111 +a(g826 +g962 +tp20112 +a(g744 +Vthen +p20113 +tp20114 +a(g826 +g962 +tp20115 +a(g744 +Vbegin +p20116 +tp20117 +a(g826 +V\u000a +p20118 +tp20119 +a(g693 +VResult +p20120 +tp20121 +a(g826 +g962 +tp20122 +a(g412 +g1407 +tp20123 +a(g412 +g1007 +tp20124 +a(g826 +g962 +tp20125 +a(g681 +VCopy +p20126 +tp20127 +a(g705 +g1137 +tp20128 +a(g435 +VDir +p20129 +tp20130 +a(g412 +g984 +tp20131 +a(g826 +g962 +tp20132 +a(g37 +g1337 +tp20133 +a(g412 +g984 +tp20134 +a(g826 +g962 +tp20135 +a(g681 +VLength +p20136 +tp20137 +a(g705 +g1137 +tp20138 +a(g435 +VDir +p20139 +tp20140 +a(g705 +g1141 +tp20141 +a(g826 +g962 +tp20142 +a(g412 +g1109 +tp20143 +a(g826 +g962 +tp20144 +a(g37 +g1337 +tp20145 +a(g705 +g1141 +tp20146 +a(g412 +g966 +tp20147 +a(g826 +V\u000a +p20148 +tp20149 +a(g744 +Vend +p20150 +tp20151 +a(g826 +g962 +tp20152 +a(g744 +Velse +p20153 +tp20154 +a(g826 +V\u000a +p20155 +tp20156 +a(g693 +VResult +p20157 +tp20158 +a(g826 +g962 +tp20159 +a(g412 +g1407 +tp20160 +a(g412 +g1007 +tp20161 +a(g826 +g962 +tp20162 +a(g435 +VDir +p20163 +tp20164 +a(g412 +g966 +tp20165 +a(g826 +V\u000a +p20166 +tp20167 +a(g744 +Vend +p20168 +tp20169 +a(g412 +g966 +tp20170 +a(g826 +V\u000a\u000a +p20171 +tp20172 +a(g744 +Vfunction +p20173 +tp20174 +a(g826 +g962 +tp20175 +a(g573 +VLWPSlash +p20176 +tp20177 +a(g705 +g1137 +tp20178 +a(g744 +Vconst +p20179 +tp20180 +a(g826 +g962 +tp20181 +a(g435 +VDir +p20182 +tp20183 +a(g412 +g1407 +tp20184 +a(g826 +g962 +tp20185 +a(g744 +Vstring +p20186 +tp20187 +a(g705 +g1141 +tp20188 +a(g412 +g1407 +tp20189 +a(g826 +g962 +tp20190 +a(g744 +Vstring +p20191 +tp20192 +a(g412 +g966 +tp20193 +a(g826 +V\u000a +p20194 +tp20195 +a(g744 +Vbegin +p20196 +tp20197 +a(g826 +V\u000a +p20198 +tp20199 +a(g744 +Vif +p20200 +tp20201 +a(g826 +g962 +tp20202 +a(g705 +g1137 +tp20203 +a(g435 +VDir +p20204 +tp20205 +a(g826 +g962 +tp20206 +a(g412 +g1413 +tp20207 +a(g412 +g1419 +tp20208 +a(g826 +g962 +tp20209 +a(g89 +g1101 +tp20210 +a(g89 +g1101 +tp20211 +a(g705 +g1141 +tp20212 +a(g826 +g962 +tp20213 +a(g744 +Vand +p20214 +tp20215 +a(g826 +g962 +tp20216 +a(g705 +g1137 +tp20217 +a(g435 +VDir +p20218 +tp20219 +a(g705 +g1066 +tp20220 +a(g681 +VLength +p20221 +tp20222 +a(g705 +g1137 +tp20223 +a(g435 +VDir +p20224 +tp20225 +a(g705 +V)] +p20226 +tp20227 +a(g826 +g962 +tp20228 +a(g412 +g1007 +tp20229 +a(g826 +g962 +tp20230 +a(g89 +g1101 +tp20231 +a(g89 +V\u005c +p20232 +tp20233 +a(g89 +g1101 +tp20234 +a(g705 +g1141 +tp20235 +a(g826 +g962 +tp20236 +a(g744 +Vthen +p20237 +tp20238 +a(g826 +g962 +tp20239 +a(g744 +Vbegin +p20240 +tp20241 +a(g826 +V\u000a +p20242 +tp20243 +a(g693 +VResult +p20244 +tp20245 +a(g826 +g962 +tp20246 +a(g412 +g1407 +tp20247 +a(g412 +g1007 +tp20248 +a(g826 +g962 +tp20249 +a(g681 +VCopy +p20250 +tp20251 +a(g705 +g1137 +tp20252 +a(g435 +VDir +p20253 +tp20254 +a(g412 +g984 +tp20255 +a(g826 +g962 +tp20256 +a(g37 +g1337 +tp20257 +a(g412 +g984 +tp20258 +a(g826 +g962 +tp20259 +a(g681 +VLength +p20260 +tp20261 +a(g705 +g1137 +tp20262 +a(g435 +VDir +p20263 +tp20264 +a(g705 +V)) +p20265 +tp20266 +a(g412 +g966 +tp20267 +a(g826 +V\u000a +p20268 +tp20269 +a(g744 +Vend +p20270 +tp20271 +a(g826 +g962 +tp20272 +a(g744 +Velse +p20273 +tp20274 +a(g826 +V\u000a +p20275 +tp20276 +a(g693 +VResult +p20277 +tp20278 +a(g826 +g962 +tp20279 +a(g412 +g1407 +tp20280 +a(g412 +g1007 +tp20281 +a(g826 +g962 +tp20282 +a(g435 +VDir +p20283 +tp20284 +a(g826 +g962 +tp20285 +a(g412 +g1223 +tp20286 +a(g826 +g962 +tp20287 +a(g89 +g1101 +tp20288 +a(g89 +V\u005c +p20289 +tp20290 +a(g89 +g1101 +tp20291 +a(g412 +g966 +tp20292 +a(g826 +V\u000a +p20293 +tp20294 +a(g744 +Vend +p20295 +tp20296 +a(g412 +g966 +tp20297 +a(g826 +V\u000a\u000a +p20298 +tp20299 +a(g744 +Vfunction +p20300 +tp20301 +a(g826 +g962 +tp20302 +a(g573 +VExtractDrive +p20303 +tp20304 +a(g705 +g1137 +tp20305 +a(g744 +Vconst +p20306 +tp20307 +a(g826 +g962 +tp20308 +a(g435 +VFileName +p20309 +tp20310 +a(g412 +g1407 +tp20311 +a(g826 +g962 +tp20312 +a(g744 +Vstring +p20313 +tp20314 +a(g705 +g1141 +tp20315 +a(g412 +g1407 +tp20316 +a(g826 +g962 +tp20317 +a(g744 +Vstring +p20318 +tp20319 +a(g412 +g966 +tp20320 +a(g826 +V\u000a +p20321 +tp20322 +a(g744 +Vbegin +p20323 +tp20324 +a(g826 +V\u000a +p20325 +tp20326 +a(g693 +VResult +p20327 +tp20328 +a(g826 +g962 +tp20329 +a(g412 +g1407 +tp20330 +a(g412 +g1007 +tp20331 +a(g826 +g962 +tp20332 +a(g89 +g1101 +tp20333 +a(g89 +g1101 +tp20334 +a(g412 +g966 +tp20335 +a(g826 +V\u000a +p20336 +tp20337 +a(g744 +Vif +p20338 +tp20339 +a(g826 +g962 +tp20340 +a(g705 +g1137 +tp20341 +a(g681 +VLength +p20342 +tp20343 +a(g705 +g1137 +tp20344 +a(g435 +VFileName +p20345 +tp20346 +a(g705 +g1141 +tp20347 +a(g826 +g962 +tp20348 +a(g412 +g1419 +tp20349 +a(g412 +g1007 +tp20350 +a(g826 +g962 +tp20351 +a(g37 +g1463 +tp20352 +a(g705 +g1141 +tp20353 +a(g826 +g962 +tp20354 +a(g744 +Vand +p20355 +tp20356 +a(g826 +g962 +tp20357 +a(g705 +g1137 +tp20358 +a(g435 +VFileName +p20359 +tp20360 +a(g705 +g1066 +tp20361 +a(g37 +g1463 +tp20362 +a(g705 +g1074 +tp20363 +a(g826 +g962 +tp20364 +a(g412 +g1007 +tp20365 +a(g826 +g962 +tp20366 +a(g89 +g1101 +tp20367 +a(g89 +g1407 +tp20368 +a(g89 +g1101 +tp20369 +a(g705 +g1141 +tp20370 +a(g826 +g962 +tp20371 +a(g744 +Vthen +p20372 +tp20373 +a(g826 +V\u000a +p20374 +tp20375 +a(g693 +VResult +p20376 +tp20377 +a(g826 +g962 +tp20378 +a(g412 +g1407 +tp20379 +a(g412 +g1007 +tp20380 +a(g826 +g962 +tp20381 +a(g681 +VUpperCase +p20382 +tp20383 +a(g705 +g1137 +tp20384 +a(g435 +VFileName +p20385 +tp20386 +a(g705 +g1066 +tp20387 +a(g37 +g1337 +tp20388 +a(g705 +g1074 +tp20389 +a(g826 +g962 +tp20390 +a(g412 +g1223 +tp20391 +a(g826 +g962 +tp20392 +a(g89 +g1101 +tp20393 +a(g89 +V:\u005c +p20394 +tp20395 +a(g89 +g1101 +tp20396 +a(g705 +g1141 +tp20397 +a(g412 +g966 +tp20398 +a(g826 +V\u000a +p20399 +tp20400 +a(g744 +Vend +p20401 +tp20402 +a(g412 +g966 +tp20403 +a(g826 +V\u000a\u000a +p20404 +tp20405 +a(g744 +Vfunction +p20406 +tp20407 +a(g826 +g962 +tp20408 +a(g573 +VExtractPath +p20409 +tp20410 +a(g705 +g1137 +tp20411 +a(g744 +Vconst +p20412 +tp20413 +a(g826 +g962 +tp20414 +a(g435 +VFileName +p20415 +tp20416 +a(g412 +g1407 +tp20417 +a(g826 +g962 +tp20418 +a(g744 +Vstring +p20419 +tp20420 +a(g705 +g1141 +tp20421 +a(g412 +g1407 +tp20422 +a(g826 +g962 +tp20423 +a(g744 +Vstring +p20424 +tp20425 +a(g412 +g966 +tp20426 +a(g826 +V\u000a +p20427 +tp20428 +a(g744 +Vvar +p20429 +tp20430 +a(g826 +V\u000a +p20431 +tp20432 +a(g435 +g10420 +tp20433 +a(g412 +g1407 +tp20434 +a(g826 +g962 +tp20435 +a(g748 +VInteger +p20436 +tp20437 +a(g412 +g966 +tp20438 +a(g826 +V\u000a +p20439 +tp20440 +a(g744 +Vbegin +p20441 +tp20442 +a(g826 +V\u000a +p20443 +tp20444 +a(g435 +g10420 +tp20445 +a(g826 +g962 +tp20446 +a(g412 +g1407 +tp20447 +a(g412 +g1007 +tp20448 +a(g826 +g962 +tp20449 +a(g435 +VCharPosR +p20450 +tp20451 +a(g705 +g1137 +tp20452 +a(g89 +g1101 +tp20453 +a(g89 +V\u005c +p20454 +tp20455 +a(g89 +g1101 +tp20456 +a(g412 +g984 +tp20457 +a(g826 +g962 +tp20458 +a(g435 +VFileName +p20459 +tp20460 +a(g705 +g1141 +tp20461 +a(g412 +g966 +tp20462 +a(g826 +V\u000a +p20463 +tp20464 +a(g744 +Vif +p20465 +tp20466 +a(g826 +g962 +tp20467 +a(g435 +g1758 +tp20468 +a(g826 +g962 +tp20469 +a(g412 +g1419 +tp20470 +a(g826 +g962 +tp20471 +a(g37 +g1202 +tp20472 +a(g826 +g962 +tp20473 +a(g744 +Vthen +p20474 +tp20475 +a(g826 +V\u000a +p20476 +tp20477 +a(g693 +VResult +p20478 +tp20479 +a(g826 +g962 +tp20480 +a(g412 +g1407 +tp20481 +a(g412 +g1007 +tp20482 +a(g826 +g962 +tp20483 +a(g681 +VCopy +p20484 +tp20485 +a(g705 +g1137 +tp20486 +a(g435 +VFileName +p20487 +tp20488 +a(g412 +g984 +tp20489 +a(g826 +g962 +tp20490 +a(g37 +g1337 +tp20491 +a(g412 +g984 +tp20492 +a(g826 +g962 +tp20493 +a(g435 +g10420 +tp20494 +a(g705 +g1141 +tp20495 +a(g826 +V\u000a +p20496 +tp20497 +a(g744 +Velse +p20498 +tp20499 +a(g826 +V\u000a +p20500 +tp20501 +a(g693 +VResult +p20502 +tp20503 +a(g826 +g962 +tp20504 +a(g412 +g1407 +tp20505 +a(g412 +g1007 +tp20506 +a(g826 +g962 +tp20507 +a(g435 +VFileName +p20508 +tp20509 +a(g412 +g966 +tp20510 +a(g826 +V\u000a +p20511 +tp20512 +a(g744 +Vend +p20513 +tp20514 +a(g412 +g966 +tp20515 +a(g826 +V\u000a\u000a +p20516 +tp20517 +a(g744 +Vfunction +p20518 +tp20519 +a(g826 +g962 +tp20520 +a(g573 +VExtractPrefix +p20521 +tp20522 +a(g705 +g1137 +tp20523 +a(g744 +Vconst +p20524 +tp20525 +a(g826 +g962 +tp20526 +a(g435 +VFileName +p20527 +tp20528 +a(g412 +g1407 +tp20529 +a(g826 +g962 +tp20530 +a(g744 +Vstring +p20531 +tp20532 +a(g705 +g1141 +tp20533 +a(g412 +g1407 +tp20534 +a(g826 +g962 +tp20535 +a(g744 +Vstring +p20536 +tp20537 +a(g412 +g966 +tp20538 +a(g826 +V\u000a +p20539 +tp20540 +a(g744 +Vbegin +p20541 +tp20542 +a(g826 +V\u000a +p20543 +tp20544 +a(g693 +VResult +p20545 +tp20546 +a(g826 +g962 +tp20547 +a(g412 +g1407 +tp20548 +a(g412 +g1007 +tp20549 +a(g826 +g962 +tp20550 +a(g435 +VUntilLastChar +p20551 +tp20552 +a(g705 +g1137 +tp20553 +a(g681 +VExtractFileName +p20554 +tp20555 +a(g705 +g1137 +tp20556 +a(g435 +VFileName +p20557 +tp20558 +a(g705 +g1141 +tp20559 +a(g412 +g984 +tp20560 +a(g826 +g962 +tp20561 +a(g89 +g1101 +tp20562 +a(g89 +g1154 +tp20563 +a(g89 +g1101 +tp20564 +a(g705 +g1141 +tp20565 +a(g412 +g966 +tp20566 +a(g826 +V\u000a +p20567 +tp20568 +a(g744 +Vend +p20569 +tp20570 +a(g412 +g966 +tp20571 +a(g826 +V\u000a\u000a +p20572 +tp20573 +a(g744 +Vfunction +p20574 +tp20575 +a(g826 +g962 +tp20576 +a(g573 +VExtractSuffix +p20577 +tp20578 +a(g705 +g1137 +tp20579 +a(g744 +Vconst +p20580 +tp20581 +a(g826 +g962 +tp20582 +a(g435 +VFileName +p20583 +tp20584 +a(g412 +g1407 +tp20585 +a(g826 +g962 +tp20586 +a(g744 +Vstring +p20587 +tp20588 +a(g705 +g1141 +tp20589 +a(g412 +g1407 +tp20590 +a(g826 +g962 +tp20591 +a(g744 +Vstring +p20592 +tp20593 +a(g412 +g966 +tp20594 +a(g826 +V\u000a +p20595 +tp20596 +a(g744 +Vbegin +p20597 +tp20598 +a(g826 +V\u000a +p20599 +tp20600 +a(g693 +VResult +p20601 +tp20602 +a(g826 +g962 +tp20603 +a(g412 +g1407 +tp20604 +a(g412 +g1007 +tp20605 +a(g826 +g962 +tp20606 +a(g435 +VFromLastChar +p20607 +tp20608 +a(g705 +g1137 +tp20609 +a(g681 +VExtractFileName +p20610 +tp20611 +a(g705 +g1137 +tp20612 +a(g435 +VFileName +p20613 +tp20614 +a(g705 +g1141 +tp20615 +a(g412 +g984 +tp20616 +a(g826 +g962 +tp20617 +a(g89 +g1101 +tp20618 +a(g89 +g1154 +tp20619 +a(g89 +g1101 +tp20620 +a(g705 +g1141 +tp20621 +a(g412 +g966 +tp20622 +a(g826 +V\u000a +p20623 +tp20624 +a(g744 +Vend +p20625 +tp20626 +a(g412 +g966 +tp20627 +a(g826 +V\u000a\u000a +p20628 +tp20629 +a(g744 +Vfunction +p20630 +tp20631 +a(g826 +g962 +tp20632 +a(g573 +VSameByteA +p20633 +tp20634 +a(g705 +g1137 +tp20635 +a(g744 +Vconst +p20636 +tp20637 +a(g826 +g962 +tp20638 +a(g435 +g1170 +tp20639 +a(g412 +g984 +tp20640 +a(g826 +g962 +tp20641 +a(g435 +g1505 +tp20642 +a(g412 +g1407 +tp20643 +a(g826 +g962 +tp20644 +a(g435 +VTByteA +p20645 +tp20646 +a(g705 +g1141 +tp20647 +a(g412 +g1407 +tp20648 +a(g826 +g962 +tp20649 +a(g748 +VBoolean +p20650 +tp20651 +a(g412 +g966 +tp20652 +a(g826 +V\u000a +p20653 +tp20654 +a(g744 +Vbegin +p20655 +tp20656 +a(g826 +V\u000a +p20657 +tp20658 +a(g693 +VResult +p20659 +tp20660 +a(g826 +g962 +tp20661 +a(g412 +g1407 +tp20662 +a(g412 +g1007 +tp20663 +a(g826 +g962 +tp20664 +a(g705 +g1137 +tp20665 +a(g435 +g1170 +tp20666 +a(g826 +g962 +tp20667 +a(g412 +g1007 +tp20668 +a(g826 +g962 +tp20669 +a(g435 +g1505 +tp20670 +a(g705 +g1141 +tp20671 +a(g826 +g962 +tp20672 +a(g744 +Vor +p20673 +tp20674 +a(g826 +g962 +tp20675 +a(g705 +V(( +p20676 +tp20677 +a(g681 +VLength +p20678 +tp20679 +a(g705 +g1137 +tp20680 +a(g435 +g1170 +tp20681 +a(g705 +g1141 +tp20682 +a(g826 +g962 +tp20683 +a(g412 +g1007 +tp20684 +a(g826 +g962 +tp20685 +a(g681 +VLength +p20686 +tp20687 +a(g705 +g1137 +tp20688 +a(g435 +g1505 +tp20689 +a(g705 +V)) +p20690 +tp20691 +a(g826 +g962 +tp20692 +a(g744 +Vand +p20693 +tp20694 +a(g826 +g962 +tp20695 +a(g681 +VCompareMem +p20696 +tp20697 +a(g705 +g1137 +tp20698 +a(g435 +g1170 +tp20699 +a(g412 +g984 +tp20700 +a(g826 +g962 +tp20701 +a(g435 +g1505 +tp20702 +a(g412 +g984 +tp20703 +a(g826 +g962 +tp20704 +a(g681 +VLength +p20705 +tp20706 +a(g705 +g1137 +tp20707 +a(g435 +g1170 +tp20708 +a(g705 +V))) +p20709 +tp20710 +a(g412 +g966 +tp20711 +a(g826 +V\u000a +p20712 +tp20713 +a(g744 +Vend +p20714 +tp20715 +a(g412 +g966 +tp20716 +a(g826 +V\u000a\u000a +p20717 +tp20718 +a(g744 +Vfunction +p20719 +tp20720 +a(g826 +g962 +tp20721 +a(g573 +VReverse +p20722 +tp20723 +a(g705 +g1137 +tp20724 +a(g435 +g1170 +tp20725 +a(g412 +g1407 +tp20726 +a(g826 +g962 +tp20727 +a(g435 +VTByteA +p20728 +tp20729 +a(g705 +g1141 +tp20730 +a(g412 +g1407 +tp20731 +a(g826 +g962 +tp20732 +a(g435 +VTByteA +p20733 +tp20734 +a(g412 +g966 +tp20735 +a(g826 +V\u000a +p20736 +tp20737 +a(g744 +Vvar +p20738 +tp20739 +a(g826 +V\u000a +p20740 +tp20741 +a(g435 +g4708 +tp20742 +a(g412 +g1407 +tp20743 +a(g826 +g962 +tp20744 +a(g748 +VInteger +p20745 +tp20746 +a(g412 +g966 +tp20747 +a(g826 +V\u000a +p20748 +tp20749 +a(g744 +Vbegin +p20750 +tp20751 +a(g826 +V\u000a +p20752 +tp20753 +a(g681 +VSetLength +p20754 +tp20755 +a(g705 +g1137 +tp20756 +a(g693 +VResult +p20757 +tp20758 +a(g412 +g984 +tp20759 +a(g826 +g962 +tp20760 +a(g681 +VLength +p20761 +tp20762 +a(g705 +g1137 +tp20763 +a(g435 +g1170 +tp20764 +a(g705 +V)) +p20765 +tp20766 +a(g412 +g966 +tp20767 +a(g826 +V\u000a\u000a +p20768 +tp20769 +a(g744 +Vfor +p20770 +tp20771 +a(g826 +g962 +tp20772 +a(g435 +g4708 +tp20773 +a(g826 +g962 +tp20774 +a(g412 +g1407 +tp20775 +a(g412 +g1007 +tp20776 +a(g826 +g962 +tp20777 +a(g37 +g1202 +tp20778 +a(g826 +g962 +tp20779 +a(g744 +Vto +p20780 +tp20781 +a(g826 +g962 +tp20782 +a(g681 +VHigh +p20783 +tp20784 +a(g705 +g1137 +tp20785 +a(g435 +g1170 +tp20786 +a(g705 +g1141 +tp20787 +a(g826 +g962 +tp20788 +a(g744 +Vdo +p20789 +tp20790 +a(g826 +V\u000a +p20791 +tp20792 +a(g693 +VResult +p20793 +tp20794 +a(g705 +g1066 +tp20795 +a(g681 +VHigh +p20796 +tp20797 +a(g705 +g1137 +tp20798 +a(g693 +VResult +p20799 +tp20800 +a(g705 +g1141 +tp20801 +a(g826 +g962 +tp20802 +a(g412 +g1109 +tp20803 +a(g826 +g962 +tp20804 +a(g435 +g4708 +tp20805 +a(g705 +g1074 +tp20806 +a(g826 +g962 +tp20807 +a(g412 +g1407 +tp20808 +a(g412 +g1007 +tp20809 +a(g826 +g962 +tp20810 +a(g435 +g1170 +tp20811 +a(g705 +g1066 +tp20812 +a(g435 +g4708 +tp20813 +a(g705 +g1074 +tp20814 +a(g412 +g966 +tp20815 +a(g826 +V\u000a +p20816 +tp20817 +a(g744 +Vend +p20818 +tp20819 +a(g412 +g966 +tp20820 +a(g826 +V\u000a\u000a +p20821 +tp20822 +a(g744 +Vfunction +p20823 +tp20824 +a(g826 +g962 +tp20825 +a(g573 +VEndian +p20826 +tp20827 +a(g705 +g1137 +tp20828 +a(g435 +g4708 +tp20829 +a(g412 +g1407 +tp20830 +a(g826 +g962 +tp20831 +a(g748 +VInteger +p20832 +tp20833 +a(g705 +g1141 +tp20834 +a(g412 +g1407 +tp20835 +a(g826 +g962 +tp20836 +a(g748 +VInteger +p20837 +tp20838 +a(g412 +g966 +tp20839 +a(g826 +V\u000a +p20840 +tp20841 +a(g744 +Vtype +p20842 +tp20843 +a(g826 +V\u000a +p20844 +tp20845 +a(g435 +VEndianArray +p20846 +tp20847 +a(g826 +g962 +tp20848 +a(g412 +g1007 +tp20849 +a(g826 +g962 +tp20850 +a(g744 +Vpacked +p20851 +tp20852 +a(g826 +g962 +tp20853 +a(g744 +Varray +p20854 +tp20855 +a(g705 +g1066 +tp20856 +a(g37 +g1202 +tp20857 +a(g412 +g1154 +tp20858 +a(g412 +g1154 +tp20859 +a(g37 +g1468 +tp20860 +a(g705 +g1074 +tp20861 +a(g826 +g962 +tp20862 +a(g744 +Vof +p20863 +tp20864 +a(g826 +g962 +tp20865 +a(g748 +VByte +p20866 +tp20867 +a(g412 +g966 +tp20868 +a(g826 +V\u000a +p20869 +tp20870 +a(g744 +Vvar +p20871 +tp20872 +a(g826 +V\u000a +p20873 +tp20874 +a(g435 +g1182 +tp20875 +a(g412 +g984 +tp20876 +a(g826 +g962 +tp20877 +a(g435 +g1598 +tp20878 +a(g412 +g1407 +tp20879 +a(g826 +g962 +tp20880 +a(g435 +VEndianArray +p20881 +tp20882 +a(g412 +g966 +tp20883 +a(g826 +V\u000a +p20884 +tp20885 +a(g744 +Vbegin +p20886 +tp20887 +a(g826 +V\u000a +p20888 +tp20889 +a(g435 +g1182 +tp20890 +a(g826 +g962 +tp20891 +a(g412 +g1407 +tp20892 +a(g412 +g1007 +tp20893 +a(g826 +g962 +tp20894 +a(g435 +VEndianArray +p20895 +tp20896 +a(g705 +g1137 +tp20897 +a(g435 +g4708 +tp20898 +a(g705 +g1141 +tp20899 +a(g412 +g966 +tp20900 +a(g826 +V\u000a +p20901 +tp20902 +a(g435 +g1598 +tp20903 +a(g705 +g1066 +tp20904 +a(g37 +g1202 +tp20905 +a(g705 +g1074 +tp20906 +a(g826 +g962 +tp20907 +a(g412 +g1407 +tp20908 +a(g412 +g1007 +tp20909 +a(g826 +g962 +tp20910 +a(g435 +g1182 +tp20911 +a(g705 +g1066 +tp20912 +a(g37 +g1468 +tp20913 +a(g705 +g1074 +tp20914 +a(g412 +g966 +tp20915 +a(g826 +V\u000a +p20916 +tp20917 +a(g435 +g1598 +tp20918 +a(g705 +g1066 +tp20919 +a(g37 +g1337 +tp20920 +a(g705 +g1074 +tp20921 +a(g826 +g962 +tp20922 +a(g412 +g1407 +tp20923 +a(g412 +g1007 +tp20924 +a(g826 +g962 +tp20925 +a(g435 +g1182 +tp20926 +a(g705 +g1066 +tp20927 +a(g37 +g1463 +tp20928 +a(g705 +g1074 +tp20929 +a(g412 +g966 +tp20930 +a(g826 +V\u000a +p20931 +tp20932 +a(g435 +g1598 +tp20933 +a(g705 +g1066 +tp20934 +a(g37 +g1463 +tp20935 +a(g705 +g1074 +tp20936 +a(g826 +g962 +tp20937 +a(g412 +g1407 +tp20938 +a(g412 +g1007 +tp20939 +a(g826 +g962 +tp20940 +a(g435 +g1182 +tp20941 +a(g705 +g1066 +tp20942 +a(g37 +g1337 +tp20943 +a(g705 +g1074 +tp20944 +a(g412 +g966 +tp20945 +a(g826 +V\u000a +p20946 +tp20947 +a(g435 +g1598 +tp20948 +a(g705 +g1066 +tp20949 +a(g37 +g1468 +tp20950 +a(g705 +g1074 +tp20951 +a(g826 +g962 +tp20952 +a(g412 +g1407 +tp20953 +a(g412 +g1007 +tp20954 +a(g826 +g962 +tp20955 +a(g435 +g1182 +tp20956 +a(g705 +g1066 +tp20957 +a(g37 +g1202 +tp20958 +a(g705 +g1074 +tp20959 +a(g412 +g966 +tp20960 +a(g826 +V\u000a +p20961 +tp20962 +a(g693 +VResult +p20963 +tp20964 +a(g826 +g962 +tp20965 +a(g412 +g1407 +tp20966 +a(g412 +g1007 +tp20967 +a(g826 +g962 +tp20968 +a(g748 +VInteger +p20969 +tp20970 +a(g705 +g1137 +tp20971 +a(g435 +g1598 +tp20972 +a(g705 +g1141 +tp20973 +a(g412 +g966 +tp20974 +a(g826 +V\u000a +p20975 +tp20976 +a(g744 +Vend +p20977 +tp20978 +a(g412 +g966 +tp20979 +a(g826 +V\u000a\u000a +p20980 +tp20981 +a(g744 +Vfunction +p20982 +tp20983 +a(g826 +g962 +tp20984 +a(g573 +VSaveByteA +p20985 +tp20986 +a(g705 +g1137 +tp20987 +a(g435 +VData +p20988 +tp20989 +a(g412 +g1407 +tp20990 +a(g826 +g962 +tp20991 +a(g435 +VTByteA +p20992 +tp20993 +a(g412 +g966 +tp20994 +a(g826 +g962 +tp20995 +a(g744 +Vconst +p20996 +tp20997 +a(g826 +g962 +tp20998 +a(g435 +VFileName +p20999 +tp21000 +a(g412 +g1407 +tp21001 +a(g826 +g962 +tp21002 +a(g744 +Vstring +p21003 +tp21004 +a(g412 +g966 +tp21005 +a(g826 +V\u000a +p21006 +tp21007 +a(g435 +VOverwrite +p21008 +tp21009 +a(g412 +g1407 +tp21010 +a(g826 +g962 +tp21011 +a(g748 +VBoolean +p21012 +tp21013 +a(g826 +g962 +tp21014 +a(g412 +g1007 +tp21015 +a(g826 +g962 +tp21016 +a(g744 +VTrue +p21017 +tp21018 +a(g705 +g1141 +tp21019 +a(g412 +g1407 +tp21020 +a(g826 +g962 +tp21021 +a(g748 +VBoolean +p21022 +tp21023 +a(g412 +g966 +tp21024 +a(g826 +V\u000a +p21025 +tp21026 +a(g744 +Vvar +p21027 +tp21028 +a(g826 +V\u000a +p21029 +tp21030 +a(g435 +g1288 +tp21031 +a(g412 +g1407 +tp21032 +a(g826 +g962 +tp21033 +a(g744 +Vfile +p21034 +tp21035 +a(g412 +g966 +tp21036 +a(g826 +V\u000a +p21037 +tp21038 +a(g744 +Vbegin +p21039 +tp21040 +a(g826 +V\u000a +p21041 +tp21042 +a(g744 +Vif +p21043 +tp21044 +a(g826 +g962 +tp21045 +a(g681 +VFileExists +p21046 +tp21047 +a(g705 +g1137 +tp21048 +a(g435 +VFileName +p21049 +tp21050 +a(g705 +g1141 +tp21051 +a(g826 +g962 +tp21052 +a(g744 +Vand +p21053 +tp21054 +a(g826 +g962 +tp21055 +a(g744 +Vnot +p21056 +tp21057 +a(g826 +g962 +tp21058 +a(g435 +VOverwrite +p21059 +tp21060 +a(g826 +g962 +tp21061 +a(g744 +Vthen +p21062 +tp21063 +a(g826 +g962 +tp21064 +a(g744 +Vbegin +p21065 +tp21066 +a(g826 +V\u000a +p21067 +tp21068 +a(g693 +VResult +p21069 +tp21070 +a(g826 +g962 +tp21071 +a(g412 +g1407 +tp21072 +a(g412 +g1007 +tp21073 +a(g826 +g962 +tp21074 +a(g744 +VFalse +p21075 +tp21076 +a(g412 +g966 +tp21077 +a(g826 +V\u000a +p21078 +tp21079 +a(g744 +VExit +p21080 +tp21081 +a(g826 +g962 +tp21082 +a(g744 +Vend +p21083 +tp21084 +a(g412 +g966 +tp21085 +a(g826 +V\u000a\u000a +p21086 +tp21087 +a(g681 +VAssignFile +p21088 +tp21089 +a(g705 +g1137 +tp21090 +a(g435 +g1288 +tp21091 +a(g412 +g984 +tp21092 +a(g826 +g962 +tp21093 +a(g435 +VFileName +p21094 +tp21095 +a(g705 +g1141 +tp21096 +a(g412 +g966 +tp21097 +a(g826 +V\u000a +p21098 +tp21099 +a(g929 +V{$I-} +p21100 +tp21101 +a(g681 +VRewrite +p21102 +tp21103 +a(g705 +g1137 +tp21104 +a(g435 +g1288 +tp21105 +a(g412 +g984 +tp21106 +a(g826 +g962 +tp21107 +a(g37 +g1337 +tp21108 +a(g705 +g1141 +tp21109 +a(g412 +g966 +tp21110 +a(g929 +V{$I+} +p21111 +tp21112 +a(g826 +V\u000a +p21113 +tp21114 +a(g744 +Vif +p21115 +tp21116 +a(g826 +g962 +tp21117 +a(g681 +VIOResult +p21118 +tp21119 +a(g826 +g962 +tp21120 +a(g412 +g1007 +tp21121 +a(g826 +g962 +tp21122 +a(g37 +g1202 +tp21123 +a(g826 +g962 +tp21124 +a(g744 +Vthen +p21125 +tp21126 +a(g826 +g962 +tp21127 +a(g744 +Vbegin +p21128 +tp21129 +a(g826 +V\u000a +p21130 +tp21131 +a(g744 +Vif +p21132 +tp21133 +a(g826 +g962 +tp21134 +a(g681 +VLength +p21135 +tp21136 +a(g705 +g1137 +tp21137 +a(g435 +VData +p21138 +tp21139 +a(g705 +g1141 +tp21140 +a(g826 +g962 +tp21141 +a(g412 +g1419 +tp21142 +a(g826 +g962 +tp21143 +a(g37 +g1202 +tp21144 +a(g826 +g962 +tp21145 +a(g744 +Vthen +p21146 +tp21147 +a(g826 +V\u000a +p21148 +tp21149 +a(g681 +VBlockWrite +p21150 +tp21151 +a(g705 +g1137 +tp21152 +a(g435 +g1288 +tp21153 +a(g412 +g984 +tp21154 +a(g826 +g962 +tp21155 +a(g435 +VData +p21156 +tp21157 +a(g705 +g1066 +tp21158 +a(g37 +g1202 +tp21159 +a(g705 +g1074 +tp21160 +a(g412 +g984 +tp21161 +a(g826 +g962 +tp21162 +a(g681 +VLength +p21163 +tp21164 +a(g705 +g1137 +tp21165 +a(g435 +VData +p21166 +tp21167 +a(g705 +V)) +p21168 +tp21169 +a(g412 +g966 +tp21170 +a(g826 +V\u000a +p21171 +tp21172 +a(g681 +VCloseFile +p21173 +tp21174 +a(g705 +g1137 +tp21175 +a(g435 +g1288 +tp21176 +a(g705 +g1141 +tp21177 +a(g412 +g966 +tp21178 +a(g826 +V\u000a +p21179 +tp21180 +a(g693 +VResult +p21181 +tp21182 +a(g826 +g962 +tp21183 +a(g412 +g1407 +tp21184 +a(g412 +g1007 +tp21185 +a(g826 +g962 +tp21186 +a(g744 +VTrue +p21187 +tp21188 +a(g412 +g966 +tp21189 +a(g826 +V\u000a +p21190 +tp21191 +a(g744 +Vend +p21192 +tp21193 +a(g826 +g962 +tp21194 +a(g744 +Velse +p21195 +tp21196 +a(g826 +V\u000a +p21197 +tp21198 +a(g693 +VResult +p21199 +tp21200 +a(g826 +g962 +tp21201 +a(g412 +g1407 +tp21202 +a(g412 +g1007 +tp21203 +a(g826 +g962 +tp21204 +a(g744 +VFalse +p21205 +tp21206 +a(g412 +g966 +tp21207 +a(g826 +V\u000a +p21208 +tp21209 +a(g744 +Vend +p21210 +tp21211 +a(g412 +g966 +tp21212 +a(g826 +V\u000a\u000a +p21213 +tp21214 +a(g744 +Vfunction +p21215 +tp21216 +a(g826 +g962 +tp21217 +a(g573 +VLoadByteA +p21218 +tp21219 +a(g705 +g1137 +tp21220 +a(g744 +Vconst +p21221 +tp21222 +a(g826 +g962 +tp21223 +a(g435 +VFileName +p21224 +tp21225 +a(g412 +g1407 +tp21226 +a(g826 +g962 +tp21227 +a(g744 +Vstring +p21228 +tp21229 +a(g705 +g1141 +tp21230 +a(g412 +g1407 +tp21231 +a(g826 +g962 +tp21232 +a(g435 +VTByteA +p21233 +tp21234 +a(g412 +g966 +tp21235 +a(g826 +V\u000a +p21236 +tp21237 +a(g744 +Vvar +p21238 +tp21239 +a(g826 +V\u000a +p21240 +tp21241 +a(g435 +g1288 +tp21242 +a(g412 +g1407 +tp21243 +a(g826 +g962 +tp21244 +a(g744 +Vfile +p21245 +tp21246 +a(g412 +g966 +tp21247 +a(g826 +V\u000a +p21248 +tp21249 +a(g744 +Vbegin +p21250 +tp21251 +a(g826 +V\u000a +p21252 +tp21253 +a(g681 +VAssignFile +p21254 +tp21255 +a(g705 +g1137 +tp21256 +a(g435 +g1288 +tp21257 +a(g412 +g984 +tp21258 +a(g826 +g962 +tp21259 +a(g435 +VFileName +p21260 +tp21261 +a(g705 +g1141 +tp21262 +a(g412 +g966 +tp21263 +a(g826 +V\u000a +p21264 +tp21265 +a(g929 +V{$I-} +p21266 +tp21267 +a(g681 +VReset +p21268 +tp21269 +a(g705 +g1137 +tp21270 +a(g435 +g1288 +tp21271 +a(g412 +g984 +tp21272 +a(g826 +g962 +tp21273 +a(g37 +g1337 +tp21274 +a(g705 +g1141 +tp21275 +a(g412 +g966 +tp21276 +a(g929 +V{$I+} +p21277 +tp21278 +a(g826 +V\u000a +p21279 +tp21280 +a(g744 +Vif +p21281 +tp21282 +a(g826 +g962 +tp21283 +a(g681 +VIOResult +p21284 +tp21285 +a(g826 +g962 +tp21286 +a(g412 +g1007 +tp21287 +a(g826 +g962 +tp21288 +a(g37 +g1202 +tp21289 +a(g826 +g962 +tp21290 +a(g744 +Vthen +p21291 +tp21292 +a(g826 +g962 +tp21293 +a(g744 +Vbegin +p21294 +tp21295 +a(g826 +V\u000a +p21296 +tp21297 +a(g681 +VSetLength +p21298 +tp21299 +a(g705 +g1137 +tp21300 +a(g693 +VResult +p21301 +tp21302 +a(g412 +g984 +tp21303 +a(g826 +g962 +tp21304 +a(g681 +VFileSize +p21305 +tp21306 +a(g705 +g1137 +tp21307 +a(g435 +g1288 +tp21308 +a(g705 +V)) +p21309 +tp21310 +a(g412 +g966 +tp21311 +a(g826 +V\u000a +p21312 +tp21313 +a(g744 +Vif +p21314 +tp21315 +a(g826 +g962 +tp21316 +a(g681 +VLength +p21317 +tp21318 +a(g705 +g1137 +tp21319 +a(g693 +VResult +p21320 +tp21321 +a(g705 +g1141 +tp21322 +a(g826 +g962 +tp21323 +a(g412 +g1419 +tp21324 +a(g826 +g962 +tp21325 +a(g37 +g1202 +tp21326 +a(g826 +g962 +tp21327 +a(g744 +Vthen +p21328 +tp21329 +a(g826 +V\u000a +p21330 +tp21331 +a(g681 +VBlockRead +p21332 +tp21333 +a(g705 +g1137 +tp21334 +a(g435 +g1288 +tp21335 +a(g412 +g984 +tp21336 +a(g826 +g962 +tp21337 +a(g693 +VResult +p21338 +tp21339 +a(g705 +g1066 +tp21340 +a(g37 +g1202 +tp21341 +a(g705 +g1074 +tp21342 +a(g412 +g984 +tp21343 +a(g826 +g962 +tp21344 +a(g681 +VFileSize +p21345 +tp21346 +a(g705 +g1137 +tp21347 +a(g435 +g1288 +tp21348 +a(g705 +V)) +p21349 +tp21350 +a(g412 +g966 +tp21351 +a(g826 +V\u000a +p21352 +tp21353 +a(g681 +VCloseFile +p21354 +tp21355 +a(g705 +g1137 +tp21356 +a(g435 +g1288 +tp21357 +a(g705 +g1141 +tp21358 +a(g412 +g966 +tp21359 +a(g826 +V\u000a +p21360 +tp21361 +a(g744 +Vend +p21362 +tp21363 +a(g826 +g962 +tp21364 +a(g744 +Velse +p21365 +tp21366 +a(g826 +V\u000a +p21367 +tp21368 +a(g681 +VSetLength +p21369 +tp21370 +a(g705 +g1137 +tp21371 +a(g693 +VResult +p21372 +tp21373 +a(g412 +g984 +tp21374 +a(g826 +g962 +tp21375 +a(g37 +g1202 +tp21376 +a(g705 +g1141 +tp21377 +a(g412 +g966 +tp21378 +a(g826 +V\u000a +p21379 +tp21380 +a(g744 +Vend +p21381 +tp21382 +a(g412 +g966 +tp21383 +a(g826 +V\u000a\u000a +p21384 +tp21385 +a(g744 +Vfunction +p21386 +tp21387 +a(g826 +g962 +tp21388 +a(g573 +VIsValidFileName +p21389 +tp21390 +a(g705 +g1137 +tp21391 +a(g744 +Vconst +p21392 +tp21393 +a(g826 +g962 +tp21394 +a(g435 +VFileName +p21395 +tp21396 +a(g412 +g1407 +tp21397 +a(g826 +g962 +tp21398 +a(g744 +Vstring +p21399 +tp21400 +a(g705 +g1141 +tp21401 +a(g412 +g1407 +tp21402 +a(g826 +g962 +tp21403 +a(g748 +VBoolean +p21404 +tp21405 +a(g412 +g966 +tp21406 +a(g826 +V\u000a +p21407 +tp21408 +a(g744 +Vbegin +p21409 +tp21410 +a(g826 +V\u000a +p21411 +tp21412 +a(g693 +VResult +p21413 +tp21414 +a(g826 +g962 +tp21415 +a(g412 +g1407 +tp21416 +a(g412 +g1007 +tp21417 +a(g826 +g962 +tp21418 +a(g705 +g1137 +tp21419 +a(g435 +VFileName +p21420 +tp21421 +a(g826 +g962 +tp21422 +a(g412 +g1413 +tp21423 +a(g412 +g1419 +tp21424 +a(g826 +g962 +tp21425 +a(g89 +g1101 +tp21426 +a(g89 +g1101 +tp21427 +a(g705 +g1141 +tp21428 +a(g826 +g962 +tp21429 +a(g744 +Vand +p21430 +tp21431 +a(g826 +g962 +tp21432 +a(g744 +Vnot +p21433 +tp21434 +a(g826 +g962 +tp21435 +a(g435 +VCharIn +p21436 +tp21437 +a(g705 +g1137 +tp21438 +a(g435 +VFileName +p21439 +tp21440 +a(g412 +g984 +tp21441 +a(g826 +g962 +tp21442 +a(g435 +VFileNameEnemies +p21443 +tp21444 +a(g705 +g1141 +tp21445 +a(g826 +V\u000a +p21446 +tp21447 +a(g744 +Vand +p21448 +tp21449 +a(g826 +g962 +tp21450 +a(g435 +VCharIn +p21451 +tp21452 +a(g705 +g1137 +tp21453 +a(g681 +VTrim +p21454 +tp21455 +a(g705 +g1137 +tp21456 +a(g435 +VFileName +p21457 +tp21458 +a(g705 +g1141 +tp21459 +a(g412 +g984 +tp21460 +a(g826 +g962 +tp21461 +a(g435 +VAllChars +p21462 +tp21463 +a(g826 +g962 +tp21464 +a(g412 +g1109 +tp21465 +a(g826 +g962 +tp21466 +a(g705 +g1066 +tp21467 +a(g89 +g1101 +tp21468 +a(g89 +g1154 +tp21469 +a(g89 +g1101 +tp21470 +a(g705 +V]) +p21471 +tp21472 +a(g412 +g966 +tp21473 +a(g826 +V\u000a +p21474 +tp21475 +a(g744 +Vend +p21476 +tp21477 +a(g412 +g966 +tp21478 +a(g826 +V\u000a\u000a +p21479 +tp21480 +a(g744 +Vfunction +p21481 +tp21482 +a(g826 +g962 +tp21483 +a(g573 +VMakeValidFileName +p21484 +tp21485 +a(g705 +g1137 +tp21486 +a(g435 +VFileName +p21487 +tp21488 +a(g412 +g1407 +tp21489 +a(g826 +g962 +tp21490 +a(g744 +Vstring +p21491 +tp21492 +a(g412 +g966 +tp21493 +a(g826 +g962 +tp21494 +a(g744 +Vconst +p21495 +tp21496 +a(g826 +g962 +tp21497 +a(g785 +VDefault +p21498 +tp21499 +a(g412 +g1407 +tp21500 +a(g826 +g962 +tp21501 +a(g744 +Vstring +p21502 +tp21503 +a(g826 +g962 +tp21504 +a(g412 +g1007 +tp21505 +a(g826 +g962 +tp21506 +a(g89 +g1101 +tp21507 +a(g89 +VFile +p21508 +tp21509 +a(g89 +g1101 +tp21510 +a(g705 +g1141 +tp21511 +a(g412 +g1407 +tp21512 +a(g826 +g962 +tp21513 +a(g744 +Vstring +p21514 +tp21515 +a(g412 +g966 +tp21516 +a(g826 +V\u000a +p21517 +tp21518 +a(g744 +Vbegin +p21519 +tp21520 +a(g826 +V\u000a +p21521 +tp21522 +a(g744 +Vif +p21523 +tp21524 +a(g826 +g962 +tp21525 +a(g435 +VFileName +p21526 +tp21527 +a(g826 +g962 +tp21528 +a(g412 +g1007 +tp21529 +a(g826 +g962 +tp21530 +a(g89 +g1101 +tp21531 +a(g89 +g1101 +tp21532 +a(g826 +g962 +tp21533 +a(g744 +Vthen +p21534 +tp21535 +a(g826 +V\u000a +p21536 +tp21537 +a(g435 +VFileName +p21538 +tp21539 +a(g826 +g962 +tp21540 +a(g412 +g1407 +tp21541 +a(g412 +g1007 +tp21542 +a(g826 +g962 +tp21543 +a(g785 +VDefault +p21544 +tp21545 +a(g412 +g966 +tp21546 +a(g826 +V\u000a\u000a +p21547 +tp21548 +a(g744 +Vif +p21549 +tp21550 +a(g826 +g962 +tp21551 +a(g435 +VCharIn +p21552 +tp21553 +a(g705 +g1137 +tp21554 +a(g435 +VFileName +p21555 +tp21556 +a(g412 +g984 +tp21557 +a(g826 +g962 +tp21558 +a(g435 +VFileNameEnemies +p21559 +tp21560 +a(g705 +g1141 +tp21561 +a(g826 +g962 +tp21562 +a(g744 +Vthen +p21563 +tp21564 +a(g826 +V\u000a +p21565 +tp21566 +a(g693 +VResult +p21567 +tp21568 +a(g826 +g962 +tp21569 +a(g412 +g1407 +tp21570 +a(g412 +g1007 +tp21571 +a(g826 +g962 +tp21572 +a(g435 +VReplaceChars +p21573 +tp21574 +a(g705 +g1137 +tp21575 +a(g435 +VFileName +p21576 +tp21577 +a(g412 +g984 +tp21578 +a(g826 +g962 +tp21579 +a(g435 +VFileNameEnemies +p21580 +tp21581 +a(g412 +g984 +tp21582 +a(g826 +g962 +tp21583 +a(g89 +g1101 +tp21584 +a(g89 +V_ +p21585 +tp21586 +a(g89 +g1101 +tp21587 +a(g705 +g1141 +tp21588 +a(g826 +V\u000a +p21589 +tp21590 +a(g744 +Velse +p21591 +tp21592 +a(g826 +g962 +tp21593 +a(g744 +Vif +p21594 +tp21595 +a(g826 +g962 +tp21596 +a(g744 +Vnot +p21597 +tp21598 +a(g826 +g962 +tp21599 +a(g435 +VCharIn +p21600 +tp21601 +a(g705 +g1137 +tp21602 +a(g681 +VTrim +p21603 +tp21604 +a(g705 +g1137 +tp21605 +a(g435 +VFileName +p21606 +tp21607 +a(g705 +g1141 +tp21608 +a(g412 +g984 +tp21609 +a(g826 +g962 +tp21610 +a(g435 +VAllChars +p21611 +tp21612 +a(g826 +g962 +tp21613 +a(g412 +g1109 +tp21614 +a(g826 +g962 +tp21615 +a(g705 +g1066 +tp21616 +a(g89 +g1101 +tp21617 +a(g89 +g1154 +tp21618 +a(g89 +g1101 +tp21619 +a(g705 +V]) +p21620 +tp21621 +a(g826 +g962 +tp21622 +a(g744 +Vthen +p21623 +tp21624 +a(g826 +V\u000a +p21625 +tp21626 +a(g693 +VResult +p21627 +tp21628 +a(g826 +g962 +tp21629 +a(g412 +g1407 +tp21630 +a(g412 +g1007 +tp21631 +a(g826 +g962 +tp21632 +a(g785 +VDefault +p21633 +tp21634 +a(g826 +V\u000a +p21635 +tp21636 +a(g744 +Velse +p21637 +tp21638 +a(g826 +V\u000a +p21639 +tp21640 +a(g693 +VResult +p21641 +tp21642 +a(g826 +g962 +tp21643 +a(g412 +g1407 +tp21644 +a(g412 +g1007 +tp21645 +a(g826 +g962 +tp21646 +a(g435 +VFileName +p21647 +tp21648 +a(g412 +g966 +tp21649 +a(g826 +V\u000a +p21650 +tp21651 +a(g744 +Vend +p21652 +tp21653 +a(g412 +g966 +tp21654 +a(g826 +V\u000a\u000a +p21655 +tp21656 +a(g744 +Vfunction +p21657 +tp21658 +a(g826 +g962 +tp21659 +a(g573 +VIsValidInteger +p21660 +tp21661 +a(g705 +g1137 +tp21662 +a(g744 +Vconst +p21663 +tp21664 +a(g826 +g962 +tp21665 +a(g435 +g1773 +tp21666 +a(g412 +g1407 +tp21667 +a(g826 +g962 +tp21668 +a(g744 +Vstring +p21669 +tp21670 +a(g705 +g1141 +tp21671 +a(g412 +g1407 +tp21672 +a(g826 +g962 +tp21673 +a(g748 +VBoolean +p21674 +tp21675 +a(g412 +g966 +tp21676 +a(g826 +V\u000a +p21677 +tp21678 +a(g929 +V{const\u000a LowInt = '2147483648';\u000a HighInt = '2147483647';\u000avar\u000a len, RealLen, i, o: Integer;\u000a c: Char;\u000abegin\u000a Result := False;\u000a if S = '' then\u000a Exit;\u000a\u000a len := Length(S);\u000a o := 1;\u000a \u000a if S[1] = '-' then begin\u000a if len = 1 then\u000a Exit;\u000a Inc(o);\u000a while (o <= len) and (S[o] = '0') do\u000a Inc(o);\u000a if o > len then\u000a Exit;\u000a if o < len then begin\u000a RealLen := len - o + 1;\u000a if RealLen > Length(LowInt) then\u000a Exit\u000a else if RealLen = Length(LowInt) then begin\u000a for i := 1 to Length(LowInt) do begin\u000a c := S[i + o - 1];\u000a if (c < '0') or (c > LowInt[i]) then\u000a Exit;\u000a if c in ['0'..Char((Byte(LowInt[i])-1))] then\u000a Break;\u000a end;\u000a Inc(o, i);\u000a end;\u000a end;\u000a end else begin\u000a while (o <= len) and (S[o] = '0') do\u000a Inc(o);\u000a if o <= len then begin\u000a RealLen := len - o + 1;\u000a if RealLen > Length(HighInt) then\u000a Exit\u000a else if RealLen = Length(HighInt) then begin\u000a for i := 1 to Length(HighInt) do begin\u000a c := S[i + o - 1];\u000a if (c < '0') or (c > HighInt[i]) then\u000a Exit;\u000a if c in ['0'..Char((Byte(HighInt[i])-1))] then\u000a Break;\u000a end;\u000a Inc(o, i);\u000a end;\u000a end;\u000a end;\u000a\u000a for i := o to len do\u000a if not (S[i] in ['0'..'9']) then\u000a Exit;\u000a\u000a Result := True; } +p21679 +tp21680 +a(g826 +V\u000a +p21681 +tp21682 +a(g744 +Vvar +p21683 +tp21684 +a(g826 +V\u000a +p21685 +tp21686 +a(g435 +g4708 +tp21687 +a(g412 +g1407 +tp21688 +a(g826 +g962 +tp21689 +a(g748 +VInt64 +p21690 +tp21691 +a(g412 +g966 +tp21692 +a(g826 +V\u000a +p21693 +tp21694 +a(g744 +Vbegin +p21695 +tp21696 +a(g826 +V\u000a +p21697 +tp21698 +a(g435 +g4708 +tp21699 +a(g826 +g962 +tp21700 +a(g412 +g1407 +tp21701 +a(g412 +g1007 +tp21702 +a(g826 +g962 +tp21703 +a(g681 +VStrToInt64Def +p21704 +tp21705 +a(g705 +g1137 +tp21706 +a(g435 +g1773 +tp21707 +a(g412 +g984 +tp21708 +a(g826 +g962 +tp21709 +a(g681 +VHigh +p21710 +tp21711 +a(g705 +g1137 +tp21712 +a(g748 +VInt64 +p21713 +tp21714 +a(g705 +V)) +p21715 +tp21716 +a(g412 +g966 +tp21717 +a(g826 +V\u000a +p21718 +tp21719 +a(g693 +VResult +p21720 +tp21721 +a(g826 +g962 +tp21722 +a(g412 +g1407 +tp21723 +a(g412 +g1007 +tp21724 +a(g826 +g962 +tp21725 +a(g705 +g1137 +tp21726 +a(g435 +g4708 +tp21727 +a(g826 +g962 +tp21728 +a(g412 +g1419 +tp21729 +a(g412 +g1007 +tp21730 +a(g826 +g962 +tp21731 +a(g681 +VLow +p21732 +tp21733 +a(g705 +g1137 +tp21734 +a(g748 +VInteger +p21735 +tp21736 +a(g705 +V)) +p21737 +tp21738 +a(g826 +g962 +tp21739 +a(g744 +Vand +p21740 +tp21741 +a(g826 +g962 +tp21742 +a(g705 +g1137 +tp21743 +a(g435 +g4708 +tp21744 +a(g826 +g962 +tp21745 +a(g412 +g1413 +tp21746 +a(g412 +g1007 +tp21747 +a(g826 +g962 +tp21748 +a(g681 +VHigh +p21749 +tp21750 +a(g705 +g1137 +tp21751 +a(g748 +VInteger +p21752 +tp21753 +a(g705 +V)) +p21754 +tp21755 +a(g412 +g966 +tp21756 +a(g826 +V\u000a +p21757 +tp21758 +a(g744 +Vend +p21759 +tp21760 +a(g412 +g966 +tp21761 +a(g826 +V\u000a\u000a +p21762 +tp21763 +a(g744 +Vfunction +p21764 +tp21765 +a(g826 +g962 +tp21766 +a(g573 +VIsValidCardinal +p21767 +tp21768 +a(g705 +g1137 +tp21769 +a(g744 +Vconst +p21770 +tp21771 +a(g826 +g962 +tp21772 +a(g435 +g1773 +tp21773 +a(g412 +g1407 +tp21774 +a(g826 +g962 +tp21775 +a(g744 +Vstring +p21776 +tp21777 +a(g705 +g1141 +tp21778 +a(g412 +g1407 +tp21779 +a(g826 +g962 +tp21780 +a(g748 +VBoolean +p21781 +tp21782 +a(g412 +g966 +tp21783 +a(g826 +V\u000a +p21784 +tp21785 +a(g929 +V{const\u000a HighCard = '4294967295';\u000avar\u000a len, RealLen, i, o: Integer;\u000abegin\u000a Result := False;\u000a if S = '' then\u000a Exit;\u000a\u000a len := Length(S);\u000a o := 1;\u000a \u000a while (o <= len) and (S[o] = '0') do\u000a Inc(o);\u000a if o <= len then begin\u000a RealLen := len - o + 1;\u000a if RealLen > Length(HighCard) then\u000a Exit\u000a else if RealLen = Length(HighCard) then begin\u000a for i := 1 to Length(HighCard) do begin\u000a if S[i + o - 1] > HighCard[i] then\u000a Exit;\u000a if S[i + o - 1] in ['0'..Char((Byte(HighCard[i])-1))] then\u000a Break;\u000a end;\u000a Inc(o, i);\u000a end;\u000a end;\u000a\u000a for i := o to len do\u000a if not (S[i] in ['0'..'9']) then\u000a Exit;\u000a\u000a Result := True; } +p21786 +tp21787 +a(g826 +V\u000a +p21788 +tp21789 +a(g744 +Vvar +p21790 +tp21791 +a(g826 +V\u000a +p21792 +tp21793 +a(g435 +g4708 +tp21794 +a(g412 +g1407 +tp21795 +a(g826 +g962 +tp21796 +a(g748 +VInt64 +p21797 +tp21798 +a(g412 +g966 +tp21799 +a(g826 +V\u000a +p21800 +tp21801 +a(g744 +Vbegin +p21802 +tp21803 +a(g826 +V\u000a +p21804 +tp21805 +a(g435 +g4708 +tp21806 +a(g826 +g962 +tp21807 +a(g412 +g1407 +tp21808 +a(g412 +g1007 +tp21809 +a(g826 +g962 +tp21810 +a(g681 +VStrToInt64Def +p21811 +tp21812 +a(g705 +g1137 +tp21813 +a(g435 +g1773 +tp21814 +a(g412 +g984 +tp21815 +a(g826 +g962 +tp21816 +a(g412 +g1109 +tp21817 +a(g37 +g1337 +tp21818 +a(g705 +g1141 +tp21819 +a(g412 +g966 +tp21820 +a(g826 +V\u000a +p21821 +tp21822 +a(g693 +VResult +p21823 +tp21824 +a(g826 +g962 +tp21825 +a(g412 +g1407 +tp21826 +a(g412 +g1007 +tp21827 +a(g826 +g962 +tp21828 +a(g705 +g1137 +tp21829 +a(g435 +g4708 +tp21830 +a(g826 +g962 +tp21831 +a(g412 +g1419 +tp21832 +a(g412 +g1007 +tp21833 +a(g826 +g962 +tp21834 +a(g37 +g1202 +tp21835 +a(g705 +g1141 +tp21836 +a(g826 +g962 +tp21837 +a(g744 +Vand +p21838 +tp21839 +a(g826 +g962 +tp21840 +a(g705 +g1137 +tp21841 +a(g435 +g4708 +tp21842 +a(g826 +g962 +tp21843 +a(g412 +g1413 +tp21844 +a(g412 +g1007 +tp21845 +a(g826 +g962 +tp21846 +a(g681 +VHigh +p21847 +tp21848 +a(g705 +g1137 +tp21849 +a(g748 +VCardinal +p21850 +tp21851 +a(g705 +V)) +p21852 +tp21853 +a(g412 +g966 +tp21854 +a(g826 +V\u000a +p21855 +tp21856 +a(g744 +Vend +p21857 +tp21858 +a(g412 +g966 +tp21859 +a(g826 +V\u000a\u000a +p21860 +tp21861 +a(g744 +Vfunction +p21862 +tp21863 +a(g826 +g962 +tp21864 +a(g573 +VStrOfBool +p21865 +tp21866 +a(g705 +g1137 +tp21867 +a(g435 +Vflag +p21868 +tp21869 +a(g412 +g1407 +tp21870 +a(g826 +g962 +tp21871 +a(g748 +VBoolean +p21872 +tp21873 +a(g412 +g966 +tp21874 +a(g826 +g962 +tp21875 +a(g744 +Vconst +p21876 +tp21877 +a(g826 +g962 +tp21878 +a(g435 +VTrueStr +p21879 +tp21880 +a(g412 +g1407 +tp21881 +a(g826 +g962 +tp21882 +a(g744 +Vstring +p21883 +tp21884 +a(g826 +g962 +tp21885 +a(g412 +g1007 +tp21886 +a(g826 +g962 +tp21887 +a(g89 +g1101 +tp21888 +a(g89 +VTrue +p21889 +tp21890 +a(g89 +g1101 +tp21891 +a(g412 +g966 +tp21892 +a(g826 +V\u000a +p21893 +tp21894 +a(g744 +Vconst +p21895 +tp21896 +a(g826 +g962 +tp21897 +a(g435 +VFalseStr +p21898 +tp21899 +a(g412 +g1407 +tp21900 +a(g826 +g962 +tp21901 +a(g744 +Vstring +p21902 +tp21903 +a(g826 +g962 +tp21904 +a(g412 +g1007 +tp21905 +a(g826 +g962 +tp21906 +a(g89 +g1101 +tp21907 +a(g89 +VFalse +p21908 +tp21909 +a(g89 +g1101 +tp21910 +a(g705 +g1141 +tp21911 +a(g412 +g1407 +tp21912 +a(g826 +g962 +tp21913 +a(g744 +Vstring +p21914 +tp21915 +a(g412 +g966 +tp21916 +a(g826 +V\u000a +p21917 +tp21918 +a(g744 +Vbegin +p21919 +tp21920 +a(g826 +V\u000a +p21921 +tp21922 +a(g744 +Vif +p21923 +tp21924 +a(g826 +g962 +tp21925 +a(g435 +VFlag +p21926 +tp21927 +a(g826 +g962 +tp21928 +a(g744 +Vthen +p21929 +tp21930 +a(g826 +V\u000a +p21931 +tp21932 +a(g693 +VResult +p21933 +tp21934 +a(g826 +g962 +tp21935 +a(g412 +g1407 +tp21936 +a(g412 +g1007 +tp21937 +a(g826 +g962 +tp21938 +a(g435 +VTrueStr +p21939 +tp21940 +a(g826 +V\u000a +p21941 +tp21942 +a(g744 +Velse +p21943 +tp21944 +a(g826 +V\u000a +p21945 +tp21946 +a(g693 +VResult +p21947 +tp21948 +a(g826 +g962 +tp21949 +a(g412 +g1407 +tp21950 +a(g412 +g1007 +tp21951 +a(g826 +g962 +tp21952 +a(g435 +VFalseStr +p21953 +tp21954 +a(g412 +g966 +tp21955 +a(g826 +V\u000a +p21956 +tp21957 +a(g744 +Vend +p21958 +tp21959 +a(g412 +g966 +tp21960 +a(g826 +V\u000a\u000a +p21961 +tp21962 +a(g744 +Vfunction +p21963 +tp21964 +a(g826 +g962 +tp21965 +a(g573 +VStrOfInt +p21966 +tp21967 +a(g705 +g1137 +tp21968 +a(g435 +g4708 +tp21969 +a(g412 +g1407 +tp21970 +a(g826 +g962 +tp21971 +a(g748 +VInteger +p21972 +tp21973 +a(g705 +g1141 +tp21974 +a(g412 +g1407 +tp21975 +a(g826 +g962 +tp21976 +a(g744 +Vstring +p21977 +tp21978 +a(g412 +g966 +tp21979 +a(g826 +V\u000a +p21980 +tp21981 +a(g744 +Vbegin +p21982 +tp21983 +a(g826 +V\u000a +p21984 +tp21985 +a(g929 +V{ if i = 0 then begin\u000a Result := '0';\u000a Exit end;\u000a\u000a while i > 0 do begin\u000a Result := Char(Byte('0') + (i mod 10)) + Result;\u000a i := i div 10;\u000a end;} +p21986 +tp21987 +a(g826 +V\u000a +p21988 +tp21989 +a(g693 +VResult +p21990 +tp21991 +a(g826 +g962 +tp21992 +a(g412 +g1407 +tp21993 +a(g412 +g1007 +tp21994 +a(g826 +g962 +tp21995 +a(g681 +VIntToStr +p21996 +tp21997 +a(g705 +g1137 +tp21998 +a(g435 +g4708 +tp21999 +a(g705 +g1141 +tp22000 +a(g412 +g966 +tp22001 +a(g826 +V\u000a +p22002 +tp22003 +a(g744 +Vend +p22004 +tp22005 +a(g412 +g966 +tp22006 +a(g826 +V\u000a\u000a +p22007 +tp22008 +a(g744 +Vfunction +p22009 +tp22010 +a(g826 +g962 +tp22011 +a(g573 +VCardOfStr +p22012 +tp22013 +a(g705 +g1137 +tp22014 +a(g744 +Vconst +p22015 +tp22016 +a(g826 +g962 +tp22017 +a(g435 +g1773 +tp22018 +a(g412 +g1407 +tp22019 +a(g826 +g962 +tp22020 +a(g744 +Vstring +p22021 +tp22022 +a(g705 +g1141 +tp22023 +a(g412 +g1407 +tp22024 +a(g826 +g962 +tp22025 +a(g748 +VCardinal +p22026 +tp22027 +a(g412 +g966 +tp22028 +a(g826 +V\u000a +p22029 +tp22030 +a(g744 +Vvar +p22031 +tp22032 +a(g826 +V\u000a +p22033 +tp22034 +a(g435 +VRes +p22035 +tp22036 +a(g412 +g1407 +tp22037 +a(g826 +g962 +tp22038 +a(g748 +VInt64 +p22039 +tp22040 +a(g412 +g966 +tp22041 +a(g826 +V\u000a +p22042 +tp22043 +a(g744 +Vbegin +p22044 +tp22045 +a(g826 +V\u000a +p22046 +tp22047 +a(g435 +VRes +p22048 +tp22049 +a(g826 +g962 +tp22050 +a(g412 +g1407 +tp22051 +a(g412 +g1007 +tp22052 +a(g826 +g962 +tp22053 +a(g681 +VStrToInt64Def +p22054 +tp22055 +a(g705 +g1137 +tp22056 +a(g435 +g1773 +tp22057 +a(g412 +g984 +tp22058 +a(g826 +g962 +tp22059 +a(g412 +g1109 +tp22060 +a(g37 +g1337 +tp22061 +a(g705 +g1141 +tp22062 +a(g412 +g966 +tp22063 +a(g826 +V\u000a +p22064 +tp22065 +a(g744 +Vif +p22066 +tp22067 +a(g826 +g962 +tp22068 +a(g435 +VRes +p22069 +tp22070 +a(g826 +g962 +tp22071 +a(g412 +g1419 +tp22072 +a(g826 +g962 +tp22073 +a(g681 +VHigh +p22074 +tp22075 +a(g705 +g1137 +tp22076 +a(g748 +VCardinal +p22077 +tp22078 +a(g705 +g1141 +tp22079 +a(g826 +g962 +tp22080 +a(g744 +Vthen +p22081 +tp22082 +a(g826 +V\u000a +p22083 +tp22084 +a(g435 +VRes +p22085 +tp22086 +a(g826 +g962 +tp22087 +a(g412 +g1407 +tp22088 +a(g412 +g1007 +tp22089 +a(g826 +g962 +tp22090 +a(g681 +VHigh +p22091 +tp22092 +a(g705 +g1137 +tp22093 +a(g748 +VCardinal +p22094 +tp22095 +a(g705 +g1141 +tp22096 +a(g826 +V\u000a +p22097 +tp22098 +a(g744 +Velse +p22099 +tp22100 +a(g826 +g962 +tp22101 +a(g744 +Vif +p22102 +tp22103 +a(g826 +g962 +tp22104 +a(g435 +VRes +p22105 +tp22106 +a(g826 +g962 +tp22107 +a(g412 +g1413 +tp22108 +a(g826 +g962 +tp22109 +a(g37 +g1202 +tp22110 +a(g826 +g962 +tp22111 +a(g744 +Vthen +p22112 +tp22113 +a(g826 +V\u000a +p22114 +tp22115 +a(g435 +VRes +p22116 +tp22117 +a(g826 +g962 +tp22118 +a(g412 +g1407 +tp22119 +a(g412 +g1007 +tp22120 +a(g826 +g962 +tp22121 +a(g37 +g1202 +tp22122 +a(g412 +g966 +tp22123 +a(g826 +V\u000a +p22124 +tp22125 +a(g693 +VResult +p22126 +tp22127 +a(g826 +g962 +tp22128 +a(g412 +g1407 +tp22129 +a(g412 +g1007 +tp22130 +a(g826 +g962 +tp22131 +a(g748 +VCardinal +p22132 +tp22133 +a(g705 +g1137 +tp22134 +a(g435 +VRes +p22135 +tp22136 +a(g705 +g1141 +tp22137 +a(g412 +g966 +tp22138 +a(g826 +V\u000a +p22139 +tp22140 +a(g744 +Vend +p22141 +tp22142 +a(g412 +g966 +tp22143 +a(g826 +V\u000a\u000a +p22144 +tp22145 +a(g744 +Vfunction +p22146 +tp22147 +a(g826 +g962 +tp22148 +a(g573 +VHexOrd +p22149 +tp22150 +a(g705 +g1137 +tp22151 +a(g435 +VHex +p22152 +tp22153 +a(g412 +g1407 +tp22154 +a(g826 +g962 +tp22155 +a(g748 +VChar +p22156 +tp22157 +a(g705 +g1141 +tp22158 +a(g412 +g1407 +tp22159 +a(g826 +g962 +tp22160 +a(g435 +VTHex +p22161 +tp22162 +a(g412 +g966 +tp22163 +a(g826 +V\u000a +p22164 +tp22165 +a(g744 +Vbegin +p22166 +tp22167 +a(g826 +V\u000a +p22168 +tp22169 +a(g744 +Vcase +p22170 +tp22171 +a(g826 +g962 +tp22172 +a(g435 +VHex +p22173 +tp22174 +a(g826 +g962 +tp22175 +a(g744 +Vof +p22176 +tp22177 +a(g826 +V\u000a +p22178 +tp22179 +a(g89 +g1101 +tp22180 +a(g89 +g1202 +tp22181 +a(g89 +g1101 +tp22182 +a(g412 +g1154 +tp22183 +a(g412 +g1154 +tp22184 +a(g89 +g1101 +tp22185 +a(g89 +g1208 +tp22186 +a(g89 +g1101 +tp22187 +a(g412 +g1407 +tp22188 +a(g826 +V\u000a +p22189 +tp22190 +a(g693 +VResult +p22191 +tp22192 +a(g826 +g962 +tp22193 +a(g412 +g1407 +tp22194 +a(g412 +g1007 +tp22195 +a(g826 +g962 +tp22196 +a(g748 +VByte +p22197 +tp22198 +a(g705 +g1137 +tp22199 +a(g435 +VHex +p22200 +tp22201 +a(g705 +g1141 +tp22202 +a(g826 +g962 +tp22203 +a(g412 +g1109 +tp22204 +a(g826 +g962 +tp22205 +a(g37 +V48 +p22206 +tp22207 +a(g412 +g966 +tp22208 +a(g826 +V\u000a +p22209 +tp22210 +a(g89 +g1101 +tp22211 +a(g89 +g1170 +tp22212 +a(g89 +g1101 +tp22213 +a(g412 +g1154 +tp22214 +a(g412 +g1154 +tp22215 +a(g89 +g1101 +tp22216 +a(g89 +g1288 +tp22217 +a(g89 +g1101 +tp22218 +a(g412 +g1407 +tp22219 +a(g826 +V\u000a +p22220 +tp22221 +a(g693 +VResult +p22222 +tp22223 +a(g826 +g962 +tp22224 +a(g412 +g1407 +tp22225 +a(g412 +g1007 +tp22226 +a(g826 +g962 +tp22227 +a(g748 +VByte +p22228 +tp22229 +a(g705 +g1137 +tp22230 +a(g435 +VHex +p22231 +tp22232 +a(g705 +g1141 +tp22233 +a(g826 +g962 +tp22234 +a(g412 +g1109 +tp22235 +a(g826 +g962 +tp22236 +a(g37 +V55 +p22237 +tp22238 +a(g412 +g966 +tp22239 +a(g826 +V\u000a +p22240 +tp22241 +a(g89 +g1101 +tp22242 +a(g89 +g1182 +tp22243 +a(g89 +g1101 +tp22244 +a(g412 +g1154 +tp22245 +a(g412 +g1154 +tp22246 +a(g89 +g1101 +tp22247 +a(g89 +g1299 +tp22248 +a(g89 +g1101 +tp22249 +a(g412 +g1407 +tp22250 +a(g826 +V\u000a +p22251 +tp22252 +a(g693 +VResult +p22253 +tp22254 +a(g826 +g962 +tp22255 +a(g412 +g1407 +tp22256 +a(g412 +g1007 +tp22257 +a(g826 +g962 +tp22258 +a(g748 +VByte +p22259 +tp22260 +a(g705 +g1137 +tp22261 +a(g435 +VHex +p22262 +tp22263 +a(g705 +g1141 +tp22264 +a(g826 +g962 +tp22265 +a(g412 +g1109 +tp22266 +a(g826 +g962 +tp22267 +a(g37 +V87 +p22268 +tp22269 +a(g412 +g966 +tp22270 +a(g826 +V\u000a +p22271 +tp22272 +a(g744 +Velse +p22273 +tp22274 +a(g826 +V\u000a +p22275 +tp22276 +a(g693 +VResult +p22277 +tp22278 +a(g826 +g962 +tp22279 +a(g412 +g1407 +tp22280 +a(g412 +g1007 +tp22281 +a(g826 +g962 +tp22282 +a(g37 +g1202 +tp22283 +a(g412 +g966 +tp22284 +a(g826 +V\u000a +p22285 +tp22286 +a(g744 +Vend +p22287 +tp22288 +a(g412 +g966 +tp22289 +a(g826 +V\u000a +p22290 +tp22291 +a(g744 +Vend +p22292 +tp22293 +a(g412 +g966 +tp22294 +a(g826 +V\u000a\u000a +p22295 +tp22296 +a(g744 +Vfunction +p22297 +tp22298 +a(g826 +g962 +tp22299 +a(g573 +VByteOfHex +p22300 +tp22301 +a(g705 +g1137 +tp22302 +a(g435 +VHex +p22303 +tp22304 +a(g412 +g1407 +tp22305 +a(g826 +g962 +tp22306 +a(g435 +VTHexByteStr +p22307 +tp22308 +a(g705 +g1141 +tp22309 +a(g412 +g1407 +tp22310 +a(g826 +g962 +tp22311 +a(g748 +VByte +p22312 +tp22313 +a(g412 +g966 +tp22314 +a(g826 +V\u000a +p22315 +tp22316 +a(g744 +Vbegin +p22317 +tp22318 +a(g826 +V\u000a +p22319 +tp22320 +a(g693 +VResult +p22321 +tp22322 +a(g826 +g962 +tp22323 +a(g412 +g1407 +tp22324 +a(g412 +g1007 +tp22325 +a(g826 +g962 +tp22326 +a(g705 +g1137 +tp22327 +a(g435 +VHexOrd +p22328 +tp22329 +a(g705 +g1137 +tp22330 +a(g435 +VHex +p22331 +tp22332 +a(g705 +g1066 +tp22333 +a(g37 +g1337 +tp22334 +a(g705 +V]) +p22335 +tp22336 +a(g826 +g962 +tp22337 +a(g744 +Vshl +p22338 +tp22339 +a(g826 +g962 +tp22340 +a(g37 +g1473 +tp22341 +a(g705 +g1141 +tp22342 +a(g826 +g962 +tp22343 +a(g412 +g1223 +tp22344 +a(g826 +g962 +tp22345 +a(g435 +VHexOrd +p22346 +tp22347 +a(g705 +g1137 +tp22348 +a(g435 +VHex +p22349 +tp22350 +a(g705 +g1066 +tp22351 +a(g37 +g1463 +tp22352 +a(g705 +V]) +p22353 +tp22354 +a(g412 +g966 +tp22355 +a(g826 +V\u000a +p22356 +tp22357 +a(g744 +Vend +p22358 +tp22359 +a(g412 +g966 +tp22360 +a(g826 +V\u000a\u000a +p22361 +tp22362 +a(g744 +Vfunction +p22363 +tp22364 +a(g826 +g962 +tp22365 +a(g573 +VDecOfHex +p22366 +tp22367 +a(g705 +g1137 +tp22368 +a(g744 +Vconst +p22369 +tp22370 +a(g826 +g962 +tp22371 +a(g435 +VHex +p22372 +tp22373 +a(g412 +g1407 +tp22374 +a(g826 +g962 +tp22375 +a(g744 +Vstring +p22376 +tp22377 +a(g705 +g1141 +tp22378 +a(g412 +g1407 +tp22379 +a(g826 +g962 +tp22380 +a(g744 +Vstring +p22381 +tp22382 +a(g412 +g966 +tp22383 +a(g826 +V\u000a +p22384 +tp22385 +a(g744 +Vbegin +p22386 +tp22387 +a(g826 +V\u000a +p22388 +tp22389 +a(g693 +VResult +p22390 +tp22391 +a(g826 +g962 +tp22392 +a(g412 +g1407 +tp22393 +a(g412 +g1007 +tp22394 +a(g826 +g962 +tp22395 +a(g681 +VIntToStr +p22396 +tp22397 +a(g705 +g1137 +tp22398 +a(g435 +VCardOfHex +p22399 +tp22400 +a(g705 +g1137 +tp22401 +a(g435 +VHex +p22402 +tp22403 +a(g705 +V)) +p22404 +tp22405 +a(g412 +g966 +tp22406 +a(g826 +V\u000a +p22407 +tp22408 +a(g744 +Vend +p22409 +tp22410 +a(g412 +g966 +tp22411 +a(g826 +V\u000a\u000a +p22412 +tp22413 +a(g744 +Vfunction +p22414 +tp22415 +a(g826 +g962 +tp22416 +a(g573 +VHexOfByte +p22417 +tp22418 +a(g705 +g1137 +tp22419 +a(g435 +g1598 +tp22420 +a(g412 +g1407 +tp22421 +a(g826 +g962 +tp22422 +a(g748 +VByte +p22423 +tp22424 +a(g705 +g1141 +tp22425 +a(g412 +g1407 +tp22426 +a(g826 +g962 +tp22427 +a(g435 +VTHexByteStr +p22428 +tp22429 +a(g412 +g966 +tp22430 +a(g826 +V\u000a +p22431 +tp22432 +a(g744 +Vbegin +p22433 +tp22434 +a(g826 +V\u000a +p22435 +tp22436 +a(g693 +VResult +p22437 +tp22438 +a(g826 +g962 +tp22439 +a(g412 +g1407 +tp22440 +a(g412 +g1007 +tp22441 +a(g826 +g962 +tp22442 +a(g435 +VHexChar +p22443 +tp22444 +a(g705 +V[( +p22445 +tp22446 +a(g435 +g1598 +tp22447 +a(g826 +g962 +tp22448 +a(g744 +Vand +p22449 +tp22450 +a(g826 +g962 +tp22451 +a(g297 +V$F0 +p22452 +tp22453 +a(g705 +g1141 +tp22454 +a(g826 +g962 +tp22455 +a(g744 +Vshr +p22456 +tp22457 +a(g826 +g962 +tp22458 +a(g37 +g1473 +tp22459 +a(g705 +g1074 +tp22460 +a(g826 +V\u000a +p22461 +tp22462 +a(g412 +g1223 +tp22463 +a(g826 +g962 +tp22464 +a(g435 +VHexChar +p22465 +tp22466 +a(g705 +g1066 +tp22467 +a(g826 +g962 +tp22468 +a(g435 +g1598 +tp22469 +a(g826 +g962 +tp22470 +a(g744 +Vand +p22471 +tp22472 +a(g826 +g962 +tp22473 +a(g297 +V$0F +p22474 +tp22475 +a(g826 +V +p22476 +tp22477 +a(g705 +g1074 +tp22478 +a(g412 +g966 +tp22479 +a(g826 +V\u000a +p22480 +tp22481 +a(g744 +Vend +p22482 +tp22483 +a(g412 +g966 +tp22484 +a(g826 +V\u000a\u000a +p22485 +tp22486 +a(g929 +V{function HexOfCard2(c: Cardinal): string;\u000avar\u000a Data: array[0..(1 shl 4) - 1] of Char;\u000a i: Integer;\u000abegin\u000a for i := 0 to (1 shl 4) - 1 do\u000a if i < 10 then\u000a Data[i] := Char(Ord('0') + i)\u000a else\u000a Data[i] := Char(Ord('A') + i - 10);\u000a\u000a Result := Data[(c and (((1 shl (1 shl 2)) - 1) shl (7 shl 2))) shr (7 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (6 shl 2))) shr (6 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (5 shl 2))) shr (5 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (4 shl 2))) shr (4 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (3 shl 2))) shr (3 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (2 shl 2))) shr (2 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (1 shl 2))) shr (1 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (0 shl 2))) shr (0 shl 2)];\u000aend; } +p22487 +tp22488 +a(g826 +V\u000a\u000a +p22489 +tp22490 +a(g744 +Vfunction +p22491 +tp22492 +a(g826 +g962 +tp22493 +a(g573 +VHexOfCard +p22494 +tp22495 +a(g705 +g1137 +tp22496 +a(g435 +g4708 +tp22497 +a(g412 +g1407 +tp22498 +a(g826 +g962 +tp22499 +a(g748 +VCardinal +p22500 +tp22501 +a(g705 +g1141 +tp22502 +a(g412 +g1407 +tp22503 +a(g826 +g962 +tp22504 +a(g744 +Vstring +p22505 +tp22506 +a(g412 +g966 +tp22507 +a(g826 +V\u000a +p22508 +tp22509 +a(g744 +Vvar +p22510 +tp22511 +a(g826 +V\u000a +p22512 +tp22513 +a(g435 +g1182 +tp22514 +a(g412 +g1407 +tp22515 +a(g826 +g962 +tp22516 +a(g748 +VCardinal +p22517 +tp22518 +a(g412 +g966 +tp22519 +a(g826 +V\u000a +p22520 +tp22521 +a(g744 +Vbegin +p22522 +tp22523 +a(g826 +V\u000a +p22524 +tp22525 +a(g693 +VResult +p22526 +tp22527 +a(g826 +g962 +tp22528 +a(g412 +g1407 +tp22529 +a(g412 +g1007 +tp22530 +a(g826 +g962 +tp22531 +a(g89 +g1101 +tp22532 +a(g89 +g1101 +tp22533 +a(g412 +g966 +tp22534 +a(g826 +V\u000a +p22535 +tp22536 +a(g744 +Vwhile +p22537 +tp22538 +a(g826 +g962 +tp22539 +a(g435 +g4708 +tp22540 +a(g826 +g962 +tp22541 +a(g412 +g1419 +tp22542 +a(g826 +g962 +tp22543 +a(g37 +g1202 +tp22544 +a(g826 +g962 +tp22545 +a(g744 +Vdo +p22546 +tp22547 +a(g826 +g962 +tp22548 +a(g744 +Vbegin +p22549 +tp22550 +a(g826 +V\u000a +p22551 +tp22552 +a(g435 +g1182 +tp22553 +a(g826 +g962 +tp22554 +a(g412 +g1407 +tp22555 +a(g412 +g1007 +tp22556 +a(g826 +g962 +tp22557 +a(g435 +g4708 +tp22558 +a(g826 +g962 +tp22559 +a(g744 +Vand +p22560 +tp22561 +a(g826 +g962 +tp22562 +a(g297 +V$F +p22563 +tp22564 +a(g412 +g966 +tp22565 +a(g826 +V\u000a +p22566 +tp22567 +a(g693 +VResult +p22568 +tp22569 +a(g826 +g962 +tp22570 +a(g412 +g1407 +tp22571 +a(g412 +g1007 +tp22572 +a(g826 +g962 +tp22573 +a(g435 +VHexChar +p22574 +tp22575 +a(g705 +g1066 +tp22576 +a(g435 +g1182 +tp22577 +a(g705 +g1074 +tp22578 +a(g826 +g962 +tp22579 +a(g412 +g1223 +tp22580 +a(g826 +g962 +tp22581 +a(g693 +VResult +p22582 +tp22583 +a(g412 +g966 +tp22584 +a(g826 +V\u000a +p22585 +tp22586 +a(g435 +g4708 +tp22587 +a(g826 +g962 +tp22588 +a(g412 +g1407 +tp22589 +a(g412 +g1007 +tp22590 +a(g826 +g962 +tp22591 +a(g435 +g4708 +tp22592 +a(g826 +g962 +tp22593 +a(g744 +Vshr +p22594 +tp22595 +a(g826 +g962 +tp22596 +a(g37 +g1473 +tp22597 +a(g412 +g966 +tp22598 +a(g826 +V\u000a +p22599 +tp22600 +a(g744 +Vend +p22601 +tp22602 +a(g412 +g966 +tp22603 +a(g826 +V\u000a +p22604 +tp22605 +a(g744 +Vend +p22606 +tp22607 +a(g412 +g966 +tp22608 +a(g826 +V\u000a\u000a +p22609 +tp22610 +a(g744 +Vfunction +p22611 +tp22612 +a(g826 +g962 +tp22613 +a(g573 +VHexOfCard +p22614 +tp22615 +a(g705 +g1137 +tp22616 +a(g435 +g4708 +tp22617 +a(g412 +g1407 +tp22618 +a(g826 +g962 +tp22619 +a(g748 +VCardinal +p22620 +tp22621 +a(g412 +g966 +tp22622 +a(g826 +g962 +tp22623 +a(g435 +VDigits +p22624 +tp22625 +a(g412 +g1407 +tp22626 +a(g826 +g962 +tp22627 +a(g748 +VInteger +p22628 +tp22629 +a(g705 +g1141 +tp22630 +a(g412 +g1407 +tp22631 +a(g826 +g962 +tp22632 +a(g744 +Vstring +p22633 +tp22634 +a(g412 +g966 +tp22635 +a(g826 +V\u000a +p22636 +tp22637 +a(g744 +Vvar +p22638 +tp22639 +a(g826 +V\u000a +p22640 +tp22641 +a(g435 +g1182 +tp22642 +a(g412 +g1407 +tp22643 +a(g826 +g962 +tp22644 +a(g748 +VCardinal +p22645 +tp22646 +a(g412 +g966 +tp22647 +a(g826 +V\u000a +p22648 +tp22649 +a(g744 +Vbegin +p22650 +tp22651 +a(g826 +V\u000a +p22652 +tp22653 +a(g693 +VResult +p22654 +tp22655 +a(g826 +g962 +tp22656 +a(g412 +g1407 +tp22657 +a(g412 +g1007 +tp22658 +a(g826 +g962 +tp22659 +a(g89 +g1101 +tp22660 +a(g89 +g1101 +tp22661 +a(g412 +g966 +tp22662 +a(g826 +V\u000a +p22663 +tp22664 +a(g744 +Vwhile +p22665 +tp22666 +a(g826 +g962 +tp22667 +a(g435 +g4708 +tp22668 +a(g826 +g962 +tp22669 +a(g412 +g1419 +tp22670 +a(g826 +g962 +tp22671 +a(g37 +g1202 +tp22672 +a(g826 +g962 +tp22673 +a(g744 +Vdo +p22674 +tp22675 +a(g826 +g962 +tp22676 +a(g744 +Vbegin +p22677 +tp22678 +a(g826 +V\u000a +p22679 +tp22680 +a(g435 +g1182 +tp22681 +a(g826 +g962 +tp22682 +a(g412 +g1407 +tp22683 +a(g412 +g1007 +tp22684 +a(g826 +g962 +tp22685 +a(g435 +g4708 +tp22686 +a(g826 +g962 +tp22687 +a(g744 +Vand +p22688 +tp22689 +a(g826 +g962 +tp22690 +a(g297 +V$F +p22691 +tp22692 +a(g412 +g966 +tp22693 +a(g826 +V\u000a +p22694 +tp22695 +a(g693 +VResult +p22696 +tp22697 +a(g826 +g962 +tp22698 +a(g412 +g1407 +tp22699 +a(g412 +g1007 +tp22700 +a(g826 +g962 +tp22701 +a(g435 +VHexChar +p22702 +tp22703 +a(g705 +g1066 +tp22704 +a(g435 +g1182 +tp22705 +a(g705 +g1074 +tp22706 +a(g826 +g962 +tp22707 +a(g412 +g1223 +tp22708 +a(g826 +g962 +tp22709 +a(g693 +VResult +p22710 +tp22711 +a(g412 +g966 +tp22712 +a(g826 +V\u000a +p22713 +tp22714 +a(g435 +g4708 +tp22715 +a(g826 +g962 +tp22716 +a(g412 +g1407 +tp22717 +a(g412 +g1007 +tp22718 +a(g826 +g962 +tp22719 +a(g435 +g4708 +tp22720 +a(g826 +g962 +tp22721 +a(g744 +Vshr +p22722 +tp22723 +a(g826 +g962 +tp22724 +a(g37 +g1473 +tp22725 +a(g412 +g966 +tp22726 +a(g826 +V\u000a +p22727 +tp22728 +a(g744 +Vend +p22729 +tp22730 +a(g412 +g966 +tp22731 +a(g826 +V\u000a +p22732 +tp22733 +a(g693 +VResult +p22734 +tp22735 +a(g826 +g962 +tp22736 +a(g412 +g1407 +tp22737 +a(g412 +g1007 +tp22738 +a(g826 +g962 +tp22739 +a(g435 +VMulStr +p22740 +tp22741 +a(g705 +g1137 +tp22742 +a(g89 +g1101 +tp22743 +a(g89 +g1202 +tp22744 +a(g89 +g1101 +tp22745 +a(g412 +g984 +tp22746 +a(g826 +g962 +tp22747 +a(g435 +VDigits +p22748 +tp22749 +a(g826 +g962 +tp22750 +a(g412 +g1109 +tp22751 +a(g826 +g962 +tp22752 +a(g681 +VLength +p22753 +tp22754 +a(g705 +g1137 +tp22755 +a(g693 +VResult +p22756 +tp22757 +a(g705 +V)) +p22758 +tp22759 +a(g826 +g962 +tp22760 +a(g412 +g1223 +tp22761 +a(g826 +g962 +tp22762 +a(g693 +VResult +p22763 +tp22764 +a(g412 +g966 +tp22765 +a(g826 +V\u000a +p22766 +tp22767 +a(g744 +Vend +p22768 +tp22769 +a(g412 +g966 +tp22770 +a(g826 +V\u000a\u000a +p22771 +tp22772 +a(g744 +Vfunction +p22773 +tp22774 +a(g826 +g962 +tp22775 +a(g573 +VPascalHexArray +p22776 +tp22777 +a(g705 +g1137 +tp22778 +a(g435 +g1182 +tp22779 +a(g412 +g1407 +tp22780 +a(g826 +g962 +tp22781 +a(g435 +VTByteA +p22782 +tp22783 +a(g412 +g966 +tp22784 +a(g826 +g962 +tp22785 +a(g785 +VName +p22786 +tp22787 +a(g412 +g1407 +tp22788 +a(g826 +g962 +tp22789 +a(g744 +Vstring +p22790 +tp22791 +a(g705 +g1141 +tp22792 +a(g412 +g1407 +tp22793 +a(g826 +g962 +tp22794 +a(g744 +Vstring +p22795 +tp22796 +a(g412 +g966 +tp22797 +a(g826 +V\u000a +p22798 +tp22799 +a(g744 +Vvar +p22800 +tp22801 +a(g826 +V\u000a +p22802 +tp22803 +a(g435 +g4708 +tp22804 +a(g412 +g984 +tp22805 +a(g826 +g962 +tp22806 +a(g435 +Vlen +p22807 +tp22808 +a(g412 +g1407 +tp22809 +a(g826 +g962 +tp22810 +a(g748 +VInteger +p22811 +tp22812 +a(g412 +g966 +tp22813 +a(g826 +V\u000a +p22814 +tp22815 +a(g744 +Vbegin +p22816 +tp22817 +a(g826 +V\u000a +p22818 +tp22819 +a(g693 +VResult +p22820 +tp22821 +a(g826 +g962 +tp22822 +a(g412 +g1407 +tp22823 +a(g412 +g1007 +tp22824 +a(g826 +g962 +tp22825 +a(g89 +g1101 +tp22826 +a(g89 +Vconst +p22827 +tp22828 +a(g89 +g1101 +tp22829 +a(g826 +g962 +tp22830 +a(g412 +g1223 +tp22831 +a(g826 +g962 +tp22832 +a(g435 +VEOL +p22833 +tp22834 +a(g826 +g962 +tp22835 +a(g412 +g1223 +tp22836 +a(g826 +V\u000a +p22837 +tp22838 +a(g89 +g1101 +tp22839 +a(g89 +V +p22840 +tp22841 +a(g89 +g1101 +tp22842 +a(g826 +g962 +tp22843 +a(g412 +g1223 +tp22844 +a(g826 +g962 +tp22845 +a(g435 +VName +p22846 +tp22847 +a(g826 +g962 +tp22848 +a(g412 +g1223 +tp22849 +a(g826 +g962 +tp22850 +a(g89 +g1101 +tp22851 +a(g89 +V: array[0.. +p22852 +tp22853 +a(g89 +g1101 +tp22854 +a(g826 +g962 +tp22855 +a(g412 +g1223 +tp22856 +a(g826 +g962 +tp22857 +a(g681 +VIntToStr +p22858 +tp22859 +a(g705 +g1137 +tp22860 +a(g681 +VHigh +p22861 +tp22862 +a(g705 +g1137 +tp22863 +a(g435 +g1182 +tp22864 +a(g705 +V)) +p22865 +tp22866 +a(g826 +g962 +tp22867 +a(g412 +g1223 +tp22868 +a(g826 +g962 +tp22869 +a(g89 +g1101 +tp22870 +a(g89 +V] of Byte = ( +p22871 +tp22872 +a(g89 +g1101 +tp22873 +a(g412 +g966 +tp22874 +a(g826 +V\u000a\u000a +p22875 +tp22876 +a(g435 +Vlen +p22877 +tp22878 +a(g826 +g962 +tp22879 +a(g412 +g1407 +tp22880 +a(g412 +g1007 +tp22881 +a(g826 +g962 +tp22882 +a(g681 +VLength +p22883 +tp22884 +a(g705 +g1137 +tp22885 +a(g435 +g1182 +tp22886 +a(g705 +g1141 +tp22887 +a(g412 +g966 +tp22888 +a(g826 +V\u000a +p22889 +tp22890 +a(g744 +Vfor +p22891 +tp22892 +a(g826 +g962 +tp22893 +a(g435 +g4708 +tp22894 +a(g826 +g962 +tp22895 +a(g412 +g1407 +tp22896 +a(g412 +g1007 +tp22897 +a(g826 +g962 +tp22898 +a(g37 +g1202 +tp22899 +a(g826 +g962 +tp22900 +a(g744 +Vto +p22901 +tp22902 +a(g826 +g962 +tp22903 +a(g435 +Vlen +p22904 +tp22905 +a(g412 +g1109 +tp22906 +a(g37 +g1337 +tp22907 +a(g826 +g962 +tp22908 +a(g744 +Vdo +p22909 +tp22910 +a(g826 +g962 +tp22911 +a(g744 +Vbegin +p22912 +tp22913 +a(g826 +V\u000a +p22914 +tp22915 +a(g744 +Vif +p22916 +tp22917 +a(g826 +g962 +tp22918 +a(g705 +g1137 +tp22919 +a(g435 +g4708 +tp22920 +a(g826 +g962 +tp22921 +a(g744 +Vmod +p22922 +tp22923 +a(g826 +g962 +tp22924 +a(g37 +V19 +p22925 +tp22926 +a(g705 +g1141 +tp22927 +a(g826 +g962 +tp22928 +a(g412 +g1007 +tp22929 +a(g826 +g962 +tp22930 +a(g37 +g1202 +tp22931 +a(g826 +g962 +tp22932 +a(g744 +Vthen +p22933 +tp22934 +a(g826 +V\u000a +p22935 +tp22936 +a(g693 +VResult +p22937 +tp22938 +a(g826 +g962 +tp22939 +a(g412 +g1407 +tp22940 +a(g412 +g1007 +tp22941 +a(g826 +g962 +tp22942 +a(g693 +VResult +p22943 +tp22944 +a(g826 +g962 +tp22945 +a(g412 +g1223 +tp22946 +a(g826 +g962 +tp22947 +a(g435 +VEOL +p22948 +tp22949 +a(g826 +g962 +tp22950 +a(g412 +g1223 +tp22951 +a(g826 +g962 +tp22952 +a(g89 +g1101 +tp22953 +a(g89 +V +p22954 +tp22955 +a(g89 +g1101 +tp22956 +a(g826 +g962 +tp22957 +a(g412 +g1223 +tp22958 +a(g826 +g962 +tp22959 +a(g89 +g1101 +tp22960 +a(g89 +V +p22961 +tp22962 +a(g89 +g1101 +tp22963 +a(g412 +g966 +tp22964 +a(g826 +V\u000a +p22965 +tp22966 +a(g693 +VResult +p22967 +tp22968 +a(g826 +g962 +tp22969 +a(g412 +g1407 +tp22970 +a(g412 +g1007 +tp22971 +a(g826 +g962 +tp22972 +a(g693 +VResult +p22973 +tp22974 +a(g826 +g962 +tp22975 +a(g412 +g1223 +tp22976 +a(g826 +g962 +tp22977 +a(g89 +g1101 +tp22978 +a(g89 +V$ +p22979 +tp22980 +a(g89 +g1101 +tp22981 +a(g826 +g962 +tp22982 +a(g412 +g1223 +tp22983 +a(g826 +g962 +tp22984 +a(g435 +VHexOfByte +p22985 +tp22986 +a(g705 +g1137 +tp22987 +a(g435 +g1182 +tp22988 +a(g705 +g1066 +tp22989 +a(g435 +g4708 +tp22990 +a(g705 +V]) +p22991 +tp22992 +a(g412 +g966 +tp22993 +a(g826 +V\u000a +p22994 +tp22995 +a(g744 +Vif +p22996 +tp22997 +a(g826 +g962 +tp22998 +a(g435 +g4708 +tp22999 +a(g826 +g962 +tp23000 +a(g412 +g1413 +tp23001 +a(g826 +g962 +tp23002 +a(g435 +Vlen +p23003 +tp23004 +a(g412 +g1109 +tp23005 +a(g37 +g1337 +tp23006 +a(g826 +g962 +tp23007 +a(g744 +Vthen +p23008 +tp23009 +a(g826 +V\u000a +p23010 +tp23011 +a(g693 +VResult +p23012 +tp23013 +a(g826 +g962 +tp23014 +a(g412 +g1407 +tp23015 +a(g412 +g1007 +tp23016 +a(g826 +g962 +tp23017 +a(g693 +VResult +p23018 +tp23019 +a(g826 +g962 +tp23020 +a(g412 +g1223 +tp23021 +a(g826 +g962 +tp23022 +a(g89 +g1101 +tp23023 +a(g89 +g984 +tp23024 +a(g89 +g1101 +tp23025 +a(g412 +g966 +tp23026 +a(g826 +V\u000a +p23027 +tp23028 +a(g744 +Vend +p23029 +tp23030 +a(g412 +g966 +tp23031 +a(g826 +V\u000a +p23032 +tp23033 +a(g693 +VResult +p23034 +tp23035 +a(g826 +g962 +tp23036 +a(g412 +g1407 +tp23037 +a(g412 +g1007 +tp23038 +a(g826 +g962 +tp23039 +a(g693 +VResult +p23040 +tp23041 +a(g826 +g962 +tp23042 +a(g412 +g1223 +tp23043 +a(g826 +g962 +tp23044 +a(g435 +VEOL +p23045 +tp23046 +a(g826 +g962 +tp23047 +a(g412 +g1223 +tp23048 +a(g826 +g962 +tp23049 +a(g89 +g1101 +tp23050 +a(g89 +V ); +p23051 +tp23052 +a(g89 +g1101 +tp23053 +a(g412 +g966 +tp23054 +a(g826 +V\u000a +p23055 +tp23056 +a(g744 +Vend +p23057 +tp23058 +a(g412 +g966 +tp23059 +a(g826 +V\u000a\u000a +p23060 +tp23061 +a(g744 +Vfunction +p23062 +tp23063 +a(g826 +g962 +tp23064 +a(g573 +VHexOfByteA +p23065 +tp23066 +a(g705 +g1137 +tp23067 +a(g435 +g1182 +tp23068 +a(g412 +g1407 +tp23069 +a(g826 +g962 +tp23070 +a(g435 +VTByteA +p23071 +tp23072 +a(g412 +g966 +tp23073 +a(g826 +g962 +tp23074 +a(g435 +VBlocks +p23075 +tp23076 +a(g412 +g1407 +tp23077 +a(g826 +g962 +tp23078 +a(g748 +VInteger +p23079 +tp23080 +a(g826 +g962 +tp23081 +a(g412 +g1007 +tp23082 +a(g826 +g962 +tp23083 +a(g37 +g1337 +tp23084 +a(g412 +g966 +tp23085 +a(g826 +V\u000a +p23086 +tp23087 +a(g744 +Vconst +p23088 +tp23089 +a(g826 +g962 +tp23090 +a(g435 +VSplitter +p23091 +tp23092 +a(g412 +g1407 +tp23093 +a(g826 +g962 +tp23094 +a(g744 +Vstring +p23095 +tp23096 +a(g826 +g962 +tp23097 +a(g412 +g1007 +tp23098 +a(g826 +g962 +tp23099 +a(g89 +g1101 +tp23100 +a(g89 +g962 +tp23101 +a(g89 +g1101 +tp23102 +a(g705 +g1141 +tp23103 +a(g412 +g1407 +tp23104 +a(g826 +g962 +tp23105 +a(g744 +Vstring +p23106 +tp23107 +a(g412 +g966 +tp23108 +a(g826 +V\u000a +p23109 +tp23110 +a(g744 +Vvar +p23111 +tp23112 +a(g826 +V\u000a +p23113 +tp23114 +a(g435 +g4708 +tp23115 +a(g412 +g1407 +tp23116 +a(g826 +g962 +tp23117 +a(g748 +VInteger +p23118 +tp23119 +a(g412 +g966 +tp23120 +a(g826 +V\u000a +p23121 +tp23122 +a(g744 +Vbegin +p23123 +tp23124 +a(g826 +V\u000a +p23125 +tp23126 +a(g693 +VResult +p23127 +tp23128 +a(g826 +g962 +tp23129 +a(g412 +g1407 +tp23130 +a(g412 +g1007 +tp23131 +a(g826 +g962 +tp23132 +a(g89 +g1101 +tp23133 +a(g89 +g1101 +tp23134 +a(g412 +g966 +tp23135 +a(g826 +V\u000a\u000a +p23136 +tp23137 +a(g744 +Vif +p23138 +tp23139 +a(g826 +g962 +tp23140 +a(g435 +VBlocks +p23141 +tp23142 +a(g826 +g962 +tp23143 +a(g412 +g1419 +tp23144 +a(g826 +g962 +tp23145 +a(g37 +g1202 +tp23146 +a(g826 +g962 +tp23147 +a(g744 +Vthen +p23148 +tp23149 +a(g826 +V\u000a +p23150 +tp23151 +a(g744 +Vfor +p23152 +tp23153 +a(g826 +g962 +tp23154 +a(g435 +g4708 +tp23155 +a(g826 +g962 +tp23156 +a(g412 +g1407 +tp23157 +a(g412 +g1007 +tp23158 +a(g826 +g962 +tp23159 +a(g37 +g1202 +tp23160 +a(g826 +g962 +tp23161 +a(g744 +Vto +p23162 +tp23163 +a(g826 +g962 +tp23164 +a(g681 +VHigh +p23165 +tp23166 +a(g705 +g1137 +tp23167 +a(g435 +g1182 +tp23168 +a(g705 +g1141 +tp23169 +a(g826 +g962 +tp23170 +a(g744 +Vdo +p23171 +tp23172 +a(g826 +g962 +tp23173 +a(g744 +Vbegin +p23174 +tp23175 +a(g826 +V\u000a +p23176 +tp23177 +a(g693 +VResult +p23178 +tp23179 +a(g826 +g962 +tp23180 +a(g412 +g1407 +tp23181 +a(g412 +g1007 +tp23182 +a(g826 +g962 +tp23183 +a(g693 +VResult +p23184 +tp23185 +a(g826 +g962 +tp23186 +a(g412 +g1223 +tp23187 +a(g826 +g962 +tp23188 +a(g435 +VHexOfByte +p23189 +tp23190 +a(g705 +g1137 +tp23191 +a(g435 +g1182 +tp23192 +a(g705 +g1066 +tp23193 +a(g435 +g4708 +tp23194 +a(g705 +V]) +p23195 +tp23196 +a(g412 +g966 +tp23197 +a(g826 +V\u000a +p23198 +tp23199 +a(g744 +Vif +p23200 +tp23201 +a(g826 +g962 +tp23202 +a(g435 +g4708 +tp23203 +a(g826 +g962 +tp23204 +a(g412 +g1413 +tp23205 +a(g826 +g962 +tp23206 +a(g681 +VHigh +p23207 +tp23208 +a(g705 +g1137 +tp23209 +a(g435 +g1182 +tp23210 +a(g705 +g1141 +tp23211 +a(g826 +g962 +tp23212 +a(g744 +Vthen +p23213 +tp23214 +a(g826 +V\u000a +p23215 +tp23216 +a(g744 +Vif +p23217 +tp23218 +a(g826 +g962 +tp23219 +a(g705 +V(( +p23220 +tp23221 +a(g435 +g4708 +tp23222 +a(g412 +g1223 +tp23223 +a(g37 +g1337 +tp23224 +a(g705 +g1141 +tp23225 +a(g826 +g962 +tp23226 +a(g744 +Vmod +p23227 +tp23228 +a(g826 +g962 +tp23229 +a(g435 +VBlocks +p23230 +tp23231 +a(g705 +g1141 +tp23232 +a(g826 +g962 +tp23233 +a(g412 +g1007 +tp23234 +a(g826 +g962 +tp23235 +a(g37 +g1202 +tp23236 +a(g826 +g962 +tp23237 +a(g744 +Vthen +p23238 +tp23239 +a(g826 +V\u000a +p23240 +tp23241 +a(g693 +VResult +p23242 +tp23243 +a(g826 +g962 +tp23244 +a(g412 +g1407 +tp23245 +a(g412 +g1007 +tp23246 +a(g826 +g962 +tp23247 +a(g693 +VResult +p23248 +tp23249 +a(g826 +g962 +tp23250 +a(g412 +g1223 +tp23251 +a(g826 +g962 +tp23252 +a(g435 +VSplitter +p23253 +tp23254 +a(g412 +g966 +tp23255 +a(g826 +V\u000a +p23256 +tp23257 +a(g744 +Vend +p23258 +tp23259 +a(g826 +V\u000a +p23260 +tp23261 +a(g744 +Velse +p23262 +tp23263 +a(g826 +V\u000a +p23264 +tp23265 +a(g744 +Vfor +p23266 +tp23267 +a(g826 +g962 +tp23268 +a(g435 +g4708 +tp23269 +a(g826 +g962 +tp23270 +a(g412 +g1407 +tp23271 +a(g412 +g1007 +tp23272 +a(g826 +g962 +tp23273 +a(g37 +g1202 +tp23274 +a(g826 +g962 +tp23275 +a(g744 +Vto +p23276 +tp23277 +a(g826 +g962 +tp23278 +a(g681 +VHigh +p23279 +tp23280 +a(g705 +g1137 +tp23281 +a(g435 +g1182 +tp23282 +a(g705 +g1141 +tp23283 +a(g826 +g962 +tp23284 +a(g744 +Vdo +p23285 +tp23286 +a(g826 +V\u000a +p23287 +tp23288 +a(g693 +VResult +p23289 +tp23290 +a(g826 +g962 +tp23291 +a(g412 +g1407 +tp23292 +a(g412 +g1007 +tp23293 +a(g826 +g962 +tp23294 +a(g693 +VResult +p23295 +tp23296 +a(g826 +g962 +tp23297 +a(g412 +g1223 +tp23298 +a(g826 +g962 +tp23299 +a(g435 +VHexOfByte +p23300 +tp23301 +a(g705 +g1137 +tp23302 +a(g435 +g1182 +tp23303 +a(g705 +g1066 +tp23304 +a(g435 +g4708 +tp23305 +a(g705 +V]) +p23306 +tp23307 +a(g412 +g966 +tp23308 +a(g826 +V\u000a +p23309 +tp23310 +a(g744 +Vend +p23311 +tp23312 +a(g412 +g966 +tp23313 +a(g826 +V\u000a\u000a +p23314 +tp23315 +a(g744 +Vfunction +p23316 +tp23317 +a(g826 +g962 +tp23318 +a(g573 +VBinOfByteA +p23319 +tp23320 +a(g705 +g1137 +tp23321 +a(g435 +g1182 +tp23322 +a(g412 +g1407 +tp23323 +a(g826 +g962 +tp23324 +a(g435 +VTByteA +p23325 +tp23326 +a(g412 +g966 +tp23327 +a(g826 +g962 +tp23328 +a(g435 +VBlocks +p23329 +tp23330 +a(g412 +g1407 +tp23331 +a(g826 +g962 +tp23332 +a(g748 +VInteger +p23333 +tp23334 +a(g826 +g962 +tp23335 +a(g412 +g1007 +tp23336 +a(g826 +g962 +tp23337 +a(g37 +g1473 +tp23338 +a(g412 +g966 +tp23339 +a(g826 +V\u000a +p23340 +tp23341 +a(g744 +Vconst +p23342 +tp23343 +a(g826 +g962 +tp23344 +a(g435 +VSplitter +p23345 +tp23346 +a(g412 +g1407 +tp23347 +a(g826 +g962 +tp23348 +a(g744 +Vstring +p23349 +tp23350 +a(g826 +g962 +tp23351 +a(g412 +g1007 +tp23352 +a(g826 +g962 +tp23353 +a(g89 +g1101 +tp23354 +a(g89 +g962 +tp23355 +a(g89 +g1101 +tp23356 +a(g705 +g1141 +tp23357 +a(g412 +g1407 +tp23358 +a(g826 +g962 +tp23359 +a(g744 +Vstring +p23360 +tp23361 +a(g412 +g966 +tp23362 +a(g826 +V\u000a +p23363 +tp23364 +a(g744 +Vvar +p23365 +tp23366 +a(g826 +V\u000a +p23367 +tp23368 +a(g435 +g4708 +tp23369 +a(g412 +g984 +tp23370 +a(g826 +g962 +tp23371 +a(g681 +Vmax +p23372 +tp23373 +a(g412 +g1407 +tp23374 +a(g826 +g962 +tp23375 +a(g748 +VInteger +p23376 +tp23377 +a(g412 +g966 +tp23378 +a(g826 +V\u000a +p23379 +tp23380 +a(g435 +VBit +p23381 +tp23382 +a(g412 +g1407 +tp23383 +a(g826 +g962 +tp23384 +a(g748 +VBoolean +p23385 +tp23386 +a(g412 +g966 +tp23387 +a(g826 +V\u000a +p23388 +tp23389 +a(g744 +Vbegin +p23390 +tp23391 +a(g826 +V\u000a +p23392 +tp23393 +a(g693 +VResult +p23394 +tp23395 +a(g826 +g962 +tp23396 +a(g412 +g1407 +tp23397 +a(g412 +g1007 +tp23398 +a(g826 +g962 +tp23399 +a(g89 +g1101 +tp23400 +a(g89 +g1101 +tp23401 +a(g412 +g966 +tp23402 +a(g826 +V\u000a\u000a +p23403 +tp23404 +a(g744 +Vif +p23405 +tp23406 +a(g826 +g962 +tp23407 +a(g435 +VBlocks +p23408 +tp23409 +a(g826 +g962 +tp23410 +a(g412 +g1419 +tp23411 +a(g826 +g962 +tp23412 +a(g37 +g1202 +tp23413 +a(g826 +g962 +tp23414 +a(g744 +Vthen +p23415 +tp23416 +a(g826 +g962 +tp23417 +a(g744 +Vbegin +p23418 +tp23419 +a(g826 +V\u000a +p23420 +tp23421 +a(g681 +Vmax +p23422 +tp23423 +a(g826 +g962 +tp23424 +a(g412 +g1407 +tp23425 +a(g412 +g1007 +tp23426 +a(g826 +g962 +tp23427 +a(g37 +g1492 +tp23428 +a(g826 +g962 +tp23429 +a(g412 +g1371 +tp23430 +a(g826 +g962 +tp23431 +a(g705 +g1137 +tp23432 +a(g681 +VHigh +p23433 +tp23434 +a(g705 +g1137 +tp23435 +a(g435 +g1182 +tp23436 +a(g705 +V)) +p23437 +tp23438 +a(g826 +g962 +tp23439 +a(g412 +g1223 +tp23440 +a(g826 +g962 +tp23441 +a(g37 +g1318 +tp23442 +a(g412 +g966 +tp23443 +a(g826 +V\u000a +p23444 +tp23445 +a(g744 +Vfor +p23446 +tp23447 +a(g826 +g962 +tp23448 +a(g435 +g4708 +tp23449 +a(g826 +g962 +tp23450 +a(g412 +g1407 +tp23451 +a(g412 +g1007 +tp23452 +a(g826 +g962 +tp23453 +a(g37 +g1202 +tp23454 +a(g826 +g962 +tp23455 +a(g744 +Vto +p23456 +tp23457 +a(g826 +g962 +tp23458 +a(g681 +Vmax +p23459 +tp23460 +a(g826 +g962 +tp23461 +a(g744 +Vdo +p23462 +tp23463 +a(g826 +g962 +tp23464 +a(g744 +Vbegin +p23465 +tp23466 +a(g826 +V\u000a +p23467 +tp23468 +a(g435 +VBit +p23469 +tp23470 +a(g826 +g962 +tp23471 +a(g412 +g1407 +tp23472 +a(g412 +g1007 +tp23473 +a(g826 +g962 +tp23474 +a(g37 +g1318 +tp23475 +a(g412 +g1109 +tp23476 +a(g705 +g1137 +tp23477 +a(g435 +g4708 +tp23478 +a(g826 +g962 +tp23479 +a(g744 +Vmod +p23480 +tp23481 +a(g826 +g962 +tp23482 +a(g37 +g1492 +tp23483 +a(g705 +g1141 +tp23484 +a(g826 +g962 +tp23485 +a(g744 +Vin +p23486 +tp23487 +a(g826 +g962 +tp23488 +a(g435 +VTBitSet +p23489 +tp23490 +a(g705 +g1137 +tp23491 +a(g435 +g1182 +tp23492 +a(g705 +g1066 +tp23493 +a(g435 +g4708 +tp23494 +a(g826 +g962 +tp23495 +a(g744 +Vdiv +p23496 +tp23497 +a(g826 +g962 +tp23498 +a(g37 +g1492 +tp23499 +a(g705 +V]) +p23500 +tp23501 +a(g412 +g966 +tp23502 +a(g826 +V\u000a +p23503 +tp23504 +a(g693 +VResult +p23505 +tp23506 +a(g826 +g962 +tp23507 +a(g412 +g1407 +tp23508 +a(g412 +g1007 +tp23509 +a(g826 +g962 +tp23510 +a(g693 +VResult +p23511 +tp23512 +a(g826 +g962 +tp23513 +a(g412 +g1223 +tp23514 +a(g826 +g962 +tp23515 +a(g748 +VChar +p23516 +tp23517 +a(g705 +g1137 +tp23518 +a(g748 +VByte +p23519 +tp23520 +a(g705 +g1137 +tp23521 +a(g89 +g1101 +tp23522 +a(g89 +g1202 +tp23523 +a(g89 +g1101 +tp23524 +a(g705 +g1141 +tp23525 +a(g826 +g962 +tp23526 +a(g412 +g1223 +tp23527 +a(g826 +g962 +tp23528 +a(g748 +VByte +p23529 +tp23530 +a(g705 +g1137 +tp23531 +a(g435 +VBit +p23532 +tp23533 +a(g705 +V)) +p23534 +tp23535 +a(g412 +g966 +tp23536 +a(g826 +V\u000a +p23537 +tp23538 +a(g744 +Vif +p23539 +tp23540 +a(g826 +g962 +tp23541 +a(g435 +g4708 +tp23542 +a(g826 +g962 +tp23543 +a(g412 +g1413 +tp23544 +a(g826 +g962 +tp23545 +a(g681 +Vmax +p23546 +tp23547 +a(g826 +g962 +tp23548 +a(g744 +Vthen +p23549 +tp23550 +a(g826 +V\u000a +p23551 +tp23552 +a(g744 +Vif +p23553 +tp23554 +a(g826 +g962 +tp23555 +a(g705 +V(( +p23556 +tp23557 +a(g435 +g4708 +tp23558 +a(g412 +g1223 +tp23559 +a(g37 +g1337 +tp23560 +a(g705 +g1141 +tp23561 +a(g826 +g962 +tp23562 +a(g744 +Vmod +p23563 +tp23564 +a(g826 +g962 +tp23565 +a(g435 +VBlocks +p23566 +tp23567 +a(g705 +g1141 +tp23568 +a(g826 +g962 +tp23569 +a(g412 +g1007 +tp23570 +a(g826 +g962 +tp23571 +a(g37 +g1202 +tp23572 +a(g826 +g962 +tp23573 +a(g744 +Vthen +p23574 +tp23575 +a(g826 +V\u000a +p23576 +tp23577 +a(g693 +VResult +p23578 +tp23579 +a(g826 +g962 +tp23580 +a(g412 +g1407 +tp23581 +a(g412 +g1007 +tp23582 +a(g826 +g962 +tp23583 +a(g693 +VResult +p23584 +tp23585 +a(g826 +g962 +tp23586 +a(g412 +g1223 +tp23587 +a(g826 +g962 +tp23588 +a(g435 +VSplitter +p23589 +tp23590 +a(g412 +g966 +tp23591 +a(g826 +V\u000a +p23592 +tp23593 +a(g744 +Vend +p23594 +tp23595 +a(g412 +g966 +tp23596 +a(g826 +V\u000a +p23597 +tp23598 +a(g744 +Vend +p23599 +tp23600 +a(g826 +g962 +tp23601 +a(g744 +Velse +p23602 +tp23603 +a(g826 +V\u000a +p23604 +tp23605 +a(g744 +Vfor +p23606 +tp23607 +a(g826 +g962 +tp23608 +a(g435 +g4708 +tp23609 +a(g826 +g962 +tp23610 +a(g412 +g1407 +tp23611 +a(g412 +g1007 +tp23612 +a(g826 +g962 +tp23613 +a(g37 +g1202 +tp23614 +a(g826 +g962 +tp23615 +a(g744 +Vto +p23616 +tp23617 +a(g826 +g962 +tp23618 +a(g681 +VHigh +p23619 +tp23620 +a(g705 +g1137 +tp23621 +a(g435 +g1182 +tp23622 +a(g705 +g1141 +tp23623 +a(g826 +g962 +tp23624 +a(g744 +Vdo +p23625 +tp23626 +a(g826 +V\u000a +p23627 +tp23628 +a(g693 +VResult +p23629 +tp23630 +a(g826 +g962 +tp23631 +a(g412 +g1407 +tp23632 +a(g412 +g1007 +tp23633 +a(g826 +g962 +tp23634 +a(g693 +VResult +p23635 +tp23636 +a(g826 +g962 +tp23637 +a(g412 +g1223 +tp23638 +a(g826 +g962 +tp23639 +a(g748 +VChar +p23640 +tp23641 +a(g705 +g1137 +tp23642 +a(g748 +VByte +p23643 +tp23644 +a(g705 +g1137 +tp23645 +a(g89 +g1101 +tp23646 +a(g89 +g1202 +tp23647 +a(g89 +g1101 +tp23648 +a(g705 +g1141 +tp23649 +a(g826 +g962 +tp23650 +a(g412 +g1223 +tp23651 +a(g826 +g962 +tp23652 +a(g435 +g1182 +tp23653 +a(g705 +g1066 +tp23654 +a(g435 +g4708 +tp23655 +a(g705 +g1074 +tp23656 +a(g826 +g962 +tp23657 +a(g744 +Vshr +p23658 +tp23659 +a(g826 +g962 +tp23660 +a(g705 +g1137 +tp23661 +a(g435 +g4708 +tp23662 +a(g826 +g962 +tp23663 +a(g744 +Vand +p23664 +tp23665 +a(g826 +g962 +tp23666 +a(g37 +g1492 +tp23667 +a(g705 +V)) +p23668 +tp23669 +a(g412 +g966 +tp23670 +a(g826 +V\u000a +p23671 +tp23672 +a(g744 +Vend +p23673 +tp23674 +a(g412 +g966 +tp23675 +a(g826 +V\u000a\u000a +p23676 +tp23677 +a(g744 +Vfunction +p23678 +tp23679 +a(g826 +g962 +tp23680 +a(g573 +VCardOfHex +p23681 +tp23682 +a(g705 +g1137 +tp23683 +a(g435 +VHex +p23684 +tp23685 +a(g412 +g1407 +tp23686 +a(g826 +g962 +tp23687 +a(g744 +Vstring +p23688 +tp23689 +a(g705 +g1141 +tp23690 +a(g412 +g1407 +tp23691 +a(g826 +g962 +tp23692 +a(g748 +VCardinal +p23693 +tp23694 +a(g412 +g966 +tp23695 +a(g826 +V\u000a +p23696 +tp23697 +a(g744 +Vvar +p23698 +tp23699 +a(g826 +V\u000a +p23700 +tp23701 +a(g435 +g4708 +tp23702 +a(g412 +g1407 +tp23703 +a(g826 +g962 +tp23704 +a(g748 +VInteger +p23705 +tp23706 +a(g412 +g966 +tp23707 +a(g826 +V\u000a +p23708 +tp23709 +a(g744 +Vbegin +p23710 +tp23711 +a(g826 +V\u000a +p23712 +tp23713 +a(g693 +VResult +p23714 +tp23715 +a(g826 +g962 +tp23716 +a(g412 +g1407 +tp23717 +a(g412 +g1007 +tp23718 +a(g826 +g962 +tp23719 +a(g37 +g1202 +tp23720 +a(g412 +g966 +tp23721 +a(g826 +V\u000a +p23722 +tp23723 +a(g435 +VHex +p23724 +tp23725 +a(g826 +g962 +tp23726 +a(g412 +g1407 +tp23727 +a(g412 +g1007 +tp23728 +a(g826 +g962 +tp23729 +a(g681 +VCopy +p23730 +tp23731 +a(g705 +g1137 +tp23732 +a(g435 +VExtractChars +p23733 +tp23734 +a(g705 +g1137 +tp23735 +a(g435 +VHex +p23736 +tp23737 +a(g412 +g984 +tp23738 +a(g826 +g962 +tp23739 +a(g435 +VHexadecimalChars +p23740 +tp23741 +a(g705 +g1141 +tp23742 +a(g412 +g984 +tp23743 +a(g826 +g962 +tp23744 +a(g37 +g1337 +tp23745 +a(g412 +g984 +tp23746 +a(g826 +g962 +tp23747 +a(g37 +g1492 +tp23748 +a(g705 +g1141 +tp23749 +a(g412 +g966 +tp23750 +a(g826 +V\u000a\u000a +p23751 +tp23752 +a(g744 +Vfor +p23753 +tp23754 +a(g826 +g962 +tp23755 +a(g435 +g4708 +tp23756 +a(g826 +g962 +tp23757 +a(g412 +g1407 +tp23758 +a(g412 +g1007 +tp23759 +a(g826 +g962 +tp23760 +a(g37 +g1337 +tp23761 +a(g826 +g962 +tp23762 +a(g744 +Vto +p23763 +tp23764 +a(g826 +g962 +tp23765 +a(g681 +VLength +p23766 +tp23767 +a(g705 +g1137 +tp23768 +a(g435 +VHex +p23769 +tp23770 +a(g705 +g1141 +tp23771 +a(g826 +g962 +tp23772 +a(g744 +Vdo +p23773 +tp23774 +a(g826 +V\u000a +p23775 +tp23776 +a(g744 +Vif +p23777 +tp23778 +a(g826 +g962 +tp23779 +a(g435 +VHex +p23780 +tp23781 +a(g705 +g1066 +tp23782 +a(g435 +g4708 +tp23783 +a(g705 +g1074 +tp23784 +a(g826 +g962 +tp23785 +a(g412 +g1413 +tp23786 +a(g412 +g1419 +tp23787 +a(g826 +g962 +tp23788 +a(g89 +g1101 +tp23789 +a(g89 +g1202 +tp23790 +a(g89 +g1101 +tp23791 +a(g826 +g962 +tp23792 +a(g744 +Vthen +p23793 +tp23794 +a(g826 +V\u000a +p23795 +tp23796 +a(g681 +VInc +p23797 +tp23798 +a(g705 +g1137 +tp23799 +a(g693 +VResult +p23800 +tp23801 +a(g412 +g984 +tp23802 +a(g826 +g962 +tp23803 +a(g435 +VHexOrd +p23804 +tp23805 +a(g705 +g1137 +tp23806 +a(g435 +VHex +p23807 +tp23808 +a(g705 +g1066 +tp23809 +a(g435 +g4708 +tp23810 +a(g705 +V]) +p23811 +tp23812 +a(g826 +g962 +tp23813 +a(g744 +Vshl +p23814 +tp23815 +a(g826 +g962 +tp23816 +a(g705 +V(( +p23817 +tp23818 +a(g681 +VLength +p23819 +tp23820 +a(g705 +g1137 +tp23821 +a(g435 +VHex +p23822 +tp23823 +a(g705 +g1141 +tp23824 +a(g826 +g962 +tp23825 +a(g412 +g1109 +tp23826 +a(g826 +g962 +tp23827 +a(g435 +g4708 +tp23828 +a(g705 +g1141 +tp23829 +a(g826 +g962 +tp23830 +a(g744 +Vshl +p23831 +tp23832 +a(g826 +g962 +tp23833 +a(g37 +g1463 +tp23834 +a(g705 +V)) +p23835 +tp23836 +a(g412 +g966 +tp23837 +a(g826 +V\u000a +p23838 +tp23839 +a(g744 +Vend +p23840 +tp23841 +a(g412 +g966 +tp23842 +a(g826 +V\u000a\u000a +p23843 +tp23844 +a(g744 +Vfunction +p23845 +tp23846 +a(g826 +g962 +tp23847 +a(g573 +VIntOfBin +p23848 +tp23849 +a(g705 +g1137 +tp23850 +a(g435 +VBin +p23851 +tp23852 +a(g412 +g1407 +tp23853 +a(g826 +g962 +tp23854 +a(g744 +Vstring +p23855 +tp23856 +a(g705 +g1141 +tp23857 +a(g412 +g1407 +tp23858 +a(g826 +g962 +tp23859 +a(g748 +VCardinal +p23860 +tp23861 +a(g412 +g966 +tp23862 +a(g826 +V\u000a +p23863 +tp23864 +a(g744 +Vvar +p23865 +tp23866 +a(g826 +V\u000a +p23867 +tp23868 +a(g435 +g4708 +tp23869 +a(g412 +g1407 +tp23870 +a(g826 +g962 +tp23871 +a(g748 +VInteger +p23872 +tp23873 +a(g412 +g966 +tp23874 +a(g826 +V\u000a +p23875 +tp23876 +a(g744 +Vbegin +p23877 +tp23878 +a(g826 +V\u000a +p23879 +tp23880 +a(g693 +VResult +p23881 +tp23882 +a(g826 +g962 +tp23883 +a(g412 +g1407 +tp23884 +a(g412 +g1007 +tp23885 +a(g826 +g962 +tp23886 +a(g37 +g1202 +tp23887 +a(g412 +g966 +tp23888 +a(g826 +V\u000a +p23889 +tp23890 +a(g435 +VBin +p23891 +tp23892 +a(g826 +g962 +tp23893 +a(g412 +g1407 +tp23894 +a(g412 +g1007 +tp23895 +a(g826 +g962 +tp23896 +a(g681 +VCopy +p23897 +tp23898 +a(g705 +g1137 +tp23899 +a(g435 +VExtractChars +p23900 +tp23901 +a(g705 +g1137 +tp23902 +a(g435 +VBin +p23903 +tp23904 +a(g412 +g984 +tp23905 +a(g826 +g962 +tp23906 +a(g435 +VBinaryChars +p23907 +tp23908 +a(g705 +g1141 +tp23909 +a(g412 +g984 +tp23910 +a(g826 +g962 +tp23911 +a(g37 +g1337 +tp23912 +a(g412 +g984 +tp23913 +a(g826 +g962 +tp23914 +a(g37 +V32 +p23915 +tp23916 +a(g705 +g1141 +tp23917 +a(g412 +g966 +tp23918 +a(g826 +V\u000a\u000a +p23919 +tp23920 +a(g744 +Vfor +p23921 +tp23922 +a(g826 +g962 +tp23923 +a(g435 +g4708 +tp23924 +a(g826 +g962 +tp23925 +a(g412 +g1407 +tp23926 +a(g412 +g1007 +tp23927 +a(g826 +g962 +tp23928 +a(g681 +VLength +p23929 +tp23930 +a(g705 +g1137 +tp23931 +a(g435 +VBin +p23932 +tp23933 +a(g705 +g1141 +tp23934 +a(g826 +g962 +tp23935 +a(g744 +Vdownto +p23936 +tp23937 +a(g826 +g962 +tp23938 +a(g37 +g1337 +tp23939 +a(g826 +g962 +tp23940 +a(g744 +Vdo +p23941 +tp23942 +a(g826 +V\u000a +p23943 +tp23944 +a(g744 +Vif +p23945 +tp23946 +a(g826 +g962 +tp23947 +a(g435 +VBin +p23948 +tp23949 +a(g705 +g1066 +tp23950 +a(g435 +g4708 +tp23951 +a(g705 +g1074 +tp23952 +a(g826 +g962 +tp23953 +a(g412 +g1007 +tp23954 +a(g826 +g962 +tp23955 +a(g89 +g1101 +tp23956 +a(g89 +g1337 +tp23957 +a(g89 +g1101 +tp23958 +a(g826 +g962 +tp23959 +a(g744 +Vthen +p23960 +tp23961 +a(g826 +V\u000a +p23962 +tp23963 +a(g681 +VInc +p23964 +tp23965 +a(g705 +g1137 +tp23966 +a(g693 +VResult +p23967 +tp23968 +a(g412 +g984 +tp23969 +a(g826 +g962 +tp23970 +a(g37 +g1337 +tp23971 +a(g826 +g962 +tp23972 +a(g744 +Vshl +p23973 +tp23974 +a(g826 +g962 +tp23975 +a(g705 +g1137 +tp23976 +a(g681 +VLength +p23977 +tp23978 +a(g705 +g1137 +tp23979 +a(g435 +VBin +p23980 +tp23981 +a(g705 +g1141 +tp23982 +a(g826 +g962 +tp23983 +a(g412 +g1109 +tp23984 +a(g826 +g962 +tp23985 +a(g435 +g4708 +tp23986 +a(g705 +V)) +p23987 +tp23988 +a(g412 +g966 +tp23989 +a(g826 +V\u000a +p23990 +tp23991 +a(g744 +Vend +p23992 +tp23993 +a(g412 +g966 +tp23994 +a(g826 +V\u000a\u000a +p23995 +tp23996 +a(g744 +Vfunction +p23997 +tp23998 +a(g826 +g962 +tp23999 +a(g573 +VBinOfInt +p24000 +tp24001 +a(g705 +g1137 +tp24002 +a(g435 +g5609 +tp24003 +a(g412 +g1407 +tp24004 +a(g826 +g962 +tp24005 +a(g748 +VCardinal +p24006 +tp24007 +a(g705 +g1141 +tp24008 +a(g412 +g1407 +tp24009 +a(g826 +g962 +tp24010 +a(g744 +Vstring +p24011 +tp24012 +a(g412 +g966 +tp24013 +a(g826 +V\u000a +p24014 +tp24015 +a(g744 +Vvar +p24016 +tp24017 +a(g826 +V\u000a +p24018 +tp24019 +a(g435 +g1182 +tp24020 +a(g412 +g1407 +tp24021 +a(g826 +g962 +tp24022 +a(g748 +VInteger +p24023 +tp24024 +a(g412 +g966 +tp24025 +a(g826 +V\u000a +p24026 +tp24027 +a(g744 +Vbegin +p24028 +tp24029 +a(g826 +V\u000a +p24030 +tp24031 +a(g744 +Vif +p24032 +tp24033 +a(g826 +g962 +tp24034 +a(g435 +g5609 +tp24035 +a(g826 +g962 +tp24036 +a(g412 +g1007 +tp24037 +a(g826 +g962 +tp24038 +a(g37 +g1202 +tp24039 +a(g826 +g962 +tp24040 +a(g744 +Vthen +p24041 +tp24042 +a(g826 +g962 +tp24043 +a(g744 +Vbegin +p24044 +tp24045 +a(g826 +V\u000a +p24046 +tp24047 +a(g693 +VResult +p24048 +tp24049 +a(g826 +g962 +tp24050 +a(g412 +g1407 +tp24051 +a(g412 +g1007 +tp24052 +a(g826 +g962 +tp24053 +a(g89 +g1101 +tp24054 +a(g89 +g1202 +tp24055 +a(g89 +g1101 +tp24056 +a(g412 +g966 +tp24057 +a(g826 +V\u000a +p24058 +tp24059 +a(g744 +Vexit +p24060 +tp24061 +a(g412 +g966 +tp24062 +a(g826 +g962 +tp24063 +a(g744 +Vend +p24064 +tp24065 +a(g412 +g966 +tp24066 +a(g826 +V\u000a\u000a +p24067 +tp24068 +a(g693 +VResult +p24069 +tp24070 +a(g826 +g962 +tp24071 +a(g412 +g1407 +tp24072 +a(g412 +g1007 +tp24073 +a(g826 +g962 +tp24074 +a(g89 +g1101 +tp24075 +a(g89 +g1101 +tp24076 +a(g412 +g966 +tp24077 +a(g826 +V\u000a +p24078 +tp24079 +a(g744 +Vwhile +p24080 +tp24081 +a(g826 +g962 +tp24082 +a(g435 +g5609 +tp24083 +a(g826 +g962 +tp24084 +a(g412 +g1419 +tp24085 +a(g826 +g962 +tp24086 +a(g37 +g1202 +tp24087 +a(g826 +g962 +tp24088 +a(g744 +Vdo +p24089 +tp24090 +a(g826 +g962 +tp24091 +a(g744 +Vbegin +p24092 +tp24093 +a(g826 +V\u000a +p24094 +tp24095 +a(g435 +g1182 +tp24096 +a(g826 +g962 +tp24097 +a(g412 +g1407 +tp24098 +a(g412 +g1007 +tp24099 +a(g826 +g962 +tp24100 +a(g435 +g5609 +tp24101 +a(g826 +g962 +tp24102 +a(g744 +Vand +p24103 +tp24104 +a(g826 +g962 +tp24105 +a(g37 +g1337 +tp24106 +a(g412 +g966 +tp24107 +a(g826 +V\u000a +p24108 +tp24109 +a(g693 +VResult +p24110 +tp24111 +a(g826 +g962 +tp24112 +a(g412 +g1407 +tp24113 +a(g412 +g1007 +tp24114 +a(g826 +g962 +tp24115 +a(g748 +VChar +p24116 +tp24117 +a(g705 +g1137 +tp24118 +a(g435 +g1182 +tp24119 +a(g826 +g962 +tp24120 +a(g412 +g1223 +tp24121 +a(g826 +g962 +tp24122 +a(g748 +VByte +p24123 +tp24124 +a(g705 +g1137 +tp24125 +a(g89 +g1101 +tp24126 +a(g89 +g1202 +tp24127 +a(g89 +g1101 +tp24128 +a(g705 +V)) +p24129 +tp24130 +a(g826 +g962 +tp24131 +a(g412 +g1223 +tp24132 +a(g826 +g962 +tp24133 +a(g693 +VResult +p24134 +tp24135 +a(g412 +g966 +tp24136 +a(g826 +V\u000a +p24137 +tp24138 +a(g435 +g5609 +tp24139 +a(g826 +g962 +tp24140 +a(g412 +g1407 +tp24141 +a(g412 +g1007 +tp24142 +a(g826 +g962 +tp24143 +a(g435 +g5609 +tp24144 +a(g826 +g962 +tp24145 +a(g744 +Vshr +p24146 +tp24147 +a(g826 +g962 +tp24148 +a(g37 +g1337 +tp24149 +a(g412 +g966 +tp24150 +a(g826 +V\u000a +p24151 +tp24152 +a(g744 +Vend +p24153 +tp24154 +a(g412 +g966 +tp24155 +a(g826 +V\u000a +p24156 +tp24157 +a(g744 +Vend +p24158 +tp24159 +a(g412 +g966 +tp24160 +a(g826 +V\u000a\u000a +p24161 +tp24162 +a(g744 +Vfunction +p24163 +tp24164 +a(g826 +g962 +tp24165 +a(g573 +VBinOfIntFill +p24166 +tp24167 +a(g705 +g1137 +tp24168 +a(g435 +g5609 +tp24169 +a(g412 +g1407 +tp24170 +a(g826 +g962 +tp24171 +a(g748 +VCardinal +p24172 +tp24173 +a(g412 +g966 +tp24174 +a(g826 +g962 +tp24175 +a(g435 +VMinCount +p24176 +tp24177 +a(g412 +g1407 +tp24178 +a(g826 +g962 +tp24179 +a(g748 +VInteger +p24180 +tp24181 +a(g826 +g962 +tp24182 +a(g412 +g1007 +tp24183 +a(g826 +g962 +tp24184 +a(g37 +g1492 +tp24185 +a(g705 +g1141 +tp24186 +a(g412 +g1407 +tp24187 +a(g826 +g962 +tp24188 +a(g744 +Vstring +p24189 +tp24190 +a(g412 +g966 +tp24191 +a(g826 +V\u000a +p24192 +tp24193 +a(g744 +Vvar +p24194 +tp24195 +a(g826 +V\u000a +p24196 +tp24197 +a(g435 +g1182 +tp24198 +a(g412 +g1407 +tp24199 +a(g826 +g962 +tp24200 +a(g748 +VInteger +p24201 +tp24202 +a(g412 +g966 +tp24203 +a(g826 +V\u000a +p24204 +tp24205 +a(g744 +Vbegin +p24206 +tp24207 +a(g826 +V\u000a +p24208 +tp24209 +a(g744 +Vif +p24210 +tp24211 +a(g826 +g962 +tp24212 +a(g435 +g5609 +tp24213 +a(g826 +g962 +tp24214 +a(g412 +g1007 +tp24215 +a(g826 +g962 +tp24216 +a(g37 +g1202 +tp24217 +a(g826 +g962 +tp24218 +a(g744 +Vthen +p24219 +tp24220 +a(g826 +g962 +tp24221 +a(g744 +Vbegin +p24222 +tp24223 +a(g826 +V\u000a +p24224 +tp24225 +a(g693 +VResult +p24226 +tp24227 +a(g826 +g962 +tp24228 +a(g412 +g1407 +tp24229 +a(g412 +g1007 +tp24230 +a(g826 +g962 +tp24231 +a(g435 +VMulStr +p24232 +tp24233 +a(g705 +g1137 +tp24234 +a(g89 +g1101 +tp24235 +a(g89 +g1202 +tp24236 +a(g89 +g1101 +tp24237 +a(g412 +g984 +tp24238 +a(g826 +g962 +tp24239 +a(g435 +VMinCount +p24240 +tp24241 +a(g705 +g1141 +tp24242 +a(g412 +g966 +tp24243 +a(g826 +V\u000a +p24244 +tp24245 +a(g744 +VExit +p24246 +tp24247 +a(g412 +g966 +tp24248 +a(g826 +g962 +tp24249 +a(g744 +Vend +p24250 +tp24251 +a(g412 +g966 +tp24252 +a(g826 +V\u000a\u000a +p24253 +tp24254 +a(g693 +VResult +p24255 +tp24256 +a(g826 +g962 +tp24257 +a(g412 +g1407 +tp24258 +a(g412 +g1007 +tp24259 +a(g826 +g962 +tp24260 +a(g89 +g1101 +tp24261 +a(g89 +g1101 +tp24262 +a(g412 +g966 +tp24263 +a(g826 +V\u000a +p24264 +tp24265 +a(g744 +Vwhile +p24266 +tp24267 +a(g826 +g962 +tp24268 +a(g435 +g5609 +tp24269 +a(g826 +g962 +tp24270 +a(g412 +g1419 +tp24271 +a(g826 +g962 +tp24272 +a(g37 +g1202 +tp24273 +a(g826 +g962 +tp24274 +a(g744 +Vdo +p24275 +tp24276 +a(g826 +g962 +tp24277 +a(g744 +Vbegin +p24278 +tp24279 +a(g826 +V\u000a +p24280 +tp24281 +a(g435 +g1182 +tp24282 +a(g826 +g962 +tp24283 +a(g412 +g1407 +tp24284 +a(g412 +g1007 +tp24285 +a(g826 +g962 +tp24286 +a(g435 +g5609 +tp24287 +a(g826 +g962 +tp24288 +a(g744 +Vand +p24289 +tp24290 +a(g826 +g962 +tp24291 +a(g37 +g1337 +tp24292 +a(g412 +g966 +tp24293 +a(g826 +V\u000a +p24294 +tp24295 +a(g693 +VResult +p24296 +tp24297 +a(g826 +g962 +tp24298 +a(g412 +g1407 +tp24299 +a(g412 +g1007 +tp24300 +a(g826 +g962 +tp24301 +a(g748 +VChar +p24302 +tp24303 +a(g705 +g1137 +tp24304 +a(g435 +g1182 +tp24305 +a(g826 +g962 +tp24306 +a(g412 +g1223 +tp24307 +a(g826 +g962 +tp24308 +a(g748 +VByte +p24309 +tp24310 +a(g705 +g1137 +tp24311 +a(g89 +g1101 +tp24312 +a(g89 +g1202 +tp24313 +a(g89 +g1101 +tp24314 +a(g705 +V)) +p24315 +tp24316 +a(g826 +g962 +tp24317 +a(g412 +g1223 +tp24318 +a(g826 +g962 +tp24319 +a(g693 +VResult +p24320 +tp24321 +a(g412 +g966 +tp24322 +a(g826 +V\u000a +p24323 +tp24324 +a(g435 +g5609 +tp24325 +a(g826 +g962 +tp24326 +a(g412 +g1407 +tp24327 +a(g412 +g1007 +tp24328 +a(g826 +g962 +tp24329 +a(g435 +g5609 +tp24330 +a(g826 +g962 +tp24331 +a(g744 +Vshr +p24332 +tp24333 +a(g826 +g962 +tp24334 +a(g37 +g1337 +tp24335 +a(g412 +g966 +tp24336 +a(g826 +V\u000a +p24337 +tp24338 +a(g744 +Vend +p24339 +tp24340 +a(g412 +g966 +tp24341 +a(g826 +V\u000a +p24342 +tp24343 +a(g693 +VResult +p24344 +tp24345 +a(g826 +g962 +tp24346 +a(g412 +g1407 +tp24347 +a(g412 +g1007 +tp24348 +a(g826 +g962 +tp24349 +a(g435 +VMulStr +p24350 +tp24351 +a(g705 +g1137 +tp24352 +a(g89 +g1101 +tp24353 +a(g89 +g1202 +tp24354 +a(g89 +g1101 +tp24355 +a(g412 +g984 +tp24356 +a(g826 +g962 +tp24357 +a(g435 +VMinCount +p24358 +tp24359 +a(g826 +g962 +tp24360 +a(g412 +g1109 +tp24361 +a(g826 +g962 +tp24362 +a(g681 +VLength +p24363 +tp24364 +a(g705 +g1137 +tp24365 +a(g693 +VResult +p24366 +tp24367 +a(g705 +V)) +p24368 +tp24369 +a(g826 +g962 +tp24370 +a(g412 +g1223 +tp24371 +a(g826 +g962 +tp24372 +a(g693 +VResult +p24373 +tp24374 +a(g412 +g966 +tp24375 +a(g826 +V\u000a +p24376 +tp24377 +a(g744 +Vend +p24378 +tp24379 +a(g412 +g966 +tp24380 +a(g826 +V\u000a\u000a +p24381 +tp24382 +a(g744 +Vfunction +p24383 +tp24384 +a(g826 +g962 +tp24385 +a(g573 +VBaseNOfInt +p24386 +tp24387 +a(g705 +g1137 +tp24388 +a(g435 +g1723 +tp24389 +a(g412 +g1407 +tp24390 +a(g826 +g962 +tp24391 +a(g748 +VCardinal +p24392 +tp24393 +a(g412 +g966 +tp24394 +a(g826 +g962 +tp24395 +a(g435 +g1505 +tp24396 +a(g412 +g1407 +tp24397 +a(g826 +g962 +tp24398 +a(g435 +VTBaseN +p24399 +tp24400 +a(g705 +g1141 +tp24401 +a(g412 +g1407 +tp24402 +a(g826 +g962 +tp24403 +a(g744 +Vstring +p24404 +tp24405 +a(g412 +g966 +tp24406 +a(g826 +V\u000a +p24407 +tp24408 +a(g744 +Vvar +p24409 +tp24410 +a(g826 +V\u000a +p24411 +tp24412 +a(g435 +g1182 +tp24413 +a(g412 +g1407 +tp24414 +a(g826 +g962 +tp24415 +a(g748 +VInteger +p24416 +tp24417 +a(g412 +g966 +tp24418 +a(g826 +V\u000a +p24419 +tp24420 +a(g744 +Vbegin +p24421 +tp24422 +a(g826 +V\u000a +p24423 +tp24424 +a(g744 +Vif +p24425 +tp24426 +a(g826 +g962 +tp24427 +a(g705 +g1137 +tp24428 +a(g435 +g1505 +tp24429 +a(g826 +g962 +tp24430 +a(g412 +g1413 +tp24431 +a(g826 +g962 +tp24432 +a(g37 +g1463 +tp24433 +a(g705 +g1141 +tp24434 +a(g826 +g962 +tp24435 +a(g744 +Vor +p24436 +tp24437 +a(g826 +g962 +tp24438 +a(g705 +g1137 +tp24439 +a(g435 +g4708 +tp24440 +a(g826 +g962 +tp24441 +a(g412 +g1007 +tp24442 +a(g826 +g962 +tp24443 +a(g37 +g1202 +tp24444 +a(g705 +g1141 +tp24445 +a(g826 +g962 +tp24446 +a(g744 +Vthen +p24447 +tp24448 +a(g826 +g962 +tp24449 +a(g744 +Vbegin +p24450 +tp24451 +a(g826 +V\u000a +p24452 +tp24453 +a(g693 +VResult +p24454 +tp24455 +a(g826 +g962 +tp24456 +a(g412 +g1407 +tp24457 +a(g412 +g1007 +tp24458 +a(g826 +g962 +tp24459 +a(g89 +g1101 +tp24460 +a(g89 +g1202 +tp24461 +a(g89 +g1101 +tp24462 +a(g412 +g966 +tp24463 +a(g826 +V\u000a +p24464 +tp24465 +a(g744 +VExit +p24466 +tp24467 +a(g412 +g966 +tp24468 +a(g826 +g962 +tp24469 +a(g744 +Vend +p24470 +tp24471 +a(g412 +g966 +tp24472 +a(g826 +V\u000a\u000a +p24473 +tp24474 +a(g693 +VResult +p24475 +tp24476 +a(g826 +g962 +tp24477 +a(g412 +g1407 +tp24478 +a(g412 +g1007 +tp24479 +a(g826 +g962 +tp24480 +a(g89 +g1101 +tp24481 +a(g89 +g1101 +tp24482 +a(g412 +g966 +tp24483 +a(g826 +V\u000a +p24484 +tp24485 +a(g744 +Vwhile +p24486 +tp24487 +a(g826 +g962 +tp24488 +a(g435 +g4708 +tp24489 +a(g826 +g962 +tp24490 +a(g412 +g1419 +tp24491 +a(g826 +g962 +tp24492 +a(g37 +g1202 +tp24493 +a(g826 +g962 +tp24494 +a(g744 +Vdo +p24495 +tp24496 +a(g826 +g962 +tp24497 +a(g744 +Vbegin +p24498 +tp24499 +a(g826 +V\u000a +p24500 +tp24501 +a(g435 +g1182 +tp24502 +a(g826 +g962 +tp24503 +a(g412 +g1407 +tp24504 +a(g412 +g1007 +tp24505 +a(g826 +g962 +tp24506 +a(g435 +g4708 +tp24507 +a(g826 +g962 +tp24508 +a(g744 +Vmod +p24509 +tp24510 +a(g826 +g962 +tp24511 +a(g435 +g1505 +tp24512 +a(g412 +g966 +tp24513 +a(g826 +V\u000a +p24514 +tp24515 +a(g693 +VResult +p24516 +tp24517 +a(g826 +g962 +tp24518 +a(g412 +g1407 +tp24519 +a(g412 +g1007 +tp24520 +a(g826 +g962 +tp24521 +a(g435 +VBaseNChar +p24522 +tp24523 +a(g705 +g1066 +tp24524 +a(g435 +g1182 +tp24525 +a(g705 +g1074 +tp24526 +a(g826 +g962 +tp24527 +a(g412 +g1223 +tp24528 +a(g826 +g962 +tp24529 +a(g693 +VResult +p24530 +tp24531 +a(g412 +g966 +tp24532 +a(g826 +V\u000a +p24533 +tp24534 +a(g435 +g4708 +tp24535 +a(g826 +g962 +tp24536 +a(g412 +g1407 +tp24537 +a(g412 +g1007 +tp24538 +a(g826 +g962 +tp24539 +a(g435 +g4708 +tp24540 +a(g826 +g962 +tp24541 +a(g744 +Vdiv +p24542 +tp24543 +a(g826 +g962 +tp24544 +a(g435 +g1505 +tp24545 +a(g412 +g966 +tp24546 +a(g826 +V\u000a +p24547 +tp24548 +a(g744 +Vend +p24549 +tp24550 +a(g412 +g966 +tp24551 +a(g826 +V\u000a +p24552 +tp24553 +a(g744 +Vend +p24554 +tp24555 +a(g412 +g966 +tp24556 +a(g826 +V\u000a\u000a +p24557 +tp24558 +a(g744 +Vfunction +p24559 +tp24560 +a(g826 +g962 +tp24561 +a(g573 +VIntOfBaseN +p24562 +tp24563 +a(g705 +g1137 +tp24564 +a(g435 +g1788 +tp24565 +a(g412 +g1407 +tp24566 +a(g826 +g962 +tp24567 +a(g744 +Vstring +p24568 +tp24569 +a(g412 +g966 +tp24570 +a(g826 +g962 +tp24571 +a(g435 +g1505 +tp24572 +a(g412 +g1407 +tp24573 +a(g826 +g962 +tp24574 +a(g435 +VTBaseN +p24575 +tp24576 +a(g705 +g1141 +tp24577 +a(g412 +g1407 +tp24578 +a(g826 +g962 +tp24579 +a(g748 +VCardinal +p24580 +tp24581 +a(g412 +g966 +tp24582 +a(g826 +V\u000a +p24583 +tp24584 +a(g744 +Vvar +p24585 +tp24586 +a(g826 +V\u000a +p24587 +tp24588 +a(g435 +g4708 +tp24589 +a(g412 +g1407 +tp24590 +a(g826 +g962 +tp24591 +a(g748 +VInteger +p24592 +tp24593 +a(g412 +g966 +tp24594 +a(g826 +V\u000a +p24595 +tp24596 +a(g435 +g1288 +tp24597 +a(g412 +g1407 +tp24598 +a(g826 +g962 +tp24599 +a(g748 +VCardinal +p24600 +tp24601 +a(g412 +g966 +tp24602 +a(g826 +V\u000a +p24603 +tp24604 +a(g435 +g1603 +tp24605 +a(g412 +g1407 +tp24606 +a(g826 +g962 +tp24607 +a(g748 +VByte +p24608 +tp24609 +a(g412 +g966 +tp24610 +a(g826 +V\u000a +p24611 +tp24612 +a(g744 +Vbegin +p24613 +tp24614 +a(g826 +V\u000a +p24615 +tp24616 +a(g693 +VResult +p24617 +tp24618 +a(g826 +g962 +tp24619 +a(g412 +g1407 +tp24620 +a(g412 +g1007 +tp24621 +a(g826 +g962 +tp24622 +a(g37 +g1202 +tp24623 +a(g412 +g966 +tp24624 +a(g826 +V\u000a +p24625 +tp24626 +a(g435 +g1788 +tp24627 +a(g826 +g962 +tp24628 +a(g412 +g1407 +tp24629 +a(g412 +g1007 +tp24630 +a(g826 +g962 +tp24631 +a(g435 +VTrimAll +p24632 +tp24633 +a(g705 +g1137 +tp24634 +a(g435 +g1788 +tp24635 +a(g705 +g1141 +tp24636 +a(g412 +g966 +tp24637 +a(g826 +V\u000a +p24638 +tp24639 +a(g435 +g1288 +tp24640 +a(g826 +g962 +tp24641 +a(g412 +g1407 +tp24642 +a(g412 +g1007 +tp24643 +a(g826 +g962 +tp24644 +a(g37 +g1337 +tp24645 +a(g412 +g966 +tp24646 +a(g826 +V\u000a +p24647 +tp24648 +a(g744 +Vfor +p24649 +tp24650 +a(g826 +g962 +tp24651 +a(g435 +g4708 +tp24652 +a(g826 +g962 +tp24653 +a(g412 +g1407 +tp24654 +a(g412 +g1007 +tp24655 +a(g826 +g962 +tp24656 +a(g681 +VLength +p24657 +tp24658 +a(g705 +g1137 +tp24659 +a(g435 +g1788 +tp24660 +a(g705 +g1141 +tp24661 +a(g826 +g962 +tp24662 +a(g744 +Vdownto +p24663 +tp24664 +a(g826 +g962 +tp24665 +a(g37 +g1337 +tp24666 +a(g826 +g962 +tp24667 +a(g744 +Vdo +p24668 +tp24669 +a(g826 +g962 +tp24670 +a(g744 +Vbegin +p24671 +tp24672 +a(g826 +V\u000a +p24673 +tp24674 +a(g435 +g1603 +tp24675 +a(g826 +g962 +tp24676 +a(g412 +g1407 +tp24677 +a(g412 +g1007 +tp24678 +a(g826 +g962 +tp24679 +a(g748 +VByte +p24680 +tp24681 +a(g705 +g1137 +tp24682 +a(g681 +VUpCase +p24683 +tp24684 +a(g705 +g1137 +tp24685 +a(g435 +g1788 +tp24686 +a(g705 +g1066 +tp24687 +a(g435 +g4708 +tp24688 +a(g705 +V])) +p24689 +tp24690 +a(g412 +g966 +tp24691 +a(g826 +V\u000a +p24692 +tp24693 +a(g744 +Vcase +p24694 +tp24695 +a(g826 +g962 +tp24696 +a(g748 +VChar +p24697 +tp24698 +a(g705 +g1137 +tp24699 +a(g435 +g1603 +tp24700 +a(g705 +g1141 +tp24701 +a(g826 +g962 +tp24702 +a(g744 +Vof +p24703 +tp24704 +a(g826 +V\u000a +p24705 +tp24706 +a(g89 +g1101 +tp24707 +a(g89 +g1202 +tp24708 +a(g89 +g1101 +tp24709 +a(g412 +g1154 +tp24710 +a(g412 +g1154 +tp24711 +a(g89 +g1101 +tp24712 +a(g89 +g1208 +tp24713 +a(g89 +g1101 +tp24714 +a(g412 +g1407 +tp24715 +a(g826 +g962 +tp24716 +a(g435 +g1603 +tp24717 +a(g826 +g962 +tp24718 +a(g412 +g1407 +tp24719 +a(g412 +g1007 +tp24720 +a(g826 +g962 +tp24721 +a(g435 +g1603 +tp24722 +a(g826 +g962 +tp24723 +a(g412 +g1109 +tp24724 +a(g826 +g962 +tp24725 +a(g37 +V48 +p24726 +tp24727 +a(g412 +g966 +tp24728 +a(g826 +V\u000a +p24729 +tp24730 +a(g89 +g1101 +tp24731 +a(g89 +g1170 +tp24732 +a(g89 +g1101 +tp24733 +a(g412 +g1154 +tp24734 +a(g412 +g1154 +tp24735 +a(g89 +g1101 +tp24736 +a(g89 +g1176 +tp24737 +a(g89 +g1101 +tp24738 +a(g412 +g1407 +tp24739 +a(g826 +g962 +tp24740 +a(g435 +g1603 +tp24741 +a(g826 +g962 +tp24742 +a(g412 +g1407 +tp24743 +a(g412 +g1007 +tp24744 +a(g826 +g962 +tp24745 +a(g435 +g1603 +tp24746 +a(g826 +g962 +tp24747 +a(g412 +g1109 +tp24748 +a(g826 +g962 +tp24749 +a(g37 +V55 +p24750 +tp24751 +a(g412 +g966 +tp24752 +a(g826 +V\u000a +p24753 +tp24754 +a(g744 +Vend +p24755 +tp24756 +a(g412 +g966 +tp24757 +a(g826 +V\u000a +p24758 +tp24759 +a(g744 +Vif +p24760 +tp24761 +a(g826 +g962 +tp24762 +a(g435 +g1603 +tp24763 +a(g826 +g962 +tp24764 +a(g412 +g1413 +tp24765 +a(g826 +g962 +tp24766 +a(g435 +g1505 +tp24767 +a(g826 +g962 +tp24768 +a(g744 +Vthen +p24769 +tp24770 +a(g826 +V\u000a +p24771 +tp24772 +a(g693 +VResult +p24773 +tp24774 +a(g826 +g962 +tp24775 +a(g412 +g1407 +tp24776 +a(g412 +g1007 +tp24777 +a(g826 +g962 +tp24778 +a(g693 +VResult +p24779 +tp24780 +a(g826 +g962 +tp24781 +a(g412 +g1223 +tp24782 +a(g826 +g962 +tp24783 +a(g748 +VByte +p24784 +tp24785 +a(g705 +g1137 +tp24786 +a(g435 +g1603 +tp24787 +a(g705 +g1141 +tp24788 +a(g826 +g962 +tp24789 +a(g412 +g1371 +tp24790 +a(g826 +g962 +tp24791 +a(g435 +g1288 +tp24792 +a(g412 +g966 +tp24793 +a(g826 +V\u000a +p24794 +tp24795 +a(g435 +g1288 +tp24796 +a(g826 +g962 +tp24797 +a(g412 +g1407 +tp24798 +a(g412 +g1007 +tp24799 +a(g826 +g962 +tp24800 +a(g435 +g1288 +tp24801 +a(g826 +g962 +tp24802 +a(g412 +g1371 +tp24803 +a(g826 +g962 +tp24804 +a(g435 +g1505 +tp24805 +a(g412 +g966 +tp24806 +a(g826 +V\u000a +p24807 +tp24808 +a(g744 +Vend +p24809 +tp24810 +a(g412 +g966 +tp24811 +a(g826 +V\u000a +p24812 +tp24813 +a(g744 +Vend +p24814 +tp24815 +a(g412 +g966 +tp24816 +a(g826 +V\u000a\u000a +p24817 +tp24818 +a(g744 +Vfunction +p24819 +tp24820 +a(g826 +g962 +tp24821 +a(g573 +VKeepIn +p24822 +tp24823 +a(g705 +g1137 +tp24824 +a(g435 +g4708 +tp24825 +a(g412 +g984 +tp24826 +a(g826 +g962 +tp24827 +a(g435 +VBottom +p24828 +tp24829 +a(g412 +g984 +tp24830 +a(g826 +g962 +tp24831 +a(g435 +VTop +p24832 +tp24833 +a(g412 +g1407 +tp24834 +a(g826 +g962 +tp24835 +a(g748 +VVariant +p24836 +tp24837 +a(g705 +g1141 +tp24838 +a(g412 +g1407 +tp24839 +a(g826 +g962 +tp24840 +a(g748 +VVariant +p24841 +tp24842 +a(g412 +g966 +tp24843 +a(g826 +V\u000a +p24844 +tp24845 +a(g744 +Vbegin +p24846 +tp24847 +a(g826 +V\u000a +p24848 +tp24849 +a(g693 +VResult +p24850 +tp24851 +a(g826 +g962 +tp24852 +a(g412 +g1407 +tp24853 +a(g412 +g1007 +tp24854 +a(g826 +g962 +tp24855 +a(g435 +g4708 +tp24856 +a(g412 +g966 +tp24857 +a(g826 +V\u000a +p24858 +tp24859 +a(g744 +Vif +p24860 +tp24861 +a(g826 +g962 +tp24862 +a(g693 +VResult +p24863 +tp24864 +a(g826 +g962 +tp24865 +a(g412 +g1419 +tp24866 +a(g826 +g962 +tp24867 +a(g435 +VTop +p24868 +tp24869 +a(g826 +g962 +tp24870 +a(g744 +Vthen +p24871 +tp24872 +a(g826 +V\u000a +p24873 +tp24874 +a(g693 +VResult +p24875 +tp24876 +a(g826 +g962 +tp24877 +a(g412 +g1407 +tp24878 +a(g412 +g1007 +tp24879 +a(g826 +g962 +tp24880 +a(g435 +VTop +p24881 +tp24882 +a(g826 +V\u000a +p24883 +tp24884 +a(g744 +Velse +p24885 +tp24886 +a(g826 +g962 +tp24887 +a(g744 +Vif +p24888 +tp24889 +a(g826 +g962 +tp24890 +a(g693 +VResult +p24891 +tp24892 +a(g826 +g962 +tp24893 +a(g412 +g1413 +tp24894 +a(g826 +g962 +tp24895 +a(g435 +VBottom +p24896 +tp24897 +a(g826 +g962 +tp24898 +a(g744 +Vthen +p24899 +tp24900 +a(g826 +V\u000a +p24901 +tp24902 +a(g693 +VResult +p24903 +tp24904 +a(g826 +g962 +tp24905 +a(g412 +g1407 +tp24906 +a(g412 +g1007 +tp24907 +a(g826 +g962 +tp24908 +a(g435 +VBottom +p24909 +tp24910 +a(g412 +g966 +tp24911 +a(g826 +V\u000a +p24912 +tp24913 +a(g744 +Vend +p24914 +tp24915 +a(g412 +g966 +tp24916 +a(g826 +V\u000a\u000a +p24917 +tp24918 +a(g744 +Vfunction +p24919 +tp24920 +a(g826 +g962 +tp24921 +a(g573 +VInRange +p24922 +tp24923 +a(g705 +g1137 +tp24924 +a(g435 +VValue +p24925 +tp24926 +a(g412 +g984 +tp24927 +a(g826 +g962 +tp24928 +a(g435 +VBottom +p24929 +tp24930 +a(g412 +g984 +tp24931 +a(g826 +g962 +tp24932 +a(g435 +VTop +p24933 +tp24934 +a(g412 +g1407 +tp24935 +a(g826 +g962 +tp24936 +a(g748 +VVariant +p24937 +tp24938 +a(g705 +g1141 +tp24939 +a(g412 +g1407 +tp24940 +a(g826 +g962 +tp24941 +a(g748 +VBoolean +p24942 +tp24943 +a(g412 +g966 +tp24944 +a(g826 +V\u000a +p24945 +tp24946 +a(g744 +Vbegin +p24947 +tp24948 +a(g826 +V\u000a +p24949 +tp24950 +a(g693 +VResult +p24951 +tp24952 +a(g826 +g962 +tp24953 +a(g412 +g1407 +tp24954 +a(g412 +g1007 +tp24955 +a(g826 +g962 +tp24956 +a(g705 +g1137 +tp24957 +a(g435 +VValue +p24958 +tp24959 +a(g826 +g962 +tp24960 +a(g412 +g1419 +tp24961 +a(g412 +g1007 +tp24962 +a(g826 +g962 +tp24963 +a(g435 +VBottom +p24964 +tp24965 +a(g705 +g1141 +tp24966 +a(g826 +g962 +tp24967 +a(g744 +Vand +p24968 +tp24969 +a(g826 +g962 +tp24970 +a(g705 +g1137 +tp24971 +a(g435 +VValue +p24972 +tp24973 +a(g826 +g962 +tp24974 +a(g412 +g1413 +tp24975 +a(g412 +g1007 +tp24976 +a(g826 +g962 +tp24977 +a(g435 +VTop +p24978 +tp24979 +a(g705 +g1141 +tp24980 +a(g412 +g966 +tp24981 +a(g826 +V\u000a +p24982 +tp24983 +a(g744 +Vend +p24984 +tp24985 +a(g412 +g966 +tp24986 +a(g826 +V\u000a\u000a +p24987 +tp24988 +a(g744 +Vfunction +p24989 +tp24990 +a(g826 +g962 +tp24991 +a(g573 +VInStrictRange +p24992 +tp24993 +a(g705 +g1137 +tp24994 +a(g435 +VValue +p24995 +tp24996 +a(g412 +g984 +tp24997 +a(g826 +g962 +tp24998 +a(g435 +VBottom +p24999 +tp25000 +a(g412 +g984 +tp25001 +a(g826 +g962 +tp25002 +a(g435 +VTop +p25003 +tp25004 +a(g412 +g1407 +tp25005 +a(g826 +g962 +tp25006 +a(g748 +VVariant +p25007 +tp25008 +a(g705 +g1141 +tp25009 +a(g412 +g1407 +tp25010 +a(g826 +g962 +tp25011 +a(g748 +VBoolean +p25012 +tp25013 +a(g412 +g966 +tp25014 +a(g826 +V\u000a +p25015 +tp25016 +a(g744 +Vbegin +p25017 +tp25018 +a(g826 +V\u000a +p25019 +tp25020 +a(g693 +VResult +p25021 +tp25022 +a(g826 +g962 +tp25023 +a(g412 +g1407 +tp25024 +a(g412 +g1007 +tp25025 +a(g826 +g962 +tp25026 +a(g705 +g1137 +tp25027 +a(g435 +VValue +p25028 +tp25029 +a(g826 +g962 +tp25030 +a(g412 +g1419 +tp25031 +a(g826 +g962 +tp25032 +a(g435 +VBottom +p25033 +tp25034 +a(g705 +g1141 +tp25035 +a(g826 +g962 +tp25036 +a(g744 +Vand +p25037 +tp25038 +a(g826 +g962 +tp25039 +a(g705 +g1137 +tp25040 +a(g435 +VValue +p25041 +tp25042 +a(g826 +g962 +tp25043 +a(g412 +g1413 +tp25044 +a(g826 +g962 +tp25045 +a(g435 +VTop +p25046 +tp25047 +a(g705 +g1141 +tp25048 +a(g412 +g966 +tp25049 +a(g826 +V\u000a +p25050 +tp25051 +a(g744 +Vend +p25052 +tp25053 +a(g412 +g966 +tp25054 +a(g826 +V\u000a\u000a +p25055 +tp25056 +a(g744 +Vfunction +p25057 +tp25058 +a(g826 +g962 +tp25059 +a(g573 +VMin +p25060 +tp25061 +a(g705 +g1137 +tp25062 +a(g744 +Vconst +p25063 +tp25064 +a(g826 +g962 +tp25065 +a(g435 +g1170 +tp25066 +a(g412 +g984 +tp25067 +a(g826 +g962 +tp25068 +a(g435 +g1505 +tp25069 +a(g412 +g1407 +tp25070 +a(g826 +g962 +tp25071 +a(g748 +VInteger +p25072 +tp25073 +a(g705 +g1141 +tp25074 +a(g412 +g1407 +tp25075 +a(g826 +g962 +tp25076 +a(g748 +VInteger +p25077 +tp25078 +a(g412 +g966 +tp25079 +a(g826 +V\u000a +p25080 +tp25081 +a(g744 +Vbegin +p25082 +tp25083 +a(g826 +V\u000a +p25084 +tp25085 +a(g744 +Vif +p25086 +tp25087 +a(g826 +g962 +tp25088 +a(g435 +g1170 +tp25089 +a(g826 +g962 +tp25090 +a(g412 +g1413 +tp25091 +a(g826 +g962 +tp25092 +a(g435 +g1505 +tp25093 +a(g826 +g962 +tp25094 +a(g744 +Vthen +p25095 +tp25096 +a(g826 +V\u000a +p25097 +tp25098 +a(g693 +VResult +p25099 +tp25100 +a(g826 +g962 +tp25101 +a(g412 +g1407 +tp25102 +a(g412 +g1007 +tp25103 +a(g826 +g962 +tp25104 +a(g435 +g1170 +tp25105 +a(g826 +V\u000a +p25106 +tp25107 +a(g744 +Velse +p25108 +tp25109 +a(g826 +V\u000a +p25110 +tp25111 +a(g693 +VResult +p25112 +tp25113 +a(g826 +g962 +tp25114 +a(g412 +g1407 +tp25115 +a(g412 +g1007 +tp25116 +a(g826 +g962 +tp25117 +a(g435 +g1505 +tp25118 +a(g412 +g966 +tp25119 +a(g826 +V\u000a +p25120 +tp25121 +a(g744 +Vend +p25122 +tp25123 +a(g412 +g966 +tp25124 +a(g826 +V\u000a\u000a +p25125 +tp25126 +a(g744 +Vfunction +p25127 +tp25128 +a(g826 +g962 +tp25129 +a(g573 +VMin +p25130 +tp25131 +a(g705 +g1137 +tp25132 +a(g744 +Vconst +p25133 +tp25134 +a(g826 +g962 +tp25135 +a(g435 +g1170 +tp25136 +a(g412 +g1407 +tp25137 +a(g826 +g962 +tp25138 +a(g435 +VTIntA +p25139 +tp25140 +a(g705 +g1141 +tp25141 +a(g412 +g1407 +tp25142 +a(g826 +g962 +tp25143 +a(g748 +VInteger +p25144 +tp25145 +a(g412 +g966 +tp25146 +a(g826 +V\u000a +p25147 +tp25148 +a(g744 +Vvar +p25149 +tp25150 +a(g826 +V\u000a +p25151 +tp25152 +a(g435 +g4708 +tp25153 +a(g412 +g1407 +tp25154 +a(g826 +g962 +tp25155 +a(g748 +VInteger +p25156 +tp25157 +a(g412 +g966 +tp25158 +a(g826 +V\u000a +p25159 +tp25160 +a(g744 +Vbegin +p25161 +tp25162 +a(g826 +V\u000a +p25163 +tp25164 +a(g693 +VResult +p25165 +tp25166 +a(g826 +g962 +tp25167 +a(g412 +g1407 +tp25168 +a(g412 +g1007 +tp25169 +a(g826 +g962 +tp25170 +a(g37 +g1202 +tp25171 +a(g412 +g966 +tp25172 +a(g826 +V\u000a +p25173 +tp25174 +a(g744 +Vif +p25175 +tp25176 +a(g826 +g962 +tp25177 +a(g681 +VLength +p25178 +tp25179 +a(g705 +g1137 +tp25180 +a(g435 +g1170 +tp25181 +a(g705 +g1141 +tp25182 +a(g826 +g962 +tp25183 +a(g412 +g1007 +tp25184 +a(g826 +g962 +tp25185 +a(g37 +g1202 +tp25186 +a(g826 +g962 +tp25187 +a(g744 +Vthen +p25188 +tp25189 +a(g826 +V\u000a +p25190 +tp25191 +a(g744 +VExit +p25192 +tp25193 +a(g412 +g966 +tp25194 +a(g826 +V\u000a\u000a +p25195 +tp25196 +a(g693 +VResult +p25197 +tp25198 +a(g826 +g962 +tp25199 +a(g412 +g1407 +tp25200 +a(g412 +g1007 +tp25201 +a(g826 +g962 +tp25202 +a(g435 +g1170 +tp25203 +a(g705 +g1066 +tp25204 +a(g37 +g1202 +tp25205 +a(g705 +g1074 +tp25206 +a(g412 +g966 +tp25207 +a(g826 +V\u000a +p25208 +tp25209 +a(g744 +Vfor +p25210 +tp25211 +a(g826 +g962 +tp25212 +a(g435 +g4708 +tp25213 +a(g826 +g962 +tp25214 +a(g412 +g1407 +tp25215 +a(g412 +g1007 +tp25216 +a(g826 +g962 +tp25217 +a(g37 +g1337 +tp25218 +a(g826 +g962 +tp25219 +a(g744 +Vto +p25220 +tp25221 +a(g826 +g962 +tp25222 +a(g681 +VHigh +p25223 +tp25224 +a(g705 +g1137 +tp25225 +a(g435 +g1170 +tp25226 +a(g705 +g1141 +tp25227 +a(g826 +g962 +tp25228 +a(g744 +Vdo +p25229 +tp25230 +a(g826 +V\u000a +p25231 +tp25232 +a(g744 +Vif +p25233 +tp25234 +a(g826 +g962 +tp25235 +a(g435 +g1170 +tp25236 +a(g705 +g1066 +tp25237 +a(g435 +g4708 +tp25238 +a(g705 +g1074 +tp25239 +a(g826 +g962 +tp25240 +a(g412 +g1413 +tp25241 +a(g826 +g962 +tp25242 +a(g693 +VResult +p25243 +tp25244 +a(g826 +g962 +tp25245 +a(g744 +Vthen +p25246 +tp25247 +a(g826 +V\u000a +p25248 +tp25249 +a(g693 +VResult +p25250 +tp25251 +a(g826 +g962 +tp25252 +a(g412 +g1407 +tp25253 +a(g412 +g1007 +tp25254 +a(g826 +g962 +tp25255 +a(g435 +g1170 +tp25256 +a(g705 +g1066 +tp25257 +a(g435 +g4708 +tp25258 +a(g705 +g1074 +tp25259 +a(g412 +g966 +tp25260 +a(g826 +V\u000a +p25261 +tp25262 +a(g744 +Vend +p25263 +tp25264 +a(g412 +g966 +tp25265 +a(g826 +V\u000a\u000a +p25266 +tp25267 +a(g744 +Vfunction +p25268 +tp25269 +a(g826 +g962 +tp25270 +a(g573 +VMax +p25271 +tp25272 +a(g705 +g1137 +tp25273 +a(g744 +Vconst +p25274 +tp25275 +a(g826 +g962 +tp25276 +a(g435 +g1170 +tp25277 +a(g412 +g984 +tp25278 +a(g826 +g962 +tp25279 +a(g435 +g1505 +tp25280 +a(g412 +g1407 +tp25281 +a(g826 +g962 +tp25282 +a(g748 +VInteger +p25283 +tp25284 +a(g705 +g1141 +tp25285 +a(g412 +g1407 +tp25286 +a(g826 +g962 +tp25287 +a(g748 +VInteger +p25288 +tp25289 +a(g412 +g966 +tp25290 +a(g826 +V\u000a +p25291 +tp25292 +a(g744 +Vbegin +p25293 +tp25294 +a(g826 +V\u000a +p25295 +tp25296 +a(g744 +Vif +p25297 +tp25298 +a(g826 +g962 +tp25299 +a(g435 +g1170 +tp25300 +a(g826 +g962 +tp25301 +a(g412 +g1419 +tp25302 +a(g826 +g962 +tp25303 +a(g435 +g1505 +tp25304 +a(g826 +g962 +tp25305 +a(g744 +Vthen +p25306 +tp25307 +a(g826 +V\u000a +p25308 +tp25309 +a(g693 +VResult +p25310 +tp25311 +a(g826 +g962 +tp25312 +a(g412 +g1407 +tp25313 +a(g412 +g1007 +tp25314 +a(g826 +g962 +tp25315 +a(g435 +g1170 +tp25316 +a(g826 +V\u000a +p25317 +tp25318 +a(g744 +Velse +p25319 +tp25320 +a(g826 +V\u000a +p25321 +tp25322 +a(g693 +VResult +p25323 +tp25324 +a(g826 +g962 +tp25325 +a(g412 +g1407 +tp25326 +a(g412 +g1007 +tp25327 +a(g826 +g962 +tp25328 +a(g435 +g1505 +tp25329 +a(g412 +g966 +tp25330 +a(g826 +V\u000a +p25331 +tp25332 +a(g744 +Vend +p25333 +tp25334 +a(g412 +g966 +tp25335 +a(g826 +V\u000a\u000a +p25336 +tp25337 +a(g744 +Vfunction +p25338 +tp25339 +a(g826 +g962 +tp25340 +a(g573 +VMax +p25341 +tp25342 +a(g705 +g1137 +tp25343 +a(g744 +Vconst +p25344 +tp25345 +a(g826 +g962 +tp25346 +a(g435 +g1170 +tp25347 +a(g412 +g1407 +tp25348 +a(g826 +g962 +tp25349 +a(g435 +VTIntA +p25350 +tp25351 +a(g705 +g1141 +tp25352 +a(g412 +g1407 +tp25353 +a(g826 +g962 +tp25354 +a(g748 +VInteger +p25355 +tp25356 +a(g412 +g966 +tp25357 +a(g826 +V\u000a +p25358 +tp25359 +a(g744 +Vvar +p25360 +tp25361 +a(g826 +V\u000a +p25362 +tp25363 +a(g435 +g4708 +tp25364 +a(g412 +g1407 +tp25365 +a(g826 +g962 +tp25366 +a(g748 +VInteger +p25367 +tp25368 +a(g412 +g966 +tp25369 +a(g826 +V\u000a +p25370 +tp25371 +a(g744 +Vbegin +p25372 +tp25373 +a(g826 +V\u000a +p25374 +tp25375 +a(g693 +VResult +p25376 +tp25377 +a(g826 +g962 +tp25378 +a(g412 +g1407 +tp25379 +a(g412 +g1007 +tp25380 +a(g826 +g962 +tp25381 +a(g37 +g1202 +tp25382 +a(g412 +g966 +tp25383 +a(g826 +V\u000a +p25384 +tp25385 +a(g744 +Vif +p25386 +tp25387 +a(g826 +g962 +tp25388 +a(g681 +VLength +p25389 +tp25390 +a(g705 +g1137 +tp25391 +a(g435 +g1170 +tp25392 +a(g705 +g1141 +tp25393 +a(g826 +g962 +tp25394 +a(g412 +g1007 +tp25395 +a(g826 +g962 +tp25396 +a(g37 +g1202 +tp25397 +a(g826 +g962 +tp25398 +a(g744 +Vthen +p25399 +tp25400 +a(g826 +V\u000a +p25401 +tp25402 +a(g744 +VExit +p25403 +tp25404 +a(g412 +g966 +tp25405 +a(g826 +V\u000a\u000a +p25406 +tp25407 +a(g693 +VResult +p25408 +tp25409 +a(g826 +g962 +tp25410 +a(g412 +g1407 +tp25411 +a(g412 +g1007 +tp25412 +a(g826 +g962 +tp25413 +a(g435 +g1170 +tp25414 +a(g705 +g1066 +tp25415 +a(g37 +g1202 +tp25416 +a(g705 +g1074 +tp25417 +a(g412 +g966 +tp25418 +a(g826 +V\u000a +p25419 +tp25420 +a(g744 +Vfor +p25421 +tp25422 +a(g826 +g962 +tp25423 +a(g435 +g4708 +tp25424 +a(g826 +g962 +tp25425 +a(g412 +g1407 +tp25426 +a(g412 +g1007 +tp25427 +a(g826 +g962 +tp25428 +a(g37 +g1337 +tp25429 +a(g826 +g962 +tp25430 +a(g744 +Vto +p25431 +tp25432 +a(g826 +g962 +tp25433 +a(g681 +VHigh +p25434 +tp25435 +a(g705 +g1137 +tp25436 +a(g435 +g1170 +tp25437 +a(g705 +g1141 +tp25438 +a(g826 +g962 +tp25439 +a(g744 +Vdo +p25440 +tp25441 +a(g826 +V\u000a +p25442 +tp25443 +a(g744 +Vif +p25444 +tp25445 +a(g826 +g962 +tp25446 +a(g435 +g1170 +tp25447 +a(g705 +g1066 +tp25448 +a(g435 +g4708 +tp25449 +a(g705 +g1074 +tp25450 +a(g826 +g962 +tp25451 +a(g412 +g1419 +tp25452 +a(g826 +g962 +tp25453 +a(g693 +VResult +p25454 +tp25455 +a(g826 +g962 +tp25456 +a(g744 +Vthen +p25457 +tp25458 +a(g826 +V\u000a +p25459 +tp25460 +a(g693 +VResult +p25461 +tp25462 +a(g826 +g962 +tp25463 +a(g412 +g1407 +tp25464 +a(g412 +g1007 +tp25465 +a(g826 +g962 +tp25466 +a(g435 +g1170 +tp25467 +a(g705 +g1066 +tp25468 +a(g435 +g4708 +tp25469 +a(g705 +g1074 +tp25470 +a(g412 +g966 +tp25471 +a(g826 +V\u000a +p25472 +tp25473 +a(g744 +Vend +p25474 +tp25475 +a(g412 +g966 +tp25476 +a(g826 +V\u000a\u000a +p25477 +tp25478 +a(g744 +Vfunction +p25479 +tp25480 +a(g826 +g962 +tp25481 +a(g573 +VRangesOfStr +p25482 +tp25483 +a(g705 +g1137 +tp25484 +a(g744 +Vconst +p25485 +tp25486 +a(g826 +g962 +tp25487 +a(g435 +g1773 +tp25488 +a(g412 +g1407 +tp25489 +a(g826 +g962 +tp25490 +a(g744 +Vstring +p25491 +tp25492 +a(g705 +g1141 +tp25493 +a(g412 +g1407 +tp25494 +a(g826 +g962 +tp25495 +a(g435 +VTRanges +p25496 +tp25497 +a(g412 +g966 +tp25498 +a(g826 +V\u000a +p25499 +tp25500 +a(g744 +Vvar +p25501 +tp25502 +a(g826 +V\u000a +p25503 +tp25504 +a(g435 +VSL +p25505 +tp25506 +a(g412 +g1407 +tp25507 +a(g826 +g962 +tp25508 +a(g435 +VTStringList +p25509 +tp25510 +a(g412 +g966 +tp25511 +a(g826 +V\u000a +p25512 +tp25513 +a(g435 +g18911 +tp25514 +a(g412 +g984 +tp25515 +a(g826 +g962 +tp25516 +a(g435 +g1598 +tp25517 +a(g412 +g984 +tp25518 +a(g826 +g962 +tp25519 +a(g435 +Vt +p25520 +tp25521 +a(g412 +g1407 +tp25522 +a(g826 +g962 +tp25523 +a(g744 +Vstring +p25524 +tp25525 +a(g412 +g966 +tp25526 +a(g826 +V\u000a +p25527 +tp25528 +a(g435 +g4708 +tp25529 +a(g412 +g984 +tp25530 +a(g826 +g962 +tp25531 +a(g435 +g10420 +tp25532 +a(g412 +g1407 +tp25533 +a(g826 +g962 +tp25534 +a(g748 +VInteger +p25535 +tp25536 +a(g412 +g966 +tp25537 +a(g826 +V\u000a\u000a +p25538 +tp25539 +a(g744 +Vfunction +p25540 +tp25541 +a(g826 +g962 +tp25542 +a(g573 +VTryStrToCard +p25543 +tp25544 +a(g705 +g1137 +tp25545 +a(g744 +Vconst +p25546 +tp25547 +a(g826 +g962 +tp25548 +a(g435 +g1773 +tp25549 +a(g412 +g1407 +tp25550 +a(g826 +g962 +tp25551 +a(g744 +Vstring +p25552 +tp25553 +a(g412 +g966 +tp25554 +a(g826 +g962 +tp25555 +a(g435 +Vout +p25556 +tp25557 +a(g826 +g962 +tp25558 +a(g435 +VValue +p25559 +tp25560 +a(g412 +g1407 +tp25561 +a(g826 +g962 +tp25562 +a(g748 +VCardinal +p25563 +tp25564 +a(g705 +g1141 +tp25565 +a(g412 +g1407 +tp25566 +a(g826 +g962 +tp25567 +a(g748 +VBoolean +p25568 +tp25569 +a(g412 +g966 +tp25570 +a(g826 +V\u000a +p25571 +tp25572 +a(g744 +Vvar +p25573 +tp25574 +a(g826 +V\u000a +p25575 +tp25576 +a(g435 +g1520 +tp25577 +a(g412 +g1407 +tp25578 +a(g826 +g962 +tp25579 +a(g748 +VInteger +p25580 +tp25581 +a(g412 +g966 +tp25582 +a(g826 +V\u000a +p25583 +tp25584 +a(g744 +Vbegin +p25585 +tp25586 +a(g826 +V\u000a +p25587 +tp25588 +a(g681 +VVal +p25589 +tp25590 +a(g705 +g1137 +tp25591 +a(g435 +g1773 +tp25592 +a(g412 +g984 +tp25593 +a(g826 +g962 +tp25594 +a(g435 +VValue +p25595 +tp25596 +a(g412 +g984 +tp25597 +a(g826 +g962 +tp25598 +a(g435 +g1520 +tp25599 +a(g705 +g1141 +tp25600 +a(g412 +g966 +tp25601 +a(g826 +V\u000a +p25602 +tp25603 +a(g693 +VResult +p25604 +tp25605 +a(g826 +g962 +tp25606 +a(g412 +g1407 +tp25607 +a(g412 +g1007 +tp25608 +a(g826 +g962 +tp25609 +a(g435 +g1520 +tp25610 +a(g826 +g962 +tp25611 +a(g412 +g1007 +tp25612 +a(g826 +g962 +tp25613 +a(g37 +g1202 +tp25614 +a(g412 +g966 +tp25615 +a(g826 +V\u000a +p25616 +tp25617 +a(g744 +Vend +p25618 +tp25619 +a(g412 +g966 +tp25620 +a(g826 +V\u000a\u000a +p25621 +tp25622 +a(g744 +Vbegin +p25623 +tp25624 +a(g826 +V\u000a +p25625 +tp25626 +a(g693 +VResult +p25627 +tp25628 +a(g826 +g962 +tp25629 +a(g412 +g1407 +tp25630 +a(g412 +g1007 +tp25631 +a(g826 +g962 +tp25632 +a(g744 +Vnil +p25633 +tp25634 +a(g412 +g966 +tp25635 +a(g826 +V\u000a +p25636 +tp25637 +a(g435 +VSL +p25638 +tp25639 +a(g826 +g962 +tp25640 +a(g412 +g1407 +tp25641 +a(g412 +g1007 +tp25642 +a(g826 +g962 +tp25643 +a(g435 +VTStringList +p25644 +tp25645 +a(g412 +g1154 +tp25646 +a(g435 +VCreate +p25647 +tp25648 +a(g412 +g966 +tp25649 +a(g826 +V\u000a +p25650 +tp25651 +a(g744 +Vtry +p25652 +tp25653 +a(g826 +V\u000a +p25654 +tp25655 +a(g435 +VSplit +p25656 +tp25657 +a(g705 +g1137 +tp25658 +a(g435 +g1773 +tp25659 +a(g412 +g984 +tp25660 +a(g826 +g962 +tp25661 +a(g435 +VRangesSeparator +p25662 +tp25663 +a(g412 +g984 +tp25664 +a(g826 +g962 +tp25665 +a(g435 +VSL +p25666 +tp25667 +a(g705 +g1141 +tp25668 +a(g412 +g966 +tp25669 +a(g826 +V\u000a +p25670 +tp25671 +a(g681 +VSetLength +p25672 +tp25673 +a(g705 +g1137 +tp25674 +a(g693 +VResult +p25675 +tp25676 +a(g412 +g984 +tp25677 +a(g826 +g962 +tp25678 +a(g435 +VSL +p25679 +tp25680 +a(g412 +g1154 +tp25681 +a(g435 +VCount +p25682 +tp25683 +a(g705 +g1141 +tp25684 +a(g412 +g966 +tp25685 +a(g826 +V\u000a +p25686 +tp25687 +a(g744 +Vfor +p25688 +tp25689 +a(g826 +g962 +tp25690 +a(g435 +g4708 +tp25691 +a(g826 +g962 +tp25692 +a(g412 +g1407 +tp25693 +a(g412 +g1007 +tp25694 +a(g826 +g962 +tp25695 +a(g37 +g1202 +tp25696 +a(g826 +g962 +tp25697 +a(g744 +Vto +p25698 +tp25699 +a(g826 +g962 +tp25700 +a(g435 +VSL +p25701 +tp25702 +a(g412 +g1154 +tp25703 +a(g435 +VCount +p25704 +tp25705 +a(g412 +g1109 +tp25706 +a(g37 +g1337 +tp25707 +a(g826 +g962 +tp25708 +a(g744 +Vdo +p25709 +tp25710 +a(g826 +g962 +tp25711 +a(g744 +Vbegin +p25712 +tp25713 +a(g826 +V\u000a +p25714 +tp25715 +a(g435 +g18911 +tp25716 +a(g826 +g962 +tp25717 +a(g412 +g1407 +tp25718 +a(g412 +g1007 +tp25719 +a(g826 +g962 +tp25720 +a(g435 +VSL +p25721 +tp25722 +a(g705 +g1066 +tp25723 +a(g435 +g4708 +tp25724 +a(g705 +g1074 +tp25725 +a(g412 +g966 +tp25726 +a(g826 +V\u000a +p25727 +tp25728 +a(g744 +Vwith +p25729 +tp25730 +a(g826 +g962 +tp25731 +a(g693 +VResult +p25732 +tp25733 +a(g705 +g1066 +tp25734 +a(g435 +g4708 +tp25735 +a(g705 +g1074 +tp25736 +a(g826 +g962 +tp25737 +a(g744 +Vdo +p25738 +tp25739 +a(g826 +g962 +tp25740 +a(g744 +Vbegin +p25741 +tp25742 +a(g826 +V\u000a +p25743 +tp25744 +a(g435 +g10420 +tp25745 +a(g826 +g962 +tp25746 +a(g412 +g1407 +tp25747 +a(g412 +g1007 +tp25748 +a(g826 +g962 +tp25749 +a(g435 +VCharPos +p25750 +tp25751 +a(g705 +g1137 +tp25752 +a(g435 +VRangeInnerSeparator +p25753 +tp25754 +a(g412 +g984 +tp25755 +a(g826 +g962 +tp25756 +a(g435 +g18911 +tp25757 +a(g705 +g1141 +tp25758 +a(g412 +g966 +tp25759 +a(g826 +V\u000a +p25760 +tp25761 +a(g435 +VSimple +p25762 +tp25763 +a(g826 +g962 +tp25764 +a(g412 +g1407 +tp25765 +a(g412 +g1007 +tp25766 +a(g826 +g962 +tp25767 +a(g435 +g10420 +tp25768 +a(g826 +g962 +tp25769 +a(g412 +g1007 +tp25770 +a(g826 +g962 +tp25771 +a(g37 +g1202 +tp25772 +a(g412 +g966 +tp25773 +a(g826 +g962 +tp25774 +a(g8 +V// no '-' found +p25775 +tp25776 +a(g826 +V\u000a +p25777 +tp25778 +a(g744 +Vif +p25779 +tp25780 +a(g826 +g962 +tp25781 +a(g435 +VSimple +p25782 +tp25783 +a(g826 +g962 +tp25784 +a(g744 +Vthen +p25785 +tp25786 +a(g826 +g962 +tp25787 +a(g744 +Vbegin +p25788 +tp25789 +a(g826 +V\u000a +p25790 +tp25791 +a(g744 +Vif +p25792 +tp25793 +a(g826 +g962 +tp25794 +a(g435 +g18911 +tp25795 +a(g826 +g962 +tp25796 +a(g412 +g1007 +tp25797 +a(g826 +g962 +tp25798 +a(g435 +VRangeInfinite +p25799 +tp25800 +a(g826 +g962 +tp25801 +a(g744 +Vthen +p25802 +tp25803 +a(g826 +g962 +tp25804 +a(g744 +Vbegin +p25805 +tp25806 +a(g826 +g962 +tp25807 +a(g8 +V// * --> *-* +p25808 +tp25809 +a(g826 +V\u000a +p25810 +tp25811 +a(g435 +VSimple +p25812 +tp25813 +a(g826 +g962 +tp25814 +a(g412 +g1407 +tp25815 +a(g412 +g1007 +tp25816 +a(g826 +g962 +tp25817 +a(g744 +VFalse +p25818 +tp25819 +a(g412 +g966 +tp25820 +a(g826 +V\u000a +p25821 +tp25822 +a(g435 +VBottom +p25823 +tp25824 +a(g826 +g962 +tp25825 +a(g412 +g1407 +tp25826 +a(g412 +g1007 +tp25827 +a(g826 +g962 +tp25828 +a(g681 +VLow +p25829 +tp25830 +a(g705 +g1137 +tp25831 +a(g435 +VBottom +p25832 +tp25833 +a(g705 +g1141 +tp25834 +a(g412 +g966 +tp25835 +a(g826 +V\u000a +p25836 +tp25837 +a(g435 +VTop +p25838 +tp25839 +a(g826 +g962 +tp25840 +a(g412 +g1407 +tp25841 +a(g412 +g1007 +tp25842 +a(g826 +g962 +tp25843 +a(g681 +VHigh +p25844 +tp25845 +a(g705 +g1137 +tp25846 +a(g435 +VTop +p25847 +tp25848 +a(g705 +g1141 +tp25849 +a(g412 +g966 +tp25850 +a(g826 +V\u000a +p25851 +tp25852 +a(g744 +Vend +p25853 +tp25854 +a(g826 +g962 +tp25855 +a(g744 +Velse +p25856 +tp25857 +a(g826 +g962 +tp25858 +a(g744 +Vif +p25859 +tp25860 +a(g826 +g962 +tp25861 +a(g744 +Vnot +p25862 +tp25863 +a(g826 +g962 +tp25864 +a(g435 +VTryStrToCard +p25865 +tp25866 +a(g705 +g1137 +tp25867 +a(g435 +g18911 +tp25868 +a(g412 +g984 +tp25869 +a(g826 +g962 +tp25870 +a(g435 +VValue +p25871 +tp25872 +a(g705 +g1141 +tp25873 +a(g826 +g962 +tp25874 +a(g744 +Vthen +p25875 +tp25876 +a(g826 +V\u000a +p25877 +tp25878 +a(g744 +VBreak +p25879 +tp25880 +a(g412 +g966 +tp25881 +a(g826 +V\u000a\u000a +p25882 +tp25883 +a(g744 +Vend +p25884 +tp25885 +a(g826 +g962 +tp25886 +a(g744 +Velse +p25887 +tp25888 +a(g826 +g962 +tp25889 +a(g744 +Vbegin +p25890 +tp25891 +a(g826 +V\u000a +p25892 +tp25893 +a(g435 +VTileStr +p25894 +tp25895 +a(g705 +g1137 +tp25896 +a(g435 +g18911 +tp25897 +a(g412 +g984 +tp25898 +a(g826 +g962 +tp25899 +a(g435 +g10420 +tp25900 +a(g412 +g984 +tp25901 +a(g826 +g962 +tp25902 +a(g435 +g10420 +tp25903 +a(g412 +g984 +tp25904 +a(g826 +g962 +tp25905 +a(g435 +g1598 +tp25906 +a(g412 +g984 +tp25907 +a(g826 +g962 +tp25908 +a(g435 +g25520 +tp25909 +a(g705 +g1141 +tp25910 +a(g412 +g966 +tp25911 +a(g826 +V\u000a\u000a +p25912 +tp25913 +a(g744 +Vif +p25914 +tp25915 +a(g826 +g962 +tp25916 +a(g435 +g1598 +tp25917 +a(g826 +g962 +tp25918 +a(g412 +g1007 +tp25919 +a(g826 +g962 +tp25920 +a(g435 +VRangeInfinite +p25921 +tp25922 +a(g826 +g962 +tp25923 +a(g744 +Vthen +p25924 +tp25925 +a(g826 +V\u000a +p25926 +tp25927 +a(g435 +VBottom +p25928 +tp25929 +a(g826 +g962 +tp25930 +a(g412 +g1407 +tp25931 +a(g412 +g1007 +tp25932 +a(g826 +g962 +tp25933 +a(g681 +VLow +p25934 +tp25935 +a(g705 +g1137 +tp25936 +a(g435 +VBottom +p25937 +tp25938 +a(g705 +g1141 +tp25939 +a(g826 +V\u000a +p25940 +tp25941 +a(g744 +Velse +p25942 +tp25943 +a(g826 +g962 +tp25944 +a(g744 +Vif +p25945 +tp25946 +a(g826 +g962 +tp25947 +a(g744 +Vnot +p25948 +tp25949 +a(g826 +g962 +tp25950 +a(g435 +VTryStrToCard +p25951 +tp25952 +a(g705 +g1137 +tp25953 +a(g435 +g1598 +tp25954 +a(g412 +g984 +tp25955 +a(g826 +g962 +tp25956 +a(g435 +VBottom +p25957 +tp25958 +a(g705 +g1141 +tp25959 +a(g826 +g962 +tp25960 +a(g744 +Vthen +p25961 +tp25962 +a(g826 +V\u000a +p25963 +tp25964 +a(g744 +VBreak +p25965 +tp25966 +a(g412 +g966 +tp25967 +a(g826 +V\u000a\u000a +p25968 +tp25969 +a(g744 +Vif +p25970 +tp25971 +a(g826 +g962 +tp25972 +a(g435 +g25520 +tp25973 +a(g826 +g962 +tp25974 +a(g412 +g1007 +tp25975 +a(g826 +g962 +tp25976 +a(g435 +VRangeInfinite +p25977 +tp25978 +a(g826 +g962 +tp25979 +a(g744 +Vthen +p25980 +tp25981 +a(g826 +V\u000a +p25982 +tp25983 +a(g435 +VTop +p25984 +tp25985 +a(g826 +g962 +tp25986 +a(g412 +g1407 +tp25987 +a(g412 +g1007 +tp25988 +a(g826 +g962 +tp25989 +a(g681 +VHigh +p25990 +tp25991 +a(g705 +g1137 +tp25992 +a(g435 +VTop +p25993 +tp25994 +a(g705 +g1141 +tp25995 +a(g826 +V\u000a +p25996 +tp25997 +a(g744 +Velse +p25998 +tp25999 +a(g826 +g962 +tp26000 +a(g744 +Vif +p26001 +tp26002 +a(g826 +g962 +tp26003 +a(g744 +Vnot +p26004 +tp26005 +a(g826 +g962 +tp26006 +a(g435 +VTryStrToCard +p26007 +tp26008 +a(g705 +g1137 +tp26009 +a(g435 +g25520 +tp26010 +a(g412 +g984 +tp26011 +a(g826 +g962 +tp26012 +a(g435 +VTop +p26013 +tp26014 +a(g705 +g1141 +tp26015 +a(g826 +g962 +tp26016 +a(g744 +Vthen +p26017 +tp26018 +a(g826 +V\u000a +p26019 +tp26020 +a(g744 +VBreak +p26021 +tp26022 +a(g412 +g966 +tp26023 +a(g826 +V\u000a +p26024 +tp26025 +a(g744 +Vif +p26026 +tp26027 +a(g826 +g962 +tp26028 +a(g435 +VBottom +p26029 +tp26030 +a(g826 +g962 +tp26031 +a(g412 +g1419 +tp26032 +a(g826 +g962 +tp26033 +a(g435 +VTop +p26034 +tp26035 +a(g826 +g962 +tp26036 +a(g744 +Vthen +p26037 +tp26038 +a(g826 +g962 +tp26039 +a(g744 +Vbegin +p26040 +tp26041 +a(g826 +V\u000a +p26042 +tp26043 +a(g435 +g10420 +tp26044 +a(g826 +g962 +tp26045 +a(g412 +g1407 +tp26046 +a(g412 +g1007 +tp26047 +a(g826 +g962 +tp26048 +a(g435 +VBottom +p26049 +tp26050 +a(g412 +g966 +tp26051 +a(g826 +g962 +tp26052 +a(g435 +VBottom +p26053 +tp26054 +a(g826 +g962 +tp26055 +a(g412 +g1407 +tp26056 +a(g412 +g1007 +tp26057 +a(g826 +g962 +tp26058 +a(g435 +VTop +p26059 +tp26060 +a(g412 +g966 +tp26061 +a(g826 +g962 +tp26062 +a(g435 +VTop +p26063 +tp26064 +a(g826 +g962 +tp26065 +a(g412 +g1407 +tp26066 +a(g412 +g1007 +tp26067 +a(g826 +g962 +tp26068 +a(g435 +g10420 +tp26069 +a(g412 +g966 +tp26070 +a(g826 +V\u000a +p26071 +tp26072 +a(g744 +Vend +p26073 +tp26074 +a(g412 +g966 +tp26075 +a(g826 +V\u000a +p26076 +tp26077 +a(g744 +Vend +p26078 +tp26079 +a(g412 +g966 +tp26080 +a(g826 +V\u000a +p26081 +tp26082 +a(g744 +Vend +p26083 +tp26084 +a(g412 +g966 +tp26085 +a(g826 +V\u000a +p26086 +tp26087 +a(g744 +Vend +p26088 +tp26089 +a(g412 +g966 +tp26090 +a(g826 +V\u000a\u000a +p26091 +tp26092 +a(g744 +Vif +p26093 +tp26094 +a(g826 +g962 +tp26095 +a(g435 +g4708 +tp26096 +a(g826 +g962 +tp26097 +a(g412 +g1413 +tp26098 +a(g412 +g1419 +tp26099 +a(g826 +g962 +tp26100 +a(g435 +VSL +p26101 +tp26102 +a(g412 +g1154 +tp26103 +a(g435 +VCount +p26104 +tp26105 +a(g826 +g962 +tp26106 +a(g744 +Vthen +p26107 +tp26108 +a(g826 +V\u000a +p26109 +tp26110 +a(g693 +VResult +p26111 +tp26112 +a(g826 +g962 +tp26113 +a(g412 +g1407 +tp26114 +a(g412 +g1007 +tp26115 +a(g826 +g962 +tp26116 +a(g744 +Vnil +p26117 +tp26118 +a(g412 +g966 +tp26119 +a(g826 +V\u000a\u000a +p26120 +tp26121 +a(g744 +Vfinally +p26122 +tp26123 +a(g826 +V\u000a +p26124 +tp26125 +a(g435 +VSL +p26126 +tp26127 +a(g412 +g1154 +tp26128 +a(g435 +VFree +p26129 +tp26130 +a(g412 +g966 +tp26131 +a(g826 +V\u000a +p26132 +tp26133 +a(g744 +Vend +p26134 +tp26135 +a(g412 +g966 +tp26136 +a(g826 +V\u000a +p26137 +tp26138 +a(g744 +Vend +p26139 +tp26140 +a(g412 +g966 +tp26141 +a(g826 +V\u000a\u000a +p26142 +tp26143 +a(g744 +Vfunction +p26144 +tp26145 +a(g826 +g962 +tp26146 +a(g573 +VInRanges +p26147 +tp26148 +a(g705 +g1137 +tp26149 +a(g435 +VRanges +p26150 +tp26151 +a(g412 +g1407 +tp26152 +a(g826 +g962 +tp26153 +a(g435 +VTRanges +p26154 +tp26155 +a(g412 +g966 +tp26156 +a(g826 +g962 +tp26157 +a(g435 +VTestValue +p26158 +tp26159 +a(g412 +g1407 +tp26160 +a(g826 +g962 +tp26161 +a(g748 +VCardinal +p26162 +tp26163 +a(g705 +g1141 +tp26164 +a(g412 +g1407 +tp26165 +a(g826 +g962 +tp26166 +a(g748 +VBoolean +p26167 +tp26168 +a(g412 +g966 +tp26169 +a(g826 +V\u000a +p26170 +tp26171 +a(g744 +Vvar +p26172 +tp26173 +a(g826 +V\u000a +p26174 +tp26175 +a(g435 +g4708 +tp26176 +a(g412 +g1407 +tp26177 +a(g826 +g962 +tp26178 +a(g748 +VInteger +p26179 +tp26180 +a(g412 +g966 +tp26181 +a(g826 +V\u000a +p26182 +tp26183 +a(g744 +Vbegin +p26184 +tp26185 +a(g826 +V\u000a +p26186 +tp26187 +a(g693 +VResult +p26188 +tp26189 +a(g826 +g962 +tp26190 +a(g412 +g1407 +tp26191 +a(g412 +g1007 +tp26192 +a(g826 +g962 +tp26193 +a(g744 +VTrue +p26194 +tp26195 +a(g412 +g966 +tp26196 +a(g826 +V\u000a\u000a +p26197 +tp26198 +a(g744 +Vfor +p26199 +tp26200 +a(g826 +g962 +tp26201 +a(g435 +g4708 +tp26202 +a(g826 +g962 +tp26203 +a(g412 +g1407 +tp26204 +a(g412 +g1007 +tp26205 +a(g826 +g962 +tp26206 +a(g37 +g1202 +tp26207 +a(g826 +g962 +tp26208 +a(g744 +Vto +p26209 +tp26210 +a(g826 +g962 +tp26211 +a(g681 +VHigh +p26212 +tp26213 +a(g705 +g1137 +tp26214 +a(g435 +VRanges +p26215 +tp26216 +a(g705 +g1141 +tp26217 +a(g826 +g962 +tp26218 +a(g744 +Vdo +p26219 +tp26220 +a(g826 +V\u000a +p26221 +tp26222 +a(g744 +Vwith +p26223 +tp26224 +a(g826 +g962 +tp26225 +a(g435 +VRanges +p26226 +tp26227 +a(g705 +g1066 +tp26228 +a(g435 +g4708 +tp26229 +a(g705 +g1074 +tp26230 +a(g826 +g962 +tp26231 +a(g744 +Vdo +p26232 +tp26233 +a(g826 +V\u000a +p26234 +tp26235 +a(g744 +Vif +p26236 +tp26237 +a(g826 +g962 +tp26238 +a(g435 +VSimple +p26239 +tp26240 +a(g826 +g962 +tp26241 +a(g744 +Vthen +p26242 +tp26243 +a(g826 +g962 +tp26244 +a(g744 +Vbegin +p26245 +tp26246 +a(g826 +V\u000a +p26247 +tp26248 +a(g744 +Vif +p26249 +tp26250 +a(g826 +g962 +tp26251 +a(g435 +VTestValue +p26252 +tp26253 +a(g826 +g962 +tp26254 +a(g412 +g1007 +tp26255 +a(g826 +g962 +tp26256 +a(g435 +VValue +p26257 +tp26258 +a(g826 +g962 +tp26259 +a(g744 +Vthen +p26260 +tp26261 +a(g826 +V\u000a +p26262 +tp26263 +a(g744 +VExit +p26264 +tp26265 +a(g412 +g966 +tp26266 +a(g826 +V\u000a +p26267 +tp26268 +a(g744 +Vend +p26269 +tp26270 +a(g826 +g962 +tp26271 +a(g744 +Velse +p26272 +tp26273 +a(g826 +g962 +tp26274 +a(g744 +Vbegin +p26275 +tp26276 +a(g826 +V\u000a +p26277 +tp26278 +a(g744 +Vif +p26279 +tp26280 +a(g826 +g962 +tp26281 +a(g681 +VInRange +p26282 +tp26283 +a(g705 +g1137 +tp26284 +a(g435 +VTestValue +p26285 +tp26286 +a(g412 +g984 +tp26287 +a(g826 +g962 +tp26288 +a(g435 +VBottom +p26289 +tp26290 +a(g412 +g984 +tp26291 +a(g826 +g962 +tp26292 +a(g435 +VTop +p26293 +tp26294 +a(g705 +g1141 +tp26295 +a(g826 +g962 +tp26296 +a(g744 +Vthen +p26297 +tp26298 +a(g826 +V\u000a +p26299 +tp26300 +a(g744 +VExit +p26301 +tp26302 +a(g412 +g966 +tp26303 +a(g826 +V\u000a +p26304 +tp26305 +a(g744 +Vend +p26306 +tp26307 +a(g412 +g966 +tp26308 +a(g826 +V\u000a\u000a +p26309 +tp26310 +a(g693 +VResult +p26311 +tp26312 +a(g826 +g962 +tp26313 +a(g412 +g1407 +tp26314 +a(g412 +g1007 +tp26315 +a(g826 +g962 +tp26316 +a(g744 +VFalse +p26317 +tp26318 +a(g412 +g966 +tp26319 +a(g826 +V\u000a +p26320 +tp26321 +a(g744 +Vend +p26322 +tp26323 +a(g412 +g966 +tp26324 +a(g826 +V\u000a\u000a +p26325 +tp26326 +a(g744 +Vprocedure +p26327 +tp26328 +a(g826 +g962 +tp26329 +a(g573 +VWriteSL +p26330 +tp26331 +a(g705 +g1137 +tp26332 +a(g435 +VStrings +p26333 +tp26334 +a(g412 +g1407 +tp26335 +a(g826 +g962 +tp26336 +a(g435 +VTStrings +p26337 +tp26338 +a(g412 +g966 +tp26339 +a(g826 +g962 +tp26340 +a(g744 +Vconst +p26341 +tp26342 +a(g826 +g962 +tp26343 +a(g435 +VPrefix +p26344 +tp26345 +a(g412 +g1407 +tp26346 +a(g826 +g962 +tp26347 +a(g744 +Vstring +p26348 +tp26349 +a(g826 +g962 +tp26350 +a(g412 +g1007 +tp26351 +a(g826 +g962 +tp26352 +a(g89 +g1101 +tp26353 +a(g89 +g1101 +tp26354 +a(g412 +g966 +tp26355 +a(g826 +V\u000a +p26356 +tp26357 +a(g744 +Vconst +p26358 +tp26359 +a(g826 +g962 +tp26360 +a(g435 +VSuffix +p26361 +tp26362 +a(g412 +g1407 +tp26363 +a(g826 +g962 +tp26364 +a(g744 +Vstring +p26365 +tp26366 +a(g826 +g962 +tp26367 +a(g412 +g1007 +tp26368 +a(g826 +g962 +tp26369 +a(g89 +g1101 +tp26370 +a(g89 +g1101 +tp26371 +a(g705 +g1141 +tp26372 +a(g412 +g966 +tp26373 +a(g826 +V\u000a +p26374 +tp26375 +a(g744 +Vvar +p26376 +tp26377 +a(g826 +V\u000a +p26378 +tp26379 +a(g435 +g4708 +tp26380 +a(g412 +g1407 +tp26381 +a(g826 +g962 +tp26382 +a(g748 +VInteger +p26383 +tp26384 +a(g412 +g966 +tp26385 +a(g826 +V\u000a +p26386 +tp26387 +a(g744 +Vbegin +p26388 +tp26389 +a(g826 +V\u000a +p26390 +tp26391 +a(g744 +Vfor +p26392 +tp26393 +a(g826 +g962 +tp26394 +a(g435 +g4708 +tp26395 +a(g826 +g962 +tp26396 +a(g412 +g1407 +tp26397 +a(g412 +g1007 +tp26398 +a(g826 +g962 +tp26399 +a(g37 +g1202 +tp26400 +a(g826 +g962 +tp26401 +a(g744 +Vto +p26402 +tp26403 +a(g826 +g962 +tp26404 +a(g435 +VStrings +p26405 +tp26406 +a(g412 +g1154 +tp26407 +a(g435 +VCount +p26408 +tp26409 +a(g412 +g1109 +tp26410 +a(g37 +g1337 +tp26411 +a(g826 +g962 +tp26412 +a(g744 +Vdo +p26413 +tp26414 +a(g826 +V\u000a +p26415 +tp26416 +a(g681 +VWriteLn +p26417 +tp26418 +a(g705 +g1137 +tp26419 +a(g435 +VPrefix +p26420 +tp26421 +a(g826 +g962 +tp26422 +a(g412 +g1223 +tp26423 +a(g826 +g962 +tp26424 +a(g435 +VStrings +p26425 +tp26426 +a(g705 +g1066 +tp26427 +a(g435 +g4708 +tp26428 +a(g705 +g1074 +tp26429 +a(g826 +g962 +tp26430 +a(g412 +g1223 +tp26431 +a(g826 +g962 +tp26432 +a(g435 +VSuffix +p26433 +tp26434 +a(g705 +g1141 +tp26435 +a(g412 +g966 +tp26436 +a(g826 +V\u000a +p26437 +tp26438 +a(g744 +Vend +p26439 +tp26440 +a(g412 +g966 +tp26441 +a(g826 +V\u000a\u000a +p26442 +tp26443 +a(g744 +Vfunction +p26444 +tp26445 +a(g826 +g962 +tp26446 +a(g573 +VSuccess +p26447 +tp26448 +a(g705 +g1137 +tp26449 +a(g435 +VRes +p26450 +tp26451 +a(g412 +g1407 +tp26452 +a(g826 +g962 +tp26453 +a(g748 +VInteger +p26454 +tp26455 +a(g412 +g966 +tp26456 +a(g826 +g962 +tp26457 +a(g435 +VResultOnSuccess +p26458 +tp26459 +a(g412 +g1407 +tp26460 +a(g826 +g962 +tp26461 +a(g748 +VInteger +p26462 +tp26463 +a(g826 +g962 +tp26464 +a(g412 +g1007 +tp26465 +a(g826 +g962 +tp26466 +a(g435 +VERROR_SUCCESS +p26467 +tp26468 +a(g705 +g1141 +tp26469 +a(g412 +g1407 +tp26470 +a(g826 +g962 +tp26471 +a(g748 +VBoolean +p26472 +tp26473 +a(g412 +g966 +tp26474 +a(g826 +V\u000a +p26475 +tp26476 +a(g744 +Vbegin +p26477 +tp26478 +a(g826 +V\u000a +p26479 +tp26480 +a(g693 +VResult +p26481 +tp26482 +a(g826 +g962 +tp26483 +a(g412 +g1407 +tp26484 +a(g412 +g1007 +tp26485 +a(g826 +g962 +tp26486 +a(g705 +g1137 +tp26487 +a(g435 +VRes +p26488 +tp26489 +a(g826 +g962 +tp26490 +a(g412 +g1007 +tp26491 +a(g826 +g962 +tp26492 +a(g435 +VResultOnSuccess +p26493 +tp26494 +a(g705 +g1141 +tp26495 +a(g412 +g966 +tp26496 +a(g826 +V\u000a +p26497 +tp26498 +a(g435 +VLastSuccessRes +p26499 +tp26500 +a(g826 +g962 +tp26501 +a(g412 +g1407 +tp26502 +a(g412 +g1007 +tp26503 +a(g826 +g962 +tp26504 +a(g435 +VRes +p26505 +tp26506 +a(g412 +g966 +tp26507 +a(g826 +V\u000a +p26508 +tp26509 +a(g744 +Vend +p26510 +tp26511 +a(g412 +g966 +tp26512 +a(g826 +V\u000a\u000a +p26513 +tp26514 +a(g744 +Vfunction +p26515 +tp26516 +a(g826 +g962 +tp26517 +a(g573 +VFailure +p26518 +tp26519 +a(g705 +g1137 +tp26520 +a(g435 +VRes +p26521 +tp26522 +a(g412 +g1407 +tp26523 +a(g826 +g962 +tp26524 +a(g748 +VInteger +p26525 +tp26526 +a(g412 +g966 +tp26527 +a(g826 +g962 +tp26528 +a(g435 +VResultOnSuccess +p26529 +tp26530 +a(g412 +g1407 +tp26531 +a(g826 +g962 +tp26532 +a(g748 +VInteger +p26533 +tp26534 +a(g826 +g962 +tp26535 +a(g412 +g1007 +tp26536 +a(g826 +g962 +tp26537 +a(g435 +VERROR_SUCCESS +p26538 +tp26539 +a(g705 +g1141 +tp26540 +a(g412 +g1407 +tp26541 +a(g826 +g962 +tp26542 +a(g748 +VBoolean +p26543 +tp26544 +a(g412 +g966 +tp26545 +a(g826 +V\u000a +p26546 +tp26547 +a(g744 +Vbegin +p26548 +tp26549 +a(g826 +V\u000a +p26550 +tp26551 +a(g693 +VResult +p26552 +tp26553 +a(g826 +g962 +tp26554 +a(g412 +g1407 +tp26555 +a(g412 +g1007 +tp26556 +a(g826 +g962 +tp26557 +a(g744 +Vnot +p26558 +tp26559 +a(g826 +g962 +tp26560 +a(g435 +VSuccess +p26561 +tp26562 +a(g705 +g1137 +tp26563 +a(g435 +VRes +p26564 +tp26565 +a(g412 +g984 +tp26566 +a(g826 +g962 +tp26567 +a(g435 +VResultOnSuccess +p26568 +tp26569 +a(g705 +g1141 +tp26570 +a(g412 +g966 +tp26571 +a(g826 +V\u000a +p26572 +tp26573 +a(g744 +Vend +p26574 +tp26575 +a(g412 +g966 +tp26576 +a(g826 +V\u000a\u000a +p26577 +tp26578 +a(g744 +Vfunction +p26579 +tp26580 +a(g826 +g962 +tp26581 +a(g573 +VExpandString +p26582 +tp26583 +a(g705 +g1137 +tp26584 +a(g744 +Vconst +p26585 +tp26586 +a(g826 +g962 +tp26587 +a(g435 +g1773 +tp26588 +a(g412 +g1407 +tp26589 +a(g826 +g962 +tp26590 +a(g744 +Vstring +p26591 +tp26592 +a(g705 +g1141 +tp26593 +a(g412 +g1407 +tp26594 +a(g826 +g962 +tp26595 +a(g744 +Vstring +p26596 +tp26597 +a(g412 +g966 +tp26598 +a(g826 +V\u000a +p26599 +tp26600 +a(g744 +Vvar +p26601 +tp26602 +a(g826 +V\u000a +p26603 +tp26604 +a(g435 +VLen +p26605 +tp26606 +a(g412 +g1407 +tp26607 +a(g826 +g962 +tp26608 +a(g748 +VInteger +p26609 +tp26610 +a(g412 +g966 +tp26611 +a(g826 +V\u000a +p26612 +tp26613 +a(g435 +g1758 +tp26614 +a(g412 +g984 +tp26615 +a(g826 +g962 +tp26616 +a(g435 +VRes +p26617 +tp26618 +a(g412 +g1407 +tp26619 +a(g826 +g962 +tp26620 +a(g748 +VPChar +p26621 +tp26622 +a(g412 +g966 +tp26623 +a(g826 +V\u000a +p26624 +tp26625 +a(g744 +Vbegin +p26626 +tp26627 +a(g826 +V\u000a +p26628 +tp26629 +a(g693 +VResult +p26630 +tp26631 +a(g826 +g962 +tp26632 +a(g412 +g1407 +tp26633 +a(g412 +g1007 +tp26634 +a(g826 +g962 +tp26635 +a(g89 +g1101 +tp26636 +a(g89 +g1101 +tp26637 +a(g412 +g966 +tp26638 +a(g826 +V\u000a +p26639 +tp26640 +a(g435 +g1758 +tp26641 +a(g826 +g962 +tp26642 +a(g412 +g1407 +tp26643 +a(g412 +g1007 +tp26644 +a(g826 +g962 +tp26645 +a(g748 +VPChar +p26646 +tp26647 +a(g705 +g1137 +tp26648 +a(g435 +g1773 +tp26649 +a(g705 +g1141 +tp26650 +a(g412 +g966 +tp26651 +a(g826 +V\u000a +p26652 +tp26653 +a(g435 +VLen +p26654 +tp26655 +a(g826 +g962 +tp26656 +a(g412 +g1407 +tp26657 +a(g412 +g1007 +tp26658 +a(g826 +g962 +tp26659 +a(g435 +VExpandEnvironmentStrings +p26660 +tp26661 +a(g705 +g1137 +tp26662 +a(g435 +g1758 +tp26663 +a(g412 +g984 +tp26664 +a(g826 +g962 +tp26665 +a(g744 +Vnil +p26666 +tp26667 +a(g412 +g984 +tp26668 +a(g826 +g962 +tp26669 +a(g37 +g1202 +tp26670 +a(g705 +g1141 +tp26671 +a(g412 +g966 +tp26672 +a(g826 +V\u000a +p26673 +tp26674 +a(g744 +Vif +p26675 +tp26676 +a(g826 +g962 +tp26677 +a(g435 +VLen +p26678 +tp26679 +a(g826 +g962 +tp26680 +a(g412 +g1007 +tp26681 +a(g826 +g962 +tp26682 +a(g37 +g1202 +tp26683 +a(g826 +g962 +tp26684 +a(g744 +Vthen +p26685 +tp26686 +a(g826 +V\u000a +p26687 +tp26688 +a(g744 +VExit +p26689 +tp26690 +a(g412 +g966 +tp26691 +a(g826 +V\u000a\u000a +p26692 +tp26693 +a(g681 +VGetMem +p26694 +tp26695 +a(g705 +g1137 +tp26696 +a(g435 +VRes +p26697 +tp26698 +a(g412 +g984 +tp26699 +a(g826 +g962 +tp26700 +a(g435 +VLen +p26701 +tp26702 +a(g705 +g1141 +tp26703 +a(g412 +g966 +tp26704 +a(g826 +V\u000a +p26705 +tp26706 +a(g435 +VExpandEnvironmentStrings +p26707 +tp26708 +a(g705 +g1137 +tp26709 +a(g435 +g1758 +tp26710 +a(g412 +g984 +tp26711 +a(g826 +g962 +tp26712 +a(g435 +VRes +p26713 +tp26714 +a(g412 +g984 +tp26715 +a(g826 +g962 +tp26716 +a(g435 +VLen +p26717 +tp26718 +a(g705 +g1141 +tp26719 +a(g412 +g966 +tp26720 +a(g826 +V\u000a\u000a +p26721 +tp26722 +a(g693 +VResult +p26723 +tp26724 +a(g826 +g962 +tp26725 +a(g412 +g1407 +tp26726 +a(g412 +g1007 +tp26727 +a(g826 +g962 +tp26728 +a(g435 +VRes +p26729 +tp26730 +a(g412 +g966 +tp26731 +a(g826 +V\u000a +p26732 +tp26733 +a(g681 +VFreeMem +p26734 +tp26735 +a(g705 +g1137 +tp26736 +a(g435 +VRes +p26737 +tp26738 +a(g412 +g984 +tp26739 +a(g826 +g962 +tp26740 +a(g435 +VLen +p26741 +tp26742 +a(g705 +g1141 +tp26743 +a(g412 +g966 +tp26744 +a(g826 +V\u000a +p26745 +tp26746 +a(g744 +Vend +p26747 +tp26748 +a(g412 +g966 +tp26749 +a(g826 +V\u000a\u000a +p26750 +tp26751 +a(g744 +Vfunction +p26752 +tp26753 +a(g826 +g962 +tp26754 +a(g573 +VFindAll +p26755 +tp26756 +a(g705 +g1137 +tp26757 +a(g435 +VStrings +p26758 +tp26759 +a(g412 +g1407 +tp26760 +a(g826 +g962 +tp26761 +a(g435 +VTStrings +p26762 +tp26763 +a(g412 +g966 +tp26764 +a(g826 +g962 +tp26765 +a(g744 +Vconst +p26766 +tp26767 +a(g826 +g962 +tp26768 +a(g435 +VMask +p26769 +tp26770 +a(g412 +g1407 +tp26771 +a(g826 +g962 +tp26772 +a(g744 +Vstring +p26773 +tp26774 +a(g412 +g966 +tp26775 +a(g826 +V\u000a +p26776 +tp26777 +a(g435 +VScanSubDirs +p26778 +tp26779 +a(g412 +g1407 +tp26780 +a(g826 +g962 +tp26781 +a(g748 +VBoolean +p26782 +tp26783 +a(g826 +g962 +tp26784 +a(g412 +g1007 +tp26785 +a(g826 +g962 +tp26786 +a(g744 +VTrue +p26787 +tp26788 +a(g412 +g966 +tp26789 +a(g826 +g962 +tp26790 +a(g435 +VAttributes +p26791 +tp26792 +a(g412 +g1407 +tp26793 +a(g826 +g962 +tp26794 +a(g748 +VInteger +p26795 +tp26796 +a(g826 +g962 +tp26797 +a(g412 +g1007 +tp26798 +a(g826 +g962 +tp26799 +a(g435 +VfaFindEveryFile +p26800 +tp26801 +a(g412 +g966 +tp26802 +a(g826 +V\u000a +p26803 +tp26804 +a(g435 +VFileReturn +p26805 +tp26806 +a(g412 +g1407 +tp26807 +a(g826 +g962 +tp26808 +a(g435 +VTFileNameFunc +p26809 +tp26810 +a(g826 +g962 +tp26811 +a(g412 +g1007 +tp26812 +a(g826 +g962 +tp26813 +a(g744 +Vnil +p26814 +tp26815 +a(g705 +g1141 +tp26816 +a(g412 +g1407 +tp26817 +a(g826 +g962 +tp26818 +a(g748 +VBoolean +p26819 +tp26820 +a(g412 +g966 +tp26821 +a(g826 +V\u000a +p26822 +tp26823 +a(g744 +Vvar +p26824 +tp26825 +a(g826 +V\u000a +p26826 +tp26827 +a(g435 +VPath +p26828 +tp26829 +a(g412 +g984 +tp26830 +a(g826 +g962 +tp26831 +a(g435 +VFileName +p26832 +tp26833 +a(g412 +g1407 +tp26834 +a(g826 +g962 +tp26835 +a(g744 +Vstring +p26836 +tp26837 +a(g412 +g966 +tp26838 +a(g826 +V\u000a\u000a +p26839 +tp26840 +a(g744 +Vprocedure +p26841 +tp26842 +a(g826 +g962 +tp26843 +a(g573 +VScanDir +p26844 +tp26845 +a(g705 +g1137 +tp26846 +a(g744 +Vconst +p26847 +tp26848 +a(g826 +g962 +tp26849 +a(g435 +VPath +p26850 +tp26851 +a(g412 +g984 +tp26852 +a(g826 +g962 +tp26853 +a(g435 +VFileName +p26854 +tp26855 +a(g412 +g1407 +tp26856 +a(g826 +g962 +tp26857 +a(g744 +Vstring +p26858 +tp26859 +a(g705 +g1141 +tp26860 +a(g412 +g966 +tp26861 +a(g826 +V\u000a +p26862 +tp26863 +a(g744 +Vvar +p26864 +tp26865 +a(g826 +V\u000a +p26866 +tp26867 +a(g435 +VPSR +p26868 +tp26869 +a(g412 +g1407 +tp26870 +a(g826 +g962 +tp26871 +a(g435 +VTSearchRec +p26872 +tp26873 +a(g412 +g966 +tp26874 +a(g826 +V\u000a +p26875 +tp26876 +a(g435 +VRes +p26877 +tp26878 +a(g412 +g1407 +tp26879 +a(g826 +g962 +tp26880 +a(g748 +VInteger +p26881 +tp26882 +a(g412 +g966 +tp26883 +a(g826 +V\u000a\u000a +p26884 +tp26885 +a(g744 +Vprocedure +p26886 +tp26887 +a(g826 +g962 +tp26888 +a(g573 +VAdd +p26889 +tp26890 +a(g705 +g1137 +tp26891 +a(g744 +Vconst +p26892 +tp26893 +a(g826 +g962 +tp26894 +a(g435 +g1773 +tp26895 +a(g412 +g1407 +tp26896 +a(g826 +g962 +tp26897 +a(g744 +Vstring +p26898 +tp26899 +a(g705 +g1141 +tp26900 +a(g412 +g966 +tp26901 +a(g826 +V\u000a +p26902 +tp26903 +a(g744 +Vbegin +p26904 +tp26905 +a(g826 +V\u000a +p26906 +tp26907 +a(g744 +Vif +p26908 +tp26909 +a(g826 +g962 +tp26910 +a(g435 +g1773 +tp26911 +a(g826 +g962 +tp26912 +a(g412 +g1413 +tp26913 +a(g412 +g1419 +tp26914 +a(g826 +g962 +tp26915 +a(g89 +g1101 +tp26916 +a(g89 +g1101 +tp26917 +a(g826 +g962 +tp26918 +a(g744 +Vthen +p26919 +tp26920 +a(g826 +V\u000a +p26921 +tp26922 +a(g435 +VStrings +p26923 +tp26924 +a(g412 +g1154 +tp26925 +a(g435 +VAdd +p26926 +tp26927 +a(g705 +g1137 +tp26928 +a(g435 +g1773 +tp26929 +a(g705 +g1141 +tp26930 +a(g412 +g966 +tp26931 +a(g826 +V\u000a +p26932 +tp26933 +a(g744 +Vend +p26934 +tp26935 +a(g412 +g966 +tp26936 +a(g826 +V\u000a\u000a +p26937 +tp26938 +a(g744 +Vbegin +p26939 +tp26940 +a(g826 +V\u000a +p26941 +tp26942 +a(g435 +VRes +p26943 +tp26944 +a(g826 +g962 +tp26945 +a(g412 +g1407 +tp26946 +a(g412 +g1007 +tp26947 +a(g826 +g962 +tp26948 +a(g681 +VFindFirst +p26949 +tp26950 +a(g705 +g1137 +tp26951 +a(g435 +VPath +p26952 +tp26953 +a(g826 +g962 +tp26954 +a(g412 +g1223 +tp26955 +a(g826 +g962 +tp26956 +a(g435 +VFileName +p26957 +tp26958 +a(g412 +g984 +tp26959 +a(g826 +g962 +tp26960 +a(g435 +VAttributes +p26961 +tp26962 +a(g412 +g984 +tp26963 +a(g826 +g962 +tp26964 +a(g435 +VPSR +p26965 +tp26966 +a(g705 +g1141 +tp26967 +a(g412 +g966 +tp26968 +a(g826 +V\u000a +p26969 +tp26970 +a(g744 +Vwhile +p26971 +tp26972 +a(g826 +g962 +tp26973 +a(g435 +VSuccess +p26974 +tp26975 +a(g705 +g1137 +tp26976 +a(g435 +VRes +p26977 +tp26978 +a(g412 +g984 +tp26979 +a(g826 +g962 +tp26980 +a(g37 +g1202 +tp26981 +a(g705 +g1141 +tp26982 +a(g826 +g962 +tp26983 +a(g744 +Vdo +p26984 +tp26985 +a(g826 +g962 +tp26986 +a(g744 +Vbegin +p26987 +tp26988 +a(g826 +V\u000a +p26989 +tp26990 +a(g744 +Vif +p26991 +tp26992 +a(g826 +g962 +tp26993 +a(g681 +VAssigned +p26994 +tp26995 +a(g705 +g1137 +tp26996 +a(g435 +VFileReturn +p26997 +tp26998 +a(g705 +g1141 +tp26999 +a(g826 +g962 +tp27000 +a(g744 +Vthen +p27001 +tp27002 +a(g826 +V\u000a +p27003 +tp27004 +a(g435 +VAdd +p27005 +tp27006 +a(g705 +g1137 +tp27007 +a(g435 +VFileReturn +p27008 +tp27009 +a(g705 +g1137 +tp27010 +a(g435 +VPath +p27011 +tp27012 +a(g826 +g962 +tp27013 +a(g412 +g1223 +tp27014 +a(g826 +g962 +tp27015 +a(g435 +VPSR +p27016 +tp27017 +a(g412 +g1154 +tp27018 +a(g435 +VName +p27019 +tp27020 +a(g705 +V)) +p27021 +tp27022 +a(g826 +V\u000a +p27023 +tp27024 +a(g744 +Velse +p27025 +tp27026 +a(g826 +V\u000a +p27027 +tp27028 +a(g435 +VAdd +p27029 +tp27030 +a(g705 +g1137 +tp27031 +a(g435 +VPath +p27032 +tp27033 +a(g826 +g962 +tp27034 +a(g412 +g1223 +tp27035 +a(g826 +g962 +tp27036 +a(g435 +VPSR +p27037 +tp27038 +a(g412 +g1154 +tp27039 +a(g435 +VName +p27040 +tp27041 +a(g705 +g1141 +tp27042 +a(g412 +g966 +tp27043 +a(g826 +V\u000a +p27044 +tp27045 +a(g435 +VRes +p27046 +tp27047 +a(g826 +g962 +tp27048 +a(g412 +g1407 +tp27049 +a(g412 +g1007 +tp27050 +a(g826 +g962 +tp27051 +a(g681 +VFindNext +p27052 +tp27053 +a(g705 +g1137 +tp27054 +a(g435 +VPSR +p27055 +tp27056 +a(g705 +g1141 +tp27057 +a(g412 +g966 +tp27058 +a(g826 +V\u000a +p27059 +tp27060 +a(g744 +Vend +p27061 +tp27062 +a(g412 +g966 +tp27063 +a(g826 +V\u000a +p27064 +tp27065 +a(g681 +VFindClose +p27066 +tp27067 +a(g705 +g1137 +tp27068 +a(g435 +VPSR +p27069 +tp27070 +a(g705 +g1141 +tp27071 +a(g412 +g966 +tp27072 +a(g826 +V\u000a +p27073 +tp27074 +a(g744 +Vif +p27075 +tp27076 +a(g826 +g962 +tp27077 +a(g744 +Vnot +p27078 +tp27079 +a(g826 +g962 +tp27080 +a(g435 +VScanSubDirs +p27081 +tp27082 +a(g826 +g962 +tp27083 +a(g744 +Vthen +p27084 +tp27085 +a(g826 +V\u000a +p27086 +tp27087 +a(g744 +VExit +p27088 +tp27089 +a(g412 +g966 +tp27090 +a(g826 +V\u000a\u000a +p27091 +tp27092 +a(g435 +VRes +p27093 +tp27094 +a(g826 +g962 +tp27095 +a(g412 +g1407 +tp27096 +a(g412 +g1007 +tp27097 +a(g826 +g962 +tp27098 +a(g681 +VFindFirst +p27099 +tp27100 +a(g705 +g1137 +tp27101 +a(g435 +VPath +p27102 +tp27103 +a(g826 +g962 +tp27104 +a(g412 +g1223 +tp27105 +a(g826 +g962 +tp27106 +a(g89 +g1101 +tp27107 +a(g89 +g1371 +tp27108 +a(g89 +g1101 +tp27109 +a(g412 +g984 +tp27110 +a(g826 +g962 +tp27111 +a(g435 +VfaDirectory +p27112 +tp27113 +a(g412 +g984 +tp27114 +a(g826 +g962 +tp27115 +a(g435 +VPSR +p27116 +tp27117 +a(g705 +g1141 +tp27118 +a(g412 +g966 +tp27119 +a(g826 +V\u000a +p27120 +tp27121 +a(g744 +Vwhile +p27122 +tp27123 +a(g826 +g962 +tp27124 +a(g435 +VSuccess +p27125 +tp27126 +a(g705 +g1137 +tp27127 +a(g435 +VRes +p27128 +tp27129 +a(g412 +g984 +tp27130 +a(g826 +g962 +tp27131 +a(g37 +g1202 +tp27132 +a(g705 +g1141 +tp27133 +a(g826 +g962 +tp27134 +a(g744 +Vdo +p27135 +tp27136 +a(g826 +g962 +tp27137 +a(g744 +Vbegin +p27138 +tp27139 +a(g826 +V\u000a +p27140 +tp27141 +a(g744 +Vif +p27142 +tp27143 +a(g826 +g962 +tp27144 +a(g705 +g1137 +tp27145 +a(g435 +VPSR +p27146 +tp27147 +a(g412 +g1154 +tp27148 +a(g435 +VAttr +p27149 +tp27150 +a(g826 +g962 +tp27151 +a(g744 +Vand +p27152 +tp27153 +a(g826 +g962 +tp27154 +a(g435 +VfaDirectory +p27155 +tp27156 +a(g826 +g962 +tp27157 +a(g412 +g1419 +tp27158 +a(g826 +g962 +tp27159 +a(g37 +g1202 +tp27160 +a(g705 +g1141 +tp27161 +a(g826 +V\u000a +p27162 +tp27163 +a(g744 +Vand +p27164 +tp27165 +a(g826 +g962 +tp27166 +a(g705 +g1137 +tp27167 +a(g435 +VPSR +p27168 +tp27169 +a(g412 +g1154 +tp27170 +a(g435 +VName +p27171 +tp27172 +a(g826 +g962 +tp27173 +a(g412 +g1413 +tp27174 +a(g412 +g1419 +tp27175 +a(g826 +g962 +tp27176 +a(g89 +g1101 +tp27177 +a(g89 +g1154 +tp27178 +a(g89 +g1101 +tp27179 +a(g705 +g1141 +tp27180 +a(g826 +g962 +tp27181 +a(g744 +Vand +p27182 +tp27183 +a(g826 +g962 +tp27184 +a(g705 +g1137 +tp27185 +a(g435 +VPSR +p27186 +tp27187 +a(g412 +g1154 +tp27188 +a(g435 +VName +p27189 +tp27190 +a(g826 +g962 +tp27191 +a(g412 +g1413 +tp27192 +a(g412 +g1419 +tp27193 +a(g826 +g962 +tp27194 +a(g89 +g1101 +tp27195 +a(g89 +V.. +p27196 +tp27197 +a(g89 +g1101 +tp27198 +a(g705 +g1141 +tp27199 +a(g826 +g962 +tp27200 +a(g744 +Vthen +p27201 +tp27202 +a(g826 +V\u000a +p27203 +tp27204 +a(g435 +VScanDir +p27205 +tp27206 +a(g705 +g1137 +tp27207 +a(g435 +VPath +p27208 +tp27209 +a(g826 +g962 +tp27210 +a(g412 +g1223 +tp27211 +a(g826 +g962 +tp27212 +a(g435 +VPSR +p27213 +tp27214 +a(g412 +g1154 +tp27215 +a(g435 +VName +p27216 +tp27217 +a(g826 +g962 +tp27218 +a(g412 +g1223 +tp27219 +a(g826 +g962 +tp27220 +a(g89 +g1101 +tp27221 +a(g89 +V\u005c +p27222 +tp27223 +a(g89 +g1101 +tp27224 +a(g412 +g984 +tp27225 +a(g826 +g962 +tp27226 +a(g435 +VFileName +p27227 +tp27228 +a(g705 +g1141 +tp27229 +a(g412 +g966 +tp27230 +a(g826 +V\u000a +p27231 +tp27232 +a(g435 +VRes +p27233 +tp27234 +a(g826 +g962 +tp27235 +a(g412 +g1407 +tp27236 +a(g412 +g1007 +tp27237 +a(g826 +g962 +tp27238 +a(g681 +VFindNext +p27239 +tp27240 +a(g705 +g1137 +tp27241 +a(g435 +VPSR +p27242 +tp27243 +a(g705 +g1141 +tp27244 +a(g412 +g966 +tp27245 +a(g826 +V\u000a +p27246 +tp27247 +a(g744 +Vend +p27248 +tp27249 +a(g412 +g966 +tp27250 +a(g826 +V\u000a +p27251 +tp27252 +a(g681 +VFindClose +p27253 +tp27254 +a(g705 +g1137 +tp27255 +a(g435 +VPSR +p27256 +tp27257 +a(g705 +g1141 +tp27258 +a(g412 +g966 +tp27259 +a(g826 +V\u000a +p27260 +tp27261 +a(g744 +Vend +p27262 +tp27263 +a(g412 +g966 +tp27264 +a(g826 +V\u000a\u000a +p27265 +tp27266 +a(g744 +Vbegin +p27267 +tp27268 +a(g826 +V\u000a +p27269 +tp27270 +a(g435 +VStrings +p27271 +tp27272 +a(g412 +g1154 +tp27273 +a(g435 +VClear +p27274 +tp27275 +a(g412 +g966 +tp27276 +a(g826 +V\u000a +p27277 +tp27278 +a(g435 +VPath +p27279 +tp27280 +a(g826 +g962 +tp27281 +a(g412 +g1407 +tp27282 +a(g412 +g1007 +tp27283 +a(g826 +g962 +tp27284 +a(g435 +VExtractPath +p27285 +tp27286 +a(g705 +g1137 +tp27287 +a(g435 +VMask +p27288 +tp27289 +a(g705 +g1141 +tp27290 +a(g412 +g966 +tp27291 +a(g826 +V\u000a +p27292 +tp27293 +a(g435 +VFileName +p27294 +tp27295 +a(g826 +g962 +tp27296 +a(g412 +g1407 +tp27297 +a(g412 +g1007 +tp27298 +a(g826 +g962 +tp27299 +a(g681 +VExtractFileName +p27300 +tp27301 +a(g705 +g1137 +tp27302 +a(g435 +VMask +p27303 +tp27304 +a(g705 +g1141 +tp27305 +a(g412 +g966 +tp27306 +a(g826 +V\u000a +p27307 +tp27308 +a(g435 +VScanDir +p27309 +tp27310 +a(g705 +g1137 +tp27311 +a(g435 +VPath +p27312 +tp27313 +a(g412 +g984 +tp27314 +a(g826 +g962 +tp27315 +a(g435 +VFileName +p27316 +tp27317 +a(g705 +g1141 +tp27318 +a(g412 +g966 +tp27319 +a(g826 +V\u000a +p27320 +tp27321 +a(g693 +VResult +p27322 +tp27323 +a(g826 +g962 +tp27324 +a(g412 +g1407 +tp27325 +a(g412 +g1007 +tp27326 +a(g826 +g962 +tp27327 +a(g435 +VStrings +p27328 +tp27329 +a(g412 +g1154 +tp27330 +a(g435 +VCount +p27331 +tp27332 +a(g826 +g962 +tp27333 +a(g412 +g1419 +tp27334 +a(g826 +g962 +tp27335 +a(g37 +g1202 +tp27336 +a(g412 +g966 +tp27337 +a(g826 +V\u000a +p27338 +tp27339 +a(g744 +Vend +p27340 +tp27341 +a(g412 +g966 +tp27342 +a(g826 +V\u000a\u000a +p27343 +tp27344 +a(g744 +Vfunction +p27345 +tp27346 +a(g826 +g962 +tp27347 +a(g573 +VFindAllFirst +p27348 +tp27349 +a(g705 +g1137 +tp27350 +a(g744 +Vconst +p27351 +tp27352 +a(g826 +g962 +tp27353 +a(g435 +VMask +p27354 +tp27355 +a(g412 +g1407 +tp27356 +a(g826 +g962 +tp27357 +a(g744 +Vstring +p27358 +tp27359 +a(g412 +g966 +tp27360 +a(g826 +g962 +tp27361 +a(g435 +VScanSubDirs +p27362 +tp27363 +a(g412 +g1407 +tp27364 +a(g826 +g962 +tp27365 +a(g748 +VBoolean +p27366 +tp27367 +a(g826 +g962 +tp27368 +a(g412 +g1007 +tp27369 +a(g826 +g962 +tp27370 +a(g744 +VTrue +p27371 +tp27372 +a(g412 +g966 +tp27373 +a(g826 +V\u000a +p27374 +tp27375 +a(g435 +VAttributes +p27376 +tp27377 +a(g412 +g1407 +tp27378 +a(g826 +g962 +tp27379 +a(g748 +VInteger +p27380 +tp27381 +a(g826 +g962 +tp27382 +a(g412 +g1007 +tp27383 +a(g826 +g962 +tp27384 +a(g435 +VfaFindEveryFile +p27385 +tp27386 +a(g705 +g1141 +tp27387 +a(g412 +g1407 +tp27388 +a(g826 +g962 +tp27389 +a(g744 +Vstring +p27390 +tp27391 +a(g412 +g966 +tp27392 +a(g826 +V\u000a +p27393 +tp27394 +a(g744 +Vvar +p27395 +tp27396 +a(g826 +V\u000a +p27397 +tp27398 +a(g435 +VPath +p27399 +tp27400 +a(g412 +g984 +tp27401 +a(g826 +g962 +tp27402 +a(g435 +VFileName +p27403 +tp27404 +a(g412 +g1407 +tp27405 +a(g826 +g962 +tp27406 +a(g744 +Vstring +p27407 +tp27408 +a(g412 +g966 +tp27409 +a(g826 +V\u000a\u000a +p27410 +tp27411 +a(g744 +Vfunction +p27412 +tp27413 +a(g826 +g962 +tp27414 +a(g573 +VScanDir +p27415 +tp27416 +a(g705 +g1137 +tp27417 +a(g744 +Vconst +p27418 +tp27419 +a(g826 +g962 +tp27420 +a(g435 +VPath +p27421 +tp27422 +a(g412 +g984 +tp27423 +a(g826 +g962 +tp27424 +a(g435 +VFileName +p27425 +tp27426 +a(g412 +g1407 +tp27427 +a(g826 +g962 +tp27428 +a(g744 +Vstring +p27429 +tp27430 +a(g705 +g1141 +tp27431 +a(g412 +g1407 +tp27432 +a(g826 +g962 +tp27433 +a(g748 +VBoolean +p27434 +tp27435 +a(g412 +g966 +tp27436 +a(g826 +V\u000a +p27437 +tp27438 +a(g744 +Vvar +p27439 +tp27440 +a(g826 +V\u000a +p27441 +tp27442 +a(g435 +VPSR +p27443 +tp27444 +a(g412 +g1407 +tp27445 +a(g826 +g962 +tp27446 +a(g435 +VTSearchRec +p27447 +tp27448 +a(g412 +g966 +tp27449 +a(g826 +V\u000a +p27450 +tp27451 +a(g435 +VRes +p27452 +tp27453 +a(g412 +g1407 +tp27454 +a(g826 +g962 +tp27455 +a(g748 +VInteger +p27456 +tp27457 +a(g412 +g966 +tp27458 +a(g826 +V\u000a +p27459 +tp27460 +a(g744 +Vbegin +p27461 +tp27462 +a(g826 +V\u000a +p27463 +tp27464 +a(g693 +VResult +p27465 +tp27466 +a(g826 +g962 +tp27467 +a(g412 +g1407 +tp27468 +a(g412 +g1007 +tp27469 +a(g826 +g962 +tp27470 +a(g744 +VFalse +p27471 +tp27472 +a(g412 +g966 +tp27473 +a(g826 +V\u000a +p27474 +tp27475 +a(g744 +Vif +p27476 +tp27477 +a(g826 +g962 +tp27478 +a(g435 +VSuccess +p27479 +tp27480 +a(g705 +g1137 +tp27481 +a(g681 +VFindFirst +p27482 +tp27483 +a(g705 +g1137 +tp27484 +a(g435 +VPath +p27485 +tp27486 +a(g826 +g962 +tp27487 +a(g412 +g1223 +tp27488 +a(g826 +g962 +tp27489 +a(g435 +VFileName +p27490 +tp27491 +a(g412 +g984 +tp27492 +a(g826 +g962 +tp27493 +a(g435 +VAttributes +p27494 +tp27495 +a(g412 +g984 +tp27496 +a(g826 +g962 +tp27497 +a(g435 +VPSR +p27498 +tp27499 +a(g705 +g1141 +tp27500 +a(g412 +g984 +tp27501 +a(g826 +g962 +tp27502 +a(g37 +g1202 +tp27503 +a(g705 +g1141 +tp27504 +a(g826 +g962 +tp27505 +a(g744 +Vthen +p27506 +tp27507 +a(g826 +g962 +tp27508 +a(g744 +Vbegin +p27509 +tp27510 +a(g826 +V\u000a +p27511 +tp27512 +a(g435 +VFindAllFirst +p27513 +tp27514 +a(g826 +g962 +tp27515 +a(g412 +g1407 +tp27516 +a(g412 +g1007 +tp27517 +a(g826 +g962 +tp27518 +a(g435 +VPath +p27519 +tp27520 +a(g826 +g962 +tp27521 +a(g412 +g1223 +tp27522 +a(g826 +g962 +tp27523 +a(g435 +VPSR +p27524 +tp27525 +a(g412 +g1154 +tp27526 +a(g435 +VName +p27527 +tp27528 +a(g412 +g966 +tp27529 +a(g826 +V\u000a +p27530 +tp27531 +a(g693 +VResult +p27532 +tp27533 +a(g826 +g962 +tp27534 +a(g412 +g1407 +tp27535 +a(g412 +g1007 +tp27536 +a(g826 +g962 +tp27537 +a(g744 +VTrue +p27538 +tp27539 +a(g412 +g966 +tp27540 +a(g826 +V\u000a +p27541 +tp27542 +a(g681 +VFindClose +p27543 +tp27544 +a(g705 +g1137 +tp27545 +a(g435 +VPSR +p27546 +tp27547 +a(g705 +g1141 +tp27548 +a(g412 +g966 +tp27549 +a(g826 +V\u000a +p27550 +tp27551 +a(g744 +VExit +p27552 +tp27553 +a(g412 +g966 +tp27554 +a(g826 +g962 +tp27555 +a(g744 +Vend +p27556 +tp27557 +a(g412 +g966 +tp27558 +a(g826 +V\u000a +p27559 +tp27560 +a(g744 +Vif +p27561 +tp27562 +a(g826 +g962 +tp27563 +a(g744 +Vnot +p27564 +tp27565 +a(g826 +g962 +tp27566 +a(g435 +VScanSubDirs +p27567 +tp27568 +a(g826 +g962 +tp27569 +a(g744 +Vthen +p27570 +tp27571 +a(g826 +V\u000a +p27572 +tp27573 +a(g744 +VExit +p27574 +tp27575 +a(g412 +g966 +tp27576 +a(g826 +V\u000a\u000a +p27577 +tp27578 +a(g435 +VRes +p27579 +tp27580 +a(g826 +g962 +tp27581 +a(g412 +g1407 +tp27582 +a(g412 +g1007 +tp27583 +a(g826 +g962 +tp27584 +a(g681 +VFindFirst +p27585 +tp27586 +a(g705 +g1137 +tp27587 +a(g435 +VPath +p27588 +tp27589 +a(g826 +g962 +tp27590 +a(g412 +g1223 +tp27591 +a(g826 +g962 +tp27592 +a(g89 +g1101 +tp27593 +a(g89 +g1371 +tp27594 +a(g89 +g1101 +tp27595 +a(g412 +g984 +tp27596 +a(g826 +g962 +tp27597 +a(g435 +VfaDirectory +p27598 +tp27599 +a(g412 +g984 +tp27600 +a(g826 +g962 +tp27601 +a(g435 +VPSR +p27602 +tp27603 +a(g705 +g1141 +tp27604 +a(g412 +g966 +tp27605 +a(g826 +V\u000a +p27606 +tp27607 +a(g744 +Vwhile +p27608 +tp27609 +a(g826 +g962 +tp27610 +a(g744 +Vnot +p27611 +tp27612 +a(g826 +g962 +tp27613 +a(g693 +VResult +p27614 +tp27615 +a(g826 +g962 +tp27616 +a(g744 +Vand +p27617 +tp27618 +a(g826 +g962 +tp27619 +a(g435 +VSuccess +p27620 +tp27621 +a(g705 +g1137 +tp27622 +a(g435 +VRes +p27623 +tp27624 +a(g412 +g984 +tp27625 +a(g826 +g962 +tp27626 +a(g37 +g1202 +tp27627 +a(g705 +g1141 +tp27628 +a(g826 +g962 +tp27629 +a(g744 +Vdo +p27630 +tp27631 +a(g826 +g962 +tp27632 +a(g744 +Vbegin +p27633 +tp27634 +a(g826 +V\u000a +p27635 +tp27636 +a(g744 +Vif +p27637 +tp27638 +a(g826 +g962 +tp27639 +a(g705 +g1137 +tp27640 +a(g435 +VPSR +p27641 +tp27642 +a(g412 +g1154 +tp27643 +a(g435 +VAttr +p27644 +tp27645 +a(g826 +g962 +tp27646 +a(g744 +Vand +p27647 +tp27648 +a(g826 +g962 +tp27649 +a(g435 +VfaDirectory +p27650 +tp27651 +a(g826 +g962 +tp27652 +a(g412 +g1419 +tp27653 +a(g826 +g962 +tp27654 +a(g37 +g1202 +tp27655 +a(g705 +g1141 +tp27656 +a(g826 +V\u000a +p27657 +tp27658 +a(g744 +Vand +p27659 +tp27660 +a(g826 +g962 +tp27661 +a(g705 +g1137 +tp27662 +a(g435 +VPSR +p27663 +tp27664 +a(g412 +g1154 +tp27665 +a(g435 +VName +p27666 +tp27667 +a(g826 +g962 +tp27668 +a(g412 +g1413 +tp27669 +a(g412 +g1419 +tp27670 +a(g826 +g962 +tp27671 +a(g89 +g1101 +tp27672 +a(g89 +g1154 +tp27673 +a(g89 +g1101 +tp27674 +a(g705 +g1141 +tp27675 +a(g826 +g962 +tp27676 +a(g744 +Vand +p27677 +tp27678 +a(g826 +g962 +tp27679 +a(g705 +g1137 +tp27680 +a(g435 +VPSR +p27681 +tp27682 +a(g412 +g1154 +tp27683 +a(g435 +VName +p27684 +tp27685 +a(g826 +g962 +tp27686 +a(g412 +g1413 +tp27687 +a(g412 +g1419 +tp27688 +a(g826 +g962 +tp27689 +a(g89 +g1101 +tp27690 +a(g89 +V.. +p27691 +tp27692 +a(g89 +g1101 +tp27693 +a(g705 +g1141 +tp27694 +a(g826 +g962 +tp27695 +a(g744 +Vthen +p27696 +tp27697 +a(g826 +V\u000a +p27698 +tp27699 +a(g693 +VResult +p27700 +tp27701 +a(g826 +g962 +tp27702 +a(g412 +g1407 +tp27703 +a(g412 +g1007 +tp27704 +a(g826 +g962 +tp27705 +a(g435 +VScanDir +p27706 +tp27707 +a(g705 +g1137 +tp27708 +a(g435 +VPath +p27709 +tp27710 +a(g826 +g962 +tp27711 +a(g412 +g1223 +tp27712 +a(g826 +g962 +tp27713 +a(g435 +VPSR +p27714 +tp27715 +a(g412 +g1154 +tp27716 +a(g435 +VName +p27717 +tp27718 +a(g826 +g962 +tp27719 +a(g412 +g1223 +tp27720 +a(g826 +g962 +tp27721 +a(g89 +g1101 +tp27722 +a(g89 +V\u005c +p27723 +tp27724 +a(g89 +g1101 +tp27725 +a(g412 +g984 +tp27726 +a(g826 +g962 +tp27727 +a(g435 +VFileName +p27728 +tp27729 +a(g705 +g1141 +tp27730 +a(g412 +g966 +tp27731 +a(g826 +V\u000a +p27732 +tp27733 +a(g435 +VRes +p27734 +tp27735 +a(g826 +g962 +tp27736 +a(g412 +g1407 +tp27737 +a(g412 +g1007 +tp27738 +a(g826 +g962 +tp27739 +a(g681 +VFindNext +p27740 +tp27741 +a(g705 +g1137 +tp27742 +a(g435 +VPSR +p27743 +tp27744 +a(g705 +g1141 +tp27745 +a(g412 +g966 +tp27746 +a(g826 +V\u000a +p27747 +tp27748 +a(g744 +Vend +p27749 +tp27750 +a(g412 +g966 +tp27751 +a(g826 +V\u000a +p27752 +tp27753 +a(g681 +VFindClose +p27754 +tp27755 +a(g705 +g1137 +tp27756 +a(g435 +VPSR +p27757 +tp27758 +a(g705 +g1141 +tp27759 +a(g412 +g966 +tp27760 +a(g826 +V\u000a +p27761 +tp27762 +a(g744 +Vend +p27763 +tp27764 +a(g412 +g966 +tp27765 +a(g826 +V\u000a +p27766 +tp27767 +a(g744 +Vbegin +p27768 +tp27769 +a(g826 +V\u000a +p27770 +tp27771 +a(g693 +VResult +p27772 +tp27773 +a(g826 +g962 +tp27774 +a(g412 +g1407 +tp27775 +a(g412 +g1007 +tp27776 +a(g826 +g962 +tp27777 +a(g89 +g1101 +tp27778 +a(g89 +g1101 +tp27779 +a(g412 +g966 +tp27780 +a(g826 +V\u000a +p27781 +tp27782 +a(g435 +VPath +p27783 +tp27784 +a(g826 +g962 +tp27785 +a(g412 +g1407 +tp27786 +a(g412 +g1007 +tp27787 +a(g826 +g962 +tp27788 +a(g435 +VExtractPath +p27789 +tp27790 +a(g705 +g1137 +tp27791 +a(g435 +VMask +p27792 +tp27793 +a(g705 +g1141 +tp27794 +a(g412 +g966 +tp27795 +a(g826 +V\u000a +p27796 +tp27797 +a(g435 +VFileName +p27798 +tp27799 +a(g826 +g962 +tp27800 +a(g412 +g1407 +tp27801 +a(g412 +g1007 +tp27802 +a(g826 +g962 +tp27803 +a(g681 +VExtractFileName +p27804 +tp27805 +a(g705 +g1137 +tp27806 +a(g435 +VMask +p27807 +tp27808 +a(g705 +g1141 +tp27809 +a(g412 +g966 +tp27810 +a(g826 +V\u000a +p27811 +tp27812 +a(g435 +VScanDir +p27813 +tp27814 +a(g705 +g1137 +tp27815 +a(g435 +VPath +p27816 +tp27817 +a(g412 +g984 +tp27818 +a(g826 +g962 +tp27819 +a(g435 +VFileName +p27820 +tp27821 +a(g705 +g1141 +tp27822 +a(g412 +g966 +tp27823 +a(g826 +V\u000a +p27824 +tp27825 +a(g744 +Vend +p27826 +tp27827 +a(g412 +g966 +tp27828 +a(g826 +V\u000a\u000a +p27829 +tp27830 +a(g744 +Vprocedure +p27831 +tp27832 +a(g826 +g962 +tp27833 +a(g573 +VDeleteFiles +p27834 +tp27835 +a(g705 +g1137 +tp27836 +a(g744 +Vconst +p27837 +tp27838 +a(g826 +g962 +tp27839 +a(g435 +VMask +p27840 +tp27841 +a(g412 +g1407 +tp27842 +a(g826 +g962 +tp27843 +a(g744 +Vstring +p27844 +tp27845 +a(g412 +g966 +tp27846 +a(g826 +g962 +tp27847 +a(g435 +VScanSubDirs +p27848 +tp27849 +a(g412 +g1407 +tp27850 +a(g826 +g962 +tp27851 +a(g748 +VBoolean +p27852 +tp27853 +a(g826 +g962 +tp27854 +a(g412 +g1007 +tp27855 +a(g826 +g962 +tp27856 +a(g744 +VTrue +p27857 +tp27858 +a(g412 +g966 +tp27859 +a(g826 +V\u000a +p27860 +tp27861 +a(g435 +VAttributes +p27862 +tp27863 +a(g412 +g1407 +tp27864 +a(g826 +g962 +tp27865 +a(g748 +VInteger +p27866 +tp27867 +a(g826 +g962 +tp27868 +a(g412 +g1007 +tp27869 +a(g826 +g962 +tp27870 +a(g435 +VfaFindEveryFile +p27871 +tp27872 +a(g705 +g1141 +tp27873 +a(g412 +g966 +tp27874 +a(g826 +V\u000a +p27875 +tp27876 +a(g744 +Vvar +p27877 +tp27878 +a(g826 +V\u000a +p27879 +tp27880 +a(g435 +VPath +p27881 +tp27882 +a(g412 +g984 +tp27883 +a(g826 +g962 +tp27884 +a(g435 +VFileName +p27885 +tp27886 +a(g412 +g1407 +tp27887 +a(g826 +g962 +tp27888 +a(g744 +Vstring +p27889 +tp27890 +a(g412 +g966 +tp27891 +a(g826 +V\u000a\u000a +p27892 +tp27893 +a(g744 +Vprocedure +p27894 +tp27895 +a(g826 +g962 +tp27896 +a(g573 +VScanDir +p27897 +tp27898 +a(g705 +g1137 +tp27899 +a(g744 +Vconst +p27900 +tp27901 +a(g826 +g962 +tp27902 +a(g435 +VPath +p27903 +tp27904 +a(g412 +g984 +tp27905 +a(g826 +g962 +tp27906 +a(g435 +VFileName +p27907 +tp27908 +a(g412 +g1407 +tp27909 +a(g826 +g962 +tp27910 +a(g744 +Vstring +p27911 +tp27912 +a(g705 +g1141 +tp27913 +a(g412 +g966 +tp27914 +a(g826 +V\u000a +p27915 +tp27916 +a(g744 +Vvar +p27917 +tp27918 +a(g826 +V\u000a +p27919 +tp27920 +a(g435 +VPSR +p27921 +tp27922 +a(g412 +g1407 +tp27923 +a(g826 +g962 +tp27924 +a(g435 +VTSearchRec +p27925 +tp27926 +a(g412 +g966 +tp27927 +a(g826 +V\u000a +p27928 +tp27929 +a(g435 +VRes +p27930 +tp27931 +a(g412 +g1407 +tp27932 +a(g826 +g962 +tp27933 +a(g748 +VInteger +p27934 +tp27935 +a(g412 +g966 +tp27936 +a(g826 +V\u000a\u000a +p27937 +tp27938 +a(g744 +Vprocedure +p27939 +tp27940 +a(g826 +g962 +tp27941 +a(g573 +VTryDeleteFile +p27942 +tp27943 +a(g705 +g1137 +tp27944 +a(g744 +Vconst +p27945 +tp27946 +a(g826 +g962 +tp27947 +a(g435 +VFileName +p27948 +tp27949 +a(g412 +g1407 +tp27950 +a(g826 +g962 +tp27951 +a(g744 +Vstring +p27952 +tp27953 +a(g705 +g1141 +tp27954 +a(g412 +g966 +tp27955 +a(g826 +V\u000a +p27956 +tp27957 +a(g744 +Vbegin +p27958 +tp27959 +a(g826 +V\u000a +p27960 +tp27961 +a(g744 +Vtry +p27962 +tp27963 +a(g826 +V\u000a +p27964 +tp27965 +a(g681 +VDeleteFile +p27966 +tp27967 +a(g705 +g1137 +tp27968 +a(g435 +VPath +p27969 +tp27970 +a(g826 +g962 +tp27971 +a(g412 +g1223 +tp27972 +a(g826 +g962 +tp27973 +a(g435 +VPSR +p27974 +tp27975 +a(g412 +g1154 +tp27976 +a(g435 +VName +p27977 +tp27978 +a(g705 +g1141 +tp27979 +a(g412 +g966 +tp27980 +a(g826 +V\u000a +p27981 +tp27982 +a(g744 +Vexcept +p27983 +tp27984 +a(g826 +V\u000a +p27985 +tp27986 +a(g744 +Vend +p27987 +tp27988 +a(g412 +g966 +tp27989 +a(g826 +V\u000a +p27990 +tp27991 +a(g744 +Vend +p27992 +tp27993 +a(g412 +g966 +tp27994 +a(g826 +V\u000a\u000a +p27995 +tp27996 +a(g744 +Vbegin +p27997 +tp27998 +a(g826 +V\u000a +p27999 +tp28000 +a(g435 +VRes +p28001 +tp28002 +a(g826 +g962 +tp28003 +a(g412 +g1407 +tp28004 +a(g412 +g1007 +tp28005 +a(g826 +g962 +tp28006 +a(g681 +VFindFirst +p28007 +tp28008 +a(g705 +g1137 +tp28009 +a(g435 +VPath +p28010 +tp28011 +a(g826 +g962 +tp28012 +a(g412 +g1223 +tp28013 +a(g826 +g962 +tp28014 +a(g435 +VFileName +p28015 +tp28016 +a(g412 +g984 +tp28017 +a(g826 +g962 +tp28018 +a(g435 +VAttributes +p28019 +tp28020 +a(g412 +g984 +tp28021 +a(g826 +g962 +tp28022 +a(g435 +VPSR +p28023 +tp28024 +a(g705 +g1141 +tp28025 +a(g412 +g966 +tp28026 +a(g826 +V\u000a +p28027 +tp28028 +a(g744 +Vwhile +p28029 +tp28030 +a(g826 +g962 +tp28031 +a(g435 +VSuccess +p28032 +tp28033 +a(g705 +g1137 +tp28034 +a(g435 +VRes +p28035 +tp28036 +a(g412 +g984 +tp28037 +a(g826 +g962 +tp28038 +a(g37 +g1202 +tp28039 +a(g705 +g1141 +tp28040 +a(g826 +g962 +tp28041 +a(g744 +Vdo +p28042 +tp28043 +a(g826 +g962 +tp28044 +a(g744 +Vbegin +p28045 +tp28046 +a(g826 +V\u000a +p28047 +tp28048 +a(g435 +VTryDeleteFile +p28049 +tp28050 +a(g705 +g1137 +tp28051 +a(g435 +VPath +p28052 +tp28053 +a(g826 +g962 +tp28054 +a(g412 +g1223 +tp28055 +a(g826 +g962 +tp28056 +a(g435 +VPSR +p28057 +tp28058 +a(g412 +g1154 +tp28059 +a(g435 +VName +p28060 +tp28061 +a(g705 +g1141 +tp28062 +a(g412 +g966 +tp28063 +a(g826 +V\u000a +p28064 +tp28065 +a(g435 +VRes +p28066 +tp28067 +a(g826 +g962 +tp28068 +a(g412 +g1407 +tp28069 +a(g412 +g1007 +tp28070 +a(g826 +g962 +tp28071 +a(g681 +VFindNext +p28072 +tp28073 +a(g705 +g1137 +tp28074 +a(g435 +VPSR +p28075 +tp28076 +a(g705 +g1141 +tp28077 +a(g412 +g966 +tp28078 +a(g826 +V\u000a +p28079 +tp28080 +a(g744 +Vend +p28081 +tp28082 +a(g412 +g966 +tp28083 +a(g826 +V\u000a +p28084 +tp28085 +a(g681 +VFindClose +p28086 +tp28087 +a(g705 +g1137 +tp28088 +a(g435 +VPSR +p28089 +tp28090 +a(g705 +g1141 +tp28091 +a(g412 +g966 +tp28092 +a(g826 +V\u000a +p28093 +tp28094 +a(g744 +Vif +p28095 +tp28096 +a(g826 +g962 +tp28097 +a(g744 +Vnot +p28098 +tp28099 +a(g826 +g962 +tp28100 +a(g435 +VScanSubDirs +p28101 +tp28102 +a(g826 +g962 +tp28103 +a(g744 +Vthen +p28104 +tp28105 +a(g826 +V\u000a +p28106 +tp28107 +a(g744 +VExit +p28108 +tp28109 +a(g412 +g966 +tp28110 +a(g826 +V\u000a\u000a +p28111 +tp28112 +a(g435 +VRes +p28113 +tp28114 +a(g826 +g962 +tp28115 +a(g412 +g1407 +tp28116 +a(g412 +g1007 +tp28117 +a(g826 +g962 +tp28118 +a(g681 +VFindFirst +p28119 +tp28120 +a(g705 +g1137 +tp28121 +a(g435 +VPath +p28122 +tp28123 +a(g826 +g962 +tp28124 +a(g412 +g1223 +tp28125 +a(g826 +g962 +tp28126 +a(g89 +g1101 +tp28127 +a(g89 +g1371 +tp28128 +a(g89 +g1101 +tp28129 +a(g412 +g984 +tp28130 +a(g826 +g962 +tp28131 +a(g435 +VfaDirectory +p28132 +tp28133 +a(g412 +g984 +tp28134 +a(g826 +g962 +tp28135 +a(g435 +VPSR +p28136 +tp28137 +a(g705 +g1141 +tp28138 +a(g412 +g966 +tp28139 +a(g826 +V\u000a +p28140 +tp28141 +a(g744 +Vwhile +p28142 +tp28143 +a(g826 +g962 +tp28144 +a(g435 +VSuccess +p28145 +tp28146 +a(g705 +g1137 +tp28147 +a(g435 +VRes +p28148 +tp28149 +a(g412 +g984 +tp28150 +a(g826 +g962 +tp28151 +a(g37 +g1202 +tp28152 +a(g705 +g1141 +tp28153 +a(g826 +g962 +tp28154 +a(g744 +Vdo +p28155 +tp28156 +a(g826 +g962 +tp28157 +a(g744 +Vbegin +p28158 +tp28159 +a(g826 +V\u000a +p28160 +tp28161 +a(g744 +Vif +p28162 +tp28163 +a(g826 +g962 +tp28164 +a(g705 +g1137 +tp28165 +a(g435 +VPSR +p28166 +tp28167 +a(g412 +g1154 +tp28168 +a(g435 +VAttr +p28169 +tp28170 +a(g826 +g962 +tp28171 +a(g744 +Vand +p28172 +tp28173 +a(g826 +g962 +tp28174 +a(g435 +VfaDirectory +p28175 +tp28176 +a(g826 +g962 +tp28177 +a(g412 +g1419 +tp28178 +a(g826 +g962 +tp28179 +a(g37 +g1202 +tp28180 +a(g705 +g1141 +tp28181 +a(g826 +V\u000a +p28182 +tp28183 +a(g744 +Vand +p28184 +tp28185 +a(g826 +g962 +tp28186 +a(g705 +g1137 +tp28187 +a(g435 +VPSR +p28188 +tp28189 +a(g412 +g1154 +tp28190 +a(g435 +VName +p28191 +tp28192 +a(g826 +g962 +tp28193 +a(g412 +g1413 +tp28194 +a(g412 +g1419 +tp28195 +a(g826 +g962 +tp28196 +a(g89 +g1101 +tp28197 +a(g89 +g1154 +tp28198 +a(g89 +g1101 +tp28199 +a(g705 +g1141 +tp28200 +a(g826 +g962 +tp28201 +a(g744 +Vand +p28202 +tp28203 +a(g826 +g962 +tp28204 +a(g705 +g1137 +tp28205 +a(g435 +VPSR +p28206 +tp28207 +a(g412 +g1154 +tp28208 +a(g435 +VName +p28209 +tp28210 +a(g826 +g962 +tp28211 +a(g412 +g1413 +tp28212 +a(g412 +g1419 +tp28213 +a(g826 +g962 +tp28214 +a(g89 +g1101 +tp28215 +a(g89 +V.. +p28216 +tp28217 +a(g89 +g1101 +tp28218 +a(g705 +g1141 +tp28219 +a(g826 +g962 +tp28220 +a(g744 +Vthen +p28221 +tp28222 +a(g826 +g962 +tp28223 +a(g744 +Vbegin +p28224 +tp28225 +a(g826 +V\u000a +p28226 +tp28227 +a(g435 +VScanDir +p28228 +tp28229 +a(g705 +g1137 +tp28230 +a(g435 +VPath +p28231 +tp28232 +a(g826 +g962 +tp28233 +a(g412 +g1223 +tp28234 +a(g826 +g962 +tp28235 +a(g435 +VPSR +p28236 +tp28237 +a(g412 +g1154 +tp28238 +a(g435 +VName +p28239 +tp28240 +a(g826 +g962 +tp28241 +a(g412 +g1223 +tp28242 +a(g826 +g962 +tp28243 +a(g89 +g1101 +tp28244 +a(g89 +V\u005c +p28245 +tp28246 +a(g89 +g1101 +tp28247 +a(g412 +g984 +tp28248 +a(g826 +g962 +tp28249 +a(g435 +VFileName +p28250 +tp28251 +a(g705 +g1141 +tp28252 +a(g412 +g966 +tp28253 +a(g826 +V\u000a +p28254 +tp28255 +a(g435 +VTryDeleteFile +p28256 +tp28257 +a(g705 +g1137 +tp28258 +a(g435 +VPath +p28259 +tp28260 +a(g826 +g962 +tp28261 +a(g412 +g1223 +tp28262 +a(g826 +g962 +tp28263 +a(g435 +VPSR +p28264 +tp28265 +a(g412 +g1154 +tp28266 +a(g435 +VName +p28267 +tp28268 +a(g705 +g1141 +tp28269 +a(g412 +g966 +tp28270 +a(g826 +V\u000a +p28271 +tp28272 +a(g744 +Vend +p28273 +tp28274 +a(g412 +g966 +tp28275 +a(g826 +V\u000a +p28276 +tp28277 +a(g435 +VRes +p28278 +tp28279 +a(g826 +g962 +tp28280 +a(g412 +g1407 +tp28281 +a(g412 +g1007 +tp28282 +a(g826 +g962 +tp28283 +a(g681 +VFindNext +p28284 +tp28285 +a(g705 +g1137 +tp28286 +a(g435 +VPSR +p28287 +tp28288 +a(g705 +g1141 +tp28289 +a(g412 +g966 +tp28290 +a(g826 +V\u000a +p28291 +tp28292 +a(g744 +Vend +p28293 +tp28294 +a(g412 +g966 +tp28295 +a(g826 +V\u000a +p28296 +tp28297 +a(g681 +VFindClose +p28298 +tp28299 +a(g705 +g1137 +tp28300 +a(g435 +VPSR +p28301 +tp28302 +a(g705 +g1141 +tp28303 +a(g412 +g966 +tp28304 +a(g826 +V\u000a +p28305 +tp28306 +a(g744 +Vend +p28307 +tp28308 +a(g412 +g966 +tp28309 +a(g826 +V\u000a +p28310 +tp28311 +a(g744 +Vbegin +p28312 +tp28313 +a(g826 +V\u000a +p28314 +tp28315 +a(g435 +VPath +p28316 +tp28317 +a(g826 +g962 +tp28318 +a(g412 +g1407 +tp28319 +a(g412 +g1007 +tp28320 +a(g826 +g962 +tp28321 +a(g435 +VExtractPath +p28322 +tp28323 +a(g705 +g1137 +tp28324 +a(g435 +VMask +p28325 +tp28326 +a(g705 +g1141 +tp28327 +a(g412 +g966 +tp28328 +a(g826 +V\u000a +p28329 +tp28330 +a(g435 +VFileName +p28331 +tp28332 +a(g826 +g962 +tp28333 +a(g412 +g1407 +tp28334 +a(g412 +g1007 +tp28335 +a(g826 +g962 +tp28336 +a(g681 +VExtractFileName +p28337 +tp28338 +a(g705 +g1137 +tp28339 +a(g435 +VMask +p28340 +tp28341 +a(g705 +g1141 +tp28342 +a(g412 +g966 +tp28343 +a(g826 +V\u000a +p28344 +tp28345 +a(g435 +VScanDir +p28346 +tp28347 +a(g705 +g1137 +tp28348 +a(g435 +VPath +p28349 +tp28350 +a(g412 +g984 +tp28351 +a(g826 +g962 +tp28352 +a(g435 +VFileName +p28353 +tp28354 +a(g705 +g1141 +tp28355 +a(g412 +g966 +tp28356 +a(g826 +V\u000a +p28357 +tp28358 +a(g744 +Vend +p28359 +tp28360 +a(g412 +g966 +tp28361 +a(g826 +V\u000a\u000a +p28362 +tp28363 +a(g744 +Vfunction +p28364 +tp28365 +a(g826 +g962 +tp28366 +a(g573 +VGetFileNew +p28367 +tp28368 +a(g705 +g1137 +tp28369 +a(g435 +VFileName +p28370 +tp28371 +a(g412 +g1407 +tp28372 +a(g826 +g962 +tp28373 +a(g744 +Vstring +p28374 +tp28375 +a(g412 +g966 +tp28376 +a(g826 +g962 +tp28377 +a(g435 +VNoFloppyDrives +p28378 +tp28379 +a(g412 +g1407 +tp28380 +a(g826 +g962 +tp28381 +a(g748 +VBoolean +p28382 +tp28383 +a(g826 +g962 +tp28384 +a(g412 +g1007 +tp28385 +a(g826 +g962 +tp28386 +a(g744 +VTrue +p28387 +tp28388 +a(g705 +g1141 +tp28389 +a(g412 +g1407 +tp28390 +a(g826 +g962 +tp28391 +a(g744 +Vstring +p28392 +tp28393 +a(g412 +g966 +tp28394 +a(g826 +V\u000a +p28395 +tp28396 +a(g744 +Vvar +p28397 +tp28398 +a(g826 +V\u000a +p28399 +tp28400 +a(g435 +VDrive +p28401 +tp28402 +a(g412 +g1407 +tp28403 +a(g826 +g962 +tp28404 +a(g744 +Vstring +p28405 +tp28406 +a(g412 +g966 +tp28407 +a(g826 +V\u000a +p28408 +tp28409 +a(g435 +Vpf +p28410 +tp28411 +a(g412 +g984 +tp28412 +a(g826 +g962 +tp28413 +a(g435 +Vpd +p28414 +tp28415 +a(g412 +g984 +tp28416 +a(g826 +g962 +tp28417 +a(g435 +VLen +p28418 +tp28419 +a(g412 +g1407 +tp28420 +a(g826 +g962 +tp28421 +a(g748 +VInteger +p28422 +tp28423 +a(g412 +g966 +tp28424 +a(g826 +V\u000a +p28425 +tp28426 +a(g435 +VPSR +p28427 +tp28428 +a(g412 +g1407 +tp28429 +a(g826 +g962 +tp28430 +a(g435 +VTSearchRec +p28431 +tp28432 +a(g412 +g966 +tp28433 +a(g826 +V\u000a +p28434 +tp28435 +a(g744 +Vbegin +p28436 +tp28437 +a(g826 +V\u000a +p28438 +tp28439 +a(g693 +VResult +p28440 +tp28441 +a(g826 +g962 +tp28442 +a(g412 +g1407 +tp28443 +a(g412 +g1007 +tp28444 +a(g826 +g962 +tp28445 +a(g89 +g1101 +tp28446 +a(g89 +g1101 +tp28447 +a(g412 +g966 +tp28448 +a(g826 +V\u000a +p28449 +tp28450 +a(g435 +VFileName +p28451 +tp28452 +a(g826 +g962 +tp28453 +a(g412 +g1407 +tp28454 +a(g412 +g1007 +tp28455 +a(g826 +g962 +tp28456 +a(g681 +VTrim +p28457 +tp28458 +a(g705 +g1137 +tp28459 +a(g435 +VFileName +p28460 +tp28461 +a(g705 +g1141 +tp28462 +a(g412 +g966 +tp28463 +a(g826 +V\u000a +p28464 +tp28465 +a(g744 +Vif +p28466 +tp28467 +a(g826 +g962 +tp28468 +a(g681 +VLength +p28469 +tp28470 +a(g705 +g1137 +tp28471 +a(g435 +VFileName +p28472 +tp28473 +a(g705 +g1141 +tp28474 +a(g826 +g962 +tp28475 +a(g412 +g1413 +tp28476 +a(g826 +g962 +tp28477 +a(g37 +g1463 +tp28478 +a(g826 +g962 +tp28479 +a(g744 +Vthen +p28480 +tp28481 +a(g826 +V\u000a +p28482 +tp28483 +a(g744 +VExit +p28484 +tp28485 +a(g412 +g966 +tp28486 +a(g826 +V\u000a\u000a +p28487 +tp28488 +a(g435 +VDrive +p28489 +tp28490 +a(g826 +g962 +tp28491 +a(g412 +g1407 +tp28492 +a(g412 +g1007 +tp28493 +a(g826 +g962 +tp28494 +a(g435 +VExtractDrive +p28495 +tp28496 +a(g705 +g1137 +tp28497 +a(g435 +VFileName +p28498 +tp28499 +a(g705 +g1141 +tp28500 +a(g412 +g966 +tp28501 +a(g826 +V\u000a +p28502 +tp28503 +a(g744 +Vif +p28504 +tp28505 +a(g826 +g962 +tp28506 +a(g744 +Vnot +p28507 +tp28508 +a(g826 +g962 +tp28509 +a(g681 +VDirectoryExists +p28510 +tp28511 +a(g705 +g1137 +tp28512 +a(g435 +VDrive +p28513 +tp28514 +a(g705 +g1141 +tp28515 +a(g826 +g962 +tp28516 +a(g744 +Vthen +p28517 +tp28518 +a(g826 +V\u000a +p28519 +tp28520 +a(g744 +VExit +p28521 +tp28522 +a(g412 +g966 +tp28523 +a(g826 +V\u000a\u000a +p28524 +tp28525 +a(g744 +Vif +p28526 +tp28527 +a(g826 +g962 +tp28528 +a(g435 +VNoFloppyDrives +p28529 +tp28530 +a(g826 +g962 +tp28531 +a(g744 +Vand +p28532 +tp28533 +a(g826 +g962 +tp28534 +a(g705 +g1137 +tp28535 +a(g435 +VDrive +p28536 +tp28537 +a(g705 +g1066 +tp28538 +a(g37 +g1337 +tp28539 +a(g705 +g1074 +tp28540 +a(g826 +g962 +tp28541 +a(g744 +Vin +p28542 +tp28543 +a(g826 +g962 +tp28544 +a(g705 +g1066 +tp28545 +a(g89 +g1101 +tp28546 +a(g89 +g1170 +tp28547 +a(g89 +g1101 +tp28548 +a(g412 +g984 +tp28549 +a(g826 +g962 +tp28550 +a(g89 +g1101 +tp28551 +a(g89 +g1505 +tp28552 +a(g89 +g1101 +tp28553 +a(g705 +V]) +p28554 +tp28555 +a(g826 +g962 +tp28556 +a(g744 +Vthen +p28557 +tp28558 +a(g826 +V\u000a +p28559 +tp28560 +a(g744 +VExit +p28561 +tp28562 +a(g412 +g966 +tp28563 +a(g826 +V\u000a\u000a +p28564 +tp28565 +a(g435 +VLen +p28566 +tp28567 +a(g826 +g962 +tp28568 +a(g412 +g1407 +tp28569 +a(g412 +g1007 +tp28570 +a(g826 +g962 +tp28571 +a(g681 +VLength +p28572 +tp28573 +a(g705 +g1137 +tp28574 +a(g435 +VFileName +p28575 +tp28576 +a(g705 +g1141 +tp28577 +a(g412 +g966 +tp28578 +a(g826 +V\u000a +p28579 +tp28580 +a(g693 +VResult +p28581 +tp28582 +a(g826 +g962 +tp28583 +a(g412 +g1407 +tp28584 +a(g412 +g1007 +tp28585 +a(g826 +g962 +tp28586 +a(g435 +VDrive +p28587 +tp28588 +a(g412 +g966 +tp28589 +a(g826 +V\u000a +p28590 +tp28591 +a(g435 +Vpf +p28592 +tp28593 +a(g826 +g962 +tp28594 +a(g412 +g1407 +tp28595 +a(g412 +g1007 +tp28596 +a(g826 +g962 +tp28597 +a(g681 +VLength +p28598 +tp28599 +a(g705 +g1137 +tp28600 +a(g435 +VDrive +p28601 +tp28602 +a(g705 +g1141 +tp28603 +a(g826 +g962 +tp28604 +a(g412 +g1223 +tp28605 +a(g826 +g962 +tp28606 +a(g37 +g1337 +tp28607 +a(g412 +g966 +tp28608 +a(g826 +V\u000a +p28609 +tp28610 +a(g744 +Vwhile +p28611 +tp28612 +a(g826 +g962 +tp28613 +a(g435 +Vpf +p28614 +tp28615 +a(g826 +g962 +tp28616 +a(g412 +g1413 +tp28617 +a(g412 +g1007 +tp28618 +a(g826 +g962 +tp28619 +a(g435 +VLen +p28620 +tp28621 +a(g826 +g962 +tp28622 +a(g744 +Vdo +p28623 +tp28624 +a(g826 +g962 +tp28625 +a(g744 +Vbegin +p28626 +tp28627 +a(g826 +V\u000a +p28628 +tp28629 +a(g744 +Vif +p28630 +tp28631 +a(g826 +g962 +tp28632 +a(g435 +VFileName +p28633 +tp28634 +a(g705 +g1066 +tp28635 +a(g435 +Vpf +p28636 +tp28637 +a(g705 +g1074 +tp28638 +a(g826 +g962 +tp28639 +a(g412 +g1007 +tp28640 +a(g826 +g962 +tp28641 +a(g89 +g1101 +tp28642 +a(g89 +V\u005c +p28643 +tp28644 +a(g89 +g1101 +tp28645 +a(g826 +g962 +tp28646 +a(g744 +Vthen +p28647 +tp28648 +a(g826 +g962 +tp28649 +a(g744 +Vbegin +p28650 +tp28651 +a(g826 +V\u000a +p28652 +tp28653 +a(g693 +VResult +p28654 +tp28655 +a(g826 +g962 +tp28656 +a(g412 +g1407 +tp28657 +a(g412 +g1007 +tp28658 +a(g826 +g962 +tp28659 +a(g693 +VResult +p28660 +tp28661 +a(g826 +g962 +tp28662 +a(g412 +g1223 +tp28663 +a(g826 +g962 +tp28664 +a(g89 +g1101 +tp28665 +a(g89 +V\u005c +p28666 +tp28667 +a(g89 +g1101 +tp28668 +a(g412 +g966 +tp28669 +a(g826 +V\u000a +p28670 +tp28671 +a(g681 +VInc +p28672 +tp28673 +a(g705 +g1137 +tp28674 +a(g435 +Vpf +p28675 +tp28676 +a(g705 +g1141 +tp28677 +a(g412 +g966 +tp28678 +a(g826 +V\u000a +p28679 +tp28680 +a(g744 +VContinue +p28681 +tp28682 +a(g412 +g966 +tp28683 +a(g826 +g962 +tp28684 +a(g744 +Vend +p28685 +tp28686 +a(g412 +g966 +tp28687 +a(g826 +V\u000a\u000a +p28688 +tp28689 +a(g435 +Vpd +p28690 +tp28691 +a(g826 +g962 +tp28692 +a(g412 +g1407 +tp28693 +a(g412 +g1007 +tp28694 +a(g826 +g962 +tp28695 +a(g435 +VCharPos +p28696 +tp28697 +a(g705 +g1137 +tp28698 +a(g89 +g1101 +tp28699 +a(g89 +V\u005c +p28700 +tp28701 +a(g89 +g1101 +tp28702 +a(g412 +g984 +tp28703 +a(g826 +g962 +tp28704 +a(g435 +VFileName +p28705 +tp28706 +a(g412 +g984 +tp28707 +a(g826 +g962 +tp28708 +a(g435 +Vpf +p28709 +tp28710 +a(g705 +g1141 +tp28711 +a(g412 +g966 +tp28712 +a(g826 +V\u000a +p28713 +tp28714 +a(g744 +Vif +p28715 +tp28716 +a(g826 +g962 +tp28717 +a(g435 +Vpd +p28718 +tp28719 +a(g826 +g962 +tp28720 +a(g412 +g1007 +tp28721 +a(g826 +g962 +tp28722 +a(g37 +g1202 +tp28723 +a(g826 +g962 +tp28724 +a(g744 +Vthen +p28725 +tp28726 +a(g826 +g962 +tp28727 +a(g744 +Vbegin +p28728 +tp28729 +a(g826 +V\u000a +p28730 +tp28731 +a(g744 +Vif +p28732 +tp28733 +a(g826 +g962 +tp28734 +a(g37 +g1202 +tp28735 +a(g412 +g1007 +tp28736 +a(g681 +VFindFirst +p28737 +tp28738 +a(g705 +g1137 +tp28739 +a(g693 +VResult +p28740 +tp28741 +a(g826 +g962 +tp28742 +a(g412 +g1223 +tp28743 +a(g826 +g962 +tp28744 +a(g681 +VCopy +p28745 +tp28746 +a(g705 +g1137 +tp28747 +a(g435 +VFileName +p28748 +tp28749 +a(g412 +g984 +tp28750 +a(g826 +g962 +tp28751 +a(g435 +Vpf +p28752 +tp28753 +a(g412 +g984 +tp28754 +a(g826 +g962 +tp28755 +a(g435 +VMaxInt +p28756 +tp28757 +a(g705 +g1141 +tp28758 +a(g412 +g984 +tp28759 +a(g826 +g962 +tp28760 +a(g435 +VfaFindEveryFile +p28761 +tp28762 +a(g412 +g984 +tp28763 +a(g826 +g962 +tp28764 +a(g435 +VPSR +p28765 +tp28766 +a(g705 +g1141 +tp28767 +a(g826 +g962 +tp28768 +a(g744 +Vthen +p28769 +tp28770 +a(g826 +g962 +tp28771 +a(g744 +Vbegin +p28772 +tp28773 +a(g826 +V\u000a +p28774 +tp28775 +a(g693 +VResult +p28776 +tp28777 +a(g826 +g962 +tp28778 +a(g412 +g1407 +tp28779 +a(g412 +g1007 +tp28780 +a(g826 +g962 +tp28781 +a(g693 +VResult +p28782 +tp28783 +a(g826 +g962 +tp28784 +a(g412 +g1223 +tp28785 +a(g826 +g962 +tp28786 +a(g435 +VPSR +p28787 +tp28788 +a(g412 +g1154 +tp28789 +a(g435 +VName +p28790 +tp28791 +a(g412 +g966 +tp28792 +a(g826 +V\u000a +p28793 +tp28794 +a(g744 +VBreak +p28795 +tp28796 +a(g412 +g966 +tp28797 +a(g826 +g962 +tp28798 +a(g744 +Vend +p28799 +tp28800 +a(g826 +g962 +tp28801 +a(g744 +Velse +p28802 +tp28803 +a(g826 +g962 +tp28804 +a(g744 +Vbegin +p28805 +tp28806 +a(g826 +V\u000a +p28807 +tp28808 +a(g681 +VFindClose +p28809 +tp28810 +a(g705 +g1137 +tp28811 +a(g435 +VPSR +p28812 +tp28813 +a(g705 +g1141 +tp28814 +a(g412 +g966 +tp28815 +a(g826 +V\u000a +p28816 +tp28817 +a(g744 +Vif +p28818 +tp28819 +a(g826 +g962 +tp28820 +a(g37 +g1202 +tp28821 +a(g412 +g1007 +tp28822 +a(g681 +VFindFirst +p28823 +tp28824 +a(g705 +g1137 +tp28825 +a(g693 +VResult +p28826 +tp28827 +a(g826 +g962 +tp28828 +a(g412 +g1223 +tp28829 +a(g826 +g962 +tp28830 +a(g681 +VCopy +p28831 +tp28832 +a(g705 +g1137 +tp28833 +a(g435 +VFileName +p28834 +tp28835 +a(g412 +g984 +tp28836 +a(g826 +g962 +tp28837 +a(g435 +Vpf +p28838 +tp28839 +a(g412 +g984 +tp28840 +a(g826 +g962 +tp28841 +a(g435 +VMaxInt +p28842 +tp28843 +a(g705 +g1141 +tp28844 +a(g412 +g984 +tp28845 +a(g826 +g962 +tp28846 +a(g435 +VfaDirectory +p28847 +tp28848 +a(g412 +g984 +tp28849 +a(g826 +g962 +tp28850 +a(g435 +VPSR +p28851 +tp28852 +a(g705 +g1141 +tp28853 +a(g826 +g962 +tp28854 +a(g744 +Vthen +p28855 +tp28856 +a(g826 +V\u000a +p28857 +tp28858 +a(g693 +VResult +p28859 +tp28860 +a(g826 +g962 +tp28861 +a(g412 +g1407 +tp28862 +a(g412 +g1007 +tp28863 +a(g826 +g962 +tp28864 +a(g693 +VResult +p28865 +tp28866 +a(g826 +g962 +tp28867 +a(g412 +g1223 +tp28868 +a(g826 +g962 +tp28869 +a(g435 +VPSR +p28870 +tp28871 +a(g412 +g1154 +tp28872 +a(g435 +VName +p28873 +tp28874 +a(g826 +g962 +tp28875 +a(g412 +g1223 +tp28876 +a(g826 +g962 +tp28877 +a(g89 +g1101 +tp28878 +a(g89 +V\u005c +p28879 +tp28880 +a(g89 +g1101 +tp28881 +a(g826 +V\u000a +p28882 +tp28883 +a(g744 +Velse +p28884 +tp28885 +a(g826 +V\u000a +p28886 +tp28887 +a(g693 +VResult +p28888 +tp28889 +a(g826 +g962 +tp28890 +a(g412 +g1407 +tp28891 +a(g412 +g1007 +tp28892 +a(g826 +g962 +tp28893 +a(g89 +g1101 +tp28894 +a(g89 +g1101 +tp28895 +a(g412 +g966 +tp28896 +a(g826 +V\u000a +p28897 +tp28898 +a(g681 +VFindClose +p28899 +tp28900 +a(g705 +g1137 +tp28901 +a(g435 +VPSR +p28902 +tp28903 +a(g705 +g1141 +tp28904 +a(g412 +g966 +tp28905 +a(g826 +V\u000a +p28906 +tp28907 +a(g744 +Vif +p28908 +tp28909 +a(g826 +g962 +tp28910 +a(g693 +VResult +p28911 +tp28912 +a(g826 +g962 +tp28913 +a(g412 +g1007 +tp28914 +a(g826 +g962 +tp28915 +a(g89 +g1101 +tp28916 +a(g89 +g1101 +tp28917 +a(g826 +g962 +tp28918 +a(g744 +Vthen +p28919 +tp28920 +a(g826 +V\u000a +p28921 +tp28922 +a(g744 +VBreak +p28923 +tp28924 +a(g412 +g966 +tp28925 +a(g826 +V\u000a +p28926 +tp28927 +a(g744 +Vend +p28928 +tp28929 +a(g412 +g966 +tp28930 +a(g826 +V\u000a +p28931 +tp28932 +a(g744 +Vend +p28933 +tp28934 +a(g412 +g966 +tp28935 +a(g826 +V\u000a\u000a +p28936 +tp28937 +a(g744 +Vif +p28938 +tp28939 +a(g826 +g962 +tp28940 +a(g37 +g1202 +tp28941 +a(g412 +g1007 +tp28942 +a(g681 +VFindFirst +p28943 +tp28944 +a(g705 +g1137 +tp28945 +a(g693 +VResult +p28946 +tp28947 +a(g826 +g962 +tp28948 +a(g412 +g1223 +tp28949 +a(g826 +g962 +tp28950 +a(g681 +VCopy +p28951 +tp28952 +a(g705 +g1137 +tp28953 +a(g435 +VFileName +p28954 +tp28955 +a(g412 +g984 +tp28956 +a(g826 +g962 +tp28957 +a(g435 +Vpf +p28958 +tp28959 +a(g412 +g984 +tp28960 +a(g826 +g962 +tp28961 +a(g435 +Vpd +p28962 +tp28963 +a(g826 +g962 +tp28964 +a(g412 +g1109 +tp28965 +a(g826 +g962 +tp28966 +a(g435 +Vpf +p28967 +tp28968 +a(g705 +g1141 +tp28969 +a(g412 +g984 +tp28970 +a(g826 +g962 +tp28971 +a(g435 +VfaDirectory +p28972 +tp28973 +a(g412 +g984 +tp28974 +a(g826 +g962 +tp28975 +a(g435 +VPSR +p28976 +tp28977 +a(g705 +g1141 +tp28978 +a(g826 +g962 +tp28979 +a(g744 +Vthen +p28980 +tp28981 +a(g826 +V\u000a +p28982 +tp28983 +a(g693 +VResult +p28984 +tp28985 +a(g826 +g962 +tp28986 +a(g412 +g1407 +tp28987 +a(g412 +g1007 +tp28988 +a(g826 +g962 +tp28989 +a(g693 +VResult +p28990 +tp28991 +a(g826 +g962 +tp28992 +a(g412 +g1223 +tp28993 +a(g826 +g962 +tp28994 +a(g435 +VPSR +p28995 +tp28996 +a(g412 +g1154 +tp28997 +a(g435 +VName +p28998 +tp28999 +a(g826 +g962 +tp29000 +a(g412 +g1223 +tp29001 +a(g826 +g962 +tp29002 +a(g89 +g1101 +tp29003 +a(g89 +V\u005c +p29004 +tp29005 +a(g89 +g1101 +tp29006 +a(g826 +V\u000a +p29007 +tp29008 +a(g744 +Velse +p29009 +tp29010 +a(g826 +V\u000a +p29011 +tp29012 +a(g693 +VResult +p29013 +tp29014 +a(g826 +g962 +tp29015 +a(g412 +g1407 +tp29016 +a(g412 +g1007 +tp29017 +a(g826 +g962 +tp29018 +a(g89 +g1101 +tp29019 +a(g89 +g1101 +tp29020 +a(g412 +g966 +tp29021 +a(g826 +V\u000a +p29022 +tp29023 +a(g681 +VFindClose +p29024 +tp29025 +a(g705 +g1137 +tp29026 +a(g435 +VPSR +p29027 +tp29028 +a(g705 +g1141 +tp29029 +a(g412 +g966 +tp29030 +a(g826 +V\u000a +p29031 +tp29032 +a(g744 +Vif +p29033 +tp29034 +a(g826 +g962 +tp29035 +a(g693 +VResult +p29036 +tp29037 +a(g826 +g962 +tp29038 +a(g412 +g1007 +tp29039 +a(g826 +g962 +tp29040 +a(g89 +g1101 +tp29041 +a(g89 +g1101 +tp29042 +a(g826 +g962 +tp29043 +a(g744 +Vthen +p29044 +tp29045 +a(g826 +V\u000a +p29046 +tp29047 +a(g744 +VBreak +p29048 +tp29049 +a(g412 +g966 +tp29050 +a(g826 +V\u000a\u000a +p29051 +tp29052 +a(g435 +Vpf +p29053 +tp29054 +a(g826 +g962 +tp29055 +a(g412 +g1407 +tp29056 +a(g412 +g1007 +tp29057 +a(g826 +g962 +tp29058 +a(g435 +Vpd +p29059 +tp29060 +a(g826 +g962 +tp29061 +a(g412 +g1223 +tp29062 +a(g826 +g962 +tp29063 +a(g37 +g1337 +tp29064 +a(g412 +g966 +tp29065 +a(g826 +V\u000a +p29066 +tp29067 +a(g744 +Vend +p29068 +tp29069 +a(g412 +g966 +tp29070 +a(g826 +V\u000a\u000a +p29071 +tp29072 +a(g744 +Vif +p29073 +tp29074 +a(g826 +g962 +tp29075 +a(g705 +g1137 +tp29076 +a(g693 +VResult +p29077 +tp29078 +a(g826 +g962 +tp29079 +a(g412 +g1413 +tp29080 +a(g412 +g1419 +tp29081 +a(g826 +g962 +tp29082 +a(g89 +g1101 +tp29083 +a(g89 +g1101 +tp29084 +a(g705 +g1141 +tp29085 +a(g826 +g962 +tp29086 +a(g744 +Vand +p29087 +tp29088 +a(g826 +g962 +tp29089 +a(g744 +Vnot +p29090 +tp29091 +a(g826 +g962 +tp29092 +a(g435 +VFileEx +p29093 +tp29094 +a(g705 +g1137 +tp29095 +a(g693 +VResult +p29096 +tp29097 +a(g412 +g984 +tp29098 +a(g826 +g962 +tp29099 +a(g744 +VTrue +p29100 +tp29101 +a(g705 +g1141 +tp29102 +a(g826 +g962 +tp29103 +a(g744 +Vthen +p29104 +tp29105 +a(g826 +V\u000a +p29106 +tp29107 +a(g693 +VResult +p29108 +tp29109 +a(g826 +g962 +tp29110 +a(g412 +g1407 +tp29111 +a(g412 +g1007 +tp29112 +a(g826 +g962 +tp29113 +a(g89 +g1101 +tp29114 +a(g89 +g1101 +tp29115 +a(g412 +g966 +tp29116 +a(g826 +V\u000a +p29117 +tp29118 +a(g744 +Vend +p29119 +tp29120 +a(g412 +g966 +tp29121 +a(g826 +V\u000a\u000a +p29122 +tp29123 +a(g744 +Vfunction +p29124 +tp29125 +a(g826 +g962 +tp29126 +a(g573 +VDateTimeOfFileTime +p29127 +tp29128 +a(g705 +g1137 +tp29129 +a(g744 +Vconst +p29130 +tp29131 +a(g826 +g962 +tp29132 +a(g435 +VFileTime +p29133 +tp29134 +a(g412 +g1407 +tp29135 +a(g826 +g962 +tp29136 +a(g435 +VTFileTime +p29137 +tp29138 +a(g705 +g1141 +tp29139 +a(g412 +g1407 +tp29140 +a(g826 +g962 +tp29141 +a(g748 +VTDateTime +p29142 +tp29143 +a(g412 +g966 +tp29144 +a(g826 +V\u000a +p29145 +tp29146 +a(g744 +Vvar +p29147 +tp29148 +a(g826 +V\u000a +p29149 +tp29150 +a(g435 +VLocalFileTime +p29151 +tp29152 +a(g412 +g1407 +tp29153 +a(g826 +g962 +tp29154 +a(g435 +VTFileTime +p29155 +tp29156 +a(g412 +g966 +tp29157 +a(g826 +V\u000a +p29158 +tp29159 +a(g435 +VRes +p29160 +tp29161 +a(g412 +g1407 +tp29162 +a(g826 +g962 +tp29163 +a(g748 +VInteger +p29164 +tp29165 +a(g412 +g966 +tp29166 +a(g826 +V\u000a +p29167 +tp29168 +a(g744 +Vbegin +p29169 +tp29170 +a(g826 +V\u000a +p29171 +tp29172 +a(g693 +VResult +p29173 +tp29174 +a(g826 +g962 +tp29175 +a(g412 +g1407 +tp29176 +a(g412 +g1007 +tp29177 +a(g826 +g962 +tp29178 +a(g37 +g1202 +tp29179 +a(g412 +g966 +tp29180 +a(g826 +V\u000a\u000a +p29181 +tp29182 +a(g435 +VFileTimeToLocalFileTime +p29183 +tp29184 +a(g705 +g1137 +tp29185 +a(g435 +VFileTime +p29186 +tp29187 +a(g412 +g984 +tp29188 +a(g826 +g962 +tp29189 +a(g435 +VLocalFileTime +p29190 +tp29191 +a(g705 +g1141 +tp29192 +a(g412 +g966 +tp29193 +a(g826 +V\u000a +p29194 +tp29195 +a(g744 +Vif +p29196 +tp29197 +a(g826 +g962 +tp29198 +a(g744 +Vnot +p29199 +tp29200 +a(g826 +g962 +tp29201 +a(g435 +VFileTimeToDosDateTime +p29202 +tp29203 +a(g705 +g1137 +tp29204 +a(g435 +VLocalFileTime +p29205 +tp29206 +a(g412 +g984 +tp29207 +a(g826 +g962 +tp29208 +a(g435 +VLongRec +p29209 +tp29210 +a(g705 +g1137 +tp29211 +a(g435 +VRes +p29212 +tp29213 +a(g705 +g1141 +tp29214 +a(g412 +g1154 +tp29215 +a(g435 +VHi +p29216 +tp29217 +a(g412 +g984 +tp29218 +a(g826 +V\u000a +p29219 +tp29220 +a(g435 +VLongRec +p29221 +tp29222 +a(g705 +g1137 +tp29223 +a(g435 +VRes +p29224 +tp29225 +a(g705 +g1141 +tp29226 +a(g412 +g1154 +tp29227 +a(g435 +VLo +p29228 +tp29229 +a(g705 +g1141 +tp29230 +a(g826 +g962 +tp29231 +a(g744 +Vthen +p29232 +tp29233 +a(g826 +V\u000a +p29234 +tp29235 +a(g435 +VRes +p29236 +tp29237 +a(g826 +g962 +tp29238 +a(g412 +g1407 +tp29239 +a(g412 +g1007 +tp29240 +a(g826 +g962 +tp29241 +a(g412 +g1109 +tp29242 +a(g37 +g1337 +tp29243 +a(g412 +g966 +tp29244 +a(g826 +V\u000a\u000a +p29245 +tp29246 +a(g744 +Vif +p29247 +tp29248 +a(g826 +g962 +tp29249 +a(g705 +g1137 +tp29250 +a(g435 +VRes +p29251 +tp29252 +a(g826 +g962 +tp29253 +a(g412 +g1007 +tp29254 +a(g826 +g962 +tp29255 +a(g412 +g1109 +tp29256 +a(g37 +g1337 +tp29257 +a(g705 +g1141 +tp29258 +a(g826 +g962 +tp29259 +a(g744 +Vor +p29260 +tp29261 +a(g826 +g962 +tp29262 +a(g705 +g1137 +tp29263 +a(g435 +VRes +p29264 +tp29265 +a(g826 +g962 +tp29266 +a(g412 +g1007 +tp29267 +a(g826 +g962 +tp29268 +a(g37 +g1202 +tp29269 +a(g705 +g1141 +tp29270 +a(g826 +g962 +tp29271 +a(g744 +Vthen +p29272 +tp29273 +a(g826 +V\u000a +p29274 +tp29275 +a(g744 +VExit +p29276 +tp29277 +a(g412 +g966 +tp29278 +a(g826 +V\u000a +p29279 +tp29280 +a(g744 +Vtry +p29281 +tp29282 +a(g826 +V\u000a +p29283 +tp29284 +a(g693 +VResult +p29285 +tp29286 +a(g826 +g962 +tp29287 +a(g412 +g1407 +tp29288 +a(g412 +g1007 +tp29289 +a(g826 +g962 +tp29290 +a(g681 +VFileDateToDateTime +p29291 +tp29292 +a(g705 +g1137 +tp29293 +a(g435 +VRes +p29294 +tp29295 +a(g705 +g1141 +tp29296 +a(g412 +g966 +tp29297 +a(g826 +V\u000a +p29298 +tp29299 +a(g744 +Vexcept +p29300 +tp29301 +a(g826 +V\u000a +p29302 +tp29303 +a(g744 +Vend +p29304 +tp29305 +a(g412 +g966 +tp29306 +a(g826 +V\u000a +p29307 +tp29308 +a(g744 +Vend +p29309 +tp29310 +a(g412 +g966 +tp29311 +a(g826 +V\u000a\u000a +p29312 +tp29313 +a(g744 +Vprocedure +p29314 +tp29315 +a(g826 +g962 +tp29316 +a(g573 +VFileNew +p29317 +tp29318 +a(g705 +g1137 +tp29319 +a(g744 +Vconst +p29320 +tp29321 +a(g826 +g962 +tp29322 +a(g435 +VFileName +p29323 +tp29324 +a(g412 +g1407 +tp29325 +a(g826 +g962 +tp29326 +a(g744 +Vstring +p29327 +tp29328 +a(g705 +g1141 +tp29329 +a(g412 +g966 +tp29330 +a(g826 +V\u000a +p29331 +tp29332 +a(g744 +Vvar +p29333 +tp29334 +a(g826 +V\u000a +p29335 +tp29336 +a(g435 +VHandle +p29337 +tp29338 +a(g412 +g1407 +tp29339 +a(g826 +g962 +tp29340 +a(g748 +VInteger +p29341 +tp29342 +a(g412 +g966 +tp29343 +a(g826 +V\u000a +p29344 +tp29345 +a(g744 +Vbegin +p29346 +tp29347 +a(g826 +V\u000a +p29348 +tp29349 +a(g435 +VHandle +p29350 +tp29351 +a(g826 +g962 +tp29352 +a(g412 +g1407 +tp29353 +a(g412 +g1007 +tp29354 +a(g826 +g962 +tp29355 +a(g681 +VFileCreate +p29356 +tp29357 +a(g705 +g1137 +tp29358 +a(g435 +VFileName +p29359 +tp29360 +a(g705 +g1141 +tp29361 +a(g412 +g966 +tp29362 +a(g826 +V\u000a +p29363 +tp29364 +a(g681 +VFileClose +p29365 +tp29366 +a(g705 +g1137 +tp29367 +a(g435 +VHandle +p29368 +tp29369 +a(g705 +g1141 +tp29370 +a(g412 +g966 +tp29371 +a(g826 +V\u000a +p29372 +tp29373 +a(g744 +Vend +p29374 +tp29375 +a(g412 +g966 +tp29376 +a(g826 +V\u000a\u000a +p29377 +tp29378 +a(g744 +Vfunction +p29379 +tp29380 +a(g826 +g962 +tp29381 +a(g573 +VWin32PlatformStr +p29382 +tp29383 +a(g412 +g1407 +tp29384 +a(g826 +g962 +tp29385 +a(g744 +Vstring +p29386 +tp29387 +a(g412 +g966 +tp29388 +a(g826 +V\u000a +p29389 +tp29390 +a(g744 +Vconst +p29391 +tp29392 +a(g826 +V\u000a +p29393 +tp29394 +a(g435 +VPlatformStrings +p29395 +tp29396 +a(g412 +g1407 +tp29397 +a(g826 +g962 +tp29398 +a(g744 +Varray +p29399 +tp29400 +a(g705 +g1066 +tp29401 +a(g435 +VVER_PLATFORM_WIN32s +p29402 +tp29403 +a(g412 +g1154 +tp29404 +a(g412 +g1154 +tp29405 +a(g435 +VVER_PLATFORM_WIN32_NT +p29406 +tp29407 +a(g705 +g1074 +tp29408 +a(g826 +g962 +tp29409 +a(g744 +Vof +p29410 +tp29411 +a(g826 +g962 +tp29412 +a(g744 +Vstring +p29413 +tp29414 +a(g826 +g962 +tp29415 +a(g412 +g1007 +tp29416 +a(g826 +V\u000a +p29417 +tp29418 +a(g705 +g1137 +tp29419 +a(g89 +g1101 +tp29420 +a(g89 +VVER_PLATFORM_WIN32s +p29421 +tp29422 +a(g89 +g1101 +tp29423 +a(g412 +g984 +tp29424 +a(g826 +g962 +tp29425 +a(g89 +g1101 +tp29426 +a(g89 +VVER_PLATFORM_WIN32_WINDOWS +p29427 +tp29428 +a(g89 +g1101 +tp29429 +a(g412 +g984 +tp29430 +a(g826 +g962 +tp29431 +a(g89 +g1101 +tp29432 +a(g89 +VVER_PLATFORM_WIN32_NT +p29433 +tp29434 +a(g89 +g1101 +tp29435 +a(g705 +g1141 +tp29436 +a(g412 +g966 +tp29437 +a(g826 +V\u000a +p29438 +tp29439 +a(g744 +Vbegin +p29440 +tp29441 +a(g826 +V\u000a +p29442 +tp29443 +a(g693 +VResult +p29444 +tp29445 +a(g826 +g962 +tp29446 +a(g412 +g1407 +tp29447 +a(g412 +g1007 +tp29448 +a(g826 +g962 +tp29449 +a(g435 +VPlatformStrings +p29450 +tp29451 +a(g705 +g1066 +tp29452 +a(g435 +VWin32Platform +p29453 +tp29454 +a(g705 +g1074 +tp29455 +a(g412 +g966 +tp29456 +a(g826 +V\u000a +p29457 +tp29458 +a(g744 +Vend +p29459 +tp29460 +a(g412 +g966 +tp29461 +a(g826 +V\u000a\u000a +p29462 +tp29463 +a(g744 +Vfunction +p29464 +tp29465 +a(g826 +g962 +tp29466 +a(g573 +VFullOSInfo +p29467 +tp29468 +a(g412 +g1407 +tp29469 +a(g826 +g962 +tp29470 +a(g744 +Vstring +p29471 +tp29472 +a(g412 +g966 +tp29473 +a(g826 +V\u000a +p29474 +tp29475 +a(g744 +Vbegin +p29476 +tp29477 +a(g826 +V\u000a +p29478 +tp29479 +a(g693 +VResult +p29480 +tp29481 +a(g826 +g962 +tp29482 +a(g412 +g1407 +tp29483 +a(g412 +g1007 +tp29484 +a(g826 +g962 +tp29485 +a(g681 +VFormat +p29486 +tp29487 +a(g705 +g1137 +tp29488 +a(g826 +V\u000a +p29489 +tp29490 +a(g89 +g1101 +tp29491 +a(g89 +VPlatform: %s +p29492 +tp29493 +a(g89 +g1101 +tp29494 +a(g826 +g962 +tp29495 +a(g412 +g1223 +tp29496 +a(g826 +g962 +tp29497 +a(g435 +VEOL +p29498 +tp29499 +a(g826 +g962 +tp29500 +a(g412 +g1223 +tp29501 +a(g826 +V\u000a +p29502 +tp29503 +a(g89 +g1101 +tp29504 +a(g89 +VVersion: %d.%d Build %d +p29505 +tp29506 +a(g89 +g1101 +tp29507 +a(g826 +g962 +tp29508 +a(g412 +g1223 +tp29509 +a(g826 +g962 +tp29510 +a(g435 +VEOL +p29511 +tp29512 +a(g826 +g962 +tp29513 +a(g412 +g1223 +tp29514 +a(g826 +V\u000a +p29515 +tp29516 +a(g89 +g1101 +tp29517 +a(g89 +VCSD: %s +p29518 +tp29519 +a(g89 +g1101 +tp29520 +a(g412 +g984 +tp29521 +a(g826 +V\u000a +p29522 +tp29523 +a(g705 +g1066 +tp29524 +a(g826 +V\u000a +p29525 +tp29526 +a(g435 +VWin32PlatformStr +p29527 +tp29528 +a(g412 +g984 +tp29529 +a(g826 +V\u000a +p29530 +tp29531 +a(g435 +VWin32MajorVersion +p29532 +tp29533 +a(g412 +g984 +tp29534 +a(g826 +g962 +tp29535 +a(g435 +VWin32MinorVersion +p29536 +tp29537 +a(g412 +g984 +tp29538 +a(g826 +g962 +tp29539 +a(g435 +VWin32BuildNumber +p29540 +tp29541 +a(g412 +g984 +tp29542 +a(g826 +V\u000a +p29543 +tp29544 +a(g435 +VWin32CSDVersion +p29545 +tp29546 +a(g826 +V\u000a +p29547 +tp29548 +a(g705 +g1074 +tp29549 +a(g826 +V\u000a +p29550 +tp29551 +a(g705 +g1141 +tp29552 +a(g412 +g966 +tp29553 +a(g826 +V\u000a +p29554 +tp29555 +a(g744 +Vend +p29556 +tp29557 +a(g412 +g966 +tp29558 +a(g826 +V\u000a\u000a +p29559 +tp29560 +a(g744 +Vfunction +p29561 +tp29562 +a(g826 +g962 +tp29563 +a(g573 +VWin9x +p29564 +tp29565 +a(g412 +g1407 +tp29566 +a(g826 +g962 +tp29567 +a(g748 +VBoolean +p29568 +tp29569 +a(g412 +g966 +tp29570 +a(g826 +V\u000a +p29571 +tp29572 +a(g744 +Vbegin +p29573 +tp29574 +a(g826 +V\u000a +p29575 +tp29576 +a(g693 +VResult +p29577 +tp29578 +a(g826 +g962 +tp29579 +a(g412 +g1407 +tp29580 +a(g412 +g1007 +tp29581 +a(g826 +g962 +tp29582 +a(g435 +VWin32Platform +p29583 +tp29584 +a(g826 +g962 +tp29585 +a(g412 +g1007 +tp29586 +a(g826 +g962 +tp29587 +a(g435 +VVER_PLATFORM_WIN32_WINDOWS +p29588 +tp29589 +a(g412 +g966 +tp29590 +a(g826 +V\u000a +p29591 +tp29592 +a(g744 +Vend +p29593 +tp29594 +a(g412 +g966 +tp29595 +a(g826 +V\u000a\u000a +p29596 +tp29597 +a(g744 +Vfunction +p29598 +tp29599 +a(g826 +g962 +tp29600 +a(g573 +VWinNT +p29601 +tp29602 +a(g412 +g1407 +tp29603 +a(g826 +g962 +tp29604 +a(g748 +VBoolean +p29605 +tp29606 +a(g412 +g966 +tp29607 +a(g826 +V\u000a +p29608 +tp29609 +a(g744 +Vbegin +p29610 +tp29611 +a(g826 +V\u000a +p29612 +tp29613 +a(g693 +VResult +p29614 +tp29615 +a(g826 +g962 +tp29616 +a(g412 +g1407 +tp29617 +a(g412 +g1007 +tp29618 +a(g826 +g962 +tp29619 +a(g435 +VWin32Platform +p29620 +tp29621 +a(g826 +g962 +tp29622 +a(g412 +g1007 +tp29623 +a(g826 +g962 +tp29624 +a(g435 +VVER_PLATFORM_WIN32_NT +p29625 +tp29626 +a(g412 +g966 +tp29627 +a(g826 +V\u000a +p29628 +tp29629 +a(g744 +Vend +p29630 +tp29631 +a(g412 +g966 +tp29632 +a(g826 +V\u000a\u000a +p29633 +tp29634 +a(g744 +Vfunction +p29635 +tp29636 +a(g826 +g962 +tp29637 +a(g573 +VWin2000 +p29638 +tp29639 +a(g412 +g1407 +tp29640 +a(g826 +g962 +tp29641 +a(g748 +VBoolean +p29642 +tp29643 +a(g412 +g966 +tp29644 +a(g826 +V\u000a +p29645 +tp29646 +a(g744 +Vbegin +p29647 +tp29648 +a(g826 +V\u000a +p29649 +tp29650 +a(g693 +VResult +p29651 +tp29652 +a(g826 +g962 +tp29653 +a(g412 +g1407 +tp29654 +a(g412 +g1007 +tp29655 +a(g826 +g962 +tp29656 +a(g705 +g1137 +tp29657 +a(g435 +VWin32Platform +p29658 +tp29659 +a(g826 +g962 +tp29660 +a(g412 +g1007 +tp29661 +a(g826 +g962 +tp29662 +a(g435 +VVER_PLATFORM_WIN32_NT +p29663 +tp29664 +a(g705 +g1141 +tp29665 +a(g826 +V\u000a +p29666 +tp29667 +a(g744 +Vand +p29668 +tp29669 +a(g826 +g962 +tp29670 +a(g705 +g1137 +tp29671 +a(g435 +VWin32MajorVersion +p29672 +tp29673 +a(g826 +g962 +tp29674 +a(g412 +g1007 +tp29675 +a(g826 +g962 +tp29676 +a(g37 +g1473 +tp29677 +a(g705 +g1141 +tp29678 +a(g412 +g966 +tp29679 +a(g826 +V\u000a +p29680 +tp29681 +a(g744 +Vend +p29682 +tp29683 +a(g412 +g966 +tp29684 +a(g826 +V\u000a\u000a +p29685 +tp29686 +a(g744 +Vfunction +p29687 +tp29688 +a(g826 +g962 +tp29689 +a(g573 +VWinXP +p29690 +tp29691 +a(g412 +g1407 +tp29692 +a(g826 +g962 +tp29693 +a(g748 +VBoolean +p29694 +tp29695 +a(g412 +g966 +tp29696 +a(g826 +V\u000a +p29697 +tp29698 +a(g744 +Vbegin +p29699 +tp29700 +a(g826 +V\u000a +p29701 +tp29702 +a(g693 +VResult +p29703 +tp29704 +a(g826 +g962 +tp29705 +a(g412 +g1407 +tp29706 +a(g412 +g1007 +tp29707 +a(g826 +g962 +tp29708 +a(g435 +VWin32MajorVersion +p29709 +tp29710 +a(g826 +g962 +tp29711 +a(g412 +g1419 +tp29712 +a(g412 +g1007 +tp29713 +a(g826 +g962 +tp29714 +a(g37 +g1478 +tp29715 +a(g412 +g966 +tp29716 +a(g826 +V\u000a +p29717 +tp29718 +a(g744 +Vend +p29719 +tp29720 +a(g412 +g966 +tp29721 +a(g826 +V\u000a\u000a +p29722 +tp29723 +a(g744 +Vinitialization +p29724 +tp29725 +a(g826 +V\u000a +p29726 +tp29727 +a(g435 +VMyDir +p29728 +tp29729 +a(g826 +g962 +tp29730 +a(g412 +g1407 +tp29731 +a(g412 +g1007 +tp29732 +a(g826 +g962 +tp29733 +a(g435 +VGetMyDir +p29734 +tp29735 +a(g412 +g966 +tp29736 +a(g826 +V\u000a\u000a +p29737 +tp29738 +a(g744 +Vend +p29739 +tp29740 +a(g412 +g1154 +tp29741 +a(g826 +V\u000a\u000a +p29742 +tp29743 +a(g744 +Vunit +p29744 +tp29745 +a(g826 +g962 +tp29746 +a(g435 +VFifoStream +p29747 +tp29748 +a(g412 +g966 +tp29749 +a(g826 +V\u000a\u000a +p29750 +tp29751 +a(g744 +Vinterface +p29752 +tp29753 +a(g826 +V\u000a\u000a +p29754 +tp29755 +a(g744 +Vuses +p29756 +tp29757 +a(g826 +g962 +tp29758 +a(g435 +VClasses +p29759 +tp29760 +a(g412 +g984 +tp29761 +a(g826 +g962 +tp29762 +a(g435 +Vwindows +p29763 +tp29764 +a(g412 +g984 +tp29765 +a(g826 +g962 +tp29766 +a(g435 +VDialogs +p29767 +tp29768 +a(g412 +g966 +tp29769 +a(g826 +V\u000a\u000a +p29770 +tp29771 +a(g744 +Vconst +p29772 +tp29773 +a(g826 +V\u000a +p29774 +tp29775 +a(g435 +VDefaultChunksize +p29776 +tp29777 +a(g826 +g962 +tp29778 +a(g412 +g1007 +tp29779 +a(g826 +g962 +tp29780 +a(g37 +V32768 +p29781 +tp29782 +a(g412 +g966 +tp29783 +a(g826 +g962 +tp29784 +a(g8 +V// 32kb per chunk as default. +p29785 +tp29786 +a(g826 +V\u000a\u000a +p29787 +tp29788 +a(g744 +Vtype +p29789 +tp29790 +a(g826 +V\u000a +p29791 +tp29792 +a(g435 +VPMemChunk +p29793 +tp29794 +a(g826 +g962 +tp29795 +a(g412 +g1007 +tp29796 +a(g826 +g962 +tp29797 +a(g412 +g13767 +tp29798 +a(g435 +VTMemChunk +p29799 +tp29800 +a(g412 +g966 +tp29801 +a(g826 +V\u000a +p29802 +tp29803 +a(g435 +VTMemChunk +p29804 +tp29805 +a(g826 +g962 +tp29806 +a(g412 +g1007 +tp29807 +a(g826 +g962 +tp29808 +a(g744 +Vrecord +p29809 +tp29810 +a(g826 +V\u000a +p29811 +tp29812 +a(g435 +VFilled +p29813 +tp29814 +a(g412 +g1407 +tp29815 +a(g826 +g962 +tp29816 +a(g748 +VLongword +p29817 +tp29818 +a(g412 +g966 +tp29819 +a(g826 +V\u000a +p29820 +tp29821 +a(g681 +VRead +p29822 +tp29823 +a(g412 +g1407 +tp29824 +a(g826 +g962 +tp29825 +a(g748 +VLongword +p29826 +tp29827 +a(g412 +g966 +tp29828 +a(g826 +V\u000a +p29829 +tp29830 +a(g435 +VData +p29831 +tp29832 +a(g412 +g1407 +tp29833 +a(g826 +g962 +tp29834 +a(g748 +Vpointer +p29835 +tp29836 +a(g412 +g966 +tp29837 +a(g826 +V\u000a +p29838 +tp29839 +a(g744 +Vend +p29840 +tp29841 +a(g412 +g966 +tp29842 +a(g826 +V\u000a\u000a +p29843 +tp29844 +a(g435 +VTFifo +p29845 +tp29846 +a(g826 +g962 +tp29847 +a(g412 +g1007 +tp29848 +a(g826 +g962 +tp29849 +a(g744 +Vclass +p29850 +tp29851 +a(g826 +V\u000a +p29852 +tp29853 +a(g785 +Vprivate +p29854 +tp29855 +a(g826 +V\u000a +p29856 +tp29857 +a(g435 +VFBuffers +p29858 +tp29859 +a(g412 +g1407 +tp29860 +a(g826 +g962 +tp29861 +a(g435 +VTList +p29862 +tp29863 +a(g412 +g966 +tp29864 +a(g826 +V\u000a +p29865 +tp29866 +a(g435 +VFChunksize +p29867 +tp29868 +a(g412 +g1407 +tp29869 +a(g826 +g962 +tp29870 +a(g748 +VLongword +p29871 +tp29872 +a(g412 +g966 +tp29873 +a(g826 +V\u000a +p29874 +tp29875 +a(g435 +VFCritSect +p29876 +tp29877 +a(g412 +g1407 +tp29878 +a(g826 +g962 +tp29879 +a(g435 +VTRTLCriticalSection +p29880 +tp29881 +a(g412 +g966 +tp29882 +a(g826 +V\u000a +p29883 +tp29884 +a(g435 +VFIsWinNT +p29885 +tp29886 +a(g412 +g1407 +tp29887 +a(g826 +g962 +tp29888 +a(g748 +Vboolean +p29889 +tp29890 +a(g412 +g966 +tp29891 +a(g826 +V\u000a +p29892 +tp29893 +a(g435 +VFBytesInFifo +p29894 +tp29895 +a(g412 +g1407 +tp29896 +a(g826 +g962 +tp29897 +a(g748 +VLongWord +p29898 +tp29899 +a(g412 +g966 +tp29900 +a(g826 +V\u000a +p29901 +tp29902 +a(g785 +Vprotected +p29903 +tp29904 +a(g826 +V\u000a +p29905 +tp29906 +a(g744 +Vfunction +p29907 +tp29908 +a(g826 +g962 +tp29909 +a(g573 +VGetBytesInFifo +p29910 +tp29911 +a(g412 +g1407 +tp29912 +a(g826 +g962 +tp29913 +a(g748 +VLongWord +p29914 +tp29915 +a(g412 +g966 +tp29916 +a(g826 +V\u000a +p29917 +tp29918 +a(g785 +Vpublic +p29919 +tp29920 +a(g826 +V\u000a +p29921 +tp29922 +a(g744 +Vconstructor +p29923 +tp29924 +a(g826 +g962 +tp29925 +a(g573 +VCreate +p29926 +tp29927 +a(g412 +g966 +tp29928 +a(g826 +V\u000a +p29929 +tp29930 +a(g744 +Vdestructor +p29931 +tp29932 +a(g826 +g962 +tp29933 +a(g573 +VDestroy +p29934 +tp29935 +a(g412 +g966 +tp29936 +a(g826 +g962 +tp29937 +a(g785 +Voverride +p29938 +tp29939 +a(g412 +g966 +tp29940 +a(g826 +V\u000a +p29941 +tp29942 +a(g744 +Vprocedure +p29943 +tp29944 +a(g826 +g962 +tp29945 +a(g573 +VWrite +p29946 +tp29947 +a(g705 +g1137 +tp29948 +a(g435 +VData +p29949 +tp29950 +a(g412 +g1407 +tp29951 +a(g826 +g962 +tp29952 +a(g748 +Vpointer +p29953 +tp29954 +a(g412 +g966 +tp29955 +a(g826 +g962 +tp29956 +a(g435 +VSize +p29957 +tp29958 +a(g412 +g1407 +tp29959 +a(g826 +g962 +tp29960 +a(g748 +VLongWord +p29961 +tp29962 +a(g705 +g1141 +tp29963 +a(g412 +g966 +tp29964 +a(g826 +V\u000a +p29965 +tp29966 +a(g744 +Vprocedure +p29967 +tp29968 +a(g826 +g962 +tp29969 +a(g573 +VRead +p29970 +tp29971 +a(g705 +g1137 +tp29972 +a(g435 +VBuff +p29973 +tp29974 +a(g412 +g1407 +tp29975 +a(g826 +g962 +tp29976 +a(g748 +Vpointer +p29977 +tp29978 +a(g412 +g966 +tp29979 +a(g826 +g962 +tp29980 +a(g744 +Vvar +p29981 +tp29982 +a(g826 +g962 +tp29983 +a(g435 +VReqSize +p29984 +tp29985 +a(g412 +g1407 +tp29986 +a(g826 +g962 +tp29987 +a(g748 +VLongWord +p29988 +tp29989 +a(g705 +g1141 +tp29990 +a(g412 +g966 +tp29991 +a(g826 +V\u000a +p29992 +tp29993 +a(g744 +Vprocedure +p29994 +tp29995 +a(g826 +g962 +tp29996 +a(g573 +VPeekData +p29997 +tp29998 +a(g705 +g1137 +tp29999 +a(g435 +VBuff +p30000 +tp30001 +a(g412 +g1407 +tp30002 +a(g826 +g962 +tp30003 +a(g748 +Vpointer +p30004 +tp30005 +a(g412 +g966 +tp30006 +a(g826 +g962 +tp30007 +a(g744 +Vvar +p30008 +tp30009 +a(g826 +g962 +tp30010 +a(g435 +VReqSize +p30011 +tp30012 +a(g412 +g1407 +tp30013 +a(g826 +g962 +tp30014 +a(g748 +VLongWord +p30015 +tp30016 +a(g705 +g1141 +tp30017 +a(g412 +g966 +tp30018 +a(g826 +V\u000a +p30019 +tp30020 +a(g785 +Vpublished +p30021 +tp30022 +a(g826 +V\u000a +p30023 +tp30024 +a(g744 +Vproperty +p30025 +tp30026 +a(g826 +g962 +tp30027 +a(g525 +VBytesInFifo +p30028 +tp30029 +a(g412 +g1407 +tp30030 +a(g826 +g962 +tp30031 +a(g748 +VLongWord +p30032 +tp30033 +a(g826 +g962 +tp30034 +a(g785 +Vread +p30035 +tp30036 +a(g826 +g962 +tp30037 +a(g573 +VFBytesInFifo +p30038 +tp30039 +a(g412 +g966 +tp30040 +a(g826 +V\u000a +p30041 +tp30042 +a(g744 +Vend +p30043 +tp30044 +a(g412 +g966 +tp30045 +a(g826 +V\u000a\u000a +p30046 +tp30047 +a(g744 +Vimplementation +p30048 +tp30049 +a(g826 +V\u000a\u000a +p30050 +tp30051 +a(g744 +Vconstructor +p30052 +tp30053 +a(g826 +g962 +tp30054 +a(g628 +VTFifo +p30055 +tp30056 +a(g412 +g1154 +tp30057 +a(g573 +VCreate +p30058 +tp30059 +a(g412 +g966 +tp30060 +a(g826 +V\u000a +p30061 +tp30062 +a(g744 +Vbegin +p30063 +tp30064 +a(g826 +V\u000a +p30065 +tp30066 +a(g744 +Vinherited +p30067 +tp30068 +a(g412 +g966 +tp30069 +a(g826 +V\u000a +p30070 +tp30071 +a(g435 +VFBuffers +p30072 +tp30073 +a(g826 +g962 +tp30074 +a(g412 +g1407 +tp30075 +a(g412 +g1007 +tp30076 +a(g826 +g962 +tp30077 +a(g435 +VTList +p30078 +tp30079 +a(g412 +g1154 +tp30080 +a(g435 +VCreate +p30081 +tp30082 +a(g412 +g966 +tp30083 +a(g826 +V\u000a +p30084 +tp30085 +a(g8 +V// set default chunksize... +p30086 +tp30087 +a(g826 +V\u000a +p30088 +tp30089 +a(g435 +VFChunksize +p30090 +tp30091 +a(g826 +g962 +tp30092 +a(g412 +g1407 +tp30093 +a(g412 +g1007 +tp30094 +a(g826 +g962 +tp30095 +a(g435 +VDefaultChunksize +p30096 +tp30097 +a(g412 +g966 +tp30098 +a(g826 +V\u000a +p30099 +tp30100 +a(g435 +VInitializeCriticalSection +p30101 +tp30102 +a(g705 +g1137 +tp30103 +a(g435 +VFCritSect +p30104 +tp30105 +a(g705 +g1141 +tp30106 +a(g412 +g966 +tp30107 +a(g826 +V\u000a +p30108 +tp30109 +a(g744 +Vend +p30110 +tp30111 +a(g412 +g966 +tp30112 +a(g826 +V\u000a\u000a +p30113 +tp30114 +a(g744 +Vdestructor +p30115 +tp30116 +a(g826 +g962 +tp30117 +a(g628 +VTFifo +p30118 +tp30119 +a(g412 +g1154 +tp30120 +a(g573 +VDestroy +p30121 +tp30122 +a(g412 +g966 +tp30123 +a(g826 +V\u000a +p30124 +tp30125 +a(g744 +Vvar +p30126 +tp30127 +a(g826 +V\u000a +p30128 +tp30129 +a(g435 +g1723 +tp30130 +a(g412 +g1407 +tp30131 +a(g826 +g962 +tp30132 +a(g748 +VInteger +p30133 +tp30134 +a(g412 +g966 +tp30135 +a(g826 +V\u000a +p30136 +tp30137 +a(g744 +Vbegin +p30138 +tp30139 +a(g826 +V\u000a +p30140 +tp30141 +a(g435 +VEnterCriticalSection +p30142 +tp30143 +a(g705 +g1137 +tp30144 +a(g435 +VFCritSect +p30145 +tp30146 +a(g705 +g1141 +tp30147 +a(g412 +g966 +tp30148 +a(g826 +V\u000a +p30149 +tp30150 +a(g744 +Vfor +p30151 +tp30152 +a(g826 +g962 +tp30153 +a(g435 +g1723 +tp30154 +a(g826 +g962 +tp30155 +a(g412 +g1407 +tp30156 +a(g412 +g1007 +tp30157 +a(g826 +g962 +tp30158 +a(g37 +g1202 +tp30159 +a(g826 +g962 +tp30160 +a(g744 +Vto +p30161 +tp30162 +a(g826 +g962 +tp30163 +a(g435 +VFBuffers +p30164 +tp30165 +a(g412 +g1154 +tp30166 +a(g435 +Vcount +p30167 +tp30168 +a(g826 +g962 +tp30169 +a(g412 +g1109 +tp30170 +a(g826 +g962 +tp30171 +a(g37 +g1337 +tp30172 +a(g826 +g962 +tp30173 +a(g744 +Vdo +p30174 +tp30175 +a(g826 +V\u000a +p30176 +tp30177 +a(g744 +Vbegin +p30178 +tp30179 +a(g826 +V\u000a +p30180 +tp30181 +a(g681 +VFreeMem +p30182 +tp30183 +a(g705 +g1137 +tp30184 +a(g435 +VPMemChunk +p30185 +tp30186 +a(g705 +g1137 +tp30187 +a(g435 +VFbuffers +p30188 +tp30189 +a(g705 +g1066 +tp30190 +a(g435 +g1723 +tp30191 +a(g705 +V]) +p30192 +tp30193 +a(g412 +g1154 +tp30194 +a(g435 +VData +p30195 +tp30196 +a(g705 +g1141 +tp30197 +a(g412 +g966 +tp30198 +a(g826 +V\u000a +p30199 +tp30200 +a(g744 +VDispose +p30201 +tp30202 +a(g705 +g1137 +tp30203 +a(g435 +VPMemChunk +p30204 +tp30205 +a(g705 +g1137 +tp30206 +a(g435 +VFbuffers +p30207 +tp30208 +a(g705 +g1066 +tp30209 +a(g435 +g1723 +tp30210 +a(g705 +V])) +p30211 +tp30212 +a(g412 +g966 +tp30213 +a(g826 +V\u000a +p30214 +tp30215 +a(g744 +Vend +p30216 +tp30217 +a(g412 +g966 +tp30218 +a(g826 +V\u000a +p30219 +tp30220 +a(g435 +VFBuffers +p30221 +tp30222 +a(g412 +g1154 +tp30223 +a(g435 +VClear +p30224 +tp30225 +a(g412 +g966 +tp30226 +a(g826 +V\u000a +p30227 +tp30228 +a(g435 +VFBuffers +p30229 +tp30230 +a(g412 +g1154 +tp30231 +a(g435 +VFree +p30232 +tp30233 +a(g412 +g966 +tp30234 +a(g826 +V\u000a +p30235 +tp30236 +a(g435 +VLeaveCriticalSection +p30237 +tp30238 +a(g705 +g1137 +tp30239 +a(g435 +VFCritSect +p30240 +tp30241 +a(g705 +g1141 +tp30242 +a(g412 +g966 +tp30243 +a(g826 +V\u000a\u000a +p30244 +tp30245 +a(g435 +VDeleteCriticalSection +p30246 +tp30247 +a(g705 +g1137 +tp30248 +a(g435 +VFCritSect +p30249 +tp30250 +a(g705 +g1141 +tp30251 +a(g412 +g966 +tp30252 +a(g826 +V\u000a +p30253 +tp30254 +a(g744 +Vinherited +p30255 +tp30256 +a(g412 +g966 +tp30257 +a(g826 +V\u000a +p30258 +tp30259 +a(g744 +Vend +p30260 +tp30261 +a(g412 +g966 +tp30262 +a(g826 +V\u000a\u000a +p30263 +tp30264 +a(g744 +Vfunction +p30265 +tp30266 +a(g826 +g962 +tp30267 +a(g628 +VTFifo +p30268 +tp30269 +a(g412 +g1154 +tp30270 +a(g573 +VGetBytesInFifo +p30271 +tp30272 +a(g412 +g1407 +tp30273 +a(g826 +g962 +tp30274 +a(g748 +VLongWord +p30275 +tp30276 +a(g412 +g966 +tp30277 +a(g826 +V\u000a +p30278 +tp30279 +a(g744 +Vbegin +p30280 +tp30281 +a(g826 +V\u000a +p30282 +tp30283 +a(g693 +VResult +p30284 +tp30285 +a(g826 +g962 +tp30286 +a(g412 +g1407 +tp30287 +a(g412 +g1007 +tp30288 +a(g826 +g962 +tp30289 +a(g37 +g1202 +tp30290 +a(g412 +g966 +tp30291 +a(g826 +V\u000a +p30292 +tp30293 +a(g744 +Vif +p30294 +tp30295 +a(g826 +g962 +tp30296 +a(g435 +VFBuffers +p30297 +tp30298 +a(g412 +g1154 +tp30299 +a(g435 +VCount +p30300 +tp30301 +a(g826 +g962 +tp30302 +a(g412 +g1007 +tp30303 +a(g826 +g962 +tp30304 +a(g37 +g1202 +tp30305 +a(g826 +g962 +tp30306 +a(g744 +Vthen +p30307 +tp30308 +a(g826 +V\u000a +p30309 +tp30310 +a(g744 +Vbegin +p30311 +tp30312 +a(g826 +V\u000a +p30313 +tp30314 +a(g744 +Vexit +p30315 +tp30316 +a(g412 +g966 +tp30317 +a(g826 +V\u000a +p30318 +tp30319 +a(g744 +Vend +p30320 +tp30321 +a(g826 +V\u000a +p30322 +tp30323 +a(g744 +Velse +p30324 +tp30325 +a(g826 +V\u000a +p30326 +tp30327 +a(g744 +Vbegin +p30328 +tp30329 +a(g826 +V\u000a +p30330 +tp30331 +a(g744 +Vif +p30332 +tp30333 +a(g826 +g962 +tp30334 +a(g435 +VFBuffers +p30335 +tp30336 +a(g412 +g1154 +tp30337 +a(g435 +VCount +p30338 +tp30339 +a(g826 +g962 +tp30340 +a(g412 +g1419 +tp30341 +a(g826 +g962 +tp30342 +a(g37 +g1337 +tp30343 +a(g826 +g962 +tp30344 +a(g744 +Vthen +p30345 +tp30346 +a(g826 +V\u000a +p30347 +tp30348 +a(g681 +VInc +p30349 +tp30350 +a(g705 +g1137 +tp30351 +a(g693 +VResult +p30352 +tp30353 +a(g412 +g984 +tp30354 +a(g826 +g962 +tp30355 +a(g705 +g1137 +tp30356 +a(g435 +VFBuffers +p30357 +tp30358 +a(g412 +g1154 +tp30359 +a(g435 +VCount +p30360 +tp30361 +a(g826 +g962 +tp30362 +a(g412 +g1109 +tp30363 +a(g826 +g962 +tp30364 +a(g37 +g1337 +tp30365 +a(g705 +g1141 +tp30366 +a(g826 +g962 +tp30367 +a(g412 +g1371 +tp30368 +a(g826 +g962 +tp30369 +a(g435 +VFChunkSize +p30370 +tp30371 +a(g705 +g1141 +tp30372 +a(g412 +g966 +tp30373 +a(g826 +V\u000a +p30374 +tp30375 +a(g681 +VInc +p30376 +tp30377 +a(g705 +g1137 +tp30378 +a(g693 +VResult +p30379 +tp30380 +a(g412 +g984 +tp30381 +a(g826 +g962 +tp30382 +a(g435 +VPMemChunk +p30383 +tp30384 +a(g705 +g1137 +tp30385 +a(g435 +VFBuffers +p30386 +tp30387 +a(g705 +g1066 +tp30388 +a(g435 +VFbuffers +p30389 +tp30390 +a(g412 +g1154 +tp30391 +a(g435 +VCount +p30392 +tp30393 +a(g826 +g962 +tp30394 +a(g412 +g1109 +tp30395 +a(g826 +g962 +tp30396 +a(g37 +g1337 +tp30397 +a(g705 +V]) +p30398 +tp30399 +a(g412 +g1154 +tp30400 +a(g435 +VFilled +p30401 +tp30402 +a(g705 +g1141 +tp30403 +a(g412 +g966 +tp30404 +a(g826 +V\u000a +p30405 +tp30406 +a(g681 +VDec +p30407 +tp30408 +a(g705 +g1137 +tp30409 +a(g693 +VResult +p30410 +tp30411 +a(g412 +g984 +tp30412 +a(g826 +g962 +tp30413 +a(g435 +VPMemChunk +p30414 +tp30415 +a(g705 +g1137 +tp30416 +a(g435 +VFBuffers +p30417 +tp30418 +a(g705 +g1066 +tp30419 +a(g37 +g1202 +tp30420 +a(g705 +V]) +p30421 +tp30422 +a(g412 +g1154 +tp30423 +a(g435 +VRead +p30424 +tp30425 +a(g705 +g1141 +tp30426 +a(g412 +g966 +tp30427 +a(g826 +V\u000a +p30428 +tp30429 +a(g744 +Vend +p30430 +tp30431 +a(g412 +g966 +tp30432 +a(g826 +V\u000a +p30433 +tp30434 +a(g744 +Vend +p30435 +tp30436 +a(g412 +g966 +tp30437 +a(g826 +V\u000a\u000a +p30438 +tp30439 +a(g744 +Vprocedure +p30440 +tp30441 +a(g826 +g962 +tp30442 +a(g628 +VTFifo +p30443 +tp30444 +a(g412 +g1154 +tp30445 +a(g573 +VWrite +p30446 +tp30447 +a(g705 +g1137 +tp30448 +a(g435 +VData +p30449 +tp30450 +a(g412 +g1407 +tp30451 +a(g826 +g962 +tp30452 +a(g748 +Vpointer +p30453 +tp30454 +a(g412 +g966 +tp30455 +a(g826 +g962 +tp30456 +a(g435 +VSize +p30457 +tp30458 +a(g412 +g1407 +tp30459 +a(g826 +g962 +tp30460 +a(g748 +VLongWord +p30461 +tp30462 +a(g705 +g1141 +tp30463 +a(g412 +g966 +tp30464 +a(g826 +V\u000a +p30465 +tp30466 +a(g744 +Vvar +p30467 +tp30468 +a(g826 +V\u000a +p30469 +tp30470 +a(g435 +VPrivpointer +p30471 +tp30472 +a(g412 +g1407 +tp30473 +a(g826 +g962 +tp30474 +a(g748 +Vpointer +p30475 +tp30476 +a(g412 +g966 +tp30477 +a(g826 +V\u000a +p30478 +tp30479 +a(g435 +VPrivSize +p30480 +tp30481 +a(g412 +g1407 +tp30482 +a(g826 +g962 +tp30483 +a(g748 +VLongWord +p30484 +tp30485 +a(g412 +g966 +tp30486 +a(g826 +V\u000a +p30487 +tp30488 +a(g435 +VChunk +p30489 +tp30490 +a(g412 +g1407 +tp30491 +a(g826 +g962 +tp30492 +a(g435 +VPMemChunk +p30493 +tp30494 +a(g412 +g966 +tp30495 +a(g826 +V\u000a +p30496 +tp30497 +a(g435 +VPosInChunk +p30498 +tp30499 +a(g412 +g1407 +tp30500 +a(g826 +g962 +tp30501 +a(g748 +Vpointer +p30502 +tp30503 +a(g412 +g966 +tp30504 +a(g826 +V\u000a +p30505 +tp30506 +a(g744 +Vbegin +p30507 +tp30508 +a(g826 +V\u000a +p30509 +tp30510 +a(g744 +Vif +p30511 +tp30512 +a(g826 +g962 +tp30513 +a(g748 +VLongWord +p30514 +tp30515 +a(g705 +g1137 +tp30516 +a(g435 +VData +p30517 +tp30518 +a(g705 +g1141 +tp30519 +a(g826 +g962 +tp30520 +a(g412 +g1007 +tp30521 +a(g826 +g962 +tp30522 +a(g37 +g1202 +tp30523 +a(g826 +g962 +tp30524 +a(g744 +Vthen +p30525 +tp30526 +a(g826 +V\u000a +p30527 +tp30528 +a(g744 +Vbegin +p30529 +tp30530 +a(g826 +V\u000a +p30531 +tp30532 +a(g8 +V// null pointer? somebody is trying to fool us, get out... +p30533 +tp30534 +a(g826 +V\u000a +p30535 +tp30536 +a(g744 +VExit +p30537 +tp30538 +a(g412 +g966 +tp30539 +a(g826 +V\u000a +p30540 +tp30541 +a(g744 +Vend +p30542 +tp30543 +a(g412 +g966 +tp30544 +a(g826 +V\u000a +p30545 +tp30546 +a(g435 +VEnterCriticalSection +p30547 +tp30548 +a(g705 +g1137 +tp30549 +a(g435 +VFCritSect +p30550 +tp30551 +a(g705 +g1141 +tp30552 +a(g412 +g966 +tp30553 +a(g826 +V\u000a +p30554 +tp30555 +a(g435 +VPrivPointer +p30556 +tp30557 +a(g826 +g962 +tp30558 +a(g412 +g1407 +tp30559 +a(g412 +g1007 +tp30560 +a(g826 +g962 +tp30561 +a(g435 +VData +p30562 +tp30563 +a(g412 +g966 +tp30564 +a(g826 +V\u000a +p30565 +tp30566 +a(g435 +VPrivSize +p30567 +tp30568 +a(g826 +g962 +tp30569 +a(g412 +g1407 +tp30570 +a(g412 +g1007 +tp30571 +a(g826 +g962 +tp30572 +a(g37 +g1202 +tp30573 +a(g412 +g966 +tp30574 +a(g826 +V\u000a +p30575 +tp30576 +a(g8 +V// are already buffers there? +p30577 +tp30578 +a(g826 +V\u000a +p30579 +tp30580 +a(g744 +Vif +p30581 +tp30582 +a(g826 +g962 +tp30583 +a(g435 +VFBuffers +p30584 +tp30585 +a(g412 +g1154 +tp30586 +a(g435 +Vcount +p30587 +tp30588 +a(g826 +g962 +tp30589 +a(g412 +g1419 +tp30590 +a(g826 +g962 +tp30591 +a(g37 +g1202 +tp30592 +a(g826 +g962 +tp30593 +a(g744 +Vthen +p30594 +tp30595 +a(g826 +V\u000a +p30596 +tp30597 +a(g744 +Vbegin +p30598 +tp30599 +a(g826 +V\u000a +p30600 +tp30601 +a(g8 +V// is the last one of them not completely filled? +p30602 +tp30603 +a(g826 +V\u000a +p30604 +tp30605 +a(g744 +Vif +p30606 +tp30607 +a(g826 +g962 +tp30608 +a(g435 +VPMemChunk +p30609 +tp30610 +a(g705 +g1137 +tp30611 +a(g435 +VFBuffers +p30612 +tp30613 +a(g705 +g1066 +tp30614 +a(g435 +VFBuffers +p30615 +tp30616 +a(g412 +g1154 +tp30617 +a(g435 +Vcount +p30618 +tp30619 +a(g826 +g962 +tp30620 +a(g412 +g1109 +tp30621 +a(g826 +g962 +tp30622 +a(g37 +g1337 +tp30623 +a(g705 +V]) +p30624 +tp30625 +a(g412 +g1154 +tp30626 +a(g435 +Vfilled +p30627 +tp30628 +a(g826 +g962 +tp30629 +a(g412 +g1413 +tp30630 +a(g826 +g962 +tp30631 +a(g435 +VFChunksize +p30632 +tp30633 +a(g826 +g962 +tp30634 +a(g744 +Vthen +p30635 +tp30636 +a(g826 +V\u000a +p30637 +tp30638 +a(g8 +V// not completely filled, so fill up the buffer. +p30639 +tp30640 +a(g826 +V\u000a +p30641 +tp30642 +a(g744 +Vbegin +p30643 +tp30644 +a(g826 +V\u000a +p30645 +tp30646 +a(g435 +VChunk +p30647 +tp30648 +a(g826 +g962 +tp30649 +a(g412 +g1407 +tp30650 +a(g412 +g1007 +tp30651 +a(g826 +g962 +tp30652 +a(g435 +VPMemChunk +p30653 +tp30654 +a(g705 +g1137 +tp30655 +a(g435 +VFBuffers +p30656 +tp30657 +a(g705 +g1066 +tp30658 +a(g435 +VFBuffers +p30659 +tp30660 +a(g412 +g1154 +tp30661 +a(g435 +Vcount +p30662 +tp30663 +a(g826 +g962 +tp30664 +a(g412 +g1109 +tp30665 +a(g826 +g962 +tp30666 +a(g37 +g1337 +tp30667 +a(g705 +V]) +p30668 +tp30669 +a(g412 +g966 +tp30670 +a(g826 +V\u000a +p30671 +tp30672 +a(g8 +V// fetch chunkdata. +p30673 +tp30674 +a(g826 +V\u000a +p30675 +tp30676 +a(g435 +VPosInChunk +p30677 +tp30678 +a(g826 +g962 +tp30679 +a(g412 +g1407 +tp30680 +a(g412 +g1007 +tp30681 +a(g826 +g962 +tp30682 +a(g435 +VChunk +p30683 +tp30684 +a(g412 +g1154 +tp30685 +a(g435 +VData +p30686 +tp30687 +a(g412 +g966 +tp30688 +a(g826 +V\u000a +p30689 +tp30690 +a(g8 +V// move to current fill pos... +p30691 +tp30692 +a(g826 +V\u000a +p30693 +tp30694 +a(g681 +VInc +p30695 +tp30696 +a(g705 +g1137 +tp30697 +a(g748 +VLongWord +p30698 +tp30699 +a(g705 +g1137 +tp30700 +a(g435 +VPosInChunk +p30701 +tp30702 +a(g705 +g1141 +tp30703 +a(g412 +g984 +tp30704 +a(g826 +g962 +tp30705 +a(g435 +VChunk +p30706 +tp30707 +a(g412 +g1154 +tp30708 +a(g435 +VFilled +p30709 +tp30710 +a(g705 +g1141 +tp30711 +a(g412 +g966 +tp30712 +a(g826 +V\u000a +p30713 +tp30714 +a(g8 +V// can we fill the chunk completely? +p30715 +tp30716 +a(g826 +V\u000a +p30717 +tp30718 +a(g744 +Vif +p30719 +tp30720 +a(g826 +g962 +tp30721 +a(g435 +VSize +p30722 +tp30723 +a(g826 +g962 +tp30724 +a(g412 +g1419 +tp30725 +a(g826 +g962 +tp30726 +a(g435 +VFChunksize +p30727 +tp30728 +a(g826 +g962 +tp30729 +a(g412 +g1109 +tp30730 +a(g826 +g962 +tp30731 +a(g435 +VChunk +p30732 +tp30733 +a(g412 +g1154 +tp30734 +a(g435 +VFilled +p30735 +tp30736 +a(g826 +g962 +tp30737 +a(g744 +Vthen +p30738 +tp30739 +a(g826 +V\u000a +p30740 +tp30741 +a(g744 +Vbegin +p30742 +tp30743 +a(g826 +V\u000a +p30744 +tp30745 +a(g8 +V// yes we can. +p30746 +tp30747 +a(g826 +V\u000a +p30748 +tp30749 +a(g681 +VMove +p30750 +tp30751 +a(g705 +g1137 +tp30752 +a(g435 +VPrivPointer +p30753 +tp30754 +a(g412 +g13767 +tp30755 +a(g412 +g984 +tp30756 +a(g826 +g962 +tp30757 +a(g435 +VPosInChunk +p30758 +tp30759 +a(g412 +g13767 +tp30760 +a(g412 +g984 +tp30761 +a(g826 +g962 +tp30762 +a(g435 +VFChunksize +p30763 +tp30764 +a(g826 +g962 +tp30765 +a(g412 +g1109 +tp30766 +a(g826 +g962 +tp30767 +a(g435 +VChunk +p30768 +tp30769 +a(g412 +g1154 +tp30770 +a(g435 +VFilled +p30771 +tp30772 +a(g705 +g1141 +tp30773 +a(g412 +g966 +tp30774 +a(g826 +V\u000a +p30775 +tp30776 +a(g681 +VInc +p30777 +tp30778 +a(g705 +g1137 +tp30779 +a(g435 +VPrivSize +p30780 +tp30781 +a(g412 +g984 +tp30782 +a(g826 +g962 +tp30783 +a(g435 +VFChunksize +p30784 +tp30785 +a(g826 +g962 +tp30786 +a(g412 +g1109 +tp30787 +a(g826 +g962 +tp30788 +a(g435 +VChunk +p30789 +tp30790 +a(g412 +g1154 +tp30791 +a(g435 +VFilled +p30792 +tp30793 +a(g705 +g1141 +tp30794 +a(g412 +g966 +tp30795 +a(g826 +V\u000a +p30796 +tp30797 +a(g681 +VInc +p30798 +tp30799 +a(g705 +g1137 +tp30800 +a(g748 +VLongWord +p30801 +tp30802 +a(g705 +g1137 +tp30803 +a(g435 +VPrivPointer +p30804 +tp30805 +a(g705 +g1141 +tp30806 +a(g412 +g984 +tp30807 +a(g826 +g962 +tp30808 +a(g435 +VFChunksize +p30809 +tp30810 +a(g826 +g962 +tp30811 +a(g412 +g1109 +tp30812 +a(g826 +g962 +tp30813 +a(g435 +VChunk +p30814 +tp30815 +a(g412 +g1154 +tp30816 +a(g435 +VFilled +p30817 +tp30818 +a(g705 +g1141 +tp30819 +a(g412 +g966 +tp30820 +a(g826 +V\u000a +p30821 +tp30822 +a(g435 +VChunk +p30823 +tp30824 +a(g412 +g1154 +tp30825 +a(g435 +VFilled +p30826 +tp30827 +a(g826 +g962 +tp30828 +a(g412 +g1407 +tp30829 +a(g412 +g1007 +tp30830 +a(g826 +g962 +tp30831 +a(g435 +VFChunkSize +p30832 +tp30833 +a(g412 +g966 +tp30834 +a(g826 +V\u000a +p30835 +tp30836 +a(g744 +Vend +p30837 +tp30838 +a(g826 +V\u000a +p30839 +tp30840 +a(g744 +Velse +p30841 +tp30842 +a(g826 +V\u000a +p30843 +tp30844 +a(g8 +V// we have to less data for filling the chunk completely, +p30845 +tp30846 +a(g826 +V\u000a +p30847 +tp30848 +a(g8 +V// just put everything in. +p30849 +tp30850 +a(g826 +V\u000a +p30851 +tp30852 +a(g744 +Vbegin +p30853 +tp30854 +a(g826 +V\u000a +p30855 +tp30856 +a(g681 +VMove +p30857 +tp30858 +a(g705 +g1137 +tp30859 +a(g435 +VPrivPointer +p30860 +tp30861 +a(g412 +g13767 +tp30862 +a(g412 +g984 +tp30863 +a(g826 +g962 +tp30864 +a(g435 +VPosInChunk +p30865 +tp30866 +a(g412 +g13767 +tp30867 +a(g412 +g984 +tp30868 +a(g826 +g962 +tp30869 +a(g435 +VSize +p30870 +tp30871 +a(g705 +g1141 +tp30872 +a(g412 +g966 +tp30873 +a(g826 +V\u000a +p30874 +tp30875 +a(g681 +VInc +p30876 +tp30877 +a(g705 +g1137 +tp30878 +a(g435 +VPrivSize +p30879 +tp30880 +a(g412 +g984 +tp30881 +a(g826 +g962 +tp30882 +a(g435 +VSize +p30883 +tp30884 +a(g705 +g1141 +tp30885 +a(g412 +g966 +tp30886 +a(g826 +V\u000a +p30887 +tp30888 +a(g681 +VInc +p30889 +tp30890 +a(g705 +g1137 +tp30891 +a(g435 +VChunk +p30892 +tp30893 +a(g412 +g1154 +tp30894 +a(g435 +VFilled +p30895 +tp30896 +a(g412 +g984 +tp30897 +a(g826 +g962 +tp30898 +a(g435 +VSize +p30899 +tp30900 +a(g705 +g1141 +tp30901 +a(g412 +g966 +tp30902 +a(g826 +V\u000a +p30903 +tp30904 +a(g744 +Vend +p30905 +tp30906 +a(g412 +g966 +tp30907 +a(g826 +V\u000a +p30908 +tp30909 +a(g744 +Vend +p30910 +tp30911 +a(g412 +g966 +tp30912 +a(g826 +V\u000a +p30913 +tp30914 +a(g744 +Vend +p30915 +tp30916 +a(g412 +g966 +tp30917 +a(g826 +V\u000a +p30918 +tp30919 +a(g8 +V// as long as we have remaining stuff put it into new chunks. +p30920 +tp30921 +a(g826 +V\u000a +p30922 +tp30923 +a(g744 +Vwhile +p30924 +tp30925 +a(g826 +g962 +tp30926 +a(g705 +g1137 +tp30927 +a(g435 +VPrivSize +p30928 +tp30929 +a(g826 +g962 +tp30930 +a(g412 +g1413 +tp30931 +a(g826 +g962 +tp30932 +a(g435 +VSize +p30933 +tp30934 +a(g705 +g1141 +tp30935 +a(g826 +g962 +tp30936 +a(g744 +Vdo +p30937 +tp30938 +a(g826 +V\u000a +p30939 +tp30940 +a(g744 +Vbegin +p30941 +tp30942 +a(g826 +V\u000a +p30943 +tp30944 +a(g744 +Vnew +p30945 +tp30946 +a(g705 +g1137 +tp30947 +a(g435 +VChunk +p30948 +tp30949 +a(g705 +g1141 +tp30950 +a(g412 +g966 +tp30951 +a(g826 +V\u000a +p30952 +tp30953 +a(g681 +VGetMem +p30954 +tp30955 +a(g705 +g1137 +tp30956 +a(g435 +VChunk +p30957 +tp30958 +a(g412 +g1154 +tp30959 +a(g435 +VData +p30960 +tp30961 +a(g412 +g984 +tp30962 +a(g826 +g962 +tp30963 +a(g435 +VFChunksize +p30964 +tp30965 +a(g705 +g1141 +tp30966 +a(g412 +g966 +tp30967 +a(g826 +V\u000a +p30968 +tp30969 +a(g435 +VChunk +p30970 +tp30971 +a(g412 +g1154 +tp30972 +a(g435 +VRead +p30973 +tp30974 +a(g826 +g962 +tp30975 +a(g412 +g1407 +tp30976 +a(g412 +g1007 +tp30977 +a(g826 +g962 +tp30978 +a(g37 +g1202 +tp30979 +a(g412 +g966 +tp30980 +a(g826 +V\u000a +p30981 +tp30982 +a(g8 +V// can we fill an entire chunk with the remaining data? +p30983 +tp30984 +a(g826 +V\u000a +p30985 +tp30986 +a(g744 +Vif +p30987 +tp30988 +a(g826 +g962 +tp30989 +a(g435 +VPrivsize +p30990 +tp30991 +a(g826 +g962 +tp30992 +a(g412 +g1223 +tp30993 +a(g826 +g962 +tp30994 +a(g435 +VFChunksize +p30995 +tp30996 +a(g826 +g962 +tp30997 +a(g412 +g1413 +tp30998 +a(g826 +g962 +tp30999 +a(g435 +VSize +p31000 +tp31001 +a(g826 +g962 +tp31002 +a(g744 +Vthen +p31003 +tp31004 +a(g826 +V\u000a +p31005 +tp31006 +a(g744 +Vbegin +p31007 +tp31008 +a(g826 +V\u000a +p31009 +tp31010 +a(g8 +V// yes we can, so put the stuff in. +p31011 +tp31012 +a(g826 +V\u000a +p31013 +tp31014 +a(g681 +VMove +p31015 +tp31016 +a(g705 +g1137 +tp31017 +a(g435 +VPrivpointer +p31018 +tp31019 +a(g412 +g13767 +tp31020 +a(g412 +g984 +tp31021 +a(g826 +g962 +tp31022 +a(g435 +VChunk +p31023 +tp31024 +a(g412 +g1154 +tp31025 +a(g435 +VData +p31026 +tp31027 +a(g412 +g13767 +tp31028 +a(g412 +g984 +tp31029 +a(g826 +g962 +tp31030 +a(g435 +VFChunksize +p31031 +tp31032 +a(g705 +g1141 +tp31033 +a(g412 +g966 +tp31034 +a(g826 +V\u000a +p31035 +tp31036 +a(g681 +VInc +p31037 +tp31038 +a(g705 +g1137 +tp31039 +a(g748 +VLongWord +p31040 +tp31041 +a(g705 +g1137 +tp31042 +a(g435 +VPrivPointer +p31043 +tp31044 +a(g705 +g1141 +tp31045 +a(g412 +g984 +tp31046 +a(g826 +g962 +tp31047 +a(g435 +VFChunksize +p31048 +tp31049 +a(g705 +g1141 +tp31050 +a(g412 +g966 +tp31051 +a(g826 +V\u000a +p31052 +tp31053 +a(g681 +VInc +p31054 +tp31055 +a(g705 +g1137 +tp31056 +a(g435 +VPrivSize +p31057 +tp31058 +a(g412 +g984 +tp31059 +a(g826 +g962 +tp31060 +a(g435 +VFChunksize +p31061 +tp31062 +a(g705 +g1141 +tp31063 +a(g412 +g966 +tp31064 +a(g826 +V\u000a +p31065 +tp31066 +a(g435 +VChunk +p31067 +tp31068 +a(g412 +g1154 +tp31069 +a(g435 +VFilled +p31070 +tp31071 +a(g826 +g962 +tp31072 +a(g412 +g1407 +tp31073 +a(g412 +g1007 +tp31074 +a(g826 +g962 +tp31075 +a(g435 +VFChunksize +p31076 +tp31077 +a(g412 +g966 +tp31078 +a(g826 +V\u000a +p31079 +tp31080 +a(g744 +Vend +p31081 +tp31082 +a(g826 +V\u000a +p31083 +tp31084 +a(g744 +Velse +p31085 +tp31086 +a(g826 +g962 +tp31087 +a(g8 +V// we have to less data to fill the entire chunk, just put the remaining stuff in. +p31088 +tp31089 +a(g826 +V\u000a +p31090 +tp31091 +a(g744 +Vbegin +p31092 +tp31093 +a(g826 +V\u000a +p31094 +tp31095 +a(g681 +VMove +p31096 +tp31097 +a(g705 +g1137 +tp31098 +a(g435 +VPrivpointer +p31099 +tp31100 +a(g412 +g13767 +tp31101 +a(g412 +g984 +tp31102 +a(g826 +g962 +tp31103 +a(g435 +VChunk +p31104 +tp31105 +a(g412 +g1154 +tp31106 +a(g435 +VData +p31107 +tp31108 +a(g412 +g13767 +tp31109 +a(g412 +g984 +tp31110 +a(g826 +g962 +tp31111 +a(g435 +VSize +p31112 +tp31113 +a(g826 +g962 +tp31114 +a(g412 +g1109 +tp31115 +a(g826 +g962 +tp31116 +a(g435 +VPrivsize +p31117 +tp31118 +a(g705 +g1141 +tp31119 +a(g412 +g966 +tp31120 +a(g826 +V\u000a +p31121 +tp31122 +a(g435 +VChunk +p31123 +tp31124 +a(g412 +g1154 +tp31125 +a(g435 +VFilled +p31126 +tp31127 +a(g826 +g962 +tp31128 +a(g412 +g1407 +tp31129 +a(g412 +g1007 +tp31130 +a(g826 +g962 +tp31131 +a(g435 +VSize +p31132 +tp31133 +a(g826 +g962 +tp31134 +a(g412 +g1109 +tp31135 +a(g826 +g962 +tp31136 +a(g435 +VPrivsize +p31137 +tp31138 +a(g412 +g966 +tp31139 +a(g826 +V\u000a +p31140 +tp31141 +a(g681 +VInc +p31142 +tp31143 +a(g705 +g1137 +tp31144 +a(g435 +VPrivSize +p31145 +tp31146 +a(g412 +g984 +tp31147 +a(g826 +g962 +tp31148 +a(g435 +VSize +p31149 +tp31150 +a(g826 +g962 +tp31151 +a(g412 +g1109 +tp31152 +a(g826 +g962 +tp31153 +a(g435 +VPrivsize +p31154 +tp31155 +a(g705 +g1141 +tp31156 +a(g412 +g966 +tp31157 +a(g826 +V\u000a +p31158 +tp31159 +a(g744 +Vend +p31160 +tp31161 +a(g412 +g966 +tp31162 +a(g826 +V\u000a +p31163 +tp31164 +a(g435 +VFbuffers +p31165 +tp31166 +a(g412 +g1154 +tp31167 +a(g435 +VAdd +p31168 +tp31169 +a(g705 +g1137 +tp31170 +a(g435 +VChunk +p31171 +tp31172 +a(g705 +g1141 +tp31173 +a(g412 +g966 +tp31174 +a(g826 +V\u000a +p31175 +tp31176 +a(g744 +Vend +p31177 +tp31178 +a(g412 +g966 +tp31179 +a(g826 +V\u000a +p31180 +tp31181 +a(g744 +Vif +p31182 +tp31183 +a(g826 +g962 +tp31184 +a(g435 +VSize +p31185 +tp31186 +a(g826 +g962 +tp31187 +a(g412 +g1413 +tp31188 +a(g412 +g1419 +tp31189 +a(g826 +g962 +tp31190 +a(g435 +VPrivsize +p31191 +tp31192 +a(g826 +g962 +tp31193 +a(g744 +Vthen +p31194 +tp31195 +a(g826 +V\u000a +p31196 +tp31197 +a(g435 +VShowmessage +p31198 +tp31199 +a(g705 +g1137 +tp31200 +a(g89 +g1101 +tp31201 +a(g89 +Vmiscalculation in TFifo.write +p31202 +tp31203 +a(g89 +g1101 +tp31204 +a(g705 +g1141 +tp31205 +a(g412 +g966 +tp31206 +a(g826 +V\u000a +p31207 +tp31208 +a(g435 +VFBytesInFifo +p31209 +tp31210 +a(g826 +g962 +tp31211 +a(g412 +g1407 +tp31212 +a(g412 +g1007 +tp31213 +a(g826 +g962 +tp31214 +a(g435 +VGetBytesInFifo +p31215 +tp31216 +a(g412 +g966 +tp31217 +a(g826 +V\u000a +p31218 +tp31219 +a(g435 +VLeaveCriticalSection +p31220 +tp31221 +a(g705 +g1137 +tp31222 +a(g435 +VFCritSect +p31223 +tp31224 +a(g705 +g1141 +tp31225 +a(g412 +g966 +tp31226 +a(g826 +V\u000a +p31227 +tp31228 +a(g744 +Vend +p31229 +tp31230 +a(g412 +g966 +tp31231 +a(g826 +V\u000a\u000a +p31232 +tp31233 +a(g744 +Vprocedure +p31234 +tp31235 +a(g826 +g962 +tp31236 +a(g628 +VTFifo +p31237 +tp31238 +a(g412 +g1154 +tp31239 +a(g573 +VRead +p31240 +tp31241 +a(g705 +g1137 +tp31242 +a(g435 +VBuff +p31243 +tp31244 +a(g412 +g1407 +tp31245 +a(g826 +g962 +tp31246 +a(g748 +Vpointer +p31247 +tp31248 +a(g412 +g966 +tp31249 +a(g826 +g962 +tp31250 +a(g744 +Vvar +p31251 +tp31252 +a(g826 +g962 +tp31253 +a(g435 +VReqSize +p31254 +tp31255 +a(g412 +g1407 +tp31256 +a(g826 +g962 +tp31257 +a(g748 +VLongWord +p31258 +tp31259 +a(g705 +g1141 +tp31260 +a(g412 +g966 +tp31261 +a(g826 +V\u000a +p31262 +tp31263 +a(g744 +Vvar +p31264 +tp31265 +a(g826 +V\u000a +p31266 +tp31267 +a(g435 +VPrivSize +p31268 +tp31269 +a(g412 +g1407 +tp31270 +a(g826 +g962 +tp31271 +a(g748 +VInteger +p31272 +tp31273 +a(g412 +g966 +tp31274 +a(g826 +V\u000a +p31275 +tp31276 +a(g435 +VPrivpos +p31277 +tp31278 +a(g412 +g1407 +tp31279 +a(g826 +g962 +tp31280 +a(g748 +Vpointer +p31281 +tp31282 +a(g412 +g966 +tp31283 +a(g826 +V\u000a +p31284 +tp31285 +a(g435 +VChunk +p31286 +tp31287 +a(g412 +g1407 +tp31288 +a(g826 +g962 +tp31289 +a(g435 +VPMemChunk +p31290 +tp31291 +a(g412 +g966 +tp31292 +a(g826 +V\u000a +p31293 +tp31294 +a(g435 +VChunkPos +p31295 +tp31296 +a(g412 +g1407 +tp31297 +a(g826 +g962 +tp31298 +a(g748 +Vpointer +p31299 +tp31300 +a(g412 +g966 +tp31301 +a(g826 +V\u000a +p31302 +tp31303 +a(g744 +Vbegin +p31304 +tp31305 +a(g826 +V\u000a +p31306 +tp31307 +a(g744 +Vif +p31308 +tp31309 +a(g826 +g962 +tp31310 +a(g748 +VLongWord +p31311 +tp31312 +a(g705 +g1137 +tp31313 +a(g435 +VBuff +p31314 +tp31315 +a(g705 +g1141 +tp31316 +a(g826 +g962 +tp31317 +a(g412 +g1007 +tp31318 +a(g826 +g962 +tp31319 +a(g37 +g1202 +tp31320 +a(g826 +g962 +tp31321 +a(g744 +Vthen +p31322 +tp31323 +a(g826 +V\u000a +p31324 +tp31325 +a(g744 +Vbegin +p31326 +tp31327 +a(g826 +V\u000a +p31328 +tp31329 +a(g8 +V// null pointer? somebody is trying to fool us, get out... +p31330 +tp31331 +a(g826 +V\u000a +p31332 +tp31333 +a(g744 +VExit +p31334 +tp31335 +a(g412 +g966 +tp31336 +a(g826 +V\u000a +p31337 +tp31338 +a(g744 +Vend +p31339 +tp31340 +a(g412 +g966 +tp31341 +a(g826 +V\u000a +p31342 +tp31343 +a(g435 +VEnterCriticalSection +p31344 +tp31345 +a(g705 +g1137 +tp31346 +a(g435 +VFCritSect +p31347 +tp31348 +a(g705 +g1141 +tp31349 +a(g412 +g966 +tp31350 +a(g826 +V\u000a +p31351 +tp31352 +a(g435 +VPrivSize +p31353 +tp31354 +a(g826 +g962 +tp31355 +a(g412 +g1407 +tp31356 +a(g412 +g1007 +tp31357 +a(g826 +g962 +tp31358 +a(g37 +g1202 +tp31359 +a(g412 +g966 +tp31360 +a(g826 +V\u000a +p31361 +tp31362 +a(g435 +VPrivpos +p31363 +tp31364 +a(g826 +g962 +tp31365 +a(g412 +g1407 +tp31366 +a(g412 +g1007 +tp31367 +a(g826 +g962 +tp31368 +a(g435 +VBuff +p31369 +tp31370 +a(g412 +g966 +tp31371 +a(g826 +V\u000a +p31372 +tp31373 +a(g744 +Vwhile +p31374 +tp31375 +a(g826 +g962 +tp31376 +a(g435 +VFBuffers +p31377 +tp31378 +a(g412 +g1154 +tp31379 +a(g435 +VCount +p31380 +tp31381 +a(g826 +g962 +tp31382 +a(g412 +g1419 +tp31383 +a(g826 +g962 +tp31384 +a(g37 +g1202 +tp31385 +a(g826 +g962 +tp31386 +a(g744 +Vdo +p31387 +tp31388 +a(g826 +V\u000a +p31389 +tp31390 +a(g744 +Vbegin +p31391 +tp31392 +a(g826 +V\u000a +p31393 +tp31394 +a(g435 +VChunk +p31395 +tp31396 +a(g826 +g962 +tp31397 +a(g412 +g1407 +tp31398 +a(g412 +g1007 +tp31399 +a(g826 +g962 +tp31400 +a(g435 +VPMemChunk +p31401 +tp31402 +a(g705 +g1137 +tp31403 +a(g435 +VFBuffers +p31404 +tp31405 +a(g705 +g1066 +tp31406 +a(g37 +g1202 +tp31407 +a(g705 +V]) +p31408 +tp31409 +a(g412 +g966 +tp31410 +a(g826 +V\u000a +p31411 +tp31412 +a(g435 +VChunkPos +p31413 +tp31414 +a(g826 +g962 +tp31415 +a(g412 +g1407 +tp31416 +a(g412 +g1007 +tp31417 +a(g826 +g962 +tp31418 +a(g435 +VChunk +p31419 +tp31420 +a(g412 +g1154 +tp31421 +a(g435 +Vdata +p31422 +tp31423 +a(g412 +g966 +tp31424 +a(g826 +V\u000a +p31425 +tp31426 +a(g681 +VInc +p31427 +tp31428 +a(g705 +g1137 +tp31429 +a(g748 +VLongWord +p31430 +tp31431 +a(g705 +g1137 +tp31432 +a(g435 +VChunkPos +p31433 +tp31434 +a(g705 +g1141 +tp31435 +a(g412 +g984 +tp31436 +a(g826 +g962 +tp31437 +a(g435 +VChunk +p31438 +tp31439 +a(g412 +g1154 +tp31440 +a(g435 +VRead +p31441 +tp31442 +a(g705 +g1141 +tp31443 +a(g412 +g966 +tp31444 +a(g826 +V\u000a +p31445 +tp31446 +a(g8 +V// does the remaining part of the chunk fit into the buffer? +p31447 +tp31448 +a(g826 +V\u000a +p31449 +tp31450 +a(g744 +Vif +p31451 +tp31452 +a(g826 +g962 +tp31453 +a(g435 +VPrivSize +p31454 +tp31455 +a(g826 +g962 +tp31456 +a(g412 +g1223 +tp31457 +a(g826 +g962 +tp31458 +a(g705 +g1137 +tp31459 +a(g435 +VChunk +p31460 +tp31461 +a(g412 +g1154 +tp31462 +a(g435 +VFilled +p31463 +tp31464 +a(g826 +g962 +tp31465 +a(g412 +g1109 +tp31466 +a(g826 +g962 +tp31467 +a(g435 +VChunk +p31468 +tp31469 +a(g412 +g1154 +tp31470 +a(g435 +Vread +p31471 +tp31472 +a(g705 +g1141 +tp31473 +a(g826 +g962 +tp31474 +a(g412 +g1413 +tp31475 +a(g826 +g962 +tp31476 +a(g435 +VReqSize +p31477 +tp31478 +a(g826 +g962 +tp31479 +a(g744 +Vthen +p31480 +tp31481 +a(g826 +V\u000a +p31482 +tp31483 +a(g744 +Vbegin +p31484 +tp31485 +a(g826 +g962 +tp31486 +a(g8 +V// yep, it fits +p31487 +tp31488 +a(g826 +V\u000a +p31489 +tp31490 +a(g681 +VMove +p31491 +tp31492 +a(g705 +g1137 +tp31493 +a(g435 +VChunkPos +p31494 +tp31495 +a(g412 +g13767 +tp31496 +a(g412 +g984 +tp31497 +a(g826 +g962 +tp31498 +a(g435 +VPrivpos +p31499 +tp31500 +a(g412 +g13767 +tp31501 +a(g412 +g984 +tp31502 +a(g826 +g962 +tp31503 +a(g435 +VChunk +p31504 +tp31505 +a(g412 +g1154 +tp31506 +a(g435 +VFilled +p31507 +tp31508 +a(g826 +g962 +tp31509 +a(g412 +g1109 +tp31510 +a(g826 +g962 +tp31511 +a(g435 +VChunk +p31512 +tp31513 +a(g412 +g1154 +tp31514 +a(g435 +Vread +p31515 +tp31516 +a(g705 +g1141 +tp31517 +a(g412 +g966 +tp31518 +a(g826 +V\u000a +p31519 +tp31520 +a(g681 +VInc +p31521 +tp31522 +a(g705 +g1137 +tp31523 +a(g435 +VPrivSize +p31524 +tp31525 +a(g412 +g984 +tp31526 +a(g826 +g962 +tp31527 +a(g435 +VChunk +p31528 +tp31529 +a(g412 +g1154 +tp31530 +a(g435 +VFilled +p31531 +tp31532 +a(g826 +g962 +tp31533 +a(g412 +g1109 +tp31534 +a(g826 +g962 +tp31535 +a(g435 +VChunk +p31536 +tp31537 +a(g412 +g1154 +tp31538 +a(g435 +Vread +p31539 +tp31540 +a(g705 +g1141 +tp31541 +a(g412 +g966 +tp31542 +a(g826 +V\u000a +p31543 +tp31544 +a(g681 +VFreeMem +p31545 +tp31546 +a(g705 +g1137 +tp31547 +a(g435 +VChunk +p31548 +tp31549 +a(g412 +g1154 +tp31550 +a(g435 +VData +p31551 +tp31552 +a(g705 +g1141 +tp31553 +a(g412 +g966 +tp31554 +a(g826 +V\u000a +p31555 +tp31556 +a(g744 +VDispose +p31557 +tp31558 +a(g705 +g1137 +tp31559 +a(g435 +VChunk +p31560 +tp31561 +a(g705 +g1141 +tp31562 +a(g412 +g966 +tp31563 +a(g826 +V\u000a +p31564 +tp31565 +a(g435 +VFBuffers +p31566 +tp31567 +a(g412 +g1154 +tp31568 +a(g435 +VDelete +p31569 +tp31570 +a(g705 +g1137 +tp31571 +a(g37 +g1202 +tp31572 +a(g705 +g1141 +tp31573 +a(g412 +g966 +tp31574 +a(g826 +V\u000a +p31575 +tp31576 +a(g744 +Vend +p31577 +tp31578 +a(g826 +V\u000a +p31579 +tp31580 +a(g744 +Velse +p31581 +tp31582 +a(g826 +g962 +tp31583 +a(g8 +V// remaining part didn't fit, get as much as we can and increment the +p31584 +tp31585 +a(g826 +V\u000a +p31586 +tp31587 +a(g8 +V// read attribute. +p31588 +tp31589 +a(g826 +V\u000a +p31590 +tp31591 +a(g744 +Vbegin +p31592 +tp31593 +a(g826 +V\u000a +p31594 +tp31595 +a(g681 +VMove +p31596 +tp31597 +a(g705 +g1137 +tp31598 +a(g435 +VChunkPos +p31599 +tp31600 +a(g412 +g13767 +tp31601 +a(g412 +g984 +tp31602 +a(g826 +g962 +tp31603 +a(g435 +VPrivpos +p31604 +tp31605 +a(g412 +g13767 +tp31606 +a(g412 +g984 +tp31607 +a(g826 +g962 +tp31608 +a(g435 +VReqSize +p31609 +tp31610 +a(g826 +g962 +tp31611 +a(g412 +g1109 +tp31612 +a(g826 +g962 +tp31613 +a(g435 +VPrivSize +p31614 +tp31615 +a(g705 +g1141 +tp31616 +a(g412 +g966 +tp31617 +a(g826 +V\u000a +p31618 +tp31619 +a(g681 +VInc +p31620 +tp31621 +a(g705 +g1137 +tp31622 +a(g435 +VChunk +p31623 +tp31624 +a(g412 +g1154 +tp31625 +a(g435 +Vread +p31626 +tp31627 +a(g412 +g984 +tp31628 +a(g826 +g962 +tp31629 +a(g435 +VReqSize +p31630 +tp31631 +a(g826 +g962 +tp31632 +a(g412 +g1109 +tp31633 +a(g826 +g962 +tp31634 +a(g435 +VPrivSize +p31635 +tp31636 +a(g705 +g1141 +tp31637 +a(g412 +g966 +tp31638 +a(g826 +V\u000a +p31639 +tp31640 +a(g681 +VInc +p31641 +tp31642 +a(g705 +g1137 +tp31643 +a(g435 +VPrivSize +p31644 +tp31645 +a(g412 +g984 +tp31646 +a(g826 +g962 +tp31647 +a(g435 +VReqSize +p31648 +tp31649 +a(g826 +g962 +tp31650 +a(g412 +g1109 +tp31651 +a(g826 +g962 +tp31652 +a(g435 +VPrivSize +p31653 +tp31654 +a(g705 +g1141 +tp31655 +a(g412 +g966 +tp31656 +a(g826 +V\u000a +p31657 +tp31658 +a(g8 +V// as we filled the buffer, we'll have to break here. +p31659 +tp31660 +a(g826 +V\u000a +p31661 +tp31662 +a(g744 +Vbreak +p31663 +tp31664 +a(g412 +g966 +tp31665 +a(g826 +V\u000a +p31666 +tp31667 +a(g744 +Vend +p31668 +tp31669 +a(g412 +g966 +tp31670 +a(g826 +V\u000a +p31671 +tp31672 +a(g744 +Vend +p31673 +tp31674 +a(g412 +g966 +tp31675 +a(g826 +V\u000a +p31676 +tp31677 +a(g435 +VFBytesInFifo +p31678 +tp31679 +a(g826 +g962 +tp31680 +a(g412 +g1407 +tp31681 +a(g412 +g1007 +tp31682 +a(g826 +g962 +tp31683 +a(g435 +VGetBytesInFifo +p31684 +tp31685 +a(g412 +g966 +tp31686 +a(g826 +V\u000a +p31687 +tp31688 +a(g435 +VLeaveCriticalSection +p31689 +tp31690 +a(g705 +g1137 +tp31691 +a(g435 +VFCritSect +p31692 +tp31693 +a(g705 +g1141 +tp31694 +a(g412 +g966 +tp31695 +a(g826 +V\u000a +p31696 +tp31697 +a(g435 +VReqSize +p31698 +tp31699 +a(g826 +g962 +tp31700 +a(g412 +g1407 +tp31701 +a(g412 +g1007 +tp31702 +a(g826 +g962 +tp31703 +a(g435 +VPrivSize +p31704 +tp31705 +a(g412 +g966 +tp31706 +a(g826 +V\u000a +p31707 +tp31708 +a(g744 +Vend +p31709 +tp31710 +a(g412 +g966 +tp31711 +a(g826 +V\u000a\u000a +p31712 +tp31713 +a(g8 +V// read Data from Stream without removing it from the Stream... +p31714 +tp31715 +a(g826 +V\u000a\u000a +p31716 +tp31717 +a(g744 +Vprocedure +p31718 +tp31719 +a(g826 +g962 +tp31720 +a(g628 +VTFifo +p31721 +tp31722 +a(g412 +g1154 +tp31723 +a(g573 +VPeekData +p31724 +tp31725 +a(g705 +g1137 +tp31726 +a(g435 +VBuff +p31727 +tp31728 +a(g412 +g1407 +tp31729 +a(g826 +g962 +tp31730 +a(g748 +Vpointer +p31731 +tp31732 +a(g412 +g966 +tp31733 +a(g826 +g962 +tp31734 +a(g744 +Vvar +p31735 +tp31736 +a(g826 +g962 +tp31737 +a(g435 +VReqSize +p31738 +tp31739 +a(g412 +g1407 +tp31740 +a(g826 +g962 +tp31741 +a(g748 +VLongWord +p31742 +tp31743 +a(g705 +g1141 +tp31744 +a(g412 +g966 +tp31745 +a(g826 +V\u000a +p31746 +tp31747 +a(g744 +Vvar +p31748 +tp31749 +a(g826 +V\u000a +p31750 +tp31751 +a(g435 +VPrivSize +p31752 +tp31753 +a(g412 +g1407 +tp31754 +a(g826 +g962 +tp31755 +a(g748 +VInteger +p31756 +tp31757 +a(g412 +g966 +tp31758 +a(g826 +V\u000a +p31759 +tp31760 +a(g435 +VPrivpos +p31761 +tp31762 +a(g412 +g1407 +tp31763 +a(g826 +g962 +tp31764 +a(g748 +Vpointer +p31765 +tp31766 +a(g412 +g966 +tp31767 +a(g826 +V\u000a +p31768 +tp31769 +a(g435 +VChunk +p31770 +tp31771 +a(g412 +g1407 +tp31772 +a(g826 +g962 +tp31773 +a(g435 +VPMemChunk +p31774 +tp31775 +a(g412 +g966 +tp31776 +a(g826 +V\u000a +p31777 +tp31778 +a(g435 +VChunkPos +p31779 +tp31780 +a(g412 +g1407 +tp31781 +a(g826 +g962 +tp31782 +a(g748 +Vpointer +p31783 +tp31784 +a(g412 +g966 +tp31785 +a(g826 +V\u000a +p31786 +tp31787 +a(g435 +VChunkNr +p31788 +tp31789 +a(g412 +g1407 +tp31790 +a(g826 +g962 +tp31791 +a(g748 +VInteger +p31792 +tp31793 +a(g412 +g966 +tp31794 +a(g826 +V\u000a +p31795 +tp31796 +a(g744 +Vbegin +p31797 +tp31798 +a(g826 +V\u000a +p31799 +tp31800 +a(g744 +Vif +p31801 +tp31802 +a(g826 +g962 +tp31803 +a(g748 +VLongWord +p31804 +tp31805 +a(g705 +g1137 +tp31806 +a(g435 +VBuff +p31807 +tp31808 +a(g705 +g1141 +tp31809 +a(g826 +g962 +tp31810 +a(g412 +g1007 +tp31811 +a(g826 +g962 +tp31812 +a(g37 +g1202 +tp31813 +a(g826 +g962 +tp31814 +a(g744 +Vthen +p31815 +tp31816 +a(g826 +V\u000a +p31817 +tp31818 +a(g744 +Vbegin +p31819 +tp31820 +a(g826 +V\u000a +p31821 +tp31822 +a(g8 +V// null pointer? somebody is trying to fool us, get out... +p31823 +tp31824 +a(g826 +V\u000a +p31825 +tp31826 +a(g744 +VExit +p31827 +tp31828 +a(g412 +g966 +tp31829 +a(g826 +V\u000a +p31830 +tp31831 +a(g744 +Vend +p31832 +tp31833 +a(g412 +g966 +tp31834 +a(g826 +V\u000a +p31835 +tp31836 +a(g435 +VEnterCriticalSection +p31837 +tp31838 +a(g705 +g1137 +tp31839 +a(g435 +VFCritSect +p31840 +tp31841 +a(g705 +g1141 +tp31842 +a(g412 +g966 +tp31843 +a(g826 +V\u000a +p31844 +tp31845 +a(g435 +VPrivSize +p31846 +tp31847 +a(g826 +g962 +tp31848 +a(g412 +g1407 +tp31849 +a(g412 +g1007 +tp31850 +a(g826 +g962 +tp31851 +a(g37 +g1202 +tp31852 +a(g412 +g966 +tp31853 +a(g826 +V\u000a +p31854 +tp31855 +a(g435 +VPrivpos +p31856 +tp31857 +a(g826 +g962 +tp31858 +a(g412 +g1407 +tp31859 +a(g412 +g1007 +tp31860 +a(g826 +g962 +tp31861 +a(g435 +VBuff +p31862 +tp31863 +a(g412 +g966 +tp31864 +a(g826 +V\u000a +p31865 +tp31866 +a(g435 +VChunkNr +p31867 +tp31868 +a(g826 +g962 +tp31869 +a(g412 +g1407 +tp31870 +a(g412 +g1007 +tp31871 +a(g826 +g962 +tp31872 +a(g37 +g1202 +tp31873 +a(g412 +g966 +tp31874 +a(g826 +V\u000a +p31875 +tp31876 +a(g744 +Vwhile +p31877 +tp31878 +a(g826 +g962 +tp31879 +a(g435 +VFBuffers +p31880 +tp31881 +a(g412 +g1154 +tp31882 +a(g435 +VCount +p31883 +tp31884 +a(g826 +g962 +tp31885 +a(g412 +g1419 +tp31886 +a(g826 +g962 +tp31887 +a(g435 +VChunkNr +p31888 +tp31889 +a(g826 +g962 +tp31890 +a(g744 +Vdo +p31891 +tp31892 +a(g826 +V\u000a +p31893 +tp31894 +a(g744 +Vbegin +p31895 +tp31896 +a(g826 +V\u000a +p31897 +tp31898 +a(g435 +VChunk +p31899 +tp31900 +a(g826 +g962 +tp31901 +a(g412 +g1407 +tp31902 +a(g412 +g1007 +tp31903 +a(g826 +g962 +tp31904 +a(g435 +VPMemChunk +p31905 +tp31906 +a(g705 +g1137 +tp31907 +a(g435 +VFBuffers +p31908 +tp31909 +a(g705 +g1066 +tp31910 +a(g435 +VChunkNr +p31911 +tp31912 +a(g705 +V]) +p31913 +tp31914 +a(g412 +g966 +tp31915 +a(g826 +V\u000a +p31916 +tp31917 +a(g435 +VChunkPos +p31918 +tp31919 +a(g826 +g962 +tp31920 +a(g412 +g1407 +tp31921 +a(g412 +g1007 +tp31922 +a(g826 +g962 +tp31923 +a(g435 +VChunk +p31924 +tp31925 +a(g412 +g1154 +tp31926 +a(g435 +Vdata +p31927 +tp31928 +a(g412 +g966 +tp31929 +a(g826 +V\u000a +p31930 +tp31931 +a(g681 +VInc +p31932 +tp31933 +a(g705 +g1137 +tp31934 +a(g748 +VLongWord +p31935 +tp31936 +a(g705 +g1137 +tp31937 +a(g435 +VChunkPos +p31938 +tp31939 +a(g705 +g1141 +tp31940 +a(g412 +g984 +tp31941 +a(g826 +g962 +tp31942 +a(g435 +VChunk +p31943 +tp31944 +a(g412 +g1154 +tp31945 +a(g435 +VRead +p31946 +tp31947 +a(g705 +g1141 +tp31948 +a(g412 +g966 +tp31949 +a(g826 +V\u000a +p31950 +tp31951 +a(g8 +V// does the remaining part of the chunk fit into the buffer? +p31952 +tp31953 +a(g826 +V\u000a +p31954 +tp31955 +a(g744 +Vif +p31956 +tp31957 +a(g826 +g962 +tp31958 +a(g435 +VPrivSize +p31959 +tp31960 +a(g826 +g962 +tp31961 +a(g412 +g1223 +tp31962 +a(g826 +g962 +tp31963 +a(g705 +g1137 +tp31964 +a(g435 +VChunk +p31965 +tp31966 +a(g412 +g1154 +tp31967 +a(g435 +VFilled +p31968 +tp31969 +a(g826 +g962 +tp31970 +a(g412 +g1109 +tp31971 +a(g826 +g962 +tp31972 +a(g435 +VChunk +p31973 +tp31974 +a(g412 +g1154 +tp31975 +a(g435 +Vread +p31976 +tp31977 +a(g705 +g1141 +tp31978 +a(g826 +g962 +tp31979 +a(g412 +g1413 +tp31980 +a(g826 +g962 +tp31981 +a(g435 +VReqSize +p31982 +tp31983 +a(g826 +g962 +tp31984 +a(g744 +Vthen +p31985 +tp31986 +a(g826 +V\u000a +p31987 +tp31988 +a(g744 +Vbegin +p31989 +tp31990 +a(g826 +g962 +tp31991 +a(g8 +V// yep, it fits +p31992 +tp31993 +a(g826 +V\u000a +p31994 +tp31995 +a(g681 +VMove +p31996 +tp31997 +a(g705 +g1137 +tp31998 +a(g435 +VChunkPos +p31999 +tp32000 +a(g412 +g13767 +tp32001 +a(g412 +g984 +tp32002 +a(g826 +g962 +tp32003 +a(g435 +VPrivpos +p32004 +tp32005 +a(g412 +g13767 +tp32006 +a(g412 +g984 +tp32007 +a(g826 +g962 +tp32008 +a(g435 +VChunk +p32009 +tp32010 +a(g412 +g1154 +tp32011 +a(g435 +VFilled +p32012 +tp32013 +a(g826 +g962 +tp32014 +a(g412 +g1109 +tp32015 +a(g826 +g962 +tp32016 +a(g435 +VChunk +p32017 +tp32018 +a(g412 +g1154 +tp32019 +a(g435 +Vread +p32020 +tp32021 +a(g705 +g1141 +tp32022 +a(g412 +g966 +tp32023 +a(g826 +V\u000a +p32024 +tp32025 +a(g681 +VInc +p32026 +tp32027 +a(g705 +g1137 +tp32028 +a(g435 +VPrivSize +p32029 +tp32030 +a(g412 +g984 +tp32031 +a(g826 +g962 +tp32032 +a(g435 +VChunk +p32033 +tp32034 +a(g412 +g1154 +tp32035 +a(g435 +VFilled +p32036 +tp32037 +a(g826 +g962 +tp32038 +a(g412 +g1109 +tp32039 +a(g826 +g962 +tp32040 +a(g435 +VChunk +p32041 +tp32042 +a(g412 +g1154 +tp32043 +a(g435 +Vread +p32044 +tp32045 +a(g705 +g1141 +tp32046 +a(g412 +g966 +tp32047 +a(g826 +V\u000a +p32048 +tp32049 +a(g681 +VInc +p32050 +tp32051 +a(g705 +g1137 +tp32052 +a(g435 +VChunkNr +p32053 +tp32054 +a(g705 +g1141 +tp32055 +a(g412 +g966 +tp32056 +a(g826 +V\u000a +p32057 +tp32058 +a(g744 +Vend +p32059 +tp32060 +a(g826 +V\u000a +p32061 +tp32062 +a(g744 +Velse +p32063 +tp32064 +a(g826 +g962 +tp32065 +a(g8 +V// remaining part didn't fit, get as much as we can and increment the +p32066 +tp32067 +a(g826 +V\u000a +p32068 +tp32069 +a(g8 +V// read attribute. +p32070 +tp32071 +a(g826 +V\u000a +p32072 +tp32073 +a(g744 +Vbegin +p32074 +tp32075 +a(g826 +V\u000a +p32076 +tp32077 +a(g681 +VMove +p32078 +tp32079 +a(g705 +g1137 +tp32080 +a(g435 +VChunkPos +p32081 +tp32082 +a(g412 +g13767 +tp32083 +a(g412 +g984 +tp32084 +a(g826 +g962 +tp32085 +a(g435 +VPrivpos +p32086 +tp32087 +a(g412 +g13767 +tp32088 +a(g412 +g984 +tp32089 +a(g826 +g962 +tp32090 +a(g435 +VReqSize +p32091 +tp32092 +a(g826 +g962 +tp32093 +a(g412 +g1109 +tp32094 +a(g826 +g962 +tp32095 +a(g435 +VPrivSize +p32096 +tp32097 +a(g705 +g1141 +tp32098 +a(g412 +g966 +tp32099 +a(g826 +V\u000a +p32100 +tp32101 +a(g681 +VInc +p32102 +tp32103 +a(g705 +g1137 +tp32104 +a(g435 +VPrivSize +p32105 +tp32106 +a(g412 +g984 +tp32107 +a(g826 +g962 +tp32108 +a(g435 +VReqSize +p32109 +tp32110 +a(g826 +g962 +tp32111 +a(g412 +g1109 +tp32112 +a(g826 +g962 +tp32113 +a(g435 +VPrivSize +p32114 +tp32115 +a(g705 +g1141 +tp32116 +a(g412 +g966 +tp32117 +a(g826 +V\u000a +p32118 +tp32119 +a(g8 +V// as we filled the buffer, we'll have to break here. +p32120 +tp32121 +a(g826 +V\u000a +p32122 +tp32123 +a(g744 +Vbreak +p32124 +tp32125 +a(g412 +g966 +tp32126 +a(g826 +V\u000a +p32127 +tp32128 +a(g744 +Vend +p32129 +tp32130 +a(g412 +g966 +tp32131 +a(g826 +V\u000a +p32132 +tp32133 +a(g744 +Vend +p32134 +tp32135 +a(g412 +g966 +tp32136 +a(g826 +V\u000a +p32137 +tp32138 +a(g435 +VLeaveCriticalSection +p32139 +tp32140 +a(g705 +g1137 +tp32141 +a(g435 +VFCritSect +p32142 +tp32143 +a(g705 +g1141 +tp32144 +a(g412 +g966 +tp32145 +a(g826 +V\u000a +p32146 +tp32147 +a(g435 +VReqSize +p32148 +tp32149 +a(g826 +g962 +tp32150 +a(g412 +g1407 +tp32151 +a(g412 +g1007 +tp32152 +a(g826 +g962 +tp32153 +a(g435 +VPrivSize +p32154 +tp32155 +a(g412 +g966 +tp32156 +a(g826 +V\u000a +p32157 +tp32158 +a(g744 +Vend +p32159 +tp32160 +a(g412 +g966 +tp32161 +a(g826 +V\u000a\u000a +p32162 +tp32163 +a(g744 +Vend +p32164 +tp32165 +a(g412 +g1154 +tp32166 +a(g826 +V\u000a +p32167 +tp32168 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.pcmk b/tests/examplefiles/output/example.pcmk new file mode 100644 index 0000000..ebfa861 --- /dev/null +++ b/tests/examplefiles/output/example.pcmk @@ -0,0 +1,6257 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVnode +p956 +tp957 +a(g839 +V +p958 +tp959 +a(g423 +V167906355 +p960 +tp961 +a(g693 +V: +p962 +tp963 +a(g839 +g958 +tp964 +a(g423 +Vsle12-a +p965 +tp966 +a(g839 +V\u000a +p967 +tp968 +a(g7 +Vnode +p969 +tp970 +a(g839 +g958 +tp971 +a(g423 +V167906357 +p972 +tp973 +a(g693 +g962 +tp974 +a(g839 +g958 +tp975 +a(g423 +Vsle12-c +p976 +tp977 +a(g839 +g958 +tp978 +a(g693 +V\u005c +p979 +tp980 +a(g839 +V\u000a +p981 +tp982 +a(g654 +Vdescription +p983 +tp984 +a(g693 +V= +p985 +tp986 +a(g76 +V"The second node" +p987 +tp988 +a(g839 +g958 +tp989 +a(g693 +V\u005c +p990 +tp991 +a(g839 +V\u000a +p992 +tp993 +a(g7 +Vutilization +p994 +tp995 +a(g839 +g958 +tp996 +a(g654 +Vmemory +p997 +tp998 +a(g693 +g985 +tp999 +a(g76 +V64 +p1000 +tp1001 +a(g839 +V\u000a +p1002 +tp1003 +a(g7 +Vnode +p1004 +tp1005 +a(g839 +g958 +tp1006 +a(g423 +Vnode1 +p1007 +tp1008 +a(g839 +g958 +tp1009 +a(g693 +V\u005c +p1010 +tp1011 +a(g839 +V\u000a +p1012 +tp1013 +a(g7 +Vattributes +p1014 +tp1015 +a(g839 +g958 +tp1016 +a(g654 +Vmem +p1017 +tp1018 +a(g693 +g985 +tp1019 +a(g76 +V16G +p1020 +tp1021 +a(g839 +V\u000a +p1022 +tp1023 +a(g7 +Vnode +p1024 +tp1025 +a(g839 +g958 +tp1026 +a(g423 +Vnode2 +p1027 +tp1028 +a(g839 +g958 +tp1029 +a(g7 +Vutilization +p1030 +tp1031 +a(g839 +g958 +tp1032 +a(g654 +Vcpu +p1033 +tp1034 +a(g693 +g985 +tp1035 +a(g76 +V4 +p1036 +tp1037 +a(g839 +V\u000a +p1038 +tp1039 +a(g7 +Vprimitive +p1040 +tp1041 +a(g839 +g958 +tp1042 +a(g423 +Vst +p1043 +tp1044 +a(g839 +g958 +tp1045 +a(g423 +Vstonith +p1046 +tp1047 +a(g693 +g962 +tp1048 +a(g423 +Vssh +p1049 +tp1050 +a(g839 +g958 +tp1051 +a(g693 +V\u005c +p1052 +tp1053 +a(g839 +V\u000a +p1054 +tp1055 +a(g7 +Vparams +p1056 +tp1057 +a(g839 +g958 +tp1058 +a(g654 +Vhostlist +p1059 +tp1060 +a(g693 +g985 +tp1061 +a(g76 +V"node1 node2" +p1062 +tp1063 +a(g839 +g958 +tp1064 +a(g693 +V\u005c +p1065 +tp1066 +a(g839 +V\u000a +p1067 +tp1068 +a(g7 +Vmeta +p1069 +tp1070 +a(g839 +g958 +tp1071 +a(g654 +Vtarget-role +p1072 +tp1073 +a(g693 +g985 +tp1074 +a(g76 +V"Started" +p1075 +tp1076 +a(g839 +g958 +tp1077 +a(g693 +V\u005c +p1078 +tp1079 +a(g839 +V\u000a +p1080 +tp1081 +a(g7 +Vop +p1082 +tp1083 +a(g839 +g958 +tp1084 +a(g423 +Vstart +p1085 +tp1086 +a(g839 +g958 +tp1087 +a(g654 +Vrequires +p1088 +tp1089 +a(g693 +g985 +tp1090 +a(g76 +Vnothing +p1091 +tp1092 +a(g839 +g958 +tp1093 +a(g654 +Vtimeout +p1094 +tp1095 +a(g693 +g985 +tp1096 +a(g76 +V60s +p1097 +tp1098 +a(g839 +g958 +tp1099 +a(g693 +V\u005c +p1100 +tp1101 +a(g839 +V\u000a +p1102 +tp1103 +a(g7 +Vop +p1104 +tp1105 +a(g839 +g958 +tp1106 +a(g423 +Vmonitor +p1107 +tp1108 +a(g839 +g958 +tp1109 +a(g654 +Vinterval +p1110 +tp1111 +a(g693 +g985 +tp1112 +a(g76 +V60m +p1113 +tp1114 +a(g839 +g958 +tp1115 +a(g654 +Vtimeout +p1116 +tp1117 +a(g693 +g985 +tp1118 +a(g76 +V60s +p1119 +tp1120 +a(g839 +V\u000a +p1121 +tp1122 +a(g7 +Vprimitive +p1123 +tp1124 +a(g839 +g958 +tp1125 +a(g423 +Vd1 +p1126 +tp1127 +a(g839 +g958 +tp1128 +a(g423 +Vocf +p1129 +tp1130 +a(g693 +g962 +tp1131 +a(g423 +Vpacemaker +p1132 +tp1133 +a(g693 +g962 +tp1134 +a(g423 +VDummy +p1135 +tp1136 +a(g839 +g958 +tp1137 +a(g693 +V\u005c +p1138 +tp1139 +a(g839 +V\u000a +p1140 +tp1141 +a(g7 +Voperations +p1142 +tp1143 +a(g839 +g958 +tp1144 +a(g654 +V$id +p1145 +tp1146 +a(g693 +g985 +tp1147 +a(g76 +Vd1-ops +p1148 +tp1149 +a(g839 +g958 +tp1150 +a(g693 +V\u005c +p1151 +tp1152 +a(g839 +V\u000a +p1153 +tp1154 +a(g7 +Vop +p1155 +tp1156 +a(g839 +g958 +tp1157 +a(g423 +Vmonitor +p1158 +tp1159 +a(g839 +g958 +tp1160 +a(g654 +Vinterval +p1161 +tp1162 +a(g693 +g985 +tp1163 +a(g76 +V60m +p1164 +tp1165 +a(g839 +g958 +tp1166 +a(g693 +V\u005c +p1167 +tp1168 +a(g839 +V\u000a +p1169 +tp1170 +a(g7 +Vop +p1171 +tp1172 +a(g839 +g958 +tp1173 +a(g423 +Vmonitor +p1174 +tp1175 +a(g839 +g958 +tp1176 +a(g654 +Vinterval +p1177 +tp1178 +a(g693 +g985 +tp1179 +a(g76 +V120m +p1180 +tp1181 +a(g839 +g958 +tp1182 +a(g654 +VOCF_CHECK_LEVEL +p1183 +tp1184 +a(g693 +g985 +tp1185 +a(g76 +V10 +p1186 +tp1187 +a(g839 +V\u000a +p1188 +tp1189 +a(g7 +Vprimitive +p1190 +tp1191 +a(g839 +g958 +tp1192 +a(g423 +Vfs1 +p1193 +tp1194 +a(g839 +g958 +tp1195 +a(g423 +VFilesystem +p1196 +tp1197 +a(g839 +g958 +tp1198 +a(g693 +V\u005c +p1199 +tp1200 +a(g839 +V\u000a +p1201 +tp1202 +a(g7 +Vparams +p1203 +tp1204 +a(g839 +g958 +tp1205 +a(g654 +Vdevice +p1206 +tp1207 +a(g693 +g985 +tp1208 +a(g76 +V"/dev/nfs-vg/fs1" +p1209 +tp1210 +a(g839 +g958 +tp1211 +a(g654 +Vdirectory +p1212 +tp1213 +a(g693 +g985 +tp1214 +a(g76 +V"/srv/nfs" +p1215 +tp1216 +a(g839 +g958 +tp1217 +a(g654 +Vfstype +p1218 +tp1219 +a(g693 +g985 +tp1220 +a(g76 +Vext3 +p1221 +tp1222 +a(g839 +g958 +tp1223 +a(g693 +V\u005c +p1224 +tp1225 +a(g839 +V\u000a +p1226 +tp1227 +a(g7 +Vop +p1228 +tp1229 +a(g839 +g958 +tp1230 +a(g423 +Vmonitor +p1231 +tp1232 +a(g839 +g958 +tp1233 +a(g654 +Vinterval +p1234 +tp1235 +a(g693 +g985 +tp1236 +a(g76 +V10s +p1237 +tp1238 +a(g839 +V\u000a +p1239 +tp1240 +a(g7 +Vprimitive +p1241 +tp1242 +a(g839 +g958 +tp1243 +a(g423 +Vnfs-server +p1244 +tp1245 +a(g839 +g958 +tp1246 +a(g423 +Vnfsserver +p1247 +tp1248 +a(g839 +g958 +tp1249 +a(g693 +V\u005c +p1250 +tp1251 +a(g839 +V\u000a +p1252 +tp1253 +a(g7 +Vparams +p1254 +tp1255 +a(g839 +g958 +tp1256 +a(g654 +Vnfs_shared_infodir +p1257 +tp1258 +a(g693 +g985 +tp1259 +a(g76 +V"/srv/nfs/state" +p1260 +tp1261 +a(g839 +g958 +tp1262 +a(g654 +Vnfs_ip +p1263 +tp1264 +a(g693 +g985 +tp1265 +a(g76 +V10.2.12.100 +p1266 +tp1267 +a(g839 +g958 +tp1268 +a(g693 +V\u005c +p1269 +tp1270 +a(g839 +V\u000a +p1271 +tp1272 +a(g7 +Vop +p1273 +tp1274 +a(g839 +g958 +tp1275 +a(g423 +Vmonitor +p1276 +tp1277 +a(g839 +g958 +tp1278 +a(g654 +Vinterval +p1279 +tp1280 +a(g693 +g985 +tp1281 +a(g76 +V0 +p1282 +tp1283 +a(g839 +g958 +tp1284 +a(g654 +Vtrace_ra +p1285 +tp1286 +a(g693 +g985 +tp1287 +a(g76 +V1 +p1288 +tp1289 +a(g839 +V\u000a +p1290 +tp1291 +a(g7 +Vprimitive +p1292 +tp1293 +a(g839 +g958 +tp1294 +a(g423 +Vnfs-vg +p1295 +tp1296 +a(g839 +g958 +tp1297 +a(g423 +VLVM +p1298 +tp1299 +a(g839 +g958 +tp1300 +a(g693 +V\u005c +p1301 +tp1302 +a(g839 +V\u000a +p1303 +tp1304 +a(g7 +Vparams +p1305 +tp1306 +a(g839 +g958 +tp1307 +a(g654 +Vvolgrpname +p1308 +tp1309 +a(g693 +g985 +tp1310 +a(g76 +Vnfs-vg +p1311 +tp1312 +a(g839 +V\u000a +p1313 +tp1314 +a(g7 +Vprimitive +p1315 +tp1316 +a(g839 +g958 +tp1317 +a(g423 +Vp_drbd_nfs +p1318 +tp1319 +a(g839 +g958 +tp1320 +a(g423 +Vocf +p1321 +tp1322 +a(g693 +g962 +tp1323 +a(g423 +Vlinbit +p1324 +tp1325 +a(g693 +g962 +tp1326 +a(g423 +Vdrbd +p1327 +tp1328 +a(g839 +g958 +tp1329 +a(g693 +V\u005c +p1330 +tp1331 +a(g839 +V\u000a +p1332 +tp1333 +a(g7 +Vparams +p1334 +tp1335 +a(g839 +g958 +tp1336 +a(g654 +Vdrbd_resource +p1337 +tp1338 +a(g693 +g985 +tp1339 +a(g76 +Vnfs +p1340 +tp1341 +a(g839 +g958 +tp1342 +a(g693 +V\u005c +p1343 +tp1344 +a(g839 +V\u000a +p1345 +tp1346 +a(g7 +Vop +p1347 +tp1348 +a(g839 +g958 +tp1349 +a(g423 +Vmonitor +p1350 +tp1351 +a(g839 +g958 +tp1352 +a(g654 +Vinterval +p1353 +tp1354 +a(g693 +g985 +tp1355 +a(g76 +V15 +p1356 +tp1357 +a(g839 +g958 +tp1358 +a(g654 +Vrole +p1359 +tp1360 +a(g693 +g985 +tp1361 +a(g76 +VMaster +p1362 +tp1363 +a(g839 +g958 +tp1364 +a(g693 +V\u005c +p1365 +tp1366 +a(g839 +V\u000a +p1367 +tp1368 +a(g7 +Vop +p1369 +tp1370 +a(g839 +g958 +tp1371 +a(g423 +Vmonitor +p1372 +tp1373 +a(g839 +g958 +tp1374 +a(g654 +Vinterval +p1375 +tp1376 +a(g693 +g985 +tp1377 +a(g76 +V30 +p1378 +tp1379 +a(g839 +g958 +tp1380 +a(g654 +Vrole +p1381 +tp1382 +a(g693 +g985 +tp1383 +a(g76 +VSlave +p1384 +tp1385 +a(g839 +g958 +tp1386 +a(g693 +V\u005c +p1387 +tp1388 +a(g839 +V\u000a +p1389 +tp1390 +a(g7 +Vop +p1391 +tp1392 +a(g839 +g958 +tp1393 +a(g423 +Vstart +p1394 +tp1395 +a(g839 +g958 +tp1396 +a(g654 +Vinterval +p1397 +tp1398 +a(g693 +g985 +tp1399 +a(g76 +g1282 +tp1400 +a(g839 +g958 +tp1401 +a(g654 +Vtimeout +p1402 +tp1403 +a(g693 +g985 +tp1404 +a(g76 +V300 +p1405 +tp1406 +a(g839 +g958 +tp1407 +a(g693 +V\u005c +p1408 +tp1409 +a(g839 +V\u000a +p1410 +tp1411 +a(g7 +Vop +p1412 +tp1413 +a(g839 +g958 +tp1414 +a(g423 +Vstop +p1415 +tp1416 +a(g839 +g958 +tp1417 +a(g654 +Vinterval +p1418 +tp1419 +a(g693 +g985 +tp1420 +a(g76 +g1282 +tp1421 +a(g839 +g958 +tp1422 +a(g654 +Vtimeout +p1423 +tp1424 +a(g693 +g985 +tp1425 +a(g76 +V120 +p1426 +tp1427 +a(g839 +V\u000a +p1428 +tp1429 +a(g7 +Vprimitive +p1430 +tp1431 +a(g839 +g958 +tp1432 +a(g423 +Vs-libvirt +p1433 +tp1434 +a(g839 +g958 +tp1435 +a(g423 +Vstonith +p1436 +tp1437 +a(g693 +g962 +tp1438 +a(g423 +Vexternal +p1439 +tp1440 +a(g693 +V/ +p1441 +tp1442 +a(g423 +Vlibvirt +p1443 +tp1444 +a(g839 +g958 +tp1445 +a(g693 +V\u005c +p1446 +tp1447 +a(g839 +V\u000a +p1448 +tp1449 +a(g7 +Vparams +p1450 +tp1451 +a(g839 +g958 +tp1452 +a(g654 +Vhostlist +p1453 +tp1454 +a(g693 +g985 +tp1455 +a(g76 +V"sle12-a sle12-c" +p1456 +tp1457 +a(g839 +g958 +tp1458 +a(g654 +Vhypervisor_uri +p1459 +tp1460 +a(g693 +g985 +tp1461 +a(g76 +V"qemu+ssh://hex-10.suse.de/system?keyfile=/root/.ssh/xen" +p1462 +tp1463 +a(g839 +g958 +tp1464 +a(g654 +Vreset_method +p1465 +tp1466 +a(g693 +g985 +tp1467 +a(g76 +Vreboot +p1468 +tp1469 +a(g839 +g958 +tp1470 +a(g693 +V\u005c +p1471 +tp1472 +a(g839 +V\u000a +p1473 +tp1474 +a(g7 +Vop +p1475 +tp1476 +a(g839 +g958 +tp1477 +a(g423 +Vmonitor +p1478 +tp1479 +a(g839 +g958 +tp1480 +a(g654 +Vinterval +p1481 +tp1482 +a(g693 +g985 +tp1483 +a(g76 +V5m +p1484 +tp1485 +a(g839 +g958 +tp1486 +a(g654 +Vtimeout +p1487 +tp1488 +a(g693 +g985 +tp1489 +a(g76 +V60s +p1490 +tp1491 +a(g839 +V\u000a +p1492 +tp1493 +a(g7 +Vprimitive +p1494 +tp1495 +a(g839 +g958 +tp1496 +a(g423 +Vvirtual-ip +p1497 +tp1498 +a(g839 +g958 +tp1499 +a(g423 +VIPaddr2 +p1500 +tp1501 +a(g839 +g958 +tp1502 +a(g693 +V\u005c +p1503 +tp1504 +a(g839 +V\u000a +p1505 +tp1506 +a(g7 +Vparams +p1507 +tp1508 +a(g839 +g958 +tp1509 +a(g654 +Vip +p1510 +tp1511 +a(g693 +g985 +tp1512 +a(g76 +V10.2.12.100 +p1513 +tp1514 +a(g839 +V\u000a +p1515 +tp1516 +a(g7 +Vprimitive +p1517 +tp1518 +a(g839 +g958 +tp1519 +a(g423 +Vxen0 +p1520 +tp1521 +a(g839 +g958 +tp1522 +a(g693 +V@ +p1523 +tp1524 +a(g423 +Vvm_scheme1 +p1525 +tp1526 +a(g839 +g958 +tp1527 +a(g654 +Vxmfile +p1528 +tp1529 +a(g693 +g985 +tp1530 +a(g76 +V/etc/xen/vm/xen0 +p1531 +tp1532 +a(g839 +V\u000a +p1533 +tp1534 +a(g7 +Vprimitive +p1535 +tp1536 +a(g839 +g958 +tp1537 +a(g423 +Vd7 +p1538 +tp1539 +a(g839 +g958 +tp1540 +a(g423 +VDummy +p1541 +tp1542 +a(g839 +g958 +tp1543 +a(g693 +V\u005c +p1544 +tp1545 +a(g839 +V\u000a +p1546 +tp1547 +a(g7 +Vparams +p1548 +tp1549 +a(g839 +g958 +tp1550 +a(g7 +Vrule +p1551 +tp1552 +a(g839 +g958 +tp1553 +a(g17 +Vinf: +p1554 +tp1555 +a(g839 +g958 +tp1556 +a(g669 +V#uname +p1557 +tp1558 +a(g839 +g958 +tp1559 +a(g414 +Veq +p1560 +tp1561 +a(g839 +g958 +tp1562 +a(g423 +Vnode1 +p1563 +tp1564 +a(g839 +g958 +tp1565 +a(g654 +Vfake +p1566 +tp1567 +a(g693 +g985 +tp1568 +a(g76 +g1288 +tp1569 +a(g839 +g958 +tp1570 +a(g693 +V\u005c +p1571 +tp1572 +a(g839 +V\u000a +p1573 +tp1574 +a(g7 +Vparams +p1575 +tp1576 +a(g839 +g958 +tp1577 +a(g7 +Vrule +p1578 +tp1579 +a(g839 +g958 +tp1580 +a(g17 +Vinf: +p1581 +tp1582 +a(g839 +g958 +tp1583 +a(g669 +V#uname +p1584 +tp1585 +a(g839 +g958 +tp1586 +a(g414 +Veq +p1587 +tp1588 +a(g839 +g958 +tp1589 +a(g423 +Vnode2 +p1590 +tp1591 +a(g839 +g958 +tp1592 +a(g654 +Vfake +p1593 +tp1594 +a(g693 +g985 +tp1595 +a(g76 +V2 +p1596 +tp1597 +a(g839 +V\u000a +p1598 +tp1599 +a(g7 +Vprimitive +p1600 +tp1601 +a(g839 +g958 +tp1602 +a(g423 +Vvery-primitive +p1603 +tp1604 +a(g839 +g958 +tp1605 +a(g423 +VDummy +p1606 +tp1607 +a(g839 +g958 +tp1608 +a(g693 +V\u005c +p1609 +tp1610 +a(g839 +V\u000a +p1611 +tp1612 +a(g7 +Vparams +p1613 +tp1614 +a(g839 +g958 +tp1615 +a(g17 +V3: +p1616 +tp1617 +a(g839 +g958 +tp1618 +a(g7 +Vrule +p1619 +tp1620 +a(g839 +g958 +tp1621 +a(g669 +V#uname +p1622 +tp1623 +a(g839 +g958 +tp1624 +a(g414 +Veq +p1625 +tp1626 +a(g839 +g958 +tp1627 +a(g423 +Vnode1 +p1628 +tp1629 +a(g839 +g958 +tp1630 +a(g654 +Vinterface +p1631 +tp1632 +a(g693 +g985 +tp1633 +a(g76 +Veth1 +p1634 +tp1635 +a(g839 +g958 +tp1636 +a(g693 +V\u005c +p1637 +tp1638 +a(g839 +V\u000a +p1639 +tp1640 +a(g7 +Vparams +p1641 +tp1642 +a(g839 +g958 +tp1643 +a(g17 +V2: +p1644 +tp1645 +a(g839 +g958 +tp1646 +a(g7 +Vrule +p1647 +tp1648 +a(g839 +g958 +tp1649 +a(g669 +V#uname +p1650 +tp1651 +a(g839 +g958 +tp1652 +a(g414 +Vstring:eq +p1653 +tp1654 +a(g839 +g958 +tp1655 +a(g423 +Vnode2 +p1656 +tp1657 +a(g839 +g958 +tp1658 +a(g654 +Vinterface +p1659 +tp1660 +a(g693 +g985 +tp1661 +a(g76 +Veth2 +p1662 +tp1663 +a(g839 +g958 +tp1664 +a(g654 +Vport +p1665 +tp1666 +a(g693 +g985 +tp1667 +a(g76 +V8888 +p1668 +tp1669 +a(g839 +g958 +tp1670 +a(g693 +V\u005c +p1671 +tp1672 +a(g839 +V\u000a +p1673 +tp1674 +a(g7 +Vparams +p1675 +tp1676 +a(g839 +g958 +tp1677 +a(g17 +V1: +p1678 +tp1679 +a(g839 +g958 +tp1680 +a(g654 +Vinterface +p1681 +tp1682 +a(g693 +g985 +tp1683 +a(g76 +Veth0 +p1684 +tp1685 +a(g839 +g958 +tp1686 +a(g654 +Vport +p1687 +tp1688 +a(g693 +g985 +tp1689 +a(g76 +V9999 +p1690 +tp1691 +a(g839 +g958 +tp1692 +a(g693 +V\u005c +p1693 +tp1694 +a(g839 +V\u000a +p1695 +tp1696 +a(g7 +Voperations +p1697 +tp1698 +a(g839 +g958 +tp1699 +a(g654 +V$id-ref +p1700 +tp1701 +a(g693 +g985 +tp1702 +a(g76 +Vthose_other_ops +p1703 +tp1704 +a(g839 +V\u000a +p1705 +tp1706 +a(g7 +Vfencing_topology +p1707 +tp1708 +a(g839 +g958 +tp1709 +a(g423 +Vpoison-pill +p1710 +tp1711 +a(g839 +g958 +tp1712 +a(g423 +Vpower +p1713 +tp1714 +a(g839 +V\u000a +p1715 +tp1716 +a(g7 +Vfencing_topology +p1717 +tp1718 +a(g839 +g958 +tp1719 +a(g693 +V\u005c +p1720 +tp1721 +a(g839 +V\u000a +p1722 +tp1723 +a(g423 +Vnode-a +p1724 +tp1725 +a(g693 +g962 +tp1726 +a(g839 +g958 +tp1727 +a(g423 +Vpoison-pill +p1728 +tp1729 +a(g839 +g958 +tp1730 +a(g423 +Vpower +p1731 +tp1732 +a(g839 +g958 +tp1733 +a(g693 +V\u005c +p1734 +tp1735 +a(g839 +V\u000a +p1736 +tp1737 +a(g423 +Vnode-b +p1738 +tp1739 +a(g693 +g962 +tp1740 +a(g839 +g958 +tp1741 +a(g423 +Vipmi +p1742 +tp1743 +a(g839 +g958 +tp1744 +a(g423 +Vserial +p1745 +tp1746 +a(g839 +V\u000a +p1747 +tp1748 +a(g7 +Vrole +p1749 +tp1750 +a(g839 +g958 +tp1751 +a(g423 +Vnfs_admin +p1752 +tp1753 +a(g839 +g958 +tp1754 +a(g693 +V\u005c +p1755 +tp1756 +a(g839 +V\u000a +p1757 +tp1758 +a(g7 +Vwrite +p1759 +tp1760 +a(g839 +g958 +tp1761 +a(g7 +Vmeta +p1762 +tp1763 +a(g693 +g962 +tp1764 +a(g423 +Vnfs-server +p1765 +tp1766 +a(g693 +g962 +tp1767 +a(g423 +Vtarget-role +p1768 +tp1769 +a(g839 +g958 +tp1770 +a(g693 +V\u005c +p1771 +tp1772 +a(g839 +V\u000a +p1773 +tp1774 +a(g7 +Vwrite +p1775 +tp1776 +a(g839 +g958 +tp1777 +a(g7 +Vmeta +p1778 +tp1779 +a(g693 +g962 +tp1780 +a(g423 +Vnfs-server +p1781 +tp1782 +a(g693 +g962 +tp1783 +a(g423 +Vis-managed +p1784 +tp1785 +a(g839 +g958 +tp1786 +a(g693 +V\u005c +p1787 +tp1788 +a(g839 +V\u000a +p1789 +tp1790 +a(g7 +Vwrite +p1791 +tp1792 +a(g839 +g958 +tp1793 +a(g7 +Vlocation +p1794 +tp1795 +a(g693 +g962 +tp1796 +a(g423 +Vnfs-server +p1797 +tp1798 +a(g839 +g958 +tp1799 +a(g693 +V\u005c +p1800 +tp1801 +a(g839 +V\u000a +p1802 +tp1803 +a(g7 +Vread +p1804 +tp1805 +a(g839 +g958 +tp1806 +a(g7 +Vref +p1807 +tp1808 +a(g693 +g962 +tp1809 +a(g423 +Vnfs-server +p1810 +tp1811 +a(g839 +V\u000a +p1812 +tp1813 +a(g7 +Vrole +p1814 +tp1815 +a(g839 +g958 +tp1816 +a(g423 +Vbasic-read +p1817 +tp1818 +a(g839 +g958 +tp1819 +a(g693 +V\u005c +p1820 +tp1821 +a(g839 +V\u000a +p1822 +tp1823 +a(g7 +Vread +p1824 +tp1825 +a(g839 +g958 +tp1826 +a(g423 +Vstatus +p1827 +tp1828 +a(g839 +g958 +tp1829 +a(g693 +V\u005c +p1830 +tp1831 +a(g839 +V\u000a +p1832 +tp1833 +a(g7 +Vread +p1834 +tp1835 +a(g839 +g958 +tp1836 +a(g7 +Vtype +p1837 +tp1838 +a(g693 +g962 +tp1839 +a(g423 +Vnode +p1840 +tp1841 +a(g839 +g958 +tp1842 +a(g7 +Vattribute +p1843 +tp1844 +a(g693 +g962 +tp1845 +a(g423 +Vuname +p1846 +tp1847 +a(g839 +g958 +tp1848 +a(g693 +V\u005c +p1849 +tp1850 +a(g839 +V\u000a +p1851 +tp1852 +a(g7 +Vread +p1853 +tp1854 +a(g839 +g958 +tp1855 +a(g7 +Vtype +p1856 +tp1857 +a(g693 +g962 +tp1858 +a(g423 +Vnode +p1859 +tp1860 +a(g839 +g958 +tp1861 +a(g7 +Vattribute +p1862 +tp1863 +a(g693 +g962 +tp1864 +a(g423 +Vtype +p1865 +tp1866 +a(g839 +g958 +tp1867 +a(g693 +V\u005c +p1868 +tp1869 +a(g839 +V\u000a +p1870 +tp1871 +a(g7 +Vread +p1872 +tp1873 +a(g839 +g958 +tp1874 +a(g7 +Vproperty +p1875 +tp1876 +a(g839 +V\u000a +p1877 +tp1878 +a(g7 +Vrole +p1879 +tp1880 +a(g839 +g958 +tp1881 +a(g423 +Vbasic-read-basic +p1882 +tp1883 +a(g839 +g958 +tp1884 +a(g693 +V\u005c +p1885 +tp1886 +a(g839 +V\u000a +p1887 +tp1888 +a(g7 +Vread +p1889 +tp1890 +a(g839 +g958 +tp1891 +a(g423 +Vcib +p1892 +tp1893 +a(g839 +V\u000a +p1894 +tp1895 +a(g7 +Vrole +p1896 +tp1897 +a(g839 +g958 +tp1898 +a(g423 +Vd0-admin +p1899 +tp1900 +a(g839 +g958 +tp1901 +a(g693 +V\u005c +p1902 +tp1903 +a(g839 +V\u000a +p1904 +tp1905 +a(g7 +Vwrite +p1906 +tp1907 +a(g839 +g958 +tp1908 +a(g7 +Vmeta +p1909 +tp1910 +a(g693 +g962 +tp1911 +a(g423 +Vd0 +p1912 +tp1913 +a(g693 +g962 +tp1914 +a(g423 +Vtarget-role +p1915 +tp1916 +a(g839 +g958 +tp1917 +a(g693 +V\u005c +p1918 +tp1919 +a(g839 +V\u000a +p1920 +tp1921 +a(g7 +Vwrite +p1922 +tp1923 +a(g839 +g958 +tp1924 +a(g7 +Vmeta +p1925 +tp1926 +a(g693 +g962 +tp1927 +a(g423 +Vd0 +p1928 +tp1929 +a(g693 +g962 +tp1930 +a(g423 +Vis-managed +p1931 +tp1932 +a(g839 +g958 +tp1933 +a(g693 +V\u005c +p1934 +tp1935 +a(g839 +V\u000a +p1936 +tp1937 +a(g7 +Vread +p1938 +tp1939 +a(g839 +g958 +tp1940 +a(g7 +Vxpath +p1941 +tp1942 +a(g693 +g962 +tp1943 +a(g423 +V"//nodes//attributes" +p1944 +tp1945 +a(g839 +g958 +tp1946 +a(g693 +V\u005c +p1947 +tp1948 +a(g839 +V\u000a +p1949 +tp1950 +a(g7 +Vread +p1951 +tp1952 +a(g839 +g958 +tp1953 +a(g7 +Vref +p1954 +tp1955 +a(g693 +g962 +tp1956 +a(g423 +Vd0 +p1957 +tp1958 +a(g839 +V\u000a +p1959 +tp1960 +a(g7 +Vacl_target +p1961 +tp1962 +a(g839 +g958 +tp1963 +a(g423 +Vjoe +p1964 +tp1965 +a(g839 +g958 +tp1966 +a(g693 +V\u005c +p1967 +tp1968 +a(g839 +V\u000a +p1969 +tp1970 +a(g423 +Vnfs_admin +p1971 +tp1972 +a(g839 +V\u000a +p1973 +tp1974 +a(g7 +Vtag +p1975 +tp1976 +a(g839 +g958 +tp1977 +a(g423 +Vnfs +p1978 +tp1979 +a(g693 +g962 +tp1980 +a(g839 +g958 +tp1981 +a(g423 +Vnfs-server +p1982 +tp1983 +a(g839 +g958 +tp1984 +a(g423 +Vnfs-vg +p1985 +tp1986 +a(g839 +V\u000a +p1987 +tp1988 +a(g7 +Vgroup +p1989 +tp1990 +a(g839 +g958 +tp1991 +a(g423 +Vnfs-disk +p1992 +tp1993 +a(g839 +g958 +tp1994 +a(g423 +Vnfs-vg +p1995 +tp1996 +a(g839 +g958 +tp1997 +a(g423 +Vfs1 +p1998 +tp1999 +a(g839 +V\u000a +p2000 +tp2001 +a(g7 +Vgroup +p2002 +tp2003 +a(g839 +g958 +tp2004 +a(g423 +Vnfs-srv +p2005 +tp2006 +a(g839 +g958 +tp2007 +a(g423 +Vvirtual-ip +p2008 +tp2009 +a(g839 +g958 +tp2010 +a(g423 +Vnfs-server +p2011 +tp2012 +a(g839 +V\u000a +p2013 +tp2014 +a(g7 +Vms +p2015 +tp2016 +a(g839 +g958 +tp2017 +a(g423 +Vms_drbd_nfs +p2018 +tp2019 +a(g839 +g958 +tp2020 +a(g423 +Vp_drbd_nfs +p2021 +tp2022 +a(g839 +g958 +tp2023 +a(g693 +V\u005c +p2024 +tp2025 +a(g839 +V\u000a +p2026 +tp2027 +a(g7 +Vmeta +p2028 +tp2029 +a(g839 +g958 +tp2030 +a(g654 +Vnotify +p2031 +tp2032 +a(g693 +g985 +tp2033 +a(g76 +Vtrue +p2034 +tp2035 +a(g839 +g958 +tp2036 +a(g654 +Vclone-max +p2037 +tp2038 +a(g693 +g985 +tp2039 +a(g76 +g1596 +tp2040 +a(g839 +V\u000a +p2041 +tp2042 +a(g7 +Vlocation +p2043 +tp2044 +a(g839 +g958 +tp2045 +a(g423 +Vnfs-pref +p2046 +tp2047 +a(g839 +g958 +tp2048 +a(g423 +Vvirtual-ip +p2049 +tp2050 +a(g839 +g958 +tp2051 +a(g17 +V100: +p2052 +tp2053 +a(g839 +g958 +tp2054 +a(g423 +Vsle12-a +p2055 +tp2056 +a(g839 +V\u000a +p2057 +tp2058 +a(g7 +Vlocation +p2059 +tp2060 +a(g839 +g958 +tp2061 +a(g423 +Vl1 +p2062 +tp2063 +a(g839 +g958 +tp2064 +a(g423 +Vnfs-srv +p2065 +tp2066 +a(g839 +g958 +tp2067 +a(g17 +V100: +p2068 +tp2069 +a(g839 +g958 +tp2070 +a(g423 +Vnode1 +p2071 +tp2072 +a(g839 +V\u000a +p2073 +tp2074 +a(g7 +Vlocation +p2075 +tp2076 +a(g839 +g958 +tp2077 +a(g423 +Vl2 +p2078 +tp2079 +a(g839 +g958 +tp2080 +a(g423 +Vd1 +p2081 +tp2082 +a(g839 +g958 +tp2083 +a(g693 +V\u005c +p2084 +tp2085 +a(g839 +V\u000a +p2086 +tp2087 +a(g7 +Vrule +p2088 +tp2089 +a(g839 +g958 +tp2090 +a(g17 +V100: +p2091 +tp2092 +a(g839 +g958 +tp2093 +a(g669 +V#uname +p2094 +tp2095 +a(g839 +g958 +tp2096 +a(g414 +Veq +p2097 +tp2098 +a(g839 +g958 +tp2099 +a(g423 +Vnode1 +p2100 +tp2101 +a(g839 +V\u000a +p2102 +tp2103 +a(g7 +Vlocation +p2104 +tp2105 +a(g839 +g958 +tp2106 +a(g423 +Vl3 +p2107 +tp2108 +a(g839 +g958 +tp2109 +a(g423 +Vd1 +p2110 +tp2111 +a(g839 +g958 +tp2112 +a(g693 +V\u005c +p2113 +tp2114 +a(g839 +V\u000a +p2115 +tp2116 +a(g7 +Vrule +p2117 +tp2118 +a(g839 +g958 +tp2119 +a(g17 +Vinf: +p2120 +tp2121 +a(g839 +g958 +tp2122 +a(g669 +V#uname +p2123 +tp2124 +a(g839 +g958 +tp2125 +a(g414 +Veq +p2126 +tp2127 +a(g839 +g958 +tp2128 +a(g423 +Vnode1 +p2129 +tp2130 +a(g839 +g958 +tp2131 +a(g414 +Vand +p2132 +tp2133 +a(g839 +g958 +tp2134 +a(g423 +Vpingd +p2135 +tp2136 +a(g839 +g958 +tp2137 +a(g414 +Vgt +p2138 +tp2139 +a(g839 +g958 +tp2140 +a(g423 +g1282 +tp2141 +a(g839 +V\u000a +p2142 +tp2143 +a(g7 +Vlocation +p2144 +tp2145 +a(g839 +g958 +tp2146 +a(g423 +Vl4 +p2147 +tp2148 +a(g839 +g958 +tp2149 +a(g423 +Vd1 +p2150 +tp2151 +a(g839 +g958 +tp2152 +a(g693 +V\u005c +p2153 +tp2154 +a(g839 +V\u000a +p2155 +tp2156 +a(g7 +Vrule +p2157 +tp2158 +a(g839 +g958 +tp2159 +a(g17 +V-inf: +p2160 +tp2161 +a(g839 +g958 +tp2162 +a(g414 +Vnot_defined +p2163 +tp2164 +a(g839 +g958 +tp2165 +a(g423 +Vpingd +p2166 +tp2167 +a(g839 +g958 +tp2168 +a(g414 +Vor +p2169 +tp2170 +a(g839 +g958 +tp2171 +a(g423 +Vpingd +p2172 +tp2173 +a(g839 +g958 +tp2174 +a(g414 +Vlte +p2175 +tp2176 +a(g839 +g958 +tp2177 +a(g423 +g1282 +tp2178 +a(g839 +V\u000a +p2179 +tp2180 +a(g7 +Vlocation +p2181 +tp2182 +a(g839 +g958 +tp2183 +a(g423 +Vl5 +p2184 +tp2185 +a(g839 +g958 +tp2186 +a(g423 +Vfs1 +p2187 +tp2188 +a(g839 +g958 +tp2189 +a(g693 +V\u005c +p2190 +tp2191 +a(g839 +V\u000a +p2192 +tp2193 +a(g7 +Vrule +p2194 +tp2195 +a(g839 +g958 +tp2196 +a(g17 +V-inf: +p2197 +tp2198 +a(g839 +g958 +tp2199 +a(g414 +Vnot_defined +p2200 +tp2201 +a(g839 +g958 +tp2202 +a(g423 +Vpingd +p2203 +tp2204 +a(g839 +g958 +tp2205 +a(g414 +Vor +p2206 +tp2207 +a(g839 +g958 +tp2208 +a(g423 +Vpingd +p2209 +tp2210 +a(g839 +g958 +tp2211 +a(g414 +Vlte +p2212 +tp2213 +a(g839 +g958 +tp2214 +a(g423 +g1282 +tp2215 +a(g839 +g958 +tp2216 +a(g693 +V\u005c +p2217 +tp2218 +a(g839 +V\u000a +p2219 +tp2220 +a(g7 +Vrule +p2221 +tp2222 +a(g839 +g958 +tp2223 +a(g669 +V#uname +p2224 +tp2225 +a(g839 +g958 +tp2226 +a(g414 +Veq +p2227 +tp2228 +a(g839 +g958 +tp2229 +a(g423 +Vnode1 +p2230 +tp2231 +a(g839 +g958 +tp2232 +a(g414 +Vand +p2233 +tp2234 +a(g839 +g958 +tp2235 +a(g423 +Vpingd +p2236 +tp2237 +a(g839 +g958 +tp2238 +a(g414 +Vgt +p2239 +tp2240 +a(g839 +g958 +tp2241 +a(g423 +g1282 +tp2242 +a(g839 +g958 +tp2243 +a(g693 +V\u005c +p2244 +tp2245 +a(g839 +V\u000a +p2246 +tp2247 +a(g7 +Vrule +p2248 +tp2249 +a(g839 +g958 +tp2250 +a(g414 +Vdate +p2251 +tp2252 +a(g839 +g958 +tp2253 +a(g414 +Vlt +p2254 +tp2255 +a(g839 +g958 +tp2256 +a(g423 +V2009-05-26 +p2257 +tp2258 +a(g839 +g958 +tp2259 +a(g414 +Vand +p2260 +tp2261 +a(g839 +g958 +tp2262 +a(g414 +Vdate +p2263 +tp2264 +a(g839 +g958 +tp2265 +a(g414 +Vin +p2266 +tp2267 +a(g839 +g958 +tp2268 +a(g654 +Vstart +p2269 +tp2270 +a(g693 +g985 +tp2271 +a(g76 +V2009-05-26 +p2272 +tp2273 +a(g839 +g958 +tp2274 +a(g654 +Vend +p2275 +tp2276 +a(g693 +g985 +tp2277 +a(g76 +V2009-07-26 +p2278 +tp2279 +a(g839 +g958 +tp2280 +a(g414 +Vand +p2281 +tp2282 +a(g839 +g958 +tp2283 +a(g414 +Vdate +p2284 +tp2285 +a(g839 +g958 +tp2286 +a(g414 +Vin +p2287 +tp2288 +a(g839 +g958 +tp2289 +a(g654 +Vstart +p2290 +tp2291 +a(g693 +g985 +tp2292 +a(g76 +V2009-05-26 +p2293 +tp2294 +a(g839 +g958 +tp2295 +a(g654 +Vyears +p2296 +tp2297 +a(g693 +g985 +tp2298 +a(g76 +V2009 +p2299 +tp2300 +a(g839 +g958 +tp2301 +a(g414 +Vand +p2302 +tp2303 +a(g839 +g958 +tp2304 +a(g414 +Vdate +p2305 +tp2306 +a(g839 +g958 +tp2307 +a(g414 +Vspec +p2308 +tp2309 +a(g839 +g958 +tp2310 +a(g654 +Vyears +p2311 +tp2312 +a(g693 +g985 +tp2313 +a(g76 +V2009 +p2314 +tp2315 +a(g839 +g958 +tp2316 +a(g654 +Vhours +p2317 +tp2318 +a(g693 +g985 +tp2319 +a(g76 +V09-17 +p2320 +tp2321 +a(g839 +V\u000a +p2322 +tp2323 +a(g7 +Vlocation +p2324 +tp2325 +a(g839 +g958 +tp2326 +a(g423 +Vl6 +p2327 +tp2328 +a(g839 +g958 +tp2329 +a(g423 +Vd1 +p2330 +tp2331 +a(g839 +g958 +tp2332 +a(g693 +V\u005c +p2333 +tp2334 +a(g839 +V\u000a +p2335 +tp2336 +a(g7 +Vrule +p2337 +tp2338 +a(g839 +g958 +tp2339 +a(g654 +V$id-ref +p2340 +tp2341 +a(g693 +g985 +tp2342 +a(g76 +Vl2-rule1 +p2343 +tp2344 +a(g839 +V\u000a +p2345 +tp2346 +a(g7 +Vlocation +p2347 +tp2348 +a(g839 +g958 +tp2349 +a(g423 +Vl7 +p2350 +tp2351 +a(g839 +g958 +tp2352 +a(g423 +Vd1 +p2353 +tp2354 +a(g839 +g958 +tp2355 +a(g693 +V\u005c +p2356 +tp2357 +a(g839 +V\u000a +p2358 +tp2359 +a(g7 +Vrule +p2360 +tp2361 +a(g839 +g958 +tp2362 +a(g654 +V$id-ref +p2363 +tp2364 +a(g693 +g985 +tp2365 +a(g76 +Vl2 +p2366 +tp2367 +a(g839 +V\u000a +p2368 +tp2369 +a(g7 +Vcolocation +p2370 +tp2371 +a(g839 +g958 +tp2372 +a(g423 +Vc-nfs +p2373 +tp2374 +a(g839 +g958 +tp2375 +a(g17 +Vinf: +p2376 +tp2377 +a(g839 +g958 +tp2378 +a(g423 +Vnfs-server +p2379 +tp2380 +a(g839 +g958 +tp2381 +a(g423 +Vfs1 +p2382 +tp2383 +a(g839 +V\u000a +p2384 +tp2385 +a(g7 +Vcolocation +p2386 +tp2387 +a(g839 +g958 +tp2388 +a(g423 +Vvg-with-drbd +p2389 +tp2390 +a(g839 +g958 +tp2391 +a(g17 +Vinf: +p2392 +tp2393 +a(g839 +g958 +tp2394 +a(g423 +Vnfs-vg +p2395 +tp2396 +a(g839 +g958 +tp2397 +a(g423 +Vms_drbd_nfs +p2398 +tp2399 +a(g693 +g962 +tp2400 +a(g414 +VMaster +p2401 +tp2402 +a(g839 +V\u000a +p2403 +tp2404 +a(g709 +V# drbd device is the nfs-vg PV\u000a +p2405 +tp2406 +a(g7 +Vorder +p2407 +tp2408 +a(g839 +g958 +tp2409 +a(g423 +Vdrbd-before-vg +p2410 +tp2411 +a(g839 +g958 +tp2412 +a(g17 +Vinf: +p2413 +tp2414 +a(g839 +g958 +tp2415 +a(g423 +Vms_drbd_nfs +p2416 +tp2417 +a(g693 +g962 +tp2418 +a(g414 +Vpromote +p2419 +tp2420 +a(g839 +g958 +tp2421 +a(g423 +Vnfs-vg +p2422 +tp2423 +a(g693 +g962 +tp2424 +a(g414 +Vstart +p2425 +tp2426 +a(g839 +V\u000a +p2427 +tp2428 +a(g709 +V# need fs1 for the NFS server\u000a +p2429 +tp2430 +a(g7 +Vorder +p2431 +tp2432 +a(g839 +g958 +tp2433 +a(g423 +Vo-nfs +p2434 +tp2435 +a(g839 +g958 +tp2436 +a(g17 +Vinf: +p2437 +tp2438 +a(g839 +g958 +tp2439 +a(g423 +Vfs1 +p2440 +tp2441 +a(g839 +g958 +tp2442 +a(g423 +Vnfs-server +p2443 +tp2444 +a(g839 +V\u000a +p2445 +tp2446 +a(g7 +Vrsc_ticket +p2447 +tp2448 +a(g839 +g958 +tp2449 +a(g423 +Vticket-A_m6 +p2450 +tp2451 +a(g839 +g958 +tp2452 +a(g423 +Vticket-A +p2453 +tp2454 +a(g693 +g962 +tp2455 +a(g839 +g958 +tp2456 +a(g423 +Vd1 +p2457 +tp2458 +a(g839 +V\u000a +p2459 +tp2460 +a(g7 +Vrsc_ticket +p2461 +tp2462 +a(g839 +g958 +tp2463 +a(g423 +Vticket-B_m6_m5 +p2464 +tp2465 +a(g839 +g958 +tp2466 +a(g423 +Vticket-B +p2467 +tp2468 +a(g693 +g962 +tp2469 +a(g839 +g958 +tp2470 +a(g423 +Vd1 +p2471 +tp2472 +a(g839 +g958 +tp2473 +a(g423 +Vd7 +p2474 +tp2475 +a(g839 +g958 +tp2476 +a(g654 +Vloss-policy +p2477 +tp2478 +a(g693 +g985 +tp2479 +a(g76 +Vfence +p2480 +tp2481 +a(g839 +V\u000a +p2482 +tp2483 +a(g7 +Vrsc_ticket +p2484 +tp2485 +a(g839 +g958 +tp2486 +a(g423 +Vticket-C_master +p2487 +tp2488 +a(g839 +g958 +tp2489 +a(g423 +Vticket-C +p2490 +tp2491 +a(g693 +g962 +tp2492 +a(g839 +g958 +tp2493 +a(g423 +Vd1 +p2494 +tp2495 +a(g839 +g958 +tp2496 +a(g423 +Vms_drbd_nfs +p2497 +tp2498 +a(g693 +g962 +tp2499 +a(g414 +VMaster +p2500 +tp2501 +a(g839 +g958 +tp2502 +a(g654 +Vloss-policy +p2503 +tp2504 +a(g693 +g985 +tp2505 +a(g76 +Vfence +p2506 +tp2507 +a(g839 +V\u000a +p2508 +tp2509 +a(g7 +Vproperty +p2510 +tp2511 +a(g839 +g958 +tp2512 +a(g423 +Vcpset2 +p2513 +tp2514 +a(g693 +g962 +tp2515 +a(g839 +g958 +tp2516 +a(g693 +V\u005c +p2517 +tp2518 +a(g839 +V\u000a +p2519 +tp2520 +a(g654 +Vmaintenance-mode +p2521 +tp2522 +a(g693 +g985 +tp2523 +a(g76 +Vtrue +p2524 +tp2525 +a(g839 +V\u000a +p2526 +tp2527 +a(g7 +Vproperty +p2528 +tp2529 +a(g839 +g958 +tp2530 +a(g423 +Vcib-bootstrap-options +p2531 +tp2532 +a(g693 +g962 +tp2533 +a(g839 +g958 +tp2534 +a(g693 +V\u005c +p2535 +tp2536 +a(g839 +V\u000a +p2537 +tp2538 +a(g654 +Vdc-version +p2539 +tp2540 +a(g693 +g985 +tp2541 +a(g76 +V1.1.12-ad083a8 +p2542 +tp2543 +a(g839 +g958 +tp2544 +a(g693 +V\u005c +p2545 +tp2546 +a(g839 +V\u000a +p2547 +tp2548 +a(g654 +Vcluster-infrastructure +p2549 +tp2550 +a(g693 +g985 +tp2551 +a(g76 +Vcorosync +p2552 +tp2553 +a(g839 +g958 +tp2554 +a(g693 +V\u005c +p2555 +tp2556 +a(g839 +V\u000a +p2557 +tp2558 +a(g654 +Vcluster-name +p2559 +tp2560 +a(g693 +g985 +tp2561 +a(g76 +Vsle12-test3l-public +p2562 +tp2563 +a(g839 +g958 +tp2564 +a(g693 +V\u005c +p2565 +tp2566 +a(g839 +V\u000a +p2567 +tp2568 +a(g654 +Vno-quorum-policy +p2569 +tp2570 +a(g693 +g985 +tp2571 +a(g76 +Vignore +p2572 +tp2573 +a(g839 +g958 +tp2574 +a(g693 +V\u005c +p2575 +tp2576 +a(g839 +V\u000a +p2577 +tp2578 +a(g654 +Vstartup-fencing +p2579 +tp2580 +a(g693 +g985 +tp2581 +a(g76 +Vfalse +p2582 +tp2583 +a(g839 +g958 +tp2584 +a(g693 +V\u005c +p2585 +tp2586 +a(g839 +V\u000a +p2587 +tp2588 +a(g654 +Vlast-lrm-refresh +p2589 +tp2590 +a(g693 +g985 +tp2591 +a(g76 +V1415877622 +p2592 +tp2593 +a(g839 +g958 +tp2594 +a(g693 +V\u005c +p2595 +tp2596 +a(g839 +V\u000a +p2597 +tp2598 +a(g654 +Vmaintenance-mode +p2599 +tp2600 +a(g693 +g985 +tp2601 +a(g76 +Vfalse +p2602 +tp2603 +a(g839 +V\u000a +p2604 +tp2605 +a(g7 +Vop_defaults +p2606 +tp2607 +a(g839 +g958 +tp2608 +a(g423 +Vop-options +p2609 +tp2610 +a(g693 +g962 +tp2611 +a(g839 +g958 +tp2612 +a(g693 +V\u005c +p2613 +tp2614 +a(g839 +V\u000a +p2615 +tp2616 +a(g654 +Vtimeout +p2617 +tp2618 +a(g693 +g985 +tp2619 +a(g76 +V120s +p2620 +tp2621 +a(g839 +V\u000a +p2622 +tp2623 +a(g7 +Vrsc_defaults +p2624 +tp2625 +a(g839 +g958 +tp2626 +a(g423 +Vrsc-options +p2627 +tp2628 +a(g693 +g962 +tp2629 +a(g839 +g958 +tp2630 +a(g693 +V\u005c +p2631 +tp2632 +a(g839 +V\u000a +p2633 +tp2634 +a(g654 +Vfailure-timeout +p2635 +tp2636 +a(g693 +g985 +tp2637 +a(g76 +V10m +p2638 +tp2639 +a(g839 +V\u000a +p2640 +tp2641 +a(g7 +Vop_defaults +p2642 +tp2643 +a(g839 +g958 +tp2644 +a(g423 +Vopsdef2 +p2645 +tp2646 +a(g693 +g962 +tp2647 +a(g839 +g958 +tp2648 +a(g693 +V\u005c +p2649 +tp2650 +a(g839 +V\u000a +p2651 +tp2652 +a(g7 +Vrule +p2653 +tp2654 +a(g839 +g958 +tp2655 +a(g17 +V100: +p2656 +tp2657 +a(g839 +g958 +tp2658 +a(g669 +V#uname +p2659 +tp2660 +a(g839 +g958 +tp2661 +a(g414 +Veq +p2662 +tp2663 +a(g839 +g958 +tp2664 +a(g423 +Vnode1 +p2665 +tp2666 +a(g839 +g958 +tp2667 +a(g693 +V\u005c +p2668 +tp2669 +a(g839 +V\u000a +p2670 +tp2671 +a(g654 +Vrecord-pending +p2672 +tp2673 +a(g693 +g985 +tp2674 +a(g76 +Vtrue +p2675 +tp2676 +a(g839 +V\u000a +p2677 +tp2678 +a(g7 +Vtag +p2679 +tp2680 +a(g839 +g958 +tp2681 +a(g423 +Vt1 +p2682 +tp2683 +a(g693 +g962 +tp2684 +a(g839 +g958 +tp2685 +a(g423 +Vd1 +p2686 +tp2687 +a(g839 +g958 +tp2688 +a(g423 +Vd7 +p2689 +tp2690 +a(g839 +g958 +tp2691 +a(g423 +Vopsdef2 +p2692 +tp2693 +a(g839 +V\u000a +p2694 +tp2695 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.pony b/tests/examplefiles/output/example.pony new file mode 100644 index 0000000..02658b9 --- /dev/null +++ b/tests/examplefiles/output/example.pony @@ -0,0 +1,2728 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVuse +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g76 +V" +p960 +tp961 +a(g76 +Vsomepkg +p962 +tp963 +a(g76 +g960 +tp964 +a(g826 +V\u000a +p965 +tp966 +a(g826 +V\u000a +p967 +tp968 +a(g776 +V/* +p969 +tp970 +a(g776 +V\u000a +p971 +tp972 +a(g776 +V/* +p973 +tp974 +a(g776 +V Nested +p975 +tp976 +a(g776 +V*/ +p977 +tp978 +a(g776 +V\u000a +p979 +tp980 +a(g776 +V*/ +p981 +tp982 +a(g826 +V\u000a +p983 +tp984 +a(g826 +V\u000a +p985 +tp986 +a(g7 +Vclass +p987 +tp988 +a(g826 +g958 +tp989 +a(g7 +Vtrn +p990 +tp991 +a(g826 +g958 +tp992 +a(g616 +VFoo +p993 +tp994 +a(g693 +V[ +p995 +tp996 +a(g529 +VA +p997 +tp998 +a(g693 +V: +p999 +tp1000 +a(g826 +g958 +tp1001 +a(g529 +VStringable +p1002 +tp1003 +a(g826 +g958 +tp1004 +a(g7 +Vref +p1005 +tp1006 +a(g693 +V] +p1007 +tp1008 +a(g826 +g958 +tp1009 +a(g414 +Vis +p1010 +tp1011 +a(g826 +g958 +tp1012 +a(g529 +VStringable +p1013 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g826 +V +p1017 +tp1018 +a(g7 +Vlet +p1019 +tp1020 +a(g826 +g958 +tp1021 +a(g423 +V_ +p1022 +tp1023 +a(g423 +Vx +p1024 +tp1025 +a(g826 +g958 +tp1026 +a(g400 +V= +p1027 +tp1028 +a(g826 +g958 +tp1029 +a(g76 +g960 +tp1030 +a(g76 +V\u005c" +p1031 +tp1032 +a(g76 +g960 +tp1033 +a(g826 +V\u000a +p1034 +tp1035 +a(g826 +V +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g826 +V +p1040 +tp1041 +a(g7 +Vfun +p1042 +tp1043 +a(g826 +g958 +tp1044 +a(g7 +Vval +p1045 +tp1046 +a(g826 +g958 +tp1047 +a(g561 +Vdofoo +p1048 +tp1049 +a(g693 +V( +p1050 +tp1051 +a(g693 +V) +p1052 +tp1053 +a(g826 +g958 +tp1054 +a(g693 +V=> +p1055 +tp1056 +a(g826 +V\u000a +p1057 +tp1058 +a(g826 +V +p1059 +tp1060 +a(g209 +V"""\u000a DocString\u000a """ +p1061 +tp1062 +a(g826 +V\u000a +p1063 +tp1064 +a(g826 +V +p1065 +tp1066 +a(g693 +g1050 +tp1067 +a(g673 +VU64 +p1068 +tp1069 +a(g693 +g1050 +tp1070 +a(g22 +V2 +p1071 +tp1072 +a(g693 +g1052 +tp1073 +a(g693 +V, +p1074 +tp1075 +a(g826 +g958 +tp1076 +a(g76 +g960 +tp1077 +a(g76 +Vfoo +p1078 +tp1079 +a(g76 +g960 +tp1080 +a(g693 +g1052 +tp1081 +a(g693 +V. +p1082 +tp1083 +a(g423 +V_2 +p1084 +tp1085 +a(g826 +V\u000a +p1086 +tp1087 +a(g826 +V\u000a +p1088 +tp1089 +a(g7 +Vactor +p1090 +tp1091 +a(g826 +g958 +tp1092 +a(g616 +VMain +p1093 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g826 +V +p1097 +tp1098 +a(g7 +Vnew +p1099 +tp1100 +a(g826 +g958 +tp1101 +a(g561 +Vcreate +p1102 +tp1103 +a(g693 +g1050 +tp1104 +a(g423 +Venv +p1105 +tp1106 +a(g693 +g999 +tp1107 +a(g826 +g958 +tp1108 +a(g529 +VEnv +p1109 +tp1110 +a(g693 +g1052 +tp1111 +a(g826 +g958 +tp1112 +a(g693 +V=> +p1113 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g826 +V +p1117 +tp1118 +a(g423 +Venv +p1119 +tp1120 +a(g693 +g1082 +tp1121 +a(g423 +Vout +p1122 +tp1123 +a(g693 +g1082 +tp1124 +a(g423 +Vprint +p1125 +tp1126 +a(g693 +g1050 +tp1127 +a(g76 +g960 +tp1128 +a(g76 +VHello world +p1129 +tp1130 +a(g76 +g960 +tp1131 +a(g693 +g1052 +tp1132 +a(g826 +V\u000a +p1133 +tp1134 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.pp b/tests/examplefiles/output/example.pp new file mode 100644 index 0000000..8cd2b14 --- /dev/null +++ b/tests/examplefiles/output/example.pp @@ -0,0 +1,2494 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVexec +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g693 +V{ +p960 +tp961 +a(g826 +g958 +tp962 +a(g76 +V'grep' +p963 +tp964 +a(g693 +V: +p965 +tp966 +a(g826 +V\u000a +p967 +tp968 +a(g826 +V +p969 +tp970 +a(g654 +Vcommand +p971 +tp972 +a(g826 +g958 +tp973 +a(g400 +V=> +p974 +tp975 +a(g826 +g958 +tp976 +a(g76 +V'grep "\u005c'" -rI *' +p977 +tp978 +a(g693 +V, +p979 +tp980 +a(g826 +V\u000a +p981 +tp982 +a(g826 +V +p983 +tp984 +a(g654 +Vpath +p985 +tp986 +a(g826 +V +p987 +tp988 +a(g400 +V=> +p989 +tp990 +a(g826 +g958 +tp991 +a(g76 +V'/bin:/usr/bin' +p992 +tp993 +a(g693 +g979 +tp994 +a(g826 +V\u000a +p995 +tp996 +a(g693 +V} +p997 +tp998 +a(g826 +V\u000a +p999 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g7 +Vnode +p1003 +tp1004 +a(g826 +g958 +tp1005 +a(g7 +Vdefault +p1006 +tp1007 +a(g826 +g958 +tp1008 +a(g693 +g960 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g826 +V +p1012 +tp1013 +a(g7 +Vnotify +p1014 +tp1015 +a(g826 +g958 +tp1016 +a(g693 +g960 +tp1017 +a(g76 +V"Hello World" +p1018 +tp1019 +a(g693 +g965 +tp1020 +a(g693 +V; +p1021 +tp1022 +a(g693 +g997 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g693 +g997 +tp1026 +a(g826 +V\u000a +p1027 +tp1028 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.praat b/tests/examplefiles/output/example.praat new file mode 100644 index 0000000..172f3bd --- /dev/null +++ b/tests/examplefiles/output/example.praat @@ -0,0 +1,9789 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVform +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g76 +VHighlighter test +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g7 +Vsentence +p964 +tp965 +a(g826 +V Blank +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g7 +Vsentence +p972 +tp973 +a(g826 +V My_sentence +p974 +tp975 +a(g826 +g958 +tp976 +a(g76 +VThis should all be a string +p977 +tp978 +a(g826 +V\u000a +p979 +tp980 +a(g826 +V +p981 +tp982 +a(g7 +Vtext +p983 +tp984 +a(g826 +V My_text +p985 +tp986 +a(g826 +g958 +tp987 +a(g76 +VThis should also all be a string +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g826 +V +p992 +tp993 +a(g7 +Vword +p994 +tp995 +a(g826 +V My_word +p996 +tp997 +a(g76 +VOnly +p998 +tp999 +a(g826 +V the first word is a string, the rest is discarded +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g7 +Vboolean +p1004 +tp1005 +a(g826 +V Binary +p1006 +tp1007 +a(g428 +V1 +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g7 +Vboolean +p1012 +tp1013 +a(g826 +V Text +p1014 +tp1015 +a(g428 +Vno +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g7 +Vboolean +p1020 +tp1021 +a(g826 +V Quoted +p1022 +tp1023 +a(g428 +V"yes" +p1024 +tp1025 +a(g826 +V\u000a +p1026 +tp1027 +a(g7 +Vcomment +p1028 +tp1029 +a(g826 +g958 +tp1030 +a(g76 +VThis should be a string +p1031 +tp1032 +a(g826 +V\u000a +p1033 +tp1034 +a(g826 +V +p1035 +tp1036 +a(g7 +Voptionmenu +p1037 +tp1038 +a(g826 +V Choice: +p1039 +tp1040 +a(g17 +g1008 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g826 +V +p1044 +tp1045 +a(g7 +Voption +p1046 +tp1047 +a(g826 +g958 +tp1048 +a(g76 +VFoo +p1049 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a(g826 +V +p1053 +tp1054 +a(g7 +Voption +p1055 +tp1056 +a(g826 +g958 +tp1057 +a(g76 +VBar +p1058 +tp1059 +a(g826 +V\u000a +p1060 +tp1061 +a(g826 +V +p1062 +tp1063 +a(g7 +Voption +p1064 +tp1065 +a(g826 +g958 +tp1066 +a(g76 +V100 +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g826 +V +p1071 +tp1072 +a(g7 +Vreal +p1073 +tp1074 +a(g826 +V left_Range +p1075 +tp1076 +a(g400 +V- +p1077 +tp1078 +a(g17 +V123.6 +p1079 +tp1080 +a(g826 +V\u000a +p1081 +tp1082 +a(g7 +Vpositive +p1083 +tp1084 +a(g826 +V right_Range_max +p1085 +tp1086 +a(g17 +V3.3 +p1087 +tp1088 +a(g826 +V\u000a +p1089 +tp1090 +a(g7 +Vinteger +p1091 +tp1092 +a(g826 +V Int +p1093 +tp1094 +a(g17 +V4 +p1095 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g7 +Vnatural +p1099 +tp1100 +a(g826 +V Nat +p1101 +tp1102 +a(g17 +g1095 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g7 +Vendform +p1106 +tp1107 +a(g826 +V\u000a\u000a +p1108 +tp1109 +a(g745 +V# Periods do not establish boundaries for keywords +p1110 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g826 +Vform.var +p1114 +tp1115 +a(g826 +g958 +tp1116 +a(g400 +V= +p1117 +tp1118 +a(g826 +g958 +tp1119 +a(g17 +V10 +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g745 +V# Or operators +p1124 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g826 +Vnot.an.operator$ +p1128 +tp1129 +a(g826 +g958 +tp1130 +a(g400 +g1117 +tp1131 +a(g826 +g958 +tp1132 +a(g76 +V" +p1133 +tp1134 +a(g76 +VBad variable name +p1135 +tp1136 +a(g76 +g1133 +tp1137 +a(g826 +V\u000a +p1138 +tp1139 +a(g826 +Vbad.or.not +p1140 +tp1141 +a(g826 +g958 +tp1142 +a(g400 +g1117 +tp1143 +a(g826 +g958 +tp1144 +a(g17 +g1008 +tp1145 +a(g826 +V\u000a\u000a +p1146 +tp1147 +a(g745 +V# External scripts +p1148 +tp1149 +a(g826 +V\u000a +p1150 +tp1151 +a(g7 +Vinclude +p1152 +tp1153 +a(g826 +g958 +tp1154 +a(g76 +V/path/to/file +p1155 +tp1156 +a(g826 +V\u000a +p1157 +tp1158 +a(g561 +VrunScript +p1159 +tp1160 +a(g693 +V: +p1161 +tp1162 +a(g826 +g958 +tp1163 +a(g76 +g1133 +tp1164 +a(g76 +V/path/to/file +p1165 +tp1166 +a(g76 +g1133 +tp1167 +a(g826 +V\u000a +p1168 +tp1169 +a(g7 +Vexecute +p1170 +tp1171 +a(g826 +g958 +tp1172 +a(g76 +V/path/to/file +p1173 +tp1174 +a(g826 +V\u000a +p1175 +tp1176 +a(g826 +V\u000a +p1177 +tp1178 +a(g745 +V# Predefined variables +p1179 +tp1180 +a(g826 +V\u000a +p1181 +tp1182 +a(g826 +Va +p1183 +tp1184 +a(g826 +V +p1185 +tp1186 +a(g400 +g1117 +tp1187 +a(g826 +g958 +tp1188 +a(g457 +VpraatVersion +p1189 +tp1190 +a(g826 +V\u000a +p1191 +tp1192 +a(g826 +g1183 +tp1193 +a(g826 +V +p1194 +tp1195 +a(g400 +g1117 +tp1196 +a(g826 +g958 +tp1197 +a(g457 +Ve +p1198 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g826 +g1183 +tp1202 +a(g826 +V +p1203 +tp1204 +a(g400 +g1117 +tp1205 +a(g826 +g958 +tp1206 +a(g457 +Vpi +p1207 +tp1208 +a(g826 +V\u000a +p1209 +tp1210 +a(g826 +Va$ +p1211 +tp1212 +a(g826 +g958 +tp1213 +a(g400 +g1117 +tp1214 +a(g826 +g958 +tp1215 +a(g457 +VhomeDirectory$ +p1216 +tp1217 +a(g826 +g958 +tp1218 +a(g400 +V+ +p1219 +tp1220 +a(g826 +g958 +tp1221 +a(g457 +Vtab$ +p1222 +tp1223 +a(g826 +g958 +tp1224 +a(g400 +g1219 +tp1225 +a(g826 +g958 +tp1226 +a(g457 +Vnewline$ +p1227 +tp1228 +a(g826 +V\u000a +p1229 +tp1230 +a(g826 +Va$ +p1231 +tp1232 +a(g826 +g958 +tp1233 +a(g400 +g1117 +tp1234 +a(g826 +g958 +tp1235 +a(g457 +VtemporaryDirectory$ +p1236 +tp1237 +a(g826 +V\u000a +p1238 +tp1239 +a(g826 +Va$ +p1240 +tp1241 +a(g826 +g958 +tp1242 +a(g400 +g1117 +tp1243 +a(g826 +g958 +tp1244 +a(g457 +VpraatVersion$ +p1245 +tp1246 +a(g826 +V\u000a +p1247 +tp1248 +a(g826 +Va$ +p1249 +tp1250 +a(g826 +g958 +tp1251 +a(g400 +g1117 +tp1252 +a(g826 +g958 +tp1253 +a(g457 +VshellDirectory$ +p1254 +tp1255 +a(g826 +V\u000a +p1256 +tp1257 +a(g826 +Va$ +p1258 +tp1259 +a(g826 +g958 +tp1260 +a(g400 +g1117 +tp1261 +a(g826 +g958 +tp1262 +a(g457 +VhomeDirectory$ +p1263 +tp1264 +a(g826 +V\u000a +p1265 +tp1266 +a(g826 +Va$ +p1267 +tp1268 +a(g826 +g958 +tp1269 +a(g400 +g1117 +tp1270 +a(g826 +g958 +tp1271 +a(g457 +VpreferencesDirectory$ +p1272 +tp1273 +a(g826 +V\u000a +p1274 +tp1275 +a(g826 +Va$ +p1276 +tp1277 +a(g826 +g958 +tp1278 +a(g400 +g1117 +tp1279 +a(g826 +g958 +tp1280 +a(g457 +VdefaultDirectory$ +p1281 +tp1282 +a(g826 +V\u000a +p1283 +tp1284 +a(g7 +Vnocheck +p1285 +tp1286 +a(g826 +g958 +tp1287 +a(g561 +VselectObject +p1288 +tp1289 +a(g693 +g1161 +tp1290 +a(g826 +g958 +tp1291 +a(g457 +Vundefined +p1292 +tp1293 +a(g826 +V\u000a\u000a +p1294 +tp1295 +a(g745 +V# Arrays are not comments +p1296 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g826 +Va# +p1300 +tp1301 +a(g826 +g958 +tp1302 +a(g400 +g1117 +tp1303 +a(g826 +g958 +tp1304 +a(g561 +Vzero# +p1305 +tp1306 +a(g826 +g958 +tp1307 +a(g693 +V( +p1308 +tp1309 +a(g17 +V5 +p1310 +tp1311 +a(g693 +V, +p1312 +tp1313 +a(g826 +g958 +tp1314 +a(g17 +V6 +p1315 +tp1316 +a(g826 +V) +p1317 +tp1318 +a(g826 +V\u000a +p1319 +tp1320 +a(g826 +g1183 +tp1321 +a(g826 +g958 +tp1322 +a(g693 +V[ +p1323 +tp1324 +a(g17 +V3 +p1325 +tp1326 +a(g826 +V] +p1327 +tp1328 +a(g693 +g1312 +tp1329 +a(g826 +g958 +tp1330 +a(g17 +g1310 +tp1331 +a(g826 +g958 +tp1332 +a(g400 +g1117 +tp1333 +a(g826 +g958 +tp1334 +a(g17 +V7 +p1335 +tp1336 +a(g826 +V\u000a +p1337 +tp1338 +a(g7 +Vprintline +p1339 +tp1340 +a(g826 +g958 +tp1341 +a(g112 +V' +p1342 +tp1343 +a(g112 +Va[3,5] +p1344 +tp1345 +a(g112 +g1342 +tp1346 +a(g76 +V, +p1347 +tp1348 +a(g112 +g1342 +tp1349 +a(g112 +Va[3] +p1350 +tp1351 +a(g112 +g1342 +tp1352 +a(g826 +V\u000a +p1353 +tp1354 +a(g826 +g1183 +tp1355 +a(g826 +g958 +tp1356 +a(g693 +g1323 +tp1357 +a(g17 +g1008 +tp1358 +a(g826 +g1327 +tp1359 +a(g826 +g958 +tp1360 +a(g400 +g1117 +tp1361 +a(g826 +g958 +tp1362 +a(g17 +V2 +p1363 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g826 +Vb +p1367 +tp1368 +a(g826 +g958 +tp1369 +a(g693 +g1323 +tp1370 +a(g826 +g1183 +tp1371 +a(g826 +g958 +tp1372 +a(g693 +g1323 +tp1373 +a(g17 +g1008 +tp1374 +a(g826 +g1327 +tp1375 +a(g826 +g1327 +tp1376 +a(g826 +g958 +tp1377 +a(g400 +g1117 +tp1378 +a(g826 +g958 +tp1379 +a(g17 +g1325 +tp1380 +a(g826 +V\u000a +p1381 +tp1382 +a(g7 +Vassert +p1383 +tp1384 +a(g826 +g958 +tp1385 +a(g826 +g1367 +tp1386 +a(g826 +g958 +tp1387 +a(g693 +g1323 +tp1388 +a(g826 +g1183 +tp1389 +a(g826 +g958 +tp1390 +a(g693 +g1323 +tp1391 +a(g17 +g1008 +tp1392 +a(g826 +g1327 +tp1393 +a(g826 +g1327 +tp1394 +a(g826 +g958 +tp1395 +a(g400 +g1117 +tp1396 +a(g826 +g958 +tp1397 +a(g17 +g1325 +tp1398 +a(g826 +V\u000a +p1399 +tp1400 +a(g7 +Vprintline +p1401 +tp1402 +a(g826 +g958 +tp1403 +a(g112 +g1342 +tp1404 +a(g112 +Vb[2] +p1405 +tp1406 +a(g112 +g1342 +tp1407 +a(g826 +V\u000a +p1408 +tp1409 +a(g826 +V\u000a +p1410 +tp1411 +a(g745 +V# if-block with built-in variables +p1412 +tp1413 +a(g826 +V\u000a +p1414 +tp1415 +a(g7 +Vif +p1416 +tp1417 +a(g826 +g958 +tp1418 +a(g457 +Vwindows +p1419 +tp1420 +a(g826 +V\u000a +p1421 +tp1422 +a(g745 +V# We are on Windows +p1423 +tp1424 +a(g826 +V\u000a +p1425 +tp1426 +a(g7 +Velsif +p1427 +tp1428 +a(g826 +g958 +tp1429 +a(g457 +Vunix +p1430 +tp1431 +a(g826 +g958 +tp1432 +a(g400 +g1117 +tp1433 +a(g826 +g958 +tp1434 +a(g17 +g1008 +tp1435 +a(g826 +g958 +tp1436 +a(g414 +Vor +p1437 +tp1438 +a(g826 +g958 +tp1439 +a(g400 +V! +p1440 +tp1441 +a(g457 +Vmacintosh +p1442 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g561 +VexitScript +p1446 +tp1447 +a(g693 +g1161 +tp1448 +a(g826 +g958 +tp1449 +a(g76 +g1133 +tp1450 +a(g76 +VWe are on Linux +p1451 +tp1452 +a(g76 +g1133 +tp1453 +a(g826 +V\u000a +p1454 +tp1455 +a(g7 +Velse +p1456 +tp1457 +a(g826 +g958 +tp1458 +a(g457 +Vmacintosh +p1459 +tp1460 +a(g826 +g958 +tp1461 +a(g400 +V== +p1462 +tp1463 +a(g826 +g958 +tp1464 +a(g17 +g1008 +tp1465 +a(g826 +V\u000a +p1466 +tp1467 +a(g7 +Vexit +p1468 +tp1469 +a(g826 +g958 +tp1470 +a(g76 +VWe are on Mac +p1471 +tp1472 +a(g826 +V\u000a +p1473 +tp1474 +a(g7 +Vendif +p1475 +tp1476 +a(g826 +V\u000a\u000a +p1477 +tp1478 +a(g826 +Vstring$ +p1479 +tp1480 +a(g826 +g958 +tp1481 +a(g400 +g1117 +tp1482 +a(g826 +g958 +tp1483 +a(g76 +g1133 +tp1484 +a(g76 +VStrings can be +p1485 +tp1486 +a(g112 +g1342 +tp1487 +a(g112 +Vinterpolated +p1488 +tp1489 +a(g112 +g1342 +tp1490 +a(g76 +g1133 +tp1491 +a(g826 +V\u000a +p1492 +tp1493 +a(g826 +Vstring$ +p1494 +tp1495 +a(g826 +g958 +tp1496 +a(g400 +g1117 +tp1497 +a(g826 +g958 +tp1498 +a(g76 +g1133 +tp1499 +a(g76 +VBut don +p1500 +tp1501 +a(g76 +g1342 +tp1502 +a(g76 +Vt interpolate everything! +p1503 +tp1504 +a(g76 +g1133 +tp1505 +a(g826 +V\u000a +p1506 +tp1507 +a(g561 +Vstring$ +p1508 +tp1509 +a(g693 +g1308 +tp1510 +a(g17 +V10 +p1511 +tp1512 +a(g826 +g1317 +tp1513 +a(g826 +V\u000a\u000a +p1514 +tp1515 +a(g7 +Vrepeat +p1516 +tp1517 +a(g826 +V\u000a +p1518 +tp1519 +a(g826 +Vstring$ +p1520 +tp1521 +a(g826 +g958 +tp1522 +a(g400 +g1117 +tp1523 +a(g826 +g958 +tp1524 +a(g826 +Vstring$ +p1525 +tp1526 +a(g826 +g958 +tp1527 +a(g400 +g1077 +tp1528 +a(g826 +g958 +tp1529 +a(g561 +Vright$ +p1530 +tp1531 +a(g693 +g1308 +tp1532 +a(g826 +Vstring$ +p1533 +tp1534 +a(g826 +g1317 +tp1535 +a(g826 +V\u000a +p1536 +tp1537 +a(g7 +Vuntil +p1538 +tp1539 +a(g826 +g958 +tp1540 +a(g400 +g1440 +tp1541 +a(g561 +Vlength +p1542 +tp1543 +a(g693 +g1308 +tp1544 +a(g826 +Vstring$ +p1545 +tp1546 +a(g826 +g1317 +tp1547 +a(g826 +V\u000a\u000a +p1548 +tp1549 +a(g7 +VT +p1550 +tp1551 +a(g7 +Vext +p1552 +tp1553 +a(g7 +V... +p1554 +tp1555 +a(g826 +g958 +tp1556 +a(g17 +g1008 +tp1557 +a(g826 +g958 +tp1558 +a(g826 +VR +p1559 +tp1560 +a(g826 +Vight +p1561 +tp1562 +a(g826 +g958 +tp1563 +a(g17 +V0.2 +p1564 +tp1565 +a(g826 +g958 +tp1566 +a(g826 +VH +p1567 +tp1568 +a(g826 +Valf +p1569 +tp1570 +a(g826 +g958 +tp1571 +a(g826 +Vmany +p1572 +tp1573 +a(g400 +g1077 +tp1574 +a(g400 +g1077 +tp1575 +a(g400 +g1077 +tp1576 +a(g400 +g1077 +tp1577 +a(g826 +Vhyphens +p1578 +tp1579 +a(g826 +V\u000a +p1580 +tp1581 +a(g7 +g1550 +tp1582 +a(g7 +Vext +p1583 +tp1584 +a(g7 +V... +p1585 +tp1586 +a(g826 +g958 +tp1587 +a(g17 +g1008 +tp1588 +a(g826 +g958 +tp1589 +a(g826 +g1559 +tp1590 +a(g826 +Vight +p1591 +tp1592 +a(g826 +g958 +tp1593 +a(g400 +g1077 +tp1594 +a(g17 +V0.4 +p1595 +tp1596 +a(g826 +g958 +tp1597 +a(g826 +VB +p1598 +tp1599 +a(g826 +Vottom +p1600 +tp1601 +a(g826 +g958 +tp1602 +a(g826 +g1183 +tp1603 +a(g826 +V\u062d +p1604 +tp1605 +a(g826 +V\u0628 +p1606 +tp1607 +a(g826 +V\u064a +p1608 +tp1609 +a(g826 +V\u0628 +p1610 +tp1611 +a(g826 +g1183 +tp1612 +a(g826 +V\u000a +p1613 +tp1614 +a(g7 +g1550 +tp1615 +a(g7 +Vext +p1616 +tp1617 +a(g7 +V... +p1618 +tp1619 +a(g826 +g958 +tp1620 +a(g17 +g1008 +tp1621 +a(g826 +g958 +tp1622 +a(g826 +g1559 +tp1623 +a(g826 +Vight +p1624 +tp1625 +a(g826 +g958 +tp1626 +a(g400 +g1077 +tp1627 +a(g17 +V0.6 +p1628 +tp1629 +a(g826 +g958 +tp1630 +a(g826 +g1598 +tp1631 +a(g826 +Vottom +p1632 +tp1633 +a(g826 +g958 +tp1634 +a(g826 +V\u65e5 +p1635 +tp1636 +a(g826 +V\u672c +p1637 +tp1638 +a(g826 +V\u000a +p1639 +tp1640 +a(g7 +VD +p1641 +tp1642 +a(g7 +Vraw +p1643 +tp1644 +a(g7 +Vcircle +p1645 +tp1646 +a(g7 +V(mm) +p1647 +tp1648 +a(g7 +V... +p1649 +tp1650 +a(g826 +g958 +tp1651 +a(g17 +V0.5 +p1652 +tp1653 +a(g826 +g958 +tp1654 +a(g17 +V0.5 +p1655 +tp1656 +a(g826 +g958 +tp1657 +a(g826 +Vi +p1658 +tp1659 +a(g826 +V\u000a +p1660 +tp1661 +a(g826 +V\u000a +p1662 +tp1663 +a(g826 +Vrows +p1664 +tp1665 +a(g826 +V +p1666 +tp1667 +a(g400 +g1117 +tp1668 +a(g826 +g958 +tp1669 +a(g669 +VObject_ +p1670 +tp1671 +a(g112 +g1342 +tp1672 +a(g112 +Vtable +p1673 +tp1674 +a(g112 +g1342 +tp1675 +a(g669 +V.nrow +p1676 +tp1677 +a(g826 +V\u000a +p1678 +tp1679 +a(g826 +Vvalue$ +p1680 +tp1681 +a(g826 +g958 +tp1682 +a(g400 +g1117 +tp1683 +a(g826 +g958 +tp1684 +a(g669 +VTable +p1685 +tp1686 +a(g669 +V_ +p1687 +tp1688 +a(g112 +g1342 +tp1689 +a(g112 +Vtable +p1690 +tp1691 +a(g112 +g1342 +tp1692 +a(g669 +V$ +p1693 +tp1694 +a(g826 +g1323 +tp1695 +a(g17 +V25 +p1696 +tp1697 +a(g693 +g1312 +tp1698 +a(g826 +g958 +tp1699 +a(g76 +g1133 +tp1700 +a(g76 +Vf0 +p1701 +tp1702 +a(g76 +g1133 +tp1703 +a(g826 +g1327 +tp1704 +a(g826 +V\u000a +p1705 +tp1706 +a(g826 +Vfixed +p1707 +tp1708 +a(g826 +V +p1709 +tp1710 +a(g400 +g1117 +tp1711 +a(g826 +g958 +tp1712 +a(g669 +VSound_10 +p1713 +tp1714 +a(g669 +V.xmin +p1715 +tp1716 +a(g826 +V\u000a +p1717 +tp1718 +a(g826 +Vfixed +p1719 +tp1720 +a(g826 +V +p1721 +tp1722 +a(g400 +g1117 +tp1723 +a(g826 +g958 +tp1724 +a(g669 +VObject_foo +p1725 +tp1726 +a(g669 +V.xmin +p1727 +tp1728 +a(g826 +V\u000a +p1729 +tp1730 +a(g826 +Vfixed +p1731 +tp1732 +a(g826 +V +p1733 +tp1734 +a(g400 +g1117 +tp1735 +a(g826 +g958 +tp1736 +a(g669 +VProcrustes_foo +p1737 +tp1738 +a(g669 +V.nx +p1739 +tp1740 +a(g826 +V\u000a\u000a +p1741 +tp1742 +a(g745 +V# old-style procedure call +p1743 +tp1744 +a(g826 +V\u000a +p1745 +tp1746 +a(g7 +Vcall +p1747 +tp1748 +a(g826 +g958 +tp1749 +a(g561 +VoldStyle +p1750 +tp1751 +a(g826 +g958 +tp1752 +a(g76 +g1133 +tp1753 +a(g76 +Vquoted +p1754 +tp1755 +a(g76 +g1133 +tp1756 +a(g826 +g958 +tp1757 +a(g17 +g1363 +tp1758 +a(g826 +g958 +tp1759 +a(g826 +Vunquoted +p1760 +tp1761 +a(g826 +g958 +tp1762 +a(g826 +Vstring +p1763 +tp1764 +a(g826 +V\u000a +p1765 +tp1766 +a(g7 +Vassert +p1767 +tp1768 +a(g826 +g958 +tp1769 +a(g826 +VoldStyle.local +p1770 +tp1771 +a(g826 +g958 +tp1772 +a(g400 +g1117 +tp1773 +a(g826 +g958 +tp1774 +a(g17 +g1008 +tp1775 +a(g826 +V\u000a\u000a +p1776 +tp1777 +a(g745 +V# New-style procedure call with parens +p1778 +tp1779 +a(g826 +V\u000a +p1780 +tp1781 +a(g561 +V@ +p1782 +tp1783 +a(g561 +VnewStyle +p1784 +tp1785 +a(g826 +g1308 +tp1786 +a(g76 +g1133 +tp1787 +a(g76 +Vquoted +p1788 +tp1789 +a(g76 +g1133 +tp1790 +a(g693 +g1312 +tp1791 +a(g826 +g958 +tp1792 +a(g17 +g1363 +tp1793 +a(g693 +g1312 +tp1794 +a(g826 +g958 +tp1795 +a(g76 +g1133 +tp1796 +a(g76 +Vquoted string +p1797 +tp1798 +a(g76 +g1133 +tp1799 +a(g693 +g1317 +tp1800 +a(g826 +V\u000a +p1801 +tp1802 +a(g7 +Vif +p1803 +tp1804 +a(g826 +g958 +tp1805 +a(g457 +VpraatVersion +p1806 +tp1807 +a(g826 +g958 +tp1808 +a(g400 +V>= +p1809 +tp1810 +a(g826 +g958 +tp1811 +a(g17 +V5364 +p1812 +tp1813 +a(g826 +V\u000a +p1814 +tp1815 +a(g745 +V# New-style procedure call with colon +p1816 +tp1817 +a(g826 +V\u000a +p1818 +tp1819 +a(g561 +g1782 +tp1820 +a(g561 +VnewStyle +p1821 +tp1822 +a(g826 +g1161 +tp1823 +a(g826 +g958 +tp1824 +a(g76 +g1133 +tp1825 +a(g76 +Vquoted +p1826 +tp1827 +a(g76 +g1133 +tp1828 +a(g693 +g1312 +tp1829 +a(g826 +g958 +tp1830 +a(g17 +g1363 +tp1831 +a(g693 +g1312 +tp1832 +a(g826 +g958 +tp1833 +a(g76 +g1133 +tp1834 +a(g76 +Vquoted string +p1835 +tp1836 +a(g76 +g1133 +tp1837 +a(g826 +V\u000a +p1838 +tp1839 +a(g7 +Vendif +p1840 +tp1841 +a(g826 +V\u000a\u000a +p1842 +tp1843 +a(g745 +V# inline if with inline comment +p1844 +tp1845 +a(g826 +V\u000a +p1846 +tp1847 +a(g826 +Vvar +p1848 +tp1849 +a(g826 +g958 +tp1850 +a(g400 +g1117 +tp1851 +a(g826 +g958 +tp1852 +a(g7 +Vif +p1853 +tp1854 +a(g826 +g958 +tp1855 +a(g457 +Vmacintosh +p1856 +tp1857 +a(g826 +g958 +tp1858 +a(g400 +g1117 +tp1859 +a(g826 +g958 +tp1860 +a(g17 +g1008 +tp1861 +a(g826 +g958 +tp1862 +a(g7 +Vthen +p1863 +tp1864 +a(g826 +g958 +tp1865 +a(g17 +V0 +p1866 +tp1867 +a(g826 +g958 +tp1868 +a(g7 +Velse +p1869 +tp1870 +a(g826 +g958 +tp1871 +a(g17 +g1008 +tp1872 +a(g826 +g958 +tp1873 +a(g7 +Vfi +p1874 +tp1875 +a(g826 +g958 +tp1876 +a(g745 +V; This is an inline comment +p1877 +tp1878 +a(g826 +V\u000a\u000a +p1879 +tp1880 +a(g745 +V# for-loop with explicit from using local variable +p1881 +tp1882 +a(g826 +V\u000a +p1883 +tp1884 +a(g745 +V# and paren-style function calls and variable interpolation +p1885 +tp1886 +a(g826 +V\u000a +p1887 +tp1888 +a(g826 +Vn +p1889 +tp1890 +a(g826 +g958 +tp1891 +a(g400 +g1117 +tp1892 +a(g826 +g958 +tp1893 +a(g561 +VnumberOfSelected +p1894 +tp1895 +a(g693 +g1308 +tp1896 +a(g76 +g1133 +tp1897 +a(g76 +VSound +p1898 +tp1899 +a(g76 +g1133 +tp1900 +a(g826 +g1317 +tp1901 +a(g826 +V\u000a +p1902 +tp1903 +a(g7 +Vfor +p1904 +tp1905 +a(g826 +g958 +tp1906 +a(g826 +g1658 +tp1907 +a(g826 +g958 +tp1908 +a(g7 +Vfrom +p1909 +tp1910 +a(g826 +g958 +tp1911 +a(g826 +VnewStyle.local +p1912 +tp1913 +a(g826 +g958 +tp1914 +a(g7 +Vto +p1915 +tp1916 +a(g826 +g958 +tp1917 +a(g826 +g1889 +tp1918 +a(g826 +V\u000a +p1919 +tp1920 +a(g826 +Vname +p1921 +tp1922 +a(g826 +g958 +tp1923 +a(g400 +g1117 +tp1924 +a(g826 +g958 +tp1925 +a(g561 +Vselected$ +p1926 +tp1927 +a(g693 +g1308 +tp1928 +a(g561 +VextractWord$ +p1929 +tp1930 +a(g693 +g1308 +tp1931 +a(g561 +Vselected$ +p1932 +tp1933 +a(g693 +g1308 +tp1934 +a(g826 +g1317 +tp1935 +a(g693 +g1312 +tp1936 +a(g826 +g958 +tp1937 +a(g76 +g1133 +tp1938 +a(g76 +g958 +tp1939 +a(g76 +g1133 +tp1940 +a(g826 +g1317 +tp1941 +a(g826 +g1317 +tp1942 +a(g826 +V\u000a +p1943 +tp1944 +a(g826 +Vsound +p1945 +tp1946 +a(g112 +g1342 +tp1947 +a(g112 +g1658 +tp1948 +a(g112 +g1342 +tp1949 +a(g826 +g958 +tp1950 +a(g400 +g1117 +tp1951 +a(g826 +g958 +tp1952 +a(g561 +Vselected +p1953 +tp1954 +a(g693 +g1308 +tp1955 +a(g76 +g1133 +tp1956 +a(g76 +VSound +p1957 +tp1958 +a(g76 +g1133 +tp1959 +a(g693 +g1312 +tp1960 +a(g826 +g958 +tp1961 +a(g826 +g1658 +tp1962 +a(g400 +g1219 +tp1963 +a(g826 +g1308 +tp1964 +a(g826 +g1183 +tp1965 +a(g400 +V* +p1966 +tp1967 +a(g826 +g1367 +tp1968 +a(g826 +g1317 +tp1969 +a(g693 +g1317 +tp1970 +a(g826 +V\u000a +p1971 +tp1972 +a(g826 +Vsound +p1973 +tp1974 +a(g693 +g1323 +tp1975 +a(g826 +g1658 +tp1976 +a(g826 +g1327 +tp1977 +a(g826 +g958 +tp1978 +a(g400 +g1117 +tp1979 +a(g826 +g958 +tp1980 +a(g826 +Vsound +p1981 +tp1982 +a(g112 +g1342 +tp1983 +a(g112 +g1658 +tp1984 +a(g112 +g1342 +tp1985 +a(g826 +V\u000a +p1986 +tp1987 +a(g7 +Vendfor +p1988 +tp1989 +a(g826 +V\u000a\u000a +p1990 +tp1991 +a(g826 +g1658 +tp1992 +a(g826 +g958 +tp1993 +a(g400 +g1117 +tp1994 +a(g826 +g958 +tp1995 +a(g17 +g1008 +tp1996 +a(g826 +V\u000a +p1997 +tp1998 +a(g7 +Vwhile +p1999 +tp2000 +a(g826 +g958 +tp2001 +a(g826 +g1658 +tp2002 +a(g826 +g958 +tp2003 +a(g400 +V< +p2004 +tp2005 +a(g826 +g958 +tp2006 +a(g826 +g1889 +tp2007 +a(g826 +V\u000a +p2008 +tp2009 +a(g826 +g1658 +tp2010 +a(g400 +g1219 +tp2011 +a(g400 +g1219 +tp2012 +a(g826 +V\u000a +p2013 +tp2014 +a(g745 +V# Different styles of object selection +p2015 +tp2016 +a(g826 +V\u000a +p2017 +tp2018 +a(g7 +Vselect +p2019 +tp2020 +a(g826 +g958 +tp2021 +a(g826 +Vsound +p2022 +tp2023 +a(g112 +g1342 +tp2024 +a(g112 +g1658 +tp2025 +a(g112 +g1342 +tp2026 +a(g826 +V\u000a +p2027 +tp2028 +a(g826 +Vsound +p2029 +tp2030 +a(g826 +g958 +tp2031 +a(g400 +g1117 +tp2032 +a(g826 +g958 +tp2033 +a(g561 +Vselected +p2034 +tp2035 +a(g693 +g1308 +tp2036 +a(g826 +g1317 +tp2037 +a(g826 +V\u000a +p2038 +tp2039 +a(g826 +Vsound$ +p2040 +tp2041 +a(g826 +g958 +tp2042 +a(g400 +g1117 +tp2043 +a(g826 +g958 +tp2044 +a(g561 +Vselected$ +p2045 +tp2046 +a(g693 +g1308 +tp2047 +a(g76 +g1133 +tp2048 +a(g76 +VSound +p2049 +tp2050 +a(g76 +g1133 +tp2051 +a(g826 +g1317 +tp2052 +a(g826 +V\u000a +p2053 +tp2054 +a(g7 +Vselect +p2055 +tp2056 +a(g826 +g958 +tp2057 +a(g616 +VSound +p2058 +tp2059 +a(g826 +g958 +tp2060 +a(g112 +g1342 +tp2061 +a(g112 +Vsound$ +p2062 +tp2063 +a(g112 +g1342 +tp2064 +a(g826 +V\u000a +p2065 +tp2066 +a(g826 +V +p2067 +tp2068 +a(g561 +VselectObject +p2069 +tp2070 +a(g693 +g1308 +tp2071 +a(g826 +g958 +tp2072 +a(g826 +Vsound +p2073 +tp2074 +a(g693 +g1323 +tp2075 +a(g826 +g1658 +tp2076 +a(g826 +g1327 +tp2077 +a(g826 +g1317 +tp2078 +a(g826 +V\u000a +p2079 +tp2080 +a(g561 +VselectObject +p2081 +tp2082 +a(g693 +g1161 +tp2083 +a(g826 +g958 +tp2084 +a(g826 +Vsound +p2085 +tp2086 +a(g826 +V\u000a\u000a +p2087 +tp2088 +a(g826 +V +p2089 +tp2090 +a(g745 +V# Pause commands +p2091 +tp2092 +a(g826 +V\u000a +p2093 +tp2094 +a(g561 +VbeginPause +p2095 +tp2096 +a(g693 +g1308 +tp2097 +a(g76 +g1133 +tp2098 +a(g76 +VViewing +p2099 +tp2100 +a(g76 +g1133 +tp2101 +a(g826 +g958 +tp2102 +a(g400 +g1219 +tp2103 +a(g826 +g958 +tp2104 +a(g826 +Vsound$ +p2105 +tp2106 +a(g826 +g1317 +tp2107 +a(g826 +V\u000a +p2108 +tp2109 +a(g7 +Vif +p2110 +tp2111 +a(g826 +g958 +tp2112 +a(g826 +g1658 +tp2113 +a(g826 +g958 +tp2114 +a(g400 +V> +p2115 +tp2116 +a(g826 +g958 +tp2117 +a(g17 +g1008 +tp2118 +a(g826 +V\u000a +p2119 +tp2120 +a(g826 +Vbutton +p2121 +tp2122 +a(g826 +g958 +tp2123 +a(g400 +g1117 +tp2124 +a(g826 +g958 +tp2125 +a(g561 +VendPause +p2126 +tp2127 +a(g693 +g1308 +tp2128 +a(g76 +g1133 +tp2129 +a(g76 +VStop +p2130 +tp2131 +a(g76 +g1133 +tp2132 +a(g693 +g1312 +tp2133 +a(g826 +g958 +tp2134 +a(g76 +g1133 +tp2135 +a(g76 +VPrevious +p2136 +tp2137 +a(g76 +g1133 +tp2138 +a(g693 +g1312 +tp2139 +a(g826 +V\u000a +p2140 +tp2141 +a(g693 +V... +p2142 +tp2143 +a(g7 +Vif +p2144 +tp2145 +a(g826 +g958 +tp2146 +a(g826 +g1658 +tp2147 +a(g826 +g958 +tp2148 +a(g400 +g1117 +tp2149 +a(g826 +g958 +tp2150 +a(g826 +Vtotal_sounds +p2151 +tp2152 +a(g826 +g958 +tp2153 +a(g7 +Vthen +p2154 +tp2155 +a(g826 +g958 +tp2156 +a(g76 +g1133 +tp2157 +a(g76 +VFinish +p2158 +tp2159 +a(g76 +g1133 +tp2160 +a(g826 +g958 +tp2161 +a(g7 +Velse +p2162 +tp2163 +a(g826 +g958 +tp2164 +a(g76 +g1133 +tp2165 +a(g76 +VNext +p2166 +tp2167 +a(g76 +g1133 +tp2168 +a(g826 +g958 +tp2169 +a(g7 +Vfi +p2170 +tp2171 +a(g693 +g1312 +tp2172 +a(g826 +V\u000a +p2173 +tp2174 +a(g693 +V... +p2175 +tp2176 +a(g17 +g1325 +tp2177 +a(g693 +g1312 +tp2178 +a(g826 +g958 +tp2179 +a(g17 +g1008 +tp2180 +a(g826 +g1317 +tp2181 +a(g826 +V\u000a +p2182 +tp2183 +a(g7 +Velse +p2184 +tp2185 +a(g826 +V\u000a +p2186 +tp2187 +a(g826 +Vbutton +p2188 +tp2189 +a(g826 +g958 +tp2190 +a(g400 +g1117 +tp2191 +a(g826 +g958 +tp2192 +a(g561 +VendPause +p2193 +tp2194 +a(g693 +g1308 +tp2195 +a(g76 +g1133 +tp2196 +a(g76 +VStop +p2197 +tp2198 +a(g76 +g1133 +tp2199 +a(g693 +g1312 +tp2200 +a(g826 +V\u000a +p2201 +tp2202 +a(g693 +V... +p2203 +tp2204 +a(g7 +Vif +p2205 +tp2206 +a(g826 +g958 +tp2207 +a(g826 +g1658 +tp2208 +a(g826 +g958 +tp2209 +a(g400 +g1117 +tp2210 +a(g826 +g958 +tp2211 +a(g826 +Vtotal_sounds +p2212 +tp2213 +a(g826 +g958 +tp2214 +a(g7 +Vthen +p2215 +tp2216 +a(g826 +g958 +tp2217 +a(g76 +g1133 +tp2218 +a(g76 +VFinish +p2219 +tp2220 +a(g76 +g1133 +tp2221 +a(g826 +g958 +tp2222 +a(g7 +Velse +p2223 +tp2224 +a(g826 +g958 +tp2225 +a(g76 +g1133 +tp2226 +a(g76 +VNext +p2227 +tp2228 +a(g76 +g1133 +tp2229 +a(g826 +g958 +tp2230 +a(g7 +Vfi +p2231 +tp2232 +a(g693 +g1312 +tp2233 +a(g826 +V\u000a +p2234 +tp2235 +a(g693 +V... +p2236 +tp2237 +a(g17 +g1363 +tp2238 +a(g693 +g1312 +tp2239 +a(g826 +g958 +tp2240 +a(g17 +g1008 +tp2241 +a(g826 +g1317 +tp2242 +a(g826 +V\u000a +p2243 +tp2244 +a(g7 +Vendif +p2245 +tp2246 +a(g826 +V\u000a +p2247 +tp2248 +a(g826 +Veditor_name$ +p2249 +tp2250 +a(g826 +g958 +tp2251 +a(g400 +g1117 +tp2252 +a(g826 +g958 +tp2253 +a(g7 +Vif +p2254 +tp2255 +a(g826 +g958 +tp2256 +a(g826 +Vtotal_textgrids +p2257 +tp2258 +a(g826 +g958 +tp2259 +a(g7 +Vthen +p2260 +tp2261 +a(g826 +g958 +tp2262 +a(g76 +g1133 +tp2263 +a(g76 +VTextGrid +p2264 +tp2265 +a(g76 +g1133 +tp2266 +a(g826 +g958 +tp2267 +a(g7 +Velse +p2268 +tp2269 +a(g826 +g958 +tp2270 +a(g76 +g1133 +tp2271 +a(g76 +VSound +p2272 +tp2273 +a(g76 +g1133 +tp2274 +a(g826 +g958 +tp2275 +a(g7 +Vfi +p2276 +tp2277 +a(g826 +g958 +tp2278 +a(g400 +g1219 +tp2279 +a(g826 +g958 +tp2280 +a(g826 +Vname$ +p2281 +tp2282 +a(g826 +V\u000a +p2283 +tp2284 +a(g7 +Vnocheck +p2285 +tp2286 +a(g826 +g958 +tp2287 +a(g7 +Veditor +p2288 +tp2289 +a(g826 +g958 +tp2290 +a(g616 +VSound +p2291 +tp2292 +a(g826 +g958 +tp2293 +a(g112 +g1342 +tp2294 +a(g112 +Veditor_name$ +p2295 +tp2296 +a(g112 +g1342 +tp2297 +a(g826 +V\u000a +p2298 +tp2299 +a(g826 +V +p2300 +tp2301 +a(g7 +Vnocheck +p2302 +tp2303 +a(g826 +g958 +tp2304 +a(g7 +VC +p2305 +tp2306 +a(g7 +Vlose +p2307 +tp2308 +a(g826 +V\u000a +p2309 +tp2310 +a(g826 +V +p2311 +tp2312 +a(g7 +Vnocheck +p2313 +tp2314 +a(g826 +g958 +tp2315 +a(g7 +Vendeditor +p2316 +tp2317 +a(g826 +V\u000a +p2318 +tp2319 +a(g826 +Veditor_id +p2320 +tp2321 +a(g826 +g958 +tp2322 +a(g400 +g1117 +tp2323 +a(g826 +g958 +tp2324 +a(g561 +Veditor +p2325 +tp2326 +a(g693 +g1161 +tp2327 +a(g826 +g958 +tp2328 +a(g826 +Veditor_name$ +p2329 +tp2330 +a(g826 +V\u000a +p2331 +tp2332 +a(g826 +V +p2333 +tp2334 +a(g7 +g2305 +tp2335 +a(g7 +Vlose +p2336 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g826 +V +p2340 +tp2341 +a(g7 +Vendeditor +p2342 +tp2343 +a(g826 +V\u000a\u000a +p2344 +tp2345 +a(g745 +V# New-style standalone command call +p2346 +tp2347 +a(g826 +V\u000a +p2348 +tp2349 +a(g7 +g1559 +tp2350 +a(g7 +Vename +p2351 +tp2352 +a(g7 +g1161 +tp2353 +a(g826 +g958 +tp2354 +a(g76 +g1133 +tp2355 +a(g76 +VSomeName +p2356 +tp2357 +a(g76 +g1133 +tp2358 +a(g826 +V\u000a\u000a +p2359 +tp2360 +a(g826 +V +p2361 +tp2362 +a(g745 +V# Command call with assignment +p2363 +tp2364 +a(g826 +V\u000a +p2365 +tp2366 +a(g826 +Vduration +p2367 +tp2368 +a(g826 +g958 +tp2369 +a(g400 +g1117 +tp2370 +a(g826 +g958 +tp2371 +a(g7 +VG +p2372 +tp2373 +a(g7 +Vet +p2374 +tp2375 +a(g7 +Vtotal +p2376 +tp2377 +a(g7 +Vduration +p2378 +tp2379 +a(g826 +V\u000a +p2380 +tp2381 +a(g826 +V\u000a +p2382 +tp2383 +a(g745 +V# Multi-line command with modifier +p2384 +tp2385 +a(g826 +V\u000a +p2386 +tp2387 +a(g826 +Vpitch +p2388 +tp2389 +a(g826 +g958 +tp2390 +a(g400 +g1117 +tp2391 +a(g826 +g958 +tp2392 +a(g7 +Vnoprogress +p2393 +tp2394 +a(g826 +g958 +tp2395 +a(g7 +g1550 +tp2396 +a(g7 +Vo +p2397 +tp2398 +a(g7 +VPitch +p2399 +tp2400 +a(g7 +V(ac) +p2401 +tp2402 +a(g7 +g1161 +tp2403 +a(g826 +g958 +tp2404 +a(g17 +g1866 +tp2405 +a(g693 +g1312 +tp2406 +a(g826 +g958 +tp2407 +a(g17 +V75 +p2408 +tp2409 +a(g693 +g1312 +tp2410 +a(g826 +g958 +tp2411 +a(g17 +V15 +p2412 +tp2413 +a(g693 +g1312 +tp2414 +a(g826 +g958 +tp2415 +a(g76 +g1133 +tp2416 +a(g76 +Vno +p2417 +tp2418 +a(g76 +g1133 +tp2419 +a(g693 +g1312 +tp2420 +a(g826 +V\u000a +p2421 +tp2422 +a(g693 +V... +p2423 +tp2424 +a(g17 +V0.03 +p2425 +tp2426 +a(g693 +g1312 +tp2427 +a(g826 +g958 +tp2428 +a(g17 +V0.45 +p2429 +tp2430 +a(g693 +g1312 +tp2431 +a(g826 +g958 +tp2432 +a(g17 +V0.01 +p2433 +tp2434 +a(g693 +g1312 +tp2435 +a(g826 +g958 +tp2436 +a(g17 +V0.35 +p2437 +tp2438 +a(g693 +g1312 +tp2439 +a(g826 +g958 +tp2440 +a(g17 +V0.14 +p2441 +tp2442 +a(g693 +g1312 +tp2443 +a(g826 +g958 +tp2444 +a(g17 +V600 +p2445 +tp2446 +a(g826 +V\u000a +p2447 +tp2448 +a(g826 +V +p2449 +tp2450 +a(g745 +V# Formulas are strings +p2451 +tp2452 +a(g826 +V\u000a +p2453 +tp2454 +a(g7 +VF +p2455 +tp2456 +a(g7 +Vormula +p2457 +tp2458 +a(g7 +g1161 +tp2459 +a(g826 +g958 +tp2460 +a(g76 +g1133 +tp2461 +a(g76 +Vif col = 1 then row * Object_ +p2462 +tp2463 +a(g112 +g1342 +tp2464 +a(g112 +Vpitch +p2465 +tp2466 +a(g112 +g1342 +tp2467 +a(g76 +V.dx + +p2468 +tp2469 +a(g112 +g1342 +tp2470 +a(g112 +Vfirst +p2471 +tp2472 +a(g112 +g1342 +tp2473 +a(g76 +V else self fi +p2474 +tp2475 +a(g76 +g1133 +tp2476 +a(g826 +V\u000a\u000a +p2477 +tp2478 +a(g826 +V +p2479 +tp2480 +a(g745 +V# do-style command with assignment +p2481 +tp2482 +a(g826 +V\u000a +p2483 +tp2484 +a(g826 +Vminimum +p2485 +tp2486 +a(g826 +g958 +tp2487 +a(g400 +g1117 +tp2488 +a(g826 +g958 +tp2489 +a(g561 +Vdo +p2490 +tp2491 +a(g693 +g1308 +tp2492 +a(g76 +g1133 +tp2493 +a(g76 +VGet minimum... +p2494 +tp2495 +a(g76 +g1133 +tp2496 +a(g693 +g1312 +tp2497 +a(g826 +g958 +tp2498 +a(g17 +g1866 +tp2499 +a(g693 +g1312 +tp2500 +a(g826 +g958 +tp2501 +a(g17 +g1866 +tp2502 +a(g693 +g1312 +tp2503 +a(g826 +g958 +tp2504 +a(g76 +g1133 +tp2505 +a(g76 +VHertz +p2506 +tp2507 +a(g76 +g1133 +tp2508 +a(g693 +g1312 +tp2509 +a(g826 +g958 +tp2510 +a(g76 +g1133 +tp2511 +a(g76 +VParabolic +p2512 +tp2513 +a(g76 +g1133 +tp2514 +a(g826 +g1317 +tp2515 +a(g826 +V\u000a\u000a +p2516 +tp2517 +a(g745 +V# New-style multi-line command call with broken strings +p2518 +tp2519 +a(g826 +V\u000a +p2520 +tp2521 +a(g826 +Vtable +p2522 +tp2523 +a(g826 +g958 +tp2524 +a(g400 +g1117 +tp2525 +a(g826 +g958 +tp2526 +a(g7 +g2305 +tp2527 +a(g7 +Vreate +p2528 +tp2529 +a(g7 +VTable +p2530 +tp2531 +a(g7 +Vwith +p2532 +tp2533 +a(g7 +Vcolumn +p2534 +tp2535 +a(g7 +Vnames +p2536 +tp2537 +a(g7 +g1161 +tp2538 +a(g826 +g958 +tp2539 +a(g76 +g1133 +tp2540 +a(g76 +Vtable +p2541 +tp2542 +a(g76 +g1133 +tp2543 +a(g693 +g1312 +tp2544 +a(g826 +g958 +tp2545 +a(g17 +g1866 +tp2546 +a(g693 +g1312 +tp2547 +a(g826 +V\u000a +p2548 +tp2549 +a(g693 +V... +p2550 +tp2551 +a(g76 +g1133 +tp2552 +a(g76 +Vfile subject speaker +p2553 +tp2554 +a(g826 +V\u000a +p2555 +tp2556 +a(g693 +V... +p2557 +tp2558 +a(g76 +V f0 f1 f2 f +p2559 +tp2560 +a(g76 +g1133 +tp2561 +a(g826 +g958 +tp2562 +a(g400 +g1219 +tp2563 +a(g826 +g958 +tp2564 +a(g561 +Vstring$ +p2565 +tp2566 +a(g693 +g1308 +tp2567 +a(g17 +g1325 +tp2568 +a(g826 +g1317 +tp2569 +a(g826 +g958 +tp2570 +a(g400 +g1219 +tp2571 +a(g826 +g958 +tp2572 +a(g76 +g1133 +tp2573 +a(g76 +g958 +tp2574 +a(g76 +g1133 +tp2575 +a(g826 +g958 +tp2576 +a(g400 +g1219 +tp2577 +a(g826 +V\u000a +p2578 +tp2579 +a(g693 +V... +p2580 +tp2581 +a(g76 +g1133 +tp2582 +a(g76 +Vduration response +p2583 +tp2584 +a(g76 +g1133 +tp2585 +a(g826 +V\u000a\u000a +p2586 +tp2587 +a(g826 +V +p2588 +tp2589 +a(g745 +V# Function call with trailing space +p2590 +tp2591 +a(g826 +V\u000a +p2592 +tp2593 +a(g561 +VremoveObject +p2594 +tp2595 +a(g693 +g1161 +tp2596 +a(g826 +g958 +tp2597 +a(g826 +Vpitch +p2598 +tp2599 +a(g693 +g1312 +tp2600 +a(g826 +g958 +tp2601 +a(g826 +Vtable +p2602 +tp2603 +a(g826 +V \u000a\u000a +p2604 +tp2605 +a(g826 +V +p2606 +tp2607 +a(g745 +V# Picture window commands +p2608 +tp2609 +a(g826 +V\u000a +p2610 +tp2611 +a(g561 +VselectObject +p2612 +tp2613 +a(g693 +g1161 +tp2614 +a(g826 +g958 +tp2615 +a(g826 +Vsound +p2616 +tp2617 +a(g826 +V\u000a +p2618 +tp2619 +a(g826 +V +p2620 +tp2621 +a(g745 +V# do-style command +p2622 +tp2623 +a(g826 +V\u000a +p2624 +tp2625 +a(g561 +Vdo +p2626 +tp2627 +a(g693 +g1308 +tp2628 +a(g76 +g1133 +tp2629 +a(g76 +VSelect inner viewport... +p2630 +tp2631 +a(g76 +g1133 +tp2632 +a(g693 +g1312 +tp2633 +a(g826 +g958 +tp2634 +a(g17 +g1008 +tp2635 +a(g693 +g1312 +tp2636 +a(g826 +g958 +tp2637 +a(g17 +g1315 +tp2638 +a(g693 +g1312 +tp2639 +a(g826 +g958 +tp2640 +a(g17 +V0.5 +p2641 +tp2642 +a(g693 +g1312 +tp2643 +a(g826 +g958 +tp2644 +a(g17 +V1.5 +p2645 +tp2646 +a(g826 +g1317 +tp2647 +a(g826 +V\u000a +p2648 +tp2649 +a(g7 +g1598 +tp2650 +a(g7 +Vlack +p2651 +tp2652 +a(g826 +V\u000a +p2653 +tp2654 +a(g826 +V +p2655 +tp2656 +a(g7 +g1641 +tp2657 +a(g7 +Vraw +p2658 +tp2659 +a(g7 +V... +p2660 +tp2661 +a(g826 +g958 +tp2662 +a(g17 +g1866 +tp2663 +a(g826 +g958 +tp2664 +a(g17 +g1866 +tp2665 +a(g826 +g958 +tp2666 +a(g17 +g1866 +tp2667 +a(g826 +g958 +tp2668 +a(g17 +g1866 +tp2669 +a(g826 +g958 +tp2670 +a(g76 +g1133 +tp2671 +a(g76 +Vno +p2672 +tp2673 +a(g76 +g1133 +tp2674 +a(g826 +g958 +tp2675 +a(g826 +g2305 +tp2676 +a(g826 +Vurve +p2677 +tp2678 +a(g826 +V\u000a +p2679 +tp2680 +a(g826 +V +p2681 +tp2682 +a(g7 +g1641 +tp2683 +a(g7 +Vraw +p2684 +tp2685 +a(g7 +Vinner +p2686 +tp2687 +a(g7 +Vbox +p2688 +tp2689 +a(g826 +V\u000a +p2690 +tp2691 +a(g826 +V +p2692 +tp2693 +a(g7 +g1550 +tp2694 +a(g7 +Vext +p2695 +tp2696 +a(g7 +Vbottom +p2697 +tp2698 +a(g7 +g1161 +tp2699 +a(g826 +g958 +tp2700 +a(g76 +g1133 +tp2701 +a(g76 +Vyes +p2702 +tp2703 +a(g76 +g1133 +tp2704 +a(g693 +g1312 +tp2705 +a(g826 +g958 +tp2706 +a(g826 +Vsound$ +p2707 +tp2708 +a(g826 +V\u000a +p2709 +tp2710 +a(g826 +V +p2711 +tp2712 +a(g7 +VE +p2713 +tp2714 +a(g7 +Vrase +p2715 +tp2716 +a(g7 +Vall +p2717 +tp2718 +a(g826 +V\u000a +p2719 +tp2720 +a(g826 +V\u000a +p2721 +tp2722 +a(g745 +V# Demo window commands +p2723 +tp2724 +a(g826 +V\u000a +p2725 +tp2726 +a(g7 +Vdemo +p2727 +tp2728 +a(g826 +g958 +tp2729 +a(g7 +g2713 +tp2730 +a(g7 +Vrase +p2731 +tp2732 +a(g7 +Vall +p2733 +tp2734 +a(g826 +V\u000a +p2735 +tp2736 +a(g826 +V +p2737 +tp2738 +a(g7 +Vdemo +p2739 +tp2740 +a(g826 +g958 +tp2741 +a(g7 +VS +p2742 +tp2743 +a(g7 +Velect +p2744 +tp2745 +a(g7 +Vinner +p2746 +tp2747 +a(g7 +Vviewport +p2748 +tp2749 +a(g7 +V... +p2750 +tp2751 +a(g826 +g958 +tp2752 +a(g17 +g1866 +tp2753 +a(g826 +g958 +tp2754 +a(g17 +V100 +p2755 +tp2756 +a(g826 +g958 +tp2757 +a(g17 +g1866 +tp2758 +a(g826 +g958 +tp2759 +a(g17 +V100 +p2760 +tp2761 +a(g826 +V\u000a +p2762 +tp2763 +a(g826 +V +p2764 +tp2765 +a(g7 +Vdemo +p2766 +tp2767 +a(g826 +g958 +tp2768 +a(g7 +VA +p2769 +tp2770 +a(g7 +Vxes +p2771 +tp2772 +a(g7 +V... +p2773 +tp2774 +a(g826 +g958 +tp2775 +a(g17 +g1866 +tp2776 +a(g826 +g958 +tp2777 +a(g17 +V100 +p2778 +tp2779 +a(g826 +g958 +tp2780 +a(g17 +g1866 +tp2781 +a(g826 +g958 +tp2782 +a(g17 +V100 +p2783 +tp2784 +a(g826 +V\u000a +p2785 +tp2786 +a(g826 +V +p2787 +tp2788 +a(g7 +Vdemo +p2789 +tp2790 +a(g826 +g958 +tp2791 +a(g7 +VP +p2792 +tp2793 +a(g7 +Vaint +p2794 +tp2795 +a(g7 +Vrectangle +p2796 +tp2797 +a(g7 +V... +p2798 +tp2799 +a(g826 +g958 +tp2800 +a(g826 +Vwhite +p2801 +tp2802 +a(g826 +g958 +tp2803 +a(g17 +g1866 +tp2804 +a(g826 +g958 +tp2805 +a(g17 +V100 +p2806 +tp2807 +a(g826 +g958 +tp2808 +a(g17 +g1866 +tp2809 +a(g826 +g958 +tp2810 +a(g17 +V100 +p2811 +tp2812 +a(g826 +V\u000a +p2813 +tp2814 +a(g826 +V +p2815 +tp2816 +a(g7 +Vdemo +p2817 +tp2818 +a(g826 +g958 +tp2819 +a(g7 +g1550 +tp2820 +a(g7 +Vext +p2821 +tp2822 +a(g7 +V... +p2823 +tp2824 +a(g826 +g958 +tp2825 +a(g17 +V50 +p2826 +tp2827 +a(g826 +g958 +tp2828 +a(g826 +Vcentre +p2829 +tp2830 +a(g826 +g958 +tp2831 +a(g17 +V50 +p2832 +tp2833 +a(g826 +g958 +tp2834 +a(g826 +Vhalf +p2835 +tp2836 +a(g826 +g958 +tp2837 +a(g826 +g2305 +tp2838 +a(g826 +Vlick +p2839 +tp2840 +a(g826 +g958 +tp2841 +a(g826 +Vto +p2842 +tp2843 +a(g826 +g958 +tp2844 +a(g826 +Vfinish +p2845 +tp2846 +a(g826 +V\u000a +p2847 +tp2848 +a(g826 +V +p2849 +tp2850 +a(g561 +VdemoWaitForInput +p2851 +tp2852 +a(g826 +g958 +tp2853 +a(g693 +g1308 +tp2854 +a(g826 +V ) +p2855 +tp2856 +a(g826 +V\u000a +p2857 +tp2858 +a(g7 +Vdemo +p2859 +tp2860 +a(g826 +g958 +tp2861 +a(g7 +g2713 +tp2862 +a(g7 +Vrase +p2863 +tp2864 +a(g7 +Vall +p2865 +tp2866 +a(g826 +V\u000a +p2867 +tp2868 +a(g826 +V +p2869 +tp2870 +a(g7 +Vdemo +p2871 +tp2872 +a(g826 +g958 +tp2873 +a(g7 +g1550 +tp2874 +a(g7 +Vext +p2875 +tp2876 +a(g7 +g1161 +tp2877 +a(g826 +g958 +tp2878 +a(g17 +V50 +p2879 +tp2880 +a(g693 +g1312 +tp2881 +a(g826 +g958 +tp2882 +a(g76 +g1133 +tp2883 +a(g76 +Vcentre +p2884 +tp2885 +a(g76 +g1133 +tp2886 +a(g693 +g1312 +tp2887 +a(g826 +g958 +tp2888 +a(g17 +V50 +p2889 +tp2890 +a(g693 +g1312 +tp2891 +a(g826 +g958 +tp2892 +a(g76 +g1133 +tp2893 +a(g76 +Vhalf +p2894 +tp2895 +a(g76 +g1133 +tp2896 +a(g693 +g1312 +tp2897 +a(g826 +g958 +tp2898 +a(g76 +g1133 +tp2899 +a(g76 +VFinished +p2900 +tp2901 +a(g76 +g1133 +tp2902 +a(g826 +V\u000a +p2903 +tp2904 +a(g7 +Vendwhile +p2905 +tp2906 +a(g826 +V\u000a\u000a +p2907 +tp2908 +a(g826 +Vswitch$ +p2909 +tp2910 +a(g826 +g958 +tp2911 +a(g400 +g1117 +tp2912 +a(g826 +g958 +tp2913 +a(g7 +Vif +p2914 +tp2915 +a(g826 +g958 +tp2916 +a(g826 +Vswitch +p2917 +tp2918 +a(g826 +g958 +tp2919 +a(g400 +V== +p2920 +tp2921 +a(g826 +g958 +tp2922 +a(g17 +g1008 +tp2923 +a(g826 +g958 +tp2924 +a(g7 +Vthen +p2925 +tp2926 +a(g826 +g958 +tp2927 +a(g76 +g1133 +tp2928 +a(g76 +g1183 +tp2929 +a(g76 +g1133 +tp2930 +a(g826 +g958 +tp2931 +a(g7 +Velse +p2932 +tp2933 +a(g826 +V\u000a +p2934 +tp2935 +a(g693 +V... +p2936 +tp2937 +a(g826 +V +p2938 +tp2939 +a(g7 +Vif +p2940 +tp2941 +a(g826 +g958 +tp2942 +a(g826 +Vswitch +p2943 +tp2944 +a(g826 +g958 +tp2945 +a(g400 +V== +p2946 +tp2947 +a(g826 +g958 +tp2948 +a(g17 +g1363 +tp2949 +a(g826 +g958 +tp2950 +a(g7 +Vthen +p2951 +tp2952 +a(g826 +g958 +tp2953 +a(g76 +g1133 +tp2954 +a(g76 +g1367 +tp2955 +a(g76 +g1133 +tp2956 +a(g826 +g958 +tp2957 +a(g7 +Velse +p2958 +tp2959 +a(g826 +V\u000a +p2960 +tp2961 +a(g693 +V... +p2962 +tp2963 +a(g826 +V +p2964 +tp2965 +a(g7 +Vif +p2966 +tp2967 +a(g826 +g958 +tp2968 +a(g826 +Vswitch +p2969 +tp2970 +a(g826 +g958 +tp2971 +a(g400 +V== +p2972 +tp2973 +a(g826 +g958 +tp2974 +a(g17 +g1325 +tp2975 +a(g826 +g958 +tp2976 +a(g7 +Vthen +p2977 +tp2978 +a(g826 +g958 +tp2979 +a(g76 +g1133 +tp2980 +a(g76 +Vc +p2981 +tp2982 +a(g76 +g1133 +tp2983 +a(g826 +g958 +tp2984 +a(g7 +Velse +p2985 +tp2986 +a(g826 +V\u000a +p2987 +tp2988 +a(g693 +V... +p2989 +tp2990 +a(g826 +V +p2991 +tp2992 +a(g7 +Vif +p2993 +tp2994 +a(g826 +g958 +tp2995 +a(g826 +Vswitch +p2996 +tp2997 +a(g826 +g958 +tp2998 +a(g400 +V== +p2999 +tp3000 +a(g826 +g958 +tp3001 +a(g17 +g1095 +tp3002 +a(g826 +g958 +tp3003 +a(g7 +Vthen +p3004 +tp3005 +a(g826 +g958 +tp3006 +a(g76 +g1133 +tp3007 +a(g76 +Vd +p3008 +tp3009 +a(g76 +g1133 +tp3010 +a(g826 +g958 +tp3011 +a(g7 +Velse +p3012 +tp3013 +a(g826 +V\u000a +p3014 +tp3015 +a(g693 +V... +p3016 +tp3017 +a(g826 +V +p3018 +tp3019 +a(g76 +g1133 +tp3020 +a(g76 +Vdefault +p3021 +tp3022 +a(g76 +g1133 +tp3023 +a(g826 +g958 +tp3024 +a(g7 +Vfi +p3025 +tp3026 +a(g826 +g958 +tp3027 +a(g7 +Vfi +p3028 +tp3029 +a(g826 +g958 +tp3030 +a(g7 +Vfi +p3031 +tp3032 +a(g826 +g958 +tp3033 +a(g7 +Vfi +p3034 +tp3035 +a(g826 +V\u000a\u000a +p3036 +tp3037 +a(g745 +V# An old-style sendpraat block +p3038 +tp3039 +a(g826 +V\u000a +p3040 +tp3041 +a(g745 +V# All these lines should be a string! +p3042 +tp3043 +a(g826 +V\u000a +p3044 +tp3045 +a(g7 +Vsendpraat +p3046 +tp3047 +a(g826 +g958 +tp3048 +a(g76 +VPraat +p3049 +tp3050 +a(g826 +V\u000a +p3051 +tp3052 +a(g693 +V... +p3053 +tp3054 +a(g112 +g1342 +tp3055 +a(g112 +Vnewline$ +p3056 +tp3057 +a(g112 +g1342 +tp3058 +a(g826 +g958 +tp3059 +a(g76 +VCreate Sound as pure tone... "tone" 1 0 0.4 44100 440 0.2 0.01 0.01 +p3060 +tp3061 +a(g826 +V\u000a +p3062 +tp3063 +a(g693 +V... +p3064 +tp3065 +a(g112 +g1342 +tp3066 +a(g112 +Vnewline$ +p3067 +tp3068 +a(g112 +g1342 +tp3069 +a(g826 +g958 +tp3070 +a(g76 +VPlay +p3071 +tp3072 +a(g826 +V\u000a +p3073 +tp3074 +a(g693 +V... +p3075 +tp3076 +a(g112 +g1342 +tp3077 +a(g112 +Vnewline$ +p3078 +tp3079 +a(g112 +g1342 +tp3080 +a(g826 +g958 +tp3081 +a(g76 +VRemove +p3082 +tp3083 +a(g826 +V\u000a +p3084 +tp3085 +a(g826 +V\u000a +p3086 +tp3087 +a(g745 +V# A new-style sendpraat block +p3088 +tp3089 +a(g826 +V\u000a +p3090 +tp3091 +a(g561 +VbeginSendPraat +p3092 +tp3093 +a(g693 +g1161 +tp3094 +a(g826 +g958 +tp3095 +a(g76 +g1133 +tp3096 +a(g76 +VPraat +p3097 +tp3098 +a(g76 +g1133 +tp3099 +a(g826 +V\u000a +p3100 +tp3101 +a(g826 +V +p3102 +tp3103 +a(g7 +g2305 +tp3104 +a(g7 +Vreate +p3105 +tp3106 +a(g7 +VSound +p3107 +tp3108 +a(g7 +Vas +p3109 +tp3110 +a(g7 +Vpure +p3111 +tp3112 +a(g7 +Vtone +p3113 +tp3114 +a(g7 +g1161 +tp3115 +a(g826 +g958 +tp3116 +a(g76 +g1133 +tp3117 +a(g76 +Vtone +p3118 +tp3119 +a(g76 +g1133 +tp3120 +a(g693 +g1312 +tp3121 +a(g826 +g958 +tp3122 +a(g17 +g1008 +tp3123 +a(g693 +g1312 +tp3124 +a(g826 +g958 +tp3125 +a(g17 +g1866 +tp3126 +a(g693 +g1312 +tp3127 +a(g826 +g958 +tp3128 +a(g17 +V0.4 +p3129 +tp3130 +a(g693 +g1312 +tp3131 +a(g826 +g958 +tp3132 +a(g17 +V44100 +p3133 +tp3134 +a(g693 +g1312 +tp3135 +a(g826 +g958 +tp3136 +a(g17 +V440 +p3137 +tp3138 +a(g693 +g1312 +tp3139 +a(g826 +g958 +tp3140 +a(g17 +V0.2 +p3141 +tp3142 +a(g693 +g1312 +tp3143 +a(g826 +g958 +tp3144 +a(g17 +V0.01 +p3145 +tp3146 +a(g693 +g1312 +tp3147 +a(g826 +g958 +tp3148 +a(g17 +V0.01 +p3149 +tp3150 +a(g826 +V\u000a +p3151 +tp3152 +a(g826 +V +p3153 +tp3154 +a(g826 +Vduration +p3155 +tp3156 +a(g826 +g958 +tp3157 +a(g400 +g1117 +tp3158 +a(g826 +g958 +tp3159 +a(g7 +g2372 +tp3160 +a(g7 +Vet +p3161 +tp3162 +a(g7 +Vtotal +p3163 +tp3164 +a(g7 +Vduration +p3165 +tp3166 +a(g826 +V\u000a +p3167 +tp3168 +a(g826 +V +p3169 +tp3170 +a(g7 +g1559 +tp3171 +a(g7 +Vemove +p3172 +tp3173 +a(g826 +V\u000a +p3174 +tp3175 +a(g561 +VendSendPraat +p3176 +tp3177 +a(g693 +g1161 +tp3178 +a(g826 +g958 +tp3179 +a(g76 +g1133 +tp3180 +a(g76 +Vduration +p3181 +tp3182 +a(g76 +g1133 +tp3183 +a(g826 +V\u000a +p3184 +tp3185 +a(g561 +VappendInfoLine +p3186 +tp3187 +a(g693 +g1161 +tp3188 +a(g826 +g958 +tp3189 +a(g76 +g1133 +tp3190 +a(g76 +VThe generated sound lasted for +p3191 +tp3192 +a(g76 +g1133 +tp3193 +a(g693 +g1312 +tp3194 +a(g826 +g958 +tp3195 +a(g826 +Vduration +p3196 +tp3197 +a(g693 +g1312 +tp3198 +a(g826 +g958 +tp3199 +a(g76 +g1133 +tp3200 +a(g76 +Vseconds +p3201 +tp3202 +a(g76 +g1133 +tp3203 +a(g826 +V\u000a\u000a +p3204 +tp3205 +a(g745 +V# Number types +p3206 +tp3207 +a(g826 +V\u000a +p3208 +tp3209 +a(g826 +g1183 +tp3210 +a(g826 +g958 +tp3211 +a(g400 +g1117 +tp3212 +a(g826 +V +p3213 +tp3214 +a(g17 +V10% +p3215 +tp3216 +a(g826 +V\u000a +p3217 +tp3218 +a(g826 +g1183 +tp3219 +a(g826 +g958 +tp3220 +a(g400 +g1117 +tp3221 +a(g826 +V +p3222 +tp3223 +a(g400 +g1077 +tp3224 +a(g17 +V10 +p3225 +tp3226 +a(g826 +V\u000a +p3227 +tp3228 +a(g826 +g1183 +tp3229 +a(g826 +g958 +tp3230 +a(g400 +g1117 +tp3231 +a(g826 +V +p3232 +tp3233 +a(g400 +g1219 +tp3234 +a(g17 +V10 +p3235 +tp3236 +a(g826 +V\u000a +p3237 +tp3238 +a(g826 +g1183 +tp3239 +a(g826 +g958 +tp3240 +a(g400 +g1117 +tp3241 +a(g826 +V +p3242 +tp3243 +a(g17 +V10.4 +p3244 +tp3245 +a(g826 +V\u000a +p3246 +tp3247 +a(g826 +g1183 +tp3248 +a(g826 +g958 +tp3249 +a(g400 +g1117 +tp3250 +a(g826 +V +p3251 +tp3252 +a(g17 +V294e12 +p3253 +tp3254 +a(g826 +V\u000a +p3255 +tp3256 +a(g826 +g1183 +tp3257 +a(g826 +g958 +tp3258 +a(g400 +g1117 +tp3259 +a(g826 +V +p3260 +tp3261 +a(g17 +V2.94e12 +p3262 +tp3263 +a(g826 +V\u000a\u000a +p3264 +tp3265 +a(g745 +V# Operators +p3266 +tp3267 +a(g826 +V\u000a +p3268 +tp3269 +a(g826 +g1183 +tp3270 +a(g826 +g958 +tp3271 +a(g400 +g1117 +tp3272 +a(g826 +g958 +tp3273 +a(g17 +g1363 +tp3274 +a(g826 +g958 +tp3275 +a(g400 +V^ +p3276 +tp3277 +a(g826 +g958 +tp3278 +a(g400 +g1077 +tp3279 +a(g17 +g1315 +tp3280 +a(g826 +V\u000a +p3281 +tp3282 +a(g826 +g1183 +tp3283 +a(g826 +g958 +tp3284 +a(g400 +g1117 +tp3285 +a(g826 +g958 +tp3286 +a(g400 +g1077 +tp3287 +a(g693 +g1308 +tp3288 +a(g17 +g1008 +tp3289 +a(g400 +g1219 +tp3290 +a(g17 +g1008 +tp3291 +a(g693 +g1317 +tp3292 +a(g400 +g3276 +tp3293 +a(g17 +g1315 +tp3294 +a(g826 +V\u000a +p3295 +tp3296 +a(g826 +g1183 +tp3297 +a(g826 +g958 +tp3298 +a(g400 +g1117 +tp3299 +a(g826 +g958 +tp3300 +a(g17 +g1095 +tp3301 +a(g400 +g3276 +tp3302 +a(g17 +g1325 +tp3303 +a(g826 +g958 +tp3304 +a(g400 +g3276 +tp3305 +a(g826 +g958 +tp3306 +a(g17 +g1363 +tp3307 +a(g826 +V\u000a +p3308 +tp3309 +a(g826 +g1183 +tp3310 +a(g826 +g958 +tp3311 +a(g400 +g1117 +tp3312 +a(g826 +g958 +tp3313 +a(g17 +V54 +p3314 +tp3315 +a(g826 +g958 +tp3316 +a(g414 +Vdiv +p3317 +tp3318 +a(g826 +g958 +tp3319 +a(g17 +V5.1 +p3320 +tp3321 +a(g826 +V\u000a +p3322 +tp3323 +a(g826 +g1183 +tp3324 +a(g826 +g958 +tp3325 +a(g400 +g1117 +tp3326 +a(g826 +g958 +tp3327 +a(g17 +V54.3 +p3328 +tp3329 +a(g826 +g958 +tp3330 +a(g414 +Vmod +p3331 +tp3332 +a(g826 +g958 +tp3333 +a(g17 +g1310 +tp3334 +a(g826 +V\u000a +p3335 +tp3336 +a(g826 +g1183 +tp3337 +a(g826 +g958 +tp3338 +a(g400 +g1117 +tp3339 +a(g826 +g958 +tp3340 +a(g17 +g1325 +tp3341 +a(g826 +g958 +tp3342 +a(g400 +g1966 +tp3343 +a(g400 +g1966 +tp3344 +a(g826 +g958 +tp3345 +a(g17 +V8 +p3346 +tp3347 +a(g826 +g958 +tp3348 +a(g400 +g1077 +tp3349 +a(g826 +g958 +tp3350 +a(g17 +g1335 +tp3351 +a(g826 +V\u000a +p3352 +tp3353 +a(g826 +g1183 +tp3354 +a(g826 +g958 +tp3355 +a(g400 +g1117 +tp3356 +a(g826 +g958 +tp3357 +a(g17 +g1325 +tp3358 +a(g826 +g958 +tp3359 +a(g400 +V/ +p3360 +tp3361 +a(g826 +g958 +tp3362 +a(g693 +g1308 +tp3363 +a(g17 +g3346 +tp3364 +a(g826 +g958 +tp3365 +a(g400 +g1219 +tp3366 +a(g826 +g958 +tp3367 +a(g17 +g1335 +tp3368 +a(g693 +g1317 +tp3369 +a(g826 +V\u000a +p3370 +tp3371 +a(g826 +g1183 +tp3372 +a(g826 +g958 +tp3373 +a(g400 +g1117 +tp3374 +a(g826 +g958 +tp3375 +a(g693 +g1308 +tp3376 +a(g17 +g1335 +tp3377 +a(g826 +g958 +tp3378 +a(g400 +g1966 +tp3379 +a(g826 +g958 +tp3380 +a(g693 +g1308 +tp3381 +a(g17 +g1325 +tp3382 +a(g826 +g958 +tp3383 +a(g400 +g1219 +tp3384 +a(g826 +g958 +tp3385 +a(g17 +g1310 +tp3386 +a(g693 +g1317 +tp3387 +a(g693 +g1317 +tp3388 +a(g826 +g958 +tp3389 +a(g400 +g3360 +tp3390 +a(g826 +g958 +tp3391 +a(g693 +g1308 +tp3392 +a(g693 +g1308 +tp3393 +a(g17 +g1363 +tp3394 +a(g826 +g958 +tp3395 +a(g400 +g1219 +tp3396 +a(g826 +g958 +tp3397 +a(g17 +g1325 +tp3398 +a(g693 +g1317 +tp3399 +a(g826 +g958 +tp3400 +a(g400 +g1077 +tp3401 +a(g826 +g958 +tp3402 +a(g17 +g1008 +tp3403 +a(g693 +g1317 +tp3404 +a(g826 +V\u000a\u000a +p3405 +tp3406 +a(g745 +V# Logical operators +p3407 +tp3408 +a(g826 +V\u000a +p3409 +tp3410 +a(g7 +Vassert +p3411 +tp3412 +a(g826 +g958 +tp3413 +a(g693 +g1308 +tp3414 +a(g826 +g1183 +tp3415 +a(g826 +g958 +tp3416 +a(g400 +g1117 +tp3417 +a(g826 +V +p3418 +tp3419 +a(g826 +g1367 +tp3420 +a(g693 +g1317 +tp3421 +a(g826 +g958 +tp3422 +a(g414 +Vand +p3423 +tp3424 +a(g826 +g958 +tp3425 +a(g826 +g2981 +tp3426 +a(g826 +V\u000a +p3427 +tp3428 +a(g7 +Vassert +p3429 +tp3430 +a(g826 +V +p3431 +tp3432 +a(g826 +g1183 +tp3433 +a(g826 +g958 +tp3434 +a(g400 +V== +p3435 +tp3436 +a(g826 +g958 +tp3437 +a(g693 +g1308 +tp3438 +a(g826 +g1367 +tp3439 +a(g826 +V +p3440 +tp3441 +a(g414 +Vor +p3442 +tp3443 +a(g826 +V +p3444 +tp3445 +a(g826 +g2981 +tp3446 +a(g693 +g1317 +tp3447 +a(g826 +V\u000a +p3448 +tp3449 +a(g7 +Vassert +p3450 +tp3451 +a(g826 +V +p3452 +tp3453 +a(g826 +g1183 +tp3454 +a(g826 +g958 +tp3455 +a(g400 +V<= +p3456 +tp3457 +a(g826 +V +p3458 +tp3459 +a(g826 +g1367 +tp3460 +a(g826 +V +p3461 +tp3462 +a(g414 +Vnot +p3463 +tp3464 +a(g826 +g958 +tp3465 +a(g826 +g2981 +tp3466 +a(g826 +V\u000a +p3467 +tp3468 +a(g7 +Vassert +p3469 +tp3470 +a(g826 +V +p3471 +tp3472 +a(g826 +g1183 +tp3473 +a(g826 +g958 +tp3474 +a(g400 +V>= +p3475 +tp3476 +a(g826 +V +p3477 +tp3478 +a(g826 +g1367 +tp3479 +a(g826 +V +p3480 +tp3481 +a(g400 +g1440 +tp3482 +a(g826 +g2981 +tp3483 +a(g826 +V\u000a +p3484 +tp3485 +a(g7 +Vassert +p3486 +tp3487 +a(g826 +V +p3488 +tp3489 +a(g826 +g1183 +tp3490 +a(g826 +g958 +tp3491 +a(g400 +V!= +p3492 +tp3493 +a(g826 +V +p3494 +tp3495 +a(g826 +g1367 +tp3496 +a(g826 +V +p3497 +tp3498 +a(g400 +V& +p3499 +tp3500 +a(g826 +V +p3501 +tp3502 +a(g826 +g2981 +tp3503 +a(g826 +V\u000a +p3504 +tp3505 +a(g7 +Vassert +p3506 +tp3507 +a(g826 +V +p3508 +tp3509 +a(g826 +g1183 +tp3510 +a(g826 +g958 +tp3511 +a(g400 +V!= +p3512 +tp3513 +a(g826 +V +p3514 +tp3515 +a(g826 +g1367 +tp3516 +a(g826 +V +p3517 +tp3518 +a(g400 +V&& +p3519 +tp3520 +a(g826 +V +p3521 +tp3522 +a(g826 +g2981 +tp3523 +a(g826 +V\u000a +p3524 +tp3525 +a(g7 +Vassert +p3526 +tp3527 +a(g826 +V +p3528 +tp3529 +a(g826 +g1183 +tp3530 +a(g826 +g958 +tp3531 +a(g400 +g2004 +tp3532 +a(g400 +g2115 +tp3533 +a(g826 +V +p3534 +tp3535 +a(g826 +g1367 +tp3536 +a(g826 +V +p3537 +tp3538 +a(g400 +V|| +p3539 +tp3540 +a(g826 +V +p3541 +tp3542 +a(g826 +g2981 +tp3543 +a(g826 +V\u000a +p3544 +tp3545 +a(g7 +Vassert +p3546 +tp3547 +a(g826 +V +p3548 +tp3549 +a(g826 +g1183 +tp3550 +a(g826 +g958 +tp3551 +a(g400 +g2004 +tp3552 +a(g826 +V +p3553 +tp3554 +a(g826 +g1367 +tp3555 +a(g826 +V +p3556 +tp3557 +a(g400 +V| +p3558 +tp3559 +a(g826 +V +p3560 +tp3561 +a(g826 +g2981 +tp3562 +a(g826 +V\u000a +p3563 +tp3564 +a(g7 +Vassert +p3565 +tp3566 +a(g826 +V +p3567 +tp3568 +a(g826 +g1183 +tp3569 +a(g826 +g958 +tp3570 +a(g400 +g2115 +tp3571 +a(g826 +V +p3572 +tp3573 +a(g826 +g1367 +tp3574 +a(g826 +V\u000a\u000a +p3575 +tp3576 +a(g7 +Vassert +p3577 +tp3578 +a(g826 +g958 +tp3579 +a(g693 +g1308 +tp3580 +a(g826 +g1183 +tp3581 +a(g693 +g1317 +tp3582 +a(g414 +Vor +p3583 +tp3584 +a(g826 +g958 +tp3585 +a(g693 +g1308 +tp3586 +a(g826 +g1367 +tp3587 +a(g693 +g1317 +tp3588 +a(g826 +V\u000a +p3589 +tp3590 +a(g7 +Vassert +p3591 +tp3592 +a(g826 +g958 +tp3593 +a(g693 +g1308 +tp3594 +a(g826 +g1183 +tp3595 +a(g693 +g1317 +tp3596 +a(g826 +g958 +tp3597 +a(g414 +Vor +p3598 +tp3599 +a(g693 +g1308 +tp3600 +a(g826 +g1367 +tp3601 +a(g693 +g1317 +tp3602 +a(g826 +V\u000a +p3603 +tp3604 +a(g7 +Vassert +p3605 +tp3606 +a(g826 +g958 +tp3607 +a(g693 +g1308 +tp3608 +a(g826 +g1183 +tp3609 +a(g693 +g1317 +tp3610 +a(g414 +Vand +p3611 +tp3612 +a(g693 +g1308 +tp3613 +a(g826 +g1367 +tp3614 +a(g693 +g1317 +tp3615 +a(g826 +V\u000a\u000a +p3616 +tp3617 +a(g7 +Vassert +p3618 +tp3619 +a(g826 +g958 +tp3620 +a(g76 +g1133 +tp3621 +a(g76 +Vhello +p3622 +tp3623 +a(g76 +g1133 +tp3624 +a(g826 +g958 +tp3625 +a(g400 +g1117 +tp3626 +a(g826 +V +p3627 +tp3628 +a(g76 +g1133 +tp3629 +a(g76 +Vhe +p3630 +tp3631 +a(g76 +g1133 +tp3632 +a(g826 +g958 +tp3633 +a(g400 +g1219 +tp3634 +a(g826 +g958 +tp3635 +a(g76 +g1133 +tp3636 +a(g76 +Vllo +p3637 +tp3638 +a(g76 +g1133 +tp3639 +a(g826 +V\u000a +p3640 +tp3641 +a(g7 +Vassert +p3642 +tp3643 +a(g826 +g958 +tp3644 +a(g76 +g1133 +tp3645 +a(g76 +Vhello +p3646 +tp3647 +a(g76 +g1133 +tp3648 +a(g826 +g958 +tp3649 +a(g400 +V== +p3650 +tp3651 +a(g826 +g958 +tp3652 +a(g76 +g1133 +tp3653 +a(g76 +Vhello world +p3654 +tp3655 +a(g76 +g1133 +tp3656 +a(g826 +g958 +tp3657 +a(g400 +g1077 +tp3658 +a(g826 +g958 +tp3659 +a(g76 +g1133 +tp3660 +a(g76 +V world +p3661 +tp3662 +a(g76 +g1133 +tp3663 +a(g826 +V\u000a\u000a +p3664 +tp3665 +a(g7 +Vstopwatch +p3666 +tp3667 +a(g826 +V\u000a +p3668 +tp3669 +a(g826 +Vtime +p3670 +tp3671 +a(g826 +g958 +tp3672 +a(g400 +g1117 +tp3673 +a(g826 +g958 +tp3674 +a(g7 +Vstopwatch +p3675 +tp3676 +a(g826 +V\u000a +p3677 +tp3678 +a(g7 +Vclearinfo +p3679 +tp3680 +a(g826 +V\u000a +p3681 +tp3682 +a(g7 +Vecho +p3683 +tp3684 +a(g826 +g958 +tp3685 +a(g76 +VThis script took +p3686 +tp3687 +a(g826 +V\u000a +p3688 +tp3689 +a(g7 +Vprint +p3690 +tp3691 +a(g826 +g958 +tp3692 +a(g112 +g1342 +tp3693 +a(g112 +Vtime +p3694 +tp3695 +a(g112 +g1342 +tp3696 +a(g826 +g958 +tp3697 +a(g76 +Vseconds to +p3698 +tp3699 +a(g826 +V\u000a +p3700 +tp3701 +a(g7 +Vprintline +p3702 +tp3703 +a(g826 +g958 +tp3704 +a(g76 +Vexecute. +p3705 +tp3706 +a(g826 +V\u000a +p3707 +tp3708 +a(g826 +V\u000a +p3709 +tp3710 +a(g745 +V# Old-style procedure declaration +p3711 +tp3712 +a(g826 +V\u000a +p3713 +tp3714 +a(g7 +Vprocedure +p3715 +tp3716 +a(g826 +g958 +tp3717 +a(g561 +VoldStyle +p3718 +tp3719 +a(g826 +V .str1$ .num .str2$ +p3720 +tp3721 +a(g826 +V\u000a +p3722 +tp3723 +a(g826 +V.local +p3724 +tp3725 +a(g826 +g958 +tp3726 +a(g400 +g1117 +tp3727 +a(g826 +g958 +tp3728 +a(g17 +g1008 +tp3729 +a(g826 +V\u000a +p3730 +tp3731 +a(g7 +Vendproc +p3732 +tp3733 +a(g826 +V\u000a\u000a +p3734 +tp3735 +a(g745 +V# New-style procedure declaration with parentheses +p3736 +tp3737 +a(g826 +V\u000a +p3738 +tp3739 +a(g7 +Vprocedure +p3740 +tp3741 +a(g826 +g958 +tp3742 +a(g561 +VnewStyle +p3743 +tp3744 +a(g826 +V ( +p3745 +tp3746 +a(g826 +V.str1$ +p3747 +tp3748 +a(g693 +g1312 +tp3749 +a(g826 +g958 +tp3750 +a(g826 +V.num +p3751 +tp3752 +a(g693 +g1312 +tp3753 +a(g826 +g958 +tp3754 +a(g826 +V.str2$ +p3755 +tp3756 +a(g693 +g1317 +tp3757 +a(g826 +V\u000a +p3758 +tp3759 +a(g745 +V# Command with "local" variable +p3760 +tp3761 +a(g826 +V\u000a +p3762 +tp3763 +a(g826 +V.local +p3764 +tp3765 +a(g826 +g958 +tp3766 +a(g400 +g1117 +tp3767 +a(g826 +g958 +tp3768 +a(g7 +g2372 +tp3769 +a(g7 +Vet +p3770 +tp3771 +a(g7 +Vtotal +p3772 +tp3773 +a(g7 +Vduration +p3774 +tp3775 +a(g826 +V\u000a +p3776 +tp3777 +a(g826 +V +p3778 +tp3779 +a(g826 +V.local +p3780 +tp3781 +a(g826 +g958 +tp3782 +a(g400 +g1117 +tp3783 +a(g826 +g958 +tp3784 +a(g7 +g2372 +tp3785 +a(g7 +Vet +p3786 +tp3787 +a(g112 +g1342 +tp3788 +a(g112 +Vsome +p3789 +tp3790 +a(g112 +g1342 +tp3791 +a(g7 +V duration +p3792 +tp3793 +a(g826 +V\u000a +p3794 +tp3795 +a(g826 +V +p3796 +tp3797 +a(g826 +V.local +p3798 +tp3799 +a(g826 +g958 +tp3800 +a(g400 +g1117 +tp3801 +a(g826 +g958 +tp3802 +a(g7 +g2372 +tp3803 +a(g7 +Vet +p3804 +tp3805 +a(g112 +g1342 +tp3806 +a(g112 +Vsome[1] +p3807 +tp3808 +a(g112 +g1342 +tp3809 +a(g7 +V value +p3810 +tp3811 +a(g7 +V... +p3812 +tp3813 +a(g826 +g958 +tp3814 +a(g826 +Vhello +p3815 +tp3816 +a(g826 +g958 +tp3817 +a(g17 +V10 +p3818 +tp3819 +a(g826 +g958 +tp3820 +a(g826 +Vp +p3821 +tp3822 +a(g693 +g1323 +tp3823 +a(g826 +g1658 +tp3824 +a(g826 +g1327 +tp3825 +a(g826 +V\u000a +p3826 +tp3827 +a(g826 +V +p3828 +tp3829 +a(g826 +V.local +p3830 +tp3831 +a(g826 +g958 +tp3832 +a(g400 +g1117 +tp3833 +a(g826 +g958 +tp3834 +a(g7 +g2372 +tp3835 +a(g7 +Vet +p3836 +tp3837 +a(g112 +g1342 +tp3838 +a(g112 +Vsome[1,3] +p3839 +tp3840 +a(g112 +g1342 +tp3841 +a(g7 +V value +p3842 +tp3843 +a(g7 +g1161 +tp3844 +a(g826 +g958 +tp3845 +a(g76 +g1133 +tp3846 +a(g76 +Vhello +p3847 +tp3848 +a(g76 +g1133 +tp3849 +a(g693 +g1312 +tp3850 +a(g826 +g958 +tp3851 +a(g17 +V10 +p3852 +tp3853 +a(g693 +g1312 +tp3854 +a(g826 +g958 +tp3855 +a(g112 +g1342 +tp3856 +a(g112 +Vp[i] +p3857 +tp3858 +a(g112 +g1342 +tp3859 +a(g826 +V\u000a +p3860 +tp3861 +a(g826 +V +p3862 +tp3863 +a(g826 +V.local +p3864 +tp3865 +a(g826 +g958 +tp3866 +a(g400 +g1117 +tp3867 +a(g826 +g958 +tp3868 +a(g7 +g2372 +tp3869 +a(g7 +Vet +p3870 +tp3871 +a(g112 +g1342 +tp3872 +a(g112 +Vsome$ +p3873 +tp3874 +a(g112 +g1342 +tp3875 +a(g7 +V duration +p3876 +tp3877 +a(g826 +V\u000a +p3878 +tp3879 +a(g826 +V +p3880 +tp3881 +a(g826 +V.local +p3882 +tp3883 +a(g826 +g958 +tp3884 +a(g400 +g1117 +tp3885 +a(g826 +g958 +tp3886 +a(g7 +g2372 +tp3887 +a(g7 +Vet +p3888 +tp3889 +a(g112 +g1342 +tp3890 +a(g112 +Vsome$[1] +p3891 +tp3892 +a(g112 +g1342 +tp3893 +a(g7 +V duration +p3894 +tp3895 +a(g826 +V\u000a +p3896 +tp3897 +a(g7 +Vendproc +p3898 +tp3899 +a(g826 +V\u000a\u000a +p3900 +tp3901 +a(g745 +V# New-style procedure declaration with colon +p3902 +tp3903 +a(g826 +V\u000a +p3904 +tp3905 +a(g7 +Vprocedure +p3906 +tp3907 +a(g826 +g958 +tp3908 +a(g561 +V_new_style +p3909 +tp3910 +a(g826 +g1161 +tp3911 +a(g826 +g958 +tp3912 +a(g826 +V.str1$ +p3913 +tp3914 +a(g693 +g1312 +tp3915 +a(g826 +g958 +tp3916 +a(g826 +V.num +p3917 +tp3918 +a(g693 +g1312 +tp3919 +a(g826 +g958 +tp3920 +a(g826 +V.str2$ +p3921 +tp3922 +a(g826 +V\u000a +p3923 +tp3924 +a(g745 +V# Command with "local" variable +p3925 +tp3926 +a(g826 +V\u000a +p3927 +tp3928 +a(g745 +V# Initial underscores in variables not allowed (unless interpolated) +p3929 +tp3930 +a(g826 +V\u000a +p3931 +tp3932 +a(g826 +V_new_style.local +p3933 +tp3934 +a(g826 +g958 +tp3935 +a(g400 +g1117 +tp3936 +a(g826 +g958 +tp3937 +a(g7 +g2372 +tp3938 +a(g7 +Vet +p3939 +tp3940 +a(g7 +Vtotal +p3941 +tp3942 +a(g7 +Vduration +p3943 +tp3944 +a(g826 +V\u000a +p3945 +tp3946 +a(g7 +Vendproc +p3947 +tp3948 +a(g826 +V\u000a\u000a +p3949 +tp3950 +a(g7 +Vasserterror +p3951 +tp3952 +a(g826 +g958 +tp3953 +a(g76 +VUnknown symbol: +p3954 +tp3955 +a(g112 +g1342 +tp3956 +a(g112 +Vnewline$ +p3957 +tp3958 +a(g112 +g1342 +tp3959 +a(g76 +V« _ +p3960 +tp3961 +a(g826 +V\u000a +p3962 +tp3963 +a(g7 +Vassert +p3964 +tp3965 +a(g826 +g958 +tp3966 +a(g112 +g1342 +tp3967 +a(g112 +V_new_style.local +p3968 +tp3969 +a(g112 +g1342 +tp3970 +a(g826 +V\u000a\u000a +p3971 +tp3972 +a(g561 +g1782 +tp3973 +a(g561 +Vproc +p3974 +tp3975 +a(g826 +g1161 +tp3976 +a(g826 +g958 +tp3977 +a(g826 +g1183 +tp3978 +a(g693 +g1312 +tp3979 +a(g826 +g958 +tp3980 +a(g561 +Vselected +p3981 +tp3982 +a(g693 +g1308 +tp3983 +a(g76 +g1133 +tp3984 +a(g76 +Vstring +p3985 +tp3986 +a(g76 +g1133 +tp3987 +a(g826 +g1317 +tp3988 +a(g693 +g1312 +tp3989 +a(g826 +g958 +tp3990 +a(g826 +g1367 +tp3991 +a(g826 +V\u000a +p3992 +tp3993 +a(g745 +V# Comment +p3994 +tp3995 +a(g826 +V\u000a\u000a +p3996 +tp3997 +a(g7 +Vfor +p3998 +tp3999 +a(g826 +g958 +tp4000 +a(g826 +g1658 +tp4001 +a(g826 +g958 +tp4002 +a(g7 +Vto +p4003 +tp4004 +a(g826 +g958 +tp4005 +a(g826 +VsaveSelection.n +p4006 +tp4007 +a(g826 +V\u000a +p4008 +tp4009 +a(g561 +VselectObject +p4010 +tp4011 +a(g693 +g1161 +tp4012 +a(g826 +g958 +tp4013 +a(g826 +VsaveSelection.id +p4014 +tp4015 +a(g693 +g1323 +tp4016 +a(g826 +g1658 +tp4017 +a(g826 +g1327 +tp4018 +a(g826 +V\u000a +p4019 +tp4020 +a(g826 +V +p4021 +tp4022 +a(g561 +VappendInfoLine +p4023 +tp4024 +a(g693 +g1161 +tp4025 +a(g826 +g958 +tp4026 +a(g561 +Vselected$ +p4027 +tp4028 +a(g693 +g1308 +tp4029 +a(g826 +g1317 +tp4030 +a(g826 +V\u000a +p4031 +tp4032 +a(g7 +Vendfor +p4033 +tp4034 +a(g826 +V\u000a\u000a +p4035 +tp4036 +a(g561 +g1782 +tp4037 +a(g561 +Vok +p4038 +tp4039 +a(g826 +g1308 +tp4040 +a(g7 +Vif +p4041 +tp4042 +a(g826 +g958 +tp4043 +a(g561 +Vselected$ +p4044 +tp4045 +a(g693 +g1308 +tp4046 +a(g76 +g1133 +tp4047 +a(g76 +VSound +p4048 +tp4049 +a(g76 +g1133 +tp4050 +a(g826 +g1317 +tp4051 +a(g826 +g958 +tp4052 +a(g400 +g1117 +tp4053 +a(g826 +g958 +tp4054 +a(g76 +g1133 +tp4055 +a(g76 +Vtone +p4056 +tp4057 +a(g76 +g1133 +tp4058 +a(g826 +g958 +tp4059 +a(g7 +Vthen +p4060 +tp4061 +a(g826 +g958 +tp4062 +a(g17 +g1008 +tp4063 +a(g826 +g958 +tp4064 +a(g7 +Velse +p4065 +tp4066 +a(g826 +g958 +tp4067 +a(g17 +g1866 +tp4068 +a(g826 +g958 +tp4069 +a(g7 +Vfi +p4070 +tp4071 +a(g693 +g1312 +tp4072 +a(g826 +V\u000a +p4073 +tp4074 +a(g693 +V... +p4075 +tp4076 +a(g826 +g958 +tp4077 +a(g76 +g1133 +tp4078 +a(g76 +Vselected sound is tone +p4079 +tp4080 +a(g76 +g1133 +tp4081 +a(g693 +g1317 +tp4082 +a(g826 +V\u000a\u000a +p4083 +tp4084 +a(g561 +g1782 +tp4085 +a(g561 +Vok_formula +p4086 +tp4087 +a(g826 +g1308 +tp4088 +a(g76 +g1133 +tp4089 +a(g76 +Vselected$( +p4090 +tp4091 +a(g76 +g1133 +tp4092 +a(g76 +g1133 +tp4093 +a(g76 +VSound +p4094 +tp4095 +a(g76 +g1133 +tp4096 +a(g76 +g1133 +tp4097 +a(g76 +V) = +p4098 +tp4099 +a(g76 +g1133 +tp4100 +a(g76 +g1133 +tp4101 +a(g76 +Vtone +p4102 +tp4103 +a(g76 +g1133 +tp4104 +a(g76 +g1133 +tp4105 +a(g76 +g1133 +tp4106 +a(g693 +g1312 +tp4107 +a(g826 +g958 +tp4108 +a(g76 +g1133 +tp4109 +a(g76 +Vselected sound is tone +p4110 +tp4111 +a(g76 +g1133 +tp4112 +a(g693 +g1317 +tp4113 +a(g826 +V\u000a +p4114 +tp4115 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.prg b/tests/examplefiles/output/example.prg new file mode 100644 index 0000000..5a842c4 --- /dev/null +++ b/tests/examplefiles/output/example.prg @@ -0,0 +1,7764 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g7 +V& +p958 +tp959 +a(g7 +g958 +tp960 +a(g7 +V +p961 +tp962 +a(g685 +VThis +p963 +tp964 +a(g7 +g961 +tp965 +a(g7 +Vi +p966 +tp967 +a(g7 +Vs +p968 +tp969 +a(g7 +g961 +tp970 +a(g7 +Va +p971 +tp972 +a(g7 +g961 +tp973 +a(g7 +Vc +p974 +tp975 +a(g7 +Vo +p976 +tp977 +a(g7 +Vn +p978 +tp979 +a(g7 +g974 +tp980 +a(g7 +g971 +tp981 +a(g7 +Vt +p982 +tp983 +a(g7 +Ve +p984 +tp985 +a(g7 +g978 +tp986 +a(g7 +g971 +tp987 +a(g7 +g982 +tp988 +a(g7 +g966 +tp989 +a(g7 +g976 +tp990 +a(g7 +g978 +tp991 +a(g7 +g961 +tp992 +a(g7 +g976 +tp993 +a(g7 +Vf +p994 +tp995 +a(g7 +g961 +tp996 +a(g7 +g971 +tp997 +a(g7 +Vl +p998 +tp999 +a(g7 +g998 +tp1000 +a(g7 +g961 +tp1001 +a(g7 +VV +p1002 +tp1003 +a(g7 +VF +p1004 +tp1005 +a(g7 +VP +p1006 +tp1007 +a(g7 +g961 +tp1008 +a(g7 +g984 +tp1009 +a(g7 +Vx +p1010 +tp1011 +a(g7 +g971 +tp1012 +a(g7 +Vm +p1013 +tp1014 +a(g7 +Vp +p1015 +tp1016 +a(g7 +g998 +tp1017 +a(g7 +g984 +tp1018 +a(g7 +g968 +tp1019 +a(g7 +g961 +tp1020 +a(g7 +g976 +tp1021 +a(g7 +g978 +tp1022 +a(g7 +g961 +tp1023 +a(g7 +VW +p1024 +tp1025 +a(g7 +g966 +tp1026 +a(g7 +Vk +p1027 +tp1028 +a(g7 +g966 +tp1029 +a(g7 +g1015 +tp1030 +a(g7 +g984 +tp1031 +a(g7 +Vd +p1032 +tp1033 +a(g7 +g966 +tp1034 +a(g7 +g971 +tp1035 +a(g7 +V. +p1036 +tp1037 +a(g7 +V\u000a +p1038 +tp1039 +a(g7 +g958 +tp1040 +a(g7 +g958 +tp1041 +a(g7 +g961 +tp1042 +a(g7 +VC +p1043 +tp1044 +a(g7 +g976 +tp1045 +a(g7 +g1015 +tp1046 +a(g7 +Vy +p1047 +tp1048 +a(g7 +Vr +p1049 +tp1050 +a(g7 +g966 +tp1051 +a(g7 +Vg +p1052 +tp1053 +a(g7 +Vh +p1054 +tp1055 +a(g7 +g982 +tp1056 +a(g7 +g961 +tp1057 +a(g7 +V2 +p1058 +tp1059 +a(g7 +V0 +p1060 +tp1061 +a(g7 +V1 +p1062 +tp1063 +a(g7 +V3 +p1064 +tp1065 +a(g7 +g961 +tp1066 +a(g7 +g1024 +tp1067 +a(g7 +g966 +tp1068 +a(g7 +g1027 +tp1069 +a(g7 +g966 +tp1070 +a(g7 +g1013 +tp1071 +a(g7 +g984 +tp1072 +a(g7 +g1032 +tp1073 +a(g7 +g966 +tp1074 +a(g7 +g971 +tp1075 +a(g7 +V, +p1076 +tp1077 +a(g7 +g961 +tp1078 +a(g7 +Vu +p1079 +tp1080 +a(g7 +g978 +tp1081 +a(g7 +g1032 +tp1082 +a(g7 +g984 +tp1083 +a(g7 +g1049 +tp1084 +a(g7 +g961 +tp1085 +a(g7 +g982 +tp1086 +a(g7 +g1054 +tp1087 +a(g7 +g984 +tp1088 +a(g7 +g961 +tp1089 +a(g7 +VG +p1090 +tp1091 +a(g7 +g1004 +tp1092 +a(g7 +VD +p1093 +tp1094 +a(g7 +VL +p1095 +tp1096 +a(g7 +g1036 +tp1097 +a(g7 +V\u000a\u000a +p1098 +tp1099 +a(g891 +VFOR +p1100 +tp1101 +a(g7 +g961 +tp1102 +a(g7 +g966 +tp1103 +a(g7 +g961 +tp1104 +a(g7 +V= +p1105 +tp1106 +a(g7 +g961 +tp1107 +a(g7 +g1062 +tp1108 +a(g7 +g961 +tp1109 +a(g7 +g982 +tp1110 +a(g7 +g976 +tp1111 +a(g7 +g961 +tp1112 +a(g7 +g1062 +tp1113 +a(g7 +g1060 +tp1114 +a(g7 +V\u000a +p1115 +tp1116 +a(g444 +g1010 +tp1117 +a(g7 +g961 +tp1118 +a(g7 +g1105 +tp1119 +a(g7 +g961 +tp1120 +a(g7 +g1010 +tp1121 +a(g7 +g961 +tp1122 +a(g7 +V+ +p1123 +tp1124 +a(g7 +g961 +tp1125 +a(g7 +V6 +p1126 +tp1127 +a(g7 +g1036 +tp1128 +a(g7 +V5 +p1129 +tp1130 +a(g7 +V\u000a +p1131 +tp1132 +a(g891 +VENDFOR +p1133 +tp1134 +a(g7 +V\u000a \u000a +p1135 +tp1136 +a(g891 +VIF +p1137 +tp1138 +a(g7 +g961 +tp1139 +a(g7 +g966 +tp1140 +a(g7 +g961 +tp1141 +a(g7 +g1105 +tp1142 +a(g7 +g961 +tp1143 +a(g7 +g1058 +tp1144 +a(g7 +g1129 +tp1145 +a(g7 +V\u000a +p1146 +tp1147 +a(g444 +g966 +tp1148 +a(g7 +g961 +tp1149 +a(g7 +g1105 +tp1150 +a(g7 +g961 +tp1151 +a(g7 +g966 +tp1152 +a(g7 +g961 +tp1153 +a(g7 +g1123 +tp1154 +a(g7 +g961 +tp1155 +a(g7 +g1062 +tp1156 +a(g7 +V\u000a +p1157 +tp1158 +a(g891 +VELSE +p1159 +tp1160 +a(g7 +V\u000a +p1161 +tp1162 +a(g444 +g966 +tp1163 +a(g7 +g961 +tp1164 +a(g7 +g1105 +tp1165 +a(g7 +g961 +tp1166 +a(g7 +g966 +tp1167 +a(g7 +g961 +tp1168 +a(g7 +g1123 +tp1169 +a(g7 +g961 +tp1170 +a(g7 +g1064 +tp1171 +a(g7 +V\u000a +p1172 +tp1173 +a(g891 +VENDIF +p1174 +tp1175 +a(g7 +V\u000a \u000a +p1176 +tp1177 +a(g444 +g1010 +tp1178 +a(g7 +g961 +tp1179 +a(g7 +g1105 +tp1180 +a(g7 +g961 +tp1181 +a(g7 +g1062 +tp1182 +a(g7 +V\u000a +p1183 +tp1184 +a(g891 +VDO +p1185 +tp1186 +a(g7 +g961 +tp1187 +a(g7 +g1024 +tp1188 +a(g7 +VH +p1189 +tp1190 +a(g7 +VI +p1191 +tp1192 +a(g7 +g1095 +tp1193 +a(g7 +VE +p1194 +tp1195 +a(g7 +g961 +tp1196 +a(g7 +g1010 +tp1197 +a(g7 +g961 +tp1198 +a(g7 +V< +p1199 +tp1200 +a(g7 +g961 +tp1201 +a(g7 +g1129 +tp1202 +a(g7 +g1060 +tp1203 +a(g7 +V\u000a +p1204 +tp1205 +a(g444 +g1010 +tp1206 +a(g7 +g961 +tp1207 +a(g7 +g1105 +tp1208 +a(g7 +V +p1209 +tp1210 +a(g7 +g1010 +tp1211 +a(g7 +g961 +tp1212 +a(g7 +g1123 +tp1213 +a(g7 +g961 +tp1214 +a(g7 +g1062 +tp1215 +a(g7 +V\u000a +p1216 +tp1217 +a(g891 +VENDDO +p1218 +tp1219 +a(g7 +V\u000a \u000a +p1220 +tp1221 +a(g444 +g1010 +tp1222 +a(g7 +g961 +tp1223 +a(g7 +g1105 +tp1224 +a(g7 +g961 +tp1225 +a(g7 +g1062 +tp1226 +a(g7 +V\u000a +p1227 +tp1228 +a(g891 +VDO +p1229 +tp1230 +a(g7 +g961 +tp1231 +a(g7 +g1024 +tp1232 +a(g7 +g1189 +tp1233 +a(g7 +g1191 +tp1234 +a(g7 +g1095 +tp1235 +a(g7 +g1194 +tp1236 +a(g7 +g961 +tp1237 +a(g430 +V.T. +p1238 +tp1239 +a(g7 +V\u000a +p1240 +tp1241 +a(g444 +g1010 +tp1242 +a(g7 +g961 +tp1243 +a(g7 +g1105 +tp1244 +a(g7 +g961 +tp1245 +a(g7 +g1010 +tp1246 +a(g7 +g961 +tp1247 +a(g7 +g1123 +tp1248 +a(g7 +g961 +tp1249 +a(g7 +g1062 +tp1250 +a(g7 +V\u000a +p1251 +tp1252 +a(g891 +VIF +p1253 +tp1254 +a(g7 +g961 +tp1255 +a(g7 +g1010 +tp1256 +a(g7 +g961 +tp1257 +a(g7 +g1199 +tp1258 +a(g7 +g961 +tp1259 +a(g7 +g1129 +tp1260 +a(g7 +g1060 +tp1261 +a(g7 +V\u000a +p1262 +tp1263 +a(g891 +VLOOP +p1264 +tp1265 +a(g7 +V\u000a +p1266 +tp1267 +a(g891 +VELSE +p1268 +tp1269 +a(g7 +V\u000a +p1270 +tp1271 +a(g891 +VEXIT +p1272 +tp1273 +a(g7 +V\u000a +p1274 +tp1275 +a(g891 +VENDIF +p1276 +tp1277 +a(g7 +V\u000a +p1278 +tp1279 +a(g891 +VENDDO +p1280 +tp1281 +a(g7 +V\u000a \u000a +p1282 +tp1283 +a(g444 +VnMonth +p1284 +tp1285 +a(g7 +g961 +tp1286 +a(g7 +g1105 +tp1287 +a(g7 +g961 +tp1288 +a(g577 +VMONTH +p1289 +tp1290 +a(g7 +V( +p1291 +tp1292 +a(g577 +VDATE +p1293 +tp1294 +a(g7 +g1291 +tp1295 +a(g7 +V) +p1296 +tp1297 +a(g7 +g1296 +tp1298 +a(g7 +V\u000a +p1299 +tp1300 +a(g891 +VDO +p1301 +tp1302 +a(g7 +g961 +tp1303 +a(g7 +g1043 +tp1304 +a(g7 +VA +p1305 +tp1306 +a(g7 +VS +p1307 +tp1308 +a(g7 +g1194 +tp1309 +a(g7 +V\u000a +p1310 +tp1311 +a(g891 +VCASE +p1312 +tp1313 +a(g7 +g961 +tp1314 +a(g7 +g978 +tp1315 +a(g7 +VM +p1316 +tp1317 +a(g7 +g976 +tp1318 +a(g7 +g978 +tp1319 +a(g7 +g982 +tp1320 +a(g7 +g1054 +tp1321 +a(g7 +g961 +tp1322 +a(g7 +g1199 +tp1323 +a(g7 +g1105 +tp1324 +a(g7 +g961 +tp1325 +a(g7 +g1064 +tp1326 +a(g7 +V\u000a +p1327 +tp1328 +a(g444 +VMESSAGEBOX +p1329 +tp1330 +a(g7 +g1291 +tp1331 +a(g92 +V"Q1" +p1332 +tp1333 +a(g7 +g1296 +tp1334 +a(g7 +V\u000a \u000a +p1335 +tp1336 +a(g891 +VCASE +p1337 +tp1338 +a(g7 +g961 +tp1339 +a(g7 +g978 +tp1340 +a(g7 +g1316 +tp1341 +a(g7 +g976 +tp1342 +a(g7 +g978 +tp1343 +a(g7 +g982 +tp1344 +a(g7 +g1054 +tp1345 +a(g7 +g961 +tp1346 +a(g7 +g1199 +tp1347 +a(g7 +g1105 +tp1348 +a(g7 +g961 +tp1349 +a(g7 +g1126 +tp1350 +a(g7 +V\u000a +p1351 +tp1352 +a(g444 +VMESSAGEBOX +p1353 +tp1354 +a(g7 +g1291 +tp1355 +a(g92 +V"Q2" +p1356 +tp1357 +a(g7 +g1296 +tp1358 +a(g7 +V\u000a \u000a +p1359 +tp1360 +a(g891 +VCASE +p1361 +tp1362 +a(g7 +g961 +tp1363 +a(g7 +g978 +tp1364 +a(g7 +g1316 +tp1365 +a(g7 +g976 +tp1366 +a(g7 +g978 +tp1367 +a(g7 +g982 +tp1368 +a(g7 +g1054 +tp1369 +a(g7 +g961 +tp1370 +a(g7 +g1199 +tp1371 +a(g7 +g1105 +tp1372 +a(g7 +g961 +tp1373 +a(g7 +V9 +p1374 +tp1375 +a(g7 +V\u000a +p1376 +tp1377 +a(g444 +VMESSAGEBOX +p1378 +tp1379 +a(g7 +g1291 +tp1380 +a(g92 +V"Q3" +p1381 +tp1382 +a(g7 +g1296 +tp1383 +a(g7 +V\u000a \u000a +p1384 +tp1385 +a(g891 +VOTHERWISE +p1386 +tp1387 +a(g7 +V\u000a +p1388 +tp1389 +a(g444 +VMESSAGEBOX +p1390 +tp1391 +a(g7 +g1291 +tp1392 +a(g92 +V"Q4" +p1393 +tp1394 +a(g7 +g1296 +tp1395 +a(g7 +V\u000a +p1396 +tp1397 +a(g891 +VENDCASE +p1398 +tp1399 +a(g7 +V\u000a \u000a +p1400 +tp1401 +a(g891 +VFOR +p1402 +tp1403 +a(g7 +g961 +tp1404 +a(g7 +g1194 +tp1405 +a(g7 +g1305 +tp1406 +a(g7 +g1043 +tp1407 +a(g7 +g1189 +tp1408 +a(g7 +g961 +tp1409 +a(g7 +g976 +tp1410 +a(g632 +VControl +p1411 +tp1412 +a(g7 +g961 +tp1413 +a(g7 +g1191 +tp1414 +a(g7 +VN +p1415 +tp1416 +a(g7 +g961 +tp1417 +a(g685 +VTHISFORM +p1418 +tp1419 +a(g670 +V.Controls +p1420 +tp1421 +a(g7 +V\u000a +p1422 +tp1423 +a(g444 +VMESSAGEBOX +p1424 +tp1425 +a(g7 +g1291 +tp1426 +a(g7 +g976 +tp1427 +a(g632 +VControl +p1428 +tp1429 +a(g670 +V.Name +p1430 +tp1431 +a(g7 +g1296 +tp1432 +a(g7 +V\u000a +p1433 +tp1434 +a(g891 +VENDFOR +p1435 +tp1436 +a(g7 +V\u000a \u000a +p1437 +tp1438 +a(g444 +g994 +tp1439 +a(g7 +g961 +tp1440 +a(g7 +g1105 +tp1441 +a(g7 +g961 +tp1442 +a(g7 +g1004 +tp1443 +a(g7 +g971 +tp1444 +a(g7 +g974 +tp1445 +a(g7 +g982 +tp1446 +a(g7 +g976 +tp1447 +a(g7 +g1049 +tp1448 +a(g7 +g966 +tp1449 +a(g7 +g971 +tp1450 +a(g7 +g998 +tp1451 +a(g7 +g1291 +tp1452 +a(g7 +g1062 +tp1453 +a(g7 +g1060 +tp1454 +a(g7 +g1296 +tp1455 +a(g7 +V\u000a \u000a +p1456 +tp1457 +a(g891 +VFUNCTION +p1458 +tp1459 +a(g7 +g961 +tp1460 +a(g7 +g1004 +tp1461 +a(g7 +g971 +tp1462 +a(g7 +g974 +tp1463 +a(g7 +g982 +tp1464 +a(g7 +g976 +tp1465 +a(g7 +g1049 +tp1466 +a(g7 +g966 +tp1467 +a(g7 +g971 +tp1468 +a(g7 +g998 +tp1469 +a(g7 +g1291 +tp1470 +a(g7 +g978 +tp1471 +a(g7 +g1296 +tp1472 +a(g7 +V\u000a +p1473 +tp1474 +a(g444 +VLOCAL +p1475 +tp1476 +a(g7 +g961 +tp1477 +a(g7 +g966 +tp1478 +a(g7 +g1076 +tp1479 +a(g7 +g1049 +tp1480 +a(g7 +V\u000a +p1481 +tp1482 +a(g444 +g1049 +tp1483 +a(g7 +g961 +tp1484 +a(g7 +g1105 +tp1485 +a(g7 +g961 +tp1486 +a(g7 +g1062 +tp1487 +a(g7 +V\u000a +p1488 +tp1489 +a(g891 +VFOR +p1490 +tp1491 +a(g7 +g961 +tp1492 +a(g7 +g966 +tp1493 +a(g7 +g961 +tp1494 +a(g7 +g1105 +tp1495 +a(g7 +g961 +tp1496 +a(g7 +g978 +tp1497 +a(g7 +g961 +tp1498 +a(g7 +VT +p1499 +tp1500 +a(g7 +VO +p1501 +tp1502 +a(g7 +g961 +tp1503 +a(g7 +g1062 +tp1504 +a(g7 +g961 +tp1505 +a(g7 +g1307 +tp1506 +a(g7 +g1499 +tp1507 +a(g7 +g1194 +tp1508 +a(g7 +g1006 +tp1509 +a(g7 +g961 +tp1510 +a(g7 +V- +p1511 +tp1512 +a(g7 +g1062 +tp1513 +a(g7 +V\u000a +p1514 +tp1515 +a(g444 +g1049 +tp1516 +a(g7 +g961 +tp1517 +a(g7 +g1105 +tp1518 +a(g7 +g961 +tp1519 +a(g7 +g1049 +tp1520 +a(g7 +g961 +tp1521 +a(g7 +V* +p1522 +tp1523 +a(g7 +g961 +tp1524 +a(g7 +g978 +tp1525 +a(g7 +V\u000a +p1526 +tp1527 +a(g891 +VENDFOR +p1528 +tp1529 +a(g7 +V\u000a +p1530 +tp1531 +a(g891 +VRETURN +p1532 +tp1533 +a(g7 +g961 +tp1534 +a(g7 +g1049 +tp1535 +a(g7 +V\u000a +p1536 +tp1537 +a(g444 +VENDFUNC +p1538 +tp1539 +a(g7 +V\u000a\u000a +p1540 +tp1541 +a(g444 +VloForm +p1542 +tp1543 +a(g7 +g961 +tp1544 +a(g7 +g1105 +tp1545 +a(g7 +g961 +tp1546 +a(g577 +VCREATEOBJECT +p1547 +tp1548 +a(g7 +g1291 +tp1549 +a(g92 +V"HiForm" +p1550 +tp1551 +a(g7 +g1296 +tp1552 +a(g7 +V\u000a +p1553 +tp1554 +a(g444 +VloForm +p1555 +tp1556 +a(g577 +V.Show +p1557 +tp1558 +a(g7 +g1291 +tp1559 +a(g7 +g1062 +tp1560 +a(g7 +g1296 +tp1561 +a(g7 +V\u000a \u000a +p1562 +tp1563 +a(g444 +VDEFINE +p1564 +tp1565 +a(g7 +g961 +tp1566 +a(g7 +g1043 +tp1567 +a(g7 +g1095 +tp1568 +a(g7 +g1305 +tp1569 +a(g7 +g1307 +tp1570 +a(g7 +g1307 +tp1571 +a(g7 +g961 +tp1572 +a(g7 +g1189 +tp1573 +a(g7 +g966 +tp1574 +a(g632 +VForm +p1575 +tp1576 +a(g7 +g961 +tp1577 +a(g7 +g1305 +tp1578 +a(g7 +g1307 +tp1579 +a(g7 +g961 +tp1580 +a(g632 +VForm +p1581 +tp1582 +a(g7 +V\u000a +p1583 +tp1584 +a(g444 +VAutoCenter +p1585 +tp1586 +a(g7 +g961 +tp1587 +a(g7 +g1105 +tp1588 +a(g7 +g961 +tp1589 +a(g430 +V.T. +p1590 +tp1591 +a(g7 +V\u000a +p1592 +tp1593 +a(g444 +VCaption +p1594 +tp1595 +a(g7 +g961 +tp1596 +a(g7 +g1105 +tp1597 +a(g7 +g961 +tp1598 +a(g92 +V"Hello, World" +p1599 +tp1600 +a(g7 +V\u000a \u000a +p1601 +tp1602 +a(g444 +VADD +p1603 +tp1604 +a(g7 +g961 +tp1605 +a(g7 +g1501 +tp1606 +a(g7 +VB +p1607 +tp1608 +a(g7 +VJ +p1609 +tp1610 +a(g7 +g1194 +tp1611 +a(g7 +g1043 +tp1612 +a(g7 +g1499 +tp1613 +a(g7 +g961 +tp1614 +a(g7 +g998 +tp1615 +a(g7 +Vb +p1616 +tp1617 +a(g7 +g998 +tp1618 +a(g7 +g1189 +tp1619 +a(g7 +g966 +tp1620 +a(g7 +g961 +tp1621 +a(g7 +g971 +tp1622 +a(g7 +g968 +tp1623 +a(g7 +g961 +tp1624 +a(g632 +VLabel +p1625 +tp1626 +a(g7 +g961 +tp1627 +a(g7 +g1024 +tp1628 +a(g7 +g1191 +tp1629 +a(g7 +g1499 +tp1630 +a(g7 +g1189 +tp1631 +a(g7 +g961 +tp1632 +a(g709 +V;\u000a +p1633 +tp1634 +a(g7 +V +p1635 +tp1636 +a(g444 +VCaption +p1637 +tp1638 +a(g7 +g961 +tp1639 +a(g7 +g1105 +tp1640 +a(g7 +g961 +tp1641 +a(g92 +V"Hello, World!" +p1642 +tp1643 +a(g7 +V\u000a +p1644 +tp1645 +a(g444 +VENDDEFINE +p1646 +tp1647 +a(g7 +V\u000a\u000a +p1648 +tp1649 +a(g444 +VloMine +p1650 +tp1651 +a(g7 +g961 +tp1652 +a(g7 +g1105 +tp1653 +a(g7 +g961 +tp1654 +a(g577 +VCREATEOBJECT +p1655 +tp1656 +a(g7 +g1291 +tp1657 +a(g92 +V"MyClass" +p1658 +tp1659 +a(g7 +g1296 +tp1660 +a(g7 +V\u000a +p1661 +tp1662 +a(g7 +V? +p1663 +tp1664 +a(g7 +g961 +tp1665 +a(g7 +g998 +tp1666 +a(g7 +g976 +tp1667 +a(g7 +g1316 +tp1668 +a(g7 +g966 +tp1669 +a(g7 +g978 +tp1670 +a(g7 +g984 +tp1671 +a(g7 +g1036 +tp1672 +a(g7 +g974 +tp1673 +a(g7 +g1006 +tp1674 +a(g7 +g1049 +tp1675 +a(g7 +g976 +tp1676 +a(g7 +g1015 +tp1677 +a(g7 +g1062 +tp1678 +a(g7 +V +p1679 +tp1680 +a(g761 +V&& This will work. (Double-ampersand marks an end-of-line comment)\u000a +p1681 +tp1682 +a(g7 +g956 +tp1683 +a(g7 +g1663 +tp1684 +a(g7 +g961 +tp1685 +a(g7 +g998 +tp1686 +a(g7 +g976 +tp1687 +a(g7 +g1316 +tp1688 +a(g7 +g966 +tp1689 +a(g7 +g978 +tp1690 +a(g7 +g984 +tp1691 +a(g7 +g1036 +tp1692 +a(g7 +g974 +tp1693 +a(g7 +g1006 +tp1694 +a(g7 +g1049 +tp1695 +a(g7 +g976 +tp1696 +a(g7 +g1015 +tp1697 +a(g7 +g1058 +tp1698 +a(g7 +V +p1699 +tp1700 +a(g761 +V&& Program Error: Property CPROP2 is not found.\u000a +p1701 +tp1702 +a(g7 +V \u000a +p1703 +tp1704 +a(g7 +g1663 +tp1705 +a(g7 +g961 +tp1706 +a(g7 +g998 +tp1707 +a(g7 +g976 +tp1708 +a(g7 +g1316 +tp1709 +a(g7 +g966 +tp1710 +a(g7 +g978 +tp1711 +a(g7 +g984 +tp1712 +a(g7 +g1036 +tp1713 +a(g7 +g1316 +tp1714 +a(g7 +g1047 +tp1715 +a(g7 +g1316 +tp1716 +a(g7 +g984 +tp1717 +a(g7 +g982 +tp1718 +a(g7 +g1054 +tp1719 +a(g7 +g976 +tp1720 +a(g7 +g1032 +tp1721 +a(g7 +g1062 +tp1722 +a(g7 +g1291 +tp1723 +a(g7 +g1296 +tp1724 +a(g7 +V +p1725 +tp1726 +a(g761 +V&& This will work.\u000a +p1727 +tp1728 +a(g7 +g956 +tp1729 +a(g7 +g1663 +tp1730 +a(g7 +g961 +tp1731 +a(g7 +g998 +tp1732 +a(g7 +g976 +tp1733 +a(g7 +g1316 +tp1734 +a(g7 +g966 +tp1735 +a(g7 +g978 +tp1736 +a(g7 +g984 +tp1737 +a(g7 +g1036 +tp1738 +a(g7 +g1316 +tp1739 +a(g7 +g1047 +tp1740 +a(g7 +g1316 +tp1741 +a(g7 +g984 +tp1742 +a(g7 +g982 +tp1743 +a(g7 +g1054 +tp1744 +a(g7 +g976 +tp1745 +a(g7 +g1032 +tp1746 +a(g7 +g1058 +tp1747 +a(g7 +g1291 +tp1748 +a(g7 +g1296 +tp1749 +a(g7 +V +p1750 +tp1751 +a(g761 +V&& Program Error: Property MYMETHOD2 is not found.\u000a +p1752 +tp1753 +a(g7 +V \u000a +p1754 +tp1755 +a(g444 +VDEFINE +p1756 +tp1757 +a(g7 +g961 +tp1758 +a(g7 +g1043 +tp1759 +a(g7 +g1095 +tp1760 +a(g7 +g1305 +tp1761 +a(g7 +g1307 +tp1762 +a(g7 +g1307 +tp1763 +a(g7 +g961 +tp1764 +a(g7 +g1316 +tp1765 +a(g7 +g1047 +tp1766 +a(g7 +g1043 +tp1767 +a(g7 +g998 +tp1768 +a(g7 +g971 +tp1769 +a(g7 +g968 +tp1770 +a(g7 +g968 +tp1771 +a(g7 +g961 +tp1772 +a(g7 +g1305 +tp1773 +a(g7 +g1307 +tp1774 +a(g7 +g961 +tp1775 +a(g632 +VCustom +p1776 +tp1777 +a(g7 +V\u000a +p1778 +tp1779 +a(g444 +VcProp1 +p1780 +tp1781 +a(g7 +g961 +tp1782 +a(g7 +g1105 +tp1783 +a(g7 +g961 +tp1784 +a(g92 +V"My Property" +p1785 +tp1786 +a(g7 +V +p1787 +tp1788 +a(g761 +V&& This is a public property\u000a +p1789 +tp1790 +a(g7 +V +p1791 +tp1792 +a(g444 +VHIDDEN +p1793 +tp1794 +a(g7 +g961 +tp1795 +a(g7 +g974 +tp1796 +a(g7 +g1006 +tp1797 +a(g7 +g1049 +tp1798 +a(g7 +g976 +tp1799 +a(g7 +g1015 +tp1800 +a(g7 +g1058 +tp1801 +a(g7 +V +p1802 +tp1803 +a(g761 +V&& This is a private (hidden) property\u000a +p1804 +tp1805 +a(g7 +V +p1806 +tp1807 +a(g444 +VdProp3 +p1808 +tp1809 +a(g7 +g961 +tp1810 +a(g7 +g1105 +tp1811 +a(g7 +g961 +tp1812 +a(g7 +V{ +p1813 +tp1814 +a(g7 +V} +p1815 +tp1816 +a(g7 +V +p1817 +tp1818 +a(g761 +V&& Another public property\u000a +p1819 +tp1820 +a(g7 +V \u000a +p1821 +tp1822 +a(g891 +VPROCEDURE +p1823 +tp1824 +a(g7 +g961 +tp1825 +a(g7 +g1191 +tp1826 +a(g7 +g978 +tp1827 +a(g7 +g966 +tp1828 +a(g7 +g982 +tp1829 +a(g7 +g1291 +tp1830 +a(g7 +g1296 +tp1831 +a(g7 +V +p1832 +tp1833 +a(g761 +V&& Class constructor\u000a +p1834 +tp1835 +a(g7 +V +p1836 +tp1837 +a(g444 +VThis +p1838 +tp1839 +a(g7 +g1036 +tp1840 +a(g7 +g974 +tp1841 +a(g7 +g1006 +tp1842 +a(g7 +g1049 +tp1843 +a(g7 +g976 +tp1844 +a(g7 +g1015 +tp1845 +a(g7 +g1058 +tp1846 +a(g7 +g961 +tp1847 +a(g7 +g1105 +tp1848 +a(g7 +g961 +tp1849 +a(g92 +V"This is a hidden property." +p1850 +tp1851 +a(g7 +V\u000a +p1852 +tp1853 +a(g444 +VENDPROC +p1854 +tp1855 +a(g7 +V\u000a \u000a +p1856 +tp1857 +a(g891 +VPROCEDURE +p1858 +tp1859 +a(g7 +g961 +tp1860 +a(g7 +g1032 +tp1861 +a(g7 +g1006 +tp1862 +a(g7 +g1049 +tp1863 +a(g7 +g976 +tp1864 +a(g7 +g1015 +tp1865 +a(g7 +g1064 +tp1866 +a(g7 +V_ +p1867 +tp1868 +a(g7 +g1305 +tp1869 +a(g7 +g974 +tp1870 +a(g7 +g974 +tp1871 +a(g7 +g984 +tp1872 +a(g7 +g968 +tp1873 +a(g7 +g968 +tp1874 +a(g7 +V +p1875 +tp1876 +a(g761 +V&& Property Getter\u000a +p1877 +tp1878 +a(g7 +V +p1879 +tp1880 +a(g891 +VRETURN +p1881 +tp1882 +a(g7 +g961 +tp1883 +a(g577 +VDATE +p1884 +tp1885 +a(g7 +g1291 +tp1886 +a(g7 +g1296 +tp1887 +a(g7 +V\u000a +p1888 +tp1889 +a(g444 +VENDPROC +p1890 +tp1891 +a(g7 +V\u000a +p1892 +tp1893 +a(g891 +VPROCEDURE +p1894 +tp1895 +a(g7 +g961 +tp1896 +a(g7 +g1032 +tp1897 +a(g7 +g1006 +tp1898 +a(g7 +g1049 +tp1899 +a(g7 +g976 +tp1900 +a(g7 +g1015 +tp1901 +a(g7 +g1064 +tp1902 +a(g7 +g1867 +tp1903 +a(g7 +g1305 +tp1904 +a(g7 +g968 +tp1905 +a(g577 +Vsign +p1906 +tp1907 +a(g7 +g1291 +tp1908 +a(g7 +Vv +p1909 +tp1910 +a(g7 +g1415 +tp1911 +a(g7 +g984 +tp1912 +a(g7 +Vw +p1913 +tp1914 +a(g7 +g1002 +tp1915 +a(g7 +g971 +tp1916 +a(g7 +g998 +tp1917 +a(g7 +g1296 +tp1918 +a(g7 +V +p1919 +tp1920 +a(g761 +V&& Property Setter\u000a +p1921 +tp1922 +a(g7 +V +p1923 +tp1924 +a(g891 +VIF +p1925 +tp1926 +a(g7 +g961 +tp1927 +a(g577 +VVARTYPE +p1928 +tp1929 +a(g7 +g1291 +tp1930 +a(g7 +g1909 +tp1931 +a(g7 +g1415 +tp1932 +a(g7 +g984 +tp1933 +a(g7 +g1913 +tp1934 +a(g7 +g1002 +tp1935 +a(g7 +g971 +tp1936 +a(g7 +g998 +tp1937 +a(g7 +g1296 +tp1938 +a(g7 +g961 +tp1939 +a(g7 +g1105 +tp1940 +a(g7 +g961 +tp1941 +a(g92 +V"D" +p1942 +tp1943 +a(g7 +V\u000a +p1944 +tp1945 +a(g444 +VTHIS +p1946 +tp1947 +a(g7 +g1036 +tp1948 +a(g7 +g1032 +tp1949 +a(g7 +g1006 +tp1950 +a(g7 +g1049 +tp1951 +a(g7 +g976 +tp1952 +a(g7 +g1015 +tp1953 +a(g7 +g1064 +tp1954 +a(g7 +g961 +tp1955 +a(g7 +g1105 +tp1956 +a(g7 +g961 +tp1957 +a(g7 +g1909 +tp1958 +a(g7 +g1415 +tp1959 +a(g7 +g984 +tp1960 +a(g7 +g1913 +tp1961 +a(g7 +g1002 +tp1962 +a(g7 +g971 +tp1963 +a(g7 +g998 +tp1964 +a(g7 +V\u000a +p1965 +tp1966 +a(g891 +VENDIF +p1967 +tp1968 +a(g7 +V\u000a +p1969 +tp1970 +a(g444 +VENDPROC +p1971 +tp1972 +a(g7 +V\u000a \u000a +p1973 +tp1974 +a(g891 +VPROCEDURE +p1975 +tp1976 +a(g7 +g961 +tp1977 +a(g7 +g1316 +tp1978 +a(g7 +g1047 +tp1979 +a(g7 +g1316 +tp1980 +a(g7 +g984 +tp1981 +a(g7 +g982 +tp1982 +a(g7 +g1054 +tp1983 +a(g7 +g976 +tp1984 +a(g7 +g1032 +tp1985 +a(g7 +g1062 +tp1986 +a(g7 +g1291 +tp1987 +a(g7 +g1296 +tp1988 +a(g7 +V\u000a +p1989 +tp1990 +a(g761 +V* This is a public method, calling a hidden method that returns +p1991 +tp1992 +a(g7 +V\u000a +p1993 +tp1994 +a(g761 +V* the value of a hidden property. +p1995 +tp1996 +a(g7 +V\u000a +p1997 +tp1998 +a(g891 +VRETURN +p1999 +tp2000 +a(g7 +g961 +tp2001 +a(g685 +VThis +p2002 +tp2003 +a(g7 +g1036 +tp2004 +a(g7 +g1316 +tp2005 +a(g7 +g1047 +tp2006 +a(g7 +g1316 +tp2007 +a(g7 +g984 +tp2008 +a(g7 +g982 +tp2009 +a(g7 +g1054 +tp2010 +a(g7 +g976 +tp2011 +a(g7 +g1032 +tp2012 +a(g7 +g1058 +tp2013 +a(g7 +g1291 +tp2014 +a(g7 +g1296 +tp2015 +a(g7 +V\u000a +p2016 +tp2017 +a(g444 +VENDPROC +p2018 +tp2019 +a(g7 +V\u000a \u000a +p2020 +tp2021 +a(g444 +VHIDDEN +p2022 +tp2023 +a(g7 +g961 +tp2024 +a(g7 +g1006 +tp2025 +a(g7 +VR +p2026 +tp2027 +a(g7 +g1501 +tp2028 +a(g7 +g1043 +tp2029 +a(g7 +g1194 +tp2030 +a(g7 +g1093 +tp2031 +a(g7 +VU +p2032 +tp2033 +a(g7 +g2026 +tp2034 +a(g7 +g1194 +tp2035 +a(g7 +g961 +tp2036 +a(g7 +g1316 +tp2037 +a(g7 +g1047 +tp2038 +a(g7 +g1316 +tp2039 +a(g7 +g984 +tp2040 +a(g7 +g982 +tp2041 +a(g7 +g1054 +tp2042 +a(g7 +g976 +tp2043 +a(g7 +g1032 +tp2044 +a(g7 +g1058 +tp2045 +a(g7 +g1291 +tp2046 +a(g7 +g1296 +tp2047 +a(g7 +V +p2048 +tp2049 +a(g761 +V&& This is a private (hidden) method\u000a +p2050 +tp2051 +a(g7 +V +p2052 +tp2053 +a(g891 +VRETURN +p2054 +tp2055 +a(g7 +g961 +tp2056 +a(g685 +VThis +p2057 +tp2058 +a(g7 +g1036 +tp2059 +a(g7 +g974 +tp2060 +a(g7 +g1006 +tp2061 +a(g7 +g1049 +tp2062 +a(g7 +g976 +tp2063 +a(g7 +g1015 +tp2064 +a(g7 +g1058 +tp2065 +a(g7 +V\u000a +p2066 +tp2067 +a(g444 +VENDPROC +p2068 +tp2069 +a(g7 +V\u000a +p2070 +tp2071 +a(g444 +VENDDEFINE +p2072 +tp2073 +a(g7 +V\u000a\u000a +p2074 +tp2075 +a(g7 +g958 +tp2076 +a(g7 +g958 +tp2077 +a(g7 +g961 +tp2078 +a(g7 +g1043 +tp2079 +a(g7 +g1049 +tp2080 +a(g7 +g984 +tp2081 +a(g7 +g971 +tp2082 +a(g7 +g982 +tp2083 +a(g7 +g984 +tp2084 +a(g7 +g961 +tp2085 +a(g7 +g971 +tp2086 +a(g7 +g961 +tp2087 +a(g7 +g982 +tp2088 +a(g7 +g971 +tp2089 +a(g7 +g1616 +tp2090 +a(g7 +g998 +tp2091 +a(g7 +g984 +tp2092 +a(g7 +V\u000a +p2093 +tp2094 +a(g891 +VCREATE +p2095 +tp2096 +a(g7 +g961 +tp2097 +a(g7 +g1499 +tp2098 +a(g7 +g1305 +tp2099 +a(g7 +g1607 +tp2100 +a(g7 +g1095 +tp2101 +a(g7 +g1194 +tp2102 +a(g7 +g961 +tp2103 +a(g7 +g1049 +tp2104 +a(g7 +g971 +tp2105 +a(g7 +g978 +tp2106 +a(g7 +g1032 +tp2107 +a(g7 +g1093 +tp2108 +a(g7 +g971 +tp2109 +a(g7 +g982 +tp2110 +a(g7 +g971 +tp2111 +a(g7 +g961 +tp2112 +a(g7 +g1291 +tp2113 +a(g7 +g966 +tp2114 +a(g7 +g1093 +tp2115 +a(g7 +g971 +tp2116 +a(g7 +g982 +tp2117 +a(g7 +g971 +tp2118 +a(g7 +g961 +tp2119 +a(g7 +g1191 +tp2120 +a(g7 +g1296 +tp2121 +a(g7 +V\u000a \u000a +p2122 +tp2123 +a(g7 +g958 +tp2124 +a(g7 +g958 +tp2125 +a(g7 +g961 +tp2126 +a(g7 +g1006 +tp2127 +a(g7 +g976 +tp2128 +a(g7 +g1015 +tp2129 +a(g7 +g1079 +tp2130 +a(g7 +g998 +tp2131 +a(g7 +g971 +tp2132 +a(g7 +g982 +tp2133 +a(g7 +g984 +tp2134 +a(g7 +g961 +tp2135 +a(g7 +g1913 +tp2136 +a(g7 +g966 +tp2137 +a(g7 +g982 +tp2138 +a(g7 +g1054 +tp2139 +a(g7 +g961 +tp2140 +a(g7 +g1049 +tp2141 +a(g7 +g971 +tp2142 +a(g7 +g978 +tp2143 +a(g7 +g1032 +tp2144 +a(g7 +g976 +tp2145 +a(g7 +g1013 +tp2146 +a(g7 +g961 +tp2147 +a(g7 +g1032 +tp2148 +a(g7 +g971 +tp2149 +a(g7 +g982 +tp2150 +a(g7 +g971 +tp2151 +a(g7 +g961 +tp2152 +a(g7 +g1079 +tp2153 +a(g7 +g968 +tp2154 +a(g7 +g966 +tp2155 +a(g7 +g978 +tp2156 +a(g7 +g1052 +tp2157 +a(g7 +g961 +tp2158 +a(g7 +g1010 +tp2159 +a(g7 +g1607 +tp2160 +a(g7 +g971 +tp2161 +a(g7 +g968 +tp2162 +a(g7 +g984 +tp2163 +a(g7 +g961 +tp2164 +a(g430 +Vand +p2165 +tp2166 +a(g7 +g961 +tp2167 +a(g7 +g1307 +tp2168 +a(g7 +VQ +p2169 +tp2170 +a(g7 +g1095 +tp2171 +a(g7 +g961 +tp2172 +a(g7 +g1093 +tp2173 +a(g7 +g1316 +tp2174 +a(g7 +g1095 +tp2175 +a(g7 +g961 +tp2176 +a(g7 +g974 +tp2177 +a(g7 +g976 +tp2178 +a(g7 +g1013 +tp2179 +a(g7 +g1013 +tp2180 +a(g7 +g971 +tp2181 +a(g7 +g978 +tp2182 +a(g7 +g1032 +tp2183 +a(g7 +g968 +tp2184 +a(g7 +V\u000a +p2185 +tp2186 +a(g891 +VFOR +p2187 +tp2188 +a(g7 +g961 +tp2189 +a(g7 +g966 +tp2190 +a(g7 +g961 +tp2191 +a(g7 +g1105 +tp2192 +a(g7 +g961 +tp2193 +a(g7 +g1062 +tp2194 +a(g7 +g961 +tp2195 +a(g7 +g1499 +tp2196 +a(g7 +g1501 +tp2197 +a(g7 +g961 +tp2198 +a(g7 +g1129 +tp2199 +a(g7 +g1060 +tp2200 +a(g7 +V\u000a +p2201 +tp2202 +a(g891 +VAPPEND +p2203 +tp2204 +a(g7 +g961 +tp2205 +a(g7 +g1607 +tp2206 +a(g7 +g1095 +tp2207 +a(g7 +g1305 +tp2208 +a(g7 +g1415 +tp2209 +a(g7 +VK +p2210 +tp2211 +a(g7 +V\u000a +p2212 +tp2213 +a(g891 +VREPLACE +p2214 +tp2215 +a(g7 +g961 +tp2216 +a(g7 +g966 +tp2217 +a(g7 +g1093 +tp2218 +a(g7 +g971 +tp2219 +a(g7 +g982 +tp2220 +a(g7 +g971 +tp2221 +a(g7 +g961 +tp2222 +a(g7 +g1024 +tp2223 +a(g7 +g1191 +tp2224 +a(g7 +g1499 +tp2225 +a(g7 +g1189 +tp2226 +a(g7 +g961 +tp2227 +a(g7 +g1291 +tp2228 +a(g577 +VRAND +p2229 +tp2230 +a(g7 +g1291 +tp2231 +a(g7 +g1296 +tp2232 +a(g7 +g961 +tp2233 +a(g7 +g1522 +tp2234 +a(g7 +g961 +tp2235 +a(g7 +g1062 +tp2236 +a(g7 +g1060 +tp2237 +a(g7 +g1060 +tp2238 +a(g7 +g1296 +tp2239 +a(g7 +V\u000a \u000a +p2240 +tp2241 +a(g891 +VINSERT +p2242 +tp2243 +a(g7 +g961 +tp2244 +a(g7 +g1191 +tp2245 +a(g7 +g1415 +tp2246 +a(g7 +g1499 +tp2247 +a(g7 +g1501 +tp2248 +a(g7 +g961 +tp2249 +a(g7 +g1049 +tp2250 +a(g7 +g971 +tp2251 +a(g7 +g978 +tp2252 +a(g7 +g1032 +tp2253 +a(g7 +g1093 +tp2254 +a(g7 +g971 +tp2255 +a(g7 +g982 +tp2256 +a(g7 +g971 +tp2257 +a(g7 +g961 +tp2258 +a(g7 +g1291 +tp2259 +a(g7 +g966 +tp2260 +a(g7 +g1093 +tp2261 +a(g7 +g971 +tp2262 +a(g7 +g982 +tp2263 +a(g7 +g971 +tp2264 +a(g7 +g1296 +tp2265 +a(g7 +g961 +tp2266 +a(g7 +g1002 +tp2267 +a(g7 +g1305 +tp2268 +a(g7 +g1095 +tp2269 +a(g7 +g2032 +tp2270 +a(g7 +g1194 +tp2271 +a(g7 +g1307 +tp2272 +a(g7 +g961 +tp2273 +a(g7 +g1291 +tp2274 +a(g577 +VRAND +p2275 +tp2276 +a(g7 +g1291 +tp2277 +a(g7 +g1296 +tp2278 +a(g7 +g961 +tp2279 +a(g7 +g1522 +tp2280 +a(g7 +g961 +tp2281 +a(g7 +g1062 +tp2282 +a(g7 +g1060 +tp2283 +a(g7 +g1060 +tp2284 +a(g7 +g1296 +tp2285 +a(g7 +V\u000a +p2286 +tp2287 +a(g891 +VENDFOR +p2288 +tp2289 +a(g7 +V\u000a \u000a +p2290 +tp2291 +a(g7 +g958 +tp2292 +a(g7 +g958 +tp2293 +a(g7 +g961 +tp2294 +a(g7 +g1006 +tp2295 +a(g7 +g998 +tp2296 +a(g7 +g971 +tp2297 +a(g7 +g974 +tp2298 +a(g7 +g984 +tp2299 +a(g7 +g961 +tp2300 +a(g7 +g971 +tp2301 +a(g7 +g961 +tp2302 +a(g7 +g968 +tp2303 +a(g7 +g982 +tp2304 +a(g7 +g1049 +tp2305 +a(g7 +g1079 +tp2306 +a(g7 +g974 +tp2307 +a(g7 +g982 +tp2308 +a(g7 +g1079 +tp2309 +a(g7 +g1049 +tp2310 +a(g7 +g971 +tp2311 +a(g7 +g998 +tp2312 +a(g7 +g961 +tp2313 +a(g7 +g966 +tp2314 +a(g7 +g978 +tp2315 +a(g7 +g1032 +tp2316 +a(g7 +g984 +tp2317 +a(g7 +g1010 +tp2318 +a(g7 +g961 +tp2319 +a(g7 +g976 +tp2320 +a(g7 +g978 +tp2321 +a(g7 +g961 +tp2322 +a(g7 +g982 +tp2323 +a(g7 +g1054 +tp2324 +a(g7 +g984 +tp2325 +a(g7 +g961 +tp2326 +a(g7 +g1032 +tp2327 +a(g7 +g971 +tp2328 +a(g7 +g982 +tp2329 +a(g7 +g971 +tp2330 +a(g7 +V\u000a +p2331 +tp2332 +a(g891 +VINDEX +p2333 +tp2334 +a(g7 +g961 +tp2335 +a(g7 +g1501 +tp2336 +a(g7 +g1415 +tp2337 +a(g7 +g961 +tp2338 +a(g7 +g966 +tp2339 +a(g7 +g1093 +tp2340 +a(g7 +g971 +tp2341 +a(g7 +g982 +tp2342 +a(g7 +g971 +tp2343 +a(g7 +g961 +tp2344 +a(g7 +g1499 +tp2345 +a(g7 +g1305 +tp2346 +a(g7 +g1090 +tp2347 +a(g7 +g961 +tp2348 +a(g7 +g966 +tp2349 +a(g7 +g1093 +tp2350 +a(g7 +g971 +tp2351 +a(g7 +g982 +tp2352 +a(g7 +g971 +tp2353 +a(g7 +V\u000a +p2354 +tp2355 +a(g891 +VCLOSE +p2356 +tp2357 +a(g7 +g961 +tp2358 +a(g7 +g1305 +tp2359 +a(g7 +g1095 +tp2360 +a(g7 +g1095 +tp2361 +a(g7 +V\u000a \u000a +p2362 +tp2363 +a(g7 +g958 +tp2364 +a(g7 +g958 +tp2365 +a(g7 +g961 +tp2366 +a(g7 +g1093 +tp2367 +a(g7 +g966 +tp2368 +a(g7 +g968 +tp2369 +a(g7 +g1015 +tp2370 +a(g7 +g998 +tp2371 +a(g7 +g971 +tp2372 +a(g7 +g1047 +tp2373 +a(g7 +g961 +tp2374 +a(g7 +g976 +tp2375 +a(g7 +g1049 +tp2376 +a(g7 +g1032 +tp2377 +a(g7 +g984 +tp2378 +a(g7 +g1049 +tp2379 +a(g7 +g984 +tp2380 +a(g7 +g1032 +tp2381 +a(g7 +g961 +tp2382 +a(g7 +g1032 +tp2383 +a(g7 +g971 +tp2384 +a(g7 +g982 +tp2385 +a(g7 +g971 +tp2386 +a(g7 +g961 +tp2387 +a(g7 +g1079 +tp2388 +a(g7 +g968 +tp2389 +a(g7 +g966 +tp2390 +a(g7 +g978 +tp2391 +a(g7 +g1052 +tp2392 +a(g7 +g961 +tp2393 +a(g7 +g1010 +tp2394 +a(g7 +g1607 +tp2395 +a(g7 +g971 +tp2396 +a(g7 +g968 +tp2397 +a(g7 +g984 +tp2398 +a(g7 +g1511 +tp2399 +a(g7 +g968 +tp2400 +a(g7 +g982 +tp2401 +a(g7 +g1047 +tp2402 +a(g7 +g998 +tp2403 +a(g7 +g984 +tp2404 +a(g7 +g961 +tp2405 +a(g7 +g974 +tp2406 +a(g7 +g976 +tp2407 +a(g7 +g1013 +tp2408 +a(g7 +g1013 +tp2409 +a(g7 +g971 +tp2410 +a(g7 +g978 +tp2411 +a(g7 +g1032 +tp2412 +a(g7 +g968 +tp2413 +a(g7 +V\u000a +p2414 +tp2415 +a(g891 +VUSE +p2416 +tp2417 +a(g7 +g961 +tp2418 +a(g7 +g1049 +tp2419 +a(g7 +g971 +tp2420 +a(g7 +g978 +tp2421 +a(g7 +g1032 +tp2422 +a(g7 +g1093 +tp2423 +a(g7 +g971 +tp2424 +a(g7 +g982 +tp2425 +a(g7 +g971 +tp2426 +a(g7 +V\u000a +p2427 +tp2428 +a(g891 +VSET +p2429 +tp2430 +a(g7 +g961 +tp2431 +a(g7 +g1501 +tp2432 +a(g7 +g2026 +tp2433 +a(g7 +g1093 +tp2434 +a(g7 +g1194 +tp2435 +a(g7 +g2026 +tp2436 +a(g7 +g961 +tp2437 +a(g7 +g1499 +tp2438 +a(g7 +g1501 +tp2439 +a(g7 +g961 +tp2440 +a(g7 +g966 +tp2441 +a(g7 +g1093 +tp2442 +a(g7 +g971 +tp2443 +a(g7 +g982 +tp2444 +a(g7 +g971 +tp2445 +a(g7 +V\u000a +p2446 +tp2447 +a(g891 +VGO +p2448 +tp2449 +a(g7 +g961 +tp2450 +a(g7 +g1499 +tp2451 +a(g7 +g1501 +tp2452 +a(g7 +g1006 +tp2453 +a(g7 +V\u000a +p2454 +tp2455 +a(g891 +VLIST +p2456 +tp2457 +a(g7 +g961 +tp2458 +a(g7 +g1415 +tp2459 +a(g7 +g1194 +tp2460 +a(g7 +VX +p2461 +tp2462 +a(g7 +g1499 +tp2463 +a(g7 +g961 +tp2464 +a(g7 +g1062 +tp2465 +a(g7 +g1060 +tp2466 +a(g7 +V +p2467 +tp2468 +a(g761 +V&& First 10 \u000a +p2469 +tp2470 +a(g7 +g956 +tp2471 +a(g891 +VGO +p2472 +tp2473 +a(g7 +g961 +tp2474 +a(g7 +g1607 +tp2475 +a(g7 +g1501 +tp2476 +a(g7 +g1499 +tp2477 +a(g7 +g1499 +tp2478 +a(g7 +g1501 +tp2479 +a(g7 +g1316 +tp2480 +a(g7 +V\u000a +p2481 +tp2482 +a(g891 +VSKIP +p2483 +tp2484 +a(g7 +g961 +tp2485 +a(g7 +g1511 +tp2486 +a(g7 +g1062 +tp2487 +a(g7 +g1060 +tp2488 +a(g7 +V\u000a +p2489 +tp2490 +a(g891 +VLIST +p2491 +tp2492 +a(g7 +g961 +tp2493 +a(g7 +g2026 +tp2494 +a(g7 +g1194 +tp2495 +a(g7 +g1307 +tp2496 +a(g7 +g1499 +tp2497 +a(g7 +V +p2498 +tp2499 +a(g761 +V&& Last 10\u000a +p2500 +tp2501 +a(g7 +g956 +tp2502 +a(g891 +VCLOSE +p2503 +tp2504 +a(g7 +g961 +tp2505 +a(g7 +g1305 +tp2506 +a(g7 +g1095 +tp2507 +a(g7 +g1095 +tp2508 +a(g7 +V\u000a \u000a +p2509 +tp2510 +a(g7 +g958 +tp2511 +a(g7 +g958 +tp2512 +a(g7 +g961 +tp2513 +a(g7 +g1607 +tp2514 +a(g7 +g1049 +tp2515 +a(g7 +g976 +tp2516 +a(g7 +g1913 +tp2517 +a(g7 +g968 +tp2518 +a(g7 +g984 +tp2519 +a(g7 +g961 +tp2520 +a(g7 +g976 +tp2521 +a(g7 +g1049 +tp2522 +a(g7 +g1032 +tp2523 +a(g7 +g984 +tp2524 +a(g7 +g1049 +tp2525 +a(g7 +g984 +tp2526 +a(g7 +g1032 +tp2527 +a(g7 +g961 +tp2528 +a(g7 +g1032 +tp2529 +a(g7 +g971 +tp2530 +a(g7 +g982 +tp2531 +a(g7 +g971 +tp2532 +a(g7 +g961 +tp2533 +a(g7 +g1079 +tp2534 +a(g7 +g968 +tp2535 +a(g7 +g966 +tp2536 +a(g7 +g978 +tp2537 +a(g7 +g1052 +tp2538 +a(g7 +g961 +tp2539 +a(g7 +g1307 +tp2540 +a(g7 +g2169 +tp2541 +a(g7 +g1095 +tp2542 +a(g7 +g961 +tp2543 +a(g7 +g1093 +tp2544 +a(g7 +g1316 +tp2545 +a(g7 +g1095 +tp2546 +a(g7 +g961 +tp2547 +a(g7 +g974 +tp2548 +a(g7 +g976 +tp2549 +a(g7 +g1013 +tp2550 +a(g7 +g1013 +tp2551 +a(g7 +g971 +tp2552 +a(g7 +g978 +tp2553 +a(g7 +g1032 +tp2554 +a(g7 +g968 +tp2555 +a(g7 +V\u000a +p2556 +tp2557 +a(g891 +VSELECT +p2558 +tp2559 +a(g7 +g961 +tp2560 +a(g7 +g1522 +tp2561 +a(g7 +g961 +tp2562 +a(g709 +V;\u000a +p2563 +tp2564 +a(g7 +V +p2565 +tp2566 +a(g444 +VFROM +p2567 +tp2568 +a(g7 +g961 +tp2569 +a(g7 +g1049 +tp2570 +a(g7 +g971 +tp2571 +a(g7 +g978 +tp2572 +a(g7 +g1032 +tp2573 +a(g7 +g1093 +tp2574 +a(g7 +g971 +tp2575 +a(g7 +g982 +tp2576 +a(g7 +g971 +tp2577 +a(g7 +g961 +tp2578 +a(g709 +V;\u000a +p2579 +tp2580 +a(g7 +V +p2581 +tp2582 +a(g444 +VORDER +p2583 +tp2584 +a(g7 +g961 +tp2585 +a(g7 +g1607 +tp2586 +a(g7 +VY +p2587 +tp2588 +a(g7 +g961 +tp2589 +a(g7 +g966 +tp2590 +a(g7 +g1093 +tp2591 +a(g7 +g971 +tp2592 +a(g7 +g982 +tp2593 +a(g7 +g971 +tp2594 +a(g7 +g961 +tp2595 +a(g7 +g1093 +tp2596 +a(g7 +g1194 +tp2597 +a(g7 +g1307 +tp2598 +a(g7 +g1043 +tp2599 +a(g7 +g1194 +tp2600 +a(g7 +g1415 +tp2601 +a(g7 +g1093 +tp2602 +a(g7 +g1191 +tp2603 +a(g7 +g1415 +tp2604 +a(g7 +g1090 +tp2605 +a(g7 +V\u000a\u000a\u000a +p2606 +tp2607 +a(g7 +g958 +tp2608 +a(g7 +g958 +tp2609 +a(g7 +g961 +tp2610 +a(g7 +g1043 +tp2611 +a(g7 +g976 +tp2612 +a(g7 +g978 +tp2613 +a(g7 +g978 +tp2614 +a(g7 +g984 +tp2615 +a(g7 +g974 +tp2616 +a(g7 +g982 +tp2617 +a(g7 +g961 +tp2618 +a(g7 +g982 +tp2619 +a(g7 +g976 +tp2620 +a(g7 +g961 +tp2621 +a(g7 +g971 +tp2622 +a(g7 +g978 +tp2623 +a(g7 +g961 +tp2624 +a(g7 +g1501 +tp2625 +a(g7 +g1093 +tp2626 +a(g7 +g1607 +tp2627 +a(g7 +g1043 +tp2628 +a(g7 +g961 +tp2629 +a(g7 +g1032 +tp2630 +a(g7 +g971 +tp2631 +a(g7 +g982 +tp2632 +a(g7 +g971 +tp2633 +a(g7 +g961 +tp2634 +a(g7 +g968 +tp2635 +a(g7 +g976 +tp2636 +a(g7 +g1079 +tp2637 +a(g7 +g1049 +tp2638 +a(g7 +g974 +tp2639 +a(g7 +g984 +tp2640 +a(g7 +V\u000a +p2641 +tp2642 +a(g444 +VLOCAL +p2643 +tp2644 +a(g7 +g961 +tp2645 +a(g7 +g978 +tp2646 +a(g7 +g1189 +tp2647 +a(g7 +g978 +tp2648 +a(g7 +g1032 +tp2649 +a(g7 +V\u000a +p2650 +tp2651 +a(g444 +VnHnd +p2652 +tp2653 +a(g7 +g961 +tp2654 +a(g7 +g1105 +tp2655 +a(g7 +g961 +tp2656 +a(g577 +VSQLCONNECT +p2657 +tp2658 +a(g7 +g961 +tp2659 +a(g7 +g1291 +tp2660 +a(g92 +V"ODBCDSN" +p2661 +tp2662 +a(g7 +g1076 +tp2663 +a(g7 +g961 +tp2664 +a(g92 +V"user" +p2665 +tp2666 +a(g7 +g1076 +tp2667 +a(g7 +g961 +tp2668 +a(g92 +V"pwd" +p2669 +tp2670 +a(g7 +g1296 +tp2671 +a(g7 +V\u000a \u000a +p2672 +tp2673 +a(g7 +g958 +tp2674 +a(g7 +g958 +tp2675 +a(g7 +g961 +tp2676 +a(g7 +g1194 +tp2677 +a(g7 +g1010 +tp2678 +a(g7 +g984 +tp2679 +a(g7 +g974 +tp2680 +a(g7 +g1079 +tp2681 +a(g7 +g982 +tp2682 +a(g7 +g984 +tp2683 +a(g7 +g961 +tp2684 +a(g7 +g971 +tp2685 +a(g7 +g961 +tp2686 +a(g7 +g1307 +tp2687 +a(g7 +g2169 +tp2688 +a(g7 +g1095 +tp2689 +a(g7 +g961 +tp2690 +a(g7 +g974 +tp2691 +a(g7 +g976 +tp2692 +a(g7 +g1013 +tp2693 +a(g7 +g1013 +tp2694 +a(g7 +g971 +tp2695 +a(g7 +g978 +tp2696 +a(g7 +g1032 +tp2697 +a(g7 +V\u000a +p2698 +tp2699 +a(g444 +VLOCAL +p2700 +tp2701 +a(g7 +g961 +tp2702 +a(g7 +g978 +tp2703 +a(g7 +g2026 +tp2704 +a(g7 +g984 +tp2705 +a(g7 +g968 +tp2706 +a(g7 +g1079 +tp2707 +a(g7 +g998 +tp2708 +a(g7 +g982 +tp2709 +a(g7 +V\u000a +p2710 +tp2711 +a(g444 +VnResult +p2712 +tp2713 +a(g7 +g961 +tp2714 +a(g7 +g1105 +tp2715 +a(g7 +g961 +tp2716 +a(g577 +VSQLEXEC +p2717 +tp2718 +a(g7 +g961 +tp2719 +a(g7 +g1291 +tp2720 +a(g7 +g978 +tp2721 +a(g7 +g1189 +tp2722 +a(g7 +g978 +tp2723 +a(g7 +g1032 +tp2724 +a(g7 +g1076 +tp2725 +a(g7 +g961 +tp2726 +a(g92 +V"USE master" +p2727 +tp2728 +a(g7 +g1296 +tp2729 +a(g7 +V\u000a +p2730 +tp2731 +a(g891 +VIF +p2732 +tp2733 +a(g7 +g961 +tp2734 +a(g7 +g978 +tp2735 +a(g7 +g2026 +tp2736 +a(g7 +g984 +tp2737 +a(g7 +g968 +tp2738 +a(g7 +g1079 +tp2739 +a(g7 +g998 +tp2740 +a(g7 +g982 +tp2741 +a(g7 +g961 +tp2742 +a(g7 +g1199 +tp2743 +a(g7 +g961 +tp2744 +a(g7 +g1060 +tp2745 +a(g7 +V\u000a +p2746 +tp2747 +a(g444 +VMESSAGEBOX +p2748 +tp2749 +a(g7 +g961 +tp2750 +a(g7 +g1291 +tp2751 +a(g92 +V"MASTER database does not exist!" +p2752 +tp2753 +a(g7 +g1296 +tp2754 +a(g7 +V\u000a +p2755 +tp2756 +a(g891 +VRETURN +p2757 +tp2758 +a(g7 +V\u000a +p2759 +tp2760 +a(g891 +VENDIF +p2761 +tp2762 +a(g7 +V\u000a \u000a +p2763 +tp2764 +a(g7 +g958 +tp2765 +a(g7 +g958 +tp2766 +a(g7 +g961 +tp2767 +a(g7 +g2026 +tp2768 +a(g7 +g984 +tp2769 +a(g7 +g982 +tp2770 +a(g7 +g1049 +tp2771 +a(g7 +g966 +tp2772 +a(g7 +g984 +tp2773 +a(g7 +g1909 +tp2774 +a(g7 +g984 +tp2775 +a(g7 +g961 +tp2776 +a(g7 +g1032 +tp2777 +a(g7 +g971 +tp2778 +a(g7 +g982 +tp2779 +a(g7 +g971 +tp2780 +a(g7 +g961 +tp2781 +a(g7 +g994 +tp2782 +a(g7 +g1049 +tp2783 +a(g7 +g976 +tp2784 +a(g7 +g1013 +tp2785 +a(g7 +g961 +tp2786 +a(g7 +g982 +tp2787 +a(g7 +g1054 +tp2788 +a(g7 +g984 +tp2789 +a(g7 +g961 +tp2790 +a(g7 +g1049 +tp2791 +a(g7 +g984 +tp2792 +a(g7 +g1013 +tp2793 +a(g7 +g976 +tp2794 +a(g7 +g982 +tp2795 +a(g7 +g984 +tp2796 +a(g7 +g961 +tp2797 +a(g632 +Vserver +p2798 +tp2799 +a(g7 +g961 +tp2800 +a(g430 +Vand +p2801 +tp2802 +a(g7 +g961 +tp2803 +a(g7 +g968 +tp2804 +a(g7 +g982 +tp2805 +a(g7 +g976 +tp2806 +a(g7 +g1049 +tp2807 +a(g7 +g984 +tp2808 +a(g7 +g968 +tp2809 +a(g7 +g961 +tp2810 +a(g7 +g966 +tp2811 +a(g7 +g982 +tp2812 +a(g7 +g961 +tp2813 +a(g7 +g966 +tp2814 +a(g7 +g978 +tp2815 +a(g7 +V\u000a +p2816 +tp2817 +a(g7 +g958 +tp2818 +a(g7 +g958 +tp2819 +a(g7 +g961 +tp2820 +a(g7 +g971 +tp2821 +a(g7 +g961 +tp2822 +a(g7 +g998 +tp2823 +a(g7 +g976 +tp2824 +a(g7 +g974 +tp2825 +a(g7 +g971 +tp2826 +a(g7 +g998 +tp2827 +a(g7 +g961 +tp2828 +a(g7 +g1032 +tp2829 +a(g7 +g971 +tp2830 +a(g7 +g982 +tp2831 +a(g7 +g971 +tp2832 +a(g7 +g961 +tp2833 +a(g632 +Vcursor +p2834 +tp2835 +a(g7 +V\u000a +p2836 +tp2837 +a(g444 +VnResult +p2838 +tp2839 +a(g7 +g961 +tp2840 +a(g7 +g1105 +tp2841 +a(g7 +g961 +tp2842 +a(g577 +VSQLEXEC +p2843 +tp2844 +a(g7 +g961 +tp2845 +a(g7 +g1291 +tp2846 +a(g7 +g978 +tp2847 +a(g7 +g1189 +tp2848 +a(g7 +g978 +tp2849 +a(g7 +g1032 +tp2850 +a(g7 +g1076 +tp2851 +a(g7 +g961 +tp2852 +a(g92 +V"SELECT * FROM authors" +p2853 +tp2854 +a(g7 +g1076 +tp2855 +a(g7 +g961 +tp2856 +a(g92 +V"QAUTHORS" +p2857 +tp2858 +a(g7 +g1296 +tp2859 +a(g7 +V\u000a \u000a +p2860 +tp2861 +a(g7 +g958 +tp2862 +a(g7 +g958 +tp2863 +a(g7 +g961 +tp2864 +a(g7 +g2032 +tp2865 +a(g7 +g1015 +tp2866 +a(g7 +g1032 +tp2867 +a(g7 +g971 +tp2868 +a(g7 +g982 +tp2869 +a(g7 +g984 +tp2870 +a(g7 +g961 +tp2871 +a(g7 +g971 +tp2872 +a(g7 +g961 +tp2873 +a(g7 +g1049 +tp2874 +a(g7 +g984 +tp2875 +a(g7 +g974 +tp2876 +a(g7 +g976 +tp2877 +a(g7 +g1049 +tp2878 +a(g7 +g1032 +tp2879 +a(g7 +g961 +tp2880 +a(g7 +g966 +tp2881 +a(g7 +g978 +tp2882 +a(g7 +g961 +tp2883 +a(g7 +g971 +tp2884 +a(g7 +g961 +tp2885 +a(g7 +g1049 +tp2886 +a(g7 +g984 +tp2887 +a(g7 +g1013 +tp2888 +a(g7 +g976 +tp2889 +a(g7 +g982 +tp2890 +a(g7 +g984 +tp2891 +a(g7 +g961 +tp2892 +a(g7 +g982 +tp2893 +a(g7 +g971 +tp2894 +a(g7 +g1616 +tp2895 +a(g7 +g998 +tp2896 +a(g7 +g984 +tp2897 +a(g7 +g961 +tp2898 +a(g7 +g1079 +tp2899 +a(g7 +g968 +tp2900 +a(g7 +g966 +tp2901 +a(g7 +g978 +tp2902 +a(g7 +g1052 +tp2903 +a(g7 +g961 +tp2904 +a(g7 +g1015 +tp2905 +a(g7 +g971 +tp2906 +a(g7 +g1049 +tp2907 +a(g7 +g971 +tp2908 +a(g7 +g1013 +tp2909 +a(g7 +g984 +tp2910 +a(g7 +g982 +tp2911 +a(g7 +g984 +tp2912 +a(g7 +g1049 +tp2913 +a(g7 +g968 +tp2914 +a(g7 +V\u000a +p2915 +tp2916 +a(g891 +VPRIVATE +p2917 +tp2918 +a(g7 +g961 +tp2919 +a(g7 +g974 +tp2920 +a(g7 +g1305 +tp2921 +a(g7 +g1079 +tp2922 +a(g7 +g982 +tp2923 +a(g7 +g1054 +tp2924 +a(g7 +g976 +tp2925 +a(g7 +g1049 +tp2926 +a(g7 +g1191 +tp2927 +a(g7 +g1093 +tp2928 +a(g7 +g1076 +tp2929 +a(g7 +g961 +tp2930 +a(g7 +g974 +tp2931 +a(g7 +g1305 +tp2932 +a(g7 +g1079 +tp2933 +a(g7 +g982 +tp2934 +a(g7 +g1054 +tp2935 +a(g7 +g976 +tp2936 +a(g7 +g1049 +tp2937 +a(g7 +g1415 +tp2938 +a(g7 +g971 +tp2939 +a(g7 +g1013 +tp2940 +a(g7 +g984 +tp2941 +a(g7 +V\u000a +p2942 +tp2943 +a(g444 +VcAuthorID +p2944 +tp2945 +a(g7 +g961 +tp2946 +a(g7 +g1105 +tp2947 +a(g7 +g961 +tp2948 +a(g92 +V"1001" +p2949 +tp2950 +a(g7 +V\u000a +p2951 +tp2952 +a(g444 +VcAuthorName +p2953 +tp2954 +a(g7 +g961 +tp2955 +a(g7 +g1105 +tp2956 +a(g7 +g961 +tp2957 +a(g92 +V"New name" +p2958 +tp2959 +a(g7 +V\u000a +p2960 +tp2961 +a(g444 +VnResult +p2962 +tp2963 +a(g7 +g961 +tp2964 +a(g7 +g1105 +tp2965 +a(g7 +g961 +tp2966 +a(g577 +VSQLEXEC +p2967 +tp2968 +a(g7 +g961 +tp2969 +a(g7 +g1291 +tp2970 +a(g7 +g978 +tp2971 +a(g7 +g1189 +tp2972 +a(g7 +g978 +tp2973 +a(g7 +g1032 +tp2974 +a(g7 +g1076 +tp2975 +a(g7 +g961 +tp2976 +a(g92 +V"UPDATE authors SET auth_name = ?cAuthorName WHERE auth_id = ?cAuthorID" +p2977 +tp2978 +a(g7 +g1296 +tp2979 +a(g7 +V\u000a \u000a +p2980 +tp2981 +a(g7 +g958 +tp2982 +a(g7 +g958 +tp2983 +a(g7 +g961 +tp2984 +a(g7 +g1043 +tp2985 +a(g7 +g998 +tp2986 +a(g7 +g976 +tp2987 +a(g7 +g968 +tp2988 +a(g7 +g984 +tp2989 +a(g7 +g961 +tp2990 +a(g7 +g982 +tp2991 +a(g7 +g1054 +tp2992 +a(g7 +g984 +tp2993 +a(g7 +g961 +tp2994 +a(g7 +g974 +tp2995 +a(g7 +g976 +tp2996 +a(g7 +g978 +tp2997 +a(g7 +g978 +tp2998 +a(g7 +g984 +tp2999 +a(g7 +g974 +tp3000 +a(g7 +g982 +tp3001 +a(g7 +g966 +tp3002 +a(g7 +g976 +tp3003 +a(g7 +g978 +tp3004 +a(g7 +V\u000a +p3005 +tp3006 +a(g444 +VSQLDISCONNECT +p3007 +tp3008 +a(g7 +g1291 +tp3009 +a(g7 +g978 +tp3010 +a(g7 +g1189 +tp3011 +a(g7 +g978 +tp3012 +a(g7 +g1032 +tp3013 +a(g7 +g1296 +tp3014 +a(g7 +V\u000a +p3015 +tp3016 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rb b/tests/examplefiles/output/example.rb new file mode 100644 index 0000000..debd9ac --- /dev/null +++ b/tests/examplefiles/output/example.rb @@ -0,0 +1,37674 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVmodule +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g608 +VCodeRay +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g7 +Vmodule +p964 +tp965 +a(g826 +g958 +tp966 +a(g608 +VScanners +p967 +tp968 +a(g826 +V\u000a\u000a +p969 +tp970 +a(g7 +Vclass +p971 +tp972 +a(g826 +g958 +tp973 +a(g616 +VRuby +p974 +tp975 +a(g826 +g958 +tp976 +a(g400 +V< +p977 +tp978 +a(g826 +g958 +tp979 +a(g553 +VScanner +p980 +tp981 +a(g826 +V\u000a\u000a +p982 +tp983 +a(g553 +VRESERVED_WORDS +p984 +tp985 +a(g826 +g958 +tp986 +a(g400 +V= +p987 +tp988 +a(g826 +g958 +tp989 +a(g400 +V[ +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g217 +V'and' +p994 +tp995 +a(g693 +V, +p996 +tp997 +a(g826 +g958 +tp998 +a(g217 +V'def' +p999 +tp1000 +a(g693 +g996 +tp1001 +a(g826 +g958 +tp1002 +a(g217 +V'end' +p1003 +tp1004 +a(g693 +g996 +tp1005 +a(g826 +g958 +tp1006 +a(g217 +V'in' +p1007 +tp1008 +a(g693 +g996 +tp1009 +a(g826 +g958 +tp1010 +a(g217 +V'or' +p1011 +tp1012 +a(g693 +g996 +tp1013 +a(g826 +g958 +tp1014 +a(g217 +V'unless' +p1015 +tp1016 +a(g693 +g996 +tp1017 +a(g826 +g958 +tp1018 +a(g217 +V'begin' +p1019 +tp1020 +a(g693 +g996 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g217 +V'defined?' +p1024 +tp1025 +a(g693 +g996 +tp1026 +a(g826 +g958 +tp1027 +a(g217 +V'ensure' +p1028 +tp1029 +a(g693 +g996 +tp1030 +a(g826 +g958 +tp1031 +a(g217 +V'module' +p1032 +tp1033 +a(g693 +g996 +tp1034 +a(g826 +g958 +tp1035 +a(g217 +V'redo' +p1036 +tp1037 +a(g693 +g996 +tp1038 +a(g826 +g958 +tp1039 +a(g217 +V'super' +p1040 +tp1041 +a(g693 +g996 +tp1042 +a(g826 +g958 +tp1043 +a(g217 +V'until' +p1044 +tp1045 +a(g693 +g996 +tp1046 +a(g826 +V\u000a +p1047 +tp1048 +a(g217 +V'BEGIN' +p1049 +tp1050 +a(g693 +g996 +tp1051 +a(g826 +g958 +tp1052 +a(g217 +V'break' +p1053 +tp1054 +a(g693 +g996 +tp1055 +a(g826 +g958 +tp1056 +a(g217 +V'do' +p1057 +tp1058 +a(g693 +g996 +tp1059 +a(g826 +g958 +tp1060 +a(g217 +V'next' +p1061 +tp1062 +a(g693 +g996 +tp1063 +a(g826 +g958 +tp1064 +a(g217 +V'rescue' +p1065 +tp1066 +a(g693 +g996 +tp1067 +a(g826 +g958 +tp1068 +a(g217 +V'then' +p1069 +tp1070 +a(g693 +g996 +tp1071 +a(g826 +V\u000a +p1072 +tp1073 +a(g217 +V'when' +p1074 +tp1075 +a(g693 +g996 +tp1076 +a(g826 +g958 +tp1077 +a(g217 +V'END' +p1078 +tp1079 +a(g693 +g996 +tp1080 +a(g826 +g958 +tp1081 +a(g217 +V'case' +p1082 +tp1083 +a(g693 +g996 +tp1084 +a(g826 +g958 +tp1085 +a(g217 +V'else' +p1086 +tp1087 +a(g693 +g996 +tp1088 +a(g826 +g958 +tp1089 +a(g217 +V'for' +p1090 +tp1091 +a(g693 +g996 +tp1092 +a(g826 +g958 +tp1093 +a(g217 +V'retry' +p1094 +tp1095 +a(g693 +g996 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g217 +V'while' +p1099 +tp1100 +a(g693 +g996 +tp1101 +a(g826 +g958 +tp1102 +a(g217 +V'alias' +p1103 +tp1104 +a(g693 +g996 +tp1105 +a(g826 +g958 +tp1106 +a(g217 +V'class' +p1107 +tp1108 +a(g693 +g996 +tp1109 +a(g826 +g958 +tp1110 +a(g217 +V'elsif' +p1111 +tp1112 +a(g693 +g996 +tp1113 +a(g826 +g958 +tp1114 +a(g217 +V'if' +p1115 +tp1116 +a(g693 +g996 +tp1117 +a(g826 +g958 +tp1118 +a(g217 +V'not' +p1119 +tp1120 +a(g693 +g996 +tp1121 +a(g826 +g958 +tp1122 +a(g217 +V'return' +p1123 +tp1124 +a(g693 +g996 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g217 +V'undef' +p1128 +tp1129 +a(g693 +g996 +tp1130 +a(g826 +g958 +tp1131 +a(g217 +V'yield' +p1132 +tp1133 +a(g693 +g996 +tp1134 +a(g826 +V\u000a +p1135 +tp1136 +a(g400 +V] +p1137 +tp1138 +a(g826 +V\u000a\u000a +p1139 +tp1140 +a(g553 +VDEF_KEYWORDS +p1141 +tp1142 +a(g826 +g958 +tp1143 +a(g400 +g987 +tp1144 +a(g826 +g958 +tp1145 +a(g400 +g990 +tp1146 +a(g217 +V'def' +p1147 +tp1148 +a(g400 +g1137 +tp1149 +a(g826 +V\u000a +p1150 +tp1151 +a(g553 +VMODULE_KEYWORDS +p1152 +tp1153 +a(g826 +g958 +tp1154 +a(g400 +g987 +tp1155 +a(g826 +g958 +tp1156 +a(g400 +g990 +tp1157 +a(g217 +V'class' +p1158 +tp1159 +a(g693 +g996 +tp1160 +a(g826 +g958 +tp1161 +a(g217 +V'module' +p1162 +tp1163 +a(g400 +g1137 +tp1164 +a(g826 +V\u000a +p1165 +tp1166 +a(g553 +VDEF_NEW_STATE +p1167 +tp1168 +a(g826 +g958 +tp1169 +a(g400 +g987 +tp1170 +a(g826 +g958 +tp1171 +a(g553 +VWordList +p1172 +tp1173 +a(g400 +V. +p1174 +tp1175 +a(g423 +Vnew +p1176 +tp1177 +a(g693 +V( +p1178 +tp1179 +a(g104 +V:initial +p1180 +tp1181 +a(g693 +V) +p1182 +tp1183 +a(g400 +g1174 +tp1184 +a(g826 +V\u000a +p1185 +tp1186 +a(g423 +Vadd +p1187 +tp1188 +a(g693 +g1178 +tp1189 +a(g553 +VDEF_KEYWORDS +p1190 +tp1191 +a(g693 +g996 +tp1192 +a(g826 +g958 +tp1193 +a(g104 +V:def_expected +p1194 +tp1195 +a(g693 +g1182 +tp1196 +a(g400 +g1174 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g423 +Vadd +p1200 +tp1201 +a(g693 +g1178 +tp1202 +a(g553 +VMODULE_KEYWORDS +p1203 +tp1204 +a(g693 +g996 +tp1205 +a(g826 +g958 +tp1206 +a(g104 +V:module_expected +p1207 +tp1208 +a(g693 +g1182 +tp1209 +a(g826 +V\u000a\u000a +p1210 +tp1211 +a(g553 +VWORDS_ALLOWING_REGEXP +p1212 +tp1213 +a(g826 +g958 +tp1214 +a(g400 +g987 +tp1215 +a(g826 +g958 +tp1216 +a(g400 +g990 +tp1217 +a(g826 +V\u000a +p1218 +tp1219 +a(g217 +V'and' +p1220 +tp1221 +a(g693 +g996 +tp1222 +a(g826 +g958 +tp1223 +a(g217 +V'or' +p1224 +tp1225 +a(g693 +g996 +tp1226 +a(g826 +g958 +tp1227 +a(g217 +V'not' +p1228 +tp1229 +a(g693 +g996 +tp1230 +a(g826 +g958 +tp1231 +a(g217 +V'while' +p1232 +tp1233 +a(g693 +g996 +tp1234 +a(g826 +g958 +tp1235 +a(g217 +V'until' +p1236 +tp1237 +a(g693 +g996 +tp1238 +a(g826 +g958 +tp1239 +a(g217 +V'unless' +p1240 +tp1241 +a(g693 +g996 +tp1242 +a(g826 +g958 +tp1243 +a(g217 +V'if' +p1244 +tp1245 +a(g693 +g996 +tp1246 +a(g826 +g958 +tp1247 +a(g217 +V'elsif' +p1248 +tp1249 +a(g693 +g996 +tp1250 +a(g826 +g958 +tp1251 +a(g217 +V'when' +p1252 +tp1253 +a(g826 +V\u000a +p1254 +tp1255 +a(g400 +g1137 +tp1256 +a(g826 +V\u000a +p1257 +tp1258 +a(g553 +VREGEXP_ALLOWED +p1259 +tp1260 +a(g826 +g958 +tp1261 +a(g400 +g987 +tp1262 +a(g826 +g958 +tp1263 +a(g553 +VWordList +p1264 +tp1265 +a(g400 +g1174 +tp1266 +a(g423 +Vnew +p1267 +tp1268 +a(g693 +g1178 +tp1269 +a(g918 +Vfalse +p1270 +tp1271 +a(g693 +g1182 +tp1272 +a(g400 +g1174 +tp1273 +a(g826 +V\u000a +p1274 +tp1275 +a(g423 +Vadd +p1276 +tp1277 +a(g693 +g1178 +tp1278 +a(g553 +VWORDS_ALLOWING_REGEXP +p1279 +tp1280 +a(g693 +g996 +tp1281 +a(g826 +g958 +tp1282 +a(g104 +V:set +p1283 +tp1284 +a(g693 +g1182 +tp1285 +a(g826 +V\u000a\u000a +p1286 +tp1287 +a(g553 +VPREDEFINED_CONSTANTS +p1288 +tp1289 +a(g826 +g958 +tp1290 +a(g400 +g987 +tp1291 +a(g826 +g958 +tp1292 +a(g400 +g990 +tp1293 +a(g826 +V\u000a +p1294 +tp1295 +a(g217 +V'nil' +p1296 +tp1297 +a(g693 +g996 +tp1298 +a(g826 +g958 +tp1299 +a(g217 +V'true' +p1300 +tp1301 +a(g693 +g996 +tp1302 +a(g826 +g958 +tp1303 +a(g217 +V'false' +p1304 +tp1305 +a(g693 +g996 +tp1306 +a(g826 +g958 +tp1307 +a(g217 +V'self' +p1308 +tp1309 +a(g693 +g996 +tp1310 +a(g826 +V\u000a +p1311 +tp1312 +a(g217 +V'DATA' +p1313 +tp1314 +a(g693 +g996 +tp1315 +a(g826 +g958 +tp1316 +a(g217 +V'ARGV' +p1317 +tp1318 +a(g693 +g996 +tp1319 +a(g826 +g958 +tp1320 +a(g217 +V'ARGF' +p1321 +tp1322 +a(g693 +g996 +tp1323 +a(g826 +g958 +tp1324 +a(g217 +V'__FILE__' +p1325 +tp1326 +a(g693 +g996 +tp1327 +a(g826 +g958 +tp1328 +a(g217 +V'__LINE__' +p1329 +tp1330 +a(g693 +g996 +tp1331 +a(g826 +V\u000a +p1332 +tp1333 +a(g400 +g1137 +tp1334 +a(g826 +V\u000a\u000a +p1335 +tp1336 +a(g553 +VIDENT_KIND +p1337 +tp1338 +a(g826 +g958 +tp1339 +a(g400 +g987 +tp1340 +a(g826 +g958 +tp1341 +a(g553 +VWordList +p1342 +tp1343 +a(g400 +g1174 +tp1344 +a(g423 +Vnew +p1345 +tp1346 +a(g693 +g1178 +tp1347 +a(g104 +V:ident +p1348 +tp1349 +a(g693 +g1182 +tp1350 +a(g400 +g1174 +tp1351 +a(g826 +V\u000a +p1352 +tp1353 +a(g423 +Vadd +p1354 +tp1355 +a(g693 +g1178 +tp1356 +a(g553 +VRESERVED_WORDS +p1357 +tp1358 +a(g693 +g996 +tp1359 +a(g826 +g958 +tp1360 +a(g104 +V:reserved +p1361 +tp1362 +a(g693 +g1182 +tp1363 +a(g400 +g1174 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g423 +Vadd +p1367 +tp1368 +a(g693 +g1178 +tp1369 +a(g553 +VPREDEFINED_CONSTANTS +p1370 +tp1371 +a(g693 +g996 +tp1372 +a(g826 +g958 +tp1373 +a(g104 +V:pre_constant +p1374 +tp1375 +a(g693 +g1182 +tp1376 +a(g826 +V\u000a\u000a +p1377 +tp1378 +a(g553 +VMETHOD_NAME +p1379 +tp1380 +a(g826 +g958 +tp1381 +a(g400 +g987 +tp1382 +a(g826 +g958 +tp1383 +a(g184 +V/ +p1384 +tp1385 +a(g184 +g958 +tp1386 +a(g112 +V#{ +p1387 +tp1388 +a(g553 +VIDENT +p1389 +tp1390 +a(g112 +V} +p1391 +tp1392 +a(g184 +V [?!]? +p1393 +tp1394 +a(g184 +V/xo +p1395 +tp1396 +a(g826 +V\u000a +p1397 +tp1398 +a(g553 +VMETHOD_NAME_EX +p1399 +tp1400 +a(g826 +g958 +tp1401 +a(g400 +g987 +tp1402 +a(g826 +g958 +tp1403 +a(g184 +g1384 +tp1404 +a(g184 +V\u000a +p1405 +tp1406 +a(g112 +V#{ +p1407 +tp1408 +a(g553 +VMETHOD_NAME +p1409 +tp1410 +a(g112 +g1391 +tp1411 +a(g184 +V +p1412 +tp1413 +a(g184 +V# +p1414 +tp1415 +a(g184 +V common methods: split, foo=, empty?, gsub!\u000a | +p1416 +tp1417 +a(g184 +V\u005c +p1418 +tp1419 +a(g184 +V* +p1420 +tp1421 +a(g184 +V\u005c +p1422 +tp1423 +a(g184 +V*? +p1424 +tp1425 +a(g184 +g1414 +tp1426 +a(g184 +V multiplication and power\u000a | [-+~]@? +p1427 +tp1428 +a(g184 +g1414 +tp1429 +a(g184 +V plus, minus\u000a | [ +p1430 +tp1431 +a(g184 +V\u005c/ +p1432 +tp1433 +a(g184 +V%&|^`] +p1434 +tp1435 +a(g184 +g1414 +tp1436 +a(g184 +V division, modulo or format strings, &and, |or, ^xor, `system`\u000a | +p1437 +tp1438 +a(g184 +V\u005c +p1439 +tp1440 +a(g184 +g990 +tp1441 +a(g184 +V\u005c +p1442 +tp1443 +a(g184 +V]=? +p1444 +tp1445 +a(g184 +g1414 +tp1446 +a(g184 +V array getter and setter\u000a | <=?>? | >=? +p1447 +tp1448 +a(g184 +g1414 +tp1449 +a(g184 +V comparison, rocket operator\u000a | << | >> +p1450 +tp1451 +a(g184 +g1414 +tp1452 +a(g184 +V append or shift left, shift right\u000a | ===? +p1453 +tp1454 +a(g184 +g1414 +tp1455 +a(g184 +V simple equality and case equality\u000a +p1456 +tp1457 +a(g184 +V/ox +p1458 +tp1459 +a(g826 +V\u000a +p1460 +tp1461 +a(g553 +VGLOBAL_VARIABLE +p1462 +tp1463 +a(g826 +g958 +tp1464 +a(g400 +g987 +tp1465 +a(g826 +g958 +tp1466 +a(g184 +g1384 +tp1467 +a(g184 +g958 +tp1468 +a(g184 +V\u005c +p1469 +tp1470 +a(g184 +V$ (?: +p1471 +tp1472 +a(g112 +V#{ +p1473 +tp1474 +a(g553 +VIDENT +p1475 +tp1476 +a(g112 +g1391 +tp1477 +a(g184 +V | +p1478 +tp1479 +a(g184 +V\u005c +p1480 +tp1481 +a(g184 +Vd+ | [~&+`'= +p1482 +tp1483 +a(g184 +V\u005c/ +p1484 +tp1485 +a(g184 +V,;_.<>!@0$?*":F +p1486 +tp1487 +a(g184 +V\u005c\u005c +p1488 +tp1489 +a(g184 +V] | -[a-zA-Z_0-9] ) +p1490 +tp1491 +a(g184 +V/ox +p1492 +tp1493 +a(g826 +V\u000a\u000a +p1494 +tp1495 +a(g553 +VDOUBLEQ +p1496 +tp1497 +a(g826 +g958 +tp1498 +a(g400 +g987 +tp1499 +a(g826 +g958 +tp1500 +a(g184 +g1384 +tp1501 +a(g184 +V " [^" +p1502 +tp1503 +a(g184 +V\u005c +p1504 +tp1505 +a(g184 +g1414 +tp1506 +a(g184 +V\u005c\u005c +p1507 +tp1508 +a(g184 +V]* (?: (?: +p1509 +tp1510 +a(g184 +V\u005c +p1511 +tp1512 +a(g184 +g1414 +tp1513 +a(g184 +V\u005c +p1514 +tp1515 +a(g184 +V{.*? +p1516 +tp1517 +a(g184 +V\u005c +p1518 +tp1519 +a(g184 +V} | +p1520 +tp1521 +a(g184 +V\u005c +p1522 +tp1523 +a(g184 +g1414 +tp1524 +a(g184 +V(?:$")? | +p1525 +tp1526 +a(g184 +V\u005c\u005c +p1527 +tp1528 +a(g184 +V. ) [^" +p1529 +tp1530 +a(g184 +V\u005c +p1531 +tp1532 +a(g184 +g1414 +tp1533 +a(g184 +V\u005c\u005c +p1534 +tp1535 +a(g184 +V]* )* "? +p1536 +tp1537 +a(g184 +V/ox +p1538 +tp1539 +a(g826 +V\u000a +p1540 +tp1541 +a(g553 +VSINGLEQ +p1542 +tp1543 +a(g826 +g958 +tp1544 +a(g400 +g987 +tp1545 +a(g826 +g958 +tp1546 +a(g184 +g1384 +tp1547 +a(g184 +V ' [^' +p1548 +tp1549 +a(g184 +V\u005c\u005c +p1550 +tp1551 +a(g184 +V]* (?: +p1552 +tp1553 +a(g184 +V\u005c\u005c +p1554 +tp1555 +a(g184 +V. [^' +p1556 +tp1557 +a(g184 +V\u005c\u005c +p1558 +tp1559 +a(g184 +V]* )* '? +p1560 +tp1561 +a(g184 +V/ox +p1562 +tp1563 +a(g826 +V\u000a +p1564 +tp1565 +a(g553 +VSTRING +p1566 +tp1567 +a(g826 +V +p1568 +tp1569 +a(g400 +g987 +tp1570 +a(g826 +g958 +tp1571 +a(g184 +g1384 +tp1572 +a(g184 +g958 +tp1573 +a(g112 +V#{ +p1574 +tp1575 +a(g553 +VSINGLEQ +p1576 +tp1577 +a(g112 +g1391 +tp1578 +a(g184 +V | +p1579 +tp1580 +a(g112 +V#{ +p1581 +tp1582 +a(g553 +VDOUBLEQ +p1583 +tp1584 +a(g112 +g1391 +tp1585 +a(g184 +g958 +tp1586 +a(g184 +V/ox +p1587 +tp1588 +a(g826 +V\u000a +p1589 +tp1590 +a(g553 +VSHELL +p1591 +tp1592 +a(g826 +V +p1593 +tp1594 +a(g400 +g987 +tp1595 +a(g826 +g958 +tp1596 +a(g184 +g1384 +tp1597 +a(g184 +V ` [^` +p1598 +tp1599 +a(g184 +V\u005c +p1600 +tp1601 +a(g184 +g1414 +tp1602 +a(g184 +V\u005c\u005c +p1603 +tp1604 +a(g184 +V]* (?: (?: +p1605 +tp1606 +a(g184 +V\u005c +p1607 +tp1608 +a(g184 +g1414 +tp1609 +a(g184 +V\u005c +p1610 +tp1611 +a(g184 +V{.*? +p1612 +tp1613 +a(g184 +V\u005c +p1614 +tp1615 +a(g184 +V} | +p1616 +tp1617 +a(g184 +V\u005c +p1618 +tp1619 +a(g184 +g1414 +tp1620 +a(g184 +V(?:$`)? | +p1621 +tp1622 +a(g184 +V\u005c\u005c +p1623 +tp1624 +a(g184 +V. ) [^` +p1625 +tp1626 +a(g184 +V\u005c +p1627 +tp1628 +a(g184 +g1414 +tp1629 +a(g184 +V\u005c\u005c +p1630 +tp1631 +a(g184 +V]* )* `? +p1632 +tp1633 +a(g184 +V/ox +p1634 +tp1635 +a(g826 +V\u000a +p1636 +tp1637 +a(g553 +VREGEXP +p1638 +tp1639 +a(g826 +V +p1640 +tp1641 +a(g400 +g987 +tp1642 +a(g826 +g958 +tp1643 +a(g184 +g1384 +tp1644 +a(g184 +g958 +tp1645 +a(g184 +V\u005c/ +p1646 +tp1647 +a(g184 +V [^ +p1648 +tp1649 +a(g184 +V\u005c/ +p1650 +tp1651 +a(g184 +V\u005c +p1652 +tp1653 +a(g184 +g1414 +tp1654 +a(g184 +V\u005c\u005c +p1655 +tp1656 +a(g184 +V]* (?: (?: +p1657 +tp1658 +a(g184 +V\u005c +p1659 +tp1660 +a(g184 +g1414 +tp1661 +a(g184 +V\u005c +p1662 +tp1663 +a(g184 +V{.*? +p1664 +tp1665 +a(g184 +V\u005c +p1666 +tp1667 +a(g184 +V} | +p1668 +tp1669 +a(g184 +V\u005c +p1670 +tp1671 +a(g184 +g1414 +tp1672 +a(g184 +V(?:$ +p1673 +tp1674 +a(g184 +V\u005c/ +p1675 +tp1676 +a(g184 +V)? | +p1677 +tp1678 +a(g184 +V\u005c\u005c +p1679 +tp1680 +a(g184 +V. ) [^ +p1681 +tp1682 +a(g184 +V\u005c/ +p1683 +tp1684 +a(g184 +V\u005c +p1685 +tp1686 +a(g184 +g1414 +tp1687 +a(g184 +V\u005c\u005c +p1688 +tp1689 +a(g184 +V]* )* +p1690 +tp1691 +a(g184 +V\u005c/ +p1692 +tp1693 +a(g184 +V? +p1694 +tp1695 +a(g184 +V/ox +p1696 +tp1697 +a(g826 +V\u000a\u000a +p1698 +tp1699 +a(g553 +VDECIMAL +p1700 +tp1701 +a(g826 +g958 +tp1702 +a(g400 +g987 +tp1703 +a(g826 +g958 +tp1704 +a(g184 +g1384 +tp1705 +a(g184 +V\u005c +p1706 +tp1707 +a(g184 +Vd+(?:_ +p1708 +tp1709 +a(g184 +V\u005c +p1710 +tp1711 +a(g184 +Vd+)* +p1712 +tp1713 +a(g184 +g1384 +tp1714 +a(g826 +V +p1715 +tp1716 +a(g745 +V# doesn't recognize 09 as octal error +p1717 +tp1718 +a(g826 +V\u000a +p1719 +tp1720 +a(g553 +VOCTAL +p1721 +tp1722 +a(g826 +g958 +tp1723 +a(g400 +g987 +tp1724 +a(g826 +g958 +tp1725 +a(g184 +g1384 +tp1726 +a(g184 +V0_?[0-7]+(?:_[0-7]+)* +p1727 +tp1728 +a(g184 +g1384 +tp1729 +a(g826 +V\u000a +p1730 +tp1731 +a(g553 +VHEXADECIMAL +p1732 +tp1733 +a(g826 +g958 +tp1734 +a(g400 +g987 +tp1735 +a(g826 +g958 +tp1736 +a(g184 +g1384 +tp1737 +a(g184 +V0x[0-9A-Fa-f]+(?:_[0-9A-Fa-f]+)* +p1738 +tp1739 +a(g184 +g1384 +tp1740 +a(g826 +V\u000a +p1741 +tp1742 +a(g553 +VBINARY +p1743 +tp1744 +a(g826 +g958 +tp1745 +a(g400 +g987 +tp1746 +a(g826 +g958 +tp1747 +a(g184 +g1384 +tp1748 +a(g184 +V0b[01]+(?:_[01]+)* +p1749 +tp1750 +a(g184 +g1384 +tp1751 +a(g826 +V\u000a\u000a +p1752 +tp1753 +a(g553 +VEXPONENT +p1754 +tp1755 +a(g826 +g958 +tp1756 +a(g400 +g987 +tp1757 +a(g826 +g958 +tp1758 +a(g184 +g1384 +tp1759 +a(g184 +V [eE] [+-]? +p1760 +tp1761 +a(g112 +V#{ +p1762 +tp1763 +a(g553 +VDECIMAL +p1764 +tp1765 +a(g112 +g1391 +tp1766 +a(g184 +g958 +tp1767 +a(g184 +V/ox +p1768 +tp1769 +a(g826 +V\u000a +p1770 +tp1771 +a(g553 +VFLOAT +p1772 +tp1773 +a(g826 +g958 +tp1774 +a(g400 +g987 +tp1775 +a(g826 +g958 +tp1776 +a(g184 +g1384 +tp1777 +a(g184 +g958 +tp1778 +a(g112 +V#{ +p1779 +tp1780 +a(g553 +VDECIMAL +p1781 +tp1782 +a(g112 +g1391 +tp1783 +a(g184 +V (?: +p1784 +tp1785 +a(g112 +V#{ +p1786 +tp1787 +a(g553 +VEXPONENT +p1788 +tp1789 +a(g112 +g1391 +tp1790 +a(g184 +V | +p1791 +tp1792 +a(g184 +V\u005c +p1793 +tp1794 +a(g184 +V. +p1795 +tp1796 +a(g112 +V#{ +p1797 +tp1798 +a(g553 +VDECIMAL +p1799 +tp1800 +a(g112 +g1391 +tp1801 +a(g184 +g958 +tp1802 +a(g112 +V#{ +p1803 +tp1804 +a(g553 +VEXPONENT +p1805 +tp1806 +a(g112 +g1391 +tp1807 +a(g184 +V? ) +p1808 +tp1809 +a(g184 +g1384 +tp1810 +a(g826 +V\u000a +p1811 +tp1812 +a(g553 +VINTEGER +p1813 +tp1814 +a(g826 +g958 +tp1815 +a(g400 +g987 +tp1816 +a(g826 +g958 +tp1817 +a(g184 +g1384 +tp1818 +a(g112 +V#{ +p1819 +tp1820 +a(g553 +VOCTAL +p1821 +tp1822 +a(g112 +g1391 +tp1823 +a(g184 +V| +p1824 +tp1825 +a(g112 +V#{ +p1826 +tp1827 +a(g553 +VHEXADECIMAL +p1828 +tp1829 +a(g112 +g1391 +tp1830 +a(g184 +g1824 +tp1831 +a(g112 +V#{ +p1832 +tp1833 +a(g553 +VBINARY +p1834 +tp1835 +a(g112 +g1391 +tp1836 +a(g184 +g1824 +tp1837 +a(g112 +V#{ +p1838 +tp1839 +a(g553 +VDECIMAL +p1840 +tp1841 +a(g112 +g1391 +tp1842 +a(g184 +g1384 +tp1843 +a(g826 +V\u000a\u000a +p1844 +tp1845 +a(g7 +Vdef +p1846 +tp1847 +a(g826 +g958 +tp1848 +a(g561 +Vreset +p1849 +tp1850 +a(g826 +V\u000a +p1851 +tp1852 +a(g7 +Vsuper +p1853 +tp1854 +a(g826 +V\u000a +p1855 +tp1856 +a(g449 +V@regexp_allowed +p1857 +tp1858 +a(g826 +g958 +tp1859 +a(g400 +g987 +tp1860 +a(g826 +g958 +tp1861 +a(g918 +Vfalse +p1862 +tp1863 +a(g826 +V\u000a +p1864 +tp1865 +a(g7 +Vend +p1866 +tp1867 +a(g826 +V\u000a\u000a +p1868 +tp1869 +a(g7 +Vdef +p1870 +tp1871 +a(g826 +g958 +tp1872 +a(g561 +Vnext_token +p1873 +tp1874 +a(g826 +V\u000a +p1875 +tp1876 +a(g7 +Vreturn +p1877 +tp1878 +a(g826 +g958 +tp1879 +a(g7 +Vif +p1880 +tp1881 +a(g826 +g958 +tp1882 +a(g449 +V@scanner +p1883 +tp1884 +a(g400 +g1174 +tp1885 +a(g423 +Veos? +p1886 +tp1887 +a(g826 +V\u000a\u000a +p1888 +tp1889 +a(g423 +Vkind +p1890 +tp1891 +a(g826 +g958 +tp1892 +a(g400 +g987 +tp1893 +a(g826 +g958 +tp1894 +a(g104 +V:error +p1895 +tp1896 +a(g826 +V\u000a +p1897 +tp1898 +a(g7 +Vif +p1899 +tp1900 +a(g826 +g958 +tp1901 +a(g449 +V@scanner +p1902 +tp1903 +a(g400 +g1174 +tp1904 +a(g423 +Vscan +p1905 +tp1906 +a(g693 +g1178 +tp1907 +a(g184 +g1384 +tp1908 +a(g184 +V\u005c +p1909 +tp1910 +a(g184 +Vs+ +p1911 +tp1912 +a(g184 +g1384 +tp1913 +a(g693 +g1182 +tp1914 +a(g826 +V +p1915 +tp1916 +a(g745 +V# in every state +p1917 +tp1918 +a(g826 +V\u000a +p1919 +tp1920 +a(g423 +Vkind +p1921 +tp1922 +a(g826 +g958 +tp1923 +a(g400 +g987 +tp1924 +a(g826 +g958 +tp1925 +a(g104 +V:space +p1926 +tp1927 +a(g826 +V\u000a +p1928 +tp1929 +a(g449 +V@regexp_allowed +p1930 +tp1931 +a(g826 +g958 +tp1932 +a(g400 +g987 +tp1933 +a(g826 +g958 +tp1934 +a(g104 +V:set +p1935 +tp1936 +a(g826 +g958 +tp1937 +a(g7 +Vif +p1938 +tp1939 +a(g826 +g958 +tp1940 +a(g449 +V@regexp_allowed +p1941 +tp1942 +a(g826 +g958 +tp1943 +a(g414 +Vor +p1944 +tp1945 +a(g826 +g958 +tp1946 +a(g449 +V@scanner +p1947 +tp1948 +a(g400 +g1174 +tp1949 +a(g423 +Vmatched +p1950 +tp1951 +a(g400 +g1174 +tp1952 +a(g423 +Vindex +p1953 +tp1954 +a(g693 +g1178 +tp1955 +a(g80 +V?\u005cn +p1956 +tp1957 +a(g693 +g1182 +tp1958 +a(g826 +V +p1959 +tp1960 +a(g745 +V# delayed flag setting +p1961 +tp1962 +a(g826 +V\u000a\u000a +p1963 +tp1964 +a(g7 +Velsif +p1965 +tp1966 +a(g826 +g958 +tp1967 +a(g449 +V@state +p1968 +tp1969 +a(g826 +g958 +tp1970 +a(g400 +V== +p1971 +tp1972 +a(g826 +g958 +tp1973 +a(g104 +V:def_expected +p1974 +tp1975 +a(g826 +V\u000a +p1976 +tp1977 +a(g7 +Vif +p1978 +tp1979 +a(g826 +g958 +tp1980 +a(g449 +V@scanner +p1981 +tp1982 +a(g400 +g1174 +tp1983 +a(g423 +Vscan +p1984 +tp1985 +a(g693 +g1178 +tp1986 +a(g184 +g1384 +tp1987 +a(g184 +V (?: (?: +p1988 +tp1989 +a(g112 +V#{ +p1990 +tp1991 +a(g553 +VIDENT +p1992 +tp1993 +a(g112 +g1391 +tp1994 +a(g184 +V(?: +p1995 +tp1996 +a(g184 +V\u005c +p1997 +tp1998 +a(g184 +V.|::))* | (?:@@?|$)? +p1999 +tp2000 +a(g112 +V#{ +p2001 +tp2002 +a(g553 +VIDENT +p2003 +tp2004 +a(g112 +g1391 +tp2005 +a(g184 +V(?: +p2006 +tp2007 +a(g184 +V\u005c +p2008 +tp2009 +a(g184 +V.|::) ) +p2010 +tp2011 +a(g112 +V#{ +p2012 +tp2013 +a(g553 +VMETHOD_NAME_EX +p2014 +tp2015 +a(g112 +g1391 +tp2016 +a(g184 +g958 +tp2017 +a(g184 +V/ox +p2018 +tp2019 +a(g693 +g1182 +tp2020 +a(g826 +V\u000a +p2021 +tp2022 +a(g423 +Vkind +p2023 +tp2024 +a(g826 +g958 +tp2025 +a(g400 +g987 +tp2026 +a(g826 +g958 +tp2027 +a(g104 +V:method +p2028 +tp2029 +a(g826 +V\u000a +p2030 +tp2031 +a(g449 +V@state +p2032 +tp2033 +a(g826 +g958 +tp2034 +a(g400 +g987 +tp2035 +a(g826 +g958 +tp2036 +a(g104 +V:initial +p2037 +tp2038 +a(g826 +V\u000a +p2039 +tp2040 +a(g7 +Velse +p2041 +tp2042 +a(g826 +V\u000a +p2043 +tp2044 +a(g449 +V@scanner +p2045 +tp2046 +a(g400 +g1174 +tp2047 +a(g423 +Vgetch +p2048 +tp2049 +a(g826 +V\u000a +p2050 +tp2051 +a(g7 +Vend +p2052 +tp2053 +a(g826 +V\u000a +p2054 +tp2055 +a(g449 +V@state +p2056 +tp2057 +a(g826 +g958 +tp2058 +a(g400 +g987 +tp2059 +a(g826 +g958 +tp2060 +a(g104 +V:initial +p2061 +tp2062 +a(g826 +V\u000a\u000a +p2063 +tp2064 +a(g7 +Velsif +p2065 +tp2066 +a(g826 +g958 +tp2067 +a(g449 +V@state +p2068 +tp2069 +a(g826 +g958 +tp2070 +a(g400 +V== +p2071 +tp2072 +a(g826 +g958 +tp2073 +a(g104 +V:module_expected +p2074 +tp2075 +a(g826 +V\u000a +p2076 +tp2077 +a(g7 +Vif +p2078 +tp2079 +a(g826 +g958 +tp2080 +a(g449 +V@scanner +p2081 +tp2082 +a(g400 +g1174 +tp2083 +a(g423 +Vscan +p2084 +tp2085 +a(g693 +g1178 +tp2086 +a(g184 +g1384 +tp2087 +a(g184 +V<< +p2088 +tp2089 +a(g184 +g1384 +tp2090 +a(g693 +g1182 +tp2091 +a(g826 +V\u000a +p2092 +tp2093 +a(g423 +Vkind +p2094 +tp2095 +a(g826 +g958 +tp2096 +a(g400 +g987 +tp2097 +a(g826 +g958 +tp2098 +a(g104 +V:operator +p2099 +tp2100 +a(g826 +V\u000a +p2101 +tp2102 +a(g7 +Velse +p2103 +tp2104 +a(g826 +V\u000a +p2105 +tp2106 +a(g7 +Vif +p2107 +tp2108 +a(g826 +g958 +tp2109 +a(g449 +V@scanner +p2110 +tp2111 +a(g400 +g1174 +tp2112 +a(g423 +Vscan +p2113 +tp2114 +a(g693 +g1178 +tp2115 +a(g184 +g1384 +tp2116 +a(g184 +V (?: +p2117 +tp2118 +a(g112 +V#{ +p2119 +tp2120 +a(g553 +VIDENT +p2121 +tp2122 +a(g112 +g1391 +tp2123 +a(g184 +V (?: +p2124 +tp2125 +a(g184 +V\u005c +p2126 +tp2127 +a(g184 +V.|::))* +p2128 +tp2129 +a(g112 +V#{ +p2130 +tp2131 +a(g553 +VIDENT +p2132 +tp2133 +a(g112 +g1391 +tp2134 +a(g184 +g958 +tp2135 +a(g184 +V/ox +p2136 +tp2137 +a(g693 +g1182 +tp2138 +a(g826 +V\u000a +p2139 +tp2140 +a(g423 +Vkind +p2141 +tp2142 +a(g826 +g958 +tp2143 +a(g400 +g987 +tp2144 +a(g826 +g958 +tp2145 +a(g104 +V:method +p2146 +tp2147 +a(g826 +V\u000a +p2148 +tp2149 +a(g7 +Velse +p2150 +tp2151 +a(g826 +V\u000a +p2152 +tp2153 +a(g449 +V@scanner +p2154 +tp2155 +a(g400 +g1174 +tp2156 +a(g423 +Vgetch +p2157 +tp2158 +a(g826 +V\u000a +p2159 +tp2160 +a(g7 +Vend +p2161 +tp2162 +a(g826 +V\u000a +p2163 +tp2164 +a(g449 +V@state +p2165 +tp2166 +a(g826 +g958 +tp2167 +a(g400 +g987 +tp2168 +a(g826 +g958 +tp2169 +a(g104 +V:initial +p2170 +tp2171 +a(g826 +V\u000a +p2172 +tp2173 +a(g7 +Vend +p2174 +tp2175 +a(g826 +V\u000a\u000a +p2176 +tp2177 +a(g7 +Velsif +p2178 +tp2179 +a(g826 +g958 +tp2180 +a(g745 +V# state == :initial +p2181 +tp2182 +a(g826 +V\u000a +p2183 +tp2184 +a(g745 +V# IDENTIFIERS, KEYWORDS +p2185 +tp2186 +a(g826 +V\u000a +p2187 +tp2188 +a(g7 +Vif +p2189 +tp2190 +a(g826 +g958 +tp2191 +a(g449 +V@scanner +p2192 +tp2193 +a(g400 +g1174 +tp2194 +a(g423 +Vscan +p2195 +tp2196 +a(g693 +g1178 +tp2197 +a(g553 +VGLOBAL_VARIABLE +p2198 +tp2199 +a(g693 +g1182 +tp2200 +a(g826 +V\u000a +p2201 +tp2202 +a(g423 +Vkind +p2203 +tp2204 +a(g826 +g958 +tp2205 +a(g400 +g987 +tp2206 +a(g826 +g958 +tp2207 +a(g104 +V:global_variable +p2208 +tp2209 +a(g826 +V\u000a +p2210 +tp2211 +a(g7 +Velsif +p2212 +tp2213 +a(g826 +g958 +tp2214 +a(g449 +V@scanner +p2215 +tp2216 +a(g400 +g1174 +tp2217 +a(g423 +Vscan +p2218 +tp2219 +a(g693 +g1178 +tp2220 +a(g184 +g1384 +tp2221 +a(g184 +V @@ +p2222 +tp2223 +a(g112 +V#{ +p2224 +tp2225 +a(g553 +VIDENT +p2226 +tp2227 +a(g112 +g1391 +tp2228 +a(g184 +g958 +tp2229 +a(g184 +V/ox +p2230 +tp2231 +a(g693 +g1182 +tp2232 +a(g826 +V\u000a +p2233 +tp2234 +a(g423 +Vkind +p2235 +tp2236 +a(g826 +g958 +tp2237 +a(g400 +g987 +tp2238 +a(g826 +g958 +tp2239 +a(g104 +V:class_variable +p2240 +tp2241 +a(g826 +V\u000a +p2242 +tp2243 +a(g7 +Velsif +p2244 +tp2245 +a(g826 +g958 +tp2246 +a(g449 +V@scanner +p2247 +tp2248 +a(g400 +g1174 +tp2249 +a(g423 +Vscan +p2250 +tp2251 +a(g693 +g1178 +tp2252 +a(g184 +g1384 +tp2253 +a(g184 +V @ +p2254 +tp2255 +a(g112 +V#{ +p2256 +tp2257 +a(g553 +VIDENT +p2258 +tp2259 +a(g112 +g1391 +tp2260 +a(g184 +g958 +tp2261 +a(g184 +V/ox +p2262 +tp2263 +a(g693 +g1182 +tp2264 +a(g826 +V\u000a +p2265 +tp2266 +a(g423 +Vkind +p2267 +tp2268 +a(g826 +g958 +tp2269 +a(g400 +g987 +tp2270 +a(g826 +g958 +tp2271 +a(g104 +V:instance_variable +p2272 +tp2273 +a(g826 +V\u000a +p2274 +tp2275 +a(g7 +Velsif +p2276 +tp2277 +a(g826 +g958 +tp2278 +a(g449 +V@scanner +p2279 +tp2280 +a(g400 +g1174 +tp2281 +a(g423 +Vscan +p2282 +tp2283 +a(g693 +g1178 +tp2284 +a(g184 +g1384 +tp2285 +a(g184 +V __END__ +p2286 +tp2287 +a(g184 +V\u005c +p2288 +tp2289 +a(g184 +Vn ( (?! +p2290 +tp2291 +a(g184 +V\u005c +p2292 +tp2293 +a(g184 +g1414 +tp2294 +a(g184 +VCODE +p2295 +tp2296 +a(g184 +V\u005c +p2297 +tp2298 +a(g184 +g1414 +tp2299 +a(g184 +V) .* )? | +p2300 +tp2301 +a(g184 +V\u005c +p2302 +tp2303 +a(g184 +g1414 +tp2304 +a(g184 +V[^ +p2305 +tp2306 +a(g184 +V\u005c +p2307 +tp2308 +a(g184 +Vn]* | =begin(?= +p2309 +tp2310 +a(g184 +V\u005c +p2311 +tp2312 +a(g184 +Vs).*? +p2313 +tp2314 +a(g184 +V\u005c +p2315 +tp2316 +a(g184 +Vn=end(?= +p2317 +tp2318 +a(g184 +V\u005c +p2319 +tp2320 +a(g184 +Vs| +p2321 +tp2322 +a(g184 +V\u005c +p2323 +tp2324 +a(g184 +Vz)(?:[^ +p2325 +tp2326 +a(g184 +V\u005c +p2327 +tp2328 +a(g184 +Vn]*)? +p2329 +tp2330 +a(g184 +V/mx +p2331 +tp2332 +a(g693 +g1182 +tp2333 +a(g826 +V\u000a +p2334 +tp2335 +a(g423 +Vkind +p2336 +tp2337 +a(g826 +g958 +tp2338 +a(g400 +g987 +tp2339 +a(g826 +g958 +tp2340 +a(g104 +V:comment +p2341 +tp2342 +a(g826 +V\u000a +p2343 +tp2344 +a(g7 +Velsif +p2345 +tp2346 +a(g826 +g958 +tp2347 +a(g449 +V@scanner +p2348 +tp2349 +a(g400 +g1174 +tp2350 +a(g423 +Vscan +p2351 +tp2352 +a(g693 +g1178 +tp2353 +a(g553 +VMETHOD_NAME +p2354 +tp2355 +a(g693 +g1182 +tp2356 +a(g826 +V\u000a +p2357 +tp2358 +a(g7 +Vif +p2359 +tp2360 +a(g826 +g958 +tp2361 +a(g449 +V@last_token_dot +p2362 +tp2363 +a(g826 +V\u000a +p2364 +tp2365 +a(g423 +Vkind +p2366 +tp2367 +a(g826 +g958 +tp2368 +a(g400 +g987 +tp2369 +a(g826 +g958 +tp2370 +a(g104 +V:ident +p2371 +tp2372 +a(g826 +V\u000a +p2373 +tp2374 +a(g7 +Velse +p2375 +tp2376 +a(g826 +V\u000a +p2377 +tp2378 +a(g423 +Vmatched +p2379 +tp2380 +a(g826 +g958 +tp2381 +a(g400 +g987 +tp2382 +a(g826 +g958 +tp2383 +a(g449 +V@scanner +p2384 +tp2385 +a(g400 +g1174 +tp2386 +a(g423 +Vmatched +p2387 +tp2388 +a(g826 +V\u000a +p2389 +tp2390 +a(g423 +Vkind +p2391 +tp2392 +a(g826 +g958 +tp2393 +a(g400 +g987 +tp2394 +a(g826 +g958 +tp2395 +a(g553 +VIDENT_KIND +p2396 +tp2397 +a(g400 +g990 +tp2398 +a(g423 +Vmatched +p2399 +tp2400 +a(g400 +g1137 +tp2401 +a(g826 +V\u000a +p2402 +tp2403 +a(g7 +Vif +p2404 +tp2405 +a(g826 +g958 +tp2406 +a(g423 +Vkind +p2407 +tp2408 +a(g826 +g958 +tp2409 +a(g400 +V== +p2410 +tp2411 +a(g826 +g958 +tp2412 +a(g104 +V:ident +p2413 +tp2414 +a(g826 +g958 +tp2415 +a(g414 +Vand +p2416 +tp2417 +a(g826 +g958 +tp2418 +a(g423 +Vmatched +p2419 +tp2420 +a(g826 +g958 +tp2421 +a(g400 +V=~ +p2422 +tp2423 +a(g826 +g958 +tp2424 +a(g184 +g1384 +tp2425 +a(g184 +V^[A-Z] +p2426 +tp2427 +a(g184 +g1384 +tp2428 +a(g826 +V\u000a +p2429 +tp2430 +a(g423 +Vkind +p2431 +tp2432 +a(g826 +g958 +tp2433 +a(g400 +g987 +tp2434 +a(g826 +g958 +tp2435 +a(g104 +V:constant +p2436 +tp2437 +a(g826 +V\u000a +p2438 +tp2439 +a(g7 +Velsif +p2440 +tp2441 +a(g826 +g958 +tp2442 +a(g423 +Vkind +p2443 +tp2444 +a(g826 +g958 +tp2445 +a(g400 +V== +p2446 +tp2447 +a(g826 +g958 +tp2448 +a(g104 +V:reserved +p2449 +tp2450 +a(g826 +V\u000a +p2451 +tp2452 +a(g449 +V@state +p2453 +tp2454 +a(g826 +g958 +tp2455 +a(g400 +g987 +tp2456 +a(g826 +g958 +tp2457 +a(g553 +VDEF_NEW_STATE +p2458 +tp2459 +a(g400 +g990 +tp2460 +a(g423 +Vmatched +p2461 +tp2462 +a(g400 +g1137 +tp2463 +a(g826 +V\u000a +p2464 +tp2465 +a(g449 +V@regexp_allowed +p2466 +tp2467 +a(g826 +g958 +tp2468 +a(g400 +g987 +tp2469 +a(g826 +g958 +tp2470 +a(g553 +VREGEXP_ALLOWED +p2471 +tp2472 +a(g400 +g990 +tp2473 +a(g423 +Vmatched +p2474 +tp2475 +a(g400 +g1137 +tp2476 +a(g826 +V\u000a +p2477 +tp2478 +a(g7 +Vend +p2479 +tp2480 +a(g826 +V\u000a +p2481 +tp2482 +a(g7 +Vend +p2483 +tp2484 +a(g826 +V\u000a\u000a +p2485 +tp2486 +a(g7 +Velsif +p2487 +tp2488 +a(g826 +g958 +tp2489 +a(g449 +V@scanner +p2490 +tp2491 +a(g400 +g1174 +tp2492 +a(g423 +Vscan +p2493 +tp2494 +a(g693 +g1178 +tp2495 +a(g553 +VSTRING +p2496 +tp2497 +a(g693 +g1182 +tp2498 +a(g826 +V\u000a +p2499 +tp2500 +a(g423 +Vkind +p2501 +tp2502 +a(g826 +g958 +tp2503 +a(g400 +g987 +tp2504 +a(g826 +g958 +tp2505 +a(g104 +V:string +p2506 +tp2507 +a(g826 +V\u000a +p2508 +tp2509 +a(g7 +Velsif +p2510 +tp2511 +a(g826 +g958 +tp2512 +a(g449 +V@scanner +p2513 +tp2514 +a(g400 +g1174 +tp2515 +a(g423 +Vscan +p2516 +tp2517 +a(g693 +g1178 +tp2518 +a(g553 +VSHELL +p2519 +tp2520 +a(g693 +g1182 +tp2521 +a(g826 +V\u000a +p2522 +tp2523 +a(g423 +Vkind +p2524 +tp2525 +a(g826 +g958 +tp2526 +a(g400 +g987 +tp2527 +a(g826 +g958 +tp2528 +a(g104 +V:shell +p2529 +tp2530 +a(g826 +V\u000a +p2531 +tp2532 +a(g7 +Velsif +p2533 +tp2534 +a(g826 +g958 +tp2535 +a(g449 +V@scanner +p2536 +tp2537 +a(g400 +g1174 +tp2538 +a(g423 +Vscan +p2539 +tp2540 +a(g693 +g1178 +tp2541 +a(g184 +g1384 +tp2542 +a(g184 +V<<\u000a (?:\u000a ([a-zA-Z_0-9]+)\u000a (?: .*? ^ +p2543 +tp2544 +a(g184 +V\u005c +p2545 +tp2546 +a(g184 +V1$ | .* )\u000a |\u000a -([a-zA-Z_0-9]+)\u000a (?: .*? ^ +p2547 +tp2548 +a(g184 +V\u005c +p2549 +tp2550 +a(g184 +Vs* +p2551 +tp2552 +a(g184 +V\u005c +p2553 +tp2554 +a(g184 +V2$ | .* )\u000a |\u000a ([" +p2555 +tp2556 +a(g184 +V\u005c +p2557 +tp2558 +a(g184 +V'`]) (.+?) +p2559 +tp2560 +a(g184 +V\u005c +p2561 +tp2562 +a(g184 +V3\u000a (?: .*? ^ +p2563 +tp2564 +a(g184 +V\u005c +p2565 +tp2566 +a(g184 +V4$ | .* )\u000a |\u000a - ([" +p2567 +tp2568 +a(g184 +V\u005c +p2569 +tp2570 +a(g184 +V'`]) (.+?) +p2571 +tp2572 +a(g184 +V\u005c +p2573 +tp2574 +a(g184 +V5\u000a (?: .*? ^ +p2575 +tp2576 +a(g184 +V\u005c +p2577 +tp2578 +a(g184 +Vs* +p2579 +tp2580 +a(g184 +V\u005c +p2581 +tp2582 +a(g184 +V6$ | .* )\u000a )\u000a +p2583 +tp2584 +a(g184 +V/mxo +p2585 +tp2586 +a(g693 +g1182 +tp2587 +a(g826 +V\u000a +p2588 +tp2589 +a(g423 +Vkind +p2590 +tp2591 +a(g826 +g958 +tp2592 +a(g400 +g987 +tp2593 +a(g826 +g958 +tp2594 +a(g104 +V:string +p2595 +tp2596 +a(g826 +V\u000a +p2597 +tp2598 +a(g7 +Velsif +p2599 +tp2600 +a(g826 +g958 +tp2601 +a(g449 +V@scanner +p2602 +tp2603 +a(g400 +g1174 +tp2604 +a(g423 +Vscan +p2605 +tp2606 +a(g693 +g1178 +tp2607 +a(g184 +g1384 +tp2608 +a(g184 +V\u005c/ +p2609 +tp2610 +a(g184 +g1384 +tp2611 +a(g693 +g1182 +tp2612 +a(g826 +g958 +tp2613 +a(g414 +Vand +p2614 +tp2615 +a(g826 +g958 +tp2616 +a(g449 +V@regexp_allowed +p2617 +tp2618 +a(g826 +V\u000a +p2619 +tp2620 +a(g449 +V@scanner +p2621 +tp2622 +a(g400 +g1174 +tp2623 +a(g423 +Vunscan +p2624 +tp2625 +a(g826 +V\u000a +p2626 +tp2627 +a(g449 +V@scanner +p2628 +tp2629 +a(g400 +g1174 +tp2630 +a(g423 +Vscan +p2631 +tp2632 +a(g693 +g1178 +tp2633 +a(g553 +VREGEXP +p2634 +tp2635 +a(g693 +g1182 +tp2636 +a(g826 +V\u000a +p2637 +tp2638 +a(g423 +Vkind +p2639 +tp2640 +a(g826 +g958 +tp2641 +a(g400 +g987 +tp2642 +a(g826 +g958 +tp2643 +a(g104 +V:regexp +p2644 +tp2645 +a(g826 +V\u000a +p2646 +tp2647 +a(g184 +g1384 +tp2648 +a(g184 +V%(?:[Qqxrw](?: +p2649 +tp2650 +a(g184 +V\u005c +p2651 +tp2652 +a(g184 +V([^) +p2653 +tp2654 +a(g184 +g1414 +tp2655 +a(g184 +V\u005c\u005c +p2656 +tp2657 +a(g184 +V\u005c\u005c +p2658 +tp2659 +a(g184 +V]*(?:(?: +p2660 +tp2661 +a(g184 +g1414 +tp2662 +a(g184 +V\u005c +p2663 +tp2664 +a(g184 +V{.*? +p2665 +tp2666 +a(g184 +V\u005c +p2667 +tp2668 +a(g184 +V}| +p2669 +tp2670 +a(g184 +g1414 +tp2671 +a(g184 +g1824 +tp2672 +a(g184 +V\u005c\u005c +p2673 +tp2674 +a(g184 +V\u005c\u005c +p2675 +tp2676 +a(g184 +V.)[^) +p2677 +tp2678 +a(g184 +g1414 +tp2679 +a(g184 +V\u005c\u005c +p2680 +tp2681 +a(g184 +V\u005c\u005c +p2682 +tp2683 +a(g184 +V]*)* +p2684 +tp2685 +a(g184 +V\u005c +p2686 +tp2687 +a(g184 +V)?| +p2688 +tp2689 +a(g184 +V\u005c +p2690 +tp2691 +a(g184 +V[[^ +p2692 +tp2693 +a(g184 +V\u005c +p2694 +tp2695 +a(g184 +g1137 +tp2696 +a(g184 +g1414 +tp2697 +a(g184 +V\u005c\u005c +p2698 +tp2699 +a(g184 +V\u005c\u005c +p2700 +tp2701 +a(g184 +V]*(?:(?: +p2702 +tp2703 +a(g184 +g1414 +tp2704 +a(g184 +V\u005c +p2705 +tp2706 +a(g184 +V{.*? +p2707 +tp2708 +a(g184 +V\u005c +p2709 +tp2710 +a(g184 +V}| +p2711 +tp2712 +a(g184 +g1414 +tp2713 +a(g184 +g1824 +tp2714 +a(g184 +V\u005c\u005c +p2715 +tp2716 +a(g184 +V\u005c\u005c +p2717 +tp2718 +a(g184 +V.)[^ +p2719 +tp2720 +a(g184 +V\u005c +p2721 +tp2722 +a(g184 +g1137 +tp2723 +a(g184 +g1414 +tp2724 +a(g184 +V\u005c\u005c +p2725 +tp2726 +a(g184 +V\u005c\u005c +p2727 +tp2728 +a(g184 +V]*)* +p2729 +tp2730 +a(g184 +V\u005c +p2731 +tp2732 +a(g184 +V]?| +p2733 +tp2734 +a(g184 +V\u005c +p2735 +tp2736 +a(g184 +V{[^} +p2737 +tp2738 +a(g184 +g1414 +tp2739 +a(g184 +V\u005c\u005c +p2740 +tp2741 +a(g184 +V\u005c\u005c +p2742 +tp2743 +a(g184 +V]*(?:(?: +p2744 +tp2745 +a(g184 +g1414 +tp2746 +a(g184 +V\u005c +p2747 +tp2748 +a(g184 +V{.*? +p2749 +tp2750 +a(g184 +V\u005c +p2751 +tp2752 +a(g184 +V}| +p2753 +tp2754 +a(g184 +g1414 +tp2755 +a(g184 +g1824 +tp2756 +a(g184 +V\u005c\u005c +p2757 +tp2758 +a(g184 +V\u005c\u005c +p2759 +tp2760 +a(g184 +V.)[^} +p2761 +tp2762 +a(g184 +g1414 +tp2763 +a(g184 +V\u005c\u005c +p2764 +tp2765 +a(g184 +V\u005c\u005c +p2766 +tp2767 +a(g184 +V]*)* +p2768 +tp2769 +a(g184 +V\u005c +p2770 +tp2771 +a(g184 +V}?|<[^> +p2772 +tp2773 +a(g184 +g1414 +tp2774 +a(g184 +V\u005c\u005c +p2775 +tp2776 +a(g184 +V\u005c\u005c +p2777 +tp2778 +a(g184 +V]*(?:(?: +p2779 +tp2780 +a(g184 +g1414 +tp2781 +a(g184 +V\u005c +p2782 +tp2783 +a(g184 +V{.*? +p2784 +tp2785 +a(g184 +V\u005c +p2786 +tp2787 +a(g184 +V}| +p2788 +tp2789 +a(g184 +g1414 +tp2790 +a(g184 +g1824 +tp2791 +a(g184 +V\u005c\u005c +p2792 +tp2793 +a(g184 +V\u005c\u005c +p2794 +tp2795 +a(g184 +V.)[^> +p2796 +tp2797 +a(g184 +g1414 +tp2798 +a(g184 +V\u005c\u005c +p2799 +tp2800 +a(g184 +V\u005c\u005c +p2801 +tp2802 +a(g184 +V]*)*>?|([^a-zA-Z +p2803 +tp2804 +a(g184 +V\u005c\u005c +p2805 +tp2806 +a(g184 +V\u005c\u005c +p2807 +tp2808 +a(g184 +V])(?:(?! +p2809 +tp2810 +a(g184 +V\u005c +p2811 +tp2812 +a(g184 +V1)[^ +p2813 +tp2814 +a(g184 +g1414 +tp2815 +a(g184 +V\u005c\u005c +p2816 +tp2817 +a(g184 +V\u005c\u005c +p2818 +tp2819 +a(g184 +V])*(?:(?: +p2820 +tp2821 +a(g184 +g1414 +tp2822 +a(g184 +V\u005c +p2823 +tp2824 +a(g184 +V{.*? +p2825 +tp2826 +a(g184 +V\u005c +p2827 +tp2828 +a(g184 +V}| +p2829 +tp2830 +a(g184 +g1414 +tp2831 +a(g184 +g1824 +tp2832 +a(g184 +V\u005c\u005c +p2833 +tp2834 +a(g184 +V\u005c\u005c +p2835 +tp2836 +a(g184 +V.)(?:(?! +p2837 +tp2838 +a(g184 +V\u005c +p2839 +tp2840 +a(g184 +V1)[^ +p2841 +tp2842 +a(g184 +g1414 +tp2843 +a(g184 +V\u005c\u005c +p2844 +tp2845 +a(g184 +V\u005c\u005c +p2846 +tp2847 +a(g184 +V])*)* +p2848 +tp2849 +a(g184 +V\u005c +p2850 +tp2851 +a(g184 +V1?)| +p2852 +tp2853 +a(g184 +V\u005c +p2854 +tp2855 +a(g184 +V([^) +p2856 +tp2857 +a(g184 +g1414 +tp2858 +a(g184 +V\u005c\u005c +p2859 +tp2860 +a(g184 +V\u005c\u005c +p2861 +tp2862 +a(g184 +V]*(?:(?: +p2863 +tp2864 +a(g184 +g1414 +tp2865 +a(g184 +V\u005c +p2866 +tp2867 +a(g184 +V{.*? +p2868 +tp2869 +a(g184 +V\u005c +p2870 +tp2871 +a(g184 +V}| +p2872 +tp2873 +a(g184 +g1414 +tp2874 +a(g184 +g1824 +tp2875 +a(g184 +V\u005c\u005c +p2876 +tp2877 +a(g184 +V\u005c\u005c +p2878 +tp2879 +a(g184 +V.)[^) +p2880 +tp2881 +a(g184 +g1414 +tp2882 +a(g184 +V\u005c\u005c +p2883 +tp2884 +a(g184 +V\u005c\u005c +p2885 +tp2886 +a(g184 +V]*)* +p2887 +tp2888 +a(g184 +V\u005c +p2889 +tp2890 +a(g184 +V)?| +p2891 +tp2892 +a(g184 +V\u005c +p2893 +tp2894 +a(g184 +V[[^ +p2895 +tp2896 +a(g184 +V\u005c +p2897 +tp2898 +a(g184 +g1137 +tp2899 +a(g184 +g1414 +tp2900 +a(g184 +V\u005c\u005c +p2901 +tp2902 +a(g184 +V\u005c\u005c +p2903 +tp2904 +a(g184 +V]*(?:(?: +p2905 +tp2906 +a(g184 +g1414 +tp2907 +a(g184 +V\u005c +p2908 +tp2909 +a(g184 +V{.*? +p2910 +tp2911 +a(g184 +V\u005c +p2912 +tp2913 +a(g184 +V}| +p2914 +tp2915 +a(g184 +g1414 +tp2916 +a(g184 +g1824 +tp2917 +a(g184 +V\u005c\u005c +p2918 +tp2919 +a(g184 +V\u005c\u005c +p2920 +tp2921 +a(g184 +V.)[^ +p2922 +tp2923 +a(g184 +V\u005c +p2924 +tp2925 +a(g184 +g1137 +tp2926 +a(g184 +g1414 +tp2927 +a(g184 +V\u005c\u005c +p2928 +tp2929 +a(g184 +V\u005c\u005c +p2930 +tp2931 +a(g184 +V]*)* +p2932 +tp2933 +a(g184 +V\u005c +p2934 +tp2935 +a(g184 +V]?| +p2936 +tp2937 +a(g184 +V\u005c +p2938 +tp2939 +a(g184 +V{[^} +p2940 +tp2941 +a(g184 +g1414 +tp2942 +a(g184 +V\u005c\u005c +p2943 +tp2944 +a(g184 +V\u005c\u005c +p2945 +tp2946 +a(g184 +V]*(?:(?: +p2947 +tp2948 +a(g184 +g1414 +tp2949 +a(g184 +V\u005c +p2950 +tp2951 +a(g184 +V{.*? +p2952 +tp2953 +a(g184 +V\u005c +p2954 +tp2955 +a(g184 +V}| +p2956 +tp2957 +a(g184 +g1414 +tp2958 +a(g184 +g1824 +tp2959 +a(g184 +V\u005c\u005c +p2960 +tp2961 +a(g184 +V\u005c\u005c +p2962 +tp2963 +a(g184 +V.)[^} +p2964 +tp2965 +a(g184 +g1414 +tp2966 +a(g184 +V\u005c\u005c +p2967 +tp2968 +a(g184 +V\u005c\u005c +p2969 +tp2970 +a(g184 +V]*)* +p2971 +tp2972 +a(g184 +V\u005c +p2973 +tp2974 +a(g184 +V}?|<[^> +p2975 +tp2976 +a(g184 +g1414 +tp2977 +a(g184 +V\u005c\u005c +p2978 +tp2979 +a(g184 +V\u005c\u005c +p2980 +tp2981 +a(g184 +V]*(?:(?: +p2982 +tp2983 +a(g184 +g1414 +tp2984 +a(g184 +V\u005c +p2985 +tp2986 +a(g184 +V{.*? +p2987 +tp2988 +a(g184 +V\u005c +p2989 +tp2990 +a(g184 +V}| +p2991 +tp2992 +a(g184 +g1414 +tp2993 +a(g184 +g1824 +tp2994 +a(g184 +V\u005c\u005c +p2995 +tp2996 +a(g184 +V\u005c\u005c +p2997 +tp2998 +a(g184 +V.)[^> +p2999 +tp3000 +a(g184 +g1414 +tp3001 +a(g184 +V\u005c\u005c +p3002 +tp3003 +a(g184 +V\u005c\u005c +p3004 +tp3005 +a(g184 +V]*)*>?|([^a-zA-Z +p3006 +tp3007 +a(g184 +V\u005c +p3008 +tp3009 +a(g184 +Vs +p3010 +tp3011 +a(g184 +V\u005c\u005c +p3012 +tp3013 +a(g184 +V\u005c\u005c +p3014 +tp3015 +a(g184 +V])(?:(?! +p3016 +tp3017 +a(g184 +V\u005c +p3018 +tp3019 +a(g184 +V2)[^ +p3020 +tp3021 +a(g184 +g1414 +tp3022 +a(g184 +V\u005c\u005c +p3023 +tp3024 +a(g184 +V\u005c\u005c +p3025 +tp3026 +a(g184 +V])*(?:(?: +p3027 +tp3028 +a(g184 +g1414 +tp3029 +a(g184 +V\u005c +p3030 +tp3031 +a(g184 +V{.*? +p3032 +tp3033 +a(g184 +V\u005c +p3034 +tp3035 +a(g184 +V}| +p3036 +tp3037 +a(g184 +g1414 +tp3038 +a(g184 +g1824 +tp3039 +a(g184 +V\u005c\u005c +p3040 +tp3041 +a(g184 +V\u005c\u005c +p3042 +tp3043 +a(g184 +V.)(?:(?! +p3044 +tp3045 +a(g184 +V\u005c +p3046 +tp3047 +a(g184 +V2)[^ +p3048 +tp3049 +a(g184 +g1414 +tp3050 +a(g184 +V\u005c\u005c +p3051 +tp3052 +a(g184 +V\u005c\u005c +p3053 +tp3054 +a(g184 +V])*)* +p3055 +tp3056 +a(g184 +V\u005c +p3057 +tp3058 +a(g184 +V2?| +p3059 +tp3060 +a(g184 +V\u005c\u005c +p3061 +tp3062 +a(g184 +V\u005c\u005c +p3063 +tp3064 +a(g184 +V[^ +p3065 +tp3066 +a(g184 +g1414 +tp3067 +a(g184 +V\u005c\u005c +p3068 +tp3069 +a(g184 +V\u005c\u005c +p3070 +tp3071 +a(g184 +V]*(?:(?: +p3072 +tp3073 +a(g184 +g1414 +tp3074 +a(g184 +V\u005c +p3075 +tp3076 +a(g184 +V{.*? +p3077 +tp3078 +a(g184 +V\u005c +p3079 +tp3080 +a(g184 +V}| +p3081 +tp3082 +a(g184 +g1414 +tp3083 +a(g184 +V)[^ +p3084 +tp3085 +a(g184 +g1414 +tp3086 +a(g184 +V\u005c\u005c +p3087 +tp3088 +a(g184 +V\u005c\u005c +p3089 +tp3090 +a(g184 +V]*)* +p3091 +tp3092 +a(g184 +V\u005c\u005c +p3093 +tp3094 +a(g184 +V\u005c\u005c +p3095 +tp3096 +a(g184 +V?) +p3097 +tp3098 +a(g184 +g1384 +tp3099 +a(g826 +V\u000a +p3100 +tp3101 +a(g7 +Velsif +p3102 +tp3103 +a(g826 +g958 +tp3104 +a(g449 +V@scanner +p3105 +tp3106 +a(g400 +g1174 +tp3107 +a(g423 +Vscan +p3108 +tp3109 +a(g693 +g1178 +tp3110 +a(g184 +g1384 +tp3111 +a(g184 +V:(?: +p3112 +tp3113 +a(g112 +V#{ +p3114 +tp3115 +a(g553 +VGLOBAL_VARIABLE +p3116 +tp3117 +a(g112 +g1391 +tp3118 +a(g184 +g1824 +tp3119 +a(g112 +V#{ +p3120 +tp3121 +a(g553 +VMETHOD_NAME_EX +p3122 +tp3123 +a(g112 +g1391 +tp3124 +a(g184 +g1824 +tp3125 +a(g112 +V#{ +p3126 +tp3127 +a(g553 +VSTRING +p3128 +tp3129 +a(g112 +g1391 +tp3130 +a(g184 +g1182 +tp3131 +a(g184 +V/ox +p3132 +tp3133 +a(g693 +g1182 +tp3134 +a(g826 +V\u000a +p3135 +tp3136 +a(g423 +Vkind +p3137 +tp3138 +a(g826 +g958 +tp3139 +a(g400 +g987 +tp3140 +a(g826 +g958 +tp3141 +a(g104 +V:symbol +p3142 +tp3143 +a(g826 +V\u000a +p3144 +tp3145 +a(g7 +Velsif +p3146 +tp3147 +a(g826 +g958 +tp3148 +a(g449 +V@scanner +p3149 +tp3150 +a(g400 +g1174 +tp3151 +a(g423 +Vscan +p3152 +tp3153 +a(g693 +g1178 +tp3154 +a(g184 +g1384 +tp3155 +a(g184 +V\u000a +p3156 +tp3157 +a(g184 +V\u005c +p3158 +tp3159 +a(g184 +V? (?:\u000a [^ +p3160 +tp3161 +a(g184 +V\u005c +p3162 +tp3163 +a(g184 +g3010 +tp3164 +a(g184 +V\u005c\u005c +p3165 +tp3166 +a(g184 +V]\u000a |\u000a +p3167 +tp3168 +a(g184 +V\u005c\u005c +p3169 +tp3170 +a(g184 +V (?:M- +p3171 +tp3172 +a(g184 +V\u005c\u005c +p3173 +tp3174 +a(g184 +VC-|C- +p3175 +tp3176 +a(g184 +V\u005c\u005c +p3177 +tp3178 +a(g184 +VM-|M- +p3179 +tp3180 +a(g184 +V\u005c\u005c +p3181 +tp3182 +a(g184 +Vc|c +p3183 +tp3184 +a(g184 +V\u005c\u005c +p3185 +tp3186 +a(g184 +VM-|c|C-|M-))? (?: +p3187 +tp3188 +a(g184 +V\u005c\u005c +p3189 +tp3190 +a(g184 +V (?: . | [0-7]{3} | x[0-9A-Fa-f][0-9A-Fa-f] )\u000a )\u000a +p3191 +tp3192 +a(g184 +V/mox +p3193 +tp3194 +a(g693 +g1182 +tp3195 +a(g826 +V\u000a +p3196 +tp3197 +a(g423 +Vkind +p3198 +tp3199 +a(g826 +g958 +tp3200 +a(g400 +g987 +tp3201 +a(g826 +g958 +tp3202 +a(g104 +V:integer +p3203 +tp3204 +a(g826 +V\u000a\u000a +p3205 +tp3206 +a(g7 +Velsif +p3207 +tp3208 +a(g826 +g958 +tp3209 +a(g449 +V@scanner +p3210 +tp3211 +a(g400 +g1174 +tp3212 +a(g423 +Vscan +p3213 +tp3214 +a(g693 +g1178 +tp3215 +a(g184 +g1384 +tp3216 +a(g184 +V [-+* +p3217 +tp3218 +a(g184 +V\u005c/ +p3219 +tp3220 +a(g184 +V%=<>;,|&!() +p3221 +tp3222 +a(g184 +V\u005c +p3223 +tp3224 +a(g184 +g990 +tp3225 +a(g184 +V\u005c +p3226 +tp3227 +a(g184 +V]{}~?] | +p3228 +tp3229 +a(g184 +V\u005c +p3230 +tp3231 +a(g184 +g1174 +tp3232 +a(g184 +V\u005c +p3233 +tp3234 +a(g184 +V.? +p3235 +tp3236 +a(g184 +V\u005c +p3237 +tp3238 +a(g184 +V.? | ::? +p3239 +tp3240 +a(g184 +V/x +p3241 +tp3242 +a(g693 +g1182 +tp3243 +a(g826 +V\u000a +p3244 +tp3245 +a(g423 +Vkind +p3246 +tp3247 +a(g826 +g958 +tp3248 +a(g400 +g987 +tp3249 +a(g826 +g958 +tp3250 +a(g104 +V:operator +p3251 +tp3252 +a(g826 +V\u000a +p3253 +tp3254 +a(g449 +V@regexp_allowed +p3255 +tp3256 +a(g826 +g958 +tp3257 +a(g400 +g987 +tp3258 +a(g826 +g958 +tp3259 +a(g104 +V:set +p3260 +tp3261 +a(g826 +g958 +tp3262 +a(g7 +Vif +p3263 +tp3264 +a(g826 +g958 +tp3265 +a(g449 +V@scanner +p3266 +tp3267 +a(g400 +g1174 +tp3268 +a(g423 +Vmatched +p3269 +tp3270 +a(g400 +g990 +tp3271 +a(g400 +V- +p3272 +tp3273 +a(g22 +V1 +p3274 +tp3275 +a(g693 +g996 +tp3276 +a(g22 +g3274 +tp3277 +a(g400 +g1137 +tp3278 +a(g826 +g958 +tp3279 +a(g400 +V=~ +p3280 +tp3281 +a(g826 +g958 +tp3282 +a(g184 +g1384 +tp3283 +a(g184 +V[~=!<>|&^, +p3284 +tp3285 +a(g184 +V\u005c +p3286 +tp3287 +a(g184 +g1178 +tp3288 +a(g184 +V\u005c +p3289 +tp3290 +a(g184 +V[+ +p3291 +tp3292 +a(g184 +V\u005c +p3293 +tp3294 +a(g184 +g3272 +tp3295 +a(g184 +V\u005c/ +p3296 +tp3297 +a(g184 +V\u005c +p3298 +tp3299 +a(g184 +V*%] +p3300 +tp3301 +a(g184 +V\u005c +p3302 +tp3303 +a(g184 +Vz +p3304 +tp3305 +a(g184 +g1384 +tp3306 +a(g826 +V\u000a +p3307 +tp3308 +a(g7 +Velsif +p3309 +tp3310 +a(g826 +g958 +tp3311 +a(g449 +V@scanner +p3312 +tp3313 +a(g400 +g1174 +tp3314 +a(g423 +Vscan +p3315 +tp3316 +a(g693 +g1178 +tp3317 +a(g553 +VFLOAT +p3318 +tp3319 +a(g693 +g1182 +tp3320 +a(g826 +V\u000a +p3321 +tp3322 +a(g423 +Vkind +p3323 +tp3324 +a(g826 +g958 +tp3325 +a(g400 +g987 +tp3326 +a(g826 +g958 +tp3327 +a(g104 +V:float +p3328 +tp3329 +a(g826 +V\u000a +p3330 +tp3331 +a(g7 +Velsif +p3332 +tp3333 +a(g826 +g958 +tp3334 +a(g449 +V@scanner +p3335 +tp3336 +a(g400 +g1174 +tp3337 +a(g423 +Vscan +p3338 +tp3339 +a(g693 +g1178 +tp3340 +a(g553 +VINTEGER +p3341 +tp3342 +a(g693 +g1182 +tp3343 +a(g826 +V\u000a +p3344 +tp3345 +a(g423 +Vkind +p3346 +tp3347 +a(g826 +g958 +tp3348 +a(g400 +g987 +tp3349 +a(g826 +g958 +tp3350 +a(g104 +V:integer +p3351 +tp3352 +a(g826 +V\u000a +p3353 +tp3354 +a(g7 +Velse +p3355 +tp3356 +a(g826 +V\u000a +p3357 +tp3358 +a(g449 +V@scanner +p3359 +tp3360 +a(g400 +g1174 +tp3361 +a(g423 +Vgetch +p3362 +tp3363 +a(g826 +V\u000a +p3364 +tp3365 +a(g7 +Vend +p3366 +tp3367 +a(g826 +V\u000a +p3368 +tp3369 +a(g7 +Vend +p3370 +tp3371 +a(g826 +V\u000a\u000a +p3372 +tp3373 +a(g423 +Vtoken +p3374 +tp3375 +a(g826 +g958 +tp3376 +a(g400 +g987 +tp3377 +a(g826 +g958 +tp3378 +a(g553 +VToken +p3379 +tp3380 +a(g400 +g1174 +tp3381 +a(g423 +Vnew +p3382 +tp3383 +a(g826 +g958 +tp3384 +a(g449 +V@scanner +p3385 +tp3386 +a(g400 +g1174 +tp3387 +a(g423 +Vmatched +p3388 +tp3389 +a(g693 +g996 +tp3390 +a(g826 +g958 +tp3391 +a(g423 +Vkind +p3392 +tp3393 +a(g826 +V\u000a\u000a +p3394 +tp3395 +a(g7 +Vif +p3396 +tp3397 +a(g826 +g958 +tp3398 +a(g423 +Vkind +p3399 +tp3400 +a(g826 +g958 +tp3401 +a(g400 +V== +p3402 +tp3403 +a(g826 +g958 +tp3404 +a(g104 +V:regexp +p3405 +tp3406 +a(g826 +V\u000a +p3407 +tp3408 +a(g423 +Vtoken +p3409 +tp3410 +a(g400 +g1174 +tp3411 +a(g423 +Vtext +p3412 +tp3413 +a(g826 +g958 +tp3414 +a(g400 +V<< +p3415 +tp3416 +a(g826 +g958 +tp3417 +a(g449 +V@scanner +p3418 +tp3419 +a(g400 +g1174 +tp3420 +a(g423 +Vscan +p3421 +tp3422 +a(g693 +g1178 +tp3423 +a(g184 +g1384 +tp3424 +a(g184 +V[eimnosux]* +p3425 +tp3426 +a(g184 +g1384 +tp3427 +a(g693 +g1182 +tp3428 +a(g826 +V\u000a +p3429 +tp3430 +a(g7 +Vend +p3431 +tp3432 +a(g826 +V\u000a\u000a +p3433 +tp3434 +a(g449 +V@regexp_allowed +p3435 +tp3436 +a(g826 +g958 +tp3437 +a(g400 +g987 +tp3438 +a(g826 +g958 +tp3439 +a(g693 +g1178 +tp3440 +a(g449 +V@regexp_allowed +p3441 +tp3442 +a(g826 +g958 +tp3443 +a(g400 +V== +p3444 +tp3445 +a(g826 +g958 +tp3446 +a(g104 +V:set +p3447 +tp3448 +a(g693 +g1182 +tp3449 +a(g826 +V +p3450 +tp3451 +a(g745 +V# delayed flag setting +p3452 +tp3453 +a(g826 +V\u000a\u000a +p3454 +tp3455 +a(g423 +Vtoken +p3456 +tp3457 +a(g826 +V\u000a +p3458 +tp3459 +a(g7 +Vend +p3460 +tp3461 +a(g826 +V\u000a +p3462 +tp3463 +a(g7 +Vend +p3464 +tp3465 +a(g826 +V\u000a\u000a +p3466 +tp3467 +a(g423 +Vregister +p3468 +tp3469 +a(g826 +g958 +tp3470 +a(g553 +VRuby +p3471 +tp3472 +a(g693 +g996 +tp3473 +a(g826 +g958 +tp3474 +a(g217 +V'ruby' +p3475 +tp3476 +a(g693 +g996 +tp3477 +a(g826 +g958 +tp3478 +a(g217 +V'rb' +p3479 +tp3480 +a(g826 +V\u000a\u000a +p3481 +tp3482 +a(g7 +Vend +p3483 +tp3484 +a(g826 +V\u000a +p3485 +tp3486 +a(g7 +Vend +p3487 +tp3488 +a(g826 +V\u000a +p3489 +tp3490 +a(g7 +Vclass +p3491 +tp3492 +a(g826 +g958 +tp3493 +a(g616 +VSet +p3494 +tp3495 +a(g826 +V\u000a +p3496 +tp3497 +a(g918 +Vinclude +p3498 +tp3499 +a(g826 +g958 +tp3500 +a(g553 +VEnumerable +p3501 +tp3502 +a(g826 +V\u000a\u000a +p3503 +tp3504 +a(g745 +V# Creates a new set containing the given objects. +p3505 +tp3506 +a(g826 +V\u000a +p3507 +tp3508 +a(g7 +Vdef +p3509 +tp3510 +a(g826 +g958 +tp3511 +a(g616 +Vself +p3512 +tp3513 +a(g400 +g1174 +tp3514 +a(g561 +V[] +p3515 +tp3516 +a(g693 +g1178 +tp3517 +a(g400 +g1420 +tp3518 +a(g423 +Vary +p3519 +tp3520 +a(g693 +g1182 +tp3521 +a(g826 +V\u000a +p3522 +tp3523 +a(g918 +Vnew +p3524 +tp3525 +a(g693 +g1178 +tp3526 +a(g423 +Vary +p3527 +tp3528 +a(g693 +g1182 +tp3529 +a(g826 +V\u000a +p3530 +tp3531 +a(g7 +Vend +p3532 +tp3533 +a(g826 +V\u000a\u000a +p3534 +tp3535 +a(g745 +V# Creates a new set containing the elements of the given enumerable +p3536 +tp3537 +a(g826 +V\u000a +p3538 +tp3539 +a(g745 +V# object. +p3540 +tp3541 +a(g826 +V\u000a +p3542 +tp3543 +a(g745 +g1414 +tp3544 +a(g826 +V\u000a +p3545 +tp3546 +a(g745 +V# If a block is given, the elements of enum are preprocessed by the +p3547 +tp3548 +a(g826 +V\u000a +p3549 +tp3550 +a(g745 +V# given block. +p3551 +tp3552 +a(g826 +V\u000a +p3553 +tp3554 +a(g7 +Vdef +p3555 +tp3556 +a(g826 +g958 +tp3557 +a(g561 +Vinitialize +p3558 +tp3559 +a(g693 +g1178 +tp3560 +a(g423 +Venum +p3561 +tp3562 +a(g826 +g958 +tp3563 +a(g400 +g987 +tp3564 +a(g826 +g958 +tp3565 +a(g918 +Vnil +p3566 +tp3567 +a(g693 +g996 +tp3568 +a(g826 +g958 +tp3569 +a(g400 +V& +p3570 +tp3571 +a(g423 +Vblock +p3572 +tp3573 +a(g693 +g1182 +tp3574 +a(g826 +g958 +tp3575 +a(g745 +V# :yields: o +p3576 +tp3577 +a(g826 +V\u000a +p3578 +tp3579 +a(g449 +V@hash +p3580 +tp3581 +a(g826 +g958 +tp3582 +a(g400 +V|| +p3583 +tp3584 +a(g400 +g987 +tp3585 +a(g826 +g958 +tp3586 +a(g553 +VHash +p3587 +tp3588 +a(g400 +g1174 +tp3589 +a(g423 +Vnew +p3590 +tp3591 +a(g826 +V\u000a\u000a +p3592 +tp3593 +a(g423 +Venum +p3594 +tp3595 +a(g400 +g1174 +tp3596 +a(g423 +Vnil? +p3597 +tp3598 +a(g826 +g958 +tp3599 +a(g414 +Vand +p3600 +tp3601 +a(g826 +g958 +tp3602 +a(g7 +Vreturn +p3603 +tp3604 +a(g826 +V\u000a\u000a +p3605 +tp3606 +a(g7 +Vif +p3607 +tp3608 +a(g826 +g958 +tp3609 +a(g423 +Vblock +p3610 +tp3611 +a(g826 +V\u000a +p3612 +tp3613 +a(g423 +Venum +p3614 +tp3615 +a(g400 +g1174 +tp3616 +a(g423 +Veach +p3617 +tp3618 +a(g826 +g958 +tp3619 +a(g693 +V{ +p3620 +tp3621 +a(g826 +g958 +tp3622 +a(g400 +g1824 +tp3623 +a(g423 +Vo +p3624 +tp3625 +a(g400 +g1824 +tp3626 +a(g826 +g958 +tp3627 +a(g423 +Vadd +p3628 +tp3629 +a(g693 +g1178 +tp3630 +a(g423 +Vblock +p3631 +tp3632 +a(g400 +g990 +tp3633 +a(g423 +g3624 +tp3634 +a(g400 +g1137 +tp3635 +a(g693 +g1182 +tp3636 +a(g826 +g958 +tp3637 +a(g693 +g1391 +tp3638 +a(g826 +V\u000a +p3639 +tp3640 +a(g7 +Velse +p3641 +tp3642 +a(g826 +V\u000a +p3643 +tp3644 +a(g423 +Vmerge +p3645 +tp3646 +a(g693 +g1178 +tp3647 +a(g423 +Venum +p3648 +tp3649 +a(g693 +g1182 +tp3650 +a(g826 +V\u000a +p3651 +tp3652 +a(g7 +Vend +p3653 +tp3654 +a(g826 +V\u000a +p3655 +tp3656 +a(g7 +Vend +p3657 +tp3658 +a(g826 +V\u000a\u000a +p3659 +tp3660 +a(g745 +V# Copy internal hash. +p3661 +tp3662 +a(g826 +V\u000a +p3663 +tp3664 +a(g7 +Vdef +p3665 +tp3666 +a(g826 +g958 +tp3667 +a(g561 +Vinitialize_copy +p3668 +tp3669 +a(g693 +g1178 +tp3670 +a(g423 +Vorig +p3671 +tp3672 +a(g693 +g1182 +tp3673 +a(g826 +V\u000a +p3674 +tp3675 +a(g449 +V@hash +p3676 +tp3677 +a(g826 +g958 +tp3678 +a(g400 +g987 +tp3679 +a(g826 +g958 +tp3680 +a(g423 +Vorig +p3681 +tp3682 +a(g400 +g1174 +tp3683 +a(g423 +Vinstance_eval +p3684 +tp3685 +a(g693 +g3620 +tp3686 +a(g449 +V@hash +p3687 +tp3688 +a(g693 +g1391 +tp3689 +a(g400 +g1174 +tp3690 +a(g423 +Vdup +p3691 +tp3692 +a(g826 +V\u000a +p3693 +tp3694 +a(g7 +Vend +p3695 +tp3696 +a(g826 +V\u000a\u000a +p3697 +tp3698 +a(g745 +V# Returns the number of elements. +p3699 +tp3700 +a(g826 +V\u000a +p3701 +tp3702 +a(g7 +Vdef +p3703 +tp3704 +a(g826 +g958 +tp3705 +a(g561 +Vsize +p3706 +tp3707 +a(g826 +V\u000a +p3708 +tp3709 +a(g449 +V@hash +p3710 +tp3711 +a(g400 +g1174 +tp3712 +a(g423 +Vsize +p3713 +tp3714 +a(g826 +V\u000a +p3715 +tp3716 +a(g7 +Vend +p3717 +tp3718 +a(g826 +V\u000a +p3719 +tp3720 +a(g7 +Valias +p3721 +tp3722 +a(g826 +g958 +tp3723 +a(g423 +Vlength +p3724 +tp3725 +a(g826 +g958 +tp3726 +a(g423 +Vsize +p3727 +tp3728 +a(g826 +V\u000a\u000a +p3729 +tp3730 +a(g745 +V# Returns true if the set contains no elements. +p3731 +tp3732 +a(g826 +V\u000a +p3733 +tp3734 +a(g7 +Vdef +p3735 +tp3736 +a(g826 +g958 +tp3737 +a(g561 +Vempty? +p3738 +tp3739 +a(g826 +V\u000a +p3740 +tp3741 +a(g449 +V@hash +p3742 +tp3743 +a(g400 +g1174 +tp3744 +a(g423 +Vempty? +p3745 +tp3746 +a(g826 +V\u000a +p3747 +tp3748 +a(g7 +Vend +p3749 +tp3750 +a(g826 +V\u000a\u000a +p3751 +tp3752 +a(g745 +V# Removes all elements and returns self. +p3753 +tp3754 +a(g826 +V\u000a +p3755 +tp3756 +a(g7 +Vdef +p3757 +tp3758 +a(g826 +g958 +tp3759 +a(g561 +Vclear +p3760 +tp3761 +a(g826 +V\u000a +p3762 +tp3763 +a(g449 +V@hash +p3764 +tp3765 +a(g400 +g1174 +tp3766 +a(g423 +Vclear +p3767 +tp3768 +a(g826 +V\u000a +p3769 +tp3770 +a(g669 +Vself +p3771 +tp3772 +a(g826 +V\u000a +p3773 +tp3774 +a(g7 +Vend +p3775 +tp3776 +a(g826 +V\u000a\u000a +p3777 +tp3778 +a(g745 +V# Replaces the contents of the set with the contents of the given +p3779 +tp3780 +a(g826 +V\u000a +p3781 +tp3782 +a(g745 +V# enumerable object and returns self. +p3783 +tp3784 +a(g826 +V\u000a +p3785 +tp3786 +a(g7 +Vdef +p3787 +tp3788 +a(g826 +g958 +tp3789 +a(g561 +Vreplace +p3790 +tp3791 +a(g693 +g1178 +tp3792 +a(g423 +Venum +p3793 +tp3794 +a(g693 +g1182 +tp3795 +a(g826 +V\u000a +p3796 +tp3797 +a(g7 +Vif +p3798 +tp3799 +a(g826 +g958 +tp3800 +a(g423 +Venum +p3801 +tp3802 +a(g400 +g1174 +tp3803 +a(g423 +Vclass +p3804 +tp3805 +a(g826 +g958 +tp3806 +a(g400 +V== +p3807 +tp3808 +a(g826 +g958 +tp3809 +a(g669 +Vself +p3810 +tp3811 +a(g400 +g1174 +tp3812 +a(g423 +Vclass +p3813 +tp3814 +a(g826 +V\u000a +p3815 +tp3816 +a(g449 +V@hash +p3817 +tp3818 +a(g400 +g1174 +tp3819 +a(g423 +Vreplace +p3820 +tp3821 +a(g693 +g1178 +tp3822 +a(g423 +Venum +p3823 +tp3824 +a(g400 +g1174 +tp3825 +a(g423 +Vinstance_eval +p3826 +tp3827 +a(g826 +g958 +tp3828 +a(g693 +g3620 +tp3829 +a(g826 +g958 +tp3830 +a(g449 +V@hash +p3831 +tp3832 +a(g826 +g958 +tp3833 +a(g693 +g1391 +tp3834 +a(g693 +g1182 +tp3835 +a(g826 +V\u000a +p3836 +tp3837 +a(g7 +Velse +p3838 +tp3839 +a(g826 +V\u000a +p3840 +tp3841 +a(g423 +Venum +p3842 +tp3843 +a(g400 +g1174 +tp3844 +a(g423 +Vis_a? +p3845 +tp3846 +a(g693 +g1178 +tp3847 +a(g553 +VEnumerable +p3848 +tp3849 +a(g693 +g1182 +tp3850 +a(g826 +g958 +tp3851 +a(g414 +Vor +p3852 +tp3853 +a(g826 +g958 +tp3854 +a(g7 +Vraise +p3855 +tp3856 +a(g826 +g958 +tp3857 +a(g553 +VArgumentError +p3858 +tp3859 +a(g693 +g996 +tp3860 +a(g826 +g958 +tp3861 +a(g144 +V" +p3862 +tp3863 +a(g144 +Vvalue must be enumerable +p3864 +tp3865 +a(g144 +g3862 +tp3866 +a(g826 +V\u000a +p3867 +tp3868 +a(g423 +Vclear +p3869 +tp3870 +a(g826 +V\u000a +p3871 +tp3872 +a(g423 +Venum +p3873 +tp3874 +a(g400 +g1174 +tp3875 +a(g423 +Veach +p3876 +tp3877 +a(g826 +g958 +tp3878 +a(g693 +g3620 +tp3879 +a(g826 +g958 +tp3880 +a(g400 +g1824 +tp3881 +a(g423 +g3624 +tp3882 +a(g400 +g1824 +tp3883 +a(g826 +g958 +tp3884 +a(g423 +Vadd +p3885 +tp3886 +a(g693 +g1178 +tp3887 +a(g423 +g3624 +tp3888 +a(g693 +g1182 +tp3889 +a(g826 +g958 +tp3890 +a(g693 +g1391 +tp3891 +a(g826 +V\u000a +p3892 +tp3893 +a(g7 +Vend +p3894 +tp3895 +a(g826 +V\u000a\u000a +p3896 +tp3897 +a(g669 +Vself +p3898 +tp3899 +a(g826 +V\u000a +p3900 +tp3901 +a(g7 +Vend +p3902 +tp3903 +a(g826 +V\u000a\u000a +p3904 +tp3905 +a(g745 +V# Converts the set to an array. The order of elements is uncertain. +p3906 +tp3907 +a(g826 +V\u000a +p3908 +tp3909 +a(g7 +Vdef +p3910 +tp3911 +a(g826 +g958 +tp3912 +a(g561 +Vto_a +p3913 +tp3914 +a(g826 +V\u000a +p3915 +tp3916 +a(g449 +V@hash +p3917 +tp3918 +a(g400 +g1174 +tp3919 +a(g423 +Vkeys +p3920 +tp3921 +a(g826 +V\u000a +p3922 +tp3923 +a(g7 +Vend +p3924 +tp3925 +a(g826 +V\u000a\u000a +p3926 +tp3927 +a(g7 +Vdef +p3928 +tp3929 +a(g826 +g958 +tp3930 +a(g561 +Vflatten_merge +p3931 +tp3932 +a(g693 +g1178 +tp3933 +a(g423 +Vset +p3934 +tp3935 +a(g693 +g996 +tp3936 +a(g826 +g958 +tp3937 +a(g423 +Vseen +p3938 +tp3939 +a(g826 +g958 +tp3940 +a(g400 +g987 +tp3941 +a(g826 +g958 +tp3942 +a(g553 +VSet +p3943 +tp3944 +a(g400 +g1174 +tp3945 +a(g423 +Vnew +p3946 +tp3947 +a(g693 +g1182 +tp3948 +a(g826 +V\u000a +p3949 +tp3950 +a(g423 +Vset +p3951 +tp3952 +a(g400 +g1174 +tp3953 +a(g423 +Veach +p3954 +tp3955 +a(g826 +g958 +tp3956 +a(g693 +g3620 +tp3957 +a(g826 +g958 +tp3958 +a(g400 +g1824 +tp3959 +a(g423 +Ve +p3960 +tp3961 +a(g400 +g1824 +tp3962 +a(g826 +V\u000a +p3963 +tp3964 +a(g7 +Vif +p3965 +tp3966 +a(g826 +g958 +tp3967 +a(g423 +g3960 +tp3968 +a(g400 +g1174 +tp3969 +a(g423 +Vis_a? +p3970 +tp3971 +a(g693 +g1178 +tp3972 +a(g553 +VSet +p3973 +tp3974 +a(g693 +g1182 +tp3975 +a(g826 +V\u000a +p3976 +tp3977 +a(g7 +Vif +p3978 +tp3979 +a(g826 +g958 +tp3980 +a(g423 +Vseen +p3981 +tp3982 +a(g400 +g1174 +tp3983 +a(g423 +Vinclude? +p3984 +tp3985 +a(g693 +g1178 +tp3986 +a(g423 +Ve_id +p3987 +tp3988 +a(g826 +g958 +tp3989 +a(g400 +g987 +tp3990 +a(g826 +g958 +tp3991 +a(g423 +g3960 +tp3992 +a(g400 +g1174 +tp3993 +a(g423 +Vobject_id +p3994 +tp3995 +a(g693 +g1182 +tp3996 +a(g826 +V\u000a +p3997 +tp3998 +a(g7 +Vraise +p3999 +tp4000 +a(g826 +g958 +tp4001 +a(g553 +VArgumentError +p4002 +tp4003 +a(g693 +g996 +tp4004 +a(g826 +g958 +tp4005 +a(g144 +g3862 +tp4006 +a(g144 +Vtried to flatten recursive Set +p4007 +tp4008 +a(g144 +g3862 +tp4009 +a(g826 +V\u000a +p4010 +tp4011 +a(g7 +Vend +p4012 +tp4013 +a(g826 +V\u000a\u000a +p4014 +tp4015 +a(g423 +Vseen +p4016 +tp4017 +a(g400 +g1174 +tp4018 +a(g423 +Vadd +p4019 +tp4020 +a(g693 +g1178 +tp4021 +a(g423 +Ve_id +p4022 +tp4023 +a(g693 +g1182 +tp4024 +a(g826 +V\u000a +p4025 +tp4026 +a(g423 +Vflatten_merge +p4027 +tp4028 +a(g693 +g1178 +tp4029 +a(g423 +g3960 +tp4030 +a(g693 +g996 +tp4031 +a(g826 +g958 +tp4032 +a(g423 +Vseen +p4033 +tp4034 +a(g693 +g1182 +tp4035 +a(g826 +V\u000a +p4036 +tp4037 +a(g423 +Vseen +p4038 +tp4039 +a(g400 +g1174 +tp4040 +a(g423 +Vdelete +p4041 +tp4042 +a(g693 +g1178 +tp4043 +a(g423 +Ve_id +p4044 +tp4045 +a(g693 +g1182 +tp4046 +a(g826 +V\u000a +p4047 +tp4048 +a(g7 +Velse +p4049 +tp4050 +a(g826 +V\u000a +p4051 +tp4052 +a(g423 +Vadd +p4053 +tp4054 +a(g693 +g1178 +tp4055 +a(g423 +g3960 +tp4056 +a(g693 +g1182 +tp4057 +a(g826 +V\u000a +p4058 +tp4059 +a(g7 +Vend +p4060 +tp4061 +a(g826 +V\u000a +p4062 +tp4063 +a(g693 +g1391 +tp4064 +a(g826 +V\u000a\u000a +p4065 +tp4066 +a(g669 +Vself +p4067 +tp4068 +a(g826 +V\u000a +p4069 +tp4070 +a(g7 +Vend +p4071 +tp4072 +a(g826 +V\u000a +p4073 +tp4074 +a(g918 +Vprotected +p4075 +tp4076 +a(g826 +g958 +tp4077 +a(g104 +V:flatten_merge +p4078 +tp4079 +a(g826 +V\u000a\u000a +p4080 +tp4081 +a(g745 +V# Returns a new set that is a copy of the set, flattening each +p4082 +tp4083 +a(g826 +V\u000a +p4084 +tp4085 +a(g745 +V# containing set recursively. +p4086 +tp4087 +a(g826 +V\u000a +p4088 +tp4089 +a(g7 +Vdef +p4090 +tp4091 +a(g826 +g958 +tp4092 +a(g561 +Vflatten +p4093 +tp4094 +a(g826 +V\u000a +p4095 +tp4096 +a(g669 +Vself +p4097 +tp4098 +a(g400 +g1174 +tp4099 +a(g423 +Vclass +p4100 +tp4101 +a(g400 +g1174 +tp4102 +a(g423 +Vnew +p4103 +tp4104 +a(g400 +g1174 +tp4105 +a(g423 +Vflatten_merge +p4106 +tp4107 +a(g693 +g1178 +tp4108 +a(g669 +Vself +p4109 +tp4110 +a(g693 +g1182 +tp4111 +a(g826 +V\u000a +p4112 +tp4113 +a(g7 +Vend +p4114 +tp4115 +a(g826 +V\u000a\u000a +p4116 +tp4117 +a(g745 +V# Equivalent to Set#flatten, but replaces the receiver with the +p4118 +tp4119 +a(g826 +V\u000a +p4120 +tp4121 +a(g745 +V# result in place. Returns nil if no modifications were made. +p4122 +tp4123 +a(g826 +V\u000a +p4124 +tp4125 +a(g7 +Vdef +p4126 +tp4127 +a(g826 +g958 +tp4128 +a(g561 +Vflatten! +p4129 +tp4130 +a(g826 +V\u000a +p4131 +tp4132 +a(g7 +Vif +p4133 +tp4134 +a(g826 +g958 +tp4135 +a(g423 +Vdetect +p4136 +tp4137 +a(g826 +g958 +tp4138 +a(g693 +g3620 +tp4139 +a(g826 +g958 +tp4140 +a(g400 +g1824 +tp4141 +a(g423 +g3960 +tp4142 +a(g400 +g1824 +tp4143 +a(g826 +g958 +tp4144 +a(g423 +g3960 +tp4145 +a(g400 +g1174 +tp4146 +a(g423 +Vis_a? +p4147 +tp4148 +a(g693 +g1178 +tp4149 +a(g553 +VSet +p4150 +tp4151 +a(g693 +g1182 +tp4152 +a(g826 +g958 +tp4153 +a(g693 +g1391 +tp4154 +a(g826 +V\u000a +p4155 +tp4156 +a(g423 +Vreplace +p4157 +tp4158 +a(g693 +g1178 +tp4159 +a(g423 +Vflatten +p4160 +tp4161 +a(g693 +g1178 +tp4162 +a(g693 +g1182 +tp4163 +a(g693 +g1182 +tp4164 +a(g826 +V\u000a +p4165 +tp4166 +a(g7 +Velse +p4167 +tp4168 +a(g826 +V\u000a +p4169 +tp4170 +a(g918 +Vnil +p4171 +tp4172 +a(g826 +V\u000a +p4173 +tp4174 +a(g7 +Vend +p4175 +tp4176 +a(g826 +V\u000a +p4177 +tp4178 +a(g7 +Vend +p4179 +tp4180 +a(g826 +V\u000a\u000a +p4181 +tp4182 +a(g745 +V# Returns true if the set contains the given object. +p4183 +tp4184 +a(g826 +V\u000a +p4185 +tp4186 +a(g7 +Vdef +p4187 +tp4188 +a(g826 +g958 +tp4189 +a(g561 +Vinclude? +p4190 +tp4191 +a(g693 +g1178 +tp4192 +a(g423 +g3624 +tp4193 +a(g693 +g1182 +tp4194 +a(g826 +V\u000a +p4195 +tp4196 +a(g449 +V@hash +p4197 +tp4198 +a(g400 +g1174 +tp4199 +a(g423 +Vinclude? +p4200 +tp4201 +a(g693 +g1178 +tp4202 +a(g423 +g3624 +tp4203 +a(g693 +g1182 +tp4204 +a(g826 +V\u000a +p4205 +tp4206 +a(g7 +Vend +p4207 +tp4208 +a(g826 +V\u000a +p4209 +tp4210 +a(g7 +Valias +p4211 +tp4212 +a(g826 +g958 +tp4213 +a(g423 +Vmember? +p4214 +tp4215 +a(g826 +g958 +tp4216 +a(g918 +Vinclude +p4217 +tp4218 +a(g693 +V? +p4219 +tp4220 +a(g826 +V\u000a\u000a +p4221 +tp4222 +a(g745 +V# Returns true if the set is a superset of the given set. +p4223 +tp4224 +a(g826 +V\u000a +p4225 +tp4226 +a(g7 +Vdef +p4227 +tp4228 +a(g826 +g958 +tp4229 +a(g561 +Vsuperset? +p4230 +tp4231 +a(g693 +g1178 +tp4232 +a(g423 +Vset +p4233 +tp4234 +a(g693 +g1182 +tp4235 +a(g826 +V\u000a +p4236 +tp4237 +a(g423 +Vset +p4238 +tp4239 +a(g400 +g1174 +tp4240 +a(g423 +Vis_a? +p4241 +tp4242 +a(g693 +g1178 +tp4243 +a(g553 +VSet +p4244 +tp4245 +a(g693 +g1182 +tp4246 +a(g826 +g958 +tp4247 +a(g414 +Vor +p4248 +tp4249 +a(g826 +g958 +tp4250 +a(g7 +Vraise +p4251 +tp4252 +a(g826 +g958 +tp4253 +a(g553 +VArgumentError +p4254 +tp4255 +a(g693 +g996 +tp4256 +a(g826 +g958 +tp4257 +a(g144 +g3862 +tp4258 +a(g144 +Vvalue must be a set +p4259 +tp4260 +a(g144 +g3862 +tp4261 +a(g826 +V\u000a +p4262 +tp4263 +a(g7 +Vreturn +p4264 +tp4265 +a(g826 +g958 +tp4266 +a(g918 +Vfalse +p4267 +tp4268 +a(g826 +g958 +tp4269 +a(g7 +Vif +p4270 +tp4271 +a(g826 +g958 +tp4272 +a(g423 +Vsize +p4273 +tp4274 +a(g826 +g958 +tp4275 +a(g400 +g977 +tp4276 +a(g826 +g958 +tp4277 +a(g423 +Vset +p4278 +tp4279 +a(g400 +g1174 +tp4280 +a(g423 +Vsize +p4281 +tp4282 +a(g826 +V\u000a +p4283 +tp4284 +a(g423 +Vset +p4285 +tp4286 +a(g400 +g1174 +tp4287 +a(g423 +Vall? +p4288 +tp4289 +a(g826 +g958 +tp4290 +a(g693 +g3620 +tp4291 +a(g826 +g958 +tp4292 +a(g400 +g1824 +tp4293 +a(g423 +g3624 +tp4294 +a(g400 +g1824 +tp4295 +a(g826 +g958 +tp4296 +a(g918 +Vinclude +p4297 +tp4298 +a(g693 +g4219 +tp4299 +a(g693 +g1178 +tp4300 +a(g423 +g3624 +tp4301 +a(g693 +g1182 +tp4302 +a(g826 +g958 +tp4303 +a(g693 +g1391 +tp4304 +a(g826 +V\u000a +p4305 +tp4306 +a(g7 +Vend +p4307 +tp4308 +a(g826 +V\u000a\u000a +p4309 +tp4310 +a(g745 +V# Returns true if the set is a proper superset of the given set. +p4311 +tp4312 +a(g826 +V\u000a +p4313 +tp4314 +a(g7 +Vdef +p4315 +tp4316 +a(g826 +g958 +tp4317 +a(g561 +Vproper_superset? +p4318 +tp4319 +a(g693 +g1178 +tp4320 +a(g423 +Vset +p4321 +tp4322 +a(g693 +g1182 +tp4323 +a(g826 +V\u000a +p4324 +tp4325 +a(g423 +Vset +p4326 +tp4327 +a(g400 +g1174 +tp4328 +a(g423 +Vis_a? +p4329 +tp4330 +a(g693 +g1178 +tp4331 +a(g553 +VSet +p4332 +tp4333 +a(g693 +g1182 +tp4334 +a(g826 +g958 +tp4335 +a(g414 +Vor +p4336 +tp4337 +a(g826 +g958 +tp4338 +a(g7 +Vraise +p4339 +tp4340 +a(g826 +g958 +tp4341 +a(g553 +VArgumentError +p4342 +tp4343 +a(g693 +g996 +tp4344 +a(g826 +g958 +tp4345 +a(g144 +g3862 +tp4346 +a(g144 +Vvalue must be a set +p4347 +tp4348 +a(g144 +g3862 +tp4349 +a(g826 +V\u000a +p4350 +tp4351 +a(g7 +Vreturn +p4352 +tp4353 +a(g826 +g958 +tp4354 +a(g918 +Vfalse +p4355 +tp4356 +a(g826 +g958 +tp4357 +a(g7 +Vif +p4358 +tp4359 +a(g826 +g958 +tp4360 +a(g423 +Vsize +p4361 +tp4362 +a(g826 +g958 +tp4363 +a(g400 +g977 +tp4364 +a(g400 +g987 +tp4365 +a(g826 +g958 +tp4366 +a(g423 +Vset +p4367 +tp4368 +a(g400 +g1174 +tp4369 +a(g423 +Vsize +p4370 +tp4371 +a(g826 +V\u000a +p4372 +tp4373 +a(g423 +Vset +p4374 +tp4375 +a(g400 +g1174 +tp4376 +a(g423 +Vall? +p4377 +tp4378 +a(g826 +g958 +tp4379 +a(g693 +g3620 +tp4380 +a(g826 +g958 +tp4381 +a(g400 +g1824 +tp4382 +a(g423 +g3624 +tp4383 +a(g400 +g1824 +tp4384 +a(g826 +g958 +tp4385 +a(g918 +Vinclude +p4386 +tp4387 +a(g693 +g4219 +tp4388 +a(g693 +g1178 +tp4389 +a(g423 +g3624 +tp4390 +a(g693 +g1182 +tp4391 +a(g826 +g958 +tp4392 +a(g693 +g1391 +tp4393 +a(g826 +V\u000a +p4394 +tp4395 +a(g7 +Vend +p4396 +tp4397 +a(g826 +V\u000a\u000a +p4398 +tp4399 +a(g745 +V# Returns true if the set is a subset of the given set. +p4400 +tp4401 +a(g826 +V\u000a +p4402 +tp4403 +a(g7 +Vdef +p4404 +tp4405 +a(g826 +g958 +tp4406 +a(g561 +Vsubset? +p4407 +tp4408 +a(g693 +g1178 +tp4409 +a(g423 +Vset +p4410 +tp4411 +a(g693 +g1182 +tp4412 +a(g826 +V\u000a +p4413 +tp4414 +a(g423 +Vset +p4415 +tp4416 +a(g400 +g1174 +tp4417 +a(g423 +Vis_a? +p4418 +tp4419 +a(g693 +g1178 +tp4420 +a(g553 +VSet +p4421 +tp4422 +a(g693 +g1182 +tp4423 +a(g826 +g958 +tp4424 +a(g414 +Vor +p4425 +tp4426 +a(g826 +g958 +tp4427 +a(g7 +Vraise +p4428 +tp4429 +a(g826 +g958 +tp4430 +a(g553 +VArgumentError +p4431 +tp4432 +a(g693 +g996 +tp4433 +a(g826 +g958 +tp4434 +a(g144 +g3862 +tp4435 +a(g144 +Vvalue must be a set +p4436 +tp4437 +a(g144 +g3862 +tp4438 +a(g826 +V\u000a +p4439 +tp4440 +a(g7 +Vreturn +p4441 +tp4442 +a(g826 +g958 +tp4443 +a(g918 +Vfalse +p4444 +tp4445 +a(g826 +g958 +tp4446 +a(g7 +Vif +p4447 +tp4448 +a(g826 +g958 +tp4449 +a(g423 +Vset +p4450 +tp4451 +a(g400 +g1174 +tp4452 +a(g423 +Vsize +p4453 +tp4454 +a(g826 +g958 +tp4455 +a(g400 +g977 +tp4456 +a(g826 +g958 +tp4457 +a(g423 +Vsize +p4458 +tp4459 +a(g826 +V\u000a +p4460 +tp4461 +a(g423 +Vall? +p4462 +tp4463 +a(g826 +g958 +tp4464 +a(g693 +g3620 +tp4465 +a(g826 +g958 +tp4466 +a(g400 +g1824 +tp4467 +a(g423 +g3624 +tp4468 +a(g400 +g1824 +tp4469 +a(g826 +g958 +tp4470 +a(g423 +Vset +p4471 +tp4472 +a(g400 +g1174 +tp4473 +a(g423 +Vinclude? +p4474 +tp4475 +a(g693 +g1178 +tp4476 +a(g423 +g3624 +tp4477 +a(g693 +g1182 +tp4478 +a(g826 +g958 +tp4479 +a(g693 +g1391 +tp4480 +a(g826 +V\u000a +p4481 +tp4482 +a(g7 +Vend +p4483 +tp4484 +a(g826 +V\u000a\u000a +p4485 +tp4486 +a(g745 +V# Returns true if the set is a proper subset of the given set. +p4487 +tp4488 +a(g826 +V\u000a +p4489 +tp4490 +a(g7 +Vdef +p4491 +tp4492 +a(g826 +g958 +tp4493 +a(g561 +Vproper_subset? +p4494 +tp4495 +a(g693 +g1178 +tp4496 +a(g423 +Vset +p4497 +tp4498 +a(g693 +g1182 +tp4499 +a(g826 +V\u000a +p4500 +tp4501 +a(g423 +Vset +p4502 +tp4503 +a(g400 +g1174 +tp4504 +a(g423 +Vis_a? +p4505 +tp4506 +a(g693 +g1178 +tp4507 +a(g553 +VSet +p4508 +tp4509 +a(g693 +g1182 +tp4510 +a(g826 +g958 +tp4511 +a(g414 +Vor +p4512 +tp4513 +a(g826 +g958 +tp4514 +a(g7 +Vraise +p4515 +tp4516 +a(g826 +g958 +tp4517 +a(g553 +VArgumentError +p4518 +tp4519 +a(g693 +g996 +tp4520 +a(g826 +g958 +tp4521 +a(g144 +g3862 +tp4522 +a(g144 +Vvalue must be a set +p4523 +tp4524 +a(g144 +g3862 +tp4525 +a(g826 +V\u000a +p4526 +tp4527 +a(g7 +Vreturn +p4528 +tp4529 +a(g826 +g958 +tp4530 +a(g918 +Vfalse +p4531 +tp4532 +a(g826 +g958 +tp4533 +a(g7 +Vif +p4534 +tp4535 +a(g826 +g958 +tp4536 +a(g423 +Vset +p4537 +tp4538 +a(g400 +g1174 +tp4539 +a(g423 +Vsize +p4540 +tp4541 +a(g826 +g958 +tp4542 +a(g400 +g977 +tp4543 +a(g400 +g987 +tp4544 +a(g826 +g958 +tp4545 +a(g423 +Vsize +p4546 +tp4547 +a(g826 +V\u000a +p4548 +tp4549 +a(g423 +Vall? +p4550 +tp4551 +a(g826 +g958 +tp4552 +a(g693 +g3620 +tp4553 +a(g826 +g958 +tp4554 +a(g400 +g1824 +tp4555 +a(g423 +g3624 +tp4556 +a(g400 +g1824 +tp4557 +a(g826 +g958 +tp4558 +a(g423 +Vset +p4559 +tp4560 +a(g400 +g1174 +tp4561 +a(g423 +Vinclude? +p4562 +tp4563 +a(g693 +g1178 +tp4564 +a(g423 +g3624 +tp4565 +a(g693 +g1182 +tp4566 +a(g826 +g958 +tp4567 +a(g693 +g1391 +tp4568 +a(g826 +V\u000a +p4569 +tp4570 +a(g7 +Vend +p4571 +tp4572 +a(g826 +V\u000a\u000a +p4573 +tp4574 +a(g745 +V# Calls the given block once for each element in the set, passing +p4575 +tp4576 +a(g826 +V\u000a +p4577 +tp4578 +a(g745 +V# the element as parameter. +p4579 +tp4580 +a(g826 +V\u000a +p4581 +tp4582 +a(g7 +Vdef +p4583 +tp4584 +a(g826 +g958 +tp4585 +a(g561 +Veach +p4586 +tp4587 +a(g826 +V\u000a +p4588 +tp4589 +a(g449 +V@hash +p4590 +tp4591 +a(g400 +g1174 +tp4592 +a(g423 +Veach_key +p4593 +tp4594 +a(g826 +g958 +tp4595 +a(g693 +g3620 +tp4596 +a(g826 +g958 +tp4597 +a(g400 +g1824 +tp4598 +a(g423 +g3624 +tp4599 +a(g400 +g1824 +tp4600 +a(g826 +g958 +tp4601 +a(g7 +Vyield +p4602 +tp4603 +a(g693 +g1178 +tp4604 +a(g423 +g3624 +tp4605 +a(g693 +g1182 +tp4606 +a(g826 +g958 +tp4607 +a(g693 +g1391 +tp4608 +a(g826 +V\u000a +p4609 +tp4610 +a(g669 +Vself +p4611 +tp4612 +a(g826 +V\u000a +p4613 +tp4614 +a(g7 +Vend +p4615 +tp4616 +a(g826 +V\u000a\u000a +p4617 +tp4618 +a(g745 +V# Adds the given object to the set and returns self. Use +merge+ to +p4619 +tp4620 +a(g826 +V\u000a +p4621 +tp4622 +a(g745 +V# add several elements at once. +p4623 +tp4624 +a(g826 +V\u000a +p4625 +tp4626 +a(g7 +Vdef +p4627 +tp4628 +a(g826 +g958 +tp4629 +a(g561 +Vadd +p4630 +tp4631 +a(g693 +g1178 +tp4632 +a(g423 +g3624 +tp4633 +a(g693 +g1182 +tp4634 +a(g826 +V\u000a +p4635 +tp4636 +a(g449 +V@hash +p4637 +tp4638 +a(g400 +g990 +tp4639 +a(g423 +g3624 +tp4640 +a(g400 +g1137 +tp4641 +a(g826 +g958 +tp4642 +a(g400 +g987 +tp4643 +a(g826 +g958 +tp4644 +a(g918 +Vtrue +p4645 +tp4646 +a(g826 +V\u000a +p4647 +tp4648 +a(g669 +Vself +p4649 +tp4650 +a(g826 +V\u000a +p4651 +tp4652 +a(g7 +Vend +p4653 +tp4654 +a(g826 +V\u000a +p4655 +tp4656 +a(g7 +Valias +p4657 +tp4658 +a(g826 +g958 +tp4659 +a(g400 +V<< +p4660 +tp4661 +a(g826 +g958 +tp4662 +a(g423 +Vadd +p4663 +tp4664 +a(g826 +V\u000a\u000a +p4665 +tp4666 +a(g745 +V# Adds the given object to the set and returns self. If the +p4667 +tp4668 +a(g826 +V\u000a +p4669 +tp4670 +a(g745 +V# object is already in the set, returns nil. +p4671 +tp4672 +a(g826 +V\u000a +p4673 +tp4674 +a(g7 +Vdef +p4675 +tp4676 +a(g826 +g958 +tp4677 +a(g561 +Vadd? +p4678 +tp4679 +a(g693 +g1178 +tp4680 +a(g423 +g3624 +tp4681 +a(g693 +g1182 +tp4682 +a(g826 +V\u000a +p4683 +tp4684 +a(g7 +Vif +p4685 +tp4686 +a(g826 +g958 +tp4687 +a(g918 +Vinclude +p4688 +tp4689 +a(g693 +g4219 +tp4690 +a(g693 +g1178 +tp4691 +a(g423 +g3624 +tp4692 +a(g693 +g1182 +tp4693 +a(g826 +V\u000a +p4694 +tp4695 +a(g918 +Vnil +p4696 +tp4697 +a(g826 +V\u000a +p4698 +tp4699 +a(g7 +Velse +p4700 +tp4701 +a(g826 +V\u000a +p4702 +tp4703 +a(g423 +Vadd +p4704 +tp4705 +a(g693 +g1178 +tp4706 +a(g423 +g3624 +tp4707 +a(g693 +g1182 +tp4708 +a(g826 +V\u000a +p4709 +tp4710 +a(g7 +Vend +p4711 +tp4712 +a(g826 +V\u000a +p4713 +tp4714 +a(g7 +Vend +p4715 +tp4716 +a(g826 +V\u000a\u000a +p4717 +tp4718 +a(g745 +V# Deletes the given object from the set and returns self. Use +subtract+ to +p4719 +tp4720 +a(g826 +V\u000a +p4721 +tp4722 +a(g745 +V# delete several items at once. +p4723 +tp4724 +a(g826 +V\u000a +p4725 +tp4726 +a(g7 +Vdef +p4727 +tp4728 +a(g826 +g958 +tp4729 +a(g561 +Vdelete +p4730 +tp4731 +a(g693 +g1178 +tp4732 +a(g423 +g3624 +tp4733 +a(g693 +g1182 +tp4734 +a(g826 +V\u000a +p4735 +tp4736 +a(g449 +V@hash +p4737 +tp4738 +a(g400 +g1174 +tp4739 +a(g423 +Vdelete +p4740 +tp4741 +a(g693 +g1178 +tp4742 +a(g423 +g3624 +tp4743 +a(g693 +g1182 +tp4744 +a(g826 +V\u000a +p4745 +tp4746 +a(g669 +Vself +p4747 +tp4748 +a(g826 +V\u000a +p4749 +tp4750 +a(g7 +Vend +p4751 +tp4752 +a(g826 +V\u000a\u000a +p4753 +tp4754 +a(g745 +V# Deletes the given object from the set and returns self. If the +p4755 +tp4756 +a(g826 +V\u000a +p4757 +tp4758 +a(g745 +V# object is not in the set, returns nil. +p4759 +tp4760 +a(g826 +V\u000a +p4761 +tp4762 +a(g7 +Vdef +p4763 +tp4764 +a(g826 +g958 +tp4765 +a(g561 +Vdelete? +p4766 +tp4767 +a(g693 +g1178 +tp4768 +a(g423 +g3624 +tp4769 +a(g693 +g1182 +tp4770 +a(g826 +V\u000a +p4771 +tp4772 +a(g7 +Vif +p4773 +tp4774 +a(g826 +g958 +tp4775 +a(g918 +Vinclude +p4776 +tp4777 +a(g693 +g4219 +tp4778 +a(g693 +g1178 +tp4779 +a(g423 +g3624 +tp4780 +a(g693 +g1182 +tp4781 +a(g826 +V\u000a +p4782 +tp4783 +a(g423 +Vdelete +p4784 +tp4785 +a(g693 +g1178 +tp4786 +a(g423 +g3624 +tp4787 +a(g693 +g1182 +tp4788 +a(g826 +V\u000a +p4789 +tp4790 +a(g7 +Velse +p4791 +tp4792 +a(g826 +V\u000a +p4793 +tp4794 +a(g918 +Vnil +p4795 +tp4796 +a(g826 +V\u000a +p4797 +tp4798 +a(g7 +Vend +p4799 +tp4800 +a(g826 +V\u000a +p4801 +tp4802 +a(g7 +Vend +p4803 +tp4804 +a(g826 +V\u000a\u000a +p4805 +tp4806 +a(g745 +V# Deletes every element of the set for which block evaluates to +p4807 +tp4808 +a(g826 +V\u000a +p4809 +tp4810 +a(g745 +V# true, and returns self. +p4811 +tp4812 +a(g826 +V\u000a +p4813 +tp4814 +a(g7 +Vdef +p4815 +tp4816 +a(g826 +g958 +tp4817 +a(g561 +Vdelete_if +p4818 +tp4819 +a(g826 +V\u000a +p4820 +tp4821 +a(g449 +V@hash +p4822 +tp4823 +a(g400 +g1174 +tp4824 +a(g423 +Vdelete_if +p4825 +tp4826 +a(g826 +g958 +tp4827 +a(g693 +g3620 +tp4828 +a(g826 +g958 +tp4829 +a(g400 +g1824 +tp4830 +a(g423 +g3624 +tp4831 +a(g693 +g996 +tp4832 +a(g400 +g1824 +tp4833 +a(g826 +g958 +tp4834 +a(g7 +Vyield +p4835 +tp4836 +a(g693 +g1178 +tp4837 +a(g423 +g3624 +tp4838 +a(g693 +g1182 +tp4839 +a(g826 +g958 +tp4840 +a(g693 +g1391 +tp4841 +a(g826 +V\u000a +p4842 +tp4843 +a(g669 +Vself +p4844 +tp4845 +a(g826 +V\u000a +p4846 +tp4847 +a(g7 +Vend +p4848 +tp4849 +a(g826 +V\u000a\u000a +p4850 +tp4851 +a(g745 +V# Do collect() destructively. +p4852 +tp4853 +a(g826 +V\u000a +p4854 +tp4855 +a(g7 +Vdef +p4856 +tp4857 +a(g826 +g958 +tp4858 +a(g561 +Vcollect! +p4859 +tp4860 +a(g826 +V\u000a +p4861 +tp4862 +a(g423 +Vset +p4863 +tp4864 +a(g826 +g958 +tp4865 +a(g400 +g987 +tp4866 +a(g826 +g958 +tp4867 +a(g669 +Vself +p4868 +tp4869 +a(g400 +g1174 +tp4870 +a(g423 +Vclass +p4871 +tp4872 +a(g400 +g1174 +tp4873 +a(g423 +Vnew +p4874 +tp4875 +a(g826 +V\u000a +p4876 +tp4877 +a(g423 +Veach +p4878 +tp4879 +a(g826 +g958 +tp4880 +a(g693 +g3620 +tp4881 +a(g826 +g958 +tp4882 +a(g400 +g1824 +tp4883 +a(g423 +g3624 +tp4884 +a(g400 +g1824 +tp4885 +a(g826 +g958 +tp4886 +a(g423 +Vset +p4887 +tp4888 +a(g826 +g958 +tp4889 +a(g400 +V<< +p4890 +tp4891 +a(g826 +g958 +tp4892 +a(g7 +Vyield +p4893 +tp4894 +a(g693 +g1178 +tp4895 +a(g423 +g3624 +tp4896 +a(g693 +g1182 +tp4897 +a(g826 +g958 +tp4898 +a(g693 +g1391 +tp4899 +a(g826 +V\u000a +p4900 +tp4901 +a(g423 +Vreplace +p4902 +tp4903 +a(g693 +g1178 +tp4904 +a(g423 +Vset +p4905 +tp4906 +a(g693 +g1182 +tp4907 +a(g826 +V\u000a +p4908 +tp4909 +a(g7 +Vend +p4910 +tp4911 +a(g826 +V\u000a +p4912 +tp4913 +a(g7 +Valias +p4914 +tp4915 +a(g826 +g958 +tp4916 +a(g423 +Vmap! +p4917 +tp4918 +a(g826 +g958 +tp4919 +a(g423 +Vcollect! +p4920 +tp4921 +a(g826 +V\u000a\u000a +p4922 +tp4923 +a(g745 +V# Equivalent to Set#delete_if, but returns nil if no changes were +p4924 +tp4925 +a(g826 +V\u000a +p4926 +tp4927 +a(g745 +V# made. +p4928 +tp4929 +a(g826 +V\u000a +p4930 +tp4931 +a(g7 +Vdef +p4932 +tp4933 +a(g826 +g958 +tp4934 +a(g561 +Vreject! +p4935 +tp4936 +a(g826 +V\u000a +p4937 +tp4938 +a(g423 +Vn +p4939 +tp4940 +a(g826 +g958 +tp4941 +a(g400 +g987 +tp4942 +a(g826 +g958 +tp4943 +a(g423 +Vsize +p4944 +tp4945 +a(g826 +V\u000a +p4946 +tp4947 +a(g423 +Vdelete_if +p4948 +tp4949 +a(g826 +g958 +tp4950 +a(g693 +g3620 +tp4951 +a(g826 +g958 +tp4952 +a(g400 +g1824 +tp4953 +a(g423 +g3624 +tp4954 +a(g400 +g1824 +tp4955 +a(g826 +g958 +tp4956 +a(g7 +Vyield +p4957 +tp4958 +a(g693 +g1178 +tp4959 +a(g423 +g3624 +tp4960 +a(g693 +g1182 +tp4961 +a(g826 +g958 +tp4962 +a(g693 +g1391 +tp4963 +a(g826 +V\u000a +p4964 +tp4965 +a(g423 +Vsize +p4966 +tp4967 +a(g826 +g958 +tp4968 +a(g400 +V== +p4969 +tp4970 +a(g826 +g958 +tp4971 +a(g423 +g4939 +tp4972 +a(g826 +g958 +tp4973 +a(g693 +g4219 +tp4974 +a(g826 +g958 +tp4975 +a(g918 +Vnil +p4976 +tp4977 +a(g826 +g958 +tp4978 +a(g693 +V: +p4979 +tp4980 +a(g826 +g958 +tp4981 +a(g669 +Vself +p4982 +tp4983 +a(g826 +V\u000a +p4984 +tp4985 +a(g7 +Vend +p4986 +tp4987 +a(g826 +V\u000a\u000a +p4988 +tp4989 +a(g745 +V# Merges the elements of the given enumerable object to the set and +p4990 +tp4991 +a(g826 +V\u000a +p4992 +tp4993 +a(g745 +V# returns self. +p4994 +tp4995 +a(g826 +V\u000a +p4996 +tp4997 +a(g7 +Vdef +p4998 +tp4999 +a(g826 +g958 +tp5000 +a(g561 +Vmerge +p5001 +tp5002 +a(g693 +g1178 +tp5003 +a(g423 +Venum +p5004 +tp5005 +a(g693 +g1182 +tp5006 +a(g826 +V\u000a +p5007 +tp5008 +a(g7 +Vif +p5009 +tp5010 +a(g826 +g958 +tp5011 +a(g423 +Venum +p5012 +tp5013 +a(g400 +g1174 +tp5014 +a(g423 +Vis_a? +p5015 +tp5016 +a(g693 +g1178 +tp5017 +a(g553 +VSet +p5018 +tp5019 +a(g693 +g1182 +tp5020 +a(g826 +V\u000a +p5021 +tp5022 +a(g449 +V@hash +p5023 +tp5024 +a(g400 +g1174 +tp5025 +a(g423 +Vupdate +p5026 +tp5027 +a(g693 +g1178 +tp5028 +a(g423 +Venum +p5029 +tp5030 +a(g400 +g1174 +tp5031 +a(g423 +Vinstance_eval +p5032 +tp5033 +a(g826 +g958 +tp5034 +a(g693 +g3620 +tp5035 +a(g826 +g958 +tp5036 +a(g449 +V@hash +p5037 +tp5038 +a(g826 +g958 +tp5039 +a(g693 +g1391 +tp5040 +a(g693 +g1182 +tp5041 +a(g826 +V\u000a +p5042 +tp5043 +a(g7 +Velse +p5044 +tp5045 +a(g826 +V\u000a +p5046 +tp5047 +a(g423 +Venum +p5048 +tp5049 +a(g400 +g1174 +tp5050 +a(g423 +Vis_a? +p5051 +tp5052 +a(g693 +g1178 +tp5053 +a(g553 +VEnumerable +p5054 +tp5055 +a(g693 +g1182 +tp5056 +a(g826 +g958 +tp5057 +a(g414 +Vor +p5058 +tp5059 +a(g826 +g958 +tp5060 +a(g7 +Vraise +p5061 +tp5062 +a(g826 +g958 +tp5063 +a(g553 +VArgumentError +p5064 +tp5065 +a(g693 +g996 +tp5066 +a(g826 +g958 +tp5067 +a(g144 +g3862 +tp5068 +a(g144 +Vvalue must be enumerable +p5069 +tp5070 +a(g144 +g3862 +tp5071 +a(g826 +V\u000a +p5072 +tp5073 +a(g423 +Venum +p5074 +tp5075 +a(g400 +g1174 +tp5076 +a(g423 +Veach +p5077 +tp5078 +a(g826 +g958 +tp5079 +a(g693 +g3620 +tp5080 +a(g826 +g958 +tp5081 +a(g400 +g1824 +tp5082 +a(g423 +g3624 +tp5083 +a(g400 +g1824 +tp5084 +a(g826 +g958 +tp5085 +a(g423 +Vadd +p5086 +tp5087 +a(g693 +g1178 +tp5088 +a(g423 +g3624 +tp5089 +a(g693 +g1182 +tp5090 +a(g826 +g958 +tp5091 +a(g693 +g1391 +tp5092 +a(g826 +V\u000a +p5093 +tp5094 +a(g7 +Vend +p5095 +tp5096 +a(g826 +V\u000a\u000a +p5097 +tp5098 +a(g669 +Vself +p5099 +tp5100 +a(g826 +V\u000a +p5101 +tp5102 +a(g7 +Vend +p5103 +tp5104 +a(g826 +V\u000a\u000a +p5105 +tp5106 +a(g745 +V# Deletes every element that appears in the given enumerable object +p5107 +tp5108 +a(g826 +V\u000a +p5109 +tp5110 +a(g745 +V# and returns self. +p5111 +tp5112 +a(g826 +V\u000a +p5113 +tp5114 +a(g7 +Vdef +p5115 +tp5116 +a(g826 +g958 +tp5117 +a(g561 +Vsubtract +p5118 +tp5119 +a(g693 +g1178 +tp5120 +a(g423 +Venum +p5121 +tp5122 +a(g693 +g1182 +tp5123 +a(g826 +V\u000a +p5124 +tp5125 +a(g423 +Venum +p5126 +tp5127 +a(g400 +g1174 +tp5128 +a(g423 +Vis_a? +p5129 +tp5130 +a(g693 +g1178 +tp5131 +a(g553 +VEnumerable +p5132 +tp5133 +a(g693 +g1182 +tp5134 +a(g826 +g958 +tp5135 +a(g414 +Vor +p5136 +tp5137 +a(g826 +g958 +tp5138 +a(g7 +Vraise +p5139 +tp5140 +a(g826 +g958 +tp5141 +a(g553 +VArgumentError +p5142 +tp5143 +a(g693 +g996 +tp5144 +a(g826 +g958 +tp5145 +a(g144 +g3862 +tp5146 +a(g144 +Vvalue must be enumerable +p5147 +tp5148 +a(g144 +g3862 +tp5149 +a(g826 +V\u000a +p5150 +tp5151 +a(g423 +Venum +p5152 +tp5153 +a(g400 +g1174 +tp5154 +a(g423 +Veach +p5155 +tp5156 +a(g826 +g958 +tp5157 +a(g693 +g3620 +tp5158 +a(g826 +g958 +tp5159 +a(g400 +g1824 +tp5160 +a(g423 +g3624 +tp5161 +a(g400 +g1824 +tp5162 +a(g826 +g958 +tp5163 +a(g423 +Vdelete +p5164 +tp5165 +a(g693 +g1178 +tp5166 +a(g423 +g3624 +tp5167 +a(g693 +g1182 +tp5168 +a(g826 +g958 +tp5169 +a(g693 +g1391 +tp5170 +a(g826 +V\u000a +p5171 +tp5172 +a(g669 +Vself +p5173 +tp5174 +a(g826 +V\u000a +p5175 +tp5176 +a(g7 +Vend +p5177 +tp5178 +a(g826 +V\u000a\u000a +p5179 +tp5180 +a(g745 +V# Returns a new set built by merging the set and the elements of the +p5181 +tp5182 +a(g826 +V\u000a +p5183 +tp5184 +a(g745 +V# given enumerable object. +p5185 +tp5186 +a(g826 +V\u000a +p5187 +tp5188 +a(g7 +Vdef +p5189 +tp5190 +a(g826 +g958 +tp5191 +a(g561 +g1824 +tp5192 +a(g693 +g1178 +tp5193 +a(g423 +Venum +p5194 +tp5195 +a(g693 +g1182 +tp5196 +a(g826 +V\u000a +p5197 +tp5198 +a(g423 +Venum +p5199 +tp5200 +a(g400 +g1174 +tp5201 +a(g423 +Vis_a? +p5202 +tp5203 +a(g693 +g1178 +tp5204 +a(g553 +VEnumerable +p5205 +tp5206 +a(g693 +g1182 +tp5207 +a(g826 +g958 +tp5208 +a(g414 +Vor +p5209 +tp5210 +a(g826 +g958 +tp5211 +a(g7 +Vraise +p5212 +tp5213 +a(g826 +g958 +tp5214 +a(g553 +VArgumentError +p5215 +tp5216 +a(g693 +g996 +tp5217 +a(g826 +g958 +tp5218 +a(g144 +g3862 +tp5219 +a(g144 +Vvalue must be enumerable +p5220 +tp5221 +a(g144 +g3862 +tp5222 +a(g826 +V\u000a +p5223 +tp5224 +a(g669 +Vdup +p5225 +tp5226 +a(g400 +g1174 +tp5227 +a(g423 +Vmerge +p5228 +tp5229 +a(g693 +g1178 +tp5230 +a(g423 +Venum +p5231 +tp5232 +a(g693 +g1182 +tp5233 +a(g826 +V\u000a +p5234 +tp5235 +a(g7 +Vend +p5236 +tp5237 +a(g826 +V\u000a +p5238 +tp5239 +a(g7 +Valias +p5240 +tp5241 +a(g826 +g958 +tp5242 +a(g400 +V+ +p5243 +tp5244 +a(g826 +g958 +tp5245 +a(g400 +g1824 +tp5246 +a(g826 +V +p5247 +tp5248 +a(g745 +V## +p5249 +tp5250 +a(g826 +V\u000a +p5251 +tp5252 +a(g7 +Valias +p5253 +tp5254 +a(g826 +g958 +tp5255 +a(g423 +Vunion +p5256 +tp5257 +a(g826 +g958 +tp5258 +a(g400 +g1824 +tp5259 +a(g826 +V +p5260 +tp5261 +a(g745 +V## +p5262 +tp5263 +a(g826 +V\u000a\u000a +p5264 +tp5265 +a(g745 +V# Returns a new set built by duplicating the set, removing every +p5266 +tp5267 +a(g826 +V\u000a +p5268 +tp5269 +a(g745 +V# element that appears in the given enumerable object. +p5270 +tp5271 +a(g826 +V\u000a +p5272 +tp5273 +a(g7 +Vdef +p5274 +tp5275 +a(g826 +g958 +tp5276 +a(g561 +g3272 +tp5277 +a(g693 +g1178 +tp5278 +a(g423 +Venum +p5279 +tp5280 +a(g693 +g1182 +tp5281 +a(g826 +V\u000a +p5282 +tp5283 +a(g423 +Venum +p5284 +tp5285 +a(g400 +g1174 +tp5286 +a(g423 +Vis_a? +p5287 +tp5288 +a(g693 +g1178 +tp5289 +a(g553 +VEnumerable +p5290 +tp5291 +a(g693 +g1182 +tp5292 +a(g826 +g958 +tp5293 +a(g414 +Vor +p5294 +tp5295 +a(g826 +g958 +tp5296 +a(g7 +Vraise +p5297 +tp5298 +a(g826 +g958 +tp5299 +a(g553 +VArgumentError +p5300 +tp5301 +a(g693 +g996 +tp5302 +a(g826 +g958 +tp5303 +a(g144 +g3862 +tp5304 +a(g144 +Vvalue must be enumerable +p5305 +tp5306 +a(g144 +g3862 +tp5307 +a(g826 +V\u000a +p5308 +tp5309 +a(g669 +Vdup +p5310 +tp5311 +a(g400 +g1174 +tp5312 +a(g423 +Vsubtract +p5313 +tp5314 +a(g693 +g1178 +tp5315 +a(g423 +Venum +p5316 +tp5317 +a(g693 +g1182 +tp5318 +a(g826 +V\u000a +p5319 +tp5320 +a(g7 +Vend +p5321 +tp5322 +a(g826 +V\u000a +p5323 +tp5324 +a(g7 +Valias +p5325 +tp5326 +a(g826 +g958 +tp5327 +a(g423 +Vdifference +p5328 +tp5329 +a(g826 +g958 +tp5330 +a(g400 +g3272 +tp5331 +a(g826 +V +p5332 +tp5333 +a(g745 +V## +p5334 +tp5335 +a(g826 +V\u000a\u000a +p5336 +tp5337 +a(g745 +V# Returns a new array containing elements common to the set and the +p5338 +tp5339 +a(g826 +V\u000a +p5340 +tp5341 +a(g745 +V# given enumerable object. +p5342 +tp5343 +a(g826 +V\u000a +p5344 +tp5345 +a(g7 +Vdef +p5346 +tp5347 +a(g826 +g958 +tp5348 +a(g561 +g3570 +tp5349 +a(g693 +g1178 +tp5350 +a(g423 +Venum +p5351 +tp5352 +a(g693 +g1182 +tp5353 +a(g826 +V\u000a +p5354 +tp5355 +a(g423 +Venum +p5356 +tp5357 +a(g400 +g1174 +tp5358 +a(g423 +Vis_a? +p5359 +tp5360 +a(g693 +g1178 +tp5361 +a(g553 +VEnumerable +p5362 +tp5363 +a(g693 +g1182 +tp5364 +a(g826 +g958 +tp5365 +a(g414 +Vor +p5366 +tp5367 +a(g826 +g958 +tp5368 +a(g7 +Vraise +p5369 +tp5370 +a(g826 +g958 +tp5371 +a(g553 +VArgumentError +p5372 +tp5373 +a(g693 +g996 +tp5374 +a(g826 +g958 +tp5375 +a(g144 +g3862 +tp5376 +a(g144 +Vvalue must be enumerable +p5377 +tp5378 +a(g144 +g3862 +tp5379 +a(g826 +V\u000a +p5380 +tp5381 +a(g423 +g4939 +tp5382 +a(g826 +g958 +tp5383 +a(g400 +g987 +tp5384 +a(g826 +g958 +tp5385 +a(g669 +Vself +p5386 +tp5387 +a(g400 +g1174 +tp5388 +a(g423 +Vclass +p5389 +tp5390 +a(g400 +g1174 +tp5391 +a(g423 +Vnew +p5392 +tp5393 +a(g826 +V\u000a +p5394 +tp5395 +a(g423 +Venum +p5396 +tp5397 +a(g400 +g1174 +tp5398 +a(g423 +Veach +p5399 +tp5400 +a(g826 +g958 +tp5401 +a(g693 +g3620 +tp5402 +a(g826 +g958 +tp5403 +a(g400 +g1824 +tp5404 +a(g423 +g3624 +tp5405 +a(g400 +g1824 +tp5406 +a(g826 +g958 +tp5407 +a(g423 +g4939 +tp5408 +a(g400 +g1174 +tp5409 +a(g423 +Vadd +p5410 +tp5411 +a(g693 +g1178 +tp5412 +a(g423 +g3624 +tp5413 +a(g693 +g1182 +tp5414 +a(g826 +g958 +tp5415 +a(g7 +Vif +p5416 +tp5417 +a(g826 +g958 +tp5418 +a(g918 +Vinclude +p5419 +tp5420 +a(g693 +g4219 +tp5421 +a(g693 +g1178 +tp5422 +a(g423 +g3624 +tp5423 +a(g693 +g1182 +tp5424 +a(g826 +g958 +tp5425 +a(g693 +g1391 +tp5426 +a(g826 +V\u000a +p5427 +tp5428 +a(g423 +g4939 +tp5429 +a(g826 +V\u000a +p5430 +tp5431 +a(g7 +Vend +p5432 +tp5433 +a(g826 +V\u000a +p5434 +tp5435 +a(g7 +Valias +p5436 +tp5437 +a(g826 +g958 +tp5438 +a(g423 +Vintersection +p5439 +tp5440 +a(g826 +g958 +tp5441 +a(g400 +g3570 +tp5442 +a(g826 +g5332 +tp5443 +a(g745 +V## +p5444 +tp5445 +a(g826 +V\u000a\u000a +p5446 +tp5447 +a(g745 +V# Returns a new array containing elements exclusive between the set +p5448 +tp5449 +a(g826 +V\u000a +p5450 +tp5451 +a(g745 +V# and the given enumerable object. (set ^ enum) is equivalent to +p5452 +tp5453 +a(g826 +V\u000a +p5454 +tp5455 +a(g745 +V# ((set | enum) - (set & enum)). +p5456 +tp5457 +a(g826 +V\u000a +p5458 +tp5459 +a(g7 +Vdef +p5460 +tp5461 +a(g826 +g958 +tp5462 +a(g561 +V^ +p5463 +tp5464 +a(g693 +g1178 +tp5465 +a(g423 +Venum +p5466 +tp5467 +a(g693 +g1182 +tp5468 +a(g826 +V\u000a +p5469 +tp5470 +a(g423 +Venum +p5471 +tp5472 +a(g400 +g1174 +tp5473 +a(g423 +Vis_a? +p5474 +tp5475 +a(g693 +g1178 +tp5476 +a(g553 +VEnumerable +p5477 +tp5478 +a(g693 +g1182 +tp5479 +a(g826 +g958 +tp5480 +a(g414 +Vor +p5481 +tp5482 +a(g826 +g958 +tp5483 +a(g7 +Vraise +p5484 +tp5485 +a(g826 +g958 +tp5486 +a(g553 +VArgumentError +p5487 +tp5488 +a(g693 +g996 +tp5489 +a(g826 +g958 +tp5490 +a(g144 +g3862 +tp5491 +a(g144 +Vvalue must be enumerable +p5492 +tp5493 +a(g144 +g3862 +tp5494 +a(g826 +V\u000a +p5495 +tp5496 +a(g423 +g4939 +tp5497 +a(g826 +g958 +tp5498 +a(g400 +g987 +tp5499 +a(g826 +g958 +tp5500 +a(g669 +Vdup +p5501 +tp5502 +a(g826 +V\u000a +p5503 +tp5504 +a(g423 +Venum +p5505 +tp5506 +a(g400 +g1174 +tp5507 +a(g423 +Veach +p5508 +tp5509 +a(g826 +g958 +tp5510 +a(g693 +g3620 +tp5511 +a(g826 +g958 +tp5512 +a(g400 +g1824 +tp5513 +a(g423 +g3624 +tp5514 +a(g400 +g1824 +tp5515 +a(g826 +g958 +tp5516 +a(g7 +Vif +p5517 +tp5518 +a(g826 +g958 +tp5519 +a(g423 +g4939 +tp5520 +a(g400 +g1174 +tp5521 +a(g423 +Vinclude? +p5522 +tp5523 +a(g693 +g1178 +tp5524 +a(g423 +g3624 +tp5525 +a(g693 +g1182 +tp5526 +a(g826 +g958 +tp5527 +a(g7 +Vthen +p5528 +tp5529 +a(g826 +g958 +tp5530 +a(g423 +g4939 +tp5531 +a(g400 +g1174 +tp5532 +a(g423 +Vdelete +p5533 +tp5534 +a(g693 +g1178 +tp5535 +a(g423 +g3624 +tp5536 +a(g693 +g1182 +tp5537 +a(g826 +g958 +tp5538 +a(g7 +Velse +p5539 +tp5540 +a(g826 +g958 +tp5541 +a(g423 +g4939 +tp5542 +a(g400 +g1174 +tp5543 +a(g423 +Vadd +p5544 +tp5545 +a(g693 +g1178 +tp5546 +a(g423 +g3624 +tp5547 +a(g693 +g1182 +tp5548 +a(g826 +g958 +tp5549 +a(g7 +Vend +p5550 +tp5551 +a(g826 +g958 +tp5552 +a(g693 +g1391 +tp5553 +a(g826 +V\u000a +p5554 +tp5555 +a(g423 +g4939 +tp5556 +a(g826 +V\u000a +p5557 +tp5558 +a(g7 +Vend +p5559 +tp5560 +a(g826 +V\u000a\u000a +p5561 +tp5562 +a(g745 +V# Returns true if two sets are equal. The equality of each couple +p5563 +tp5564 +a(g826 +V\u000a +p5565 +tp5566 +a(g745 +V# of elements is defined according to Object#eql?. +p5567 +tp5568 +a(g826 +V\u000a +p5569 +tp5570 +a(g7 +Vdef +p5571 +tp5572 +a(g826 +g958 +tp5573 +a(g561 +V== +p5574 +tp5575 +a(g693 +g1178 +tp5576 +a(g423 +Vset +p5577 +tp5578 +a(g693 +g1182 +tp5579 +a(g826 +V\u000a +p5580 +tp5581 +a(g669 +Vequal? +p5582 +tp5583 +a(g693 +g1178 +tp5584 +a(g423 +Vset +p5585 +tp5586 +a(g693 +g1182 +tp5587 +a(g826 +g958 +tp5588 +a(g414 +Vand +p5589 +tp5590 +a(g826 +g958 +tp5591 +a(g7 +Vreturn +p5592 +tp5593 +a(g826 +g958 +tp5594 +a(g918 +Vtrue +p5595 +tp5596 +a(g826 +V\u000a\u000a +p5597 +tp5598 +a(g423 +Vset +p5599 +tp5600 +a(g400 +g1174 +tp5601 +a(g423 +Vis_a? +p5602 +tp5603 +a(g693 +g1178 +tp5604 +a(g553 +VSet +p5605 +tp5606 +a(g693 +g1182 +tp5607 +a(g826 +g958 +tp5608 +a(g400 +V&& +p5609 +tp5610 +a(g826 +g958 +tp5611 +a(g423 +Vsize +p5612 +tp5613 +a(g826 +g958 +tp5614 +a(g400 +V== +p5615 +tp5616 +a(g826 +g958 +tp5617 +a(g423 +Vset +p5618 +tp5619 +a(g400 +g1174 +tp5620 +a(g423 +Vsize +p5621 +tp5622 +a(g826 +g958 +tp5623 +a(g414 +Vor +p5624 +tp5625 +a(g826 +g958 +tp5626 +a(g7 +Vreturn +p5627 +tp5628 +a(g826 +g958 +tp5629 +a(g918 +Vfalse +p5630 +tp5631 +a(g826 +V\u000a\u000a +p5632 +tp5633 +a(g669 +Vhash +p5634 +tp5635 +a(g826 +g958 +tp5636 +a(g400 +g987 +tp5637 +a(g826 +g958 +tp5638 +a(g449 +V@hash +p5639 +tp5640 +a(g400 +g1174 +tp5641 +a(g423 +Vdup +p5642 +tp5643 +a(g826 +V\u000a +p5644 +tp5645 +a(g423 +Vset +p5646 +tp5647 +a(g400 +g1174 +tp5648 +a(g423 +Vall? +p5649 +tp5650 +a(g826 +g958 +tp5651 +a(g693 +g3620 +tp5652 +a(g826 +g958 +tp5653 +a(g400 +g1824 +tp5654 +a(g423 +g3624 +tp5655 +a(g400 +g1824 +tp5656 +a(g826 +g958 +tp5657 +a(g669 +Vhash +p5658 +tp5659 +a(g400 +g1174 +tp5660 +a(g423 +Vinclude? +p5661 +tp5662 +a(g693 +g1178 +tp5663 +a(g423 +g3624 +tp5664 +a(g693 +g1182 +tp5665 +a(g826 +g958 +tp5666 +a(g693 +g1391 +tp5667 +a(g826 +V\u000a +p5668 +tp5669 +a(g7 +Vend +p5670 +tp5671 +a(g826 +V\u000a\u000a +p5672 +tp5673 +a(g7 +Vdef +p5674 +tp5675 +a(g826 +g958 +tp5676 +a(g561 +Vhash +p5677 +tp5678 +a(g826 +g5332 +tp5679 +a(g745 +V# :nodoc: +p5680 +tp5681 +a(g826 +V\u000a +p5682 +tp5683 +a(g449 +V@hash +p5684 +tp5685 +a(g400 +g1174 +tp5686 +a(g423 +Vhash +p5687 +tp5688 +a(g826 +V\u000a +p5689 +tp5690 +a(g7 +Vend +p5691 +tp5692 +a(g826 +V\u000a\u000a +p5693 +tp5694 +a(g7 +Vdef +p5695 +tp5696 +a(g826 +g958 +tp5697 +a(g561 +Veql? +p5698 +tp5699 +a(g693 +g1178 +tp5700 +a(g423 +g3624 +tp5701 +a(g693 +g1182 +tp5702 +a(g826 +g5332 +tp5703 +a(g745 +V# :nodoc: +p5704 +tp5705 +a(g826 +V\u000a +p5706 +tp5707 +a(g7 +Vreturn +p5708 +tp5709 +a(g826 +g958 +tp5710 +a(g918 +Vfalse +p5711 +tp5712 +a(g826 +g958 +tp5713 +a(g7 +Vunless +p5714 +tp5715 +a(g826 +g958 +tp5716 +a(g423 +g3624 +tp5717 +a(g400 +g1174 +tp5718 +a(g423 +Vis_a? +p5719 +tp5720 +a(g693 +g1178 +tp5721 +a(g553 +VSet +p5722 +tp5723 +a(g693 +g1182 +tp5724 +a(g826 +V\u000a +p5725 +tp5726 +a(g449 +V@hash +p5727 +tp5728 +a(g400 +g1174 +tp5729 +a(g423 +Veql? +p5730 +tp5731 +a(g693 +g1178 +tp5732 +a(g423 +g3624 +tp5733 +a(g400 +g1174 +tp5734 +a(g423 +Vinstance_eval +p5735 +tp5736 +a(g693 +g3620 +tp5737 +a(g449 +V@hash +p5738 +tp5739 +a(g693 +g1391 +tp5740 +a(g693 +g1182 +tp5741 +a(g826 +V\u000a +p5742 +tp5743 +a(g7 +Vend +p5744 +tp5745 +a(g826 +V\u000a\u000a +p5746 +tp5747 +a(g745 +V# Classifies the set by the return value of the given block and +p5748 +tp5749 +a(g826 +V\u000a +p5750 +tp5751 +a(g745 +V# returns a hash of {value => set of elements} pairs. The block is +p5752 +tp5753 +a(g826 +V\u000a +p5754 +tp5755 +a(g745 +V# called once for each element of the set, passing the element as +p5756 +tp5757 +a(g826 +V\u000a +p5758 +tp5759 +a(g745 +V# parameter. +p5760 +tp5761 +a(g826 +V\u000a +p5762 +tp5763 +a(g745 +g1414 +tp5764 +a(g826 +V\u000a +p5765 +tp5766 +a(g745 +V# e.g.: +p5767 +tp5768 +a(g826 +V\u000a +p5769 +tp5770 +a(g745 +g1414 +tp5771 +a(g826 +V\u000a +p5772 +tp5773 +a(g745 +V# require 'set' +p5774 +tp5775 +a(g826 +V\u000a +p5776 +tp5777 +a(g745 +V# files = Set.new(Dir.glob("*.rb")) +p5778 +tp5779 +a(g826 +V\u000a +p5780 +tp5781 +a(g745 +V# hash = files.classify { |f| File.mtime(f).year } +p5782 +tp5783 +a(g826 +V\u000a +p5784 +tp5785 +a(g745 +V# p hash # => {2000=>#, +p5786 +tp5787 +a(g826 +V\u000a +p5788 +tp5789 +a(g745 +V# # 2001=>#, +p5790 +tp5791 +a(g826 +V\u000a +p5792 +tp5793 +a(g745 +V# # 2002=>#} +p5794 +tp5795 +a(g826 +V\u000a +p5796 +tp5797 +a(g7 +Vdef +p5798 +tp5799 +a(g826 +g958 +tp5800 +a(g561 +Vclassify +p5801 +tp5802 +a(g826 +g958 +tp5803 +a(g745 +V# :yields: o +p5804 +tp5805 +a(g826 +V\u000a +p5806 +tp5807 +a(g423 +Vh +p5808 +tp5809 +a(g826 +g958 +tp5810 +a(g400 +g987 +tp5811 +a(g826 +g958 +tp5812 +a(g693 +g3620 +tp5813 +a(g693 +g1391 +tp5814 +a(g826 +V\u000a\u000a +p5815 +tp5816 +a(g423 +Veach +p5817 +tp5818 +a(g826 +g958 +tp5819 +a(g693 +g3620 +tp5820 +a(g826 +g958 +tp5821 +a(g400 +g1824 +tp5822 +a(g423 +Vi +p5823 +tp5824 +a(g400 +g1824 +tp5825 +a(g826 +V\u000a +p5826 +tp5827 +a(g423 +Vx +p5828 +tp5829 +a(g826 +g958 +tp5830 +a(g400 +g987 +tp5831 +a(g826 +g958 +tp5832 +a(g7 +Vyield +p5833 +tp5834 +a(g693 +g1178 +tp5835 +a(g423 +g5823 +tp5836 +a(g693 +g1182 +tp5837 +a(g826 +V\u000a +p5838 +tp5839 +a(g693 +g1178 +tp5840 +a(g423 +g5808 +tp5841 +a(g400 +g990 +tp5842 +a(g423 +g5828 +tp5843 +a(g400 +g1137 +tp5844 +a(g826 +g958 +tp5845 +a(g400 +V|| +p5846 +tp5847 +a(g400 +g987 +tp5848 +a(g826 +g958 +tp5849 +a(g669 +Vself +p5850 +tp5851 +a(g400 +g1174 +tp5852 +a(g423 +Vclass +p5853 +tp5854 +a(g400 +g1174 +tp5855 +a(g423 +Vnew +p5856 +tp5857 +a(g693 +g1182 +tp5858 +a(g400 +g1174 +tp5859 +a(g423 +Vadd +p5860 +tp5861 +a(g693 +g1178 +tp5862 +a(g423 +g5823 +tp5863 +a(g693 +g1182 +tp5864 +a(g826 +V\u000a +p5865 +tp5866 +a(g693 +g1391 +tp5867 +a(g826 +V\u000a\u000a +p5868 +tp5869 +a(g423 +g5808 +tp5870 +a(g826 +V\u000a +p5871 +tp5872 +a(g7 +Vend +p5873 +tp5874 +a(g826 +V\u000a\u000a +p5875 +tp5876 +a(g745 +V# Divides the set into a set of subsets according to the commonality +p5877 +tp5878 +a(g826 +V\u000a +p5879 +tp5880 +a(g745 +V# defined by the given block. +p5881 +tp5882 +a(g826 +V\u000a +p5883 +tp5884 +a(g745 +g1414 +tp5885 +a(g826 +V\u000a +p5886 +tp5887 +a(g745 +V# If the arity of the block is 2, elements o1 and o2 are in common +p5888 +tp5889 +a(g826 +V\u000a +p5890 +tp5891 +a(g745 +V# if block.call(o1, o2) is true. Otherwise, elements o1 and o2 are +p5892 +tp5893 +a(g826 +V\u000a +p5894 +tp5895 +a(g745 +V# in common if block.call(o1) == block.call(o2). +p5896 +tp5897 +a(g826 +V\u000a +p5898 +tp5899 +a(g745 +g1414 +tp5900 +a(g826 +V\u000a +p5901 +tp5902 +a(g745 +V# e.g.: +p5903 +tp5904 +a(g826 +V\u000a +p5905 +tp5906 +a(g745 +g1414 +tp5907 +a(g826 +V\u000a +p5908 +tp5909 +a(g745 +V# require 'set' +p5910 +tp5911 +a(g826 +V\u000a +p5912 +tp5913 +a(g745 +V# numbers = Set[1, 3, 4, 6, 9, 10, 11] +p5914 +tp5915 +a(g826 +V\u000a +p5916 +tp5917 +a(g745 +V# set = numbers.divide { |i,j| (i - j).abs == 1 } +p5918 +tp5919 +a(g826 +V\u000a +p5920 +tp5921 +a(g745 +V# p set # => #, +p5922 +tp5923 +a(g826 +V\u000a +p5924 +tp5925 +a(g745 +V# # #, +p5926 +tp5927 +a(g826 +V\u000a +p5928 +tp5929 +a(g745 +V# # #, +p5930 +tp5931 +a(g826 +V\u000a +p5932 +tp5933 +a(g745 +V# # #}> +p5934 +tp5935 +a(g826 +V\u000a +p5936 +tp5937 +a(g7 +Vdef +p5938 +tp5939 +a(g826 +g958 +tp5940 +a(g561 +Vdivide +p5941 +tp5942 +a(g693 +g1178 +tp5943 +a(g400 +g3570 +tp5944 +a(g423 +Vfunc +p5945 +tp5946 +a(g693 +g1182 +tp5947 +a(g826 +V\u000a +p5948 +tp5949 +a(g7 +Vif +p5950 +tp5951 +a(g826 +g958 +tp5952 +a(g423 +Vfunc +p5953 +tp5954 +a(g400 +g1174 +tp5955 +a(g423 +Varity +p5956 +tp5957 +a(g826 +g958 +tp5958 +a(g400 +V== +p5959 +tp5960 +a(g826 +g958 +tp5961 +a(g22 +V2 +p5962 +tp5963 +a(g826 +V\u000a +p5964 +tp5965 +a(g669 +Vrequire +p5966 +tp5967 +a(g826 +g958 +tp5968 +a(g217 +V'tsort' +p5969 +tp5970 +a(g826 +V\u000a\u000a +p5971 +tp5972 +a(g7 +Vclass +p5973 +tp5974 +a(g826 +g958 +tp5975 +a(g400 +V<< +p5976 +tp5977 +a(g826 +g958 +tp5978 +a(g423 +Vdig +p5979 +tp5980 +a(g826 +g958 +tp5981 +a(g400 +g987 +tp5982 +a(g826 +g958 +tp5983 +a(g693 +g3620 +tp5984 +a(g693 +g1391 +tp5985 +a(g826 +V +p5986 +tp5987 +a(g745 +V# :nodoc: +p5988 +tp5989 +a(g826 +V\u000a +p5990 +tp5991 +a(g918 +Vinclude +p5992 +tp5993 +a(g826 +g958 +tp5994 +a(g553 +VTSort +p5995 +tp5996 +a(g826 +V\u000a\u000a +p5997 +tp5998 +a(g7 +Valias +p5999 +tp6000 +a(g826 +g958 +tp6001 +a(g423 +Vtsort_each_node +p6002 +tp6003 +a(g826 +g958 +tp6004 +a(g423 +Veach_key +p6005 +tp6006 +a(g826 +V\u000a +p6007 +tp6008 +a(g7 +Vdef +p6009 +tp6010 +a(g826 +g958 +tp6011 +a(g561 +Vtsort_each_child +p6012 +tp6013 +a(g693 +g1178 +tp6014 +a(g423 +Vnode +p6015 +tp6016 +a(g693 +g996 +tp6017 +a(g826 +g958 +tp6018 +a(g400 +g3570 +tp6019 +a(g423 +Vblock +p6020 +tp6021 +a(g693 +g1182 +tp6022 +a(g826 +V\u000a +p6023 +tp6024 +a(g423 +Vfetch +p6025 +tp6026 +a(g693 +g1178 +tp6027 +a(g423 +Vnode +p6028 +tp6029 +a(g693 +g1182 +tp6030 +a(g400 +g1174 +tp6031 +a(g423 +Veach +p6032 +tp6033 +a(g693 +g1178 +tp6034 +a(g400 +g3570 +tp6035 +a(g423 +Vblock +p6036 +tp6037 +a(g693 +g1182 +tp6038 +a(g826 +V\u000a +p6039 +tp6040 +a(g7 +Vend +p6041 +tp6042 +a(g826 +V\u000a +p6043 +tp6044 +a(g7 +Vend +p6045 +tp6046 +a(g826 +V\u000a\u000a +p6047 +tp6048 +a(g423 +Veach +p6049 +tp6050 +a(g826 +g958 +tp6051 +a(g693 +g3620 +tp6052 +a(g826 +g958 +tp6053 +a(g400 +g1824 +tp6054 +a(g423 +Vu +p6055 +tp6056 +a(g400 +g1824 +tp6057 +a(g826 +V\u000a +p6058 +tp6059 +a(g423 +Vdig +p6060 +tp6061 +a(g400 +g990 +tp6062 +a(g423 +g6055 +tp6063 +a(g400 +g1137 +tp6064 +a(g826 +g958 +tp6065 +a(g400 +g987 +tp6066 +a(g826 +g958 +tp6067 +a(g423 +Va +p6068 +tp6069 +a(g826 +g958 +tp6070 +a(g400 +g987 +tp6071 +a(g826 +g958 +tp6072 +a(g400 +g990 +tp6073 +a(g400 +g1137 +tp6074 +a(g826 +V\u000a +p6075 +tp6076 +a(g423 +Veach +p6077 +tp6078 +a(g693 +g3620 +tp6079 +a(g826 +g958 +tp6080 +a(g400 +g1824 +tp6081 +a(g423 +Vv +p6082 +tp6083 +a(g400 +g1824 +tp6084 +a(g826 +g958 +tp6085 +a(g423 +Vfunc +p6086 +tp6087 +a(g400 +g1174 +tp6088 +a(g423 +Vcall +p6089 +tp6090 +a(g693 +g1178 +tp6091 +a(g423 +g6055 +tp6092 +a(g693 +g996 +tp6093 +a(g826 +g958 +tp6094 +a(g423 +g6082 +tp6095 +a(g693 +g1182 +tp6096 +a(g826 +g958 +tp6097 +a(g414 +Vand +p6098 +tp6099 +a(g826 +g958 +tp6100 +a(g423 +g6068 +tp6101 +a(g826 +g958 +tp6102 +a(g400 +V<< +p6103 +tp6104 +a(g826 +g958 +tp6105 +a(g423 +g6082 +tp6106 +a(g826 +g958 +tp6107 +a(g693 +g1391 +tp6108 +a(g826 +V\u000a +p6109 +tp6110 +a(g693 +g1391 +tp6111 +a(g826 +V\u000a\u000a +p6112 +tp6113 +a(g423 +Vset +p6114 +tp6115 +a(g826 +g958 +tp6116 +a(g400 +g987 +tp6117 +a(g826 +g958 +tp6118 +a(g553 +VSet +p6119 +tp6120 +a(g400 +g1174 +tp6121 +a(g423 +Vnew +p6122 +tp6123 +a(g693 +g1178 +tp6124 +a(g693 +g1182 +tp6125 +a(g826 +V\u000a +p6126 +tp6127 +a(g423 +Vdig +p6128 +tp6129 +a(g400 +g1174 +tp6130 +a(g423 +Veach_strongly_connected_component +p6131 +tp6132 +a(g826 +g958 +tp6133 +a(g693 +g3620 +tp6134 +a(g826 +g958 +tp6135 +a(g400 +g1824 +tp6136 +a(g423 +Vcss +p6137 +tp6138 +a(g400 +g1824 +tp6139 +a(g826 +V\u000a +p6140 +tp6141 +a(g423 +Vset +p6142 +tp6143 +a(g400 +g1174 +tp6144 +a(g423 +Vadd +p6145 +tp6146 +a(g693 +g1178 +tp6147 +a(g669 +Vself +p6148 +tp6149 +a(g400 +g1174 +tp6150 +a(g423 +Vclass +p6151 +tp6152 +a(g400 +g1174 +tp6153 +a(g423 +Vnew +p6154 +tp6155 +a(g693 +g1178 +tp6156 +a(g423 +Vcss +p6157 +tp6158 +a(g693 +g1182 +tp6159 +a(g693 +g1182 +tp6160 +a(g826 +V\u000a +p6161 +tp6162 +a(g693 +g1391 +tp6163 +a(g826 +V\u000a +p6164 +tp6165 +a(g423 +Vset +p6166 +tp6167 +a(g826 +V\u000a +p6168 +tp6169 +a(g7 +Velse +p6170 +tp6171 +a(g826 +V\u000a +p6172 +tp6173 +a(g553 +VSet +p6174 +tp6175 +a(g400 +g1174 +tp6176 +a(g423 +Vnew +p6177 +tp6178 +a(g693 +g1178 +tp6179 +a(g423 +Vclassify +p6180 +tp6181 +a(g693 +g1178 +tp6182 +a(g400 +g3570 +tp6183 +a(g423 +Vfunc +p6184 +tp6185 +a(g693 +g1182 +tp6186 +a(g400 +g1174 +tp6187 +a(g423 +Vvalues +p6188 +tp6189 +a(g693 +g1182 +tp6190 +a(g826 +V\u000a +p6191 +tp6192 +a(g7 +Vend +p6193 +tp6194 +a(g826 +V\u000a +p6195 +tp6196 +a(g7 +Vend +p6197 +tp6198 +a(g826 +V\u000a\u000a +p6199 +tp6200 +a(g553 +VInspectKey +p6201 +tp6202 +a(g826 +g958 +tp6203 +a(g400 +g987 +tp6204 +a(g826 +g958 +tp6205 +a(g104 +V:__inspect_key__ +p6206 +tp6207 +a(g826 +V +p6208 +tp6209 +a(g745 +V# :nodoc: +p6210 +tp6211 +a(g826 +V\u000a\u000a +p6212 +tp6213 +a(g745 +V# Returns a string containing a human-readable representation of the +p6214 +tp6215 +a(g826 +V\u000a +p6216 +tp6217 +a(g745 +V# set. ("#") +p6218 +tp6219 +a(g826 +V\u000a +p6220 +tp6221 +a(g7 +Vdef +p6222 +tp6223 +a(g826 +g958 +tp6224 +a(g561 +Vinspect +p6225 +tp6226 +a(g826 +V\u000a +p6227 +tp6228 +a(g423 +Vids +p6229 +tp6230 +a(g826 +g958 +tp6231 +a(g400 +g987 +tp6232 +a(g826 +g958 +tp6233 +a(g693 +g1178 +tp6234 +a(g553 +VThread +p6235 +tp6236 +a(g400 +g1174 +tp6237 +a(g423 +Vcurrent +p6238 +tp6239 +a(g400 +g990 +tp6240 +a(g553 +VInspectKey +p6241 +tp6242 +a(g400 +g1137 +tp6243 +a(g826 +g958 +tp6244 +a(g400 +V|| +p6245 +tp6246 +a(g400 +g987 +tp6247 +a(g826 +g958 +tp6248 +a(g400 +g990 +tp6249 +a(g400 +g1137 +tp6250 +a(g693 +g1182 +tp6251 +a(g826 +V\u000a\u000a +p6252 +tp6253 +a(g7 +Vif +p6254 +tp6255 +a(g826 +g958 +tp6256 +a(g423 +Vids +p6257 +tp6258 +a(g400 +g1174 +tp6259 +a(g423 +Vinclude? +p6260 +tp6261 +a(g693 +g1178 +tp6262 +a(g669 +Vobject_id +p6263 +tp6264 +a(g693 +g1182 +tp6265 +a(g826 +V\u000a +p6266 +tp6267 +a(g7 +Vreturn +p6268 +tp6269 +a(g826 +g958 +tp6270 +a(g669 +Vsprintf +p6271 +tp6272 +a(g693 +g1178 +tp6273 +a(g217 +V'#<%s: {...}>' +p6274 +tp6275 +a(g693 +g996 +tp6276 +a(g826 +g958 +tp6277 +a(g669 +Vself +p6278 +tp6279 +a(g400 +g1174 +tp6280 +a(g423 +Vclass +p6281 +tp6282 +a(g400 +g1174 +tp6283 +a(g423 +Vname +p6284 +tp6285 +a(g693 +g1182 +tp6286 +a(g826 +V\u000a +p6287 +tp6288 +a(g7 +Vend +p6289 +tp6290 +a(g826 +V\u000a\u000a +p6291 +tp6292 +a(g7 +Vbegin +p6293 +tp6294 +a(g826 +V\u000a +p6295 +tp6296 +a(g423 +Vids +p6297 +tp6298 +a(g826 +g958 +tp6299 +a(g400 +V<< +p6300 +tp6301 +a(g826 +g958 +tp6302 +a(g669 +Vobject_id +p6303 +tp6304 +a(g826 +V\u000a +p6305 +tp6306 +a(g7 +Vreturn +p6307 +tp6308 +a(g826 +g958 +tp6309 +a(g669 +Vsprintf +p6310 +tp6311 +a(g693 +g1178 +tp6312 +a(g217 +V'#<%s: {%s}>' +p6313 +tp6314 +a(g693 +g996 +tp6315 +a(g826 +g958 +tp6316 +a(g669 +Vself +p6317 +tp6318 +a(g400 +g1174 +tp6319 +a(g423 +Vclass +p6320 +tp6321 +a(g693 +g996 +tp6322 +a(g826 +g958 +tp6323 +a(g669 +Vto_a +p6324 +tp6325 +a(g400 +g1174 +tp6326 +a(g423 +Vinspect +p6327 +tp6328 +a(g400 +g990 +tp6329 +a(g22 +g3274 +tp6330 +a(g400 +V.. +p6331 +tp6332 +a(g400 +g3272 +tp6333 +a(g22 +g5962 +tp6334 +a(g400 +g1137 +tp6335 +a(g693 +g1182 +tp6336 +a(g826 +V\u000a +p6337 +tp6338 +a(g7 +Vensure +p6339 +tp6340 +a(g826 +V\u000a +p6341 +tp6342 +a(g423 +Vids +p6343 +tp6344 +a(g400 +g1174 +tp6345 +a(g423 +Vpop +p6346 +tp6347 +a(g826 +V\u000a +p6348 +tp6349 +a(g7 +Vend +p6350 +tp6351 +a(g826 +V\u000a +p6352 +tp6353 +a(g7 +Vend +p6354 +tp6355 +a(g826 +V\u000a\u000a +p6356 +tp6357 +a(g7 +Vdef +p6358 +tp6359 +a(g826 +g958 +tp6360 +a(g561 +Vpretty_print +p6361 +tp6362 +a(g693 +g1178 +tp6363 +a(g423 +Vpp +p6364 +tp6365 +a(g693 +g1182 +tp6366 +a(g826 +g5332 +tp6367 +a(g745 +V# :nodoc: +p6368 +tp6369 +a(g826 +V\u000a +p6370 +tp6371 +a(g423 +Vpp +p6372 +tp6373 +a(g400 +g1174 +tp6374 +a(g423 +Vtext +p6375 +tp6376 +a(g826 +g958 +tp6377 +a(g669 +Vsprintf +p6378 +tp6379 +a(g693 +g1178 +tp6380 +a(g217 +V'#<%s: {' +p6381 +tp6382 +a(g693 +g996 +tp6383 +a(g826 +g958 +tp6384 +a(g669 +Vself +p6385 +tp6386 +a(g400 +g1174 +tp6387 +a(g423 +Vclass +p6388 +tp6389 +a(g400 +g1174 +tp6390 +a(g423 +Vname +p6391 +tp6392 +a(g693 +g1182 +tp6393 +a(g826 +V\u000a +p6394 +tp6395 +a(g423 +Vpp +p6396 +tp6397 +a(g400 +g1174 +tp6398 +a(g423 +Vnest +p6399 +tp6400 +a(g693 +g1178 +tp6401 +a(g22 +g3274 +tp6402 +a(g693 +g1182 +tp6403 +a(g826 +g958 +tp6404 +a(g693 +g3620 +tp6405 +a(g826 +V\u000a +p6406 +tp6407 +a(g423 +Vpp +p6408 +tp6409 +a(g400 +g1174 +tp6410 +a(g423 +Vseplist +p6411 +tp6412 +a(g693 +g1178 +tp6413 +a(g669 +Vself +p6414 +tp6415 +a(g693 +g1182 +tp6416 +a(g826 +g958 +tp6417 +a(g693 +g3620 +tp6418 +a(g826 +g958 +tp6419 +a(g400 +g1824 +tp6420 +a(g423 +g3624 +tp6421 +a(g400 +g1824 +tp6422 +a(g826 +V\u000a +p6423 +tp6424 +a(g423 +Vpp +p6425 +tp6426 +a(g400 +g1174 +tp6427 +a(g423 +Vpp +p6428 +tp6429 +a(g826 +g958 +tp6430 +a(g423 +g3624 +tp6431 +a(g826 +V\u000a +p6432 +tp6433 +a(g693 +g1391 +tp6434 +a(g826 +V\u000a +p6435 +tp6436 +a(g693 +g1391 +tp6437 +a(g826 +V\u000a +p6438 +tp6439 +a(g423 +Vpp +p6440 +tp6441 +a(g400 +g1174 +tp6442 +a(g423 +Vtext +p6443 +tp6444 +a(g826 +g958 +tp6445 +a(g144 +g3862 +tp6446 +a(g144 +V}> +p6447 +tp6448 +a(g144 +g3862 +tp6449 +a(g826 +V\u000a +p6450 +tp6451 +a(g7 +Vend +p6452 +tp6453 +a(g826 +V\u000a\u000a +p6454 +tp6455 +a(g7 +Vdef +p6456 +tp6457 +a(g826 +g958 +tp6458 +a(g561 +Vpretty_print_cycle +p6459 +tp6460 +a(g693 +g1178 +tp6461 +a(g423 +Vpp +p6462 +tp6463 +a(g693 +g1182 +tp6464 +a(g826 +g5332 +tp6465 +a(g745 +V# :nodoc: +p6466 +tp6467 +a(g826 +V\u000a +p6468 +tp6469 +a(g423 +Vpp +p6470 +tp6471 +a(g400 +g1174 +tp6472 +a(g423 +Vtext +p6473 +tp6474 +a(g826 +g958 +tp6475 +a(g669 +Vsprintf +p6476 +tp6477 +a(g693 +g1178 +tp6478 +a(g217 +V'#<%s: {%s}>' +p6479 +tp6480 +a(g693 +g996 +tp6481 +a(g826 +g958 +tp6482 +a(g669 +Vself +p6483 +tp6484 +a(g400 +g1174 +tp6485 +a(g423 +Vclass +p6486 +tp6487 +a(g400 +g1174 +tp6488 +a(g423 +Vname +p6489 +tp6490 +a(g693 +g996 +tp6491 +a(g826 +g958 +tp6492 +a(g423 +Vempty? +p6493 +tp6494 +a(g826 +g958 +tp6495 +a(g693 +g4219 +tp6496 +a(g826 +g958 +tp6497 +a(g217 +V'' +p6498 +tp6499 +a(g826 +g958 +tp6500 +a(g693 +g4979 +tp6501 +a(g826 +g958 +tp6502 +a(g217 +V'...' +p6503 +tp6504 +a(g693 +g1182 +tp6505 +a(g826 +V\u000a +p6506 +tp6507 +a(g7 +Vend +p6508 +tp6509 +a(g826 +V\u000a +p6510 +tp6511 +a(g7 +Vend +p6512 +tp6513 +a(g826 +V\u000a\u000a +p6514 +tp6515 +a(g745 +V# SortedSet implements a set which elements are sorted in order. See Set. +p6516 +tp6517 +a(g826 +V\u000a +p6518 +tp6519 +a(g7 +Vclass +p6520 +tp6521 +a(g826 +g958 +tp6522 +a(g616 +VSortedSet +p6523 +tp6524 +a(g826 +g958 +tp6525 +a(g400 +g977 +tp6526 +a(g826 +g958 +tp6527 +a(g553 +VSet +p6528 +tp6529 +a(g826 +V\u000a +p6530 +tp6531 +a(g433 +V@@setup +p6532 +tp6533 +a(g826 +g958 +tp6534 +a(g400 +g987 +tp6535 +a(g826 +g958 +tp6536 +a(g918 +Vfalse +p6537 +tp6538 +a(g826 +V\u000a\u000a +p6539 +tp6540 +a(g7 +Vclass +p6541 +tp6542 +a(g826 +g958 +tp6543 +a(g400 +V<< +p6544 +tp6545 +a(g826 +g958 +tp6546 +a(g669 +Vself +p6547 +tp6548 +a(g826 +V\u000a +p6549 +tp6550 +a(g7 +Vdef +p6551 +tp6552 +a(g826 +g958 +tp6553 +a(g561 +V[] +p6554 +tp6555 +a(g693 +g1178 +tp6556 +a(g400 +g1420 +tp6557 +a(g423 +Vary +p6558 +tp6559 +a(g693 +g1182 +tp6560 +a(g826 +g5332 +tp6561 +a(g745 +V# :nodoc: +p6562 +tp6563 +a(g826 +V\u000a +p6564 +tp6565 +a(g918 +Vnew +p6566 +tp6567 +a(g693 +g1178 +tp6568 +a(g423 +Vary +p6569 +tp6570 +a(g693 +g1182 +tp6571 +a(g826 +V\u000a +p6572 +tp6573 +a(g7 +Vend +p6574 +tp6575 +a(g826 +V\u000a\u000a +p6576 +tp6577 +a(g7 +Vdef +p6578 +tp6579 +a(g826 +g958 +tp6580 +a(g561 +Vsetup +p6581 +tp6582 +a(g826 +g5332 +tp6583 +a(g745 +V# :nodoc: +p6584 +tp6585 +a(g826 +V\u000a +p6586 +tp6587 +a(g433 +V@@setup +p6588 +tp6589 +a(g826 +g958 +tp6590 +a(g414 +Vand +p6591 +tp6592 +a(g826 +g958 +tp6593 +a(g7 +Vreturn +p6594 +tp6595 +a(g826 +V\u000a\u000a +p6596 +tp6597 +a(g7 +Vbegin +p6598 +tp6599 +a(g826 +V\u000a +p6600 +tp6601 +a(g669 +Vrequire +p6602 +tp6603 +a(g826 +g958 +tp6604 +a(g217 +V'rbtree' +p6605 +tp6606 +a(g826 +V\u000a\u000a +p6607 +tp6608 +a(g669 +Vmodule_eval +p6609 +tp6610 +a(g826 +g958 +tp6611 +a(g224 +V%{ +p6612 +tp6613 +a(g224 +V\u000a def initialize(*args, &block)\u000a @hash = RBTree.new\u000a super\u000a end\u000a +p6614 +tp6615 +a(g224 +g1391 +tp6616 +a(g826 +V\u000a +p6617 +tp6618 +a(g7 +Vrescue +p6619 +tp6620 +a(g826 +g958 +tp6621 +a(g553 +VLoadError +p6622 +tp6623 +a(g826 +V\u000a +p6624 +tp6625 +a(g669 +Vmodule_eval +p6626 +tp6627 +a(g826 +g958 +tp6628 +a(g224 +V%{ +p6629 +tp6630 +a(g224 +V\u000a def initialize(*args, &block)\u000a @keys = nil\u000a super\u000a end\u000a\u000a def clear\u000a @keys = nil\u000a super\u000a end\u000a\u000a def replace(enum)\u000a @keys = nil\u000a super\u000a end\u000a\u000a def add(o)\u000a @keys = nil\u000a @hash[o] = true\u000a self\u000a end\u000a alias << add\u000a\u000a def delete(o)\u000a @keys = nil\u000a @hash.delete(o)\u000a self\u000a end\u000a\u000a def delete_if\u000a n = @hash.size\u000a @hash.delete_if +p6631 +tp6632 +a(g224 +g3620 +tp6633 +a(g224 +V |o,| yield(o) +p6634 +tp6635 +a(g224 +g1391 +tp6636 +a(g224 +V\u000a @keys = nil if @hash.size != n\u000a self\u000a end\u000a\u000a def merge(enum)\u000a @keys = nil\u000a super\u000a end\u000a\u000a def each\u000a to_a.each +p6637 +tp6638 +a(g224 +g3620 +tp6639 +a(g224 +V |o| yield(o) +p6640 +tp6641 +a(g224 +g1391 +tp6642 +a(g224 +V\u000a end\u000a\u000a def to_a\u000a (@keys = @hash.keys).sort! unless @keys\u000a @keys\u000a end\u000a +p6643 +tp6644 +a(g224 +g1391 +tp6645 +a(g826 +V\u000a +p6646 +tp6647 +a(g7 +Vend +p6648 +tp6649 +a(g826 +V\u000a\u000a +p6650 +tp6651 +a(g433 +V@@setup +p6652 +tp6653 +a(g826 +g958 +tp6654 +a(g400 +g987 +tp6655 +a(g826 +g958 +tp6656 +a(g918 +Vtrue +p6657 +tp6658 +a(g826 +V\u000a +p6659 +tp6660 +a(g7 +Vend +p6661 +tp6662 +a(g826 +V\u000a +p6663 +tp6664 +a(g7 +Vend +p6665 +tp6666 +a(g826 +V\u000a\u000a +p6667 +tp6668 +a(g7 +Vdef +p6669 +tp6670 +a(g826 +g958 +tp6671 +a(g561 +Vinitialize +p6672 +tp6673 +a(g693 +g1178 +tp6674 +a(g400 +g1420 +tp6675 +a(g423 +Vargs +p6676 +tp6677 +a(g693 +g996 +tp6678 +a(g826 +g958 +tp6679 +a(g400 +g3570 +tp6680 +a(g423 +Vblock +p6681 +tp6682 +a(g693 +g1182 +tp6683 +a(g826 +g5332 +tp6684 +a(g745 +V# :nodoc: +p6685 +tp6686 +a(g826 +V\u000a +p6687 +tp6688 +a(g553 +VSortedSet +p6689 +tp6690 +a(g400 +g1174 +tp6691 +a(g423 +Vsetup +p6692 +tp6693 +a(g826 +V\u000a +p6694 +tp6695 +a(g918 +Vinitialize +p6696 +tp6697 +a(g693 +g1178 +tp6698 +a(g400 +g1420 +tp6699 +a(g423 +Vargs +p6700 +tp6701 +a(g693 +g996 +tp6702 +a(g826 +g958 +tp6703 +a(g400 +g3570 +tp6704 +a(g423 +Vblock +p6705 +tp6706 +a(g693 +g1182 +tp6707 +a(g826 +V\u000a +p6708 +tp6709 +a(g7 +Vend +p6710 +tp6711 +a(g826 +V\u000a +p6712 +tp6713 +a(g7 +Vend +p6714 +tp6715 +a(g826 +V\u000a\u000a +p6716 +tp6717 +a(g7 +Vmodule +p6718 +tp6719 +a(g826 +g958 +tp6720 +a(g608 +VEnumerable +p6721 +tp6722 +a(g826 +V\u000a +p6723 +tp6724 +a(g745 +V# Makes a set from the enumerable object with given arguments. +p6725 +tp6726 +a(g826 +V\u000a +p6727 +tp6728 +a(g7 +Vdef +p6729 +tp6730 +a(g826 +g958 +tp6731 +a(g561 +Vto_set +p6732 +tp6733 +a(g693 +g1178 +tp6734 +a(g423 +Vklass +p6735 +tp6736 +a(g826 +g958 +tp6737 +a(g400 +g987 +tp6738 +a(g826 +g958 +tp6739 +a(g553 +VSet +p6740 +tp6741 +a(g693 +g996 +tp6742 +a(g826 +g958 +tp6743 +a(g400 +g1420 +tp6744 +a(g423 +Vargs +p6745 +tp6746 +a(g693 +g996 +tp6747 +a(g826 +g958 +tp6748 +a(g400 +g3570 +tp6749 +a(g423 +Vblock +p6750 +tp6751 +a(g693 +g1182 +tp6752 +a(g826 +V\u000a +p6753 +tp6754 +a(g423 +Vklass +p6755 +tp6756 +a(g400 +g1174 +tp6757 +a(g423 +Vnew +p6758 +tp6759 +a(g693 +g1178 +tp6760 +a(g669 +Vself +p6761 +tp6762 +a(g693 +g996 +tp6763 +a(g826 +g958 +tp6764 +a(g400 +g1420 +tp6765 +a(g423 +Vargs +p6766 +tp6767 +a(g693 +g996 +tp6768 +a(g826 +g958 +tp6769 +a(g400 +g3570 +tp6770 +a(g423 +Vblock +p6771 +tp6772 +a(g693 +g1182 +tp6773 +a(g826 +V\u000a +p6774 +tp6775 +a(g7 +Vend +p6776 +tp6777 +a(g826 +V\u000a +p6778 +tp6779 +a(g7 +Vend +p6780 +tp6781 +a(g826 +V\u000a\u000a +p6782 +tp6783 +a(g745 +V# =begin +p6784 +tp6785 +a(g826 +V\u000a +p6786 +tp6787 +a(g745 +V# == RestricedSet class +p6788 +tp6789 +a(g826 +V\u000a +p6790 +tp6791 +a(g745 +V# RestricedSet implements a set with restrictions defined by a given +p6792 +tp6793 +a(g826 +V\u000a +p6794 +tp6795 +a(g745 +V# block. +p6796 +tp6797 +a(g826 +V\u000a +p6798 +tp6799 +a(g745 +g1414 +tp6800 +a(g826 +V\u000a +p6801 +tp6802 +a(g745 +V# === Super class +p6803 +tp6804 +a(g826 +V\u000a +p6805 +tp6806 +a(g745 +V# Set +p6807 +tp6808 +a(g826 +V\u000a +p6809 +tp6810 +a(g745 +g1414 +tp6811 +a(g826 +V\u000a +p6812 +tp6813 +a(g745 +V# === Class Methods +p6814 +tp6815 +a(g826 +V\u000a +p6816 +tp6817 +a(g745 +V# --- RestricedSet::new(enum = nil) { |o| ... } +p6818 +tp6819 +a(g826 +V\u000a +p6820 +tp6821 +a(g745 +V# --- RestricedSet::new(enum = nil) { |rset, o| ... } +p6822 +tp6823 +a(g826 +V\u000a +p6824 +tp6825 +a(g745 +V# Creates a new restricted set containing the elements of the given +p6826 +tp6827 +a(g826 +V\u000a +p6828 +tp6829 +a(g745 +V# enumerable object. Restrictions are defined by the given block. +p6830 +tp6831 +a(g826 +V\u000a +p6832 +tp6833 +a(g745 +g1414 +tp6834 +a(g826 +V\u000a +p6835 +tp6836 +a(g745 +V# If the block's arity is 2, it is called with the RestrictedSet +p6837 +tp6838 +a(g826 +V\u000a +p6839 +tp6840 +a(g745 +V# itself and an object to see if the object is allowed to be put in +p6841 +tp6842 +a(g826 +V\u000a +p6843 +tp6844 +a(g745 +V# the set. +p6845 +tp6846 +a(g826 +V\u000a +p6847 +tp6848 +a(g745 +g1414 +tp6849 +a(g826 +V\u000a +p6850 +tp6851 +a(g745 +V# Otherwise, the block is called with an object to see if the object +p6852 +tp6853 +a(g826 +V\u000a +p6854 +tp6855 +a(g745 +V# is allowed to be put in the set. +p6856 +tp6857 +a(g826 +V\u000a +p6858 +tp6859 +a(g745 +g1414 +tp6860 +a(g826 +V\u000a +p6861 +tp6862 +a(g745 +V# === Instance Methods +p6863 +tp6864 +a(g826 +V\u000a +p6865 +tp6866 +a(g745 +V# --- restriction_proc +p6867 +tp6868 +a(g826 +V\u000a +p6869 +tp6870 +a(g745 +V# Returns the restriction procedure of the set. +p6871 +tp6872 +a(g826 +V\u000a +p6873 +tp6874 +a(g745 +g1414 +tp6875 +a(g826 +V\u000a +p6876 +tp6877 +a(g745 +V# =end +p6878 +tp6879 +a(g826 +V\u000a +p6880 +tp6881 +a(g745 +g1414 +tp6882 +a(g826 +V\u000a +p6883 +tp6884 +a(g745 +V# class RestricedSet < Set +p6885 +tp6886 +a(g826 +V\u000a +p6887 +tp6888 +a(g745 +V# def initialize(*args, &block) +p6889 +tp6890 +a(g826 +V\u000a +p6891 +tp6892 +a(g745 +V# @proc = block or raise ArgumentError, "missing a block" +p6893 +tp6894 +a(g826 +V\u000a +p6895 +tp6896 +a(g745 +g1414 +tp6897 +a(g826 +V\u000a +p6898 +tp6899 +a(g745 +V# if @proc.arity == 2 +p6900 +tp6901 +a(g826 +V\u000a +p6902 +tp6903 +a(g745 +V# instance_eval %{ +p6904 +tp6905 +a(g826 +V\u000a +p6906 +tp6907 +a(g745 +V# def add(o) +p6908 +tp6909 +a(g826 +V\u000a +p6910 +tp6911 +a(g745 +V# @hash[o] = true if @proc.call(self, o) +p6912 +tp6913 +a(g826 +V\u000a +p6914 +tp6915 +a(g745 +V# self +p6916 +tp6917 +a(g826 +V\u000a +p6918 +tp6919 +a(g745 +V# end +p6920 +tp6921 +a(g826 +V\u000a +p6922 +tp6923 +a(g745 +V# alias << add +p6924 +tp6925 +a(g826 +V\u000a +p6926 +tp6927 +a(g745 +g1414 +tp6928 +a(g826 +V\u000a +p6929 +tp6930 +a(g745 +V# def add?(o) +p6931 +tp6932 +a(g826 +V\u000a +p6933 +tp6934 +a(g745 +V# if include?(o) || !@proc.call(self, o) +p6935 +tp6936 +a(g826 +V\u000a +p6937 +tp6938 +a(g745 +V# nil +p6939 +tp6940 +a(g826 +V\u000a +p6941 +tp6942 +a(g745 +V# else +p6943 +tp6944 +a(g826 +V\u000a +p6945 +tp6946 +a(g745 +V# @hash[o] = true +p6947 +tp6948 +a(g826 +V\u000a +p6949 +tp6950 +a(g745 +V# self +p6951 +tp6952 +a(g826 +V\u000a +p6953 +tp6954 +a(g745 +V# end +p6955 +tp6956 +a(g826 +V\u000a +p6957 +tp6958 +a(g745 +V# end +p6959 +tp6960 +a(g826 +V\u000a +p6961 +tp6962 +a(g745 +g1414 +tp6963 +a(g826 +V\u000a +p6964 +tp6965 +a(g745 +V# def replace(enum) +p6966 +tp6967 +a(g826 +V\u000a +p6968 +tp6969 +a(g745 +V# enum.is_a?(Enumerable) or raise ArgumentError, "value must be enumerable" +p6970 +tp6971 +a(g826 +V\u000a +p6972 +tp6973 +a(g745 +V# clear +p6974 +tp6975 +a(g826 +V\u000a +p6976 +tp6977 +a(g745 +V# enum.each { |o| add(o) } +p6978 +tp6979 +a(g826 +V\u000a +p6980 +tp6981 +a(g745 +g1414 +tp6982 +a(g826 +V\u000a +p6983 +tp6984 +a(g745 +V# self +p6985 +tp6986 +a(g826 +V\u000a +p6987 +tp6988 +a(g745 +V# end +p6989 +tp6990 +a(g826 +V\u000a +p6991 +tp6992 +a(g745 +g1414 +tp6993 +a(g826 +V\u000a +p6994 +tp6995 +a(g745 +V# def merge(enum) +p6996 +tp6997 +a(g826 +V\u000a +p6998 +tp6999 +a(g745 +V# enum.is_a?(Enumerable) or raise ArgumentError, "value must be enumerable" +p7000 +tp7001 +a(g826 +V\u000a +p7002 +tp7003 +a(g745 +V# enum.each { |o| add(o) } +p7004 +tp7005 +a(g826 +V\u000a +p7006 +tp7007 +a(g745 +g1414 +tp7008 +a(g826 +V\u000a +p7009 +tp7010 +a(g745 +V# self +p7011 +tp7012 +a(g826 +V\u000a +p7013 +tp7014 +a(g745 +V# end +p7015 +tp7016 +a(g826 +V\u000a +p7017 +tp7018 +a(g745 +V# } +p7019 +tp7020 +a(g826 +V\u000a +p7021 +tp7022 +a(g745 +V# else +p7023 +tp7024 +a(g826 +V\u000a +p7025 +tp7026 +a(g745 +V# instance_eval %{ +p7027 +tp7028 +a(g826 +V\u000a +p7029 +tp7030 +a(g745 +V# def add(o) +p7031 +tp7032 +a(g826 +V\u000a +p7033 +tp7034 +a(g745 +V# if @proc.call(o) +p7035 +tp7036 +a(g826 +V\u000a +p7037 +tp7038 +a(g745 +V# @hash[o] = true +p7039 +tp7040 +a(g826 +V\u000a +p7041 +tp7042 +a(g745 +V# end +p7043 +tp7044 +a(g826 +V\u000a +p7045 +tp7046 +a(g745 +V# self +p7047 +tp7048 +a(g826 +V\u000a +p7049 +tp7050 +a(g745 +V# end +p7051 +tp7052 +a(g826 +V\u000a +p7053 +tp7054 +a(g745 +V# alias << add +p7055 +tp7056 +a(g826 +V\u000a +p7057 +tp7058 +a(g745 +g1414 +tp7059 +a(g826 +V\u000a +p7060 +tp7061 +a(g745 +V# def add?(o) +p7062 +tp7063 +a(g826 +V\u000a +p7064 +tp7065 +a(g745 +V# if include?(o) || !@proc.call(o) +p7066 +tp7067 +a(g826 +V\u000a +p7068 +tp7069 +a(g745 +V# nil +p7070 +tp7071 +a(g826 +V\u000a +p7072 +tp7073 +a(g745 +V# else +p7074 +tp7075 +a(g826 +V\u000a +p7076 +tp7077 +a(g745 +V# @hash[o] = true +p7078 +tp7079 +a(g826 +V\u000a +p7080 +tp7081 +a(g745 +V# self +p7082 +tp7083 +a(g826 +V\u000a +p7084 +tp7085 +a(g745 +V# end +p7086 +tp7087 +a(g826 +V\u000a +p7088 +tp7089 +a(g745 +V# end +p7090 +tp7091 +a(g826 +V\u000a +p7092 +tp7093 +a(g745 +V# } +p7094 +tp7095 +a(g826 +V\u000a +p7096 +tp7097 +a(g745 +V# end +p7098 +tp7099 +a(g826 +V\u000a +p7100 +tp7101 +a(g745 +g1414 +tp7102 +a(g826 +V\u000a +p7103 +tp7104 +a(g745 +V# super(*args) +p7105 +tp7106 +a(g826 +V\u000a +p7107 +tp7108 +a(g745 +V# end +p7109 +tp7110 +a(g826 +V\u000a +p7111 +tp7112 +a(g745 +g1414 +tp7113 +a(g826 +V\u000a +p7114 +tp7115 +a(g745 +V# def restriction_proc +p7116 +tp7117 +a(g826 +V\u000a +p7118 +tp7119 +a(g745 +V# @proc +p7120 +tp7121 +a(g826 +V\u000a +p7122 +tp7123 +a(g745 +V# end +p7124 +tp7125 +a(g826 +V\u000a +p7126 +tp7127 +a(g745 +V# end +p7128 +tp7129 +a(g826 +V\u000a\u000a +p7130 +tp7131 +a(g7 +Vif +p7132 +tp7133 +a(g826 +g958 +tp7134 +a(g457 +V$0 +p7135 +tp7136 +a(g826 +g958 +tp7137 +a(g400 +V== +p7138 +tp7139 +a(g826 +g958 +tp7140 +a(g681 +V__FILE__ +p7141 +tp7142 +a(g826 +V\u000a +p7143 +tp7144 +a(g669 +Veval +p7145 +tp7146 +a(g826 +g958 +tp7147 +a(g553 +VDATA +p7148 +tp7149 +a(g400 +g1174 +tp7150 +a(g423 +Vread +p7151 +tp7152 +a(g693 +g996 +tp7153 +a(g826 +g958 +tp7154 +a(g918 +Vnil +p7155 +tp7156 +a(g693 +g996 +tp7157 +a(g826 +g958 +tp7158 +a(g457 +V$0 +p7159 +tp7160 +a(g693 +g996 +tp7161 +a(g826 +g958 +tp7162 +a(g681 +V__LINE__ +p7163 +tp7164 +a(g400 +g5243 +tp7165 +a(g22 +V4 +p7166 +tp7167 +a(g826 +V\u000a +p7168 +tp7169 +a(g7 +Vend +p7170 +tp7171 +a(g826 +V\u000a\u000a +p7172 +tp7173 +a(g745 +V# = rweb - CGI Support Library +p7174 +tp7175 +a(g826 +V\u000a +p7176 +tp7177 +a(g745 +g1414 +tp7178 +a(g826 +V\u000a +p7179 +tp7180 +a(g745 +V# Author:: Johannes Barre (mailto:rweb@igels.net) +p7181 +tp7182 +a(g826 +V\u000a +p7183 +tp7184 +a(g745 +V# Copyright:: Copyright (c) 2003, 04 by Johannes Barre +p7185 +tp7186 +a(g826 +V\u000a +p7187 +tp7188 +a(g745 +V# License:: GNU Lesser General Public License (COPYING, http://www.gnu.org/copyleft/lesser.html) +p7189 +tp7190 +a(g826 +V\u000a +p7191 +tp7192 +a(g745 +V# Version:: 0.1.0 +p7193 +tp7194 +a(g826 +V\u000a +p7195 +tp7196 +a(g745 +V# CVS-ID:: $Id: example.rb 39 2005-11-05 03:33:55Z murphy $ +p7197 +tp7198 +a(g826 +V\u000a +p7199 +tp7200 +a(g745 +g1414 +tp7201 +a(g826 +V\u000a +p7202 +tp7203 +a(g745 +V# == What is Rweb? +p7204 +tp7205 +a(g826 +V\u000a +p7206 +tp7207 +a(g745 +V# Rweb is a replacement for the cgi class included in the ruby distribution. +p7208 +tp7209 +a(g826 +V\u000a +p7210 +tp7211 +a(g745 +g1414 +tp7212 +a(g826 +V\u000a +p7213 +tp7214 +a(g745 +V# == How to use +p7215 +tp7216 +a(g826 +V\u000a +p7217 +tp7218 +a(g745 +g1414 +tp7219 +a(g826 +V\u000a +p7220 +tp7221 +a(g745 +V# === Basics +p7222 +tp7223 +a(g826 +V\u000a +p7224 +tp7225 +a(g745 +g1414 +tp7226 +a(g826 +V\u000a +p7227 +tp7228 +a(g745 +V# This class is made to be as easy as possible to use. An example: +p7229 +tp7230 +a(g826 +V\u000a +p7231 +tp7232 +a(g745 +g1414 +tp7233 +a(g826 +V\u000a +p7234 +tp7235 +a(g745 +V# require "rweb" +p7236 +tp7237 +a(g826 +V\u000a +p7238 +tp7239 +a(g745 +g1414 +tp7240 +a(g826 +V\u000a +p7241 +tp7242 +a(g745 +V# web = Rweb.new +p7243 +tp7244 +a(g826 +V\u000a +p7245 +tp7246 +a(g745 +V# web.out do +p7247 +tp7248 +a(g826 +V\u000a +p7249 +tp7250 +a(g745 +V# web.puts "Hello world!" +p7251 +tp7252 +a(g826 +V\u000a +p7253 +tp7254 +a(g745 +V# end +p7255 +tp7256 +a(g826 +V\u000a +p7257 +tp7258 +a(g745 +g1414 +tp7259 +a(g826 +V\u000a +p7260 +tp7261 +a(g745 +V# The visitor will get a simple "Hello World!" in his browser. Please notice, +p7262 +tp7263 +a(g826 +V\u000a +p7264 +tp7265 +a(g745 +V# that won't set html-tags for you, so you should better do something like this: +p7266 +tp7267 +a(g826 +V\u000a +p7268 +tp7269 +a(g745 +g1414 +tp7270 +a(g826 +V\u000a +p7271 +tp7272 +a(g745 +V# require "rweb" +p7273 +tp7274 +a(g826 +V\u000a +p7275 +tp7276 +a(g745 +g1414 +tp7277 +a(g826 +V\u000a +p7278 +tp7279 +a(g745 +V# web = Rweb.new +p7280 +tp7281 +a(g826 +V\u000a +p7282 +tp7283 +a(g745 +V# web.out do +p7284 +tp7285 +a(g826 +V\u000a +p7286 +tp7287 +a(g745 +V# web.puts "Hello world!" +p7288 +tp7289 +a(g826 +V\u000a +p7290 +tp7291 +a(g745 +V# end +p7292 +tp7293 +a(g826 +V\u000a +p7294 +tp7295 +a(g745 +g1414 +tp7296 +a(g826 +V\u000a +p7297 +tp7298 +a(g745 +V# === Set headers +p7299 +tp7300 +a(g826 +V\u000a +p7301 +tp7302 +a(g745 +V# Of course, it's also possible to tell the browser, that the content of this +p7303 +tp7304 +a(g826 +V\u000a +p7305 +tp7306 +a(g745 +V# page is plain text instead of html code: +p7307 +tp7308 +a(g826 +V\u000a +p7309 +tp7310 +a(g745 +g1414 +tp7311 +a(g826 +V\u000a +p7312 +tp7313 +a(g745 +V# require "rweb" +p7314 +tp7315 +a(g826 +V\u000a +p7316 +tp7317 +a(g745 +g1414 +tp7318 +a(g826 +V\u000a +p7319 +tp7320 +a(g745 +V# web = Rweb.new +p7321 +tp7322 +a(g826 +V\u000a +p7323 +tp7324 +a(g745 +V# web.out do +p7325 +tp7326 +a(g826 +V\u000a +p7327 +tp7328 +a(g745 +V# web.header("content-type: text/plain") +p7329 +tp7330 +a(g826 +V\u000a +p7331 +tp7332 +a(g745 +V# web.puts "Hello plain world!" +p7333 +tp7334 +a(g826 +V\u000a +p7335 +tp7336 +a(g745 +V# end +p7337 +tp7338 +a(g826 +V\u000a +p7339 +tp7340 +a(g745 +g1414 +tp7341 +a(g826 +V\u000a +p7342 +tp7343 +a(g745 +V# Please remember, headers can't be set after the page content has been send. +p7344 +tp7345 +a(g826 +V\u000a +p7346 +tp7347 +a(g745 +V# You have to set all nessessary headers before the first puts oder print. It's +p7348 +tp7349 +a(g826 +V\u000a +p7350 +tp7351 +a(g745 +V# possible to cache the content until everything is complete. Doing it this +p7352 +tp7353 +a(g826 +V\u000a +p7354 +tp7355 +a(g745 +V# way, you can set headers everywhere. +p7356 +tp7357 +a(g826 +V\u000a +p7358 +tp7359 +a(g745 +g1414 +tp7360 +a(g826 +V\u000a +p7361 +tp7362 +a(g745 +V# If you set a header twice, the second header will replace the first one. The +p7363 +tp7364 +a(g826 +V\u000a +p7365 +tp7366 +a(g745 +V# header name is not casesensitive, it will allways converted in to the +p7367 +tp7368 +a(g826 +V\u000a +p7369 +tp7370 +a(g745 +V# capitalised form suggested by the w3c (http://w3.org) +p7371 +tp7372 +a(g826 +V\u000a +p7373 +tp7374 +a(g745 +g1414 +tp7375 +a(g826 +V\u000a +p7376 +tp7377 +a(g745 +V# === Set cookies +p7378 +tp7379 +a(g826 +V\u000a +p7380 +tp7381 +a(g745 +V# Setting cookies is quite easy: +p7382 +tp7383 +a(g826 +V\u000a +p7384 +tp7385 +a(g745 +V# include 'rweb' +p7386 +tp7387 +a(g826 +V\u000a +p7388 +tp7389 +a(g745 +g1414 +tp7390 +a(g826 +V\u000a +p7391 +tp7392 +a(g745 +V# web = Rweb.new +p7393 +tp7394 +a(g826 +V\u000a +p7395 +tp7396 +a(g745 +V# Cookie.new("Visits", web.cookies['visits'].to_i +1) +p7397 +tp7398 +a(g826 +V\u000a +p7399 +tp7400 +a(g745 +V# web.out do +p7401 +tp7402 +a(g826 +V\u000a +p7403 +tp7404 +a(g745 +V# web.puts "Welcome back! You visited this page #{web.cookies['visits'].to_i +1} times" +p7405 +tp7406 +a(g826 +V\u000a +p7407 +tp7408 +a(g745 +V# end +p7409 +tp7410 +a(g826 +V\u000a +p7411 +tp7412 +a(g745 +g1414 +tp7413 +a(g826 +V\u000a +p7414 +tp7415 +a(g745 +V# See the class Cookie for more details. +p7416 +tp7417 +a(g826 +V\u000a +p7418 +tp7419 +a(g745 +g1414 +tp7420 +a(g826 +V\u000a +p7421 +tp7422 +a(g745 +V# === Get form and cookie values +p7423 +tp7424 +a(g826 +V\u000a +p7425 +tp7426 +a(g745 +V# There are four ways to submit data from the browser to the server and your +p7427 +tp7428 +a(g826 +V\u000a +p7429 +tp7430 +a(g745 +V# ruby script: via GET, POST, cookies and file upload. Rweb doesn't support +p7431 +tp7432 +a(g826 +V\u000a +p7433 +tp7434 +a(g745 +V# file upload by now. +p7435 +tp7436 +a(g826 +V\u000a +p7437 +tp7438 +a(g745 +g1414 +tp7439 +a(g826 +V\u000a +p7440 +tp7441 +a(g745 +V# include 'rweb' +p7442 +tp7443 +a(g826 +V\u000a +p7444 +tp7445 +a(g745 +g1414 +tp7446 +a(g826 +V\u000a +p7447 +tp7448 +a(g745 +V# web = Rweb.new +p7449 +tp7450 +a(g826 +V\u000a +p7451 +tp7452 +a(g745 +V# web.out do +p7453 +tp7454 +a(g826 +V\u000a +p7455 +tp7456 +a(g745 +V# web.print "action: #{web.get['action']} " +p7457 +tp7458 +a(g826 +V\u000a +p7459 +tp7460 +a(g745 +V# web.puts "The value of the cookie 'visits' is #{web.cookies['visits']}" +p7461 +tp7462 +a(g826 +V\u000a +p7463 +tp7464 +a(g745 +V# web.puts "The post parameter 'test['x']' is #{web.post['test']['x']}" +p7465 +tp7466 +a(g826 +V\u000a +p7467 +tp7468 +a(g745 +V# end +p7469 +tp7470 +a(g826 +V\u000a\u000a +p7471 +tp7472 +a(g553 +VRWEB_VERSION +p7473 +tp7474 +a(g826 +g958 +tp7475 +a(g400 +g987 +tp7476 +a(g826 +g958 +tp7477 +a(g144 +g3862 +tp7478 +a(g144 +V0.1.0 +p7479 +tp7480 +a(g144 +g3862 +tp7481 +a(g826 +V\u000a +p7482 +tp7483 +a(g553 +VRWEB +p7484 +tp7485 +a(g826 +g958 +tp7486 +a(g400 +g987 +tp7487 +a(g826 +g958 +tp7488 +a(g144 +g3862 +tp7489 +a(g144 +Vrweb/ +p7490 +tp7491 +a(g112 +V#{ +p7492 +tp7493 +a(g553 +VRWEB_VERSION +p7494 +tp7495 +a(g112 +g1391 +tp7496 +a(g144 +g3862 +tp7497 +a(g826 +V\u000a\u000a +p7498 +tp7499 +a(g745 +V#require 'rwebcookie' -> edit by bunny :-) +p7500 +tp7501 +a(g826 +V\u000a\u000a +p7502 +tp7503 +a(g7 +Vclass +p7504 +tp7505 +a(g826 +g958 +tp7506 +a(g616 +VRweb +p7507 +tp7508 +a(g826 +V\u000a +p7509 +tp7510 +a(g745 +V# All parameter submitted via the GET method are available in attribute +p7511 +tp7512 +a(g826 +V\u000a +p7513 +tp7514 +a(g745 +V# get. This is Hash, where every parameter is available as a key-value +p7515 +tp7516 +a(g826 +V\u000a +p7517 +tp7518 +a(g745 +V# pair. +p7519 +tp7520 +a(g826 +V\u000a +p7521 +tp7522 +a(g745 +g1414 +tp7523 +a(g826 +V\u000a +p7524 +tp7525 +a(g745 +V# If your input tag has a name like this one, it's value will be available +p7526 +tp7527 +a(g826 +V\u000a +p7528 +tp7529 +a(g745 +V# as web.get["fieldname"] +p7530 +tp7531 +a(g826 +V\u000a +p7532 +tp7533 +a(g745 +V# +p7534 +tp7535 +a(g826 +V\u000a +p7536 +tp7537 +a(g745 +V# You can submit values as a Hash +p7538 +tp7539 +a(g826 +V\u000a +p7540 +tp7541 +a(g745 +V# +p7542 +tp7543 +a(g826 +V\u000a +p7544 +tp7545 +a(g745 +V# +p7546 +tp7547 +a(g826 +V\u000a +p7548 +tp7549 +a(g745 +V# will be available as +p7550 +tp7551 +a(g826 +V\u000a +p7552 +tp7553 +a(g745 +V# web.get["text"]["index"] +p7554 +tp7555 +a(g826 +V\u000a +p7556 +tp7557 +a(g745 +V# web.get["text"]["index2"] +p7558 +tp7559 +a(g826 +V\u000a +p7560 +tp7561 +a(g745 +V# Integers are also possible +p7562 +tp7563 +a(g826 +V\u000a +p7564 +tp7565 +a(g745 +V# +p7566 +tp7567 +a(g826 +V\u000a +p7568 +tp7569 +a(g745 +V# +p7590 +tp7591 +a(g826 +V\u000a +p7592 +tp7593 +a(g745 +V# +p7594 +tp7595 +a(g826 +V\u000a +p7596 +tp7597 +a(g745 +V# will be available as +p7598 +tp7599 +a(g826 +V\u000a +p7600 +tp7601 +a(g745 +V# web.get["int"][0] # First Field +p7602 +tp7603 +a(g826 +V\u000a +p7604 +tp7605 +a(g745 +V# web.get["int"][1] # Second one +p7606 +tp7607 +a(g826 +V\u000a +p7608 +tp7609 +a(g745 +V# Please notice, this doesn'd work like you might expect: +p7610 +tp7611 +a(g826 +V\u000a +p7612 +tp7613 +a(g745 +V# +p7614 +tp7615 +a(g826 +V\u000a +p7616 +tp7617 +a(g745 +V# It will not be available as web.get["text"]["index"] but +p7618 +tp7619 +a(g826 +V\u000a +p7620 +tp7621 +a(g745 +V# web.get["text[index]"] +p7622 +tp7623 +a(g826 +V\u000a +p7624 +tp7625 +a(g918 +Vattr_reader +p7626 +tp7627 +a(g826 +g958 +tp7628 +a(g104 +V:get +p7629 +tp7630 +a(g826 +V\u000a\u000a +p7631 +tp7632 +a(g745 +V# All parameters submitted via POST are available in the attribute post. It +p7633 +tp7634 +a(g826 +V\u000a +p7635 +tp7636 +a(g745 +V# works like the get attribute. +p7637 +tp7638 +a(g826 +V\u000a +p7639 +tp7640 +a(g745 +V# +p7641 +tp7642 +a(g826 +V\u000a +p7643 +tp7644 +a(g745 +V# will be available as +p7645 +tp7646 +a(g826 +V\u000a +p7647 +tp7648 +a(g745 +V# web.post["text"][0] +p7649 +tp7650 +a(g826 +V\u000a +p7651 +tp7652 +a(g918 +Vattr_reader +p7653 +tp7654 +a(g826 +g958 +tp7655 +a(g104 +V:post +p7656 +tp7657 +a(g826 +V\u000a\u000a +p7658 +tp7659 +a(g745 +V# All cookies submitted by the browser are available in cookies. This is a +p7660 +tp7661 +a(g826 +V\u000a +p7662 +tp7663 +a(g745 +V# Hash, where every cookie is a key-value pair. +p7664 +tp7665 +a(g826 +V\u000a +p7666 +tp7667 +a(g918 +Vattr_reader +p7668 +tp7669 +a(g826 +g958 +tp7670 +a(g104 +V:cookies +p7671 +tp7672 +a(g826 +V\u000a\u000a +p7673 +tp7674 +a(g745 +V# The name of the browser identification is submitted as USER_AGENT and +p7675 +tp7676 +a(g826 +V\u000a +p7677 +tp7678 +a(g745 +V# available in this attribute. +p7679 +tp7680 +a(g826 +V\u000a +p7681 +tp7682 +a(g918 +Vattr_reader +p7683 +tp7684 +a(g826 +g958 +tp7685 +a(g104 +V:user_agent +p7686 +tp7687 +a(g826 +V\u000a\u000a +p7688 +tp7689 +a(g745 +V# The IP address of the client. +p7690 +tp7691 +a(g826 +V\u000a +p7692 +tp7693 +a(g918 +Vattr_reader +p7694 +tp7695 +a(g826 +g958 +tp7696 +a(g104 +V:remote_addr +p7697 +tp7698 +a(g826 +V\u000a\u000a +p7699 +tp7700 +a(g745 +V# Creates a new Rweb object. This should only done once. You can set various +p7701 +tp7702 +a(g826 +V\u000a +p7703 +tp7704 +a(g745 +V# options via the settings hash. +p7705 +tp7706 +a(g826 +V\u000a +p7707 +tp7708 +a(g745 +g1414 +tp7709 +a(g826 +V\u000a +p7710 +tp7711 +a(g745 +V# "cache" => true: Everything you script send to the client will be cached +p7712 +tp7713 +a(g826 +V\u000a +p7714 +tp7715 +a(g745 +V# until the end of the out block or until flush is called. This way, you +p7716 +tp7717 +a(g826 +V\u000a +p7718 +tp7719 +a(g745 +V# can modify headers and cookies even after printing something to the client. +p7720 +tp7721 +a(g826 +V\u000a +p7722 +tp7723 +a(g745 +g1414 +tp7724 +a(g826 +V\u000a +p7725 +tp7726 +a(g745 +V# "safe" => level: Changes the $SAFE attribute. By default, $SAFE will be set +p7727 +tp7728 +a(g826 +V\u000a +p7729 +tp7730 +a(g745 +V# to 1. If $SAFE is already higher than this value, it won't be changed. +p7731 +tp7732 +a(g826 +V\u000a +p7733 +tp7734 +a(g745 +g1414 +tp7735 +a(g826 +V\u000a +p7736 +tp7737 +a(g745 +V# "silend" => true: Normaly, Rweb adds automaticly a header like this +p7738 +tp7739 +a(g826 +V\u000a +p7740 +tp7741 +a(g745 +V# "X-Powered-By: Rweb/x.x.x (Ruby/y.y.y)". With the silend option you can +p7742 +tp7743 +a(g826 +V\u000a +p7744 +tp7745 +a(g745 +V# suppress this. +p7746 +tp7747 +a(g826 +V\u000a +p7748 +tp7749 +a(g7 +Vdef +p7750 +tp7751 +a(g826 +g958 +tp7752 +a(g561 +Vinitialize +p7753 +tp7754 +a(g826 +g958 +tp7755 +a(g693 +g1178 +tp7756 +a(g423 +Vsettings +p7757 +tp7758 +a(g826 +g958 +tp7759 +a(g400 +g987 +tp7760 +a(g826 +g958 +tp7761 +a(g693 +g3620 +tp7762 +a(g693 +g1391 +tp7763 +a(g693 +g1182 +tp7764 +a(g826 +V\u000a +p7765 +tp7766 +a(g745 +V# {{{ +p7767 +tp7768 +a(g826 +V\u000a +p7769 +tp7770 +a(g449 +V@header +p7771 +tp7772 +a(g826 +g958 +tp7773 +a(g400 +g987 +tp7774 +a(g826 +g958 +tp7775 +a(g693 +g3620 +tp7776 +a(g693 +g1391 +tp7777 +a(g826 +V\u000a +p7778 +tp7779 +a(g449 +V@cookies +p7780 +tp7781 +a(g826 +g958 +tp7782 +a(g400 +g987 +tp7783 +a(g826 +g958 +tp7784 +a(g693 +g3620 +tp7785 +a(g693 +g1391 +tp7786 +a(g826 +V\u000a +p7787 +tp7788 +a(g449 +V@get +p7789 +tp7790 +a(g826 +g958 +tp7791 +a(g400 +g987 +tp7792 +a(g826 +g958 +tp7793 +a(g693 +g3620 +tp7794 +a(g693 +g1391 +tp7795 +a(g826 +V\u000a +p7796 +tp7797 +a(g449 +V@post +p7798 +tp7799 +a(g826 +g958 +tp7800 +a(g400 +g987 +tp7801 +a(g826 +g958 +tp7802 +a(g693 +g3620 +tp7803 +a(g693 +g1391 +tp7804 +a(g826 +V\u000a\u000a +p7805 +tp7806 +a(g745 +V# Internal attributes +p7807 +tp7808 +a(g826 +V\u000a +p7809 +tp7810 +a(g449 +V@status +p7811 +tp7812 +a(g826 +g958 +tp7813 +a(g400 +g987 +tp7814 +a(g826 +g958 +tp7815 +a(g918 +Vnil +p7816 +tp7817 +a(g826 +V\u000a +p7818 +tp7819 +a(g449 +V@reasonPhrase +p7820 +tp7821 +a(g826 +g958 +tp7822 +a(g400 +g987 +tp7823 +a(g826 +g958 +tp7824 +a(g918 +Vnil +p7825 +tp7826 +a(g826 +V\u000a +p7827 +tp7828 +a(g449 +V@setcookies +p7829 +tp7830 +a(g826 +g958 +tp7831 +a(g400 +g987 +tp7832 +a(g826 +g958 +tp7833 +a(g400 +g990 +tp7834 +a(g400 +g1137 +tp7835 +a(g826 +V\u000a +p7836 +tp7837 +a(g449 +V@output_started +p7838 +tp7839 +a(g826 +g958 +tp7840 +a(g400 +g987 +tp7841 +a(g826 +g958 +tp7842 +a(g918 +Vfalse +p7843 +tp7844 +a(g693 +V; +p7845 +tp7846 +a(g826 +V\u000a +p7847 +tp7848 +a(g449 +V@output_allowed +p7849 +tp7850 +a(g826 +g958 +tp7851 +a(g400 +g987 +tp7852 +a(g826 +g958 +tp7853 +a(g918 +Vfalse +p7854 +tp7855 +a(g693 +g7845 +tp7856 +a(g826 +V\u000a\u000a +p7857 +tp7858 +a(g449 +V@mod_ruby +p7859 +tp7860 +a(g826 +g958 +tp7861 +a(g400 +g987 +tp7862 +a(g826 +g958 +tp7863 +a(g918 +Vfalse +p7864 +tp7865 +a(g826 +V\u000a +p7866 +tp7867 +a(g449 +V@env +p7868 +tp7869 +a(g826 +g958 +tp7870 +a(g400 +g987 +tp7871 +a(g826 +g958 +tp7872 +a(g553 +VENV +p7873 +tp7874 +a(g400 +g1174 +tp7875 +a(g423 +Vto_hash +p7876 +tp7877 +a(g826 +V\u000a\u000a +p7878 +tp7879 +a(g7 +Vif +p7880 +tp7881 +a(g826 +g958 +tp7882 +a(g423 +Vdefined? +p7883 +tp7884 +a(g693 +g1178 +tp7885 +a(g553 +VMOD_RUBY +p7886 +tp7887 +a(g693 +g1182 +tp7888 +a(g826 +V\u000a +p7889 +tp7890 +a(g449 +V@output_method +p7891 +tp7892 +a(g826 +g958 +tp7893 +a(g400 +g987 +tp7894 +a(g826 +g958 +tp7895 +a(g144 +g3862 +tp7896 +a(g144 +Vmod_ruby +p7897 +tp7898 +a(g144 +g3862 +tp7899 +a(g826 +V\u000a +p7900 +tp7901 +a(g449 +V@mod_ruby +p7902 +tp7903 +a(g826 +g958 +tp7904 +a(g400 +g987 +tp7905 +a(g826 +g958 +tp7906 +a(g918 +Vtrue +p7907 +tp7908 +a(g826 +V\u000a +p7909 +tp7910 +a(g7 +Velsif +p7911 +tp7912 +a(g826 +g958 +tp7913 +a(g449 +V@env +p7914 +tp7915 +a(g400 +g990 +tp7916 +a(g217 +V'SERVER_SOFTWARE' +p7917 +tp7918 +a(g400 +g1137 +tp7919 +a(g826 +g958 +tp7920 +a(g400 +V=~ +p7921 +tp7922 +a(g826 +g958 +tp7923 +a(g184 +g1384 +tp7924 +a(g184 +V^Microsoft-IIS +p7925 +tp7926 +a(g184 +V/i +p7927 +tp7928 +a(g826 +V\u000a +p7929 +tp7930 +a(g449 +V@output_method +p7931 +tp7932 +a(g826 +g958 +tp7933 +a(g400 +g987 +tp7934 +a(g826 +g958 +tp7935 +a(g144 +g3862 +tp7936 +a(g144 +Vnph +p7937 +tp7938 +a(g144 +g3862 +tp7939 +a(g826 +V\u000a +p7940 +tp7941 +a(g7 +Velse +p7942 +tp7943 +a(g826 +V\u000a +p7944 +tp7945 +a(g449 +V@output_method +p7946 +tp7947 +a(g826 +g958 +tp7948 +a(g400 +g987 +tp7949 +a(g826 +g958 +tp7950 +a(g144 +g3862 +tp7951 +a(g144 +Vph +p7952 +tp7953 +a(g144 +g3862 +tp7954 +a(g826 +V\u000a +p7955 +tp7956 +a(g7 +Vend +p7957 +tp7958 +a(g826 +V\u000a\u000a +p7959 +tp7960 +a(g7 +Vunless +p7961 +tp7962 +a(g826 +g958 +tp7963 +a(g423 +Vsettings +p7964 +tp7965 +a(g400 +g1174 +tp7966 +a(g423 +Vis_a? +p7967 +tp7968 +a(g693 +g1178 +tp7969 +a(g553 +VHash +p7970 +tp7971 +a(g693 +g1182 +tp7972 +a(g826 +V\u000a +p7973 +tp7974 +a(g7 +Vraise +p7975 +tp7976 +a(g826 +g958 +tp7977 +a(g553 +VTypeError +p7978 +tp7979 +a(g693 +g996 +tp7980 +a(g826 +g958 +tp7981 +a(g144 +g3862 +tp7982 +a(g144 +Vsettings must be a Hash +p7983 +tp7984 +a(g144 +g3862 +tp7985 +a(g826 +V\u000a +p7986 +tp7987 +a(g7 +Vend +p7988 +tp7989 +a(g826 +V\u000a +p7990 +tp7991 +a(g449 +V@settings +p7992 +tp7993 +a(g826 +g958 +tp7994 +a(g400 +g987 +tp7995 +a(g826 +g958 +tp7996 +a(g423 +Vsettings +p7997 +tp7998 +a(g826 +V\u000a\u000a +p7999 +tp8000 +a(g7 +Vunless +p8001 +tp8002 +a(g826 +g958 +tp8003 +a(g449 +V@settings +p8004 +tp8005 +a(g400 +g1174 +tp8006 +a(g423 +Vhas_key? +p8007 +tp8008 +a(g693 +g1178 +tp8009 +a(g144 +g3862 +tp8010 +a(g144 +Vsafe +p8011 +tp8012 +a(g144 +g3862 +tp8013 +a(g693 +g1182 +tp8014 +a(g826 +V\u000a +p8015 +tp8016 +a(g449 +V@settings +p8017 +tp8018 +a(g400 +g990 +tp8019 +a(g144 +g3862 +tp8020 +a(g144 +Vsafe +p8021 +tp8022 +a(g144 +g3862 +tp8023 +a(g400 +g1137 +tp8024 +a(g826 +g958 +tp8025 +a(g400 +g987 +tp8026 +a(g826 +g958 +tp8027 +a(g22 +g3274 +tp8028 +a(g826 +V\u000a +p8029 +tp8030 +a(g7 +Vend +p8031 +tp8032 +a(g826 +V\u000a\u000a +p8033 +tp8034 +a(g7 +Vif +p8035 +tp8036 +a(g826 +g958 +tp8037 +a(g457 +V$SAFE +p8038 +tp8039 +a(g826 +g958 +tp8040 +a(g400 +g977 +tp8041 +a(g826 +g958 +tp8042 +a(g449 +V@settings +p8043 +tp8044 +a(g400 +g990 +tp8045 +a(g144 +g3862 +tp8046 +a(g144 +Vsafe +p8047 +tp8048 +a(g144 +g3862 +tp8049 +a(g400 +g1137 +tp8050 +a(g826 +V\u000a +p8051 +tp8052 +a(g457 +V$SAFE +p8053 +tp8054 +a(g826 +g958 +tp8055 +a(g400 +g987 +tp8056 +a(g826 +g958 +tp8057 +a(g449 +V@settings +p8058 +tp8059 +a(g400 +g990 +tp8060 +a(g144 +g3862 +tp8061 +a(g144 +Vsafe +p8062 +tp8063 +a(g144 +g3862 +tp8064 +a(g400 +g1137 +tp8065 +a(g826 +V\u000a +p8066 +tp8067 +a(g7 +Vend +p8068 +tp8069 +a(g826 +V\u000a\u000a +p8070 +tp8071 +a(g7 +Vunless +p8072 +tp8073 +a(g826 +g958 +tp8074 +a(g449 +V@settings +p8075 +tp8076 +a(g400 +g1174 +tp8077 +a(g423 +Vhas_key? +p8078 +tp8079 +a(g693 +g1178 +tp8080 +a(g144 +g3862 +tp8081 +a(g144 +Vcache +p8082 +tp8083 +a(g144 +g3862 +tp8084 +a(g693 +g1182 +tp8085 +a(g826 +V\u000a +p8086 +tp8087 +a(g449 +V@settings +p8088 +tp8089 +a(g400 +g990 +tp8090 +a(g144 +g3862 +tp8091 +a(g144 +Vcache +p8092 +tp8093 +a(g144 +g3862 +tp8094 +a(g400 +g1137 +tp8095 +a(g826 +g958 +tp8096 +a(g400 +g987 +tp8097 +a(g826 +g958 +tp8098 +a(g918 +Vfalse +p8099 +tp8100 +a(g826 +V\u000a +p8101 +tp8102 +a(g7 +Vend +p8103 +tp8104 +a(g826 +V\u000a\u000a +p8105 +tp8106 +a(g745 +V# mod_ruby sets no QUERY_STRING variable, if no GET-Parameters are given +p8107 +tp8108 +a(g826 +V\u000a +p8109 +tp8110 +a(g7 +Vunless +p8111 +tp8112 +a(g826 +g958 +tp8113 +a(g449 +V@env +p8114 +tp8115 +a(g400 +g1174 +tp8116 +a(g423 +Vhas_key? +p8117 +tp8118 +a(g693 +g1178 +tp8119 +a(g144 +g3862 +tp8120 +a(g144 +VQUERY_STRING +p8121 +tp8122 +a(g144 +g3862 +tp8123 +a(g693 +g1182 +tp8124 +a(g826 +V\u000a +p8125 +tp8126 +a(g449 +V@env +p8127 +tp8128 +a(g400 +g990 +tp8129 +a(g144 +g3862 +tp8130 +a(g144 +VQUERY_STRING +p8131 +tp8132 +a(g144 +g3862 +tp8133 +a(g400 +g1137 +tp8134 +a(g826 +g958 +tp8135 +a(g400 +g987 +tp8136 +a(g826 +g958 +tp8137 +a(g144 +g3862 +tp8138 +a(g144 +g3862 +tp8139 +a(g826 +V\u000a +p8140 +tp8141 +a(g7 +Vend +p8142 +tp8143 +a(g826 +V\u000a\u000a +p8144 +tp8145 +a(g745 +V# Now we split the QUERY_STRING by the seperators & and ; or, if +p8146 +tp8147 +a(g826 +V\u000a +p8148 +tp8149 +a(g745 +V# specified, settings['get seperator'] +p8150 +tp8151 +a(g826 +V\u000a +p8152 +tp8153 +a(g7 +Vunless +p8154 +tp8155 +a(g826 +g958 +tp8156 +a(g449 +V@settings +p8157 +tp8158 +a(g400 +g1174 +tp8159 +a(g423 +Vhas_key? +p8160 +tp8161 +a(g693 +g1178 +tp8162 +a(g144 +g3862 +tp8163 +a(g144 +Vget seperator +p8164 +tp8165 +a(g144 +g3862 +tp8166 +a(g693 +g1182 +tp8167 +a(g826 +V\u000a +p8168 +tp8169 +a(g423 +Vget_args +p8170 +tp8171 +a(g826 +g958 +tp8172 +a(g400 +g987 +tp8173 +a(g826 +g958 +tp8174 +a(g449 +V@env +p8175 +tp8176 +a(g400 +g990 +tp8177 +a(g217 +V'QUERY_STRING' +p8178 +tp8179 +a(g400 +g1137 +tp8180 +a(g400 +g1174 +tp8181 +a(g423 +Vsplit +p8182 +tp8183 +a(g693 +g1178 +tp8184 +a(g184 +g1384 +tp8185 +a(g184 +V[&;] +p8186 +tp8187 +a(g184 +g1384 +tp8188 +a(g693 +g1182 +tp8189 +a(g826 +V\u000a +p8190 +tp8191 +a(g7 +Velse +p8192 +tp8193 +a(g826 +V\u000a +p8194 +tp8195 +a(g423 +Vget_args +p8196 +tp8197 +a(g826 +g958 +tp8198 +a(g400 +g987 +tp8199 +a(g826 +g958 +tp8200 +a(g449 +V@env +p8201 +tp8202 +a(g400 +g990 +tp8203 +a(g217 +V'QUERY_STRING' +p8204 +tp8205 +a(g400 +g1137 +tp8206 +a(g400 +g1174 +tp8207 +a(g423 +Vsplit +p8208 +tp8209 +a(g693 +g1178 +tp8210 +a(g449 +V@settings +p8211 +tp8212 +a(g400 +g990 +tp8213 +a(g217 +V'get seperator' +p8214 +tp8215 +a(g400 +g1137 +tp8216 +a(g693 +g1182 +tp8217 +a(g826 +V\u000a +p8218 +tp8219 +a(g7 +Vend +p8220 +tp8221 +a(g826 +V\u000a\u000a +p8222 +tp8223 +a(g423 +Vget_args +p8224 +tp8225 +a(g400 +g1174 +tp8226 +a(g423 +Veach +p8227 +tp8228 +a(g826 +g958 +tp8229 +a(g7 +Vdo +p8230 +tp8231 +a(g826 +g958 +tp8232 +a(g400 +g1824 +tp8233 +a(g826 +g958 +tp8234 +a(g423 +Varg +p8235 +tp8236 +a(g826 +g958 +tp8237 +a(g400 +g1824 +tp8238 +a(g826 +V\u000a +p8239 +tp8240 +a(g423 +Varg_key +p8241 +tp8242 +a(g693 +g996 +tp8243 +a(g826 +g958 +tp8244 +a(g423 +Varg_val +p8245 +tp8246 +a(g826 +g958 +tp8247 +a(g400 +g987 +tp8248 +a(g826 +g958 +tp8249 +a(g423 +Varg +p8250 +tp8251 +a(g400 +g1174 +tp8252 +a(g423 +Vsplit +p8253 +tp8254 +a(g693 +g1178 +tp8255 +a(g184 +g1384 +tp8256 +a(g184 +g987 +tp8257 +a(g184 +g1384 +tp8258 +a(g693 +g996 +tp8259 +a(g826 +g958 +tp8260 +a(g22 +g5962 +tp8261 +a(g693 +g1182 +tp8262 +a(g826 +V\u000a +p8263 +tp8264 +a(g423 +Varg_key +p8265 +tp8266 +a(g826 +g958 +tp8267 +a(g400 +g987 +tp8268 +a(g826 +g958 +tp8269 +a(g553 +VRweb +p8270 +tp8271 +a(g400 +V:: +p8272 +tp8273 +a(g423 +Vunescape +p8274 +tp8275 +a(g693 +g1178 +tp8276 +a(g423 +Varg_key +p8277 +tp8278 +a(g693 +g1182 +tp8279 +a(g826 +V\u000a +p8280 +tp8281 +a(g423 +Varg_val +p8282 +tp8283 +a(g826 +g958 +tp8284 +a(g400 +g987 +tp8285 +a(g826 +g958 +tp8286 +a(g553 +VRweb +p8287 +tp8288 +a(g400 +V:: +p8289 +tp8290 +a(g423 +Vunescape +p8291 +tp8292 +a(g693 +g1178 +tp8293 +a(g423 +Varg_val +p8294 +tp8295 +a(g693 +g1182 +tp8296 +a(g826 +V\u000a\u000a +p8297 +tp8298 +a(g745 +V# Parse names like name[0], name['text'] or name[] +p8299 +tp8300 +a(g826 +V\u000a +p8301 +tp8302 +a(g423 +Vpattern +p8303 +tp8304 +a(g826 +g958 +tp8305 +a(g400 +g987 +tp8306 +a(g826 +g958 +tp8307 +a(g184 +g1384 +tp8308 +a(g184 +V^(.+) +p8309 +tp8310 +a(g184 +V\u005c +p8311 +tp8312 +a(g184 +V[("[^ +p8313 +tp8314 +a(g184 +V\u005c +p8315 +tp8316 +a(g184 +V]]*"|'[^ +p8317 +tp8318 +a(g184 +V\u005c +p8319 +tp8320 +a(g184 +V]]*'|[0-9]*) +p8321 +tp8322 +a(g184 +V\u005c +p8323 +tp8324 +a(g184 +V]$ +p8325 +tp8326 +a(g184 +g1384 +tp8327 +a(g826 +V\u000a +p8328 +tp8329 +a(g423 +Vkeys +p8330 +tp8331 +a(g826 +g958 +tp8332 +a(g400 +g987 +tp8333 +a(g826 +g958 +tp8334 +a(g400 +g990 +tp8335 +a(g400 +g1137 +tp8336 +a(g826 +V\u000a +p8337 +tp8338 +a(g7 +Vwhile +p8339 +tp8340 +a(g826 +g958 +tp8341 +a(g423 +Vmatch +p8342 +tp8343 +a(g826 +g958 +tp8344 +a(g400 +g987 +tp8345 +a(g826 +g958 +tp8346 +a(g423 +Vpattern +p8347 +tp8348 +a(g400 +g1174 +tp8349 +a(g423 +Vmatch +p8350 +tp8351 +a(g693 +g1178 +tp8352 +a(g423 +Varg_key +p8353 +tp8354 +a(g693 +g1182 +tp8355 +a(g826 +V\u000a +p8356 +tp8357 +a(g423 +Varg_key +p8358 +tp8359 +a(g826 +g958 +tp8360 +a(g400 +g987 +tp8361 +a(g826 +g958 +tp8362 +a(g423 +Vmatch +p8363 +tp8364 +a(g400 +g990 +tp8365 +a(g22 +g3274 +tp8366 +a(g400 +g1137 +tp8367 +a(g826 +V\u000a +p8368 +tp8369 +a(g423 +Vkeys +p8370 +tp8371 +a(g826 +g958 +tp8372 +a(g400 +g987 +tp8373 +a(g826 +g958 +tp8374 +a(g400 +g990 +tp8375 +a(g423 +Vmatch +p8376 +tp8377 +a(g400 +g990 +tp8378 +a(g22 +g5962 +tp8379 +a(g400 +g1137 +tp8380 +a(g400 +g1137 +tp8381 +a(g826 +g958 +tp8382 +a(g400 +g5243 +tp8383 +a(g826 +g958 +tp8384 +a(g423 +Vkeys +p8385 +tp8386 +a(g826 +V\u000a +p8387 +tp8388 +a(g7 +Vend +p8389 +tp8390 +a(g826 +V\u000a +p8391 +tp8392 +a(g423 +Vkeys +p8393 +tp8394 +a(g826 +g958 +tp8395 +a(g400 +g987 +tp8396 +a(g826 +g958 +tp8397 +a(g400 +g990 +tp8398 +a(g423 +Varg_key +p8399 +tp8400 +a(g400 +g1137 +tp8401 +a(g826 +g958 +tp8402 +a(g400 +g5243 +tp8403 +a(g826 +g958 +tp8404 +a(g423 +Vkeys +p8405 +tp8406 +a(g826 +V\u000a\u000a +p8407 +tp8408 +a(g423 +Vakt +p8409 +tp8410 +a(g826 +g958 +tp8411 +a(g400 +g987 +tp8412 +a(g826 +g958 +tp8413 +a(g449 +V@get +p8414 +tp8415 +a(g826 +V\u000a +p8416 +tp8417 +a(g423 +Vlast +p8418 +tp8419 +a(g826 +g958 +tp8420 +a(g400 +g987 +tp8421 +a(g826 +g958 +tp8422 +a(g918 +Vnil +p8423 +tp8424 +a(g826 +V\u000a +p8425 +tp8426 +a(g423 +Vlastkey +p8427 +tp8428 +a(g826 +g958 +tp8429 +a(g400 +g987 +tp8430 +a(g826 +g958 +tp8431 +a(g918 +Vnil +p8432 +tp8433 +a(g826 +V\u000a +p8434 +tp8435 +a(g423 +Vkeys +p8436 +tp8437 +a(g400 +g1174 +tp8438 +a(g423 +Veach +p8439 +tp8440 +a(g826 +g958 +tp8441 +a(g7 +Vdo +p8442 +tp8443 +a(g826 +g958 +tp8444 +a(g400 +g1824 +tp8445 +a(g423 +Vkey +p8446 +tp8447 +a(g400 +g1824 +tp8448 +a(g826 +V\u000a +p8449 +tp8450 +a(g7 +Vif +p8451 +tp8452 +a(g826 +g958 +tp8453 +a(g423 +Vkey +p8454 +tp8455 +a(g826 +g958 +tp8456 +a(g400 +V== +p8457 +tp8458 +a(g826 +g958 +tp8459 +a(g144 +g3862 +tp8460 +a(g144 +g3862 +tp8461 +a(g826 +V\u000a +p8462 +tp8463 +a(g745 +V# No key specified (like in "test[]"), so we use the +p8464 +tp8465 +a(g826 +V\u000a +p8466 +tp8467 +a(g745 +V# lowerst unused Integer as key +p8468 +tp8469 +a(g826 +V\u000a +p8470 +tp8471 +a(g423 +Vkey +p8472 +tp8473 +a(g826 +g958 +tp8474 +a(g400 +g987 +tp8475 +a(g826 +g958 +tp8476 +a(g22 +V0 +p8477 +tp8478 +a(g826 +V\u000a +p8479 +tp8480 +a(g7 +Vwhile +p8481 +tp8482 +a(g826 +g958 +tp8483 +a(g423 +Vakt +p8484 +tp8485 +a(g400 +g1174 +tp8486 +a(g423 +Vhas_key? +p8487 +tp8488 +a(g693 +g1178 +tp8489 +a(g423 +Vkey +p8490 +tp8491 +a(g693 +g1182 +tp8492 +a(g826 +V\u000a +p8493 +tp8494 +a(g423 +Vkey +p8495 +tp8496 +a(g826 +g958 +tp8497 +a(g400 +V+= +p8498 +tp8499 +a(g826 +g958 +tp8500 +a(g22 +g3274 +tp8501 +a(g826 +V\u000a +p8502 +tp8503 +a(g7 +Vend +p8504 +tp8505 +a(g826 +V\u000a +p8506 +tp8507 +a(g7 +Velsif +p8508 +tp8509 +a(g826 +g958 +tp8510 +a(g184 +g1384 +tp8511 +a(g184 +V^[0-9]*$ +p8512 +tp8513 +a(g184 +g1384 +tp8514 +a(g826 +g958 +tp8515 +a(g400 +V=~ +p8516 +tp8517 +a(g826 +g958 +tp8518 +a(g423 +Vkey +p8519 +tp8520 +a(g826 +V\u000a +p8521 +tp8522 +a(g745 +V# If the index is numerical convert it to an Integer +p8523 +tp8524 +a(g826 +V\u000a +p8525 +tp8526 +a(g423 +Vkey +p8527 +tp8528 +a(g826 +g958 +tp8529 +a(g400 +g987 +tp8530 +a(g826 +g958 +tp8531 +a(g423 +Vkey +p8532 +tp8533 +a(g400 +g1174 +tp8534 +a(g423 +Vto_i +p8535 +tp8536 +a(g826 +V\u000a +p8537 +tp8538 +a(g7 +Velsif +p8539 +tp8540 +a(g826 +g958 +tp8541 +a(g423 +Vkey +p8542 +tp8543 +a(g400 +g990 +tp8544 +a(g22 +g8477 +tp8545 +a(g400 +g1137 +tp8546 +a(g400 +g1174 +tp8547 +a(g423 +Vchr +p8548 +tp8549 +a(g826 +g958 +tp8550 +a(g400 +V== +p8551 +tp8552 +a(g826 +g958 +tp8553 +a(g144 +g3862 +tp8554 +a(g144 +V' +p8555 +tp8556 +a(g144 +g3862 +tp8557 +a(g826 +g958 +tp8558 +a(g400 +V|| +p8559 +tp8560 +a(g826 +g958 +tp8561 +a(g423 +Vkey +p8562 +tp8563 +a(g400 +g990 +tp8564 +a(g22 +g8477 +tp8565 +a(g400 +g1137 +tp8566 +a(g400 +g1174 +tp8567 +a(g423 +Vchr +p8568 +tp8569 +a(g826 +g958 +tp8570 +a(g400 +V== +p8571 +tp8572 +a(g826 +g958 +tp8573 +a(g217 +V'"' +p8574 +tp8575 +a(g826 +V\u000a +p8576 +tp8577 +a(g423 +Vkey +p8578 +tp8579 +a(g826 +g958 +tp8580 +a(g400 +g987 +tp8581 +a(g826 +g958 +tp8582 +a(g423 +Vkey +p8583 +tp8584 +a(g400 +g990 +tp8585 +a(g22 +g3274 +tp8586 +a(g693 +g996 +tp8587 +a(g826 +g958 +tp8588 +a(g423 +Vkey +p8589 +tp8590 +a(g400 +g1174 +tp8591 +a(g423 +Vlength +p8592 +tp8593 +a(g693 +g1178 +tp8594 +a(g693 +g1182 +tp8595 +a(g826 +g958 +tp8596 +a(g400 +g3272 +tp8597 +a(g22 +g5962 +tp8598 +a(g400 +g1137 +tp8599 +a(g826 +V\u000a +p8600 +tp8601 +a(g7 +Vend +p8602 +tp8603 +a(g826 +V\u000a +p8604 +tp8605 +a(g7 +Vif +p8606 +tp8607 +a(g826 +g958 +tp8608 +a(g400 +V! +p8609 +tp8610 +a(g423 +Vakt +p8611 +tp8612 +a(g400 +g1174 +tp8613 +a(g423 +Vhas_key? +p8614 +tp8615 +a(g693 +g1178 +tp8616 +a(g423 +Vkey +p8617 +tp8618 +a(g693 +g1182 +tp8619 +a(g826 +g958 +tp8620 +a(g400 +V|| +p8621 +tp8622 +a(g826 +g958 +tp8623 +a(g400 +g8609 +tp8624 +a(g423 +Vakt +p8625 +tp8626 +a(g400 +g990 +tp8627 +a(g423 +Vkey +p8628 +tp8629 +a(g400 +g1137 +tp8630 +a(g400 +g1174 +tp8631 +a(g423 +Vclass +p8632 +tp8633 +a(g826 +g958 +tp8634 +a(g400 +V== +p8635 +tp8636 +a(g826 +g958 +tp8637 +a(g553 +VHash +p8638 +tp8639 +a(g826 +V\u000a +p8640 +tp8641 +a(g745 +V# create an empty Hash if there isn't already one +p8642 +tp8643 +a(g826 +V\u000a +p8644 +tp8645 +a(g423 +Vakt +p8646 +tp8647 +a(g400 +g990 +tp8648 +a(g423 +Vkey +p8649 +tp8650 +a(g400 +g1137 +tp8651 +a(g826 +g958 +tp8652 +a(g400 +g987 +tp8653 +a(g826 +g958 +tp8654 +a(g693 +g3620 +tp8655 +a(g693 +g1391 +tp8656 +a(g826 +V\u000a +p8657 +tp8658 +a(g7 +Vend +p8659 +tp8660 +a(g826 +V\u000a +p8661 +tp8662 +a(g423 +Vlast +p8663 +tp8664 +a(g826 +g958 +tp8665 +a(g400 +g987 +tp8666 +a(g826 +g958 +tp8667 +a(g423 +Vakt +p8668 +tp8669 +a(g826 +V\u000a +p8670 +tp8671 +a(g423 +Vlastkey +p8672 +tp8673 +a(g826 +g958 +tp8674 +a(g400 +g987 +tp8675 +a(g826 +g958 +tp8676 +a(g423 +Vkey +p8677 +tp8678 +a(g826 +V\u000a +p8679 +tp8680 +a(g423 +Vakt +p8681 +tp8682 +a(g826 +g958 +tp8683 +a(g400 +g987 +tp8684 +a(g826 +g958 +tp8685 +a(g423 +Vakt +p8686 +tp8687 +a(g400 +g990 +tp8688 +a(g423 +Vkey +p8689 +tp8690 +a(g400 +g1137 +tp8691 +a(g826 +V\u000a +p8692 +tp8693 +a(g7 +Vend +p8694 +tp8695 +a(g826 +V\u000a +p8696 +tp8697 +a(g423 +Vlast +p8698 +tp8699 +a(g400 +g990 +tp8700 +a(g423 +Vlastkey +p8701 +tp8702 +a(g400 +g1137 +tp8703 +a(g826 +g958 +tp8704 +a(g400 +g987 +tp8705 +a(g826 +g958 +tp8706 +a(g423 +Varg_val +p8707 +tp8708 +a(g826 +V\u000a +p8709 +tp8710 +a(g7 +Vend +p8711 +tp8712 +a(g826 +V\u000a\u000a +p8713 +tp8714 +a(g7 +Vif +p8715 +tp8716 +a(g826 +g958 +tp8717 +a(g449 +V@env +p8718 +tp8719 +a(g400 +g990 +tp8720 +a(g217 +V'REQUEST_METHOD' +p8721 +tp8722 +a(g400 +g1137 +tp8723 +a(g826 +g958 +tp8724 +a(g400 +V== +p8725 +tp8726 +a(g826 +g958 +tp8727 +a(g144 +g3862 +tp8728 +a(g144 +VPOST +p8729 +tp8730 +a(g144 +g3862 +tp8731 +a(g826 +V\u000a +p8732 +tp8733 +a(g7 +Vif +p8734 +tp8735 +a(g826 +g958 +tp8736 +a(g449 +V@env +p8737 +tp8738 +a(g400 +g1174 +tp8739 +a(g423 +Vhas_key? +p8740 +tp8741 +a(g693 +g1178 +tp8742 +a(g144 +g3862 +tp8743 +a(g144 +VCONTENT_TYPE +p8744 +tp8745 +a(g144 +g3862 +tp8746 +a(g693 +g1182 +tp8747 +a(g826 +g958 +tp8748 +a(g400 +V&& +p8749 +tp8750 +a(g826 +g958 +tp8751 +a(g449 +V@env +p8752 +tp8753 +a(g400 +g990 +tp8754 +a(g217 +V'CONTENT_TYPE' +p8755 +tp8756 +a(g400 +g1137 +tp8757 +a(g826 +g958 +tp8758 +a(g400 +V== +p8759 +tp8760 +a(g826 +g958 +tp8761 +a(g144 +g3862 +tp8762 +a(g144 +Vapplication/x-www-form-urlencoded +p8763 +tp8764 +a(g144 +g3862 +tp8765 +a(g826 +g958 +tp8766 +a(g400 +V&& +p8767 +tp8768 +a(g826 +g958 +tp8769 +a(g449 +V@env +p8770 +tp8771 +a(g400 +g1174 +tp8772 +a(g423 +Vhas_key? +p8773 +tp8774 +a(g693 +g1178 +tp8775 +a(g217 +V'CONTENT_LENGTH' +p8776 +tp8777 +a(g693 +g1182 +tp8778 +a(g826 +V\u000a +p8779 +tp8780 +a(g7 +Vunless +p8781 +tp8782 +a(g826 +g958 +tp8783 +a(g449 +V@settings +p8784 +tp8785 +a(g400 +g1174 +tp8786 +a(g423 +Vhas_key? +p8787 +tp8788 +a(g693 +g1178 +tp8789 +a(g144 +g3862 +tp8790 +a(g144 +Vpost seperator +p8791 +tp8792 +a(g144 +g3862 +tp8793 +a(g693 +g1182 +tp8794 +a(g826 +V\u000a +p8795 +tp8796 +a(g423 +Vpost_args +p8797 +tp8798 +a(g826 +g958 +tp8799 +a(g400 +g987 +tp8800 +a(g826 +g958 +tp8801 +a(g457 +V$stdin +p8802 +tp8803 +a(g400 +g1174 +tp8804 +a(g423 +Vread +p8805 +tp8806 +a(g693 +g1178 +tp8807 +a(g449 +V@env +p8808 +tp8809 +a(g400 +g990 +tp8810 +a(g217 +V'CONTENT_LENGTH' +p8811 +tp8812 +a(g400 +g1137 +tp8813 +a(g400 +g1174 +tp8814 +a(g423 +Vto_i +p8815 +tp8816 +a(g693 +g1182 +tp8817 +a(g400 +g1174 +tp8818 +a(g423 +Vsplit +p8819 +tp8820 +a(g693 +g1178 +tp8821 +a(g184 +g1384 +tp8822 +a(g184 +V[&;] +p8823 +tp8824 +a(g184 +g1384 +tp8825 +a(g693 +g1182 +tp8826 +a(g826 +V\u000a +p8827 +tp8828 +a(g7 +Velse +p8829 +tp8830 +a(g826 +V\u000a +p8831 +tp8832 +a(g423 +Vpost_args +p8833 +tp8834 +a(g826 +g958 +tp8835 +a(g400 +g987 +tp8836 +a(g826 +g958 +tp8837 +a(g457 +V$stdin +p8838 +tp8839 +a(g400 +g1174 +tp8840 +a(g423 +Vread +p8841 +tp8842 +a(g693 +g1178 +tp8843 +a(g449 +V@env +p8844 +tp8845 +a(g400 +g990 +tp8846 +a(g217 +V'CONTENT_LENGTH' +p8847 +tp8848 +a(g400 +g1137 +tp8849 +a(g400 +g1174 +tp8850 +a(g423 +Vto_i +p8851 +tp8852 +a(g693 +g1182 +tp8853 +a(g400 +g1174 +tp8854 +a(g423 +Vsplit +p8855 +tp8856 +a(g693 +g1178 +tp8857 +a(g449 +V@settings +p8858 +tp8859 +a(g400 +g990 +tp8860 +a(g217 +V'post seperator' +p8861 +tp8862 +a(g400 +g1137 +tp8863 +a(g693 +g1182 +tp8864 +a(g826 +V\u000a +p8865 +tp8866 +a(g7 +Vend +p8867 +tp8868 +a(g826 +V\u000a +p8869 +tp8870 +a(g423 +Vpost_args +p8871 +tp8872 +a(g400 +g1174 +tp8873 +a(g423 +Veach +p8874 +tp8875 +a(g826 +g958 +tp8876 +a(g7 +Vdo +p8877 +tp8878 +a(g826 +g958 +tp8879 +a(g400 +g1824 +tp8880 +a(g826 +g958 +tp8881 +a(g423 +Varg +p8882 +tp8883 +a(g826 +g958 +tp8884 +a(g400 +g1824 +tp8885 +a(g826 +V\u000a +p8886 +tp8887 +a(g423 +Varg_key +p8888 +tp8889 +a(g693 +g996 +tp8890 +a(g826 +g958 +tp8891 +a(g423 +Varg_val +p8892 +tp8893 +a(g826 +g958 +tp8894 +a(g400 +g987 +tp8895 +a(g826 +g958 +tp8896 +a(g423 +Varg +p8897 +tp8898 +a(g400 +g1174 +tp8899 +a(g423 +Vsplit +p8900 +tp8901 +a(g693 +g1178 +tp8902 +a(g184 +g1384 +tp8903 +a(g184 +g987 +tp8904 +a(g184 +g1384 +tp8905 +a(g693 +g996 +tp8906 +a(g826 +g958 +tp8907 +a(g22 +g5962 +tp8908 +a(g693 +g1182 +tp8909 +a(g826 +V\u000a +p8910 +tp8911 +a(g423 +Varg_key +p8912 +tp8913 +a(g826 +g958 +tp8914 +a(g400 +g987 +tp8915 +a(g826 +g958 +tp8916 +a(g553 +VRweb +p8917 +tp8918 +a(g400 +V:: +p8919 +tp8920 +a(g423 +Vunescape +p8921 +tp8922 +a(g693 +g1178 +tp8923 +a(g423 +Varg_key +p8924 +tp8925 +a(g693 +g1182 +tp8926 +a(g826 +V\u000a +p8927 +tp8928 +a(g423 +Varg_val +p8929 +tp8930 +a(g826 +g958 +tp8931 +a(g400 +g987 +tp8932 +a(g826 +g958 +tp8933 +a(g553 +VRweb +p8934 +tp8935 +a(g400 +V:: +p8936 +tp8937 +a(g423 +Vunescape +p8938 +tp8939 +a(g693 +g1178 +tp8940 +a(g423 +Varg_val +p8941 +tp8942 +a(g693 +g1182 +tp8943 +a(g826 +V\u000a\u000a +p8944 +tp8945 +a(g745 +V# Parse names like name[0], name['text'] or name[] +p8946 +tp8947 +a(g826 +V\u000a +p8948 +tp8949 +a(g423 +Vpattern +p8950 +tp8951 +a(g826 +g958 +tp8952 +a(g400 +g987 +tp8953 +a(g826 +g958 +tp8954 +a(g184 +g1384 +tp8955 +a(g184 +V^(.+) +p8956 +tp8957 +a(g184 +V\u005c +p8958 +tp8959 +a(g184 +V[("[^ +p8960 +tp8961 +a(g184 +V\u005c +p8962 +tp8963 +a(g184 +V]]*"|'[^ +p8964 +tp8965 +a(g184 +V\u005c +p8966 +tp8967 +a(g184 +V]]*'|[0-9]*) +p8968 +tp8969 +a(g184 +V\u005c +p8970 +tp8971 +a(g184 +V]$ +p8972 +tp8973 +a(g184 +g1384 +tp8974 +a(g826 +V\u000a +p8975 +tp8976 +a(g423 +Vkeys +p8977 +tp8978 +a(g826 +g958 +tp8979 +a(g400 +g987 +tp8980 +a(g826 +g958 +tp8981 +a(g400 +g990 +tp8982 +a(g400 +g1137 +tp8983 +a(g826 +V\u000a +p8984 +tp8985 +a(g7 +Vwhile +p8986 +tp8987 +a(g826 +g958 +tp8988 +a(g423 +Vmatch +p8989 +tp8990 +a(g826 +g958 +tp8991 +a(g400 +g987 +tp8992 +a(g826 +g958 +tp8993 +a(g423 +Vpattern +p8994 +tp8995 +a(g400 +g1174 +tp8996 +a(g423 +Vmatch +p8997 +tp8998 +a(g693 +g1178 +tp8999 +a(g423 +Varg_key +p9000 +tp9001 +a(g693 +g1182 +tp9002 +a(g826 +V\u000a +p9003 +tp9004 +a(g423 +Varg_key +p9005 +tp9006 +a(g826 +g958 +tp9007 +a(g400 +g987 +tp9008 +a(g826 +g958 +tp9009 +a(g423 +Vmatch +p9010 +tp9011 +a(g400 +g990 +tp9012 +a(g22 +g3274 +tp9013 +a(g400 +g1137 +tp9014 +a(g826 +V\u000a +p9015 +tp9016 +a(g423 +Vkeys +p9017 +tp9018 +a(g826 +g958 +tp9019 +a(g400 +g987 +tp9020 +a(g826 +g958 +tp9021 +a(g400 +g990 +tp9022 +a(g423 +Vmatch +p9023 +tp9024 +a(g400 +g990 +tp9025 +a(g22 +g5962 +tp9026 +a(g400 +g1137 +tp9027 +a(g400 +g1137 +tp9028 +a(g826 +g958 +tp9029 +a(g400 +g5243 +tp9030 +a(g826 +g958 +tp9031 +a(g423 +Vkeys +p9032 +tp9033 +a(g826 +V\u000a +p9034 +tp9035 +a(g7 +Vend +p9036 +tp9037 +a(g826 +V\u000a +p9038 +tp9039 +a(g423 +Vkeys +p9040 +tp9041 +a(g826 +g958 +tp9042 +a(g400 +g987 +tp9043 +a(g826 +g958 +tp9044 +a(g400 +g990 +tp9045 +a(g423 +Varg_key +p9046 +tp9047 +a(g400 +g1137 +tp9048 +a(g826 +g958 +tp9049 +a(g400 +g5243 +tp9050 +a(g826 +g958 +tp9051 +a(g423 +Vkeys +p9052 +tp9053 +a(g826 +V\u000a\u000a +p9054 +tp9055 +a(g423 +Vakt +p9056 +tp9057 +a(g826 +g958 +tp9058 +a(g400 +g987 +tp9059 +a(g826 +g958 +tp9060 +a(g449 +V@post +p9061 +tp9062 +a(g826 +V\u000a +p9063 +tp9064 +a(g423 +Vlast +p9065 +tp9066 +a(g826 +g958 +tp9067 +a(g400 +g987 +tp9068 +a(g826 +g958 +tp9069 +a(g918 +Vnil +p9070 +tp9071 +a(g826 +V\u000a +p9072 +tp9073 +a(g423 +Vlastkey +p9074 +tp9075 +a(g826 +g958 +tp9076 +a(g400 +g987 +tp9077 +a(g826 +g958 +tp9078 +a(g918 +Vnil +p9079 +tp9080 +a(g826 +V\u000a +p9081 +tp9082 +a(g423 +Vkeys +p9083 +tp9084 +a(g400 +g1174 +tp9085 +a(g423 +Veach +p9086 +tp9087 +a(g826 +g958 +tp9088 +a(g7 +Vdo +p9089 +tp9090 +a(g826 +g958 +tp9091 +a(g400 +g1824 +tp9092 +a(g423 +Vkey +p9093 +tp9094 +a(g400 +g1824 +tp9095 +a(g826 +V\u000a +p9096 +tp9097 +a(g7 +Vif +p9098 +tp9099 +a(g826 +g958 +tp9100 +a(g423 +Vkey +p9101 +tp9102 +a(g826 +g958 +tp9103 +a(g400 +V== +p9104 +tp9105 +a(g826 +g958 +tp9106 +a(g144 +g3862 +tp9107 +a(g144 +g3862 +tp9108 +a(g826 +V\u000a +p9109 +tp9110 +a(g745 +V# No key specified (like in "test[]"), so we use +p9111 +tp9112 +a(g826 +V\u000a +p9113 +tp9114 +a(g745 +V# the lowerst unused Integer as key +p9115 +tp9116 +a(g826 +V\u000a +p9117 +tp9118 +a(g423 +Vkey +p9119 +tp9120 +a(g826 +g958 +tp9121 +a(g400 +g987 +tp9122 +a(g826 +g958 +tp9123 +a(g22 +g8477 +tp9124 +a(g826 +V\u000a +p9125 +tp9126 +a(g7 +Vwhile +p9127 +tp9128 +a(g826 +g958 +tp9129 +a(g423 +Vakt +p9130 +tp9131 +a(g400 +g1174 +tp9132 +a(g423 +Vhas_key? +p9133 +tp9134 +a(g693 +g1178 +tp9135 +a(g423 +Vkey +p9136 +tp9137 +a(g693 +g1182 +tp9138 +a(g826 +V\u000a +p9139 +tp9140 +a(g423 +Vkey +p9141 +tp9142 +a(g826 +g958 +tp9143 +a(g400 +V+= +p9144 +tp9145 +a(g826 +g958 +tp9146 +a(g22 +g3274 +tp9147 +a(g826 +V\u000a +p9148 +tp9149 +a(g7 +Vend +p9150 +tp9151 +a(g826 +V\u000a +p9152 +tp9153 +a(g7 +Velsif +p9154 +tp9155 +a(g826 +g958 +tp9156 +a(g184 +g1384 +tp9157 +a(g184 +V^[0-9]*$ +p9158 +tp9159 +a(g184 +g1384 +tp9160 +a(g826 +g958 +tp9161 +a(g400 +V=~ +p9162 +tp9163 +a(g826 +g958 +tp9164 +a(g423 +Vkey +p9165 +tp9166 +a(g826 +V\u000a +p9167 +tp9168 +a(g745 +V# If the index is numerical convert it to an Integer +p9169 +tp9170 +a(g826 +V\u000a +p9171 +tp9172 +a(g423 +Vkey +p9173 +tp9174 +a(g826 +g958 +tp9175 +a(g400 +g987 +tp9176 +a(g826 +g958 +tp9177 +a(g423 +Vkey +p9178 +tp9179 +a(g400 +g1174 +tp9180 +a(g423 +Vto_i +p9181 +tp9182 +a(g826 +V\u000a +p9183 +tp9184 +a(g7 +Velsif +p9185 +tp9186 +a(g826 +g958 +tp9187 +a(g423 +Vkey +p9188 +tp9189 +a(g400 +g990 +tp9190 +a(g22 +g8477 +tp9191 +a(g400 +g1137 +tp9192 +a(g400 +g1174 +tp9193 +a(g423 +Vchr +p9194 +tp9195 +a(g826 +g958 +tp9196 +a(g400 +V== +p9197 +tp9198 +a(g826 +g958 +tp9199 +a(g144 +g3862 +tp9200 +a(g144 +g8555 +tp9201 +a(g144 +g3862 +tp9202 +a(g826 +g958 +tp9203 +a(g400 +V|| +p9204 +tp9205 +a(g826 +g958 +tp9206 +a(g423 +Vkey +p9207 +tp9208 +a(g400 +g990 +tp9209 +a(g22 +g8477 +tp9210 +a(g400 +g1137 +tp9211 +a(g400 +g1174 +tp9212 +a(g423 +Vchr +p9213 +tp9214 +a(g826 +g958 +tp9215 +a(g400 +V== +p9216 +tp9217 +a(g826 +g958 +tp9218 +a(g217 +V'"' +p9219 +tp9220 +a(g826 +V\u000a +p9221 +tp9222 +a(g423 +Vkey +p9223 +tp9224 +a(g826 +g958 +tp9225 +a(g400 +g987 +tp9226 +a(g826 +g958 +tp9227 +a(g423 +Vkey +p9228 +tp9229 +a(g400 +g990 +tp9230 +a(g22 +g3274 +tp9231 +a(g693 +g996 +tp9232 +a(g826 +g958 +tp9233 +a(g423 +Vkey +p9234 +tp9235 +a(g400 +g1174 +tp9236 +a(g423 +Vlength +p9237 +tp9238 +a(g693 +g1178 +tp9239 +a(g693 +g1182 +tp9240 +a(g826 +g958 +tp9241 +a(g400 +g3272 +tp9242 +a(g22 +g5962 +tp9243 +a(g400 +g1137 +tp9244 +a(g826 +V\u000a +p9245 +tp9246 +a(g7 +Vend +p9247 +tp9248 +a(g826 +V\u000a +p9249 +tp9250 +a(g7 +Vif +p9251 +tp9252 +a(g826 +g958 +tp9253 +a(g400 +g8609 +tp9254 +a(g423 +Vakt +p9255 +tp9256 +a(g400 +g1174 +tp9257 +a(g423 +Vhas_key? +p9258 +tp9259 +a(g693 +g1178 +tp9260 +a(g423 +Vkey +p9261 +tp9262 +a(g693 +g1182 +tp9263 +a(g826 +g958 +tp9264 +a(g400 +V|| +p9265 +tp9266 +a(g826 +g958 +tp9267 +a(g400 +g8609 +tp9268 +a(g423 +Vakt +p9269 +tp9270 +a(g400 +g990 +tp9271 +a(g423 +Vkey +p9272 +tp9273 +a(g400 +g1137 +tp9274 +a(g400 +g1174 +tp9275 +a(g423 +Vclass +p9276 +tp9277 +a(g826 +g958 +tp9278 +a(g400 +V== +p9279 +tp9280 +a(g826 +g958 +tp9281 +a(g553 +VHash +p9282 +tp9283 +a(g826 +V\u000a +p9284 +tp9285 +a(g745 +V# create an empty Hash if there isn't already one +p9286 +tp9287 +a(g826 +V\u000a +p9288 +tp9289 +a(g423 +Vakt +p9290 +tp9291 +a(g400 +g990 +tp9292 +a(g423 +Vkey +p9293 +tp9294 +a(g400 +g1137 +tp9295 +a(g826 +g958 +tp9296 +a(g400 +g987 +tp9297 +a(g826 +g958 +tp9298 +a(g693 +g3620 +tp9299 +a(g693 +g1391 +tp9300 +a(g826 +V\u000a +p9301 +tp9302 +a(g7 +Vend +p9303 +tp9304 +a(g826 +V\u000a +p9305 +tp9306 +a(g423 +Vlast +p9307 +tp9308 +a(g826 +g958 +tp9309 +a(g400 +g987 +tp9310 +a(g826 +g958 +tp9311 +a(g423 +Vakt +p9312 +tp9313 +a(g826 +V\u000a +p9314 +tp9315 +a(g423 +Vlastkey +p9316 +tp9317 +a(g826 +g958 +tp9318 +a(g400 +g987 +tp9319 +a(g826 +g958 +tp9320 +a(g423 +Vkey +p9321 +tp9322 +a(g826 +V\u000a +p9323 +tp9324 +a(g423 +Vakt +p9325 +tp9326 +a(g826 +g958 +tp9327 +a(g400 +g987 +tp9328 +a(g826 +g958 +tp9329 +a(g423 +Vakt +p9330 +tp9331 +a(g400 +g990 +tp9332 +a(g423 +Vkey +p9333 +tp9334 +a(g400 +g1137 +tp9335 +a(g826 +V\u000a +p9336 +tp9337 +a(g7 +Vend +p9338 +tp9339 +a(g826 +V\u000a +p9340 +tp9341 +a(g423 +Vlast +p9342 +tp9343 +a(g400 +g990 +tp9344 +a(g423 +Vlastkey +p9345 +tp9346 +a(g400 +g1137 +tp9347 +a(g826 +g958 +tp9348 +a(g400 +g987 +tp9349 +a(g826 +g958 +tp9350 +a(g423 +Varg_val +p9351 +tp9352 +a(g826 +V\u000a +p9353 +tp9354 +a(g7 +Vend +p9355 +tp9356 +a(g826 +V\u000a +p9357 +tp9358 +a(g7 +Velse +p9359 +tp9360 +a(g826 +V\u000a +p9361 +tp9362 +a(g745 +V# Maybe we should print a warning here? +p9363 +tp9364 +a(g826 +V\u000a +p9365 +tp9366 +a(g457 +V$stderr +p9367 +tp9368 +a(g400 +g1174 +tp9369 +a(g423 +Vprint +p9370 +tp9371 +a(g693 +g1178 +tp9372 +a(g144 +g3862 +tp9373 +a(g144 +VUnidentified form data recived and discarded. +p9374 +tp9375 +a(g144 +g3862 +tp9376 +a(g693 +g1182 +tp9377 +a(g826 +V\u000a +p9378 +tp9379 +a(g7 +Vend +p9380 +tp9381 +a(g826 +V\u000a +p9382 +tp9383 +a(g7 +Vend +p9384 +tp9385 +a(g826 +V\u000a\u000a +p9386 +tp9387 +a(g7 +Vif +p9388 +tp9389 +a(g826 +g958 +tp9390 +a(g449 +V@env +p9391 +tp9392 +a(g400 +g1174 +tp9393 +a(g423 +Vhas_key? +p9394 +tp9395 +a(g693 +g1178 +tp9396 +a(g144 +g3862 +tp9397 +a(g144 +VHTTP_COOKIE +p9398 +tp9399 +a(g144 +g3862 +tp9400 +a(g693 +g1182 +tp9401 +a(g826 +V\u000a +p9402 +tp9403 +a(g423 +Vcookie +p9404 +tp9405 +a(g826 +g958 +tp9406 +a(g400 +g987 +tp9407 +a(g826 +g958 +tp9408 +a(g449 +V@env +p9409 +tp9410 +a(g400 +g990 +tp9411 +a(g217 +V'HTTP_COOKIE' +p9412 +tp9413 +a(g400 +g1137 +tp9414 +a(g400 +g1174 +tp9415 +a(g423 +Vsplit +p9416 +tp9417 +a(g693 +g1178 +tp9418 +a(g184 +g1384 +tp9419 +a(g184 +V; ? +p9420 +tp9421 +a(g184 +g1384 +tp9422 +a(g693 +g1182 +tp9423 +a(g826 +V\u000a +p9424 +tp9425 +a(g423 +Vcookie +p9426 +tp9427 +a(g400 +g1174 +tp9428 +a(g423 +Veach +p9429 +tp9430 +a(g826 +g958 +tp9431 +a(g7 +Vdo +p9432 +tp9433 +a(g826 +g958 +tp9434 +a(g400 +g1824 +tp9435 +a(g826 +g958 +tp9436 +a(g423 +Vc +p9437 +tp9438 +a(g826 +g958 +tp9439 +a(g400 +g1824 +tp9440 +a(g826 +V\u000a +p9441 +tp9442 +a(g423 +Vcookie_key +p9443 +tp9444 +a(g693 +g996 +tp9445 +a(g826 +g958 +tp9446 +a(g423 +Vcookie_val +p9447 +tp9448 +a(g826 +g958 +tp9449 +a(g400 +g987 +tp9450 +a(g826 +g958 +tp9451 +a(g423 +g9437 +tp9452 +a(g400 +g1174 +tp9453 +a(g423 +Vsplit +p9454 +tp9455 +a(g693 +g1178 +tp9456 +a(g184 +g1384 +tp9457 +a(g184 +g987 +tp9458 +a(g184 +g1384 +tp9459 +a(g693 +g996 +tp9460 +a(g826 +g958 +tp9461 +a(g22 +g5962 +tp9462 +a(g693 +g1182 +tp9463 +a(g826 +V\u000a\u000a +p9464 +tp9465 +a(g449 +V@cookies +p9466 +tp9467 +a(g826 +g958 +tp9468 +a(g400 +g990 +tp9469 +a(g553 +VRweb +p9470 +tp9471 +a(g400 +V:: +p9472 +tp9473 +a(g423 +Vunescape +p9474 +tp9475 +a(g693 +g1178 +tp9476 +a(g423 +Vcookie_key +p9477 +tp9478 +a(g693 +g1182 +tp9479 +a(g400 +g1137 +tp9480 +a(g826 +g958 +tp9481 +a(g400 +g987 +tp9482 +a(g826 +g958 +tp9483 +a(g553 +VRweb +p9484 +tp9485 +a(g400 +V:: +p9486 +tp9487 +a(g423 +Vunescape +p9488 +tp9489 +a(g693 +g1178 +tp9490 +a(g423 +Vcookie_val +p9491 +tp9492 +a(g693 +g1182 +tp9493 +a(g826 +V\u000a +p9494 +tp9495 +a(g7 +Vend +p9496 +tp9497 +a(g826 +V\u000a +p9498 +tp9499 +a(g7 +Vend +p9500 +tp9501 +a(g826 +V\u000a\u000a +p9502 +tp9503 +a(g7 +Vif +p9504 +tp9505 +a(g826 +g958 +tp9506 +a(g423 +Vdefined? +p9507 +tp9508 +a(g693 +g1178 +tp9509 +a(g449 +V@env +p9510 +tp9511 +a(g400 +g990 +tp9512 +a(g217 +V'HTTP_USER_AGENT' +p9513 +tp9514 +a(g400 +g1137 +tp9515 +a(g693 +g1182 +tp9516 +a(g826 +V\u000a +p9517 +tp9518 +a(g449 +V@user_agent +p9519 +tp9520 +a(g826 +g958 +tp9521 +a(g400 +g987 +tp9522 +a(g826 +g958 +tp9523 +a(g449 +V@env +p9524 +tp9525 +a(g400 +g990 +tp9526 +a(g217 +V'HTTP_USER_AGENT' +p9527 +tp9528 +a(g400 +g1137 +tp9529 +a(g826 +V\u000a +p9530 +tp9531 +a(g7 +Velse +p9532 +tp9533 +a(g826 +V\u000a +p9534 +tp9535 +a(g449 +V@user_agent +p9536 +tp9537 +a(g826 +g958 +tp9538 +a(g400 +g987 +tp9539 +a(g826 +g958 +tp9540 +a(g918 +Vnil +p9541 +tp9542 +a(g693 +g7845 +tp9543 +a(g826 +V\u000a +p9544 +tp9545 +a(g7 +Vend +p9546 +tp9547 +a(g826 +V\u000a\u000a +p9548 +tp9549 +a(g7 +Vif +p9550 +tp9551 +a(g826 +g958 +tp9552 +a(g423 +Vdefined? +p9553 +tp9554 +a(g693 +g1178 +tp9555 +a(g449 +V@env +p9556 +tp9557 +a(g400 +g990 +tp9558 +a(g217 +V'REMOTE_ADDR' +p9559 +tp9560 +a(g400 +g1137 +tp9561 +a(g693 +g1182 +tp9562 +a(g826 +V\u000a +p9563 +tp9564 +a(g449 +V@remote_addr +p9565 +tp9566 +a(g826 +g958 +tp9567 +a(g400 +g987 +tp9568 +a(g826 +g958 +tp9569 +a(g449 +V@env +p9570 +tp9571 +a(g400 +g990 +tp9572 +a(g217 +V'REMOTE_ADDR' +p9573 +tp9574 +a(g400 +g1137 +tp9575 +a(g826 +V\u000a +p9576 +tp9577 +a(g7 +Velse +p9578 +tp9579 +a(g826 +V\u000a +p9580 +tp9581 +a(g449 +V@remote_addr +p9582 +tp9583 +a(g826 +g958 +tp9584 +a(g400 +g987 +tp9585 +a(g826 +g958 +tp9586 +a(g918 +Vnil +p9587 +tp9588 +a(g826 +V\u000a +p9589 +tp9590 +a(g7 +Vend +p9591 +tp9592 +a(g826 +V\u000a +p9593 +tp9594 +a(g745 +V# }}} +p9595 +tp9596 +a(g826 +V\u000a +p9597 +tp9598 +a(g7 +Vend +p9599 +tp9600 +a(g826 +V\u000a\u000a +p9601 +tp9602 +a(g745 +V# Prints a String to the client. If caching is enabled, the String will +p9603 +tp9604 +a(g826 +V\u000a +p9605 +tp9606 +a(g745 +V# buffered until the end of the out block ends. +p9607 +tp9608 +a(g826 +V\u000a +p9609 +tp9610 +a(g7 +Vdef +p9611 +tp9612 +a(g826 +g958 +tp9613 +a(g561 +Vprint +p9614 +tp9615 +a(g693 +g1178 +tp9616 +a(g423 +Vstr +p9617 +tp9618 +a(g826 +g958 +tp9619 +a(g400 +g987 +tp9620 +a(g826 +g958 +tp9621 +a(g144 +g3862 +tp9622 +a(g144 +g3862 +tp9623 +a(g693 +g1182 +tp9624 +a(g826 +V\u000a +p9625 +tp9626 +a(g745 +V# {{{ +p9627 +tp9628 +a(g826 +V\u000a +p9629 +tp9630 +a(g7 +Vunless +p9631 +tp9632 +a(g826 +g958 +tp9633 +a(g449 +V@output_allowed +p9634 +tp9635 +a(g826 +V\u000a +p9636 +tp9637 +a(g7 +Vraise +p9638 +tp9639 +a(g826 +g958 +tp9640 +a(g144 +g3862 +tp9641 +a(g144 +VYou just can write to output inside of a Rweb::out-block +p9642 +tp9643 +a(g144 +g3862 +tp9644 +a(g826 +V\u000a +p9645 +tp9646 +a(g7 +Vend +p9647 +tp9648 +a(g826 +V\u000a\u000a +p9649 +tp9650 +a(g7 +Vif +p9651 +tp9652 +a(g826 +g958 +tp9653 +a(g449 +V@settings +p9654 +tp9655 +a(g400 +g990 +tp9656 +a(g144 +g3862 +tp9657 +a(g144 +Vcache +p9658 +tp9659 +a(g144 +g3862 +tp9660 +a(g400 +g1137 +tp9661 +a(g826 +V\u000a +p9662 +tp9663 +a(g449 +V@buffer +p9664 +tp9665 +a(g826 +g958 +tp9666 +a(g400 +V+= +p9667 +tp9668 +a(g826 +g958 +tp9669 +a(g400 +g990 +tp9670 +a(g423 +Vstr +p9671 +tp9672 +a(g400 +g1174 +tp9673 +a(g423 +Vto_s +p9674 +tp9675 +a(g400 +g1137 +tp9676 +a(g826 +V\u000a +p9677 +tp9678 +a(g7 +Velse +p9679 +tp9680 +a(g826 +V\u000a +p9681 +tp9682 +a(g7 +Vunless +p9683 +tp9684 +a(g826 +g958 +tp9685 +a(g449 +V@output_started +p9686 +tp9687 +a(g826 +V\u000a +p9688 +tp9689 +a(g423 +VsendHeaders +p9690 +tp9691 +a(g826 +V\u000a +p9692 +tp9693 +a(g7 +Vend +p9694 +tp9695 +a(g826 +V\u000a +p9696 +tp9697 +a(g457 +V$stdout +p9698 +tp9699 +a(g400 +g1174 +tp9700 +a(g423 +Vprint +p9701 +tp9702 +a(g693 +g1178 +tp9703 +a(g423 +Vstr +p9704 +tp9705 +a(g693 +g1182 +tp9706 +a(g826 +V\u000a +p9707 +tp9708 +a(g7 +Vend +p9709 +tp9710 +a(g826 +V\u000a +p9711 +tp9712 +a(g918 +Vnil +p9713 +tp9714 +a(g826 +V\u000a +p9715 +tp9716 +a(g745 +V# }}} +p9717 +tp9718 +a(g826 +V\u000a +p9719 +tp9720 +a(g7 +Vend +p9721 +tp9722 +a(g826 +V\u000a\u000a +p9723 +tp9724 +a(g745 +V# Prints a String to the client and adds a line break at the end. Please +p9725 +tp9726 +a(g826 +V\u000a +p9727 +tp9728 +a(g745 +V# remember, that a line break is not visible in HTML, use the
    HTML-Tag +p9729 +tp9730 +a(g826 +V\u000a +p9731 +tp9732 +a(g745 +V# for this. If caching is enabled, the String will buffered until the end +p9733 +tp9734 +a(g826 +V\u000a +p9735 +tp9736 +a(g745 +V# of the out block ends. +p9737 +tp9738 +a(g826 +V\u000a +p9739 +tp9740 +a(g7 +Vdef +p9741 +tp9742 +a(g826 +g958 +tp9743 +a(g561 +Vputs +p9744 +tp9745 +a(g693 +g1178 +tp9746 +a(g423 +Vstr +p9747 +tp9748 +a(g826 +g958 +tp9749 +a(g400 +g987 +tp9750 +a(g826 +g958 +tp9751 +a(g144 +g3862 +tp9752 +a(g144 +g3862 +tp9753 +a(g693 +g1182 +tp9754 +a(g826 +V\u000a +p9755 +tp9756 +a(g745 +V# {{{ +p9757 +tp9758 +a(g826 +V\u000a +p9759 +tp9760 +a(g669 +Vself +p9761 +tp9762 +a(g400 +g1174 +tp9763 +a(g423 +Vprint +p9764 +tp9765 +a(g693 +g1178 +tp9766 +a(g423 +Vstr +p9767 +tp9768 +a(g826 +g958 +tp9769 +a(g400 +g5243 +tp9770 +a(g826 +g958 +tp9771 +a(g144 +g3862 +tp9772 +a(g200 +V\u005cn +p9773 +tp9774 +a(g144 +g3862 +tp9775 +a(g693 +g1182 +tp9776 +a(g826 +V\u000a +p9777 +tp9778 +a(g745 +V# }}} +p9779 +tp9780 +a(g826 +V\u000a +p9781 +tp9782 +a(g7 +Vend +p9783 +tp9784 +a(g826 +V\u000a\u000a +p9785 +tp9786 +a(g745 +V# Alias to print. +p9787 +tp9788 +a(g826 +V\u000a +p9789 +tp9790 +a(g7 +Vdef +p9791 +tp9792 +a(g826 +g958 +tp9793 +a(g561 +Vwrite +p9794 +tp9795 +a(g693 +g1178 +tp9796 +a(g423 +Vstr +p9797 +tp9798 +a(g826 +g958 +tp9799 +a(g400 +g987 +tp9800 +a(g826 +g958 +tp9801 +a(g144 +g3862 +tp9802 +a(g144 +g3862 +tp9803 +a(g693 +g1182 +tp9804 +a(g826 +V\u000a +p9805 +tp9806 +a(g745 +V# {{{ +p9807 +tp9808 +a(g826 +V\u000a +p9809 +tp9810 +a(g669 +Vself +p9811 +tp9812 +a(g400 +g1174 +tp9813 +a(g423 +Vprint +p9814 +tp9815 +a(g693 +g1178 +tp9816 +a(g423 +Vstr +p9817 +tp9818 +a(g693 +g1182 +tp9819 +a(g826 +V\u000a +p9820 +tp9821 +a(g745 +V# }}} +p9822 +tp9823 +a(g826 +V\u000a +p9824 +tp9825 +a(g7 +Vend +p9826 +tp9827 +a(g826 +V\u000a\u000a +p9828 +tp9829 +a(g745 +V# If caching is enabled, all cached data are send to the cliend and the +p9830 +tp9831 +a(g826 +V\u000a +p9832 +tp9833 +a(g745 +V# cache emptied. +p9834 +tp9835 +a(g826 +V\u000a +p9836 +tp9837 +a(g7 +Vdef +p9838 +tp9839 +a(g826 +g958 +tp9840 +a(g561 +Vflush +p9841 +tp9842 +a(g826 +V\u000a +p9843 +tp9844 +a(g745 +V# {{{ +p9845 +tp9846 +a(g826 +V\u000a +p9847 +tp9848 +a(g7 +Vunless +p9849 +tp9850 +a(g826 +g958 +tp9851 +a(g449 +V@output_allowed +p9852 +tp9853 +a(g826 +V\u000a +p9854 +tp9855 +a(g7 +Vraise +p9856 +tp9857 +a(g826 +g958 +tp9858 +a(g144 +g3862 +tp9859 +a(g144 +VYou can't use flush outside of a Rweb::out-block +p9860 +tp9861 +a(g144 +g3862 +tp9862 +a(g826 +V\u000a +p9863 +tp9864 +a(g7 +Vend +p9865 +tp9866 +a(g826 +V\u000a +p9867 +tp9868 +a(g423 +Vbuffer +p9869 +tp9870 +a(g826 +g958 +tp9871 +a(g400 +g987 +tp9872 +a(g826 +g958 +tp9873 +a(g449 +V@buffer +p9874 +tp9875 +a(g400 +g1174 +tp9876 +a(g423 +Vjoin +p9877 +tp9878 +a(g826 +V\u000a\u000a +p9879 +tp9880 +a(g7 +Vunless +p9881 +tp9882 +a(g826 +g958 +tp9883 +a(g449 +V@output_started +p9884 +tp9885 +a(g826 +V\u000a +p9886 +tp9887 +a(g423 +VsendHeaders +p9888 +tp9889 +a(g826 +V\u000a +p9890 +tp9891 +a(g7 +Vend +p9892 +tp9893 +a(g826 +V\u000a +p9894 +tp9895 +a(g457 +V$stdout +p9896 +tp9897 +a(g400 +g1174 +tp9898 +a(g423 +Vprint +p9899 +tp9900 +a(g693 +g1178 +tp9901 +a(g423 +Vbuffer +p9902 +tp9903 +a(g693 +g1182 +tp9904 +a(g826 +V\u000a\u000a +p9905 +tp9906 +a(g449 +V@buffer +p9907 +tp9908 +a(g826 +g958 +tp9909 +a(g400 +g987 +tp9910 +a(g826 +g958 +tp9911 +a(g400 +g990 +tp9912 +a(g400 +g1137 +tp9913 +a(g826 +V\u000a +p9914 +tp9915 +a(g745 +V# }}} +p9916 +tp9917 +a(g826 +V\u000a +p9918 +tp9919 +a(g7 +Vend +p9920 +tp9921 +a(g826 +V\u000a\u000a +p9922 +tp9923 +a(g745 +V# Sends one or more header to the client. All headers are cached just +p9924 +tp9925 +a(g826 +V\u000a +p9926 +tp9927 +a(g745 +V# before body data are send to the client. If the same header are set +p9928 +tp9929 +a(g826 +V\u000a +p9930 +tp9931 +a(g745 +V# twice, only the last value is send. +p9932 +tp9933 +a(g826 +V\u000a +p9934 +tp9935 +a(g745 +g1414 +tp9936 +a(g826 +V\u000a +p9937 +tp9938 +a(g745 +V# Example: +p9939 +tp9940 +a(g826 +V\u000a +p9941 +tp9942 +a(g745 +V# web.header("Last-Modified: Mon, 16 Feb 2004 20:15:41 GMT") +p9943 +tp9944 +a(g826 +V\u000a +p9945 +tp9946 +a(g745 +V# web.header("Location: http://www.ruby-lang.org") +p9947 +tp9948 +a(g826 +V\u000a +p9949 +tp9950 +a(g745 +g1414 +tp9951 +a(g826 +V\u000a +p9952 +tp9953 +a(g745 +V# You can specify more than one header at the time by doing something like +p9954 +tp9955 +a(g826 +V\u000a +p9956 +tp9957 +a(g745 +V# this: +p9958 +tp9959 +a(g826 +V\u000a +p9960 +tp9961 +a(g745 +V# web.header("Content-Type: text/plain\u005cnContent-Length: 383") +p9962 +tp9963 +a(g826 +V\u000a +p9964 +tp9965 +a(g745 +V# or +p9966 +tp9967 +a(g826 +V\u000a +p9968 +tp9969 +a(g745 +V# web.header(["Content-Type: text/plain", "Content-Length: 383"]) +p9970 +tp9971 +a(g826 +V\u000a +p9972 +tp9973 +a(g7 +Vdef +p9974 +tp9975 +a(g826 +g958 +tp9976 +a(g561 +Vheader +p9977 +tp9978 +a(g693 +g1178 +tp9979 +a(g423 +Vstr +p9980 +tp9981 +a(g693 +g1182 +tp9982 +a(g826 +V\u000a +p9983 +tp9984 +a(g745 +V# {{{ +p9985 +tp9986 +a(g826 +V\u000a +p9987 +tp9988 +a(g7 +Vif +p9989 +tp9990 +a(g826 +g958 +tp9991 +a(g449 +V@output_started +p9992 +tp9993 +a(g826 +V\u000a +p9994 +tp9995 +a(g7 +Vraise +p9996 +tp9997 +a(g826 +g958 +tp9998 +a(g144 +g3862 +tp9999 +a(g144 +VHTTP-Headers are already send. You can't change them after output has started! +p10000 +tp10001 +a(g144 +g3862 +tp10002 +a(g826 +V\u000a +p10003 +tp10004 +a(g7 +Vend +p10005 +tp10006 +a(g826 +V\u000a +p10007 +tp10008 +a(g7 +Vunless +p10009 +tp10010 +a(g826 +g958 +tp10011 +a(g449 +V@output_allowed +p10012 +tp10013 +a(g826 +V\u000a +p10014 +tp10015 +a(g7 +Vraise +p10016 +tp10017 +a(g826 +g958 +tp10018 +a(g144 +g3862 +tp10019 +a(g144 +VYou just can set headers inside of a Rweb::out-block +p10020 +tp10021 +a(g144 +g3862 +tp10022 +a(g826 +V\u000a +p10023 +tp10024 +a(g7 +Vend +p10025 +tp10026 +a(g826 +V\u000a +p10027 +tp10028 +a(g7 +Vif +p10029 +tp10030 +a(g826 +g958 +tp10031 +a(g423 +Vstr +p10032 +tp10033 +a(g400 +g1174 +tp10034 +a(g423 +Vis_a? +p10035 +tp10036 +a(g669 +VArray +p10037 +tp10038 +a(g826 +V\u000a +p10039 +tp10040 +a(g423 +Vstr +p10041 +tp10042 +a(g400 +g1174 +tp10043 +a(g423 +Veach +p10044 +tp10045 +a(g826 +g958 +tp10046 +a(g7 +Vdo +p10047 +tp10048 +a(g826 +g958 +tp10049 +a(g400 +g1824 +tp10050 +a(g826 +g958 +tp10051 +a(g423 +Vvalue +p10052 +tp10053 +a(g826 +g958 +tp10054 +a(g400 +g1824 +tp10055 +a(g826 +V\u000a +p10056 +tp10057 +a(g669 +Vself +p10058 +tp10059 +a(g400 +g1174 +tp10060 +a(g423 +Vheader +p10061 +tp10062 +a(g693 +g1178 +tp10063 +a(g423 +Vvalue +p10064 +tp10065 +a(g693 +g1182 +tp10066 +a(g826 +V\u000a +p10067 +tp10068 +a(g7 +Vend +p10069 +tp10070 +a(g826 +V\u000a\u000a +p10071 +tp10072 +a(g7 +Velsif +p10073 +tp10074 +a(g826 +g958 +tp10075 +a(g423 +Vstr +p10076 +tp10077 +a(g400 +g1174 +tp10078 +a(g423 +Vsplit +p10079 +tp10080 +a(g693 +g1178 +tp10081 +a(g184 +g1384 +tp10082 +a(g184 +V\u005c +p10083 +tp10084 +a(g184 +g4939 +tp10085 +a(g184 +g1384 +tp10086 +a(g693 +g1182 +tp10087 +a(g400 +g1174 +tp10088 +a(g423 +Vlength +p10089 +tp10090 +a(g826 +g958 +tp10091 +a(g400 +V> +p10092 +tp10093 +a(g826 +g958 +tp10094 +a(g22 +g3274 +tp10095 +a(g826 +V\u000a +p10096 +tp10097 +a(g423 +Vstr +p10098 +tp10099 +a(g400 +g1174 +tp10100 +a(g423 +Vsplit +p10101 +tp10102 +a(g693 +g1178 +tp10103 +a(g184 +g1384 +tp10104 +a(g184 +V\u005c +p10105 +tp10106 +a(g184 +g4939 +tp10107 +a(g184 +g1384 +tp10108 +a(g693 +g1182 +tp10109 +a(g400 +g1174 +tp10110 +a(g423 +Veach +p10111 +tp10112 +a(g826 +g958 +tp10113 +a(g7 +Vdo +p10114 +tp10115 +a(g826 +g958 +tp10116 +a(g400 +g1824 +tp10117 +a(g826 +g958 +tp10118 +a(g423 +Vvalue +p10119 +tp10120 +a(g826 +g958 +tp10121 +a(g400 +g1824 +tp10122 +a(g826 +V\u000a +p10123 +tp10124 +a(g669 +Vself +p10125 +tp10126 +a(g400 +g1174 +tp10127 +a(g423 +Vheader +p10128 +tp10129 +a(g693 +g1178 +tp10130 +a(g423 +Vvalue +p10131 +tp10132 +a(g693 +g1182 +tp10133 +a(g826 +V\u000a +p10134 +tp10135 +a(g7 +Vend +p10136 +tp10137 +a(g826 +V\u000a\u000a +p10138 +tp10139 +a(g7 +Velsif +p10140 +tp10141 +a(g826 +g958 +tp10142 +a(g423 +Vstr +p10143 +tp10144 +a(g400 +g1174 +tp10145 +a(g423 +Vis_a? +p10146 +tp10147 +a(g826 +g958 +tp10148 +a(g669 +VString +p10149 +tp10150 +a(g826 +V\u000a +p10151 +tp10152 +a(g423 +Vstr +p10153 +tp10154 +a(g400 +g1174 +tp10155 +a(g423 +Vgsub! +p10156 +tp10157 +a(g693 +g1178 +tp10158 +a(g184 +g1384 +tp10159 +a(g184 +V\u005c +p10160 +tp10161 +a(g184 +Vr +p10162 +tp10163 +a(g184 +g1384 +tp10164 +a(g693 +g996 +tp10165 +a(g826 +g958 +tp10166 +a(g144 +g3862 +tp10167 +a(g144 +g3862 +tp10168 +a(g693 +g1182 +tp10169 +a(g826 +V\u000a\u000a +p10170 +tp10171 +a(g7 +Vif +p10172 +tp10173 +a(g826 +g958 +tp10174 +a(g693 +g1178 +tp10175 +a(g423 +Vstr +p10176 +tp10177 +a(g826 +g958 +tp10178 +a(g400 +V=~ +p10179 +tp10180 +a(g826 +g958 +tp10181 +a(g184 +g1384 +tp10182 +a(g184 +V^HTTP +p10183 +tp10184 +a(g184 +V\u005c/ +p10185 +tp10186 +a(g184 +g3274 +tp10187 +a(g184 +V\u005c +p10188 +tp10189 +a(g184 +V.[01] [0-9]{3} ?.*$ +p10190 +tp10191 +a(g184 +g1384 +tp10192 +a(g693 +g1182 +tp10193 +a(g826 +g958 +tp10194 +a(g400 +V== +p10195 +tp10196 +a(g826 +g958 +tp10197 +a(g22 +g8477 +tp10198 +a(g826 +V\u000a +p10199 +tp10200 +a(g423 +Vpattern +p10201 +tp10202 +a(g826 +g958 +tp10203 +a(g400 +g987 +tp10204 +a(g826 +g958 +tp10205 +a(g184 +g1384 +tp10206 +a(g184 +V^HTTP +p10207 +tp10208 +a(g184 +V\u005c/ +p10209 +tp10210 +a(g184 +V1.[01] ([0-9]{3}) ?(.*)$ +p10211 +tp10212 +a(g184 +g1384 +tp10213 +a(g826 +V\u000a\u000a +p10214 +tp10215 +a(g423 +Vresult +p10216 +tp10217 +a(g826 +g958 +tp10218 +a(g400 +g987 +tp10219 +a(g826 +g958 +tp10220 +a(g423 +Vpattern +p10221 +tp10222 +a(g400 +g1174 +tp10223 +a(g423 +Vmatch +p10224 +tp10225 +a(g693 +g1178 +tp10226 +a(g423 +Vstr +p10227 +tp10228 +a(g693 +g1182 +tp10229 +a(g826 +V\u000a +p10230 +tp10231 +a(g669 +Vself +p10232 +tp10233 +a(g400 +g1174 +tp10234 +a(g423 +Vsetstatus +p10235 +tp10236 +a(g693 +g1178 +tp10237 +a(g423 +Vresult +p10238 +tp10239 +a(g400 +g990 +tp10240 +a(g22 +g8477 +tp10241 +a(g400 +g1137 +tp10242 +a(g693 +g996 +tp10243 +a(g826 +g958 +tp10244 +a(g423 +Vresult +p10245 +tp10246 +a(g400 +g990 +tp10247 +a(g22 +g3274 +tp10248 +a(g400 +g1137 +tp10249 +a(g693 +g1182 +tp10250 +a(g826 +V\u000a +p10251 +tp10252 +a(g7 +Velsif +p10253 +tp10254 +a(g826 +g958 +tp10255 +a(g693 +g1178 +tp10256 +a(g423 +Vstr +p10257 +tp10258 +a(g826 +g958 +tp10259 +a(g400 +V=~ +p10260 +tp10261 +a(g826 +g958 +tp10262 +a(g184 +g1384 +tp10263 +a(g184 +V^status: [0-9]{3} ?.*$ +p10264 +tp10265 +a(g184 +V/i +p10266 +tp10267 +a(g693 +g1182 +tp10268 +a(g826 +g958 +tp10269 +a(g400 +V== +p10270 +tp10271 +a(g826 +g958 +tp10272 +a(g22 +g8477 +tp10273 +a(g826 +V\u000a +p10274 +tp10275 +a(g423 +Vpattern +p10276 +tp10277 +a(g826 +g958 +tp10278 +a(g400 +g987 +tp10279 +a(g826 +g958 +tp10280 +a(g184 +g1384 +tp10281 +a(g184 +V^status: ([0-9]{3}) ?(.*)$ +p10282 +tp10283 +a(g184 +V/i +p10284 +tp10285 +a(g826 +V\u000a\u000a +p10286 +tp10287 +a(g423 +Vresult +p10288 +tp10289 +a(g826 +g958 +tp10290 +a(g400 +g987 +tp10291 +a(g826 +g958 +tp10292 +a(g423 +Vpattern +p10293 +tp10294 +a(g400 +g1174 +tp10295 +a(g423 +Vmatch +p10296 +tp10297 +a(g693 +g1178 +tp10298 +a(g423 +Vstr +p10299 +tp10300 +a(g693 +g1182 +tp10301 +a(g826 +V\u000a +p10302 +tp10303 +a(g669 +Vself +p10304 +tp10305 +a(g400 +g1174 +tp10306 +a(g423 +Vsetstatus +p10307 +tp10308 +a(g693 +g1178 +tp10309 +a(g423 +Vresult +p10310 +tp10311 +a(g400 +g990 +tp10312 +a(g22 +g8477 +tp10313 +a(g400 +g1137 +tp10314 +a(g693 +g996 +tp10315 +a(g826 +g958 +tp10316 +a(g423 +Vresult +p10317 +tp10318 +a(g400 +g990 +tp10319 +a(g22 +g3274 +tp10320 +a(g400 +g1137 +tp10321 +a(g693 +g1182 +tp10322 +a(g826 +V\u000a +p10323 +tp10324 +a(g7 +Velse +p10325 +tp10326 +a(g826 +V\u000a +p10327 +tp10328 +a(g423 +g6068 +tp10329 +a(g826 +g958 +tp10330 +a(g400 +g987 +tp10331 +a(g826 +g958 +tp10332 +a(g423 +Vstr +p10333 +tp10334 +a(g400 +g1174 +tp10335 +a(g423 +Vsplit +p10336 +tp10337 +a(g693 +g1178 +tp10338 +a(g184 +g1384 +tp10339 +a(g184 +V: ? +p10340 +tp10341 +a(g184 +g1384 +tp10342 +a(g693 +g996 +tp10343 +a(g826 +g958 +tp10344 +a(g22 +g5962 +tp10345 +a(g693 +g1182 +tp10346 +a(g826 +V\u000a\u000a +p10347 +tp10348 +a(g449 +V@header +p10349 +tp10350 +a(g400 +g990 +tp10351 +a(g423 +g6068 +tp10352 +a(g400 +g990 +tp10353 +a(g22 +g8477 +tp10354 +a(g400 +g1137 +tp10355 +a(g400 +g1174 +tp10356 +a(g423 +Vdowncase +p10357 +tp10358 +a(g400 +g1137 +tp10359 +a(g826 +g958 +tp10360 +a(g400 +g987 +tp10361 +a(g826 +g958 +tp10362 +a(g423 +g6068 +tp10363 +a(g400 +g990 +tp10364 +a(g22 +g3274 +tp10365 +a(g400 +g1137 +tp10366 +a(g826 +V\u000a +p10367 +tp10368 +a(g7 +Vend +p10369 +tp10370 +a(g826 +V\u000a +p10371 +tp10372 +a(g7 +Vend +p10373 +tp10374 +a(g826 +V\u000a +p10375 +tp10376 +a(g745 +V# }}} +p10377 +tp10378 +a(g826 +V\u000a +p10379 +tp10380 +a(g7 +Vend +p10381 +tp10382 +a(g826 +V\u000a\u000a +p10383 +tp10384 +a(g745 +V# Changes the status of this page. There are several codes like "200 OK", +p10385 +tp10386 +a(g826 +V\u000a +p10387 +tp10388 +a(g745 +V# "302 Found", "404 Not Found" or "500 Internal Server Error". A list of +p10389 +tp10390 +a(g826 +V\u000a +p10391 +tp10392 +a(g745 +V# all codes is available at +p10393 +tp10394 +a(g826 +V\u000a +p10395 +tp10396 +a(g745 +V# http://www.w3.org/Protocols/rfc2616/rfc2616-sec10.html#sec10 +p10397 +tp10398 +a(g826 +V\u000a +p10399 +tp10400 +a(g745 +g1414 +tp10401 +a(g826 +V\u000a +p10402 +tp10403 +a(g745 +V# You can just send the code number, the reason phrase will be added +p10404 +tp10405 +a(g826 +V\u000a +p10406 +tp10407 +a(g745 +V# automaticly with the recommendations from the w3c if not specified. If +p10408 +tp10409 +a(g826 +V\u000a +p10410 +tp10411 +a(g745 +V# you set the status twice or more, only the last status will be send. +p10412 +tp10413 +a(g826 +V\u000a +p10414 +tp10415 +a(g745 +V# Examples: +p10416 +tp10417 +a(g826 +V\u000a +p10418 +tp10419 +a(g745 +V# web.status("401 Unauthorized") +p10420 +tp10421 +a(g826 +V\u000a +p10422 +tp10423 +a(g745 +V# web.status("410 Sad but true, this lonely page is gone :(") +p10424 +tp10425 +a(g826 +V\u000a +p10426 +tp10427 +a(g745 +V# web.status(206) +p10428 +tp10429 +a(g826 +V\u000a +p10430 +tp10431 +a(g745 +V# web.status("400") +p10432 +tp10433 +a(g826 +V\u000a +p10434 +tp10435 +a(g745 +g1414 +tp10436 +a(g826 +V\u000a +p10437 +tp10438 +a(g745 +V# The default status is "200 OK". If a "Location" header is set, the +p10439 +tp10440 +a(g826 +V\u000a +p10441 +tp10442 +a(g745 +V# default status is "302 Found". +p10443 +tp10444 +a(g826 +V\u000a +p10445 +tp10446 +a(g7 +Vdef +p10447 +tp10448 +a(g826 +g958 +tp10449 +a(g561 +Vstatus +p10450 +tp10451 +a(g693 +g1178 +tp10452 +a(g423 +Vstr +p10453 +tp10454 +a(g693 +g1182 +tp10455 +a(g826 +V\u000a +p10456 +tp10457 +a(g745 +V# {{{ +p10458 +tp10459 +a(g826 +V\u000a +p10460 +tp10461 +a(g7 +Vif +p10462 +tp10463 +a(g826 +g958 +tp10464 +a(g449 +V@output_started +p10465 +tp10466 +a(g826 +V\u000a +p10467 +tp10468 +a(g7 +Vraise +p10469 +tp10470 +a(g826 +g958 +tp10471 +a(g144 +g3862 +tp10472 +a(g144 +VHTTP-Headers are already send. You can't change them after output has started! +p10473 +tp10474 +a(g144 +g3862 +tp10475 +a(g826 +V\u000a +p10476 +tp10477 +a(g7 +Vend +p10478 +tp10479 +a(g826 +V\u000a +p10480 +tp10481 +a(g7 +Vunless +p10482 +tp10483 +a(g826 +g958 +tp10484 +a(g449 +V@output_allowed +p10485 +tp10486 +a(g826 +V\u000a +p10487 +tp10488 +a(g7 +Vraise +p10489 +tp10490 +a(g826 +g958 +tp10491 +a(g144 +g3862 +tp10492 +a(g144 +VYou just can set headers inside of a Rweb::out-block +p10493 +tp10494 +a(g144 +g3862 +tp10495 +a(g826 +V\u000a +p10496 +tp10497 +a(g7 +Vend +p10498 +tp10499 +a(g826 +V\u000a +p10500 +tp10501 +a(g7 +Vif +p10502 +tp10503 +a(g826 +g958 +tp10504 +a(g423 +Vstr +p10505 +tp10506 +a(g400 +g1174 +tp10507 +a(g423 +Vis_a? +p10508 +tp10509 +a(g669 +VInteger +p10510 +tp10511 +a(g826 +V\u000a +p10512 +tp10513 +a(g449 +V@status +p10514 +tp10515 +a(g826 +g958 +tp10516 +a(g400 +g987 +tp10517 +a(g826 +g958 +tp10518 +a(g423 +Vstr +p10519 +tp10520 +a(g826 +V\u000a +p10521 +tp10522 +a(g7 +Velsif +p10523 +tp10524 +a(g826 +g958 +tp10525 +a(g423 +Vstr +p10526 +tp10527 +a(g400 +g1174 +tp10528 +a(g423 +Vis_a? +p10529 +tp10530 +a(g669 +VString +p10531 +tp10532 +a(g826 +V\u000a +p10533 +tp10534 +a(g423 +Vp1 +p10535 +tp10536 +a(g826 +g958 +tp10537 +a(g400 +g987 +tp10538 +a(g826 +g958 +tp10539 +a(g184 +g1384 +tp10540 +a(g184 +V^([0-9]{3}) ?(.*)$ +p10541 +tp10542 +a(g184 +g1384 +tp10543 +a(g826 +V\u000a +p10544 +tp10545 +a(g423 +Vp2 +p10546 +tp10547 +a(g826 +g958 +tp10548 +a(g400 +g987 +tp10549 +a(g826 +g958 +tp10550 +a(g184 +g1384 +tp10551 +a(g184 +V^HTTP +p10552 +tp10553 +a(g184 +V\u005c/ +p10554 +tp10555 +a(g184 +g3274 +tp10556 +a(g184 +V\u005c +p10557 +tp10558 +a(g184 +V.[01] ([0-9]{3}) ?(.*)$ +p10559 +tp10560 +a(g184 +g1384 +tp10561 +a(g826 +V\u000a +p10562 +tp10563 +a(g423 +Vp3 +p10564 +tp10565 +a(g826 +g958 +tp10566 +a(g400 +g987 +tp10567 +a(g826 +g958 +tp10568 +a(g184 +g1384 +tp10569 +a(g184 +V^status: ([0-9]{3}) ?(.*)$ +p10570 +tp10571 +a(g184 +V/i +p10572 +tp10573 +a(g826 +V\u000a\u000a +p10574 +tp10575 +a(g7 +Vif +p10576 +tp10577 +a(g826 +g958 +tp10578 +a(g693 +g1178 +tp10579 +a(g423 +g6068 +tp10580 +a(g826 +g958 +tp10581 +a(g400 +g987 +tp10582 +a(g826 +g958 +tp10583 +a(g423 +Vp1 +p10584 +tp10585 +a(g400 +g1174 +tp10586 +a(g423 +Vmatch +p10587 +tp10588 +a(g693 +g1178 +tp10589 +a(g423 +Vstr +p10590 +tp10591 +a(g693 +g1182 +tp10592 +a(g693 +g1182 +tp10593 +a(g826 +g958 +tp10594 +a(g400 +V== +p10595 +tp10596 +a(g826 +g958 +tp10597 +a(g918 +Vnil +p10598 +tp10599 +a(g826 +V\u000a +p10600 +tp10601 +a(g7 +Vif +p10602 +tp10603 +a(g826 +g958 +tp10604 +a(g693 +g1178 +tp10605 +a(g423 +g6068 +tp10606 +a(g826 +g958 +tp10607 +a(g400 +g987 +tp10608 +a(g826 +g958 +tp10609 +a(g423 +Vp2 +p10610 +tp10611 +a(g400 +g1174 +tp10612 +a(g423 +Vmatch +p10613 +tp10614 +a(g693 +g1178 +tp10615 +a(g423 +Vstr +p10616 +tp10617 +a(g693 +g1182 +tp10618 +a(g693 +g1182 +tp10619 +a(g826 +g958 +tp10620 +a(g400 +V== +p10621 +tp10622 +a(g826 +g958 +tp10623 +a(g918 +Vnil +p10624 +tp10625 +a(g826 +V\u000a +p10626 +tp10627 +a(g7 +Vif +p10628 +tp10629 +a(g826 +g958 +tp10630 +a(g693 +g1178 +tp10631 +a(g423 +g6068 +tp10632 +a(g826 +g958 +tp10633 +a(g400 +g987 +tp10634 +a(g826 +g958 +tp10635 +a(g423 +Vp3 +p10636 +tp10637 +a(g400 +g1174 +tp10638 +a(g423 +Vmatch +p10639 +tp10640 +a(g693 +g1178 +tp10641 +a(g423 +Vstr +p10642 +tp10643 +a(g693 +g1182 +tp10644 +a(g693 +g1182 +tp10645 +a(g826 +g958 +tp10646 +a(g400 +V== +p10647 +tp10648 +a(g826 +g958 +tp10649 +a(g918 +Vnil +p10650 +tp10651 +a(g826 +V\u000a +p10652 +tp10653 +a(g7 +Vraise +p10654 +tp10655 +a(g826 +g958 +tp10656 +a(g553 +VArgumentError +p10657 +tp10658 +a(g693 +g996 +tp10659 +a(g826 +g958 +tp10660 +a(g144 +g3862 +tp10661 +a(g144 +VInvalid argument +p10662 +tp10663 +a(g144 +g3862 +tp10664 +a(g693 +g996 +tp10665 +a(g826 +g958 +tp10666 +a(g669 +Vcaller +p10667 +tp10668 +a(g826 +V\u000a +p10669 +tp10670 +a(g7 +Vend +p10671 +tp10672 +a(g826 +V\u000a +p10673 +tp10674 +a(g7 +Vend +p10675 +tp10676 +a(g826 +V\u000a +p10677 +tp10678 +a(g7 +Vend +p10679 +tp10680 +a(g826 +V\u000a +p10681 +tp10682 +a(g449 +V@status +p10683 +tp10684 +a(g826 +g958 +tp10685 +a(g400 +g987 +tp10686 +a(g826 +g958 +tp10687 +a(g423 +g6068 +tp10688 +a(g400 +g990 +tp10689 +a(g22 +g3274 +tp10690 +a(g400 +g1137 +tp10691 +a(g400 +g1174 +tp10692 +a(g423 +Vto_i +p10693 +tp10694 +a(g826 +V\u000a +p10695 +tp10696 +a(g7 +Vif +p10697 +tp10698 +a(g826 +g958 +tp10699 +a(g423 +g6068 +tp10700 +a(g400 +g990 +tp10701 +a(g22 +g5962 +tp10702 +a(g400 +g1137 +tp10703 +a(g826 +g958 +tp10704 +a(g400 +V!= +p10705 +tp10706 +a(g826 +g958 +tp10707 +a(g144 +g3862 +tp10708 +a(g144 +g3862 +tp10709 +a(g826 +V\u000a +p10710 +tp10711 +a(g449 +V@reasonPhrase +p10712 +tp10713 +a(g826 +g958 +tp10714 +a(g400 +g987 +tp10715 +a(g826 +g958 +tp10716 +a(g423 +g6068 +tp10717 +a(g400 +g990 +tp10718 +a(g22 +g5962 +tp10719 +a(g400 +g1137 +tp10720 +a(g826 +V\u000a +p10721 +tp10722 +a(g7 +Velse +p10723 +tp10724 +a(g826 +V\u000a +p10725 +tp10726 +a(g449 +V@reasonPhrase +p10727 +tp10728 +a(g826 +g958 +tp10729 +a(g400 +g987 +tp10730 +a(g826 +g958 +tp10731 +a(g423 +VgetReasonPhrase +p10732 +tp10733 +a(g693 +g1178 +tp10734 +a(g449 +V@status +p10735 +tp10736 +a(g693 +g1182 +tp10737 +a(g826 +V\u000a +p10738 +tp10739 +a(g7 +Vend +p10740 +tp10741 +a(g826 +V\u000a +p10742 +tp10743 +a(g7 +Velse +p10744 +tp10745 +a(g826 +V\u000a +p10746 +tp10747 +a(g7 +Vraise +p10748 +tp10749 +a(g826 +g958 +tp10750 +a(g553 +VArgumentError +p10751 +tp10752 +a(g693 +g996 +tp10753 +a(g826 +g958 +tp10754 +a(g144 +g3862 +tp10755 +a(g144 +VArgument of setstatus must be integer or string +p10756 +tp10757 +a(g144 +g3862 +tp10758 +a(g693 +g996 +tp10759 +a(g826 +g958 +tp10760 +a(g669 +Vcaller +p10761 +tp10762 +a(g826 +V\u000a +p10763 +tp10764 +a(g7 +Vend +p10765 +tp10766 +a(g826 +V\u000a +p10767 +tp10768 +a(g745 +V# }}} +p10769 +tp10770 +a(g826 +V\u000a +p10771 +tp10772 +a(g7 +Vend +p10773 +tp10774 +a(g826 +V\u000a\u000a +p10775 +tp10776 +a(g745 +V# Handles the output of your content and rescues all exceptions. Send all +p10777 +tp10778 +a(g826 +V\u000a +p10779 +tp10780 +a(g745 +V# data in the block to this method. For example: +p10781 +tp10782 +a(g826 +V\u000a +p10783 +tp10784 +a(g745 +V# web.out do +p10785 +tp10786 +a(g826 +V\u000a +p10787 +tp10788 +a(g745 +V# web.header("Content-Type: text/plain") +p10789 +tp10790 +a(g826 +V\u000a +p10791 +tp10792 +a(g745 +V# web.puts("Hello, plain world!") +p10793 +tp10794 +a(g826 +V\u000a +p10795 +tp10796 +a(g745 +V# end +p10797 +tp10798 +a(g826 +V\u000a +p10799 +tp10800 +a(g7 +Vdef +p10801 +tp10802 +a(g826 +g958 +tp10803 +a(g561 +Vout +p10804 +tp10805 +a(g826 +V\u000a +p10806 +tp10807 +a(g745 +V# {{{ +p10808 +tp10809 +a(g826 +V\u000a +p10810 +tp10811 +a(g449 +V@output_allowed +p10812 +tp10813 +a(g826 +g958 +tp10814 +a(g400 +g987 +tp10815 +a(g826 +g958 +tp10816 +a(g918 +Vtrue +p10817 +tp10818 +a(g826 +V\u000a +p10819 +tp10820 +a(g449 +V@buffer +p10821 +tp10822 +a(g826 +g958 +tp10823 +a(g400 +g987 +tp10824 +a(g826 +g958 +tp10825 +a(g400 +g990 +tp10826 +a(g400 +g1137 +tp10827 +a(g693 +g7845 +tp10828 +a(g826 +g958 +tp10829 +a(g745 +V# We use an array as buffer, because it's more performant :) +p10830 +tp10831 +a(g826 +V\u000a\u000a +p10832 +tp10833 +a(g7 +Vbegin +p10834 +tp10835 +a(g826 +V\u000a +p10836 +tp10837 +a(g7 +Vyield +p10838 +tp10839 +a(g826 +V\u000a +p10840 +tp10841 +a(g7 +Vrescue +p10842 +tp10843 +a(g826 +g958 +tp10844 +a(g553 +VException +p10845 +tp10846 +a(g826 +g958 +tp10847 +a(g400 +g987 +tp10848 +a(g400 +g10092 +tp10849 +a(g826 +g958 +tp10850 +a(g423 +Vexception +p10851 +tp10852 +a(g826 +V\u000a +p10853 +tp10854 +a(g457 +V$stderr +p10855 +tp10856 +a(g400 +g1174 +tp10857 +a(g423 +Vputs +p10858 +tp10859 +a(g826 +g958 +tp10860 +a(g144 +g3862 +tp10861 +a(g144 +VRuby exception rescued ( +p10862 +tp10863 +a(g112 +V#{ +p10864 +tp10865 +a(g423 +Vexception +p10866 +tp10867 +a(g400 +g1174 +tp10868 +a(g423 +Vclass +p10869 +tp10870 +a(g112 +g1391 +tp10871 +a(g144 +V): +p10872 +tp10873 +a(g112 +V#{ +p10874 +tp10875 +a(g423 +Vexception +p10876 +tp10877 +a(g400 +g1174 +tp10878 +a(g423 +Vmessage +p10879 +tp10880 +a(g112 +g1391 +tp10881 +a(g144 +g3862 +tp10882 +a(g826 +V\u000a +p10883 +tp10884 +a(g457 +V$stderr +p10885 +tp10886 +a(g400 +g1174 +tp10887 +a(g423 +Vputs +p10888 +tp10889 +a(g826 +g958 +tp10890 +a(g423 +Vexception +p10891 +tp10892 +a(g400 +g1174 +tp10893 +a(g423 +Vbacktrace +p10894 +tp10895 +a(g400 +g1174 +tp10896 +a(g423 +Vjoin +p10897 +tp10898 +a(g693 +g1178 +tp10899 +a(g144 +g3862 +tp10900 +a(g200 +V\u005cn +p10901 +tp10902 +a(g144 +g3862 +tp10903 +a(g693 +g1182 +tp10904 +a(g826 +V\u000a\u000a +p10905 +tp10906 +a(g7 +Vunless +p10907 +tp10908 +a(g826 +g958 +tp10909 +a(g449 +V@output_started +p10910 +tp10911 +a(g826 +V\u000a +p10912 +tp10913 +a(g669 +Vself +p10914 +tp10915 +a(g400 +g1174 +tp10916 +a(g423 +Vsetstatus +p10917 +tp10918 +a(g693 +g1178 +tp10919 +a(g22 +V500 +p10920 +tp10921 +a(g693 +g1182 +tp10922 +a(g826 +V\u000a +p10923 +tp10924 +a(g449 +V@header +p10925 +tp10926 +a(g826 +g958 +tp10927 +a(g400 +g987 +tp10928 +a(g826 +g958 +tp10929 +a(g693 +g3620 +tp10930 +a(g693 +g1391 +tp10931 +a(g826 +V\u000a +p10932 +tp10933 +a(g7 +Vend +p10934 +tp10935 +a(g826 +V\u000a\u000a +p10936 +tp10937 +a(g7 +Vunless +p10938 +tp10939 +a(g826 +g958 +tp10940 +a(g693 +g1178 +tp10941 +a(g449 +V@settings +p10942 +tp10943 +a(g400 +g1174 +tp10944 +a(g423 +Vhas_key? +p10945 +tp10946 +a(g693 +g1178 +tp10947 +a(g144 +g3862 +tp10948 +a(g144 +Vhide errors +p10949 +tp10950 +a(g144 +g3862 +tp10951 +a(g693 +g1182 +tp10952 +a(g826 +g958 +tp10953 +a(g414 +Vand +p10954 +tp10955 +a(g826 +g958 +tp10956 +a(g449 +V@settings +p10957 +tp10958 +a(g400 +g990 +tp10959 +a(g144 +g3862 +tp10960 +a(g144 +Vhide errors +p10961 +tp10962 +a(g144 +g3862 +tp10963 +a(g400 +g1137 +tp10964 +a(g826 +g958 +tp10965 +a(g400 +V== +p10966 +tp10967 +a(g826 +g958 +tp10968 +a(g918 +Vtrue +p10969 +tp10970 +a(g693 +g1182 +tp10971 +a(g826 +V\u000a +p10972 +tp10973 +a(g7 +Vunless +p10974 +tp10975 +a(g826 +g958 +tp10976 +a(g449 +V@output_started +p10977 +tp10978 +a(g826 +V\u000a +p10979 +tp10980 +a(g669 +Vself +p10981 +tp10982 +a(g400 +g1174 +tp10983 +a(g423 +Vheader +p10984 +tp10985 +a(g693 +g1178 +tp10986 +a(g144 +g3862 +tp10987 +a(g144 +VContent-Type: text/html +p10988 +tp10989 +a(g144 +g3862 +tp10990 +a(g693 +g1182 +tp10991 +a(g826 +V\u000a +p10992 +tp10993 +a(g669 +Vself +p10994 +tp10995 +a(g400 +g1174 +tp10996 +a(g423 +Vputs +p10997 +tp10998 +a(g826 +g958 +tp10999 +a(g144 +g3862 +tp11000 +a(g144 +V +p11027 +tp11028 +a(g144 +g3862 +tp11029 +a(g826 +V\u000a +p11030 +tp11031 +a(g669 +Vself +p11032 +tp11033 +a(g400 +g1174 +tp11034 +a(g423 +Vputs +p11035 +tp11036 +a(g826 +g958 +tp11037 +a(g144 +g3862 +tp11038 +a(g144 +V +p11039 +tp11040 +a(g144 +g3862 +tp11041 +a(g826 +V\u000a +p11042 +tp11043 +a(g669 +Vself +p11044 +tp11045 +a(g400 +g1174 +tp11046 +a(g423 +Vputs +p11047 +tp11048 +a(g826 +g958 +tp11049 +a(g144 +g3862 +tp11050 +a(g144 +V500 Internal Server Error +p11051 +tp11052 +a(g144 +g3862 +tp11053 +a(g826 +V\u000a +p11054 +tp11055 +a(g669 +Vself +p11056 +tp11057 +a(g400 +g1174 +tp11058 +a(g423 +Vputs +p11059 +tp11060 +a(g826 +g958 +tp11061 +a(g144 +g3862 +tp11062 +a(g144 +V +p11063 +tp11064 +a(g144 +g3862 +tp11065 +a(g826 +V\u000a +p11066 +tp11067 +a(g669 +Vself +p11068 +tp11069 +a(g400 +g1174 +tp11070 +a(g423 +Vputs +p11071 +tp11072 +a(g826 +g958 +tp11073 +a(g144 +g3862 +tp11074 +a(g144 +V +p11075 +tp11076 +a(g144 +g3862 +tp11077 +a(g826 +V\u000a +p11078 +tp11079 +a(g7 +Vend +p11080 +tp11081 +a(g826 +V\u000a +p11082 +tp11083 +a(g7 +Vif +p11084 +tp11085 +a(g826 +g958 +tp11086 +a(g449 +V@header +p11087 +tp11088 +a(g400 +g1174 +tp11089 +a(g423 +Vhas_key? +p11090 +tp11091 +a(g693 +g1178 +tp11092 +a(g144 +g3862 +tp11093 +a(g144 +Vcontent-type +p11094 +tp11095 +a(g144 +g3862 +tp11096 +a(g693 +g1182 +tp11097 +a(g826 +g958 +tp11098 +a(g414 +Vand +p11099 +tp11100 +a(g826 +g958 +tp11101 +a(g693 +g1178 +tp11102 +a(g449 +V@header +p11103 +tp11104 +a(g400 +g990 +tp11105 +a(g144 +g3862 +tp11106 +a(g144 +Vcontent-type +p11107 +tp11108 +a(g144 +g3862 +tp11109 +a(g400 +g1137 +tp11110 +a(g826 +g958 +tp11111 +a(g400 +V=~ +p11112 +tp11113 +a(g826 +g958 +tp11114 +a(g184 +g1384 +tp11115 +a(g184 +V^text +p11116 +tp11117 +a(g184 +V\u005c/ +p11118 +tp11119 +a(g184 +Vhtml +p11120 +tp11121 +a(g184 +V/i +p11122 +tp11123 +a(g693 +g1182 +tp11124 +a(g826 +g958 +tp11125 +a(g400 +V== +p11126 +tp11127 +a(g826 +g958 +tp11128 +a(g22 +g8477 +tp11129 +a(g826 +V\u000a +p11130 +tp11131 +a(g669 +Vself +p11132 +tp11133 +a(g400 +g1174 +tp11134 +a(g423 +Vputs +p11135 +tp11136 +a(g826 +g958 +tp11137 +a(g144 +g3862 +tp11138 +a(g144 +V

    Internal Server Error

    +p11139 +tp11140 +a(g144 +g3862 +tp11141 +a(g826 +V\u000a +p11142 +tp11143 +a(g669 +Vself +p11144 +tp11145 +a(g400 +g1174 +tp11146 +a(g423 +Vputs +p11147 +tp11148 +a(g826 +g958 +tp11149 +a(g144 +g3862 +tp11150 +a(g144 +V

    The server encountered an exception and was unable to complete your request.

    +p11151 +tp11152 +a(g144 +g3862 +tp11153 +a(g826 +V\u000a +p11154 +tp11155 +a(g669 +Vself +p11156 +tp11157 +a(g400 +g1174 +tp11158 +a(g423 +Vputs +p11159 +tp11160 +a(g826 +g958 +tp11161 +a(g144 +g3862 +tp11162 +a(g144 +V

    The exception has provided the following information:

    +p11163 +tp11164 +a(g144 +g3862 +tp11165 +a(g826 +V\u000a +p11166 +tp11167 +a(g669 +Vself +p11168 +tp11169 +a(g400 +g1174 +tp11170 +a(g423 +Vputs +p11171 +tp11172 +a(g826 +g958 +tp11173 +a(g144 +g3862 +tp11174 +a(g144 +V
    
    +p11186
    +tp11187
    +a(g112
    +V#{
    +p11188
    +tp11189
    +a(g423
    +Vexception
    +p11190
    +tp11191
    +a(g400
    +g1174
    +tp11192
    +a(g423
    +Vclass
    +p11193
    +tp11194
    +a(g112
    +g1391
    +tp11195
    +a(g144
    +V: 
    +p11196
    +tp11197
    +a(g112
    +V#{
    +p11198
    +tp11199
    +a(g423
    +Vexception
    +p11200
    +tp11201
    +a(g400
    +g1174
    +tp11202
    +a(g423
    +Vmessage
    +p11203
    +tp11204
    +a(g112
    +g1391
    +tp11205
    +a(g144
    +V on
    +p11206
    +tp11207
    +a(g144
    +g3862
    +tp11208
    +a(g826
    +V\u000a                    
    +p11209
    +tp11210
    +a(g669
    +Vself
    +p11211
    +tp11212
    +a(g400
    +g1174
    +tp11213
    +a(g423
    +Vputs
    +p11214
    +tp11215
    +a(g826
    +V\u000a                    
    +p11216
    +tp11217
    +a(g669
    +Vself
    +p11218
    +tp11219
    +a(g400
    +g1174
    +tp11220
    +a(g423
    +Vputs
    +p11221
    +tp11222
    +a(g826
    +g958
    +tp11223
    +a(g144
    +g3862
    +tp11224
    +a(g112
    +V#{
    +p11225
    +tp11226
    +a(g423
    +Vexception
    +p11227
    +tp11228
    +a(g400
    +g1174
    +tp11229
    +a(g423
    +Vbacktrace
    +p11230
    +tp11231
    +a(g400
    +g1174
    +tp11232
    +a(g423
    +Vjoin
    +p11233
    +tp11234
    +a(g693
    +g1178
    +tp11235
    +a(g144
    +g3862
    +tp11236
    +a(g200
    +V\u005cn
    +p11237
    +tp11238
    +a(g144
    +g3862
    +tp11239
    +a(g693
    +g1182
    +tp11240
    +a(g112
    +g1391
    +tp11241
    +a(g144
    +V
    +p11242 +tp11243 +a(g144 +g3862 +tp11244 +a(g826 +V\u000a +p11245 +tp11246 +a(g669 +Vself +p11247 +tp11248 +a(g400 +g1174 +tp11249 +a(g423 +Vputs +p11250 +tp11251 +a(g826 +g958 +tp11252 +a(g144 +g3862 +tp11253 +a(g144 +V +p11254 +tp11255 +a(g144 +g3862 +tp11256 +a(g826 +V\u000a +p11257 +tp11258 +a(g669 +Vself +p11259 +tp11260 +a(g400 +g1174 +tp11261 +a(g423 +Vputs +p11262 +tp11263 +a(g826 +g958 +tp11264 +a(g144 +g3862 +tp11265 +a(g144 +V +p11266 +tp11267 +a(g144 +g3862 +tp11268 +a(g826 +V\u000a +p11269 +tp11270 +a(g7 +Velse +p11271 +tp11272 +a(g826 +V\u000a +p11273 +tp11274 +a(g669 +Vself +p11275 +tp11276 +a(g400 +g1174 +tp11277 +a(g423 +Vputs +p11278 +tp11279 +a(g826 +g958 +tp11280 +a(g144 +g3862 +tp11281 +a(g144 +VThe server encountered an exception and was unable to complete your request +p11282 +tp11283 +a(g144 +g3862 +tp11284 +a(g826 +V\u000a +p11285 +tp11286 +a(g669 +Vself +p11287 +tp11288 +a(g400 +g1174 +tp11289 +a(g423 +Vputs +p11290 +tp11291 +a(g826 +g958 +tp11292 +a(g144 +g3862 +tp11293 +a(g144 +VThe exception has provided the following information: +p11294 +tp11295 +a(g144 +g3862 +tp11296 +a(g826 +V\u000a +p11297 +tp11298 +a(g669 +Vself +p11299 +tp11300 +a(g400 +g1174 +tp11301 +a(g423 +Vputs +p11302 +tp11303 +a(g826 +g958 +tp11304 +a(g144 +g3862 +tp11305 +a(g112 +V#{ +p11306 +tp11307 +a(g423 +Vexception +p11308 +tp11309 +a(g400 +g1174 +tp11310 +a(g423 +Vclass +p11311 +tp11312 +a(g112 +g1391 +tp11313 +a(g144 +V: +p11314 +tp11315 +a(g112 +V#{ +p11316 +tp11317 +a(g423 +Vexception +p11318 +tp11319 +a(g400 +g1174 +tp11320 +a(g423 +Vmessage +p11321 +tp11322 +a(g112 +g1391 +tp11323 +a(g144 +g3862 +tp11324 +a(g826 +V\u000a +p11325 +tp11326 +a(g669 +Vself +p11327 +tp11328 +a(g400 +g1174 +tp11329 +a(g423 +Vputs +p11330 +tp11331 +a(g826 +V\u000a +p11332 +tp11333 +a(g669 +Vself +p11334 +tp11335 +a(g400 +g1174 +tp11336 +a(g423 +Vputs +p11337 +tp11338 +a(g826 +g958 +tp11339 +a(g423 +Vexception +p11340 +tp11341 +a(g400 +g1174 +tp11342 +a(g423 +Vbacktrace +p11343 +tp11344 +a(g400 +g1174 +tp11345 +a(g423 +Vjoin +p11346 +tp11347 +a(g693 +g1178 +tp11348 +a(g144 +g3862 +tp11349 +a(g200 +V\u005cn +p11350 +tp11351 +a(g144 +g3862 +tp11352 +a(g693 +g1182 +tp11353 +a(g826 +V\u000a +p11354 +tp11355 +a(g7 +Vend +p11356 +tp11357 +a(g826 +V\u000a +p11358 +tp11359 +a(g7 +Vend +p11360 +tp11361 +a(g826 +V\u000a +p11362 +tp11363 +a(g7 +Vend +p11364 +tp11365 +a(g826 +V\u000a\u000a +p11366 +tp11367 +a(g7 +Vif +p11368 +tp11369 +a(g826 +g958 +tp11370 +a(g449 +V@settings +p11371 +tp11372 +a(g400 +g990 +tp11373 +a(g144 +g3862 +tp11374 +a(g144 +Vcache +p11375 +tp11376 +a(g144 +g3862 +tp11377 +a(g400 +g1137 +tp11378 +a(g826 +V\u000a +p11379 +tp11380 +a(g423 +Vbuffer +p11381 +tp11382 +a(g826 +g958 +tp11383 +a(g400 +g987 +tp11384 +a(g826 +g958 +tp11385 +a(g449 +V@buffer +p11386 +tp11387 +a(g400 +g1174 +tp11388 +a(g423 +Vjoin +p11389 +tp11390 +a(g826 +V\u000a\u000a +p11391 +tp11392 +a(g7 +Vunless +p11393 +tp11394 +a(g826 +g958 +tp11395 +a(g449 +V@output_started +p11396 +tp11397 +a(g826 +V\u000a +p11398 +tp11399 +a(g7 +Vunless +p11400 +tp11401 +a(g826 +g958 +tp11402 +a(g449 +V@header +p11403 +tp11404 +a(g400 +g1174 +tp11405 +a(g423 +Vhas_key? +p11406 +tp11407 +a(g693 +g1178 +tp11408 +a(g144 +g3862 +tp11409 +a(g144 +Vcontent-length +p11410 +tp11411 +a(g144 +g3862 +tp11412 +a(g693 +g1182 +tp11413 +a(g826 +V\u000a +p11414 +tp11415 +a(g669 +Vself +p11416 +tp11417 +a(g400 +g1174 +tp11418 +a(g423 +Vheader +p11419 +tp11420 +a(g693 +g1178 +tp11421 +a(g144 +g3862 +tp11422 +a(g144 +Vcontent-length: +p11423 +tp11424 +a(g112 +V#{ +p11425 +tp11426 +a(g423 +Vbuffer +p11427 +tp11428 +a(g400 +g1174 +tp11429 +a(g423 +Vlength +p11430 +tp11431 +a(g112 +g1391 +tp11432 +a(g144 +g3862 +tp11433 +a(g693 +g1182 +tp11434 +a(g826 +V\u000a +p11435 +tp11436 +a(g7 +Vend +p11437 +tp11438 +a(g826 +V\u000a\u000a +p11439 +tp11440 +a(g423 +VsendHeaders +p11441 +tp11442 +a(g826 +V\u000a +p11443 +tp11444 +a(g7 +Vend +p11445 +tp11446 +a(g826 +V\u000a +p11447 +tp11448 +a(g457 +V$stdout +p11449 +tp11450 +a(g400 +g1174 +tp11451 +a(g423 +Vprint +p11452 +tp11453 +a(g693 +g1178 +tp11454 +a(g423 +Vbuffer +p11455 +tp11456 +a(g693 +g1182 +tp11457 +a(g826 +V\u000a +p11458 +tp11459 +a(g7 +Velsif +p11460 +tp11461 +a(g826 +g958 +tp11462 +a(g400 +g8609 +tp11463 +a(g449 +V@output_started +p11464 +tp11465 +a(g826 +V\u000a +p11466 +tp11467 +a(g423 +VsendHeaders +p11468 +tp11469 +a(g826 +V\u000a +p11470 +tp11471 +a(g7 +Vend +p11472 +tp11473 +a(g826 +V\u000a +p11474 +tp11475 +a(g449 +V@output_allowed +p11476 +tp11477 +a(g826 +g958 +tp11478 +a(g400 +g987 +tp11479 +a(g826 +g958 +tp11480 +a(g918 +Vfalse +p11481 +tp11482 +a(g693 +g7845 +tp11483 +a(g826 +V\u000a +p11484 +tp11485 +a(g745 +V# }}} +p11486 +tp11487 +a(g826 +V\u000a +p11488 +tp11489 +a(g7 +Vend +p11490 +tp11491 +a(g826 +V\u000a\u000a +p11492 +tp11493 +a(g745 +V# Decodes URL encoded data, %20 for example stands for a space. +p11494 +tp11495 +a(g826 +V\u000a +p11496 +tp11497 +a(g7 +Vdef +p11498 +tp11499 +a(g826 +g958 +tp11500 +a(g616 +VRweb +p11501 +tp11502 +a(g400 +g1174 +tp11503 +a(g561 +Vunescape +p11504 +tp11505 +a(g693 +g1178 +tp11506 +a(g423 +Vstr +p11507 +tp11508 +a(g693 +g1182 +tp11509 +a(g826 +V\u000a +p11510 +tp11511 +a(g745 +V# {{{ +p11512 +tp11513 +a(g826 +V\u000a +p11514 +tp11515 +a(g7 +Vif +p11516 +tp11517 +a(g826 +g958 +tp11518 +a(g423 +Vdefined? +p11519 +tp11520 +a(g826 +g958 +tp11521 +a(g423 +Vstr +p11522 +tp11523 +a(g826 +g958 +tp11524 +a(g414 +Vand +p11525 +tp11526 +a(g826 +g958 +tp11527 +a(g423 +Vstr +p11528 +tp11529 +a(g400 +g1174 +tp11530 +a(g423 +Vis_a? +p11531 +tp11532 +a(g826 +g958 +tp11533 +a(g669 +VString +p11534 +tp11535 +a(g826 +V\u000a +p11536 +tp11537 +a(g423 +Vstr +p11538 +tp11539 +a(g400 +g1174 +tp11540 +a(g423 +Vgsub! +p11541 +tp11542 +a(g693 +g1178 +tp11543 +a(g184 +g1384 +tp11544 +a(g184 +V\u005c +p11545 +tp11546 +a(g184 +g5243 +tp11547 +a(g184 +g1384 +tp11548 +a(g693 +g996 +tp11549 +a(g826 +g958 +tp11550 +a(g144 +g3862 +tp11551 +a(g144 +g958 +tp11552 +a(g144 +g3862 +tp11553 +a(g693 +g1182 +tp11554 +a(g826 +V\u000a +p11555 +tp11556 +a(g423 +Vstr +p11557 +tp11558 +a(g400 +g1174 +tp11559 +a(g423 +Vgsub +p11560 +tp11561 +a(g693 +g1178 +tp11562 +a(g184 +g1384 +tp11563 +a(g184 +V%.{2} +p11564 +tp11565 +a(g184 +g1384 +tp11566 +a(g693 +g1182 +tp11567 +a(g826 +g958 +tp11568 +a(g7 +Vdo +p11569 +tp11570 +a(g826 +g958 +tp11571 +a(g400 +g1824 +tp11572 +a(g826 +g958 +tp11573 +a(g423 +g3010 +tp11574 +a(g826 +g958 +tp11575 +a(g400 +g1824 +tp11576 +a(g826 +V\u000a +p11577 +tp11578 +a(g423 +g3010 +tp11579 +a(g400 +g990 +tp11580 +a(g22 +g3274 +tp11581 +a(g693 +g996 +tp11582 +a(g22 +g5962 +tp11583 +a(g400 +g1137 +tp11584 +a(g400 +g1174 +tp11585 +a(g423 +Vhex +p11586 +tp11587 +a(g400 +g1174 +tp11588 +a(g423 +Vchr +p11589 +tp11590 +a(g826 +V\u000a +p11591 +tp11592 +a(g7 +Vend +p11593 +tp11594 +a(g826 +V\u000a +p11595 +tp11596 +a(g7 +Vend +p11597 +tp11598 +a(g826 +V\u000a +p11599 +tp11600 +a(g745 +V# }}} +p11601 +tp11602 +a(g826 +V\u000a +p11603 +tp11604 +a(g7 +Vend +p11605 +tp11606 +a(g826 +V\u000a\u000a +p11607 +tp11608 +a(g918 +Vprotected +p11609 +tp11610 +a(g826 +V\u000a +p11611 +tp11612 +a(g7 +Vdef +p11613 +tp11614 +a(g826 +g958 +tp11615 +a(g561 +VsendHeaders +p11616 +tp11617 +a(g826 +V\u000a +p11618 +tp11619 +a(g745 +V# {{{ +p11620 +tp11621 +a(g826 +V\u000a\u000a +p11622 +tp11623 +a(g553 +VCookie +p11624 +tp11625 +a(g400 +g1174 +tp11626 +a(g423 +Vdisallow +p11627 +tp11628 +a(g826 +g958 +tp11629 +a(g745 +V# no more cookies can be set or modified +p11630 +tp11631 +a(g826 +V\u000a +p11632 +tp11633 +a(g7 +Vif +p11634 +tp11635 +a(g826 +g958 +tp11636 +a(g400 +g8609 +tp11637 +a(g693 +g1178 +tp11638 +a(g449 +V@settings +p11639 +tp11640 +a(g400 +g1174 +tp11641 +a(g423 +Vhas_key? +p11642 +tp11643 +a(g693 +g1178 +tp11644 +a(g144 +g3862 +tp11645 +a(g144 +Vsilent +p11646 +tp11647 +a(g144 +g3862 +tp11648 +a(g693 +g1182 +tp11649 +a(g826 +g958 +tp11650 +a(g414 +Vand +p11651 +tp11652 +a(g826 +g958 +tp11653 +a(g449 +V@settings +p11654 +tp11655 +a(g400 +g990 +tp11656 +a(g144 +g3862 +tp11657 +a(g144 +Vsilent +p11658 +tp11659 +a(g144 +g3862 +tp11660 +a(g400 +g1137 +tp11661 +a(g826 +g958 +tp11662 +a(g400 +V== +p11663 +tp11664 +a(g826 +g958 +tp11665 +a(g918 +Vtrue +p11666 +tp11667 +a(g693 +g1182 +tp11668 +a(g826 +g958 +tp11669 +a(g414 +Vand +p11670 +tp11671 +a(g826 +g958 +tp11672 +a(g400 +g8609 +tp11673 +a(g449 +V@header +p11674 +tp11675 +a(g400 +g1174 +tp11676 +a(g423 +Vhas_key? +p11677 +tp11678 +a(g693 +g1178 +tp11679 +a(g144 +g3862 +tp11680 +a(g144 +Vx-powered-by +p11681 +tp11682 +a(g144 +g3862 +tp11683 +a(g693 +g1182 +tp11684 +a(g826 +V\u000a +p11685 +tp11686 +a(g7 +Vif +p11687 +tp11688 +a(g826 +g958 +tp11689 +a(g449 +V@mod_ruby +p11690 +tp11691 +a(g826 +V\u000a +p11692 +tp11693 +a(g423 +Vheader +p11694 +tp11695 +a(g693 +g1178 +tp11696 +a(g144 +g3862 +tp11697 +a(g144 +Vx-powered-by: +p11698 +tp11699 +a(g112 +V#{ +p11700 +tp11701 +a(g553 +VRWEB +p11702 +tp11703 +a(g112 +g1391 +tp11704 +a(g144 +V (Ruby/ +p11705 +tp11706 +a(g112 +V#{ +p11707 +tp11708 +a(g553 +VRUBY_VERSION +p11709 +tp11710 +a(g112 +g1391 +tp11711 +a(g144 +V, +p11712 +tp11713 +a(g112 +V#{ +p11714 +tp11715 +a(g553 +VMOD_RUBY +p11716 +tp11717 +a(g112 +g1391 +tp11718 +a(g144 +g1182 +tp11719 +a(g144 +g3862 +tp11720 +a(g693 +g1182 +tp11721 +a(g693 +g7845 +tp11722 +a(g826 +V\u000a +p11723 +tp11724 +a(g7 +Velse +p11725 +tp11726 +a(g826 +V\u000a +p11727 +tp11728 +a(g423 +Vheader +p11729 +tp11730 +a(g693 +g1178 +tp11731 +a(g144 +g3862 +tp11732 +a(g144 +Vx-powered-by: +p11733 +tp11734 +a(g112 +V#{ +p11735 +tp11736 +a(g553 +VRWEB +p11737 +tp11738 +a(g112 +g1391 +tp11739 +a(g144 +V (Ruby/ +p11740 +tp11741 +a(g112 +V#{ +p11742 +tp11743 +a(g553 +VRUBY_VERSION +p11744 +tp11745 +a(g112 +g1391 +tp11746 +a(g144 +g1182 +tp11747 +a(g144 +g3862 +tp11748 +a(g693 +g1182 +tp11749 +a(g693 +g7845 +tp11750 +a(g826 +V\u000a +p11751 +tp11752 +a(g7 +Vend +p11753 +tp11754 +a(g826 +V\u000a +p11755 +tp11756 +a(g7 +Vend +p11757 +tp11758 +a(g826 +V\u000a\u000a +p11759 +tp11760 +a(g7 +Vif +p11761 +tp11762 +a(g826 +g958 +tp11763 +a(g449 +V@output_method +p11764 +tp11765 +a(g826 +g958 +tp11766 +a(g400 +V== +p11767 +tp11768 +a(g826 +g958 +tp11769 +a(g144 +g3862 +tp11770 +a(g144 +Vph +p11771 +tp11772 +a(g144 +g3862 +tp11773 +a(g826 +V\u000a +p11774 +tp11775 +a(g7 +Vif +p11776 +tp11777 +a(g826 +g958 +tp11778 +a(g693 +g1178 +tp11779 +a(g693 +g1178 +tp11780 +a(g449 +V@status +p11781 +tp11782 +a(g826 +g958 +tp11783 +a(g400 +V== +p11784 +tp11785 +a(g826 +g958 +tp11786 +a(g918 +Vnil +p11787 +tp11788 +a(g826 +g958 +tp11789 +a(g414 +Vor +p11790 +tp11791 +a(g826 +g958 +tp11792 +a(g449 +V@status +p11793 +tp11794 +a(g826 +g958 +tp11795 +a(g400 +V== +p11796 +tp11797 +a(g826 +g958 +tp11798 +a(g22 +V200 +p11799 +tp11800 +a(g693 +g1182 +tp11801 +a(g826 +g958 +tp11802 +a(g414 +Vand +p11803 +tp11804 +a(g826 +g958 +tp11805 +a(g400 +g8609 +tp11806 +a(g449 +V@header +p11807 +tp11808 +a(g400 +g1174 +tp11809 +a(g423 +Vhas_key? +p11810 +tp11811 +a(g693 +g1178 +tp11812 +a(g144 +g3862 +tp11813 +a(g144 +Vcontent-type +p11814 +tp11815 +a(g144 +g3862 +tp11816 +a(g693 +g1182 +tp11817 +a(g826 +g958 +tp11818 +a(g414 +Vand +p11819 +tp11820 +a(g826 +g958 +tp11821 +a(g400 +g8609 +tp11822 +a(g449 +V@header +p11823 +tp11824 +a(g400 +g1174 +tp11825 +a(g423 +Vhas_key? +p11826 +tp11827 +a(g693 +g1178 +tp11828 +a(g144 +g3862 +tp11829 +a(g144 +Vlocation +p11830 +tp11831 +a(g144 +g3862 +tp11832 +a(g693 +g1182 +tp11833 +a(g693 +g1182 +tp11834 +a(g826 +V\u000a +p11835 +tp11836 +a(g423 +Vheader +p11837 +tp11838 +a(g693 +g1178 +tp11839 +a(g144 +g3862 +tp11840 +a(g144 +Vcontent-type: text/html +p11841 +tp11842 +a(g144 +g3862 +tp11843 +a(g693 +g1182 +tp11844 +a(g826 +V\u000a +p11845 +tp11846 +a(g7 +Vend +p11847 +tp11848 +a(g826 +V\u000a\u000a +p11849 +tp11850 +a(g7 +Vif +p11851 +tp11852 +a(g826 +g958 +tp11853 +a(g449 +V@status +p11854 +tp11855 +a(g826 +g958 +tp11856 +a(g400 +V!= +p11857 +tp11858 +a(g826 +g958 +tp11859 +a(g918 +Vnil +p11860 +tp11861 +a(g826 +V\u000a +p11862 +tp11863 +a(g457 +V$stdout +p11864 +tp11865 +a(g400 +g1174 +tp11866 +a(g423 +Vprint +p11867 +tp11868 +a(g826 +g958 +tp11869 +a(g144 +g3862 +tp11870 +a(g144 +VStatus: +p11871 +tp11872 +a(g112 +V#{ +p11873 +tp11874 +a(g449 +V@status +p11875 +tp11876 +a(g112 +g1391 +tp11877 +a(g144 +g958 +tp11878 +a(g112 +V#{ +p11879 +tp11880 +a(g449 +V@reasonPhrase +p11881 +tp11882 +a(g112 +g1391 +tp11883 +a(g200 +V\u005cr +p11884 +tp11885 +a(g200 +V\u005cn +p11886 +tp11887 +a(g144 +g3862 +tp11888 +a(g826 +V\u000a +p11889 +tp11890 +a(g7 +Vend +p11891 +tp11892 +a(g826 +V\u000a\u000a +p11893 +tp11894 +a(g449 +V@header +p11895 +tp11896 +a(g400 +g1174 +tp11897 +a(g423 +Veach +p11898 +tp11899 +a(g826 +g958 +tp11900 +a(g7 +Vdo +p11901 +tp11902 +a(g826 +g958 +tp11903 +a(g400 +g1824 +tp11904 +a(g423 +Vkey +p11905 +tp11906 +a(g693 +g996 +tp11907 +a(g826 +g958 +tp11908 +a(g423 +Vvalue +p11909 +tp11910 +a(g400 +g1824 +tp11911 +a(g826 +V\u000a +p11912 +tp11913 +a(g423 +Vkey +p11914 +tp11915 +a(g826 +g958 +tp11916 +a(g400 +g987 +tp11917 +a(g826 +g958 +tp11918 +a(g423 +Vkey +p11919 +tp11920 +a(g826 +g958 +tp11921 +a(g400 +g1420 +tp11922 +a(g22 +g3274 +tp11923 +a(g826 +g958 +tp11924 +a(g745 +V# "unfreeze" key :) +p11925 +tp11926 +a(g826 +V\u000a +p11927 +tp11928 +a(g423 +Vkey +p11929 +tp11930 +a(g400 +g990 +tp11931 +a(g22 +g8477 +tp11932 +a(g400 +g1137 +tp11933 +a(g826 +g958 +tp11934 +a(g400 +g987 +tp11935 +a(g826 +g958 +tp11936 +a(g423 +Vkey +p11937 +tp11938 +a(g400 +g990 +tp11939 +a(g22 +g8477 +tp11940 +a(g693 +g996 +tp11941 +a(g22 +g3274 +tp11942 +a(g400 +g1137 +tp11943 +a(g400 +g1174 +tp11944 +a(g423 +Vupcase! +p11945 +tp11946 +a(g400 +g990 +tp11947 +a(g22 +g8477 +tp11948 +a(g400 +g1137 +tp11949 +a(g826 +V\u000a\u000a +p11950 +tp11951 +a(g423 +Vkey +p11952 +tp11953 +a(g826 +g958 +tp11954 +a(g400 +g987 +tp11955 +a(g826 +g958 +tp11956 +a(g423 +Vkey +p11957 +tp11958 +a(g400 +g1174 +tp11959 +a(g423 +Vgsub +p11960 +tp11961 +a(g693 +g1178 +tp11962 +a(g184 +g1384 +tp11963 +a(g184 +V-[a-z] +p11964 +tp11965 +a(g184 +g1384 +tp11966 +a(g693 +g1182 +tp11967 +a(g826 +g958 +tp11968 +a(g7 +Vdo +p11969 +tp11970 +a(g826 +g958 +tp11971 +a(g400 +g1824 +tp11972 +a(g423 +Vchar +p11973 +tp11974 +a(g400 +g1824 +tp11975 +a(g826 +V\u000a +p11976 +tp11977 +a(g144 +g3862 +tp11978 +a(g144 +g3272 +tp11979 +a(g144 +g3862 +tp11980 +a(g826 +g958 +tp11981 +a(g400 +g5243 +tp11982 +a(g826 +g958 +tp11983 +a(g423 +Vchar +p11984 +tp11985 +a(g400 +g990 +tp11986 +a(g22 +g3274 +tp11987 +a(g693 +g996 +tp11988 +a(g22 +g3274 +tp11989 +a(g400 +g1137 +tp11990 +a(g400 +g1174 +tp11991 +a(g423 +Vupcase +p11992 +tp11993 +a(g826 +V\u000a +p11994 +tp11995 +a(g7 +Vend +p11996 +tp11997 +a(g826 +V\u000a\u000a +p11998 +tp11999 +a(g457 +V$stdout +p12000 +tp12001 +a(g400 +g1174 +tp12002 +a(g423 +Vprint +p12003 +tp12004 +a(g826 +g958 +tp12005 +a(g144 +g3862 +tp12006 +a(g112 +V#{ +p12007 +tp12008 +a(g423 +Vkey +p12009 +tp12010 +a(g112 +g1391 +tp12011 +a(g144 +V: +p12012 +tp12013 +a(g112 +V#{ +p12014 +tp12015 +a(g423 +Vvalue +p12016 +tp12017 +a(g112 +g1391 +tp12018 +a(g200 +V\u005cr +p12019 +tp12020 +a(g200 +V\u005cn +p12021 +tp12022 +a(g144 +g3862 +tp12023 +a(g826 +V\u000a +p12024 +tp12025 +a(g7 +Vend +p12026 +tp12027 +a(g826 +V\u000a +p12028 +tp12029 +a(g423 +Vcookies +p12030 +tp12031 +a(g826 +g958 +tp12032 +a(g400 +g987 +tp12033 +a(g826 +g958 +tp12034 +a(g553 +VCookie +p12035 +tp12036 +a(g400 +g1174 +tp12037 +a(g423 +VgetHttpHeader +p12038 +tp12039 +a(g826 +g958 +tp12040 +a(g745 +V# Get all cookies as an HTTP Header +p12041 +tp12042 +a(g826 +V\u000a +p12043 +tp12044 +a(g7 +Vif +p12045 +tp12046 +a(g826 +g958 +tp12047 +a(g423 +Vcookies +p12048 +tp12049 +a(g826 +V\u000a +p12050 +tp12051 +a(g457 +V$stdout +p12052 +tp12053 +a(g400 +g1174 +tp12054 +a(g423 +Vprint +p12055 +tp12056 +a(g826 +g958 +tp12057 +a(g423 +Vcookies +p12058 +tp12059 +a(g826 +V\u000a +p12060 +tp12061 +a(g7 +Vend +p12062 +tp12063 +a(g826 +V\u000a\u000a +p12064 +tp12065 +a(g457 +V$stdout +p12066 +tp12067 +a(g400 +g1174 +tp12068 +a(g423 +Vprint +p12069 +tp12070 +a(g826 +g958 +tp12071 +a(g144 +g3862 +tp12072 +a(g200 +V\u005cr +p12073 +tp12074 +a(g200 +V\u005cn +p12075 +tp12076 +a(g144 +g3862 +tp12077 +a(g826 +V\u000a\u000a +p12078 +tp12079 +a(g7 +Velsif +p12080 +tp12081 +a(g826 +g958 +tp12082 +a(g449 +V@output_method +p12083 +tp12084 +a(g826 +g958 +tp12085 +a(g400 +V== +p12086 +tp12087 +a(g826 +g958 +tp12088 +a(g144 +g3862 +tp12089 +a(g144 +Vnph +p12090 +tp12091 +a(g144 +g3862 +tp12092 +a(g826 +V\u000a +p12093 +tp12094 +a(g7 +Velsif +p12095 +tp12096 +a(g826 +g958 +tp12097 +a(g449 +V@output_method +p12098 +tp12099 +a(g826 +g958 +tp12100 +a(g400 +V== +p12101 +tp12102 +a(g826 +g958 +tp12103 +a(g144 +g3862 +tp12104 +a(g144 +Vmod_ruby +p12105 +tp12106 +a(g144 +g3862 +tp12107 +a(g826 +V\u000a +p12108 +tp12109 +a(g423 +g10162 +tp12110 +a(g826 +g958 +tp12111 +a(g400 +g987 +tp12112 +a(g826 +g958 +tp12113 +a(g553 +VApache +p12114 +tp12115 +a(g400 +g1174 +tp12116 +a(g423 +Vrequest +p12117 +tp12118 +a(g826 +V\u000a\u000a +p12119 +tp12120 +a(g7 +Vif +p12121 +tp12122 +a(g826 +g958 +tp12123 +a(g693 +g1178 +tp12124 +a(g693 +g1178 +tp12125 +a(g449 +V@status +p12126 +tp12127 +a(g826 +g958 +tp12128 +a(g400 +V== +p12129 +tp12130 +a(g826 +g958 +tp12131 +a(g918 +Vnil +p12132 +tp12133 +a(g826 +g958 +tp12134 +a(g414 +Vor +p12135 +tp12136 +a(g826 +g958 +tp12137 +a(g449 +V@status +p12138 +tp12139 +a(g826 +g958 +tp12140 +a(g400 +V== +p12141 +tp12142 +a(g826 +g958 +tp12143 +a(g22 +V200 +p12144 +tp12145 +a(g693 +g1182 +tp12146 +a(g826 +g958 +tp12147 +a(g414 +Vand +p12148 +tp12149 +a(g826 +g958 +tp12150 +a(g400 +g8609 +tp12151 +a(g449 +V@header +p12152 +tp12153 +a(g400 +g1174 +tp12154 +a(g423 +Vhas_key? +p12155 +tp12156 +a(g693 +g1178 +tp12157 +a(g144 +g3862 +tp12158 +a(g144 +Vcontent-type +p12159 +tp12160 +a(g144 +g3862 +tp12161 +a(g693 +g1182 +tp12162 +a(g826 +g958 +tp12163 +a(g414 +Vand +p12164 +tp12165 +a(g826 +g958 +tp12166 +a(g400 +g8609 +tp12167 +a(g449 +V@header +p12168 +tp12169 +a(g400 +g1174 +tp12170 +a(g423 +Vhas_key? +p12171 +tp12172 +a(g693 +g1178 +tp12173 +a(g144 +g3862 +tp12174 +a(g144 +Vlocation +p12175 +tp12176 +a(g144 +g3862 +tp12177 +a(g693 +g1182 +tp12178 +a(g693 +g1182 +tp12179 +a(g826 +V\u000a +p12180 +tp12181 +a(g423 +Vheader +p12182 +tp12183 +a(g693 +g1178 +tp12184 +a(g144 +g3862 +tp12185 +a(g144 +Vtext/html +p12186 +tp12187 +a(g144 +g3862 +tp12188 +a(g693 +g1182 +tp12189 +a(g826 +V\u000a +p12190 +tp12191 +a(g7 +Vend +p12192 +tp12193 +a(g826 +V\u000a\u000a +p12194 +tp12195 +a(g7 +Vif +p12196 +tp12197 +a(g826 +g958 +tp12198 +a(g449 +V@status +p12199 +tp12200 +a(g826 +g958 +tp12201 +a(g400 +V!= +p12202 +tp12203 +a(g826 +g958 +tp12204 +a(g918 +Vnil +p12205 +tp12206 +a(g826 +V\u000a +p12207 +tp12208 +a(g423 +g10162 +tp12209 +a(g400 +g1174 +tp12210 +a(g423 +Vstatus_line +p12211 +tp12212 +a(g826 +g958 +tp12213 +a(g400 +g987 +tp12214 +a(g826 +g958 +tp12215 +a(g144 +g3862 +tp12216 +a(g112 +V#{ +p12217 +tp12218 +a(g449 +V@status +p12219 +tp12220 +a(g112 +g1391 +tp12221 +a(g144 +g958 +tp12222 +a(g112 +V#{ +p12223 +tp12224 +a(g449 +V@reasonPhrase +p12225 +tp12226 +a(g112 +g1391 +tp12227 +a(g144 +g3862 +tp12228 +a(g826 +V\u000a +p12229 +tp12230 +a(g7 +Vend +p12231 +tp12232 +a(g826 +V\u000a\u000a +p12233 +tp12234 +a(g423 +g10162 +tp12235 +a(g400 +g1174 +tp12236 +a(g423 +Vsend_http_header +p12237 +tp12238 +a(g826 +V\u000a +p12239 +tp12240 +a(g449 +V@header +p12241 +tp12242 +a(g400 +g1174 +tp12243 +a(g423 +Veach +p12244 +tp12245 +a(g826 +g958 +tp12246 +a(g7 +Vdo +p12247 +tp12248 +a(g826 +g958 +tp12249 +a(g400 +g1824 +tp12250 +a(g423 +Vkey +p12251 +tp12252 +a(g693 +g996 +tp12253 +a(g826 +g958 +tp12254 +a(g423 +Vvalue +p12255 +tp12256 +a(g400 +g1824 +tp12257 +a(g826 +V\u000a +p12258 +tp12259 +a(g423 +Vkey +p12260 +tp12261 +a(g826 +g958 +tp12262 +a(g400 +g987 +tp12263 +a(g826 +g958 +tp12264 +a(g423 +Vkey +p12265 +tp12266 +a(g826 +g958 +tp12267 +a(g400 +g1420 +tp12268 +a(g22 +g3274 +tp12269 +a(g826 +g958 +tp12270 +a(g745 +V# "unfreeze" key :) +p12271 +tp12272 +a(g826 +V\u000a\u000a +p12273 +tp12274 +a(g423 +Vkey +p12275 +tp12276 +a(g400 +g990 +tp12277 +a(g22 +g8477 +tp12278 +a(g400 +g1137 +tp12279 +a(g826 +g958 +tp12280 +a(g400 +g987 +tp12281 +a(g826 +g958 +tp12282 +a(g423 +Vkey +p12283 +tp12284 +a(g400 +g990 +tp12285 +a(g22 +g8477 +tp12286 +a(g693 +g996 +tp12287 +a(g22 +g3274 +tp12288 +a(g400 +g1137 +tp12289 +a(g400 +g1174 +tp12290 +a(g423 +Vupcase! +p12291 +tp12292 +a(g400 +g990 +tp12293 +a(g22 +g8477 +tp12294 +a(g400 +g1137 +tp12295 +a(g826 +V\u000a +p12296 +tp12297 +a(g423 +Vkey +p12298 +tp12299 +a(g826 +g958 +tp12300 +a(g400 +g987 +tp12301 +a(g826 +g958 +tp12302 +a(g423 +Vkey +p12303 +tp12304 +a(g400 +g1174 +tp12305 +a(g423 +Vgsub +p12306 +tp12307 +a(g693 +g1178 +tp12308 +a(g184 +g1384 +tp12309 +a(g184 +V-[a-z] +p12310 +tp12311 +a(g184 +g1384 +tp12312 +a(g693 +g1182 +tp12313 +a(g826 +g958 +tp12314 +a(g7 +Vdo +p12315 +tp12316 +a(g826 +g958 +tp12317 +a(g400 +g1824 +tp12318 +a(g423 +Vchar +p12319 +tp12320 +a(g400 +g1824 +tp12321 +a(g826 +V\u000a +p12322 +tp12323 +a(g144 +g3862 +tp12324 +a(g144 +g3272 +tp12325 +a(g144 +g3862 +tp12326 +a(g826 +g958 +tp12327 +a(g400 +g5243 +tp12328 +a(g826 +g958 +tp12329 +a(g423 +Vchar +p12330 +tp12331 +a(g400 +g990 +tp12332 +a(g22 +g3274 +tp12333 +a(g693 +g996 +tp12334 +a(g22 +g3274 +tp12335 +a(g400 +g1137 +tp12336 +a(g400 +g1174 +tp12337 +a(g423 +Vupcase +p12338 +tp12339 +a(g826 +V\u000a +p12340 +tp12341 +a(g7 +Vend +p12342 +tp12343 +a(g826 +V\u000a +p12344 +tp12345 +a(g669 +Vputs +p12346 +tp12347 +a(g826 +g958 +tp12348 +a(g144 +g3862 +tp12349 +a(g112 +V#{ +p12350 +tp12351 +a(g423 +Vkey +p12352 +tp12353 +a(g112 +g1391 +tp12354 +a(g144 +V: +p12355 +tp12356 +a(g112 +V#{ +p12357 +tp12358 +a(g423 +Vvalue +p12359 +tp12360 +a(g400 +g1174 +tp12361 +a(g423 +Vclass +p12362 +tp12363 +a(g112 +g1391 +tp12364 +a(g144 +g3862 +tp12365 +a(g826 +V\u000a +p12366 +tp12367 +a(g745 +V#r.headers_out[key] = value +p12368 +tp12369 +a(g826 +V\u000a +p12370 +tp12371 +a(g7 +Vend +p12372 +tp12373 +a(g826 +V\u000a +p12374 +tp12375 +a(g7 +Vend +p12376 +tp12377 +a(g826 +V\u000a +p12378 +tp12379 +a(g449 +V@output_started +p12380 +tp12381 +a(g826 +g958 +tp12382 +a(g400 +g987 +tp12383 +a(g826 +g958 +tp12384 +a(g918 +Vtrue +p12385 +tp12386 +a(g826 +V\u000a +p12387 +tp12388 +a(g745 +V# }}} +p12389 +tp12390 +a(g826 +V\u000a +p12391 +tp12392 +a(g7 +Vend +p12393 +tp12394 +a(g826 +V\u000a\u000a +p12395 +tp12396 +a(g7 +Vdef +p12397 +tp12398 +a(g826 +g958 +tp12399 +a(g561 +VgetReasonPhrase +p12400 +tp12401 +a(g826 +g958 +tp12402 +a(g693 +g1178 +tp12403 +a(g423 +Vstatus +p12404 +tp12405 +a(g693 +g1182 +tp12406 +a(g826 +V\u000a +p12407 +tp12408 +a(g745 +V# {{{ +p12409 +tp12410 +a(g826 +V\u000a +p12411 +tp12412 +a(g7 +Vif +p12413 +tp12414 +a(g826 +g958 +tp12415 +a(g423 +Vstatus +p12416 +tp12417 +a(g826 +g958 +tp12418 +a(g400 +V== +p12419 +tp12420 +a(g826 +g958 +tp12421 +a(g22 +V100 +p12422 +tp12423 +a(g826 +V\u000a +p12424 +tp12425 +a(g144 +g3862 +tp12426 +a(g144 +VContinue +p12427 +tp12428 +a(g144 +g3862 +tp12429 +a(g826 +V\u000a +p12430 +tp12431 +a(g7 +Velsif +p12432 +tp12433 +a(g826 +g958 +tp12434 +a(g423 +Vstatus +p12435 +tp12436 +a(g826 +g958 +tp12437 +a(g400 +V== +p12438 +tp12439 +a(g826 +g958 +tp12440 +a(g22 +V101 +p12441 +tp12442 +a(g826 +V\u000a +p12443 +tp12444 +a(g144 +g3862 +tp12445 +a(g144 +VSwitching Protocols +p12446 +tp12447 +a(g144 +g3862 +tp12448 +a(g826 +V\u000a +p12449 +tp12450 +a(g7 +Velsif +p12451 +tp12452 +a(g826 +g958 +tp12453 +a(g423 +Vstatus +p12454 +tp12455 +a(g826 +g958 +tp12456 +a(g400 +V== +p12457 +tp12458 +a(g826 +g958 +tp12459 +a(g22 +V200 +p12460 +tp12461 +a(g826 +V\u000a +p12462 +tp12463 +a(g144 +g3862 +tp12464 +a(g144 +VOK +p12465 +tp12466 +a(g144 +g3862 +tp12467 +a(g826 +V\u000a +p12468 +tp12469 +a(g7 +Velsif +p12470 +tp12471 +a(g826 +g958 +tp12472 +a(g423 +Vstatus +p12473 +tp12474 +a(g826 +g958 +tp12475 +a(g400 +V== +p12476 +tp12477 +a(g826 +g958 +tp12478 +a(g22 +V201 +p12479 +tp12480 +a(g826 +V\u000a +p12481 +tp12482 +a(g144 +g3862 +tp12483 +a(g144 +VCreated +p12484 +tp12485 +a(g144 +g3862 +tp12486 +a(g826 +V\u000a +p12487 +tp12488 +a(g7 +Velsif +p12489 +tp12490 +a(g826 +g958 +tp12491 +a(g423 +Vstatus +p12492 +tp12493 +a(g826 +g958 +tp12494 +a(g400 +V== +p12495 +tp12496 +a(g826 +g958 +tp12497 +a(g22 +V202 +p12498 +tp12499 +a(g826 +V\u000a +p12500 +tp12501 +a(g144 +g3862 +tp12502 +a(g144 +VAccepted +p12503 +tp12504 +a(g144 +g3862 +tp12505 +a(g826 +V\u000a +p12506 +tp12507 +a(g7 +Velsif +p12508 +tp12509 +a(g826 +g958 +tp12510 +a(g423 +Vstatus +p12511 +tp12512 +a(g826 +g958 +tp12513 +a(g400 +V== +p12514 +tp12515 +a(g826 +g958 +tp12516 +a(g22 +V203 +p12517 +tp12518 +a(g826 +V\u000a +p12519 +tp12520 +a(g144 +g3862 +tp12521 +a(g144 +VNon-Authoritative Information +p12522 +tp12523 +a(g144 +g3862 +tp12524 +a(g826 +V\u000a +p12525 +tp12526 +a(g7 +Velsif +p12527 +tp12528 +a(g826 +g958 +tp12529 +a(g423 +Vstatus +p12530 +tp12531 +a(g826 +g958 +tp12532 +a(g400 +V== +p12533 +tp12534 +a(g826 +g958 +tp12535 +a(g22 +V204 +p12536 +tp12537 +a(g826 +V\u000a +p12538 +tp12539 +a(g144 +g3862 +tp12540 +a(g144 +VNo Content +p12541 +tp12542 +a(g144 +g3862 +tp12543 +a(g826 +V\u000a +p12544 +tp12545 +a(g7 +Velsif +p12546 +tp12547 +a(g826 +g958 +tp12548 +a(g423 +Vstatus +p12549 +tp12550 +a(g826 +g958 +tp12551 +a(g400 +V== +p12552 +tp12553 +a(g826 +g958 +tp12554 +a(g22 +V205 +p12555 +tp12556 +a(g826 +V\u000a +p12557 +tp12558 +a(g144 +g3862 +tp12559 +a(g144 +VReset Content +p12560 +tp12561 +a(g144 +g3862 +tp12562 +a(g826 +V\u000a +p12563 +tp12564 +a(g7 +Velsif +p12565 +tp12566 +a(g826 +g958 +tp12567 +a(g423 +Vstatus +p12568 +tp12569 +a(g826 +g958 +tp12570 +a(g400 +V== +p12571 +tp12572 +a(g826 +g958 +tp12573 +a(g22 +V206 +p12574 +tp12575 +a(g826 +V\u000a +p12576 +tp12577 +a(g144 +g3862 +tp12578 +a(g144 +VPartial Content +p12579 +tp12580 +a(g144 +g3862 +tp12581 +a(g826 +V\u000a +p12582 +tp12583 +a(g7 +Velsif +p12584 +tp12585 +a(g826 +g958 +tp12586 +a(g423 +Vstatus +p12587 +tp12588 +a(g826 +g958 +tp12589 +a(g400 +V== +p12590 +tp12591 +a(g826 +g958 +tp12592 +a(g22 +V300 +p12593 +tp12594 +a(g826 +V\u000a +p12595 +tp12596 +a(g144 +g3862 +tp12597 +a(g144 +VMultiple Choices +p12598 +tp12599 +a(g144 +g3862 +tp12600 +a(g826 +V\u000a +p12601 +tp12602 +a(g7 +Velsif +p12603 +tp12604 +a(g826 +g958 +tp12605 +a(g423 +Vstatus +p12606 +tp12607 +a(g826 +g958 +tp12608 +a(g400 +V== +p12609 +tp12610 +a(g826 +g958 +tp12611 +a(g22 +V301 +p12612 +tp12613 +a(g826 +V\u000a +p12614 +tp12615 +a(g144 +g3862 +tp12616 +a(g144 +VMoved Permanently +p12617 +tp12618 +a(g144 +g3862 +tp12619 +a(g826 +V\u000a +p12620 +tp12621 +a(g7 +Velsif +p12622 +tp12623 +a(g826 +g958 +tp12624 +a(g423 +Vstatus +p12625 +tp12626 +a(g826 +g958 +tp12627 +a(g400 +V== +p12628 +tp12629 +a(g826 +g958 +tp12630 +a(g22 +V302 +p12631 +tp12632 +a(g826 +V\u000a +p12633 +tp12634 +a(g144 +g3862 +tp12635 +a(g144 +VFound +p12636 +tp12637 +a(g144 +g3862 +tp12638 +a(g826 +V\u000a +p12639 +tp12640 +a(g7 +Velsif +p12641 +tp12642 +a(g826 +g958 +tp12643 +a(g423 +Vstatus +p12644 +tp12645 +a(g826 +g958 +tp12646 +a(g400 +V== +p12647 +tp12648 +a(g826 +g958 +tp12649 +a(g22 +V303 +p12650 +tp12651 +a(g826 +V\u000a +p12652 +tp12653 +a(g144 +g3862 +tp12654 +a(g144 +VSee Other +p12655 +tp12656 +a(g144 +g3862 +tp12657 +a(g826 +V\u000a +p12658 +tp12659 +a(g7 +Velsif +p12660 +tp12661 +a(g826 +g958 +tp12662 +a(g423 +Vstatus +p12663 +tp12664 +a(g826 +g958 +tp12665 +a(g400 +V== +p12666 +tp12667 +a(g826 +g958 +tp12668 +a(g22 +V304 +p12669 +tp12670 +a(g826 +V\u000a +p12671 +tp12672 +a(g144 +g3862 +tp12673 +a(g144 +VNot Modified +p12674 +tp12675 +a(g144 +g3862 +tp12676 +a(g826 +V\u000a +p12677 +tp12678 +a(g7 +Velsif +p12679 +tp12680 +a(g826 +g958 +tp12681 +a(g423 +Vstatus +p12682 +tp12683 +a(g826 +g958 +tp12684 +a(g400 +V== +p12685 +tp12686 +a(g826 +g958 +tp12687 +a(g22 +V305 +p12688 +tp12689 +a(g826 +V\u000a +p12690 +tp12691 +a(g144 +g3862 +tp12692 +a(g144 +VUse Proxy +p12693 +tp12694 +a(g144 +g3862 +tp12695 +a(g826 +V\u000a +p12696 +tp12697 +a(g7 +Velsif +p12698 +tp12699 +a(g826 +g958 +tp12700 +a(g423 +Vstatus +p12701 +tp12702 +a(g826 +g958 +tp12703 +a(g400 +V== +p12704 +tp12705 +a(g826 +g958 +tp12706 +a(g22 +V307 +p12707 +tp12708 +a(g826 +V\u000a +p12709 +tp12710 +a(g144 +g3862 +tp12711 +a(g144 +VTemporary Redirect +p12712 +tp12713 +a(g144 +g3862 +tp12714 +a(g826 +V\u000a +p12715 +tp12716 +a(g7 +Velsif +p12717 +tp12718 +a(g826 +g958 +tp12719 +a(g423 +Vstatus +p12720 +tp12721 +a(g826 +g958 +tp12722 +a(g400 +V== +p12723 +tp12724 +a(g826 +g958 +tp12725 +a(g22 +V400 +p12726 +tp12727 +a(g826 +V\u000a +p12728 +tp12729 +a(g144 +g3862 +tp12730 +a(g144 +VBad Request +p12731 +tp12732 +a(g144 +g3862 +tp12733 +a(g826 +V\u000a +p12734 +tp12735 +a(g7 +Velsif +p12736 +tp12737 +a(g826 +g958 +tp12738 +a(g423 +Vstatus +p12739 +tp12740 +a(g826 +g958 +tp12741 +a(g400 +V== +p12742 +tp12743 +a(g826 +g958 +tp12744 +a(g22 +V401 +p12745 +tp12746 +a(g826 +V\u000a +p12747 +tp12748 +a(g144 +g3862 +tp12749 +a(g144 +VUnauthorized +p12750 +tp12751 +a(g144 +g3862 +tp12752 +a(g826 +V\u000a +p12753 +tp12754 +a(g7 +Velsif +p12755 +tp12756 +a(g826 +g958 +tp12757 +a(g423 +Vstatus +p12758 +tp12759 +a(g826 +g958 +tp12760 +a(g400 +V== +p12761 +tp12762 +a(g826 +g958 +tp12763 +a(g22 +V402 +p12764 +tp12765 +a(g826 +V\u000a +p12766 +tp12767 +a(g144 +g3862 +tp12768 +a(g144 +VPayment Required +p12769 +tp12770 +a(g144 +g3862 +tp12771 +a(g826 +V\u000a +p12772 +tp12773 +a(g7 +Velsif +p12774 +tp12775 +a(g826 +g958 +tp12776 +a(g423 +Vstatus +p12777 +tp12778 +a(g826 +g958 +tp12779 +a(g400 +V== +p12780 +tp12781 +a(g826 +g958 +tp12782 +a(g22 +V403 +p12783 +tp12784 +a(g826 +V\u000a +p12785 +tp12786 +a(g144 +g3862 +tp12787 +a(g144 +VForbidden +p12788 +tp12789 +a(g144 +g3862 +tp12790 +a(g826 +V\u000a +p12791 +tp12792 +a(g7 +Velsif +p12793 +tp12794 +a(g826 +g958 +tp12795 +a(g423 +Vstatus +p12796 +tp12797 +a(g826 +g958 +tp12798 +a(g400 +V== +p12799 +tp12800 +a(g826 +g958 +tp12801 +a(g22 +V404 +p12802 +tp12803 +a(g826 +V\u000a +p12804 +tp12805 +a(g144 +g3862 +tp12806 +a(g144 +VNot Found +p12807 +tp12808 +a(g144 +g3862 +tp12809 +a(g826 +V\u000a +p12810 +tp12811 +a(g7 +Velsif +p12812 +tp12813 +a(g826 +g958 +tp12814 +a(g423 +Vstatus +p12815 +tp12816 +a(g826 +g958 +tp12817 +a(g400 +V== +p12818 +tp12819 +a(g826 +g958 +tp12820 +a(g22 +V405 +p12821 +tp12822 +a(g826 +V\u000a +p12823 +tp12824 +a(g144 +g3862 +tp12825 +a(g144 +VMethod Not Allowed +p12826 +tp12827 +a(g144 +g3862 +tp12828 +a(g826 +V\u000a +p12829 +tp12830 +a(g7 +Velsif +p12831 +tp12832 +a(g826 +g958 +tp12833 +a(g423 +Vstatus +p12834 +tp12835 +a(g826 +g958 +tp12836 +a(g400 +V== +p12837 +tp12838 +a(g826 +g958 +tp12839 +a(g22 +V406 +p12840 +tp12841 +a(g826 +V\u000a +p12842 +tp12843 +a(g144 +g3862 +tp12844 +a(g144 +VNot Acceptable +p12845 +tp12846 +a(g144 +g3862 +tp12847 +a(g826 +V\u000a +p12848 +tp12849 +a(g7 +Velsif +p12850 +tp12851 +a(g826 +g958 +tp12852 +a(g423 +Vstatus +p12853 +tp12854 +a(g826 +g958 +tp12855 +a(g400 +V== +p12856 +tp12857 +a(g826 +g958 +tp12858 +a(g22 +V407 +p12859 +tp12860 +a(g826 +V\u000a +p12861 +tp12862 +a(g144 +g3862 +tp12863 +a(g144 +VProxy Authentication Required +p12864 +tp12865 +a(g144 +g3862 +tp12866 +a(g826 +V\u000a +p12867 +tp12868 +a(g7 +Velsif +p12869 +tp12870 +a(g826 +g958 +tp12871 +a(g423 +Vstatus +p12872 +tp12873 +a(g826 +g958 +tp12874 +a(g400 +V== +p12875 +tp12876 +a(g826 +g958 +tp12877 +a(g22 +V408 +p12878 +tp12879 +a(g826 +V\u000a +p12880 +tp12881 +a(g144 +g3862 +tp12882 +a(g144 +VRequest Time-out +p12883 +tp12884 +a(g144 +g3862 +tp12885 +a(g826 +V\u000a +p12886 +tp12887 +a(g7 +Velsif +p12888 +tp12889 +a(g826 +g958 +tp12890 +a(g423 +Vstatus +p12891 +tp12892 +a(g826 +g958 +tp12893 +a(g400 +V== +p12894 +tp12895 +a(g826 +g958 +tp12896 +a(g22 +V409 +p12897 +tp12898 +a(g826 +V\u000a +p12899 +tp12900 +a(g144 +g3862 +tp12901 +a(g144 +VConflict +p12902 +tp12903 +a(g144 +g3862 +tp12904 +a(g826 +V\u000a +p12905 +tp12906 +a(g7 +Velsif +p12907 +tp12908 +a(g826 +g958 +tp12909 +a(g423 +Vstatus +p12910 +tp12911 +a(g826 +g958 +tp12912 +a(g400 +V== +p12913 +tp12914 +a(g826 +g958 +tp12915 +a(g22 +V410 +p12916 +tp12917 +a(g826 +V\u000a +p12918 +tp12919 +a(g144 +g3862 +tp12920 +a(g144 +VGone +p12921 +tp12922 +a(g144 +g3862 +tp12923 +a(g826 +V\u000a +p12924 +tp12925 +a(g7 +Velsif +p12926 +tp12927 +a(g826 +g958 +tp12928 +a(g423 +Vstatus +p12929 +tp12930 +a(g826 +g958 +tp12931 +a(g400 +V== +p12932 +tp12933 +a(g826 +g958 +tp12934 +a(g22 +V411 +p12935 +tp12936 +a(g826 +V\u000a +p12937 +tp12938 +a(g144 +g3862 +tp12939 +a(g144 +VLength Required +p12940 +tp12941 +a(g144 +g3862 +tp12942 +a(g826 +V\u000a +p12943 +tp12944 +a(g7 +Velsif +p12945 +tp12946 +a(g826 +g958 +tp12947 +a(g423 +Vstatus +p12948 +tp12949 +a(g826 +g958 +tp12950 +a(g400 +V== +p12951 +tp12952 +a(g826 +g958 +tp12953 +a(g22 +V412 +p12954 +tp12955 +a(g826 +V\u000a +p12956 +tp12957 +a(g144 +g3862 +tp12958 +a(g144 +VPrecondition Failed +p12959 +tp12960 +a(g144 +g3862 +tp12961 +a(g826 +V\u000a +p12962 +tp12963 +a(g7 +Velsif +p12964 +tp12965 +a(g826 +g958 +tp12966 +a(g423 +Vstatus +p12967 +tp12968 +a(g826 +g958 +tp12969 +a(g400 +V== +p12970 +tp12971 +a(g826 +g958 +tp12972 +a(g22 +V413 +p12973 +tp12974 +a(g826 +V\u000a +p12975 +tp12976 +a(g144 +g3862 +tp12977 +a(g144 +VRequest Entity Too Large +p12978 +tp12979 +a(g144 +g3862 +tp12980 +a(g826 +V\u000a +p12981 +tp12982 +a(g7 +Velsif +p12983 +tp12984 +a(g826 +g958 +tp12985 +a(g423 +Vstatus +p12986 +tp12987 +a(g826 +g958 +tp12988 +a(g400 +V== +p12989 +tp12990 +a(g826 +g958 +tp12991 +a(g22 +V414 +p12992 +tp12993 +a(g826 +V\u000a +p12994 +tp12995 +a(g144 +g3862 +tp12996 +a(g144 +VRequest-URI Too Large +p12997 +tp12998 +a(g144 +g3862 +tp12999 +a(g826 +V\u000a +p13000 +tp13001 +a(g7 +Velsif +p13002 +tp13003 +a(g826 +g958 +tp13004 +a(g423 +Vstatus +p13005 +tp13006 +a(g826 +g958 +tp13007 +a(g400 +V== +p13008 +tp13009 +a(g826 +g958 +tp13010 +a(g22 +V415 +p13011 +tp13012 +a(g826 +V\u000a +p13013 +tp13014 +a(g144 +g3862 +tp13015 +a(g144 +VUnsupported Media Type +p13016 +tp13017 +a(g144 +g3862 +tp13018 +a(g826 +V\u000a +p13019 +tp13020 +a(g7 +Velsif +p13021 +tp13022 +a(g826 +g958 +tp13023 +a(g423 +Vstatus +p13024 +tp13025 +a(g826 +g958 +tp13026 +a(g400 +V== +p13027 +tp13028 +a(g826 +g958 +tp13029 +a(g22 +V416 +p13030 +tp13031 +a(g826 +V\u000a +p13032 +tp13033 +a(g144 +g3862 +tp13034 +a(g144 +VRequested range not satisfiable +p13035 +tp13036 +a(g144 +g3862 +tp13037 +a(g826 +V\u000a +p13038 +tp13039 +a(g7 +Velsif +p13040 +tp13041 +a(g826 +g958 +tp13042 +a(g423 +Vstatus +p13043 +tp13044 +a(g826 +g958 +tp13045 +a(g400 +V== +p13046 +tp13047 +a(g826 +g958 +tp13048 +a(g22 +V417 +p13049 +tp13050 +a(g826 +V\u000a +p13051 +tp13052 +a(g144 +g3862 +tp13053 +a(g144 +VExpectation Failed +p13054 +tp13055 +a(g144 +g3862 +tp13056 +a(g826 +V\u000a +p13057 +tp13058 +a(g7 +Velsif +p13059 +tp13060 +a(g826 +g958 +tp13061 +a(g423 +Vstatus +p13062 +tp13063 +a(g826 +g958 +tp13064 +a(g400 +V== +p13065 +tp13066 +a(g826 +g958 +tp13067 +a(g22 +V500 +p13068 +tp13069 +a(g826 +V\u000a +p13070 +tp13071 +a(g144 +g3862 +tp13072 +a(g144 +VInternal Server Error +p13073 +tp13074 +a(g144 +g3862 +tp13075 +a(g826 +V\u000a +p13076 +tp13077 +a(g7 +Velsif +p13078 +tp13079 +a(g826 +g958 +tp13080 +a(g423 +Vstatus +p13081 +tp13082 +a(g826 +g958 +tp13083 +a(g400 +V== +p13084 +tp13085 +a(g826 +g958 +tp13086 +a(g22 +V501 +p13087 +tp13088 +a(g826 +V\u000a +p13089 +tp13090 +a(g144 +g3862 +tp13091 +a(g144 +VNot Implemented +p13092 +tp13093 +a(g144 +g3862 +tp13094 +a(g826 +V\u000a +p13095 +tp13096 +a(g7 +Velsif +p13097 +tp13098 +a(g826 +g958 +tp13099 +a(g423 +Vstatus +p13100 +tp13101 +a(g826 +g958 +tp13102 +a(g400 +V== +p13103 +tp13104 +a(g826 +g958 +tp13105 +a(g22 +V502 +p13106 +tp13107 +a(g826 +V\u000a +p13108 +tp13109 +a(g144 +g3862 +tp13110 +a(g144 +VBad Gateway +p13111 +tp13112 +a(g144 +g3862 +tp13113 +a(g826 +V\u000a +p13114 +tp13115 +a(g7 +Velsif +p13116 +tp13117 +a(g826 +g958 +tp13118 +a(g423 +Vstatus +p13119 +tp13120 +a(g826 +g958 +tp13121 +a(g400 +V== +p13122 +tp13123 +a(g826 +g958 +tp13124 +a(g22 +V503 +p13125 +tp13126 +a(g826 +V\u000a +p13127 +tp13128 +a(g144 +g3862 +tp13129 +a(g144 +VService Unavailable +p13130 +tp13131 +a(g144 +g3862 +tp13132 +a(g826 +V\u000a +p13133 +tp13134 +a(g7 +Velsif +p13135 +tp13136 +a(g826 +g958 +tp13137 +a(g423 +Vstatus +p13138 +tp13139 +a(g826 +g958 +tp13140 +a(g400 +V== +p13141 +tp13142 +a(g826 +g958 +tp13143 +a(g22 +V504 +p13144 +tp13145 +a(g826 +V\u000a +p13146 +tp13147 +a(g144 +g3862 +tp13148 +a(g144 +VGateway Time-out +p13149 +tp13150 +a(g144 +g3862 +tp13151 +a(g826 +V\u000a +p13152 +tp13153 +a(g7 +Velsif +p13154 +tp13155 +a(g826 +g958 +tp13156 +a(g423 +Vstatus +p13157 +tp13158 +a(g826 +g958 +tp13159 +a(g400 +V== +p13160 +tp13161 +a(g826 +g958 +tp13162 +a(g22 +V505 +p13163 +tp13164 +a(g826 +V\u000a +p13165 +tp13166 +a(g144 +g3862 +tp13167 +a(g144 +VHTTP Version not supported +p13168 +tp13169 +a(g144 +g3862 +tp13170 +a(g826 +V\u000a +p13171 +tp13172 +a(g7 +Velse +p13173 +tp13174 +a(g826 +V\u000a +p13175 +tp13176 +a(g7 +Vraise +p13177 +tp13178 +a(g826 +g958 +tp13179 +a(g144 +g3862 +tp13180 +a(g144 +VUnknown Statuscode. See http://www.w3.org/Protocols/rfc2616/rfc2616-sec6.html +p13181 +tp13182 +a(g144 +g1414 +tp13183 +a(g144 +Vsec6.1 for more information. +p13184 +tp13185 +a(g144 +g3862 +tp13186 +a(g826 +V\u000a +p13187 +tp13188 +a(g7 +Vend +p13189 +tp13190 +a(g826 +V\u000a +p13191 +tp13192 +a(g745 +V# }}} +p13193 +tp13194 +a(g826 +V\u000a +p13195 +tp13196 +a(g7 +Vend +p13197 +tp13198 +a(g826 +V\u000a +p13199 +tp13200 +a(g7 +Vend +p13201 +tp13202 +a(g826 +V\u000a\u000a +p13203 +tp13204 +a(g7 +Vclass +p13205 +tp13206 +a(g826 +g958 +tp13207 +a(g616 +VCookie +p13208 +tp13209 +a(g826 +V\u000a +p13210 +tp13211 +a(g918 +Vattr_reader +p13212 +tp13213 +a(g826 +g958 +tp13214 +a(g104 +V:name +p13215 +tp13216 +a(g693 +g996 +tp13217 +a(g826 +g958 +tp13218 +a(g104 +V:value +p13219 +tp13220 +a(g693 +g996 +tp13221 +a(g826 +g958 +tp13222 +a(g104 +V:maxage +p13223 +tp13224 +a(g693 +g996 +tp13225 +a(g826 +g958 +tp13226 +a(g104 +V:path +p13227 +tp13228 +a(g693 +g996 +tp13229 +a(g826 +g958 +tp13230 +a(g104 +V:domain +p13231 +tp13232 +a(g693 +g996 +tp13233 +a(g826 +g958 +tp13234 +a(g104 +V:secure +p13235 +tp13236 +a(g693 +g996 +tp13237 +a(g826 +g958 +tp13238 +a(g104 +V:comment +p13239 +tp13240 +a(g826 +V\u000a\u000a +p13241 +tp13242 +a(g745 +V# Sets a cookie. Please see below for details of the attributes. +p13243 +tp13244 +a(g826 +V\u000a +p13245 +tp13246 +a(g7 +Vdef +p13247 +tp13248 +a(g826 +g958 +tp13249 +a(g561 +Vinitialize +p13250 +tp13251 +a(g826 +g958 +tp13252 +a(g693 +g1178 +tp13253 +a(g669 +Vname +p13254 +tp13255 +a(g693 +g996 +tp13256 +a(g826 +g958 +tp13257 +a(g423 +Vvalue +p13258 +tp13259 +a(g826 +g958 +tp13260 +a(g400 +g987 +tp13261 +a(g826 +g958 +tp13262 +a(g918 +Vnil +p13263 +tp13264 +a(g693 +g996 +tp13265 +a(g826 +g958 +tp13266 +a(g423 +Vmaxage +p13267 +tp13268 +a(g826 +g958 +tp13269 +a(g400 +g987 +tp13270 +a(g826 +g958 +tp13271 +a(g918 +Vnil +p13272 +tp13273 +a(g693 +g996 +tp13274 +a(g826 +g958 +tp13275 +a(g423 +Vpath +p13276 +tp13277 +a(g826 +g958 +tp13278 +a(g400 +g987 +tp13279 +a(g826 +g958 +tp13280 +a(g918 +Vnil +p13281 +tp13282 +a(g693 +g996 +tp13283 +a(g826 +g958 +tp13284 +a(g423 +Vdomain +p13285 +tp13286 +a(g826 +g958 +tp13287 +a(g400 +g987 +tp13288 +a(g826 +g958 +tp13289 +a(g918 +Vnil +p13290 +tp13291 +a(g693 +g996 +tp13292 +a(g826 +g958 +tp13293 +a(g423 +Vsecure +p13294 +tp13295 +a(g826 +g958 +tp13296 +a(g400 +g987 +tp13297 +a(g826 +g958 +tp13298 +a(g918 +Vfalse +p13299 +tp13300 +a(g693 +g1182 +tp13301 +a(g826 +V\u000a +p13302 +tp13303 +a(g745 +V# {{{ +p13304 +tp13305 +a(g826 +V\u000a +p13306 +tp13307 +a(g745 +V# HTTP headers (Cookies are a HTTP header) can only set, while no content +p13308 +tp13309 +a(g826 +V\u000a +p13310 +tp13311 +a(g745 +V# is send. So an exception will be raised, when @@allowed is set to false +p13312 +tp13313 +a(g826 +V\u000a +p13314 +tp13315 +a(g745 +V# and a new cookie has set. +p13316 +tp13317 +a(g826 +V\u000a +p13318 +tp13319 +a(g7 +Vunless +p13320 +tp13321 +a(g826 +g958 +tp13322 +a(g423 +Vdefined? +p13323 +tp13324 +a(g693 +g1178 +tp13325 +a(g433 +V@@allowed +p13326 +tp13327 +a(g693 +g1182 +tp13328 +a(g826 +V\u000a +p13329 +tp13330 +a(g433 +V@@allowed +p13331 +tp13332 +a(g826 +g958 +tp13333 +a(g400 +g987 +tp13334 +a(g826 +g958 +tp13335 +a(g918 +Vtrue +p13336 +tp13337 +a(g826 +V\u000a +p13338 +tp13339 +a(g7 +Vend +p13340 +tp13341 +a(g826 +V\u000a +p13342 +tp13343 +a(g7 +Vunless +p13344 +tp13345 +a(g826 +g958 +tp13346 +a(g433 +V@@allowed +p13347 +tp13348 +a(g826 +V\u000a +p13349 +tp13350 +a(g7 +Vraise +p13351 +tp13352 +a(g826 +g958 +tp13353 +a(g144 +g3862 +tp13354 +a(g144 +VYou can't set cookies after the HTTP headers are send. +p13355 +tp13356 +a(g144 +g3862 +tp13357 +a(g826 +V\u000a +p13358 +tp13359 +a(g7 +Vend +p13360 +tp13361 +a(g826 +V\u000a\u000a +p13362 +tp13363 +a(g7 +Vunless +p13364 +tp13365 +a(g826 +g958 +tp13366 +a(g423 +Vdefined? +p13367 +tp13368 +a(g693 +g1178 +tp13369 +a(g433 +V@@list +p13370 +tp13371 +a(g693 +g1182 +tp13372 +a(g826 +V\u000a +p13373 +tp13374 +a(g433 +V@@list +p13375 +tp13376 +a(g826 +g958 +tp13377 +a(g400 +g987 +tp13378 +a(g826 +g958 +tp13379 +a(g400 +g990 +tp13380 +a(g400 +g1137 +tp13381 +a(g826 +V\u000a +p13382 +tp13383 +a(g7 +Vend +p13384 +tp13385 +a(g826 +V\u000a +p13386 +tp13387 +a(g433 +V@@list +p13388 +tp13389 +a(g826 +g958 +tp13390 +a(g400 +V+= +p13391 +tp13392 +a(g826 +g958 +tp13393 +a(g400 +g990 +tp13394 +a(g669 +Vself +p13395 +tp13396 +a(g400 +g1137 +tp13397 +a(g826 +V\u000a\u000a +p13398 +tp13399 +a(g7 +Vunless +p13400 +tp13401 +a(g826 +g958 +tp13402 +a(g423 +Vdefined? +p13403 +tp13404 +a(g693 +g1178 +tp13405 +a(g433 +V@@type +p13406 +tp13407 +a(g693 +g1182 +tp13408 +a(g826 +V\u000a +p13409 +tp13410 +a(g433 +V@@type +p13411 +tp13412 +a(g826 +g958 +tp13413 +a(g400 +g987 +tp13414 +a(g826 +g958 +tp13415 +a(g144 +g3862 +tp13416 +a(g144 +Vnetscape +p13417 +tp13418 +a(g144 +g3862 +tp13419 +a(g826 +V\u000a +p13420 +tp13421 +a(g7 +Vend +p13422 +tp13423 +a(g826 +V\u000a\u000a +p13424 +tp13425 +a(g7 +Vunless +p13426 +tp13427 +a(g826 +g958 +tp13428 +a(g669 +Vname +p13429 +tp13430 +a(g400 +g1174 +tp13431 +a(g423 +Vclass +p13432 +tp13433 +a(g826 +g958 +tp13434 +a(g400 +V== +p13435 +tp13436 +a(g826 +g958 +tp13437 +a(g669 +VString +p13438 +tp13439 +a(g826 +V\u000a +p13440 +tp13441 +a(g7 +Vraise +p13442 +tp13443 +a(g826 +g958 +tp13444 +a(g553 +VTypeError +p13445 +tp13446 +a(g693 +g996 +tp13447 +a(g826 +g958 +tp13448 +a(g144 +g3862 +tp13449 +a(g144 +VThe name of a cookie must be a string +p13450 +tp13451 +a(g144 +g3862 +tp13452 +a(g693 +g996 +tp13453 +a(g826 +g958 +tp13454 +a(g669 +Vcaller +p13455 +tp13456 +a(g826 +V\u000a +p13457 +tp13458 +a(g7 +Vend +p13459 +tp13460 +a(g826 +V\u000a +p13461 +tp13462 +a(g7 +Vif +p13463 +tp13464 +a(g826 +g958 +tp13465 +a(g423 +Vvalue +p13466 +tp13467 +a(g400 +g1174 +tp13468 +a(g423 +Vclass +p13469 +tp13470 +a(g400 +g1174 +tp13471 +a(g423 +Vsuperclass +p13472 +tp13473 +a(g826 +g958 +tp13474 +a(g400 +V== +p13475 +tp13476 +a(g826 +g958 +tp13477 +a(g669 +VInteger +p13478 +tp13479 +a(g826 +g958 +tp13480 +a(g400 +V|| +p13481 +tp13482 +a(g826 +g958 +tp13483 +a(g423 +Vvalue +p13484 +tp13485 +a(g400 +g1174 +tp13486 +a(g423 +Vclass +p13487 +tp13488 +a(g826 +g958 +tp13489 +a(g400 +V== +p13490 +tp13491 +a(g826 +g958 +tp13492 +a(g669 +VFloat +p13493 +tp13494 +a(g826 +V\u000a +p13495 +tp13496 +a(g423 +Vvalue +p13497 +tp13498 +a(g826 +g958 +tp13499 +a(g400 +g987 +tp13500 +a(g826 +g958 +tp13501 +a(g423 +Vvalue +p13502 +tp13503 +a(g400 +g1174 +tp13504 +a(g423 +Vto_s +p13505 +tp13506 +a(g826 +V\u000a +p13507 +tp13508 +a(g7 +Velsif +p13509 +tp13510 +a(g826 +g958 +tp13511 +a(g423 +Vvalue +p13512 +tp13513 +a(g400 +g1174 +tp13514 +a(g423 +Vclass +p13515 +tp13516 +a(g826 +g958 +tp13517 +a(g400 +V!= +p13518 +tp13519 +a(g826 +g958 +tp13520 +a(g669 +VString +p13521 +tp13522 +a(g826 +g958 +tp13523 +a(g400 +V&& +p13524 +tp13525 +a(g826 +g958 +tp13526 +a(g423 +Vvalue +p13527 +tp13528 +a(g826 +g958 +tp13529 +a(g400 +V!= +p13530 +tp13531 +a(g826 +g958 +tp13532 +a(g918 +Vnil +p13533 +tp13534 +a(g826 +V\u000a +p13535 +tp13536 +a(g7 +Vraise +p13537 +tp13538 +a(g826 +g958 +tp13539 +a(g553 +VTypeError +p13540 +tp13541 +a(g693 +g996 +tp13542 +a(g826 +g958 +tp13543 +a(g144 +g3862 +tp13544 +a(g144 +VThe value of a cookie must be a string, integer, float or nil +p13545 +tp13546 +a(g144 +g3862 +tp13547 +a(g693 +g996 +tp13548 +a(g826 +g958 +tp13549 +a(g669 +Vcaller +p13550 +tp13551 +a(g826 +V\u000a +p13552 +tp13553 +a(g7 +Vend +p13554 +tp13555 +a(g826 +V\u000a +p13556 +tp13557 +a(g7 +Vif +p13558 +tp13559 +a(g826 +g958 +tp13560 +a(g423 +Vmaxage +p13561 +tp13562 +a(g400 +g1174 +tp13563 +a(g423 +Vclass +p13564 +tp13565 +a(g826 +g958 +tp13566 +a(g400 +V== +p13567 +tp13568 +a(g826 +g958 +tp13569 +a(g553 +VTime +p13570 +tp13571 +a(g826 +V\u000a +p13572 +tp13573 +a(g423 +Vmaxage +p13574 +tp13575 +a(g826 +g958 +tp13576 +a(g400 +g987 +tp13577 +a(g826 +g958 +tp13578 +a(g423 +Vmaxage +p13579 +tp13580 +a(g826 +g958 +tp13581 +a(g400 +g3272 +tp13582 +a(g826 +g958 +tp13583 +a(g553 +VTime +p13584 +tp13585 +a(g400 +g1174 +tp13586 +a(g423 +Vnow +p13587 +tp13588 +a(g826 +V\u000a +p13589 +tp13590 +a(g7 +Velsif +p13591 +tp13592 +a(g826 +g958 +tp13593 +a(g400 +g8609 +tp13594 +a(g423 +Vmaxage +p13595 +tp13596 +a(g400 +g1174 +tp13597 +a(g423 +Vclass +p13598 +tp13599 +a(g400 +g1174 +tp13600 +a(g423 +Vsuperclass +p13601 +tp13602 +a(g826 +g958 +tp13603 +a(g400 +V== +p13604 +tp13605 +a(g826 +g958 +tp13606 +a(g669 +VInteger +p13607 +tp13608 +a(g826 +V +p13609 +tp13610 +a(g400 +V|| +p13611 +tp13612 +a(g826 +g958 +tp13613 +a(g400 +g8609 +tp13614 +a(g423 +Vmaxage +p13615 +tp13616 +a(g826 +g958 +tp13617 +a(g400 +V== +p13618 +tp13619 +a(g826 +g958 +tp13620 +a(g918 +Vnil +p13621 +tp13622 +a(g826 +V\u000a +p13623 +tp13624 +a(g7 +Vraise +p13625 +tp13626 +a(g826 +g958 +tp13627 +a(g553 +VTypeError +p13628 +tp13629 +a(g693 +g996 +tp13630 +a(g826 +g958 +tp13631 +a(g144 +g3862 +tp13632 +a(g144 +VThe maxage date of a cookie must be an Integer or Time object or nil. +p13633 +tp13634 +a(g144 +g3862 +tp13635 +a(g693 +g996 +tp13636 +a(g826 +g958 +tp13637 +a(g669 +Vcaller +p13638 +tp13639 +a(g826 +V\u000a +p13640 +tp13641 +a(g7 +Vend +p13642 +tp13643 +a(g826 +V\u000a +p13644 +tp13645 +a(g7 +Vunless +p13646 +tp13647 +a(g826 +g958 +tp13648 +a(g423 +Vpath +p13649 +tp13650 +a(g400 +g1174 +tp13651 +a(g423 +Vclass +p13652 +tp13653 +a(g826 +g958 +tp13654 +a(g400 +V== +p13655 +tp13656 +a(g826 +g958 +tp13657 +a(g669 +VString +p13658 +tp13659 +a(g826 +V +p13660 +tp13661 +a(g400 +V|| +p13662 +tp13663 +a(g826 +g958 +tp13664 +a(g423 +Vpath +p13665 +tp13666 +a(g826 +g958 +tp13667 +a(g400 +V== +p13668 +tp13669 +a(g826 +g958 +tp13670 +a(g918 +Vnil +p13671 +tp13672 +a(g826 +V\u000a +p13673 +tp13674 +a(g7 +Vraise +p13675 +tp13676 +a(g826 +g958 +tp13677 +a(g553 +VTypeError +p13678 +tp13679 +a(g693 +g996 +tp13680 +a(g826 +g958 +tp13681 +a(g144 +g3862 +tp13682 +a(g144 +VThe path of a cookie must be nil or a string +p13683 +tp13684 +a(g144 +g3862 +tp13685 +a(g693 +g996 +tp13686 +a(g826 +g958 +tp13687 +a(g669 +Vcaller +p13688 +tp13689 +a(g826 +V\u000a +p13690 +tp13691 +a(g7 +Vend +p13692 +tp13693 +a(g826 +V\u000a +p13694 +tp13695 +a(g7 +Vunless +p13696 +tp13697 +a(g826 +g958 +tp13698 +a(g423 +Vdomain +p13699 +tp13700 +a(g400 +g1174 +tp13701 +a(g423 +Vclass +p13702 +tp13703 +a(g826 +g958 +tp13704 +a(g400 +V== +p13705 +tp13706 +a(g826 +g958 +tp13707 +a(g669 +VString +p13708 +tp13709 +a(g826 +V +p13710 +tp13711 +a(g400 +V|| +p13712 +tp13713 +a(g826 +g958 +tp13714 +a(g423 +Vdomain +p13715 +tp13716 +a(g826 +g958 +tp13717 +a(g400 +V== +p13718 +tp13719 +a(g826 +g958 +tp13720 +a(g918 +Vnil +p13721 +tp13722 +a(g826 +V\u000a +p13723 +tp13724 +a(g7 +Vraise +p13725 +tp13726 +a(g826 +g958 +tp13727 +a(g553 +VTypeError +p13728 +tp13729 +a(g693 +g996 +tp13730 +a(g826 +g958 +tp13731 +a(g144 +g3862 +tp13732 +a(g144 +VThe value of a cookie must be nil or a string +p13733 +tp13734 +a(g144 +g3862 +tp13735 +a(g693 +g996 +tp13736 +a(g826 +g958 +tp13737 +a(g669 +Vcaller +p13738 +tp13739 +a(g826 +V\u000a +p13740 +tp13741 +a(g7 +Vend +p13742 +tp13743 +a(g826 +V\u000a +p13744 +tp13745 +a(g7 +Vunless +p13746 +tp13747 +a(g826 +g958 +tp13748 +a(g423 +Vsecure +p13749 +tp13750 +a(g826 +g958 +tp13751 +a(g400 +V== +p13752 +tp13753 +a(g826 +g958 +tp13754 +a(g918 +Vtrue +p13755 +tp13756 +a(g826 +V +p13757 +tp13758 +a(g400 +V|| +p13759 +tp13760 +a(g826 +g958 +tp13761 +a(g423 +Vsecure +p13762 +tp13763 +a(g826 +g958 +tp13764 +a(g400 +V== +p13765 +tp13766 +a(g826 +g958 +tp13767 +a(g918 +Vfalse +p13768 +tp13769 +a(g826 +V\u000a +p13770 +tp13771 +a(g7 +Vraise +p13772 +tp13773 +a(g826 +g958 +tp13774 +a(g553 +VTypeError +p13775 +tp13776 +a(g693 +g996 +tp13777 +a(g826 +g958 +tp13778 +a(g144 +g3862 +tp13779 +a(g144 +VThe secure field of a cookie must be true or false +p13780 +tp13781 +a(g144 +g3862 +tp13782 +a(g693 +g996 +tp13783 +a(g826 +g958 +tp13784 +a(g669 +Vcaller +p13785 +tp13786 +a(g826 +V\u000a +p13787 +tp13788 +a(g7 +Vend +p13789 +tp13790 +a(g826 +V\u000a\u000a +p13791 +tp13792 +a(g449 +V@name +p13793 +tp13794 +a(g693 +g996 +tp13795 +a(g826 +g958 +tp13796 +a(g449 +V@value +p13797 +tp13798 +a(g693 +g996 +tp13799 +a(g826 +g958 +tp13800 +a(g449 +V@maxage +p13801 +tp13802 +a(g693 +g996 +tp13803 +a(g826 +g958 +tp13804 +a(g449 +V@path +p13805 +tp13806 +a(g693 +g996 +tp13807 +a(g826 +g958 +tp13808 +a(g449 +V@domain +p13809 +tp13810 +a(g693 +g996 +tp13811 +a(g826 +g958 +tp13812 +a(g449 +V@secure +p13813 +tp13814 +a(g826 +g958 +tp13815 +a(g400 +g987 +tp13816 +a(g826 +g958 +tp13817 +a(g669 +Vname +p13818 +tp13819 +a(g693 +g996 +tp13820 +a(g826 +g958 +tp13821 +a(g423 +Vvalue +p13822 +tp13823 +a(g693 +g996 +tp13824 +a(g826 +g958 +tp13825 +a(g423 +Vmaxage +p13826 +tp13827 +a(g693 +g996 +tp13828 +a(g826 +g958 +tp13829 +a(g423 +Vpath +p13830 +tp13831 +a(g693 +g996 +tp13832 +a(g826 +g958 +tp13833 +a(g423 +Vdomain +p13834 +tp13835 +a(g693 +g996 +tp13836 +a(g826 +g958 +tp13837 +a(g423 +Vsecure +p13838 +tp13839 +a(g826 +V\u000a +p13840 +tp13841 +a(g449 +V@comment +p13842 +tp13843 +a(g826 +g958 +tp13844 +a(g400 +g987 +tp13845 +a(g826 +g958 +tp13846 +a(g918 +Vnil +p13847 +tp13848 +a(g826 +V\u000a +p13849 +tp13850 +a(g745 +V# }}} +p13851 +tp13852 +a(g826 +V\u000a +p13853 +tp13854 +a(g7 +Vend +p13855 +tp13856 +a(g826 +V\u000a\u000a +p13857 +tp13858 +a(g745 +V# Modifies the value of this cookie. The information you want to store. If the +p13859 +tp13860 +a(g826 +V\u000a +p13861 +tp13862 +a(g745 +V# value is nil, the cookie will be deleted by the client. +p13863 +tp13864 +a(g826 +V\u000a +p13865 +tp13866 +a(g745 +g1414 +tp13867 +a(g826 +V\u000a +p13868 +tp13869 +a(g745 +V# This attribute can be a String, Integer or Float object or nil. +p13870 +tp13871 +a(g826 +V\u000a +p13872 +tp13873 +a(g7 +Vdef +p13874 +tp13875 +a(g826 +g958 +tp13876 +a(g561 +Vvalue +p13877 +tp13878 +a(g400 +g987 +tp13879 +a(g693 +g1178 +tp13880 +a(g423 +Vvalue +p13881 +tp13882 +a(g693 +g1182 +tp13883 +a(g826 +V\u000a +p13884 +tp13885 +a(g745 +V# {{{ +p13886 +tp13887 +a(g826 +V\u000a +p13888 +tp13889 +a(g7 +Vif +p13890 +tp13891 +a(g826 +g958 +tp13892 +a(g423 +Vvalue +p13893 +tp13894 +a(g400 +g1174 +tp13895 +a(g423 +Vclass +p13896 +tp13897 +a(g400 +g1174 +tp13898 +a(g423 +Vsuperclass +p13899 +tp13900 +a(g826 +g958 +tp13901 +a(g400 +V== +p13902 +tp13903 +a(g826 +g958 +tp13904 +a(g669 +VInteger +p13905 +tp13906 +a(g826 +g958 +tp13907 +a(g400 +V|| +p13908 +tp13909 +a(g826 +g958 +tp13910 +a(g423 +Vvalue +p13911 +tp13912 +a(g400 +g1174 +tp13913 +a(g423 +Vclass +p13914 +tp13915 +a(g826 +g958 +tp13916 +a(g400 +V== +p13917 +tp13918 +a(g826 +g958 +tp13919 +a(g669 +VFloat +p13920 +tp13921 +a(g826 +V\u000a +p13922 +tp13923 +a(g423 +Vvalue +p13924 +tp13925 +a(g826 +g958 +tp13926 +a(g400 +g987 +tp13927 +a(g826 +g958 +tp13928 +a(g423 +Vvalue +p13929 +tp13930 +a(g400 +g1174 +tp13931 +a(g423 +Vto_s +p13932 +tp13933 +a(g826 +V\u000a +p13934 +tp13935 +a(g7 +Velsif +p13936 +tp13937 +a(g826 +g958 +tp13938 +a(g423 +Vvalue +p13939 +tp13940 +a(g400 +g1174 +tp13941 +a(g423 +Vclass +p13942 +tp13943 +a(g826 +g958 +tp13944 +a(g400 +V!= +p13945 +tp13946 +a(g826 +g958 +tp13947 +a(g669 +VString +p13948 +tp13949 +a(g826 +g958 +tp13950 +a(g400 +V&& +p13951 +tp13952 +a(g826 +g958 +tp13953 +a(g423 +Vvalue +p13954 +tp13955 +a(g826 +g958 +tp13956 +a(g400 +V!= +p13957 +tp13958 +a(g826 +g958 +tp13959 +a(g918 +Vnil +p13960 +tp13961 +a(g826 +V\u000a +p13962 +tp13963 +a(g7 +Vraise +p13964 +tp13965 +a(g826 +g958 +tp13966 +a(g553 +VTypeError +p13967 +tp13968 +a(g693 +g996 +tp13969 +a(g826 +g958 +tp13970 +a(g144 +g3862 +tp13971 +a(g144 +VThe value of a cookie must be a string, integer, float or nil +p13972 +tp13973 +a(g144 +g3862 +tp13974 +a(g693 +g996 +tp13975 +a(g826 +g958 +tp13976 +a(g669 +Vcaller +p13977 +tp13978 +a(g826 +V\u000a +p13979 +tp13980 +a(g7 +Vend +p13981 +tp13982 +a(g826 +V\u000a +p13983 +tp13984 +a(g449 +V@value +p13985 +tp13986 +a(g826 +g958 +tp13987 +a(g400 +g987 +tp13988 +a(g826 +g958 +tp13989 +a(g423 +Vvalue +p13990 +tp13991 +a(g826 +V\u000a +p13992 +tp13993 +a(g745 +V# }}} +p13994 +tp13995 +a(g826 +V\u000a +p13996 +tp13997 +a(g7 +Vend +p13998 +tp13999 +a(g826 +V\u000a\u000a +p14000 +tp14001 +a(g745 +V# Modifies the maxage of this cookie. This attribute defines the lifetime of +p14002 +tp14003 +a(g826 +V\u000a +p14004 +tp14005 +a(g745 +V# the cookie, in seconds. A value of 0 means the cookie should be discarded +p14006 +tp14007 +a(g826 +V\u000a +p14008 +tp14009 +a(g745 +V# imediatly. If it set to nil, the cookie will be deleted when the browser +p14010 +tp14011 +a(g826 +V\u000a +p14012 +tp14013 +a(g745 +V# will be closed. +p14014 +tp14015 +a(g826 +V\u000a +p14016 +tp14017 +a(g745 +g1414 +tp14018 +a(g826 +V\u000a +p14019 +tp14020 +a(g745 +V# Attention: This is different from other implementations like PHP, where you +p14021 +tp14022 +a(g826 +V\u000a +p14023 +tp14024 +a(g745 +V# gives the seconds since 1/1/1970 0:00:00 GMT. +p14025 +tp14026 +a(g826 +V\u000a +p14027 +tp14028 +a(g745 +g1414 +tp14029 +a(g826 +V\u000a +p14030 +tp14031 +a(g745 +V# This attribute must be an Integer or Time object or nil. +p14032 +tp14033 +a(g826 +V\u000a +p14034 +tp14035 +a(g7 +Vdef +p14036 +tp14037 +a(g826 +g958 +tp14038 +a(g561 +Vmaxage +p14039 +tp14040 +a(g400 +g987 +tp14041 +a(g693 +g1178 +tp14042 +a(g423 +Vmaxage +p14043 +tp14044 +a(g693 +g1182 +tp14045 +a(g826 +V\u000a +p14046 +tp14047 +a(g745 +V# {{{ +p14048 +tp14049 +a(g826 +V\u000a +p14050 +tp14051 +a(g7 +Vif +p14052 +tp14053 +a(g826 +g958 +tp14054 +a(g423 +Vmaxage +p14055 +tp14056 +a(g400 +g1174 +tp14057 +a(g423 +Vclass +p14058 +tp14059 +a(g826 +g958 +tp14060 +a(g400 +V== +p14061 +tp14062 +a(g826 +g958 +tp14063 +a(g553 +VTime +p14064 +tp14065 +a(g826 +V\u000a +p14066 +tp14067 +a(g423 +Vmaxage +p14068 +tp14069 +a(g826 +g958 +tp14070 +a(g400 +g987 +tp14071 +a(g826 +g958 +tp14072 +a(g423 +Vmaxage +p14073 +tp14074 +a(g826 +g958 +tp14075 +a(g400 +g3272 +tp14076 +a(g826 +g958 +tp14077 +a(g553 +VTime +p14078 +tp14079 +a(g400 +g1174 +tp14080 +a(g423 +Vnow +p14081 +tp14082 +a(g826 +V\u000a +p14083 +tp14084 +a(g7 +Velsif +p14085 +tp14086 +a(g826 +g958 +tp14087 +a(g423 +Vmaxage +p14088 +tp14089 +a(g400 +g1174 +tp14090 +a(g423 +Vclass +p14091 +tp14092 +a(g400 +g1174 +tp14093 +a(g423 +Vsuperclass +p14094 +tp14095 +a(g826 +g958 +tp14096 +a(g400 +V== +p14097 +tp14098 +a(g826 +g958 +tp14099 +a(g669 +VInteger +p14100 +tp14101 +a(g826 +V +p14102 +tp14103 +a(g400 +V|| +p14104 +tp14105 +a(g826 +g958 +tp14106 +a(g400 +g8609 +tp14107 +a(g423 +Vmaxage +p14108 +tp14109 +a(g826 +g958 +tp14110 +a(g400 +V== +p14111 +tp14112 +a(g826 +g958 +tp14113 +a(g918 +Vnil +p14114 +tp14115 +a(g826 +V\u000a +p14116 +tp14117 +a(g7 +Vraise +p14118 +tp14119 +a(g826 +g958 +tp14120 +a(g553 +VTypeError +p14121 +tp14122 +a(g693 +g996 +tp14123 +a(g826 +g958 +tp14124 +a(g144 +g3862 +tp14125 +a(g144 +VThe maxage of a cookie must be an Interger or Time object or nil. +p14126 +tp14127 +a(g144 +g3862 +tp14128 +a(g693 +g996 +tp14129 +a(g826 +g958 +tp14130 +a(g669 +Vcaller +p14131 +tp14132 +a(g826 +V\u000a +p14133 +tp14134 +a(g7 +Vend +p14135 +tp14136 +a(g826 +V\u000a +p14137 +tp14138 +a(g449 +V@maxage +p14139 +tp14140 +a(g826 +g958 +tp14141 +a(g400 +g987 +tp14142 +a(g826 +g958 +tp14143 +a(g423 +Vmaxage +p14144 +tp14145 +a(g826 +V\u000a +p14146 +tp14147 +a(g745 +V# }}} +p14148 +tp14149 +a(g826 +V\u000a +p14150 +tp14151 +a(g7 +Vend +p14152 +tp14153 +a(g826 +V\u000a\u000a +p14154 +tp14155 +a(g745 +V# Modifies the path value of this cookie. The client will send this cookie +p14156 +tp14157 +a(g826 +V\u000a +p14158 +tp14159 +a(g745 +V# only, if the requested document is this directory or a subdirectory of it. +p14160 +tp14161 +a(g826 +V\u000a +p14162 +tp14163 +a(g745 +g1414 +tp14164 +a(g826 +V\u000a +p14165 +tp14166 +a(g745 +V# The value of the attribute must be a String object or nil. +p14167 +tp14168 +a(g826 +V\u000a +p14169 +tp14170 +a(g7 +Vdef +p14171 +tp14172 +a(g826 +g958 +tp14173 +a(g561 +Vpath +p14174 +tp14175 +a(g400 +g987 +tp14176 +a(g693 +g1178 +tp14177 +a(g423 +Vpath +p14178 +tp14179 +a(g693 +g1182 +tp14180 +a(g826 +V\u000a +p14181 +tp14182 +a(g745 +V# {{{ +p14183 +tp14184 +a(g826 +V\u000a +p14185 +tp14186 +a(g7 +Vunless +p14187 +tp14188 +a(g826 +g958 +tp14189 +a(g423 +Vpath +p14190 +tp14191 +a(g400 +g1174 +tp14192 +a(g423 +Vclass +p14193 +tp14194 +a(g826 +g958 +tp14195 +a(g400 +V== +p14196 +tp14197 +a(g826 +g958 +tp14198 +a(g669 +VString +p14199 +tp14200 +a(g826 +V +p14201 +tp14202 +a(g400 +V|| +p14203 +tp14204 +a(g826 +g958 +tp14205 +a(g423 +Vpath +p14206 +tp14207 +a(g826 +g958 +tp14208 +a(g400 +V== +p14209 +tp14210 +a(g826 +g958 +tp14211 +a(g918 +Vnil +p14212 +tp14213 +a(g826 +V\u000a +p14214 +tp14215 +a(g7 +Vraise +p14216 +tp14217 +a(g826 +g958 +tp14218 +a(g553 +VTypeError +p14219 +tp14220 +a(g693 +g996 +tp14221 +a(g826 +g958 +tp14222 +a(g144 +g3862 +tp14223 +a(g144 +VThe path of a cookie must be nil or a string +p14224 +tp14225 +a(g144 +g3862 +tp14226 +a(g693 +g996 +tp14227 +a(g826 +g958 +tp14228 +a(g669 +Vcaller +p14229 +tp14230 +a(g826 +V\u000a +p14231 +tp14232 +a(g7 +Vend +p14233 +tp14234 +a(g826 +V\u000a +p14235 +tp14236 +a(g449 +V@path +p14237 +tp14238 +a(g826 +g958 +tp14239 +a(g400 +g987 +tp14240 +a(g826 +g958 +tp14241 +a(g423 +Vpath +p14242 +tp14243 +a(g826 +V\u000a +p14244 +tp14245 +a(g745 +V# }}} +p14246 +tp14247 +a(g826 +V\u000a +p14248 +tp14249 +a(g7 +Vend +p14250 +tp14251 +a(g826 +V\u000a\u000a +p14252 +tp14253 +a(g745 +V# Modifies the domain value of this cookie. The client will send this cookie +p14254 +tp14255 +a(g826 +V\u000a +p14256 +tp14257 +a(g745 +V# only if it's connected with this domain (or a subdomain, if the first +p14258 +tp14259 +a(g826 +V\u000a +p14260 +tp14261 +a(g745 +V# character is a dot like in ".ruby-lang.org") +p14262 +tp14263 +a(g826 +V\u000a +p14264 +tp14265 +a(g745 +g1414 +tp14266 +a(g826 +V\u000a +p14267 +tp14268 +a(g745 +V# The value of this attribute must be a String or nil. +p14269 +tp14270 +a(g826 +V\u000a +p14271 +tp14272 +a(g7 +Vdef +p14273 +tp14274 +a(g826 +g958 +tp14275 +a(g561 +Vdomain +p14276 +tp14277 +a(g400 +g987 +tp14278 +a(g693 +g1178 +tp14279 +a(g423 +Vdomain +p14280 +tp14281 +a(g693 +g1182 +tp14282 +a(g826 +V\u000a +p14283 +tp14284 +a(g745 +V# {{{ +p14285 +tp14286 +a(g826 +V\u000a +p14287 +tp14288 +a(g7 +Vunless +p14289 +tp14290 +a(g826 +g958 +tp14291 +a(g423 +Vdomain +p14292 +tp14293 +a(g400 +g1174 +tp14294 +a(g423 +Vclass +p14295 +tp14296 +a(g826 +g958 +tp14297 +a(g400 +V== +p14298 +tp14299 +a(g826 +g958 +tp14300 +a(g669 +VString +p14301 +tp14302 +a(g826 +V +p14303 +tp14304 +a(g400 +V|| +p14305 +tp14306 +a(g826 +g958 +tp14307 +a(g423 +Vdomain +p14308 +tp14309 +a(g826 +g958 +tp14310 +a(g400 +V== +p14311 +tp14312 +a(g826 +g958 +tp14313 +a(g918 +Vnil +p14314 +tp14315 +a(g826 +V\u000a +p14316 +tp14317 +a(g7 +Vraise +p14318 +tp14319 +a(g826 +g958 +tp14320 +a(g553 +VTypeError +p14321 +tp14322 +a(g693 +g996 +tp14323 +a(g826 +g958 +tp14324 +a(g144 +g3862 +tp14325 +a(g144 +VThe domain of a cookie must be a String or nil. +p14326 +tp14327 +a(g144 +g3862 +tp14328 +a(g693 +g996 +tp14329 +a(g826 +g958 +tp14330 +a(g669 +Vcaller +p14331 +tp14332 +a(g826 +V\u000a +p14333 +tp14334 +a(g7 +Vend +p14335 +tp14336 +a(g826 +V\u000a +p14337 +tp14338 +a(g449 +V@domain +p14339 +tp14340 +a(g826 +g958 +tp14341 +a(g400 +g987 +tp14342 +a(g826 +g958 +tp14343 +a(g423 +Vdomain +p14344 +tp14345 +a(g826 +V\u000a +p14346 +tp14347 +a(g745 +V# }}} +p14348 +tp14349 +a(g826 +V\u000a +p14350 +tp14351 +a(g7 +Vend +p14352 +tp14353 +a(g826 +V\u000a\u000a +p14354 +tp14355 +a(g745 +V# Modifies the secure flag of this cookie. If it's true, the client will only +p14356 +tp14357 +a(g826 +V\u000a +p14358 +tp14359 +a(g745 +V# send this cookie if it is secured connected with us. +p14360 +tp14361 +a(g826 +V\u000a +p14362 +tp14363 +a(g745 +g1414 +tp14364 +a(g826 +V\u000a +p14365 +tp14366 +a(g745 +V# The value od this attribute has to be true or false. +p14367 +tp14368 +a(g826 +V\u000a +p14369 +tp14370 +a(g7 +Vdef +p14371 +tp14372 +a(g826 +g958 +tp14373 +a(g561 +Vsecure +p14374 +tp14375 +a(g400 +g987 +tp14376 +a(g693 +g1178 +tp14377 +a(g423 +Vsecure +p14378 +tp14379 +a(g693 +g1182 +tp14380 +a(g826 +V\u000a +p14381 +tp14382 +a(g745 +V# {{{ +p14383 +tp14384 +a(g826 +V\u000a +p14385 +tp14386 +a(g7 +Vunless +p14387 +tp14388 +a(g826 +g958 +tp14389 +a(g423 +Vsecure +p14390 +tp14391 +a(g826 +g958 +tp14392 +a(g400 +V== +p14393 +tp14394 +a(g826 +g958 +tp14395 +a(g918 +Vtrue +p14396 +tp14397 +a(g826 +V +p14398 +tp14399 +a(g400 +V|| +p14400 +tp14401 +a(g826 +g958 +tp14402 +a(g423 +Vsecure +p14403 +tp14404 +a(g826 +g958 +tp14405 +a(g400 +V== +p14406 +tp14407 +a(g826 +g958 +tp14408 +a(g918 +Vfalse +p14409 +tp14410 +a(g826 +V\u000a +p14411 +tp14412 +a(g7 +Vraise +p14413 +tp14414 +a(g826 +g958 +tp14415 +a(g553 +VTypeError +p14416 +tp14417 +a(g693 +g996 +tp14418 +a(g826 +g958 +tp14419 +a(g144 +g3862 +tp14420 +a(g144 +VThe secure field of a cookie must be true or false +p14421 +tp14422 +a(g144 +g3862 +tp14423 +a(g693 +g996 +tp14424 +a(g826 +g958 +tp14425 +a(g669 +Vcaller +p14426 +tp14427 +a(g826 +V\u000a +p14428 +tp14429 +a(g7 +Vend +p14430 +tp14431 +a(g826 +V\u000a +p14432 +tp14433 +a(g449 +V@secure +p14434 +tp14435 +a(g826 +g958 +tp14436 +a(g400 +g987 +tp14437 +a(g826 +g958 +tp14438 +a(g423 +Vsecure +p14439 +tp14440 +a(g826 +V\u000a +p14441 +tp14442 +a(g745 +V# }}} +p14443 +tp14444 +a(g826 +V\u000a +p14445 +tp14446 +a(g7 +Vend +p14447 +tp14448 +a(g826 +V\u000a\u000a +p14449 +tp14450 +a(g745 +V# Modifies the comment value of this cookie. The comment won't be send, if +p14451 +tp14452 +a(g826 +V\u000a +p14453 +tp14454 +a(g745 +V# type is "netscape". +p14455 +tp14456 +a(g826 +V\u000a +p14457 +tp14458 +a(g7 +Vdef +p14459 +tp14460 +a(g826 +g958 +tp14461 +a(g561 +Vcomment +p14462 +tp14463 +a(g400 +g987 +tp14464 +a(g693 +g1178 +tp14465 +a(g423 +Vcomment +p14466 +tp14467 +a(g693 +g1182 +tp14468 +a(g826 +V\u000a +p14469 +tp14470 +a(g745 +V# {{{ +p14471 +tp14472 +a(g826 +V\u000a +p14473 +tp14474 +a(g7 +Vunless +p14475 +tp14476 +a(g826 +g958 +tp14477 +a(g423 +Vcomment +p14478 +tp14479 +a(g400 +g1174 +tp14480 +a(g423 +Vclass +p14481 +tp14482 +a(g826 +g958 +tp14483 +a(g400 +V== +p14484 +tp14485 +a(g826 +g958 +tp14486 +a(g669 +VString +p14487 +tp14488 +a(g826 +g958 +tp14489 +a(g400 +V|| +p14490 +tp14491 +a(g826 +g958 +tp14492 +a(g423 +Vcomment +p14493 +tp14494 +a(g826 +g958 +tp14495 +a(g400 +V== +p14496 +tp14497 +a(g826 +g958 +tp14498 +a(g918 +Vnil +p14499 +tp14500 +a(g826 +V\u000a +p14501 +tp14502 +a(g7 +Vraise +p14503 +tp14504 +a(g826 +g958 +tp14505 +a(g553 +VTypeError +p14506 +tp14507 +a(g693 +g996 +tp14508 +a(g826 +g958 +tp14509 +a(g144 +g3862 +tp14510 +a(g144 +VThe comment of a cookie must be a string or nil +p14511 +tp14512 +a(g144 +g3862 +tp14513 +a(g693 +g996 +tp14514 +a(g826 +g958 +tp14515 +a(g669 +Vcaller +p14516 +tp14517 +a(g826 +V\u000a +p14518 +tp14519 +a(g7 +Vend +p14520 +tp14521 +a(g826 +V\u000a +p14522 +tp14523 +a(g449 +V@comment +p14524 +tp14525 +a(g826 +g958 +tp14526 +a(g400 +g987 +tp14527 +a(g826 +g958 +tp14528 +a(g423 +Vcomment +p14529 +tp14530 +a(g826 +V\u000a +p14531 +tp14532 +a(g745 +V# }}} +p14533 +tp14534 +a(g826 +V\u000a +p14535 +tp14536 +a(g7 +Vend +p14537 +tp14538 +a(g826 +V\u000a\u000a +p14539 +tp14540 +a(g745 +V# Changes the type of all cookies. +p14541 +tp14542 +a(g826 +V\u000a +p14543 +tp14544 +a(g745 +V# Allowed values are RFC2109 and netscape (default). +p14545 +tp14546 +a(g826 +V\u000a +p14547 +tp14548 +a(g7 +Vdef +p14549 +tp14550 +a(g826 +g958 +tp14551 +a(g616 +VCookie +p14552 +tp14553 +a(g400 +g1174 +tp14554 +a(g561 +Vtype +p14555 +tp14556 +a(g400 +g987 +tp14557 +a(g693 +g1178 +tp14558 +a(g423 +Vtype +p14559 +tp14560 +a(g693 +g1182 +tp14561 +a(g826 +V\u000a +p14562 +tp14563 +a(g745 +V# {{{ +p14564 +tp14565 +a(g826 +V\u000a +p14566 +tp14567 +a(g7 +Vunless +p14568 +tp14569 +a(g826 +g958 +tp14570 +a(g433 +V@@allowed +p14571 +tp14572 +a(g826 +V\u000a +p14573 +tp14574 +a(g7 +Vraise +p14575 +tp14576 +a(g826 +g958 +tp14577 +a(g144 +g3862 +tp14578 +a(g144 +VThe cookies are allready send, so you can't change the type anymore. +p14579 +tp14580 +a(g144 +g3862 +tp14581 +a(g826 +V\u000a +p14582 +tp14583 +a(g7 +Vend +p14584 +tp14585 +a(g826 +V\u000a +p14586 +tp14587 +a(g7 +Vunless +p14588 +tp14589 +a(g826 +g958 +tp14590 +a(g423 +Vtype +p14591 +tp14592 +a(g400 +g1174 +tp14593 +a(g423 +Vdowncase +p14594 +tp14595 +a(g826 +g958 +tp14596 +a(g400 +V== +p14597 +tp14598 +a(g826 +g958 +tp14599 +a(g144 +g3862 +tp14600 +a(g144 +Vrfc2109 +p14601 +tp14602 +a(g144 +g3862 +tp14603 +a(g826 +g958 +tp14604 +a(g400 +V&& +p14605 +tp14606 +a(g826 +g958 +tp14607 +a(g423 +Vtype +p14608 +tp14609 +a(g400 +g1174 +tp14610 +a(g423 +Vdowncase +p14611 +tp14612 +a(g826 +g958 +tp14613 +a(g400 +V== +p14614 +tp14615 +a(g826 +g958 +tp14616 +a(g144 +g3862 +tp14617 +a(g144 +Vnetscape +p14618 +tp14619 +a(g144 +g3862 +tp14620 +a(g826 +V\u000a +p14621 +tp14622 +a(g7 +Vraise +p14623 +tp14624 +a(g826 +g958 +tp14625 +a(g144 +g3862 +tp14626 +a(g144 +VThe type of the cookies must be +p14627 +tp14628 +a(g200 +V\u005c" +p14629 +tp14630 +a(g144 +VRFC2109 +p14631 +tp14632 +a(g200 +V\u005c" +p14633 +tp14634 +a(g144 +V or +p14635 +tp14636 +a(g200 +V\u005c" +p14637 +tp14638 +a(g144 +Vnetscape +p14639 +tp14640 +a(g200 +V\u005c" +p14641 +tp14642 +a(g144 +g1174 +tp14643 +a(g144 +g3862 +tp14644 +a(g826 +V\u000a +p14645 +tp14646 +a(g7 +Vend +p14647 +tp14648 +a(g826 +V\u000a +p14649 +tp14650 +a(g433 +V@@type +p14651 +tp14652 +a(g826 +g958 +tp14653 +a(g400 +g987 +tp14654 +a(g826 +g958 +tp14655 +a(g423 +Vtype +p14656 +tp14657 +a(g693 +g7845 +tp14658 +a(g826 +V\u000a +p14659 +tp14660 +a(g745 +V# }}} +p14661 +tp14662 +a(g826 +V\u000a +p14663 +tp14664 +a(g7 +Vend +p14665 +tp14666 +a(g826 +V\u000a\u000a +p14667 +tp14668 +a(g745 +V# After sending this message, no cookies can be set or modified. Use it, when +p14669 +tp14670 +a(g826 +V\u000a +p14671 +tp14672 +a(g745 +V# HTTP-Headers are send. Rweb does this for you. +p14673 +tp14674 +a(g826 +V\u000a +p14675 +tp14676 +a(g7 +Vdef +p14677 +tp14678 +a(g826 +g958 +tp14679 +a(g616 +VCookie +p14680 +tp14681 +a(g400 +g1174 +tp14682 +a(g561 +Vdisallow +p14683 +tp14684 +a(g826 +V\u000a +p14685 +tp14686 +a(g745 +V# {{{ +p14687 +tp14688 +a(g826 +V\u000a +p14689 +tp14690 +a(g433 +V@@allowed +p14691 +tp14692 +a(g826 +g958 +tp14693 +a(g400 +g987 +tp14694 +a(g826 +g958 +tp14695 +a(g918 +Vfalse +p14696 +tp14697 +a(g826 +V\u000a +p14698 +tp14699 +a(g918 +Vtrue +p14700 +tp14701 +a(g826 +V\u000a +p14702 +tp14703 +a(g745 +V# }}} +p14704 +tp14705 +a(g826 +V\u000a +p14706 +tp14707 +a(g7 +Vend +p14708 +tp14709 +a(g826 +V\u000a\u000a +p14710 +tp14711 +a(g745 +V# Returns a HTTP header (type String) with all cookies. Rweb does this for +p14712 +tp14713 +a(g826 +V\u000a +p14714 +tp14715 +a(g745 +V# you. +p14716 +tp14717 +a(g826 +V\u000a +p14718 +tp14719 +a(g7 +Vdef +p14720 +tp14721 +a(g826 +g958 +tp14722 +a(g616 +VCookie +p14723 +tp14724 +a(g400 +g1174 +tp14725 +a(g561 +VgetHttpHeader +p14726 +tp14727 +a(g826 +V\u000a +p14728 +tp14729 +a(g745 +V# {{{ +p14730 +tp14731 +a(g826 +V\u000a +p14732 +tp14733 +a(g7 +Vif +p14734 +tp14735 +a(g826 +g958 +tp14736 +a(g423 +Vdefined? +p14737 +tp14738 +a(g693 +g1178 +tp14739 +a(g433 +V@@list +p14740 +tp14741 +a(g693 +g1182 +tp14742 +a(g826 +V\u000a +p14743 +tp14744 +a(g7 +Vif +p14745 +tp14746 +a(g826 +g958 +tp14747 +a(g433 +V@@type +p14748 +tp14749 +a(g826 +g958 +tp14750 +a(g400 +V== +p14751 +tp14752 +a(g826 +g958 +tp14753 +a(g144 +g3862 +tp14754 +a(g144 +Vnetscape +p14755 +tp14756 +a(g144 +g3862 +tp14757 +a(g826 +V\u000a +p14758 +tp14759 +a(g423 +Vstr +p14760 +tp14761 +a(g826 +g958 +tp14762 +a(g400 +g987 +tp14763 +a(g826 +g958 +tp14764 +a(g144 +g3862 +tp14765 +a(g144 +g3862 +tp14766 +a(g826 +V\u000a +p14767 +tp14768 +a(g433 +V@@list +p14769 +tp14770 +a(g400 +g1174 +tp14771 +a(g423 +Veach +p14772 +tp14773 +a(g826 +g958 +tp14774 +a(g7 +Vdo +p14775 +tp14776 +a(g826 +g958 +tp14777 +a(g400 +g1824 +tp14778 +a(g423 +Vcookie +p14779 +tp14780 +a(g400 +g1824 +tp14781 +a(g826 +V\u000a +p14782 +tp14783 +a(g7 +Vif +p14784 +tp14785 +a(g826 +g958 +tp14786 +a(g423 +Vcookie +p14787 +tp14788 +a(g400 +g1174 +tp14789 +a(g423 +Vvalue +p14790 +tp14791 +a(g826 +g958 +tp14792 +a(g400 +V== +p14793 +tp14794 +a(g826 +g958 +tp14795 +a(g918 +Vnil +p14796 +tp14797 +a(g826 +V\u000a +p14798 +tp14799 +a(g423 +Vcookie +p14800 +tp14801 +a(g400 +g1174 +tp14802 +a(g423 +Vmaxage +p14803 +tp14804 +a(g826 +g958 +tp14805 +a(g400 +g987 +tp14806 +a(g826 +g958 +tp14807 +a(g22 +g8477 +tp14808 +a(g826 +V\u000a +p14809 +tp14810 +a(g423 +Vcookie +p14811 +tp14812 +a(g400 +g1174 +tp14813 +a(g423 +Vvalue +p14814 +tp14815 +a(g826 +g958 +tp14816 +a(g400 +g987 +tp14817 +a(g826 +g958 +tp14818 +a(g144 +g3862 +tp14819 +a(g144 +g3862 +tp14820 +a(g826 +V\u000a +p14821 +tp14822 +a(g7 +Vend +p14823 +tp14824 +a(g826 +V\u000a +p14825 +tp14826 +a(g745 +V# TODO: Name and value should be escaped! +p14827 +tp14828 +a(g826 +V\u000a +p14829 +tp14830 +a(g423 +Vstr +p14831 +tp14832 +a(g826 +g958 +tp14833 +a(g400 +V+= +p14834 +tp14835 +a(g826 +g958 +tp14836 +a(g144 +g3862 +tp14837 +a(g144 +VSet-Cookie: +p14838 +tp14839 +a(g112 +V#{ +p14840 +tp14841 +a(g423 +Vcookie +p14842 +tp14843 +a(g400 +g1174 +tp14844 +a(g423 +Vname +p14845 +tp14846 +a(g112 +g1391 +tp14847 +a(g144 +g987 +tp14848 +a(g112 +V#{ +p14849 +tp14850 +a(g423 +Vcookie +p14851 +tp14852 +a(g400 +g1174 +tp14853 +a(g423 +Vvalue +p14854 +tp14855 +a(g112 +g1391 +tp14856 +a(g144 +g3862 +tp14857 +a(g826 +V\u000a +p14858 +tp14859 +a(g7 +Vunless +p14860 +tp14861 +a(g826 +g958 +tp14862 +a(g423 +Vcookie +p14863 +tp14864 +a(g400 +g1174 +tp14865 +a(g423 +Vmaxage +p14866 +tp14867 +a(g826 +g958 +tp14868 +a(g400 +V== +p14869 +tp14870 +a(g826 +g958 +tp14871 +a(g918 +Vnil +p14872 +tp14873 +a(g826 +V\u000a +p14874 +tp14875 +a(g423 +Vexpire +p14876 +tp14877 +a(g826 +g958 +tp14878 +a(g400 +g987 +tp14879 +a(g826 +g958 +tp14880 +a(g553 +VTime +p14881 +tp14882 +a(g400 +g1174 +tp14883 +a(g423 +Vnow +p14884 +tp14885 +a(g826 +g958 +tp14886 +a(g400 +g5243 +tp14887 +a(g826 +g958 +tp14888 +a(g423 +Vcookie +p14889 +tp14890 +a(g400 +g1174 +tp14891 +a(g423 +Vmaxage +p14892 +tp14893 +a(g826 +V\u000a +p14894 +tp14895 +a(g423 +Vexpire +p14896 +tp14897 +a(g400 +g1174 +tp14898 +a(g423 +Vgmtime +p14899 +tp14900 +a(g826 +V\u000a +p14901 +tp14902 +a(g423 +Vstr +p14903 +tp14904 +a(g826 +g958 +tp14905 +a(g400 +V+= +p14906 +tp14907 +a(g826 +g958 +tp14908 +a(g144 +g3862 +tp14909 +a(g144 +V; Expire= +p14910 +tp14911 +a(g112 +V#{ +p14912 +tp14913 +a(g423 +Vexpire +p14914 +tp14915 +a(g400 +g1174 +tp14916 +a(g423 +Vstrftime +p14917 +tp14918 +a(g693 +g1178 +tp14919 +a(g144 +g3862 +tp14920 +a(g144 +V%a, %d-%b-%Y %H:%M:%S %Z +p14921 +tp14922 +a(g144 +g3862 +tp14923 +a(g693 +g1182 +tp14924 +a(g112 +g1391 +tp14925 +a(g144 +g3862 +tp14926 +a(g826 +V\u000a +p14927 +tp14928 +a(g7 +Vend +p14929 +tp14930 +a(g826 +V\u000a +p14931 +tp14932 +a(g7 +Vunless +p14933 +tp14934 +a(g826 +g958 +tp14935 +a(g423 +Vcookie +p14936 +tp14937 +a(g400 +g1174 +tp14938 +a(g423 +Vdomain +p14939 +tp14940 +a(g826 +g958 +tp14941 +a(g400 +V== +p14942 +tp14943 +a(g826 +g958 +tp14944 +a(g918 +Vnil +p14945 +tp14946 +a(g826 +V\u000a +p14947 +tp14948 +a(g423 +Vstr +p14949 +tp14950 +a(g826 +g958 +tp14951 +a(g400 +V+= +p14952 +tp14953 +a(g826 +g958 +tp14954 +a(g144 +g3862 +tp14955 +a(g144 +V; Domain= +p14956 +tp14957 +a(g112 +V#{ +p14958 +tp14959 +a(g423 +Vcookie +p14960 +tp14961 +a(g400 +g1174 +tp14962 +a(g423 +Vdomain +p14963 +tp14964 +a(g112 +g1391 +tp14965 +a(g144 +g3862 +tp14966 +a(g826 +V\u000a +p14967 +tp14968 +a(g7 +Vend +p14969 +tp14970 +a(g826 +V\u000a +p14971 +tp14972 +a(g7 +Vunless +p14973 +tp14974 +a(g826 +g958 +tp14975 +a(g423 +Vcookie +p14976 +tp14977 +a(g400 +g1174 +tp14978 +a(g423 +Vpath +p14979 +tp14980 +a(g826 +g958 +tp14981 +a(g400 +V== +p14982 +tp14983 +a(g826 +g958 +tp14984 +a(g918 +Vnil +p14985 +tp14986 +a(g826 +V\u000a +p14987 +tp14988 +a(g423 +Vstr +p14989 +tp14990 +a(g826 +g958 +tp14991 +a(g400 +V+= +p14992 +tp14993 +a(g826 +g958 +tp14994 +a(g144 +g3862 +tp14995 +a(g144 +V; Path= +p14996 +tp14997 +a(g112 +V#{ +p14998 +tp14999 +a(g423 +Vcookie +p15000 +tp15001 +a(g400 +g1174 +tp15002 +a(g423 +Vpath +p15003 +tp15004 +a(g112 +g1391 +tp15005 +a(g144 +g3862 +tp15006 +a(g826 +V\u000a +p15007 +tp15008 +a(g7 +Vend +p15009 +tp15010 +a(g826 +V\u000a +p15011 +tp15012 +a(g7 +Vif +p15013 +tp15014 +a(g826 +g958 +tp15015 +a(g423 +Vcookie +p15016 +tp15017 +a(g400 +g1174 +tp15018 +a(g423 +Vsecure +p15019 +tp15020 +a(g826 +V\u000a +p15021 +tp15022 +a(g423 +Vstr +p15023 +tp15024 +a(g826 +g958 +tp15025 +a(g400 +V+= +p15026 +tp15027 +a(g826 +g958 +tp15028 +a(g144 +g3862 +tp15029 +a(g144 +V; Secure +p15030 +tp15031 +a(g144 +g3862 +tp15032 +a(g826 +V\u000a +p15033 +tp15034 +a(g7 +Vend +p15035 +tp15036 +a(g826 +V\u000a +p15037 +tp15038 +a(g423 +Vstr +p15039 +tp15040 +a(g826 +g958 +tp15041 +a(g400 +V+= +p15042 +tp15043 +a(g826 +g958 +tp15044 +a(g144 +g3862 +tp15045 +a(g200 +V\u005cr +p15046 +tp15047 +a(g200 +V\u005cn +p15048 +tp15049 +a(g144 +g3862 +tp15050 +a(g826 +V\u000a +p15051 +tp15052 +a(g7 +Vend +p15053 +tp15054 +a(g826 +V\u000a +p15055 +tp15056 +a(g7 +Vreturn +p15057 +tp15058 +a(g826 +g958 +tp15059 +a(g423 +Vstr +p15060 +tp15061 +a(g826 +V\u000a +p15062 +tp15063 +a(g7 +Velse +p15064 +tp15065 +a(g826 +g958 +tp15066 +a(g745 +V# type == "RFC2109" +p15067 +tp15068 +a(g826 +V\u000a +p15069 +tp15070 +a(g423 +Vstr +p15071 +tp15072 +a(g826 +g958 +tp15073 +a(g400 +g987 +tp15074 +a(g826 +g958 +tp15075 +a(g144 +g3862 +tp15076 +a(g144 +VSet-Cookie: +p15077 +tp15078 +a(g144 +g3862 +tp15079 +a(g826 +V\u000a +p15080 +tp15081 +a(g423 +Vcomma +p15082 +tp15083 +a(g826 +g958 +tp15084 +a(g400 +g987 +tp15085 +a(g826 +g958 +tp15086 +a(g918 +Vfalse +p15087 +tp15088 +a(g693 +g7845 +tp15089 +a(g826 +V\u000a\u000a +p15090 +tp15091 +a(g433 +V@@list +p15092 +tp15093 +a(g400 +g1174 +tp15094 +a(g423 +Veach +p15095 +tp15096 +a(g826 +g958 +tp15097 +a(g7 +Vdo +p15098 +tp15099 +a(g826 +g958 +tp15100 +a(g400 +g1824 +tp15101 +a(g423 +Vcookie +p15102 +tp15103 +a(g400 +g1824 +tp15104 +a(g826 +V\u000a +p15105 +tp15106 +a(g7 +Vif +p15107 +tp15108 +a(g826 +g958 +tp15109 +a(g423 +Vcookie +p15110 +tp15111 +a(g400 +g1174 +tp15112 +a(g423 +Vvalue +p15113 +tp15114 +a(g826 +g958 +tp15115 +a(g400 +V== +p15116 +tp15117 +a(g826 +g958 +tp15118 +a(g918 +Vnil +p15119 +tp15120 +a(g826 +V\u000a +p15121 +tp15122 +a(g423 +Vcookie +p15123 +tp15124 +a(g400 +g1174 +tp15125 +a(g423 +Vmaxage +p15126 +tp15127 +a(g826 +g958 +tp15128 +a(g400 +g987 +tp15129 +a(g826 +g958 +tp15130 +a(g22 +g8477 +tp15131 +a(g826 +V\u000a +p15132 +tp15133 +a(g423 +Vcookie +p15134 +tp15135 +a(g400 +g1174 +tp15136 +a(g423 +Vvalue +p15137 +tp15138 +a(g826 +g958 +tp15139 +a(g400 +g987 +tp15140 +a(g826 +g958 +tp15141 +a(g144 +g3862 +tp15142 +a(g144 +g3862 +tp15143 +a(g826 +V\u000a +p15144 +tp15145 +a(g7 +Vend +p15146 +tp15147 +a(g826 +V\u000a +p15148 +tp15149 +a(g7 +Vif +p15150 +tp15151 +a(g826 +g958 +tp15152 +a(g423 +Vcomma +p15153 +tp15154 +a(g826 +V\u000a +p15155 +tp15156 +a(g423 +Vstr +p15157 +tp15158 +a(g826 +g958 +tp15159 +a(g400 +V+= +p15160 +tp15161 +a(g826 +g958 +tp15162 +a(g144 +g3862 +tp15163 +a(g144 +g996 +tp15164 +a(g144 +g3862 +tp15165 +a(g826 +V\u000a +p15166 +tp15167 +a(g7 +Vend +p15168 +tp15169 +a(g826 +V\u000a +p15170 +tp15171 +a(g423 +Vcomma +p15172 +tp15173 +a(g826 +g958 +tp15174 +a(g400 +g987 +tp15175 +a(g826 +g958 +tp15176 +a(g918 +Vtrue +p15177 +tp15178 +a(g826 +V\u000a\u000a +p15179 +tp15180 +a(g423 +Vstr +p15181 +tp15182 +a(g826 +g958 +tp15183 +a(g400 +V+= +p15184 +tp15185 +a(g826 +g958 +tp15186 +a(g144 +g3862 +tp15187 +a(g112 +V#{ +p15188 +tp15189 +a(g423 +Vcookie +p15190 +tp15191 +a(g400 +g1174 +tp15192 +a(g423 +Vname +p15193 +tp15194 +a(g112 +g1391 +tp15195 +a(g144 +g987 +tp15196 +a(g200 +V\u005c" +p15197 +tp15198 +a(g112 +V#{ +p15199 +tp15200 +a(g423 +Vcookie +p15201 +tp15202 +a(g400 +g1174 +tp15203 +a(g423 +Vvalue +p15204 +tp15205 +a(g112 +g1391 +tp15206 +a(g200 +V\u005c" +p15207 +tp15208 +a(g144 +g3862 +tp15209 +a(g826 +V\u000a +p15210 +tp15211 +a(g7 +Vunless +p15212 +tp15213 +a(g826 +g958 +tp15214 +a(g423 +Vcookie +p15215 +tp15216 +a(g400 +g1174 +tp15217 +a(g423 +Vmaxage +p15218 +tp15219 +a(g826 +g958 +tp15220 +a(g400 +V== +p15221 +tp15222 +a(g826 +g958 +tp15223 +a(g918 +Vnil +p15224 +tp15225 +a(g826 +V\u000a +p15226 +tp15227 +a(g423 +Vstr +p15228 +tp15229 +a(g826 +g958 +tp15230 +a(g400 +V+= +p15231 +tp15232 +a(g826 +g958 +tp15233 +a(g144 +g3862 +tp15234 +a(g144 +V; Max-Age= +p15235 +tp15236 +a(g200 +V\u005c" +p15237 +tp15238 +a(g112 +V#{ +p15239 +tp15240 +a(g423 +Vcookie +p15241 +tp15242 +a(g400 +g1174 +tp15243 +a(g423 +Vmaxage +p15244 +tp15245 +a(g112 +g1391 +tp15246 +a(g200 +V\u005c" +p15247 +tp15248 +a(g144 +g3862 +tp15249 +a(g826 +V\u000a +p15250 +tp15251 +a(g7 +Vend +p15252 +tp15253 +a(g826 +V\u000a +p15254 +tp15255 +a(g7 +Vunless +p15256 +tp15257 +a(g826 +g958 +tp15258 +a(g423 +Vcookie +p15259 +tp15260 +a(g400 +g1174 +tp15261 +a(g423 +Vdomain +p15262 +tp15263 +a(g826 +g958 +tp15264 +a(g400 +V== +p15265 +tp15266 +a(g826 +g958 +tp15267 +a(g918 +Vnil +p15268 +tp15269 +a(g826 +V\u000a +p15270 +tp15271 +a(g423 +Vstr +p15272 +tp15273 +a(g826 +g958 +tp15274 +a(g400 +V+= +p15275 +tp15276 +a(g826 +g958 +tp15277 +a(g144 +g3862 +tp15278 +a(g144 +V; Domain= +p15279 +tp15280 +a(g200 +V\u005c" +p15281 +tp15282 +a(g112 +V#{ +p15283 +tp15284 +a(g423 +Vcookie +p15285 +tp15286 +a(g400 +g1174 +tp15287 +a(g423 +Vdomain +p15288 +tp15289 +a(g112 +g1391 +tp15290 +a(g200 +V\u005c" +p15291 +tp15292 +a(g144 +g3862 +tp15293 +a(g826 +V\u000a +p15294 +tp15295 +a(g7 +Vend +p15296 +tp15297 +a(g826 +V\u000a +p15298 +tp15299 +a(g7 +Vunless +p15300 +tp15301 +a(g826 +g958 +tp15302 +a(g423 +Vcookie +p15303 +tp15304 +a(g400 +g1174 +tp15305 +a(g423 +Vpath +p15306 +tp15307 +a(g826 +g958 +tp15308 +a(g400 +V== +p15309 +tp15310 +a(g826 +g958 +tp15311 +a(g918 +Vnil +p15312 +tp15313 +a(g826 +V\u000a +p15314 +tp15315 +a(g423 +Vstr +p15316 +tp15317 +a(g826 +g958 +tp15318 +a(g400 +V+= +p15319 +tp15320 +a(g826 +g958 +tp15321 +a(g144 +g3862 +tp15322 +a(g144 +V; Path= +p15323 +tp15324 +a(g200 +V\u005c" +p15325 +tp15326 +a(g112 +V#{ +p15327 +tp15328 +a(g423 +Vcookie +p15329 +tp15330 +a(g400 +g1174 +tp15331 +a(g423 +Vpath +p15332 +tp15333 +a(g112 +g1391 +tp15334 +a(g200 +V\u005c" +p15335 +tp15336 +a(g144 +g3862 +tp15337 +a(g826 +V\u000a +p15338 +tp15339 +a(g7 +Vend +p15340 +tp15341 +a(g826 +V\u000a +p15342 +tp15343 +a(g7 +Vif +p15344 +tp15345 +a(g826 +g958 +tp15346 +a(g423 +Vcookie +p15347 +tp15348 +a(g400 +g1174 +tp15349 +a(g423 +Vsecure +p15350 +tp15351 +a(g826 +V\u000a +p15352 +tp15353 +a(g423 +Vstr +p15354 +tp15355 +a(g826 +g958 +tp15356 +a(g400 +V+= +p15357 +tp15358 +a(g826 +g958 +tp15359 +a(g144 +g3862 +tp15360 +a(g144 +V; Secure +p15361 +tp15362 +a(g144 +g3862 +tp15363 +a(g826 +V\u000a +p15364 +tp15365 +a(g7 +Vend +p15366 +tp15367 +a(g826 +V\u000a +p15368 +tp15369 +a(g7 +Vunless +p15370 +tp15371 +a(g826 +g958 +tp15372 +a(g423 +Vcookie +p15373 +tp15374 +a(g400 +g1174 +tp15375 +a(g423 +Vcomment +p15376 +tp15377 +a(g826 +g958 +tp15378 +a(g400 +V== +p15379 +tp15380 +a(g826 +g958 +tp15381 +a(g918 +Vnil +p15382 +tp15383 +a(g826 +V\u000a +p15384 +tp15385 +a(g423 +Vstr +p15386 +tp15387 +a(g826 +g958 +tp15388 +a(g400 +V+= +p15389 +tp15390 +a(g826 +g958 +tp15391 +a(g144 +g3862 +tp15392 +a(g144 +V; Comment= +p15393 +tp15394 +a(g200 +V\u005c" +p15395 +tp15396 +a(g112 +V#{ +p15397 +tp15398 +a(g423 +Vcookie +p15399 +tp15400 +a(g400 +g1174 +tp15401 +a(g423 +Vcomment +p15402 +tp15403 +a(g112 +g1391 +tp15404 +a(g200 +V\u005c" +p15405 +tp15406 +a(g144 +g3862 +tp15407 +a(g826 +V\u000a +p15408 +tp15409 +a(g7 +Vend +p15410 +tp15411 +a(g826 +V\u000a +p15412 +tp15413 +a(g423 +Vstr +p15414 +tp15415 +a(g826 +g958 +tp15416 +a(g400 +V+= +p15417 +tp15418 +a(g826 +g958 +tp15419 +a(g144 +g3862 +tp15420 +a(g144 +V; Version= +p15421 +tp15422 +a(g200 +V\u005c" +p15423 +tp15424 +a(g144 +g3274 +tp15425 +a(g200 +V\u005c" +p15426 +tp15427 +a(g144 +g3862 +tp15428 +a(g826 +V\u000a +p15429 +tp15430 +a(g7 +Vend +p15431 +tp15432 +a(g826 +V\u000a +p15433 +tp15434 +a(g423 +Vstr +p15435 +tp15436 +a(g826 +V\u000a +p15437 +tp15438 +a(g7 +Vend +p15439 +tp15440 +a(g826 +V\u000a +p15441 +tp15442 +a(g7 +Velse +p15443 +tp15444 +a(g826 +V\u000a +p15445 +tp15446 +a(g918 +Vfalse +p15447 +tp15448 +a(g826 +V\u000a +p15449 +tp15450 +a(g7 +Vend +p15451 +tp15452 +a(g826 +V\u000a +p15453 +tp15454 +a(g745 +V# }}} +p15455 +tp15456 +a(g826 +V\u000a +p15457 +tp15458 +a(g7 +Vend +p15459 +tp15460 +a(g826 +V\u000a +p15461 +tp15462 +a(g7 +Vend +p15463 +tp15464 +a(g826 +V\u000a\u000a +p15465 +tp15466 +a(g669 +Vrequire +p15467 +tp15468 +a(g826 +g958 +tp15469 +a(g217 +V'strscan' +p15470 +tp15471 +a(g826 +V\u000a\u000a +p15472 +tp15473 +a(g7 +Vmodule +p15474 +tp15475 +a(g826 +g958 +tp15476 +a(g608 +VBBCode +p15477 +tp15478 +a(g826 +V\u000a +p15479 +tp15480 +a(g553 +VDEBUG +p15481 +tp15482 +a(g826 +g958 +tp15483 +a(g400 +g987 +tp15484 +a(g826 +g958 +tp15485 +a(g918 +Vtrue +p15486 +tp15487 +a(g826 +V\u000a\u000a +p15488 +tp15489 +a(g423 +Vuse +p15490 +tp15491 +a(g826 +g958 +tp15492 +a(g217 +V'encoder' +p15493 +tp15494 +a(g693 +g996 +tp15495 +a(g826 +g958 +tp15496 +a(g217 +V'tags' +p15497 +tp15498 +a(g693 +g996 +tp15499 +a(g826 +g958 +tp15500 +a(g217 +V'tagstack' +p15501 +tp15502 +a(g693 +g996 +tp15503 +a(g826 +g958 +tp15504 +a(g217 +V'smileys' +p15505 +tp15506 +a(g826 +V\u000a\u000a +p15507 +tp15508 +a(g776 +V=begin\u000a The Parser class takes care of the encoding.\u000a It scans the given BBCode (as plain text), finds tags\u000a and smilies and also makes links of urls in text.\u000a\u000a Normal text is send directly to the encoder.\u000a\u000a If a tag was found, an instance of a Tag subclass is created\u000a to handle the case.\u000a\u000a The @tagstack manages tag nesting and ensures valid HTML.\u000a=end +p15509 +tp15510 +a(g826 +V\u000a\u000a +p15511 +tp15512 +a(g7 +Vclass +p15513 +tp15514 +a(g826 +g958 +tp15515 +a(g616 +VParser +p15516 +tp15517 +a(g826 +V\u000a +p15518 +tp15519 +a(g7 +Vclass +p15520 +tp15521 +a(g826 +g958 +tp15522 +a(g616 +VAttribute +p15523 +tp15524 +a(g826 +V\u000a +p15525 +tp15526 +a(g745 +V# flatten and use only one empty_arg +p15527 +tp15528 +a(g826 +V\u000a +p15529 +tp15530 +a(g7 +Vdef +p15531 +tp15532 +a(g826 +g958 +tp15533 +a(g616 +Vself +p15534 +tp15535 +a(g400 +g1174 +tp15536 +a(g561 +Vcreate +p15537 +tp15538 +a(g826 +g958 +tp15539 +a(g918 +Vattr +p15540 +tp15541 +a(g826 +V\u000a +p15542 +tp15543 +a(g918 +Vattr +p15544 +tp15545 +a(g826 +g958 +tp15546 +a(g400 +g987 +tp15547 +a(g826 +g958 +tp15548 +a(g423 +Vflatten +p15549 +tp15550 +a(g826 +g958 +tp15551 +a(g918 +Vattr +p15552 +tp15553 +a(g826 +V\u000a +p15554 +tp15555 +a(g7 +Vreturn +p15556 +tp15557 +a(g826 +g958 +tp15558 +a(g433 +V@@empty_attr +p15559 +tp15560 +a(g826 +g958 +tp15561 +a(g7 +Vif +p15562 +tp15563 +a(g826 +g958 +tp15564 +a(g918 +Vattr +p15565 +tp15566 +a(g400 +g1174 +tp15567 +a(g423 +Vempty? +p15568 +tp15569 +a(g826 +V\u000a +p15570 +tp15571 +a(g918 +Vnew +p15572 +tp15573 +a(g826 +g958 +tp15574 +a(g918 +Vattr +p15575 +tp15576 +a(g826 +V\u000a +p15577 +tp15578 +a(g7 +Vend +p15579 +tp15580 +a(g826 +V\u000a\u000a +p15581 +tp15582 +a(g669 +Vprivate_class_method +p15583 +tp15584 +a(g826 +g958 +tp15585 +a(g104 +V:new +p15586 +tp15587 +a(g826 +V\u000a\u000a +p15588 +tp15589 +a(g745 +V# remove leading and trailing whitespace; concat lines +p15590 +tp15591 +a(g826 +V\u000a +p15592 +tp15593 +a(g7 +Vdef +p15594 +tp15595 +a(g826 +g958 +tp15596 +a(g616 +Vself +p15597 +tp15598 +a(g400 +g1174 +tp15599 +a(g561 +Vflatten +p15600 +tp15601 +a(g826 +g958 +tp15602 +a(g918 +Vattr +p15603 +tp15604 +a(g826 +V\u000a +p15605 +tp15606 +a(g918 +Vattr +p15607 +tp15608 +a(g400 +g1174 +tp15609 +a(g423 +Vstrip +p15610 +tp15611 +a(g400 +g1174 +tp15612 +a(g423 +Vgsub +p15613 +tp15614 +a(g693 +g1178 +tp15615 +a(g184 +g1384 +tp15616 +a(g184 +V\u005c +p15617 +tp15618 +a(g184 +g4939 +tp15619 +a(g184 +g1384 +tp15620 +a(g693 +g996 +tp15621 +a(g826 +g958 +tp15622 +a(g217 +V' ' +p15623 +tp15624 +a(g693 +g1182 +tp15625 +a(g826 +V\u000a +p15626 +tp15627 +a(g745 +V# -> ^ and $ can only match at begin and end now +p15628 +tp15629 +a(g826 +V\u000a +p15630 +tp15631 +a(g7 +Vend +p15632 +tp15633 +a(g826 +V\u000a\u000a +p15634 +tp15635 +a(g553 +VATTRIBUTE_SCAN +p15636 +tp15637 +a(g826 +g958 +tp15638 +a(g400 +g987 +tp15639 +a(g826 +g958 +tp15640 +a(g184 +g1384 +tp15641 +a(g184 +V\u000a (?!$) +p15642 +tp15643 +a(g184 +g1414 +tp15644 +a(g184 +V don't match at end\u000a +p15645 +tp15646 +a(g184 +V\u005c +p15647 +tp15648 +a(g184 +Vs*\u000a ( +p15649 +tp15650 +a(g184 +g1414 +tp15651 +a(g184 +V $1 = key\u000a [^= +p15652 +tp15653 +a(g184 +V\u005c +p15654 +tp15655 +a(g184 +g3010 +tp15656 +a(g184 +V\u005c +p15657 +tp15658 +a(g184 +V]" +p15659 +tp15660 +a(g184 +V\u005c\u005c +p15661 +tp15662 +a(g184 +V]*\u000a (?:\u000a (?: +p15663 +tp15664 +a(g184 +V\u005c\u005c +p15665 +tp15666 +a(g184 +V. | "[^" +p15667 +tp15668 +a(g184 +V\u005c\u005c +p15669 +tp15670 +a(g184 +V]*(?: +p15671 +tp15672 +a(g184 +V\u005c\u005c +p15673 +tp15674 +a(g184 +V.[^" +p15675 +tp15676 +a(g184 +V\u005c\u005c +p15677 +tp15678 +a(g184 +V]*)*"? )\u000a [^= +p15679 +tp15680 +a(g184 +V\u005c +p15681 +tp15682 +a(g184 +g3010 +tp15683 +a(g184 +V\u005c +p15684 +tp15685 +a(g184 +V]" +p15686 +tp15687 +a(g184 +V\u005c\u005c +p15688 +tp15689 +a(g184 +V]*\u000a )*\u000a )\u000a (?:\u000a =\u000a ( +p15690 +tp15691 +a(g184 +g1414 +tp15692 +a(g184 +V $2 = value\u000a [^ +p15693 +tp15694 +a(g184 +V\u005c +p15695 +tp15696 +a(g184 +g3010 +tp15697 +a(g184 +V\u005c +p15698 +tp15699 +a(g184 +V]" +p15700 +tp15701 +a(g184 +V\u005c\u005c +p15702 +tp15703 +a(g184 +V]*\u000a (?:\u000a (?: +p15704 +tp15705 +a(g184 +V\u005c\u005c +p15706 +tp15707 +a(g184 +V. | "[^" +p15708 +tp15709 +a(g184 +V\u005c\u005c +p15710 +tp15711 +a(g184 +V]*(?: +p15712 +tp15713 +a(g184 +V\u005c\u005c +p15714 +tp15715 +a(g184 +V.[^" +p15716 +tp15717 +a(g184 +V\u005c\u005c +p15718 +tp15719 +a(g184 +V]*)*"? )\u000a [^ +p15720 +tp15721 +a(g184 +V\u005c +p15722 +tp15723 +a(g184 +g3010 +tp15724 +a(g184 +V\u005c +p15725 +tp15726 +a(g184 +V]" +p15727 +tp15728 +a(g184 +V\u005c\u005c +p15729 +tp15730 +a(g184 +V]*\u000a )*\u000a )?\u000a )?\u000a +p15731 +tp15732 +a(g184 +V\u005c +p15733 +tp15734 +a(g184 +Vs*\u000a +p15735 +tp15736 +a(g184 +V/x +p15737 +tp15738 +a(g826 +V\u000a\u000a +p15739 +tp15740 +a(g7 +Vdef +p15741 +tp15742 +a(g826 +g958 +tp15743 +a(g616 +Vself +p15744 +tp15745 +a(g400 +g1174 +tp15746 +a(g561 +Vparse +p15747 +tp15748 +a(g826 +g958 +tp15749 +a(g423 +Vsource +p15750 +tp15751 +a(g826 +V\u000a +p15752 +tp15753 +a(g423 +Vsource +p15754 +tp15755 +a(g826 +g958 +tp15756 +a(g400 +g987 +tp15757 +a(g826 +g958 +tp15758 +a(g423 +Vsource +p15759 +tp15760 +a(g400 +g1174 +tp15761 +a(g423 +Vdup +p15762 +tp15763 +a(g826 +V\u000a +p15764 +tp15765 +a(g745 +V# empty_tag: the tag looks like [... /] +p15766 +tp15767 +a(g826 +V\u000a +p15768 +tp15769 +a(g745 +V# slice!: this deletes the \u005cs*/] at the end +p15770 +tp15771 +a(g826 +V\u000a +p15772 +tp15773 +a(g745 +V# \u005cs+ because [url=http://rubybb.org/forum/] is NOT an empty tag. +p15774 +tp15775 +a(g826 +V\u000a +p15776 +tp15777 +a(g745 +V# In RubyBBCode, you can use [url=http://rubybb.org/forum/ /], and this has to be +p15778 +tp15779 +a(g826 +V\u000a +p15780 +tp15781 +a(g745 +V# interpreted correctly. +p15782 +tp15783 +a(g826 +V\u000a +p15784 +tp15785 +a(g423 +Vempty_tag +p15786 +tp15787 +a(g826 +g958 +tp15788 +a(g400 +g987 +tp15789 +a(g826 +g958 +tp15790 +a(g423 +Vsource +p15791 +tp15792 +a(g400 +g1174 +tp15793 +a(g423 +Vsub! +p15794 +tp15795 +a(g693 +g1178 +tp15796 +a(g184 +g1384 +tp15797 +a(g184 +V^: +p15798 +tp15799 +a(g184 +g1384 +tp15800 +a(g693 +g996 +tp15801 +a(g826 +g958 +tp15802 +a(g217 +V'=' +p15803 +tp15804 +a(g693 +g1182 +tp15805 +a(g826 +g958 +tp15806 +a(g414 +Vor +p15807 +tp15808 +a(g826 +g958 +tp15809 +a(g423 +Vsource +p15810 +tp15811 +a(g400 +g1174 +tp15812 +a(g423 +Vslice! +p15813 +tp15814 +a(g693 +g1178 +tp15815 +a(g184 +g1384 +tp15816 +a(g184 +V\u005c/ +p15817 +tp15818 +a(g184 +V$ +p15819 +tp15820 +a(g184 +g1384 +tp15821 +a(g693 +g1182 +tp15822 +a(g826 +V\u000a +p15823 +tp15824 +a(g423 +Vdebug +p15825 +tp15826 +a(g826 +g958 +tp15827 +a(g217 +V'PARSE: ' +p15828 +tp15829 +a(g826 +g958 +tp15830 +a(g400 +g5243 +tp15831 +a(g826 +g958 +tp15832 +a(g423 +Vsource +p15833 +tp15834 +a(g400 +g1174 +tp15835 +a(g423 +Vinspect +p15836 +tp15837 +a(g826 +g958 +tp15838 +a(g400 +g5243 +tp15839 +a(g826 +g958 +tp15840 +a(g217 +V' => ' +p15841 +tp15842 +a(g826 +g958 +tp15843 +a(g400 +g5243 +tp15844 +a(g826 +g958 +tp15845 +a(g423 +Vempty_tag +p15846 +tp15847 +a(g400 +g1174 +tp15848 +a(g423 +Vinspect +p15849 +tp15850 +a(g826 +V\u000a +p15851 +tp15852 +a(g745 +V#-> we have now an attr that's EITHER empty OR begins and ends with non-whitespace. +p15853 +tp15854 +a(g826 +V\u000a\u000a +p15855 +tp15856 +a(g918 +Vattr +p15857 +tp15858 +a(g826 +g958 +tp15859 +a(g400 +g987 +tp15860 +a(g826 +g958 +tp15861 +a(g553 +VHash +p15862 +tp15863 +a(g400 +g1174 +tp15864 +a(g423 +Vnew +p15865 +tp15866 +a(g826 +V\u000a +p15867 +tp15868 +a(g918 +Vattr +p15869 +tp15870 +a(g400 +g990 +tp15871 +a(g104 +V:flags +p15872 +tp15873 +a(g400 +g1137 +tp15874 +a(g826 +g958 +tp15875 +a(g400 +g987 +tp15876 +a(g826 +g958 +tp15877 +a(g400 +g990 +tp15878 +a(g400 +g1137 +tp15879 +a(g826 +V\u000a +p15880 +tp15881 +a(g423 +Vsource +p15882 +tp15883 +a(g400 +g1174 +tp15884 +a(g423 +Vscan +p15885 +tp15886 +a(g693 +g1178 +tp15887 +a(g553 +VATTRIBUTE_SCAN +p15888 +tp15889 +a(g693 +g1182 +tp15890 +a(g826 +g958 +tp15891 +a(g693 +g3620 +tp15892 +a(g826 +g958 +tp15893 +a(g400 +g1824 +tp15894 +a(g423 +Vkey +p15895 +tp15896 +a(g693 +g996 +tp15897 +a(g826 +g958 +tp15898 +a(g423 +Vvalue +p15899 +tp15900 +a(g400 +g1824 +tp15901 +a(g826 +V\u000a +p15902 +tp15903 +a(g7 +Vif +p15904 +tp15905 +a(g826 +g958 +tp15906 +a(g414 +Vnot +p15907 +tp15908 +a(g826 +g958 +tp15909 +a(g423 +Vvalue +p15910 +tp15911 +a(g826 +V\u000a +p15912 +tp15913 +a(g918 +Vattr +p15914 +tp15915 +a(g400 +g990 +tp15916 +a(g104 +V:flags +p15917 +tp15918 +a(g400 +g1137 +tp15919 +a(g826 +g958 +tp15920 +a(g400 +V<< +p15921 +tp15922 +a(g826 +g958 +tp15923 +a(g423 +Vunescape +p15924 +tp15925 +a(g693 +g1178 +tp15926 +a(g423 +Vkey +p15927 +tp15928 +a(g693 +g1182 +tp15929 +a(g826 +V\u000a +p15930 +tp15931 +a(g7 +Velse +p15932 +tp15933 +a(g826 +V\u000a +p15934 +tp15935 +a(g7 +Vnext +p15936 +tp15937 +a(g826 +g958 +tp15938 +a(g7 +Vif +p15939 +tp15940 +a(g826 +g958 +tp15941 +a(g423 +Vvalue +p15942 +tp15943 +a(g400 +g1174 +tp15944 +a(g423 +Vempty? +p15945 +tp15946 +a(g826 +g958 +tp15947 +a(g414 +Vand +p15948 +tp15949 +a(g826 +g958 +tp15950 +a(g423 +Vkey +p15951 +tp15952 +a(g400 +g1174 +tp15953 +a(g423 +Vempty? +p15954 +tp15955 +a(g826 +V\u000a +p15956 +tp15957 +a(g918 +Vattr +p15958 +tp15959 +a(g400 +g990 +tp15960 +a(g423 +Vunescape +p15961 +tp15962 +a(g693 +g1178 +tp15963 +a(g423 +Vkey +p15964 +tp15965 +a(g693 +g1182 +tp15966 +a(g400 +g1137 +tp15967 +a(g826 +g958 +tp15968 +a(g400 +g987 +tp15969 +a(g826 +g958 +tp15970 +a(g423 +Vunescape +p15971 +tp15972 +a(g693 +g1178 +tp15973 +a(g423 +Vvalue +p15974 +tp15975 +a(g693 +g1182 +tp15976 +a(g826 +V\u000a +p15977 +tp15978 +a(g7 +Vend +p15979 +tp15980 +a(g826 +V\u000a +p15981 +tp15982 +a(g693 +g1391 +tp15983 +a(g826 +V\u000a +p15984 +tp15985 +a(g423 +Vdebug +p15986 +tp15987 +a(g826 +g958 +tp15988 +a(g918 +Vattr +p15989 +tp15990 +a(g400 +g1174 +tp15991 +a(g423 +Vinspect +p15992 +tp15993 +a(g826 +V\u000a\u000a +p15994 +tp15995 +a(g7 +Vreturn +p15996 +tp15997 +a(g826 +g958 +tp15998 +a(g423 +Vempty_tag +p15999 +tp16000 +a(g693 +g996 +tp16001 +a(g826 +g958 +tp16002 +a(g918 +Vattr +p16003 +tp16004 +a(g826 +V\u000a +p16005 +tp16006 +a(g7 +Vend +p16007 +tp16008 +a(g826 +V\u000a\u000a +p16009 +tp16010 +a(g7 +Vdef +p16011 +tp16012 +a(g826 +g958 +tp16013 +a(g616 +Vself +p16014 +tp16015 +a(g400 +g1174 +tp16016 +a(g561 +Vunescape_char +p16017 +tp16018 +a(g826 +g958 +tp16019 +a(g423 +Vesc +p16020 +tp16021 +a(g826 +V\u000a +p16022 +tp16023 +a(g423 +Vesc +p16024 +tp16025 +a(g400 +g990 +tp16026 +a(g22 +g3274 +tp16027 +a(g400 +g1137 +tp16028 +a(g826 +V\u000a +p16029 +tp16030 +a(g7 +Vend +p16031 +tp16032 +a(g826 +V\u000a\u000a +p16033 +tp16034 +a(g7 +Vdef +p16035 +tp16036 +a(g826 +g958 +tp16037 +a(g616 +Vself +p16038 +tp16039 +a(g400 +g1174 +tp16040 +a(g561 +Vunquote +p16041 +tp16042 +a(g826 +g958 +tp16043 +a(g423 +Vqt +p16044 +tp16045 +a(g826 +V\u000a +p16046 +tp16047 +a(g423 +Vqt +p16048 +tp16049 +a(g400 +g990 +tp16050 +a(g22 +g3274 +tp16051 +a(g400 +V.. +p16052 +tp16053 +a(g400 +g3272 +tp16054 +a(g22 +g3274 +tp16055 +a(g400 +g1137 +tp16056 +a(g400 +g1174 +tp16057 +a(g423 +Vchomp +p16058 +tp16059 +a(g693 +g1178 +tp16060 +a(g217 +V'"' +p16061 +tp16062 +a(g693 +g1182 +tp16063 +a(g400 +g1174 +tp16064 +a(g423 +Vgsub +p16065 +tp16066 +a(g693 +g1178 +tp16067 +a(g184 +g1384 +tp16068 +a(g184 +V\u005c\u005c +p16069 +tp16070 +a(g184 +g1174 +tp16071 +a(g184 +g1384 +tp16072 +a(g693 +g1182 +tp16073 +a(g826 +g958 +tp16074 +a(g693 +g3620 +tp16075 +a(g826 +g958 +tp16076 +a(g400 +g1824 +tp16077 +a(g423 +Vesc +p16078 +tp16079 +a(g400 +g1824 +tp16080 +a(g826 +g958 +tp16081 +a(g423 +Vunescape_char +p16082 +tp16083 +a(g826 +g958 +tp16084 +a(g423 +Vesc +p16085 +tp16086 +a(g826 +g958 +tp16087 +a(g693 +g1391 +tp16088 +a(g826 +V\u000a +p16089 +tp16090 +a(g7 +Vend +p16091 +tp16092 +a(g826 +V\u000a\u000a +p16093 +tp16094 +a(g7 +Vdef +p16095 +tp16096 +a(g826 +g958 +tp16097 +a(g616 +Vself +p16098 +tp16099 +a(g400 +g1174 +tp16100 +a(g561 +Vunescape +p16101 +tp16102 +a(g826 +g958 +tp16103 +a(g423 +Vstr +p16104 +tp16105 +a(g826 +V\u000a +p16106 +tp16107 +a(g423 +Vstr +p16108 +tp16109 +a(g400 +g1174 +tp16110 +a(g423 +Vgsub +p16111 +tp16112 +a(g693 +g1178 +tp16113 +a(g184 +g1384 +tp16114 +a(g184 +V ( +p16115 +tp16116 +a(g184 +V\u005c\u005c +p16117 +tp16118 +a(g184 +V.) | (" [^" +p16119 +tp16120 +a(g184 +V\u005c\u005c +p16121 +tp16122 +a(g184 +V]* (?: +p16123 +tp16124 +a(g184 +V\u005c\u005c +p16125 +tp16126 +a(g184 +V.[^" +p16127 +tp16128 +a(g184 +V\u005c\u005c +p16129 +tp16130 +a(g184 +V]*)* "?) +p16131 +tp16132 +a(g184 +V/x +p16133 +tp16134 +a(g693 +g1182 +tp16135 +a(g826 +g958 +tp16136 +a(g693 +g3620 +tp16137 +a(g826 +V\u000a +p16138 +tp16139 +a(g7 +Vif +p16140 +tp16141 +a(g826 +g958 +tp16142 +a(g457 +V$1 +p16143 +tp16144 +a(g826 +V\u000a +p16145 +tp16146 +a(g423 +Vunescape_char +p16147 +tp16148 +a(g826 +g958 +tp16149 +a(g457 +V$1 +p16150 +tp16151 +a(g826 +V\u000a +p16152 +tp16153 +a(g7 +Velse +p16154 +tp16155 +a(g826 +V\u000a +p16156 +tp16157 +a(g423 +Vunquote +p16158 +tp16159 +a(g826 +g958 +tp16160 +a(g457 +V$2 +p16161 +tp16162 +a(g826 +V\u000a +p16163 +tp16164 +a(g7 +Vend +p16165 +tp16166 +a(g826 +V\u000a +p16167 +tp16168 +a(g693 +g1391 +tp16169 +a(g826 +V\u000a +p16170 +tp16171 +a(g7 +Vend +p16172 +tp16173 +a(g826 +V\u000a\u000a +p16174 +tp16175 +a(g918 +Vinclude +p16176 +tp16177 +a(g826 +g958 +tp16178 +a(g553 +VEnumerable +p16179 +tp16180 +a(g826 +V\u000a +p16181 +tp16182 +a(g7 +Vdef +p16183 +tp16184 +a(g826 +g958 +tp16185 +a(g561 +Veach +p16186 +tp16187 +a(g826 +g958 +tp16188 +a(g400 +g3570 +tp16189 +a(g423 +Vblock +p16190 +tp16191 +a(g826 +V\u000a +p16192 +tp16193 +a(g449 +V@args +p16194 +tp16195 +a(g400 +g1174 +tp16196 +a(g423 +Veach +p16197 +tp16198 +a(g693 +g1178 +tp16199 +a(g400 +g3570 +tp16200 +a(g423 +Vblock +p16201 +tp16202 +a(g693 +g1182 +tp16203 +a(g826 +V\u000a +p16204 +tp16205 +a(g7 +Vend +p16206 +tp16207 +a(g826 +V\u000a\u000a +p16208 +tp16209 +a(g918 +Vattr_reader +p16210 +tp16211 +a(g826 +g958 +tp16212 +a(g104 +V:source +p16213 +tp16214 +a(g693 +g996 +tp16215 +a(g826 +g958 +tp16216 +a(g104 +V:args +p16217 +tp16218 +a(g693 +g996 +tp16219 +a(g826 +g958 +tp16220 +a(g104 +V:value +p16221 +tp16222 +a(g826 +V\u000a\u000a +p16223 +tp16224 +a(g7 +Vdef +p16225 +tp16226 +a(g826 +g958 +tp16227 +a(g561 +Vinitialize +p16228 +tp16229 +a(g826 +g958 +tp16230 +a(g423 +Vsource +p16231 +tp16232 +a(g826 +V\u000a +p16233 +tp16234 +a(g449 +V@source +p16235 +tp16236 +a(g826 +g958 +tp16237 +a(g400 +g987 +tp16238 +a(g826 +g958 +tp16239 +a(g423 +Vsource +p16240 +tp16241 +a(g826 +V\u000a +p16242 +tp16243 +a(g423 +Vdebug +p16244 +tp16245 +a(g826 +g958 +tp16246 +a(g217 +V'Attribute#new(%p)' +p16247 +tp16248 +a(g826 +g958 +tp16249 +a(g400 +V% +p16250 +tp16251 +a(g826 +g958 +tp16252 +a(g423 +Vsource +p16253 +tp16254 +a(g826 +V\u000a +p16255 +tp16256 +a(g449 +V@empty_tag +p16257 +tp16258 +a(g693 +g996 +tp16259 +a(g826 +g958 +tp16260 +a(g449 +V@attr +p16261 +tp16262 +a(g826 +g958 +tp16263 +a(g400 +g987 +tp16264 +a(g826 +g958 +tp16265 +a(g553 +VAttribute +p16266 +tp16267 +a(g400 +g1174 +tp16268 +a(g423 +Vparse +p16269 +tp16270 +a(g826 +g958 +tp16271 +a(g423 +Vsource +p16272 +tp16273 +a(g826 +V\u000a +p16274 +tp16275 +a(g449 +V@value +p16276 +tp16277 +a(g826 +g958 +tp16278 +a(g400 +g987 +tp16279 +a(g826 +g958 +tp16280 +a(g449 +V@attr +p16281 +tp16282 +a(g400 +g990 +tp16283 +a(g217 +V'' +p16284 +tp16285 +a(g400 +g1137 +tp16286 +a(g400 +g1174 +tp16287 +a(g423 +Vto_s +p16288 +tp16289 +a(g826 +V\u000a +p16290 +tp16291 +a(g7 +Vend +p16292 +tp16293 +a(g826 +V\u000a\u000a +p16294 +tp16295 +a(g7 +Vdef +p16296 +tp16297 +a(g826 +g958 +tp16298 +a(g561 +Vempty? +p16299 +tp16300 +a(g826 +V\u000a +p16301 +tp16302 +a(g669 +Vself +p16303 +tp16304 +a(g826 +g958 +tp16305 +a(g400 +V== +p16306 +tp16307 +a(g826 +g958 +tp16308 +a(g433 +V@@empty_attr +p16309 +tp16310 +a(g826 +V\u000a +p16311 +tp16312 +a(g7 +Vend +p16313 +tp16314 +a(g826 +V\u000a\u000a +p16315 +tp16316 +a(g7 +Vdef +p16317 +tp16318 +a(g826 +g958 +tp16319 +a(g561 +Vempty_tag? +p16320 +tp16321 +a(g826 +V\u000a +p16322 +tp16323 +a(g449 +V@empty_tag +p16324 +tp16325 +a(g826 +V\u000a +p16326 +tp16327 +a(g7 +Vend +p16328 +tp16329 +a(g826 +V\u000a\u000a +p16330 +tp16331 +a(g7 +Vdef +p16332 +tp16333 +a(g826 +g958 +tp16334 +a(g561 +V[] +p16335 +tp16336 +a(g826 +g958 +tp16337 +a(g400 +g1420 +tp16338 +a(g423 +Vkeys +p16339 +tp16340 +a(g826 +V\u000a +p16341 +tp16342 +a(g423 +Vres +p16343 +tp16344 +a(g826 +g958 +tp16345 +a(g400 +g987 +tp16346 +a(g826 +g958 +tp16347 +a(g449 +V@attr +p16348 +tp16349 +a(g400 +g990 +tp16350 +a(g400 +g1420 +tp16351 +a(g423 +Vkeys +p16352 +tp16353 +a(g400 +g1137 +tp16354 +a(g826 +V\u000a +p16355 +tp16356 +a(g7 +Vend +p16357 +tp16358 +a(g826 +V\u000a\u000a +p16359 +tp16360 +a(g7 +Vdef +p16361 +tp16362 +a(g826 +g958 +tp16363 +a(g561 +Vflags +p16364 +tp16365 +a(g826 +V\u000a +p16366 +tp16367 +a(g918 +Vattr +p16368 +tp16369 +a(g400 +g990 +tp16370 +a(g104 +V:flags +p16371 +tp16372 +a(g400 +g1137 +tp16373 +a(g826 +V\u000a +p16374 +tp16375 +a(g7 +Vend +p16376 +tp16377 +a(g826 +V\u000a\u000a +p16378 +tp16379 +a(g7 +Vdef +p16380 +tp16381 +a(g826 +g958 +tp16382 +a(g561 +Vto_s +p16383 +tp16384 +a(g826 +V\u000a +p16385 +tp16386 +a(g449 +V@attr +p16387 +tp16388 +a(g826 +V\u000a +p16389 +tp16390 +a(g7 +Vend +p16391 +tp16392 +a(g826 +V\u000a\u000a +p16393 +tp16394 +a(g7 +Vdef +p16395 +tp16396 +a(g826 +g958 +tp16397 +a(g561 +Vinspect +p16398 +tp16399 +a(g826 +V\u000a +p16400 +tp16401 +a(g217 +V'ATTR[' +p16402 +tp16403 +a(g826 +g958 +tp16404 +a(g400 +g5243 +tp16405 +a(g826 +g958 +tp16406 +a(g449 +V@attr +p16407 +tp16408 +a(g400 +g1174 +tp16409 +a(g423 +Vinspect +p16410 +tp16411 +a(g826 +g958 +tp16412 +a(g400 +g5243 +tp16413 +a(g826 +g958 +tp16414 +a(g693 +g1178 +tp16415 +a(g449 +V@empty_tag +p16416 +tp16417 +a(g826 +g958 +tp16418 +a(g693 +g4219 +tp16419 +a(g826 +g958 +tp16420 +a(g217 +V' | empty tag' +p16421 +tp16422 +a(g826 +g958 +tp16423 +a(g693 +g4979 +tp16424 +a(g826 +g958 +tp16425 +a(g217 +V'' +p16426 +tp16427 +a(g693 +g1182 +tp16428 +a(g826 +g958 +tp16429 +a(g400 +g5243 +tp16430 +a(g826 +g958 +tp16431 +a(g217 +V']' +p16432 +tp16433 +a(g826 +V\u000a +p16434 +tp16435 +a(g7 +Vend +p16436 +tp16437 +a(g826 +V\u000a +p16438 +tp16439 +a(g7 +Vend +p16440 +tp16441 +a(g826 +V\u000a +p16442 +tp16443 +a(g7 +Vclass +p16444 +tp16445 +a(g826 +g958 +tp16446 +a(g616 +VAttribute +p16447 +tp16448 +a(g826 +V\u000a +p16449 +tp16450 +a(g433 +V@@empty_attr +p16451 +tp16452 +a(g826 +g958 +tp16453 +a(g400 +g987 +tp16454 +a(g826 +g958 +tp16455 +a(g918 +Vnew +p16456 +tp16457 +a(g826 +g958 +tp16458 +a(g217 +V'' +p16459 +tp16460 +a(g826 +V\u000a +p16461 +tp16462 +a(g7 +Vend +p16463 +tp16464 +a(g826 +V\u000a +p16465 +tp16466 +a(g7 +Vend +p16467 +tp16468 +a(g826 +V\u000a +p16469 +tp16470 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.red b/tests/examplefiles/output/example.red new file mode 100644 index 0000000..3e4758c --- /dev/null +++ b/tests/examplefiles/output/example.red @@ -0,0 +1,7214 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Strong' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsg5 +g8 +sg724 +g1 +(g2 +g3 +(g4 +g724 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg34 +g35 +((lp931 +tp932 +Rp933 +sbsS'Traceback' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg34 +g35 +((lp942 +g898 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag8 +ag906 +ag929 +ag937 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVRed [ +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g890 +VTitle: +p960 +tp961 +a(g826 +V +p962 +tp963 +a(g81 +V" +p964 +tp965 +a(g81 +VRed console +p966 +tp967 +a(g81 +g964 +tp968 +a(g826 +V\u000a +p969 +tp970 +a(g890 +VAuthor: +p971 +tp972 +a(g826 +V +p973 +tp974 +a(g8 +V[ +p975 +tp976 +a(g81 +g964 +tp977 +a(g81 +VNenad Rakocevic +p978 +tp979 +a(g81 +g964 +tp980 +a(g826 +g973 +tp981 +a(g81 +g964 +tp982 +a(g81 +VKaj de Vos +p983 +tp984 +a(g81 +g964 +tp985 +a(g8 +V] +p986 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g890 +VFile: +p990 +tp991 +a(g826 +V +p992 +tp993 +a(g400 +V%console.red +p994 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g890 +VTabs: +p998 +tp999 +a(g826 +V +p1000 +tp1001 +a(g22 +V4 +p1002 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g890 +VRights: +p1006 +tp1007 +a(g826 +g973 +tp1008 +a(g81 +g964 +tp1009 +a(g81 +VCopyright +p1010 +tp1011 +a(g81 +V( +p1012 +tp1013 +a(g81 +VC +p1014 +tp1015 +a(g81 +V) +p1016 +tp1017 +a(g81 +V 2012-2013 Nenad Rakocevic. All rights reserved. +p1018 +tp1019 +a(g81 +g964 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g890 +VLicense: +p1023 +tp1024 +a(g826 +g973 +tp1025 +a(g81 +V{ +p1026 +tp1027 +a(g81 +V\u000a Distributed under the Boost Software License, Version 1.0.\u000a See https://github.com/dockimbel/Red/blob/master/BSL-License.txt\u000a +p1028 +tp1029 +a(g81 +V} +p1030 +tp1031 +a(g826 +V\u000a +p1032 +tp1033 +a(g890 +VPurpose: +p1034 +tp1035 +a(g826 +V +p1036 +tp1037 +a(g81 +g964 +tp1038 +a(g81 +VJust some code for testing Pygments colorizer +p1039 +tp1040 +a(g81 +g964 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g890 +VLanguage: +p1044 +tp1045 +a(g826 +V +p1046 +tp1047 +a(g400 +Vhttp://www.red-lang.org/ +p1048 +tp1049 +a(g826 +V\u000a +p1050 +tp1051 +a(g8 +g986 +tp1052 +a(g826 +V\u000a\u000a +p1053 +tp1054 +a(g770 +V#system-global +p1055 +tp1056 +a(g826 +g973 +tp1057 +a(g8 +g975 +tp1058 +a(g826 +V\u000a +p1059 +tp1060 +a(g770 +V#either +p1061 +tp1062 +a(g826 +g973 +tp1063 +a(g345 +VOS +p1064 +tp1065 +a(g826 +g973 +tp1066 +a(g317 +V= +p1067 +tp1068 +a(g826 +g973 +tp1069 +a(g366 +V'Windows +p1070 +tp1071 +a(g826 +g973 +tp1072 +a(g8 +g975 +tp1073 +a(g826 +V\u000a +p1074 +tp1075 +a(g770 +V#import +p1076 +tp1077 +a(g826 +g973 +tp1078 +a(g8 +g975 +tp1079 +a(g826 +V\u000a +p1080 +tp1081 +a(g81 +g964 +tp1082 +a(g81 +Vkernel32.dll +p1083 +tp1084 +a(g81 +g964 +tp1085 +a(g826 +g973 +tp1086 +a(g770 +Vstdcall +p1087 +tp1088 +a(g826 +g973 +tp1089 +a(g8 +g975 +tp1090 +a(g826 +V\u000a +p1091 +tp1092 +a(g890 +VAttachConsole: +p1093 +tp1094 +a(g826 +V +p1095 +tp1096 +a(g81 +g964 +tp1097 +a(g81 +VAttachConsole +p1098 +tp1099 +a(g81 +g964 +tp1100 +a(g826 +g973 +tp1101 +a(g8 +g975 +tp1102 +a(g826 +V\u000a +p1103 +tp1104 +a(g345 +VprocessID +p1105 +tp1106 +a(g826 +V +p1107 +tp1108 +a(g8 +g975 +tp1109 +a(g748 +Vinteger! +p1110 +tp1111 +a(g8 +g986 +tp1112 +a(g826 +V\u000a +p1113 +tp1114 +a(g890 +Vreturn: +p1115 +tp1116 +a(g826 +V +p1117 +tp1118 +a(g8 +g975 +tp1119 +a(g748 +Vinteger! +p1120 +tp1121 +a(g8 +g986 +tp1122 +a(g826 +V\u000a +p1123 +tp1124 +a(g8 +g986 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g890 +VSetConsoleTitle: +p1128 +tp1129 +a(g826 +g973 +tp1130 +a(g81 +g964 +tp1131 +a(g81 +VSetConsoleTitleA +p1132 +tp1133 +a(g81 +g964 +tp1134 +a(g826 +g973 +tp1135 +a(g8 +g975 +tp1136 +a(g826 +V\u000a +p1137 +tp1138 +a(g345 +Vtitle +p1139 +tp1140 +a(g826 +V +p1141 +tp1142 +a(g8 +g975 +tp1143 +a(g748 +Vc-string! +p1144 +tp1145 +a(g8 +g986 +tp1146 +a(g826 +V\u000a +p1147 +tp1148 +a(g890 +Vreturn: +p1149 +tp1150 +a(g826 +V +p1151 +tp1152 +a(g8 +g975 +tp1153 +a(g748 +Vinteger! +p1154 +tp1155 +a(g8 +g986 +tp1156 +a(g826 +V\u000a +p1157 +tp1158 +a(g8 +g986 +tp1159 +a(g826 +V\u000a +p1160 +tp1161 +a(g890 +VReadConsole: +p1162 +tp1163 +a(g826 +V +p1164 +tp1165 +a(g81 +g964 +tp1166 +a(g81 +VReadConsoleA +p1167 +tp1168 +a(g81 +g964 +tp1169 +a(g826 +g973 +tp1170 +a(g8 +g975 +tp1171 +a(g826 +V\u000a +p1172 +tp1173 +a(g345 +VconsoleInput +p1174 +tp1175 +a(g826 +V +p1176 +tp1177 +a(g8 +g975 +tp1178 +a(g748 +Vinteger! +p1179 +tp1180 +a(g8 +g986 +tp1181 +a(g826 +V\u000a +p1182 +tp1183 +a(g345 +Vbuffer +p1184 +tp1185 +a(g826 +V +p1186 +tp1187 +a(g8 +g975 +tp1188 +a(g748 +Vbyte-ptr! +p1189 +tp1190 +a(g8 +g986 +tp1191 +a(g826 +V\u000a +p1192 +tp1193 +a(g345 +VcharsToRead +p1194 +tp1195 +a(g826 +V +p1196 +tp1197 +a(g8 +g975 +tp1198 +a(g748 +Vinteger! +p1199 +tp1200 +a(g8 +g986 +tp1201 +a(g826 +V\u000a +p1202 +tp1203 +a(g345 +VnumberOfChars +p1204 +tp1205 +a(g826 +V +p1206 +tp1207 +a(g8 +g975 +tp1208 +a(g748 +Vint-ptr! +p1209 +tp1210 +a(g8 +g986 +tp1211 +a(g826 +V\u000a +p1212 +tp1213 +a(g345 +VinputControl +p1214 +tp1215 +a(g826 +V +p1216 +tp1217 +a(g8 +g975 +tp1218 +a(g748 +Vint-ptr! +p1219 +tp1220 +a(g8 +g986 +tp1221 +a(g826 +V\u000a +p1222 +tp1223 +a(g890 +Vreturn: +p1224 +tp1225 +a(g826 +V +p1226 +tp1227 +a(g8 +g975 +tp1228 +a(g748 +Vinteger! +p1229 +tp1230 +a(g8 +g986 +tp1231 +a(g826 +V\u000a +p1232 +tp1233 +a(g8 +g986 +tp1234 +a(g826 +V\u000a +p1235 +tp1236 +a(g8 +g986 +tp1237 +a(g826 +V\u000a +p1238 +tp1239 +a(g8 +g986 +tp1240 +a(g826 +V\u000a +p1241 +tp1242 +a(g890 +Vline-buffer-size: +p1243 +tp1244 +a(g826 +g973 +tp1245 +a(g22 +V16 +p1246 +tp1247 +a(g826 +g973 +tp1248 +a(g317 +V* +p1249 +tp1250 +a(g826 +g973 +tp1251 +a(g22 +V1024 +p1252 +tp1253 +a(g826 +V\u000a +p1254 +tp1255 +a(g890 +Vline-buffer: +p1256 +tp1257 +a(g826 +g973 +tp1258 +a(g345 +Vallocate +p1259 +tp1260 +a(g826 +g973 +tp1261 +a(g345 +Vline-buffer-size +p1262 +tp1263 +a(g826 +V\u000a +p1264 +tp1265 +a(g8 +g986 +tp1266 +a(g8 +g975 +tp1267 +a(g826 +V\u000a +p1268 +tp1269 +a(g770 +V#switch +p1270 +tp1271 +a(g826 +g973 +tp1272 +a(g345 +VOS +p1273 +tp1274 +a(g826 +g973 +tp1275 +a(g8 +g975 +tp1276 +a(g826 +V\u000a +p1277 +tp1278 +a(g345 +VMacOSX +p1279 +tp1280 +a(g826 +g973 +tp1281 +a(g8 +g975 +tp1282 +a(g826 +V\u000a +p1283 +tp1284 +a(g770 +V#define +p1285 +tp1286 +a(g826 +g973 +tp1287 +a(g345 +VReadLine-library +p1288 +tp1289 +a(g826 +g973 +tp1290 +a(g81 +g964 +tp1291 +a(g81 +Vlibreadline.dylib +p1292 +tp1293 +a(g81 +g964 +tp1294 +a(g826 +V\u000a +p1295 +tp1296 +a(g8 +g986 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g770 +V#default +p1300 +tp1301 +a(g826 +g973 +tp1302 +a(g8 +g975 +tp1303 +a(g826 +V\u000a +p1304 +tp1305 +a(g770 +V#define +p1306 +tp1307 +a(g826 +g973 +tp1308 +a(g345 +VReadLine-library +p1309 +tp1310 +a(g826 +g973 +tp1311 +a(g81 +g964 +tp1312 +a(g81 +Vlibreadline.so.6 +p1313 +tp1314 +a(g81 +g964 +tp1315 +a(g826 +V\u000a +p1316 +tp1317 +a(g770 +V#define +p1318 +tp1319 +a(g826 +g973 +tp1320 +a(g345 +VHistory-library +p1321 +tp1322 +a(g826 +V +p1323 +tp1324 +a(g81 +g964 +tp1325 +a(g81 +Vlibhistory.so.6 +p1326 +tp1327 +a(g81 +g964 +tp1328 +a(g826 +V\u000a +p1329 +tp1330 +a(g8 +g986 +tp1331 +a(g826 +V\u000a +p1332 +tp1333 +a(g8 +g986 +tp1334 +a(g826 +V\u000a +p1335 +tp1336 +a(g770 +V#import +p1337 +tp1338 +a(g826 +g973 +tp1339 +a(g8 +g975 +tp1340 +a(g826 +V\u000a +p1341 +tp1342 +a(g345 +VReadLine-library +p1343 +tp1344 +a(g826 +g973 +tp1345 +a(g770 +Vcdecl +p1346 +tp1347 +a(g826 +g973 +tp1348 +a(g8 +g975 +tp1349 +a(g826 +V\u000a +p1350 +tp1351 +a(g890 +Vread-line: +p1352 +tp1353 +a(g826 +g973 +tp1354 +a(g81 +g964 +tp1355 +a(g81 +Vreadline +p1356 +tp1357 +a(g81 +g964 +tp1358 +a(g826 +g973 +tp1359 +a(g8 +g975 +tp1360 +a(g826 +V +p1361 +tp1362 +a(g626 +V; Read a line from the console.\u000a +p1363 +tp1364 +a(g826 +V +p1365 +tp1366 +a(g345 +Vprompt +p1367 +tp1368 +a(g826 +V +p1369 +tp1370 +a(g8 +g975 +tp1371 +a(g748 +Vc-string! +p1372 +tp1373 +a(g8 +g986 +tp1374 +a(g826 +V\u000a +p1375 +tp1376 +a(g890 +Vreturn: +p1377 +tp1378 +a(g826 +V +p1379 +tp1380 +a(g8 +g975 +tp1381 +a(g748 +Vc-string! +p1382 +tp1383 +a(g8 +g986 +tp1384 +a(g826 +V\u000a +p1385 +tp1386 +a(g8 +g986 +tp1387 +a(g826 +V\u000a +p1388 +tp1389 +a(g890 +Vrl-bind-key: +p1390 +tp1391 +a(g826 +g973 +tp1392 +a(g81 +g964 +tp1393 +a(g81 +Vrl_bind_key +p1394 +tp1395 +a(g81 +g964 +tp1396 +a(g826 +g973 +tp1397 +a(g8 +g975 +tp1398 +a(g826 +V\u000a +p1399 +tp1400 +a(g345 +Vkey +p1401 +tp1402 +a(g826 +V +p1403 +tp1404 +a(g8 +g975 +tp1405 +a(g748 +Vinteger! +p1406 +tp1407 +a(g8 +g986 +tp1408 +a(g826 +V\u000a +p1409 +tp1410 +a(g345 +Vcommand +p1411 +tp1412 +a(g826 +V +p1413 +tp1414 +a(g8 +g975 +tp1415 +a(g748 +Vinteger! +p1416 +tp1417 +a(g8 +g986 +tp1418 +a(g826 +V\u000a +p1419 +tp1420 +a(g890 +Vreturn: +p1421 +tp1422 +a(g826 +V +p1423 +tp1424 +a(g8 +g975 +tp1425 +a(g748 +Vinteger! +p1426 +tp1427 +a(g8 +g986 +tp1428 +a(g826 +V\u000a +p1429 +tp1430 +a(g8 +g986 +tp1431 +a(g826 +V\u000a +p1432 +tp1433 +a(g890 +Vrl-insert: +p1434 +tp1435 +a(g826 +V +p1436 +tp1437 +a(g81 +g964 +tp1438 +a(g81 +Vrl_insert +p1439 +tp1440 +a(g81 +g964 +tp1441 +a(g826 +g973 +tp1442 +a(g8 +g975 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g345 +Vcount +p1446 +tp1447 +a(g826 +V +p1448 +tp1449 +a(g8 +g975 +tp1450 +a(g748 +Vinteger! +p1451 +tp1452 +a(g8 +g986 +tp1453 +a(g826 +V\u000a +p1454 +tp1455 +a(g345 +Vkey +p1456 +tp1457 +a(g826 +V +p1458 +tp1459 +a(g8 +g975 +tp1460 +a(g748 +Vinteger! +p1461 +tp1462 +a(g8 +g986 +tp1463 +a(g826 +V\u000a +p1464 +tp1465 +a(g890 +Vreturn: +p1466 +tp1467 +a(g826 +V +p1468 +tp1469 +a(g8 +g975 +tp1470 +a(g748 +Vinteger! +p1471 +tp1472 +a(g8 +g986 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g8 +g986 +tp1476 +a(g826 +V\u000a +p1477 +tp1478 +a(g8 +g986 +tp1479 +a(g826 +V\u000a +p1480 +tp1481 +a(g770 +V#if +p1482 +tp1483 +a(g826 +g973 +tp1484 +a(g345 +VOS +p1485 +tp1486 +a(g826 +g973 +tp1487 +a(g317 +V<> +p1488 +tp1489 +a(g826 +g973 +tp1490 +a(g366 +V'MacOSX +p1491 +tp1492 +a(g826 +g973 +tp1493 +a(g8 +g975 +tp1494 +a(g826 +V\u000a +p1495 +tp1496 +a(g345 +VHistory-library +p1497 +tp1498 +a(g826 +g973 +tp1499 +a(g770 +Vcdecl +p1500 +tp1501 +a(g826 +g973 +tp1502 +a(g8 +g975 +tp1503 +a(g826 +V\u000a +p1504 +tp1505 +a(g890 +Vadd-history: +p1506 +tp1507 +a(g826 +g973 +tp1508 +a(g81 +g964 +tp1509 +a(g81 +Vadd_history +p1510 +tp1511 +a(g81 +g964 +tp1512 +a(g826 +g973 +tp1513 +a(g8 +g975 +tp1514 +a(g826 +V +p1515 +tp1516 +a(g626 +V; Add line to the history.\u000a +p1517 +tp1518 +a(g826 +V +p1519 +tp1520 +a(g345 +Vline +p1521 +tp1522 +a(g826 +V +p1523 +tp1524 +a(g8 +g975 +tp1525 +a(g748 +Vc-string! +p1526 +tp1527 +a(g8 +g986 +tp1528 +a(g826 +V\u000a +p1529 +tp1530 +a(g8 +g986 +tp1531 +a(g826 +V\u000a +p1532 +tp1533 +a(g8 +g986 +tp1534 +a(g826 +V\u000a +p1535 +tp1536 +a(g8 +g986 +tp1537 +a(g826 +V\u000a +p1538 +tp1539 +a(g8 +g986 +tp1540 +a(g826 +V\u000a\u000a +p1541 +tp1542 +a(g890 +Vrl-insert-wrapper: +p1543 +tp1544 +a(g826 +g973 +tp1545 +a(g586 +Vfunc +p1546 +tp1547 +a(g826 +g973 +tp1548 +a(g8 +g975 +tp1549 +a(g826 +V\u000a +p1550 +tp1551 +a(g8 +g975 +tp1552 +a(g770 +Vcdecl +p1553 +tp1554 +a(g8 +g986 +tp1555 +a(g826 +V\u000a +p1556 +tp1557 +a(g345 +Vcount +p1558 +tp1559 +a(g826 +V +p1560 +tp1561 +a(g8 +g975 +tp1562 +a(g748 +Vinteger! +p1563 +tp1564 +a(g8 +g986 +tp1565 +a(g826 +V\u000a +p1566 +tp1567 +a(g345 +Vkey +p1568 +tp1569 +a(g826 +V +p1570 +tp1571 +a(g8 +g975 +tp1572 +a(g748 +Vinteger! +p1573 +tp1574 +a(g8 +g986 +tp1575 +a(g826 +V\u000a +p1576 +tp1577 +a(g890 +Vreturn: +p1578 +tp1579 +a(g826 +g973 +tp1580 +a(g8 +g975 +tp1581 +a(g748 +Vinteger! +p1582 +tp1583 +a(g8 +g986 +tp1584 +a(g826 +V\u000a +p1585 +tp1586 +a(g8 +g986 +tp1587 +a(g8 +g975 +tp1588 +a(g826 +V\u000a +p1589 +tp1590 +a(g345 +Vrl-insert +p1591 +tp1592 +a(g826 +g973 +tp1593 +a(g345 +Vcount +p1594 +tp1595 +a(g826 +g973 +tp1596 +a(g345 +Vkey +p1597 +tp1598 +a(g826 +V\u000a +p1599 +tp1600 +a(g8 +g986 +tp1601 +a(g826 +V\u000a \u000a +p1602 +tp1603 +a(g8 +g986 +tp1604 +a(g826 +V\u000a +p1605 +tp1606 +a(g8 +g986 +tp1607 +a(g826 +V\u000a\u000a +p1608 +tp1609 +a(g890 +VWindows?: +p1610 +tp1611 +a(g826 +g973 +tp1612 +a(g517 +Vsystem +p1613 +tp1614 +a(g571 +V/platform +p1615 +tp1616 +a(g826 +g973 +tp1617 +a(g317 +g1067 +tp1618 +a(g826 +g973 +tp1619 +a(g366 +V'Windows +p1620 +tp1621 +a(g826 +V\u000a\u000a +p1622 +tp1623 +a(g890 +Vread-argument: +p1624 +tp1625 +a(g826 +g973 +tp1626 +a(g586 +Vroutine +p1627 +tp1628 +a(g826 +g973 +tp1629 +a(g8 +g975 +tp1630 +a(g826 +V\u000a +p1631 +tp1632 +a(g571 +V/local +p1633 +tp1634 +a(g826 +V\u000a +p1635 +tp1636 +a(g345 +Vargs +p1637 +tp1638 +a(g826 +g973 +tp1639 +a(g8 +g975 +tp1640 +a(g748 +Vstr-array! +p1641 +tp1642 +a(g8 +g986 +tp1643 +a(g826 +V\u000a +p1644 +tp1645 +a(g345 +Vstr +p1646 +tp1647 +a(g826 +V +p1648 +tp1649 +a(g8 +g975 +tp1650 +a(g748 +Vred-string! +p1651 +tp1652 +a(g8 +g986 +tp1653 +a(g826 +V\u000a +p1654 +tp1655 +a(g8 +g986 +tp1656 +a(g8 +g975 +tp1657 +a(g826 +V\u000a +p1658 +tp1659 +a(g586 +Vif +p1660 +tp1661 +a(g826 +g973 +tp1662 +a(g517 +Vsystem +p1663 +tp1664 +a(g571 +V/args-count +p1665 +tp1666 +a(g826 +g973 +tp1667 +a(g317 +V<> +p1668 +tp1669 +a(g826 +g973 +tp1670 +a(g22 +V2 +p1671 +tp1672 +a(g826 +g973 +tp1673 +a(g8 +g975 +tp1674 +a(g826 +V\u000a +p1675 +tp1676 +a(g345 +VSET_RETURN +p1677 +tp1678 +a(g8 +g1012 +tp1679 +a(g826 +Vnone-value +p1680 +tp1681 +a(g8 +g1016 +tp1682 +a(g826 +V\u000a +p1683 +tp1684 +a(g517 +Vexit +p1685 +tp1686 +a(g826 +V\u000a +p1687 +tp1688 +a(g8 +g986 +tp1689 +a(g826 +V\u000a +p1690 +tp1691 +a(g890 +Vargs: +p1692 +tp1693 +a(g826 +g973 +tp1694 +a(g517 +Vsystem +p1695 +tp1696 +a(g571 +V/args-list +p1697 +tp1698 +a(g826 +g973 +tp1699 +a(g317 +V+ +p1700 +tp1701 +a(g826 +g973 +tp1702 +a(g22 +V1 +p1703 +tp1704 +a(g826 +V +p1705 +tp1706 +a(g626 +V;-- skip binary filename\u000a +p1707 +tp1708 +a(g826 +V +p1709 +tp1710 +a(g890 +Vstr: +p1711 +tp1712 +a(g826 +g973 +tp1713 +a(g345 +Vsimple-io +p1714 +tp1715 +a(g571 +V/read-txt +p1716 +tp1717 +a(g826 +g973 +tp1718 +a(g345 +Vargs +p1719 +tp1720 +a(g571 +V/item +p1721 +tp1722 +a(g826 +V\u000a +p1723 +tp1724 +a(g345 +VSET_RETURN +p1725 +tp1726 +a(g8 +g1012 +tp1727 +a(g826 +Vstr +p1728 +tp1729 +a(g8 +g1016 +tp1730 +a(g826 +V\u000a +p1731 +tp1732 +a(g8 +g986 +tp1733 +a(g826 +V\u000a\u000a +p1734 +tp1735 +a(g890 +Vinit-console: +p1736 +tp1737 +a(g826 +g973 +tp1738 +a(g586 +Vroutine +p1739 +tp1740 +a(g826 +g973 +tp1741 +a(g8 +g975 +tp1742 +a(g826 +V\u000a +p1743 +tp1744 +a(g345 +Vstr +p1745 +tp1746 +a(g826 +g973 +tp1747 +a(g8 +g975 +tp1748 +a(g748 +Vstring! +p1749 +tp1750 +a(g8 +g986 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g571 +V/local +p1754 +tp1755 +a(g826 +V\u000a +p1756 +tp1757 +a(g345 +Vret +p1758 +tp1759 +a(g826 +V\u000a +p1760 +tp1761 +a(g8 +g986 +tp1762 +a(g8 +g975 +tp1763 +a(g826 +V\u000a +p1764 +tp1765 +a(g770 +V#either +p1766 +tp1767 +a(g826 +g973 +tp1768 +a(g345 +VOS +p1769 +tp1770 +a(g826 +g973 +tp1771 +a(g317 +g1067 +tp1772 +a(g826 +g973 +tp1773 +a(g366 +V'Windows +p1774 +tp1775 +a(g826 +g973 +tp1776 +a(g8 +g975 +tp1777 +a(g826 +V\u000a +p1778 +tp1779 +a(g626 +V;ret: AttachConsole -1\u000a +p1780 +tp1781 +a(g826 +V +p1782 +tp1783 +a(g626 +V;if zero? ret [print-line "ReadConsole failed!" halt]\u000a +p1784 +tp1785 +a(g826 +V \u000a +p1786 +tp1787 +a(g890 +Vret: +p1788 +tp1789 +a(g826 +g973 +tp1790 +a(g345 +VSetConsoleTitle +p1791 +tp1792 +a(g826 +g973 +tp1793 +a(g345 +Vas +p1794 +tp1795 +a(g826 +g973 +tp1796 +a(g748 +Vc-string! +p1797 +tp1798 +a(g826 +g973 +tp1799 +a(g345 +Vstring +p1800 +tp1801 +a(g571 +V/rs-head +p1802 +tp1803 +a(g826 +g973 +tp1804 +a(g345 +Vstr +p1805 +tp1806 +a(g826 +V\u000a +p1807 +tp1808 +a(g586 +Vif +p1809 +tp1810 +a(g826 +g973 +tp1811 +a(g744 +Vzero? +p1812 +tp1813 +a(g826 +g973 +tp1814 +a(g345 +Vret +p1815 +tp1816 +a(g826 +g973 +tp1817 +a(g8 +g975 +tp1818 +a(g345 +Vprint-line +p1819 +tp1820 +a(g826 +g973 +tp1821 +a(g81 +g964 +tp1822 +a(g81 +VSetConsoleTitle failed! +p1823 +tp1824 +a(g81 +g964 +tp1825 +a(g826 +g973 +tp1826 +a(g517 +Vhalt +p1827 +tp1828 +a(g8 +g986 +tp1829 +a(g826 +V\u000a +p1830 +tp1831 +a(g8 +g986 +tp1832 +a(g8 +g975 +tp1833 +a(g826 +V\u000a +p1834 +tp1835 +a(g345 +Vrl-bind-key +p1836 +tp1837 +a(g826 +g973 +tp1838 +a(g345 +Vas-integer +p1839 +tp1840 +a(g826 +g973 +tp1841 +a(g598 +Vtab +p1842 +tp1843 +a(g826 +g973 +tp1844 +a(g345 +Vas-integer +p1845 +tp1846 +a(g826 +g973 +tp1847 +a(g890 +V:rl-insert-wrapper +p1848 +tp1849 +a(g826 +V\u000a +p1850 +tp1851 +a(g8 +g986 +tp1852 +a(g826 +V\u000a +p1853 +tp1854 +a(g8 +g986 +tp1855 +a(g826 +V\u000a\u000a +p1856 +tp1857 +a(g890 +Vinput: +p1858 +tp1859 +a(g826 +g973 +tp1860 +a(g586 +Vroutine +p1861 +tp1862 +a(g826 +g973 +tp1863 +a(g8 +g975 +tp1864 +a(g826 +V\u000a +p1865 +tp1866 +a(g345 +Vprompt +p1867 +tp1868 +a(g826 +g973 +tp1869 +a(g8 +g975 +tp1870 +a(g748 +Vstring! +p1871 +tp1872 +a(g8 +g986 +tp1873 +a(g826 +V\u000a +p1874 +tp1875 +a(g571 +V/local +p1876 +tp1877 +a(g826 +V\u000a +p1878 +tp1879 +a(g345 +Vlen +p1880 +tp1881 +a(g826 +g973 +tp1882 +a(g345 +Vret +p1883 +tp1884 +a(g826 +g973 +tp1885 +a(g345 +Vstr +p1886 +tp1887 +a(g826 +g973 +tp1888 +a(g345 +Vbuffer +p1889 +tp1890 +a(g826 +g973 +tp1891 +a(g345 +Vline +p1892 +tp1893 +a(g826 +V\u000a +p1894 +tp1895 +a(g8 +g986 +tp1896 +a(g8 +g975 +tp1897 +a(g826 +V\u000a +p1898 +tp1899 +a(g770 +V#either +p1900 +tp1901 +a(g826 +g973 +tp1902 +a(g345 +VOS +p1903 +tp1904 +a(g826 +g973 +tp1905 +a(g317 +g1067 +tp1906 +a(g826 +g973 +tp1907 +a(g366 +V'Windows +p1908 +tp1909 +a(g826 +g973 +tp1910 +a(g8 +g975 +tp1911 +a(g826 +V\u000a +p1912 +tp1913 +a(g890 +Vlen: +p1914 +tp1915 +a(g826 +g973 +tp1916 +a(g22 +V0 +p1917 +tp1918 +a(g826 +V\u000a +p1919 +tp1920 +a(g586 +Vprint +p1921 +tp1922 +a(g826 +g973 +tp1923 +a(g345 +Vas +p1924 +tp1925 +a(g826 +g973 +tp1926 +a(g748 +Vc-string! +p1927 +tp1928 +a(g826 +g973 +tp1929 +a(g345 +Vstring +p1930 +tp1931 +a(g571 +V/rs-head +p1932 +tp1933 +a(g826 +g973 +tp1934 +a(g345 +Vprompt +p1935 +tp1936 +a(g826 +V\u000a +p1937 +tp1938 +a(g890 +Vret: +p1939 +tp1940 +a(g826 +g973 +tp1941 +a(g345 +VReadConsole +p1942 +tp1943 +a(g826 +g973 +tp1944 +a(g345 +Vstdin +p1945 +tp1946 +a(g826 +g973 +tp1947 +a(g345 +Vline-buffer +p1948 +tp1949 +a(g826 +g973 +tp1950 +a(g345 +Vline-buffer-size +p1951 +tp1952 +a(g826 +g973 +tp1953 +a(g890 +V:len +p1954 +tp1955 +a(g826 +g973 +tp1956 +a(g598 +Vnull +p1957 +tp1958 +a(g826 +V\u000a +p1959 +tp1960 +a(g586 +Vif +p1961 +tp1962 +a(g826 +g973 +tp1963 +a(g744 +Vzero? +p1964 +tp1965 +a(g826 +g973 +tp1966 +a(g345 +Vret +p1967 +tp1968 +a(g826 +g973 +tp1969 +a(g8 +g975 +tp1970 +a(g345 +Vprint-line +p1971 +tp1972 +a(g826 +g973 +tp1973 +a(g81 +g964 +tp1974 +a(g81 +VReadConsole failed! +p1975 +tp1976 +a(g81 +g964 +tp1977 +a(g826 +g973 +tp1978 +a(g517 +Vhalt +p1979 +tp1980 +a(g8 +g986 +tp1981 +a(g826 +V\u000a +p1982 +tp1983 +a(g890 +Vlen: +p1984 +tp1985 +a(g826 +g973 +tp1986 +a(g345 +Vlen +p1987 +tp1988 +a(g826 +g973 +tp1989 +a(g317 +g1700 +tp1990 +a(g826 +g973 +tp1991 +a(g22 +g1703 +tp1992 +a(g826 +V\u000a +p1993 +tp1994 +a(g345 +Vline-buffer +p1995 +tp1996 +a(g571 +V/len: +p1997 +tp1998 +a(g826 +g973 +tp1999 +a(g598 +Vnull-byte +p2000 +tp2001 +a(g826 +V\u000a +p2002 +tp2003 +a(g890 +Vstr: +p2004 +tp2005 +a(g826 +g973 +tp2006 +a(g345 +Vstring +p2007 +tp2008 +a(g571 +V/load +p2009 +tp2010 +a(g826 +g973 +tp2011 +a(g345 +Vas +p2012 +tp2013 +a(g826 +g973 +tp2014 +a(g748 +Vc-string! +p2015 +tp2016 +a(g826 +g973 +tp2017 +a(g345 +Vline-buffer +p2018 +tp2019 +a(g826 +g973 +tp2020 +a(g345 +Vlen +p2021 +tp2022 +a(g826 +V\u000a +p2023 +tp2024 +a(g8 +g986 +tp2025 +a(g8 +g975 +tp2026 +a(g826 +V\u000a +p2027 +tp2028 +a(g890 +Vline: +p2029 +tp2030 +a(g826 +g973 +tp2031 +a(g345 +Vread-line +p2032 +tp2033 +a(g826 +g973 +tp2034 +a(g345 +Vas +p2035 +tp2036 +a(g826 +g973 +tp2037 +a(g748 +Vc-string! +p2038 +tp2039 +a(g826 +g973 +tp2040 +a(g345 +Vstring +p2041 +tp2042 +a(g571 +V/rs-head +p2043 +tp2044 +a(g826 +g973 +tp2045 +a(g345 +Vprompt +p2046 +tp2047 +a(g826 +V\u000a +p2048 +tp2049 +a(g586 +Vif +p2050 +tp2051 +a(g826 +g973 +tp2052 +a(g345 +Vline +p2053 +tp2054 +a(g826 +g973 +tp2055 +a(g317 +g1067 +tp2056 +a(g826 +g973 +tp2057 +a(g598 +Vnull +p2058 +tp2059 +a(g826 +g973 +tp2060 +a(g8 +g975 +tp2061 +a(g517 +Vhalt +p2062 +tp2063 +a(g8 +g986 +tp2064 +a(g826 +V +p2065 +tp2066 +a(g626 +V; EOF\u000a +p2067 +tp2068 +a(g826 +V\u000a +p2069 +tp2070 +a(g770 +V#if +p2071 +tp2072 +a(g826 +g973 +tp2073 +a(g345 +VOS +p2074 +tp2075 +a(g826 +g973 +tp2076 +a(g317 +V<> +p2077 +tp2078 +a(g826 +g973 +tp2079 +a(g366 +V'MacOSX +p2080 +tp2081 +a(g826 +g973 +tp2082 +a(g8 +g975 +tp2083 +a(g345 +Vadd-history +p2084 +tp2085 +a(g826 +g973 +tp2086 +a(g345 +Vline +p2087 +tp2088 +a(g8 +g986 +tp2089 +a(g826 +V\u000a\u000a +p2090 +tp2091 +a(g890 +Vstr: +p2092 +tp2093 +a(g826 +g973 +tp2094 +a(g345 +Vstring +p2095 +tp2096 +a(g571 +V/load +p2097 +tp2098 +a(g826 +g973 +tp2099 +a(g345 +Vline +p2100 +tp2101 +a(g826 +V +p2102 +tp2103 +a(g22 +g1703 +tp2104 +a(g826 +g973 +tp2105 +a(g317 +g1700 +tp2106 +a(g826 +g973 +tp2107 +a(g478 +Vlength? +p2108 +tp2109 +a(g826 +g973 +tp2110 +a(g345 +Vline +p2111 +tp2112 +a(g826 +V\u000a +p2113 +tp2114 +a(g626 +V; free as byte-ptr! line\u000a +p2115 +tp2116 +a(g826 +V +p2117 +tp2118 +a(g8 +g986 +tp2119 +a(g826 +V\u000a +p2120 +tp2121 +a(g345 +VSET_RETURN +p2122 +tp2123 +a(g8 +g1012 +tp2124 +a(g826 +Vstr +p2125 +tp2126 +a(g8 +g1016 +tp2127 +a(g826 +V\u000a +p2128 +tp2129 +a(g8 +g986 +tp2130 +a(g826 +V\u000a\u000a +p2131 +tp2132 +a(g890 +Vcount-delimiters: +p2133 +tp2134 +a(g826 +g973 +tp2135 +a(g586 +Vfunction +p2136 +tp2137 +a(g826 +g973 +tp2138 +a(g8 +g975 +tp2139 +a(g826 +V\u000a +p2140 +tp2141 +a(g345 +Vbuffer +p2142 +tp2143 +a(g826 +V +p2144 +tp2145 +a(g8 +g975 +tp2146 +a(g748 +Vstring! +p2147 +tp2148 +a(g8 +g986 +tp2149 +a(g826 +V\u000a +p2150 +tp2151 +a(g890 +Vreturn: +p2152 +tp2153 +a(g826 +g973 +tp2154 +a(g8 +g975 +tp2155 +a(g748 +Vblock! +p2156 +tp2157 +a(g8 +g986 +tp2158 +a(g826 +V\u000a +p2159 +tp2160 +a(g8 +g986 +tp2161 +a(g8 +g975 +tp2162 +a(g826 +V\u000a +p2163 +tp2164 +a(g890 +Vlist: +p2165 +tp2166 +a(g826 +g973 +tp2167 +a(g478 +Vcopy +p2168 +tp2169 +a(g826 +g973 +tp2170 +a(g8 +g975 +tp2171 +a(g22 +g1917 +tp2172 +a(g826 +g973 +tp2173 +a(g22 +g1917 +tp2174 +a(g8 +g986 +tp2175 +a(g826 +V\u000a +p2176 +tp2177 +a(g890 +Vc: +p2178 +tp2179 +a(g826 +g973 +tp2180 +a(g598 +Vnone +p2181 +tp2182 +a(g826 +V\u000a \u000a +p2183 +tp2184 +a(g586 +Vforeach +p2185 +tp2186 +a(g826 +g973 +tp2187 +a(g345 +Vc +p2188 +tp2189 +a(g826 +g973 +tp2190 +a(g345 +Vbuffer +p2191 +tp2192 +a(g826 +g973 +tp2193 +a(g8 +g975 +tp2194 +a(g826 +V\u000a +p2195 +tp2196 +a(g586 +Vcase +p2197 +tp2198 +a(g826 +g973 +tp2199 +a(g8 +g975 +tp2200 +a(g826 +V\u000a +p2201 +tp2202 +a(g345 +Vescaped? +p2203 +tp2204 +a(g826 +g973 +tp2205 +a(g8 +g975 +tp2206 +a(g826 +V\u000a +p2207 +tp2208 +a(g890 +Vescaped?: +p2209 +tp2210 +a(g826 +g973 +tp2211 +a(g598 +Vno +p2212 +tp2213 +a(g826 +V\u000a +p2214 +tp2215 +a(g8 +g986 +tp2216 +a(g826 +V\u000a +p2217 +tp2218 +a(g345 +Vin-comment? +p2219 +tp2220 +a(g826 +g973 +tp2221 +a(g8 +g975 +tp2222 +a(g826 +V\u000a +p2223 +tp2224 +a(g586 +Vswitch +p2225 +tp2226 +a(g826 +g973 +tp2227 +a(g345 +g2188 +tp2228 +a(g826 +g973 +tp2229 +a(g8 +g975 +tp2230 +a(g826 +V\u000a +p2231 +tp2232 +a(g85 +V#" +p2233 +tp2234 +a(g85 +V^/" +p2235 +tp2236 +a(g826 +g973 +tp2237 +a(g8 +g975 +tp2238 +a(g890 +Vin-comment?: +p2239 +tp2240 +a(g826 +g973 +tp2241 +a(g598 +Vno +p2242 +tp2243 +a(g8 +g986 +tp2244 +a(g826 +V\u000a +p2245 +tp2246 +a(g8 +g986 +tp2247 +a(g826 +V\u000a +p2248 +tp2249 +a(g8 +g986 +tp2250 +a(g826 +V\u000a +p2251 +tp2252 +a(g366 +V'else +p2253 +tp2254 +a(g826 +g973 +tp2255 +a(g8 +g975 +tp2256 +a(g826 +V\u000a +p2257 +tp2258 +a(g586 +Vswitch +p2259 +tp2260 +a(g826 +g973 +tp2261 +a(g345 +g2188 +tp2262 +a(g826 +g973 +tp2263 +a(g8 +g975 +tp2264 +a(g826 +V\u000a +p2265 +tp2266 +a(g85 +V#" +p2267 +tp2268 +a(g85 +V^^" +p2269 +tp2270 +a(g826 +g973 +tp2271 +a(g8 +g975 +tp2272 +a(g890 +Vescaped?: +p2273 +tp2274 +a(g826 +g973 +tp2275 +a(g598 +Vyes +p2276 +tp2277 +a(g8 +g986 +tp2278 +a(g826 +V\u000a +p2279 +tp2280 +a(g85 +V#" +p2281 +tp2282 +a(g85 +V;" +p2283 +tp2284 +a(g826 +V +p2285 +tp2286 +a(g8 +g975 +tp2287 +a(g586 +Vif +p2288 +tp2289 +a(g826 +g973 +tp2290 +a(g744 +Vzero? +p2291 +tp2292 +a(g826 +g973 +tp2293 +a(g345 +Vlist +p2294 +tp2295 +a(g571 +V/2 +p2296 +tp2297 +a(g826 +g973 +tp2298 +a(g8 +g975 +tp2299 +a(g890 +Vin-comment?: +p2300 +tp2301 +a(g826 +g973 +tp2302 +a(g598 +Vyes +p2303 +tp2304 +a(g8 +g986 +tp2305 +a(g8 +g986 +tp2306 +a(g826 +V\u000a +p2307 +tp2308 +a(g85 +V#" +p2309 +tp2310 +a(g85 +V[" +p2311 +tp2312 +a(g826 +V +p2313 +tp2314 +a(g8 +g975 +tp2315 +a(g345 +Vlist +p2316 +tp2317 +a(g571 +V/1: +p2318 +tp2319 +a(g826 +g973 +tp2320 +a(g345 +Vlist +p2321 +tp2322 +a(g571 +V/1 +p2323 +tp2324 +a(g826 +g973 +tp2325 +a(g317 +g1700 +tp2326 +a(g826 +g973 +tp2327 +a(g22 +g1703 +tp2328 +a(g8 +g986 +tp2329 +a(g826 +V\u000a +p2330 +tp2331 +a(g85 +V#" +p2332 +tp2333 +a(g85 +V]" +p2334 +tp2335 +a(g826 +V +p2336 +tp2337 +a(g8 +g975 +tp2338 +a(g345 +Vlist +p2339 +tp2340 +a(g571 +V/1: +p2341 +tp2342 +a(g826 +g973 +tp2343 +a(g345 +Vlist +p2344 +tp2345 +a(g571 +V/1 +p2346 +tp2347 +a(g826 +g973 +tp2348 +a(g317 +V- +p2349 +tp2350 +a(g826 +g973 +tp2351 +a(g22 +g1703 +tp2352 +a(g8 +g986 +tp2353 +a(g826 +V\u000a +p2354 +tp2355 +a(g85 +V#" +p2356 +tp2357 +a(g85 +V{" +p2358 +tp2359 +a(g826 +V +p2360 +tp2361 +a(g8 +g975 +tp2362 +a(g345 +Vlist +p2363 +tp2364 +a(g571 +V/2: +p2365 +tp2366 +a(g826 +g973 +tp2367 +a(g345 +Vlist +p2368 +tp2369 +a(g571 +V/2 +p2370 +tp2371 +a(g826 +g973 +tp2372 +a(g317 +g1700 +tp2373 +a(g826 +g973 +tp2374 +a(g22 +g1703 +tp2375 +a(g8 +g986 +tp2376 +a(g826 +V\u000a +p2377 +tp2378 +a(g85 +V#" +p2379 +tp2380 +a(g85 +V}" +p2381 +tp2382 +a(g826 +V +p2383 +tp2384 +a(g8 +g975 +tp2385 +a(g345 +Vlist +p2386 +tp2387 +a(g571 +V/2: +p2388 +tp2389 +a(g826 +g973 +tp2390 +a(g345 +Vlist +p2391 +tp2392 +a(g571 +V/2 +p2393 +tp2394 +a(g826 +g973 +tp2395 +a(g317 +g2349 +tp2396 +a(g826 +g973 +tp2397 +a(g22 +g1703 +tp2398 +a(g8 +g986 +tp2399 +a(g826 +V\u000a +p2400 +tp2401 +a(g8 +g986 +tp2402 +a(g826 +V\u000a +p2403 +tp2404 +a(g8 +g986 +tp2405 +a(g826 +V\u000a +p2406 +tp2407 +a(g8 +g986 +tp2408 +a(g826 +V\u000a +p2409 +tp2410 +a(g8 +g986 +tp2411 +a(g826 +V\u000a +p2412 +tp2413 +a(g345 +Vlist +p2414 +tp2415 +a(g826 +V\u000a +p2416 +tp2417 +a(g8 +g986 +tp2418 +a(g826 +V\u000a\u000a +p2419 +tp2420 +a(g890 +Vdo-console: +p2421 +tp2422 +a(g826 +g973 +tp2423 +a(g586 +Vfunction +p2424 +tp2425 +a(g826 +g973 +tp2426 +a(g8 +g975 +tp2427 +a(g8 +g986 +tp2428 +a(g8 +g975 +tp2429 +a(g826 +V\u000a +p2430 +tp2431 +a(g890 +Vbuffer: +p2432 +tp2433 +a(g826 +g973 +tp2434 +a(g478 +Vmake +p2435 +tp2436 +a(g826 +g973 +tp2437 +a(g748 +Vstring! +p2438 +tp2439 +a(g826 +g973 +tp2440 +a(g22 +V10000 +p2441 +tp2442 +a(g826 +V\u000a +p2443 +tp2444 +a(g890 +Vprompt: +p2445 +tp2446 +a(g826 +g973 +tp2447 +a(g890 +Vred-prompt: +p2448 +tp2449 +a(g826 +g973 +tp2450 +a(g81 +g964 +tp2451 +a(g81 +Vred>> +p2452 +tp2453 +a(g81 +g964 +tp2454 +a(g826 +V\u000a +p2455 +tp2456 +a(g890 +Vmode: +p2457 +tp2458 +a(g826 +V +p2459 +tp2460 +a(g366 +V'mono +p2461 +tp2462 +a(g826 +V\u000a \u000a +p2463 +tp2464 +a(g890 +Vswitch-mode: +p2465 +tp2466 +a(g826 +g973 +tp2467 +a(g8 +g975 +tp2468 +a(g826 +V\u000a +p2469 +tp2470 +a(g890 +Vmode: +p2471 +tp2472 +a(g826 +g973 +tp2473 +a(g586 +Vcase +p2474 +tp2475 +a(g826 +g973 +tp2476 +a(g8 +g975 +tp2477 +a(g826 +V\u000a +p2478 +tp2479 +a(g345 +Vcnt +p2480 +tp2481 +a(g571 +V/1 +p2482 +tp2483 +a(g826 +g973 +tp2484 +a(g345 +V> +p2485 +tp2486 +a(g826 +g973 +tp2487 +a(g22 +g1917 +tp2488 +a(g826 +g973 +tp2489 +a(g8 +g975 +tp2490 +a(g366 +V'block +p2491 +tp2492 +a(g8 +g986 +tp2493 +a(g826 +V\u000a +p2494 +tp2495 +a(g345 +Vcnt +p2496 +tp2497 +a(g571 +V/2 +p2498 +tp2499 +a(g826 +g973 +tp2500 +a(g345 +g2485 +tp2501 +a(g826 +g973 +tp2502 +a(g22 +g1917 +tp2503 +a(g826 +g973 +tp2504 +a(g8 +g975 +tp2505 +a(g366 +V'string +p2506 +tp2507 +a(g8 +g986 +tp2508 +a(g826 +V\u000a +p2509 +tp2510 +a(g366 +V'else +p2511 +tp2512 +a(g826 +V +p2513 +tp2514 +a(g8 +g975 +tp2515 +a(g826 +V\u000a +p2516 +tp2517 +a(g890 +Vprompt: +p2518 +tp2519 +a(g826 +g973 +tp2520 +a(g345 +Vred-prompt +p2521 +tp2522 +a(g826 +V\u000a +p2523 +tp2524 +a(g517 +Vdo +p2525 +tp2526 +a(g826 +g973 +tp2527 +a(g345 +Veval +p2528 +tp2529 +a(g826 +V\u000a +p2530 +tp2531 +a(g366 +V'mono +p2532 +tp2533 +a(g826 +V\u000a +p2534 +tp2535 +a(g8 +g986 +tp2536 +a(g826 +V\u000a +p2537 +tp2538 +a(g8 +g986 +tp2539 +a(g826 +V\u000a +p2540 +tp2541 +a(g890 +Vprompt: +p2542 +tp2543 +a(g826 +g973 +tp2544 +a(g586 +Vswitch +p2545 +tp2546 +a(g826 +g973 +tp2547 +a(g345 +Vmode +p2548 +tp2549 +a(g826 +g973 +tp2550 +a(g8 +g975 +tp2551 +a(g826 +V\u000a +p2552 +tp2553 +a(g345 +Vblock +p2554 +tp2555 +a(g826 +V +p2556 +tp2557 +a(g8 +g975 +tp2558 +a(g81 +g964 +tp2559 +a(g81 +g975 +tp2560 +a(g205 +V^- +p2561 +tp2562 +a(g81 +g964 +tp2563 +a(g8 +g986 +tp2564 +a(g826 +V\u000a +p2565 +tp2566 +a(g345 +Vstring +p2567 +tp2568 +a(g826 +g973 +tp2569 +a(g8 +g975 +tp2570 +a(g81 +g964 +tp2571 +a(g81 +g1026 +tp2572 +a(g205 +V^- +p2573 +tp2574 +a(g81 +g964 +tp2575 +a(g8 +g986 +tp2576 +a(g826 +V\u000a +p2577 +tp2578 +a(g345 +Vmono +p2579 +tp2580 +a(g826 +V +p2581 +tp2582 +a(g8 +g975 +tp2583 +a(g345 +Vred-prompt +p2584 +tp2585 +a(g8 +g986 +tp2586 +a(g826 +V\u000a +p2587 +tp2588 +a(g8 +g986 +tp2589 +a(g826 +V\u000a +p2590 +tp2591 +a(g8 +g986 +tp2592 +a(g826 +V\u000a \u000a +p2593 +tp2594 +a(g890 +Veval: +p2595 +tp2596 +a(g826 +g973 +tp2597 +a(g8 +g975 +tp2598 +a(g826 +V\u000a +p2599 +tp2600 +a(g890 +Vcode: +p2601 +tp2602 +a(g826 +g973 +tp2603 +a(g517 +Vload +p2604 +tp2605 +a(g571 +V/all +p2606 +tp2607 +a(g826 +g973 +tp2608 +a(g345 +Vbuffer +p2609 +tp2610 +a(g826 +V\u000a \u000a +p2611 +tp2612 +a(g586 +Vunless +p2613 +tp2614 +a(g826 +g973 +tp2615 +a(g478 +Vtail? +p2616 +tp2617 +a(g826 +g973 +tp2618 +a(g345 +Vcode +p2619 +tp2620 +a(g826 +g973 +tp2621 +a(g8 +g975 +tp2622 +a(g826 +V\u000a +p2623 +tp2624 +a(g586 +Vset +p2625 +tp2626 +a(g571 +V/any +p2627 +tp2628 +a(g826 +g973 +tp2629 +a(g366 +V'result +p2630 +tp2631 +a(g826 +g973 +tp2632 +a(g517 +Vdo +p2633 +tp2634 +a(g826 +g973 +tp2635 +a(g345 +Vcode +p2636 +tp2637 +a(g826 +V\u000a \u000a +p2638 +tp2639 +a(g586 +Vunless +p2640 +tp2641 +a(g826 +g973 +tp2642 +a(g744 +Vunset? +p2643 +tp2644 +a(g826 +g973 +tp2645 +a(g890 +V:result +p2646 +tp2647 +a(g826 +g973 +tp2648 +a(g8 +g975 +tp2649 +a(g826 +V\u000a +p2650 +tp2651 +a(g586 +Vif +p2652 +tp2653 +a(g826 +g973 +tp2654 +a(g22 +V67 +p2655 +tp2656 +a(g826 +g973 +tp2657 +a(g317 +g1067 +tp2658 +a(g826 +g973 +tp2659 +a(g478 +Vlength? +p2660 +tp2661 +a(g826 +g973 +tp2662 +a(g890 +Vresult: +p2663 +tp2664 +a(g826 +g973 +tp2665 +a(g478 +Vmold +p2666 +tp2667 +a(g571 +V/part +p2668 +tp2669 +a(g826 +g973 +tp2670 +a(g890 +V:result +p2671 +tp2672 +a(g826 +g973 +tp2673 +a(g22 +V67 +p2674 +tp2675 +a(g826 +g973 +tp2676 +a(g8 +g975 +tp2677 +a(g826 +V +p2678 +tp2679 +a(g626 +V;-- optimized for width = 72\u000a +p2680 +tp2681 +a(g826 +V +p2682 +tp2683 +a(g478 +Vclear +p2684 +tp2685 +a(g826 +g973 +tp2686 +a(g478 +Vback +p2687 +tp2688 +a(g826 +g973 +tp2689 +a(g478 +Vtail +p2690 +tp2691 +a(g826 +g973 +tp2692 +a(g345 +Vresult +p2693 +tp2694 +a(g826 +V\u000a +p2695 +tp2696 +a(g478 +Vappend +p2697 +tp2698 +a(g826 +g973 +tp2699 +a(g345 +Vresult +p2700 +tp2701 +a(g826 +g973 +tp2702 +a(g81 +g964 +tp2703 +a(g81 +V... +p2704 +tp2705 +a(g81 +g964 +tp2706 +a(g826 +V\u000a +p2707 +tp2708 +a(g8 +g986 +tp2709 +a(g826 +V\u000a +p2710 +tp2711 +a(g586 +Vprint +p2712 +tp2713 +a(g826 +g973 +tp2714 +a(g8 +g975 +tp2715 +a(g81 +g964 +tp2716 +a(g81 +V== +p2717 +tp2718 +a(g81 +g964 +tp2719 +a(g826 +g973 +tp2720 +a(g345 +Vresult +p2721 +tp2722 +a(g8 +g986 +tp2723 +a(g826 +V\u000a +p2724 +tp2725 +a(g8 +g986 +tp2726 +a(g826 +V\u000a +p2727 +tp2728 +a(g8 +g986 +tp2729 +a(g826 +V\u000a +p2730 +tp2731 +a(g478 +Vclear +p2732 +tp2733 +a(g826 +g973 +tp2734 +a(g345 +Vbuffer +p2735 +tp2736 +a(g826 +V\u000a +p2737 +tp2738 +a(g8 +g986 +tp2739 +a(g826 +V\u000a\u000a +p2740 +tp2741 +a(g586 +Vwhile +p2742 +tp2743 +a(g826 +g973 +tp2744 +a(g8 +g975 +tp2745 +a(g598 +Vtrue +p2746 +tp2747 +a(g8 +g986 +tp2748 +a(g8 +g975 +tp2749 +a(g826 +V\u000a +p2750 +tp2751 +a(g586 +Vunless +p2752 +tp2753 +a(g826 +g973 +tp2754 +a(g478 +Vtail? +p2755 +tp2756 +a(g826 +g973 +tp2757 +a(g890 +Vline: +p2758 +tp2759 +a(g826 +g973 +tp2760 +a(g345 +Vinput +p2761 +tp2762 +a(g826 +g973 +tp2763 +a(g345 +Vprompt +p2764 +tp2765 +a(g826 +g973 +tp2766 +a(g8 +g975 +tp2767 +a(g826 +V\u000a +p2768 +tp2769 +a(g478 +Vappend +p2770 +tp2771 +a(g826 +g973 +tp2772 +a(g345 +Vbuffer +p2773 +tp2774 +a(g826 +g973 +tp2775 +a(g345 +Vline +p2776 +tp2777 +a(g826 +V\u000a +p2778 +tp2779 +a(g890 +Vcnt: +p2780 +tp2781 +a(g826 +g973 +tp2782 +a(g345 +Vcount-delimiters +p2783 +tp2784 +a(g826 +g973 +tp2785 +a(g345 +Vbuffer +p2786 +tp2787 +a(g826 +V\u000a\u000a +p2788 +tp2789 +a(g586 +Veither +p2790 +tp2791 +a(g826 +g973 +tp2792 +a(g345 +VWindows? +p2793 +tp2794 +a(g826 +g973 +tp2795 +a(g8 +g975 +tp2796 +a(g826 +V\u000a +p2797 +tp2798 +a(g478 +Vremove +p2799 +tp2800 +a(g826 +g973 +tp2801 +a(g478 +Vskip +p2802 +tp2803 +a(g826 +g973 +tp2804 +a(g478 +Vtail +p2805 +tp2806 +a(g826 +g973 +tp2807 +a(g345 +Vbuffer +p2808 +tp2809 +a(g826 +g973 +tp2810 +a(g22 +V-2 +p2811 +tp2812 +a(g826 +V +p2813 +tp2814 +a(g626 +V;-- clear extra CR (Windows)\u000a +p2815 +tp2816 +a(g826 +V +p2817 +tp2818 +a(g8 +g986 +tp2819 +a(g8 +g975 +tp2820 +a(g826 +V\u000a +p2821 +tp2822 +a(g478 +Vappend +p2823 +tp2824 +a(g826 +g973 +tp2825 +a(g345 +Vbuffer +p2826 +tp2827 +a(g826 +g973 +tp2828 +a(g598 +Vlf +p2829 +tp2830 +a(g826 +V +p2831 +tp2832 +a(g626 +V;-- Unix\u000a +p2833 +tp2834 +a(g826 +V +p2835 +tp2836 +a(g8 +g986 +tp2837 +a(g826 +V\u000a \u000a +p2838 +tp2839 +a(g586 +Vswitch +p2840 +tp2841 +a(g826 +g973 +tp2842 +a(g345 +Vmode +p2843 +tp2844 +a(g826 +g973 +tp2845 +a(g8 +g975 +tp2846 +a(g826 +V\u000a +p2847 +tp2848 +a(g345 +Vblock +p2849 +tp2850 +a(g826 +V +p2851 +tp2852 +a(g8 +g975 +tp2853 +a(g586 +Vif +p2854 +tp2855 +a(g826 +g973 +tp2856 +a(g345 +Vcnt +p2857 +tp2858 +a(g571 +V/1 +p2859 +tp2860 +a(g826 +g973 +tp2861 +a(g317 +V<= +p2862 +tp2863 +a(g826 +g973 +tp2864 +a(g22 +g1917 +tp2865 +a(g826 +g973 +tp2866 +a(g8 +g975 +tp2867 +a(g517 +Vdo +p2868 +tp2869 +a(g826 +g973 +tp2870 +a(g345 +Vswitch-mode +p2871 +tp2872 +a(g8 +g986 +tp2873 +a(g8 +g986 +tp2874 +a(g826 +V\u000a +p2875 +tp2876 +a(g345 +Vstring +p2877 +tp2878 +a(g826 +g973 +tp2879 +a(g8 +g975 +tp2880 +a(g586 +Vif +p2881 +tp2882 +a(g826 +g973 +tp2883 +a(g345 +Vcnt +p2884 +tp2885 +a(g571 +V/2 +p2886 +tp2887 +a(g826 +g973 +tp2888 +a(g317 +V<= +p2889 +tp2890 +a(g826 +g973 +tp2891 +a(g22 +g1917 +tp2892 +a(g826 +g973 +tp2893 +a(g8 +g975 +tp2894 +a(g517 +Vdo +p2895 +tp2896 +a(g826 +g973 +tp2897 +a(g345 +Vswitch-mode +p2898 +tp2899 +a(g8 +g986 +tp2900 +a(g8 +g986 +tp2901 +a(g826 +V\u000a +p2902 +tp2903 +a(g345 +Vmono +p2904 +tp2905 +a(g826 +V +p2906 +tp2907 +a(g8 +g975 +tp2908 +a(g517 +Vdo +p2909 +tp2910 +a(g826 +g973 +tp2911 +a(g586 +Veither +p2912 +tp2913 +a(g826 +g973 +tp2914 +a(g586 +Vany +p2915 +tp2916 +a(g826 +g973 +tp2917 +a(g8 +g975 +tp2918 +a(g345 +Vcnt +p2919 +tp2920 +a(g571 +V/1 +p2921 +tp2922 +a(g826 +g973 +tp2923 +a(g345 +g2485 +tp2924 +a(g826 +g973 +tp2925 +a(g22 +g1917 +tp2926 +a(g826 +g973 +tp2927 +a(g345 +Vcnt +p2928 +tp2929 +a(g571 +V/2 +p2930 +tp2931 +a(g826 +g973 +tp2932 +a(g345 +g2485 +tp2933 +a(g826 +g973 +tp2934 +a(g22 +g1917 +tp2935 +a(g8 +g986 +tp2936 +a(g8 +g975 +tp2937 +a(g345 +Vswitch-mode +p2938 +tp2939 +a(g8 +g986 +tp2940 +a(g8 +g975 +tp2941 +a(g345 +Veval +p2942 +tp2943 +a(g8 +g986 +tp2944 +a(g8 +g986 +tp2945 +a(g826 +V\u000a +p2946 +tp2947 +a(g8 +g986 +tp2948 +a(g826 +V\u000a +p2949 +tp2950 +a(g8 +g986 +tp2951 +a(g826 +V\u000a +p2952 +tp2953 +a(g8 +g986 +tp2954 +a(g826 +V\u000a +p2955 +tp2956 +a(g8 +g986 +tp2957 +a(g826 +V\u000a\u000a +p2958 +tp2959 +a(g890 +Vq: +p2960 +tp2961 +a(g826 +g973 +tp2962 +a(g890 +V:quit +p2963 +tp2964 +a(g826 +V\u000a\u000a +p2965 +tp2966 +a(g586 +Vif +p2967 +tp2968 +a(g826 +g973 +tp2969 +a(g890 +Vscript: +p2970 +tp2971 +a(g826 +g973 +tp2972 +a(g345 +Vread-argument +p2973 +tp2974 +a(g826 +g973 +tp2975 +a(g8 +g975 +tp2976 +a(g826 +V\u000a +p2977 +tp2978 +a(g890 +Vscript: +p2979 +tp2980 +a(g826 +g973 +tp2981 +a(g517 +Vload +p2982 +tp2983 +a(g826 +g973 +tp2984 +a(g345 +Vscript +p2985 +tp2986 +a(g826 +V\u000a +p2987 +tp2988 +a(g586 +Veither +p2989 +tp2990 +a(g826 +g973 +tp2991 +a(g586 +Vany +p2992 +tp2993 +a(g826 +g973 +tp2994 +a(g8 +g975 +tp2995 +a(g826 +V\u000a +p2996 +tp2997 +a(g345 +Vscript +p2998 +tp2999 +a(g571 +V/1 +p3000 +tp3001 +a(g826 +g973 +tp3002 +a(g317 +V<> +p3003 +tp3004 +a(g826 +g973 +tp3005 +a(g366 +V'Red +p3006 +tp3007 +a(g826 +V\u000a +p3008 +tp3009 +a(g586 +Vnot +p3010 +tp3011 +a(g826 +g973 +tp3012 +a(g744 +Vblock? +p3013 +tp3014 +a(g826 +g973 +tp3015 +a(g345 +Vscript +p3016 +tp3017 +a(g571 +V/2 +p3018 +tp3019 +a(g826 +V \u000a +p3020 +tp3021 +a(g8 +g986 +tp3022 +a(g8 +g975 +tp3023 +a(g826 +V\u000a +p3024 +tp3025 +a(g586 +Vprint +p3026 +tp3027 +a(g826 +g973 +tp3028 +a(g81 +g964 +tp3029 +a(g81 +V*** Error: not a Red program! +p3030 +tp3031 +a(g81 +g964 +tp3032 +a(g826 +V\u000a +p3033 +tp3034 +a(g8 +g986 +tp3035 +a(g8 +g975 +tp3036 +a(g826 +V\u000a +p3037 +tp3038 +a(g517 +Vdo +p3039 +tp3040 +a(g826 +g973 +tp3041 +a(g478 +Vskip +p3042 +tp3043 +a(g826 +g973 +tp3044 +a(g345 +Vscript +p3045 +tp3046 +a(g826 +g973 +tp3047 +a(g22 +g1671 +tp3048 +a(g826 +V\u000a +p3049 +tp3050 +a(g8 +g986 +tp3051 +a(g826 +V\u000a +p3052 +tp3053 +a(g517 +Vquit +p3054 +tp3055 +a(g826 +V\u000a +p3056 +tp3057 +a(g8 +g986 +tp3058 +a(g826 +V\u000a\u000a +p3059 +tp3060 +a(g345 +Vinit-console +p3061 +tp3062 +a(g826 +g973 +tp3063 +a(g81 +g964 +tp3064 +a(g81 +VRed Console +p3065 +tp3066 +a(g81 +g964 +tp3067 +a(g826 +V\u000a\u000a +p3068 +tp3069 +a(g586 +Vprint +p3070 +tp3071 +a(g826 +g973 +tp3072 +a(g81 +g1026 +tp3073 +a(g81 +V\u000a-=== Red Console alpha version ===-\u000a +p3074 +tp3075 +a(g81 +g1012 +tp3076 +a(g81 +Vonly ASCII input supported +p3077 +tp3078 +a(g81 +g1016 +tp3079 +a(g81 +V\u000a +p3080 +tp3081 +a(g81 +g1030 +tp3082 +a(g826 +V\u000a\u000a +p3083 +tp3084 +a(g345 +Vdo-console +p3085 +tp3086 +a(g826 +V\u000a +p3087 +tp3088 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.reds b/tests/examplefiles/output/example.reds new file mode 100644 index 0000000..f7209d6 --- /dev/null +++ b/tests/examplefiles/output/example.reds @@ -0,0 +1,5108 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Strong' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsg5 +g8 +sg724 +g1 +(g2 +g3 +(g4 +g724 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg34 +g35 +((lp931 +tp932 +Rp933 +sbsS'Traceback' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg34 +g35 +((lp942 +g898 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag8 +ag906 +ag929 +ag937 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVRed/System [ +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g890 +VTitle: +p960 +tp961 +a(g826 +V +p962 +tp963 +a(g81 +V" +p964 +tp965 +a(g81 +VRed/System example file +p966 +tp967 +a(g81 +g964 +tp968 +a(g826 +V\u000a +p969 +tp970 +a(g890 +VPurpose: +p971 +tp972 +a(g826 +V +p973 +tp974 +a(g81 +g964 +tp975 +a(g81 +VJust some code for testing Pygments colorizer +p976 +tp977 +a(g81 +g964 +tp978 +a(g826 +V\u000a +p979 +tp980 +a(g890 +VLanguage: +p981 +tp982 +a(g826 +V +p983 +tp984 +a(g400 +Vhttp://www.red-lang.org/ +p985 +tp986 +a(g826 +V\u000a +p987 +tp988 +a(g8 +V] +p989 +tp990 +a(g826 +V\u000a\u000a +p991 +tp992 +a(g770 +V#include +p993 +tp994 +a(g826 +V +p995 +tp996 +a(g400 +V%../common/FPU-configuration.reds +p997 +tp998 +a(g826 +V\u000a\u000a +p999 +tp1000 +a(g626 +V; C types\u000a +p1001 +tp1002 +a(g826 +V\u000a +p1003 +tp1004 +a(g770 +V#define +p1005 +tp1006 +a(g826 +g995 +tp1007 +a(g748 +Vtime! +p1008 +tp1009 +a(g826 +V +p1010 +tp1011 +a(g748 +Vlong! +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g770 +V#define +p1016 +tp1017 +a(g826 +g995 +tp1018 +a(g748 +Vclock! +p1019 +tp1020 +a(g826 +V +p1021 +tp1022 +a(g748 +Vlong! +p1023 +tp1024 +a(g826 +V\u000a\u000a +p1025 +tp1026 +a(g890 +Vdate!: +p1027 +tp1028 +a(g826 +g995 +tp1029 +a(g517 +Valias +p1030 +tp1031 +a(g826 +g995 +tp1032 +a(g748 +Vstruct! +p1033 +tp1034 +a(g826 +g995 +tp1035 +a(g8 +V[ +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g345 +Vsecond +p1040 +tp1041 +a(g826 +V +p1042 +tp1043 +a(g8 +g1036 +tp1044 +a(g748 +Vinteger! +p1045 +tp1046 +a(g8 +g989 +tp1047 +a(g826 +V +p1048 +tp1049 +a(g626 +V; 0-61 (60?)\u000a +p1050 +tp1051 +a(g826 +V +p1052 +tp1053 +a(g345 +Vminute +p1054 +tp1055 +a(g826 +V +p1056 +tp1057 +a(g8 +g1036 +tp1058 +a(g748 +Vinteger! +p1059 +tp1060 +a(g8 +g989 +tp1061 +a(g826 +V +p1062 +tp1063 +a(g626 +V; 0-59\u000a +p1064 +tp1065 +a(g826 +V +p1066 +tp1067 +a(g345 +Vhour +p1068 +tp1069 +a(g826 +V +p1070 +tp1071 +a(g8 +g1036 +tp1072 +a(g748 +Vinteger! +p1073 +tp1074 +a(g8 +g989 +tp1075 +a(g826 +V +p1076 +tp1077 +a(g626 +V; 0-23\u000a +p1078 +tp1079 +a(g826 +V\u000a +p1080 +tp1081 +a(g345 +Vday +p1082 +tp1083 +a(g826 +V +p1084 +tp1085 +a(g8 +g1036 +tp1086 +a(g748 +Vinteger! +p1087 +tp1088 +a(g8 +g989 +tp1089 +a(g826 +V +p1090 +tp1091 +a(g626 +V; 1-31\u000a +p1092 +tp1093 +a(g826 +V +p1094 +tp1095 +a(g345 +Vmonth +p1096 +tp1097 +a(g826 +V +p1098 +tp1099 +a(g8 +g1036 +tp1100 +a(g748 +Vinteger! +p1101 +tp1102 +a(g8 +g989 +tp1103 +a(g826 +V +p1104 +tp1105 +a(g626 +V; 0-11\u000a +p1106 +tp1107 +a(g826 +V +p1108 +tp1109 +a(g345 +Vyear +p1110 +tp1111 +a(g826 +V +p1112 +tp1113 +a(g8 +g1036 +tp1114 +a(g748 +Vinteger! +p1115 +tp1116 +a(g8 +g989 +tp1117 +a(g826 +V +p1118 +tp1119 +a(g626 +V; Since 1900\u000a +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g345 +Vweekday +p1124 +tp1125 +a(g826 +V +p1126 +tp1127 +a(g8 +g1036 +tp1128 +a(g748 +Vinteger! +p1129 +tp1130 +a(g8 +g989 +tp1131 +a(g826 +V +p1132 +tp1133 +a(g626 +V; 0-6 since Sunday\u000a +p1134 +tp1135 +a(g826 +V +p1136 +tp1137 +a(g345 +Vyearday +p1138 +tp1139 +a(g826 +V +p1140 +tp1141 +a(g8 +g1036 +tp1142 +a(g748 +Vinteger! +p1143 +tp1144 +a(g8 +g989 +tp1145 +a(g826 +V +p1146 +tp1147 +a(g626 +V; 0-365\u000a +p1148 +tp1149 +a(g826 +V +p1150 +tp1151 +a(g345 +Vdaylight-saving-time? +p1152 +tp1153 +a(g826 +V +p1154 +tp1155 +a(g8 +g1036 +tp1156 +a(g748 +Vinteger! +p1157 +tp1158 +a(g8 +g989 +tp1159 +a(g826 +V +p1160 +tp1161 +a(g626 +V; Negative: unknown\u000a +p1162 +tp1163 +a(g8 +g989 +tp1164 +a(g826 +V\u000a\u000a +p1165 +tp1166 +a(g770 +V#either +p1167 +tp1168 +a(g826 +g995 +tp1169 +a(g345 +VOS +p1170 +tp1171 +a(g826 +g995 +tp1172 +a(g317 +V= +p1173 +tp1174 +a(g826 +g995 +tp1175 +a(g366 +V'Windows +p1176 +tp1177 +a(g826 +g995 +tp1178 +a(g8 +g1036 +tp1179 +a(g826 +V\u000a +p1180 +tp1181 +a(g770 +V#define +p1182 +tp1183 +a(g826 +g995 +tp1184 +a(g345 +Vclocks-per-second +p1185 +tp1186 +a(g826 +V +p1187 +tp1188 +a(g22 +V1000 +p1189 +tp1190 +a(g826 +V\u000a +p1191 +tp1192 +a(g8 +g989 +tp1193 +a(g8 +g1036 +tp1194 +a(g826 +V\u000a +p1195 +tp1196 +a(g626 +V; CLOCKS_PER_SEC value for Syllable, Linux (XSI-conformant systems)\u000a +p1197 +tp1198 +a(g826 +V +p1199 +tp1200 +a(g626 +V; TODO: check for other systems\u000a +p1201 +tp1202 +a(g826 +V +p1203 +tp1204 +a(g770 +V#define +p1205 +tp1206 +a(g826 +g995 +tp1207 +a(g345 +Vclocks-per-second +p1208 +tp1209 +a(g826 +V +p1210 +tp1211 +a(g22 +V1000'000 +p1212 +tp1213 +a(g826 +V\u000a +p1214 +tp1215 +a(g8 +g989 +tp1216 +a(g826 +V \u000a\u000a +p1217 +tp1218 +a(g770 +V#import +p1219 +tp1220 +a(g826 +g995 +tp1221 +a(g8 +g1036 +tp1222 +a(g345 +VLIBC-file +p1223 +tp1224 +a(g826 +g995 +tp1225 +a(g770 +Vcdecl +p1226 +tp1227 +a(g826 +g995 +tp1228 +a(g8 +g1036 +tp1229 +a(g826 +V\u000a\u000a +p1230 +tp1231 +a(g626 +V; Error handling\u000a +p1232 +tp1233 +a(g826 +V\u000a +p1234 +tp1235 +a(g890 +Vform-error: +p1236 +tp1237 +a(g826 +g995 +tp1238 +a(g81 +g964 +tp1239 +a(g81 +Vstrerror +p1240 +tp1241 +a(g81 +g964 +tp1242 +a(g826 +g995 +tp1243 +a(g8 +g1036 +tp1244 +a(g826 +V +p1245 +tp1246 +a(g626 +V; Return error description.\u000a +p1247 +tp1248 +a(g826 +V +p1249 +tp1250 +a(g345 +Vcode +p1251 +tp1252 +a(g826 +V +p1253 +tp1254 +a(g8 +g1036 +tp1255 +a(g748 +Vinteger! +p1256 +tp1257 +a(g8 +g989 +tp1258 +a(g826 +V\u000a +p1259 +tp1260 +a(g890 +Vreturn: +p1261 +tp1262 +a(g826 +V +p1263 +tp1264 +a(g8 +g1036 +tp1265 +a(g748 +Vc-string! +p1266 +tp1267 +a(g8 +g989 +tp1268 +a(g826 +V\u000a +p1269 +tp1270 +a(g8 +g989 +tp1271 +a(g826 +V\u000a +p1272 +tp1273 +a(g890 +Vprint-error: +p1274 +tp1275 +a(g826 +g995 +tp1276 +a(g81 +g964 +tp1277 +a(g81 +Vperror +p1278 +tp1279 +a(g81 +g964 +tp1280 +a(g826 +g995 +tp1281 +a(g8 +g1036 +tp1282 +a(g826 +V +p1283 +tp1284 +a(g626 +V; Print error to standard error output.\u000a +p1285 +tp1286 +a(g826 +V +p1287 +tp1288 +a(g345 +Vstring +p1289 +tp1290 +a(g826 +V +p1291 +tp1292 +a(g8 +g1036 +tp1293 +a(g748 +Vc-string! +p1294 +tp1295 +a(g8 +g989 +tp1296 +a(g826 +V\u000a +p1297 +tp1298 +a(g8 +g989 +tp1299 +a(g826 +V\u000a\u000a\u000a +p1300 +tp1301 +a(g626 +V; Memory management\u000a +p1302 +tp1303 +a(g826 +V\u000a +p1304 +tp1305 +a(g890 +Vmake: +p1306 +tp1307 +a(g826 +g995 +tp1308 +a(g81 +g964 +tp1309 +a(g81 +Vcalloc +p1310 +tp1311 +a(g81 +g964 +tp1312 +a(g826 +g995 +tp1313 +a(g8 +g1036 +tp1314 +a(g826 +V +p1315 +tp1316 +a(g626 +V; Allocate zero-filled memory.\u000a +p1317 +tp1318 +a(g826 +V +p1319 +tp1320 +a(g345 +Vchunks +p1321 +tp1322 +a(g826 +V +p1323 +tp1324 +a(g8 +g1036 +tp1325 +a(g748 +Vsize! +p1326 +tp1327 +a(g8 +g989 +tp1328 +a(g826 +V\u000a +p1329 +tp1330 +a(g345 +Vsize +p1331 +tp1332 +a(g826 +V +p1333 +tp1334 +a(g8 +g1036 +tp1335 +a(g748 +Vsize! +p1336 +tp1337 +a(g8 +g989 +tp1338 +a(g826 +V\u000a +p1339 +tp1340 +a(g890 +Vreturn: +p1341 +tp1342 +a(g826 +V +p1343 +tp1344 +a(g8 +g1036 +tp1345 +a(g748 +Vbinary! +p1346 +tp1347 +a(g8 +g989 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g8 +g989 +tp1351 +a(g826 +V\u000a +p1352 +tp1353 +a(g890 +Vresize: +p1354 +tp1355 +a(g826 +g995 +tp1356 +a(g81 +g964 +tp1357 +a(g81 +Vrealloc +p1358 +tp1359 +a(g81 +g964 +tp1360 +a(g826 +g995 +tp1361 +a(g8 +g1036 +tp1362 +a(g826 +V +p1363 +tp1364 +a(g626 +V; Resize memory allocation.\u000a +p1365 +tp1366 +a(g826 +V +p1367 +tp1368 +a(g345 +Vmemory +p1369 +tp1370 +a(g826 +V +p1371 +tp1372 +a(g8 +g1036 +tp1373 +a(g748 +Vbinary! +p1374 +tp1375 +a(g8 +g989 +tp1376 +a(g826 +V\u000a +p1377 +tp1378 +a(g345 +Vsize +p1379 +tp1380 +a(g826 +V +p1381 +tp1382 +a(g8 +g1036 +tp1383 +a(g748 +Vsize! +p1384 +tp1385 +a(g8 +g989 +tp1386 +a(g826 +V\u000a +p1387 +tp1388 +a(g890 +Vreturn: +p1389 +tp1390 +a(g826 +V +p1391 +tp1392 +a(g8 +g1036 +tp1393 +a(g748 +Vbinary! +p1394 +tp1395 +a(g8 +g989 +tp1396 +a(g826 +V\u000a +p1397 +tp1398 +a(g8 +g989 +tp1399 +a(g826 +V\u000a +p1400 +tp1401 +a(g8 +g989 +tp1402 +a(g826 +V\u000a \u000a +p1403 +tp1404 +a(g890 +VJVM!: +p1405 +tp1406 +a(g826 +g995 +tp1407 +a(g517 +Valias +p1408 +tp1409 +a(g826 +g995 +tp1410 +a(g748 +Vstruct! +p1411 +tp1412 +a(g826 +g995 +tp1413 +a(g8 +g1036 +tp1414 +a(g826 +V\u000a +p1415 +tp1416 +a(g345 +Vreserved0 +p1417 +tp1418 +a(g826 +V +p1419 +tp1420 +a(g8 +g1036 +tp1421 +a(g748 +Vint-ptr! +p1422 +tp1423 +a(g8 +g989 +tp1424 +a(g826 +V\u000a +p1425 +tp1426 +a(g345 +Vreserved1 +p1427 +tp1428 +a(g826 +V +p1429 +tp1430 +a(g8 +g1036 +tp1431 +a(g748 +Vint-ptr! +p1432 +tp1433 +a(g8 +g989 +tp1434 +a(g826 +V\u000a +p1435 +tp1436 +a(g345 +Vreserved2 +p1437 +tp1438 +a(g826 +V +p1439 +tp1440 +a(g8 +g1036 +tp1441 +a(g748 +Vint-ptr! +p1442 +tp1443 +a(g8 +g989 +tp1444 +a(g826 +V\u000a \u000a +p1445 +tp1446 +a(g345 +VDestroyJavaVM +p1447 +tp1448 +a(g826 +V +p1449 +tp1450 +a(g8 +g1036 +tp1451 +a(g748 +Vfunction! +p1452 +tp1453 +a(g826 +g995 +tp1454 +a(g8 +g1036 +tp1455 +a(g8 +g1036 +tp1456 +a(g770 +VJNICALL +p1457 +tp1458 +a(g8 +g989 +tp1459 +a(g826 +g995 +tp1460 +a(g345 +Vvm +p1461 +tp1462 +a(g826 +g995 +tp1463 +a(g8 +g1036 +tp1464 +a(g748 +VJVM-ptr! +p1465 +tp1466 +a(g8 +g989 +tp1467 +a(g826 +g995 +tp1468 +a(g890 +Vreturn: +p1469 +tp1470 +a(g826 +g995 +tp1471 +a(g8 +g1036 +tp1472 +a(g748 +Vjint! +p1473 +tp1474 +a(g8 +g989 +tp1475 +a(g8 +g989 +tp1476 +a(g8 +g989 +tp1477 +a(g826 +V\u000a +p1478 +tp1479 +a(g345 +VAttachCurrentThread +p1480 +tp1481 +a(g826 +V +p1482 +tp1483 +a(g8 +g1036 +tp1484 +a(g748 +Vfunction! +p1485 +tp1486 +a(g826 +g995 +tp1487 +a(g8 +g1036 +tp1488 +a(g8 +g1036 +tp1489 +a(g770 +VJNICALL +p1490 +tp1491 +a(g8 +g989 +tp1492 +a(g826 +g995 +tp1493 +a(g345 +Vvm +p1494 +tp1495 +a(g826 +g995 +tp1496 +a(g8 +g1036 +tp1497 +a(g748 +VJVM-ptr! +p1498 +tp1499 +a(g8 +g989 +tp1500 +a(g826 +g995 +tp1501 +a(g345 +Vpenv +p1502 +tp1503 +a(g826 +g995 +tp1504 +a(g8 +g1036 +tp1505 +a(g748 +Vstruct! +p1506 +tp1507 +a(g826 +g995 +tp1508 +a(g8 +g1036 +tp1509 +a(g345 +Vp +p1510 +tp1511 +a(g826 +g995 +tp1512 +a(g8 +g1036 +tp1513 +a(g748 +Vint-ptr! +p1514 +tp1515 +a(g8 +g989 +tp1516 +a(g8 +g989 +tp1517 +a(g8 +g989 +tp1518 +a(g826 +g995 +tp1519 +a(g345 +Vargs +p1520 +tp1521 +a(g826 +g995 +tp1522 +a(g8 +g1036 +tp1523 +a(g748 +Vbyte-ptr! +p1524 +tp1525 +a(g8 +g989 +tp1526 +a(g826 +g995 +tp1527 +a(g890 +Vreturn: +p1528 +tp1529 +a(g826 +g995 +tp1530 +a(g8 +g1036 +tp1531 +a(g748 +Vjint! +p1532 +tp1533 +a(g8 +g989 +tp1534 +a(g8 +g989 +tp1535 +a(g8 +g989 +tp1536 +a(g826 +V\u000a +p1537 +tp1538 +a(g345 +VDetachCurrentThread +p1539 +tp1540 +a(g826 +V +p1541 +tp1542 +a(g8 +g1036 +tp1543 +a(g748 +Vfunction! +p1544 +tp1545 +a(g826 +g995 +tp1546 +a(g8 +g1036 +tp1547 +a(g8 +g1036 +tp1548 +a(g770 +VJNICALL +p1549 +tp1550 +a(g8 +g989 +tp1551 +a(g826 +g995 +tp1552 +a(g345 +Vvm +p1553 +tp1554 +a(g826 +g995 +tp1555 +a(g8 +g1036 +tp1556 +a(g748 +VJVM-ptr! +p1557 +tp1558 +a(g8 +g989 +tp1559 +a(g826 +g995 +tp1560 +a(g890 +Vreturn: +p1561 +tp1562 +a(g826 +g995 +tp1563 +a(g8 +g1036 +tp1564 +a(g748 +Vjint! +p1565 +tp1566 +a(g8 +g989 +tp1567 +a(g8 +g989 +tp1568 +a(g8 +g989 +tp1569 +a(g826 +V\u000a +p1570 +tp1571 +a(g345 +VGetEnv +p1572 +tp1573 +a(g826 +V +p1574 +tp1575 +a(g8 +g1036 +tp1576 +a(g748 +Vfunction! +p1577 +tp1578 +a(g826 +g995 +tp1579 +a(g8 +g1036 +tp1580 +a(g8 +g1036 +tp1581 +a(g770 +VJNICALL +p1582 +tp1583 +a(g8 +g989 +tp1584 +a(g826 +g995 +tp1585 +a(g345 +Vvm +p1586 +tp1587 +a(g826 +g995 +tp1588 +a(g8 +g1036 +tp1589 +a(g748 +VJVM-ptr! +p1590 +tp1591 +a(g8 +g989 +tp1592 +a(g826 +g995 +tp1593 +a(g345 +Vpenv +p1594 +tp1595 +a(g826 +g995 +tp1596 +a(g8 +g1036 +tp1597 +a(g748 +Vstruct! +p1598 +tp1599 +a(g826 +g995 +tp1600 +a(g8 +g1036 +tp1601 +a(g345 +g1510 +tp1602 +a(g826 +g995 +tp1603 +a(g8 +g1036 +tp1604 +a(g748 +Vint-ptr! +p1605 +tp1606 +a(g8 +g989 +tp1607 +a(g8 +g989 +tp1608 +a(g8 +g989 +tp1609 +a(g826 +g995 +tp1610 +a(g345 +Vversion +p1611 +tp1612 +a(g826 +g995 +tp1613 +a(g8 +g1036 +tp1614 +a(g748 +Vinteger! +p1615 +tp1616 +a(g8 +g989 +tp1617 +a(g826 +g995 +tp1618 +a(g890 +Vreturn: +p1619 +tp1620 +a(g826 +g995 +tp1621 +a(g8 +g1036 +tp1622 +a(g748 +Vjint! +p1623 +tp1624 +a(g8 +g989 +tp1625 +a(g8 +g989 +tp1626 +a(g8 +g989 +tp1627 +a(g826 +V\u000a +p1628 +tp1629 +a(g345 +VAttachCurrentThreadAsDaemon +p1630 +tp1631 +a(g826 +g995 +tp1632 +a(g8 +g1036 +tp1633 +a(g748 +Vfunction! +p1634 +tp1635 +a(g826 +g995 +tp1636 +a(g8 +g1036 +tp1637 +a(g8 +g1036 +tp1638 +a(g770 +VJNICALL +p1639 +tp1640 +a(g8 +g989 +tp1641 +a(g826 +g995 +tp1642 +a(g345 +Vvm +p1643 +tp1644 +a(g826 +g995 +tp1645 +a(g8 +g1036 +tp1646 +a(g748 +VJVM-ptr! +p1647 +tp1648 +a(g8 +g989 +tp1649 +a(g826 +g995 +tp1650 +a(g345 +Vpenv +p1651 +tp1652 +a(g826 +g995 +tp1653 +a(g8 +g1036 +tp1654 +a(g748 +Vstruct! +p1655 +tp1656 +a(g826 +g995 +tp1657 +a(g8 +g1036 +tp1658 +a(g345 +g1510 +tp1659 +a(g826 +g995 +tp1660 +a(g8 +g1036 +tp1661 +a(g748 +Vint-ptr! +p1662 +tp1663 +a(g8 +g989 +tp1664 +a(g8 +g989 +tp1665 +a(g8 +g989 +tp1666 +a(g826 +g995 +tp1667 +a(g345 +Vargs +p1668 +tp1669 +a(g826 +g995 +tp1670 +a(g8 +g1036 +tp1671 +a(g748 +Vbyte-ptr! +p1672 +tp1673 +a(g8 +g989 +tp1674 +a(g826 +g995 +tp1675 +a(g890 +Vreturn: +p1676 +tp1677 +a(g826 +g995 +tp1678 +a(g8 +g1036 +tp1679 +a(g748 +Vjint! +p1680 +tp1681 +a(g8 +g989 +tp1682 +a(g8 +g989 +tp1683 +a(g8 +g989 +tp1684 +a(g826 +V\u000a +p1685 +tp1686 +a(g8 +g989 +tp1687 +a(g826 +V\u000a\u000a +p1688 +tp1689 +a(g626 +V;just some datatypes for testing:\u000a +p1690 +tp1691 +a(g826 +V \u000a +p1692 +tp1693 +a(g501 +V#some-hash +p1694 +tp1695 +a(g826 +V\u000a +p1696 +tp1697 +a(g229 +V10-1-2013 +p1698 +tp1699 +a(g826 +V\u000a +p1700 +tp1701 +a(g517 +Vquit +p1702 +tp1703 +a(g826 +V\u000a \u000a +p1704 +tp1705 +a(g626 +V;binary:\u000a +p1706 +tp1707 +a(g826 +g995 +tp1708 +a(g290 +V#{00FF0000} +p1709 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g290 +V#{00FF0000 FF000000} +p1713 +tp1714 +a(g826 +V\u000a +p1715 +tp1716 +a(g290 +V#{00FF0000 FF000000} +p1717 +tp1718 +a(g826 +g995 +tp1719 +a(g626 +V;with tab instead of space\u000a +p1720 +tp1721 +a(g826 +g995 +tp1722 +a(g290 +V2#{ +p1723 +tp1724 +a(g290 +V00001111 +p1725 +tp1726 +a(g290 +V} +p1727 +tp1728 +a(g826 +V\u000a +p1729 +tp1730 +a(g290 +V64#{/wAAAA==} +p1731 +tp1732 +a(g826 +V\u000a +p1733 +tp1734 +a(g290 +V64#{/wAAA A==} +p1735 +tp1736 +a(g826 +g995 +tp1737 +a(g626 +V;with space inside\u000a +p1738 +tp1739 +a(g826 +g995 +tp1740 +a(g290 +V64#{/wAAA A==} +p1741 +tp1742 +a(g826 +g995 +tp1743 +a(g626 +V;with tab inside\u000a +p1744 +tp1745 +a(g826 +V \u000a \u000a +p1746 +tp1747 +a(g626 +V;string with char\u000a +p1748 +tp1749 +a(g826 +g995 +tp1750 +a(g81 +V{ +p1751 +tp1752 +a(g81 +Vbla +p1753 +tp1754 +a(g205 +V^(ff) +p1755 +tp1756 +a(g81 +V foo +p1757 +tp1758 +a(g81 +g1727 +tp1759 +a(g826 +V\u000a +p1760 +tp1761 +a(g81 +g1751 +tp1762 +a(g81 +Vbla +p1763 +tp1764 +a(g205 +V^( +p1765 +tp1766 +a(g81 +V( +p1767 +tp1768 +a(g81 +V foo +p1769 +tp1770 +a(g81 +g1727 +tp1771 +a(g826 +V\u000a +p1772 +tp1773 +a(g626 +V;some numbers:\u000a +p1774 +tp1775 +a(g826 +g995 +tp1776 +a(g22 +V12 +p1777 +tp1778 +a(g826 +V\u000a +p1779 +tp1780 +a(g22 +V1'000 +p1781 +tp1782 +a(g826 +V\u000a +p1783 +tp1784 +a(g307 +V1.2 +p1785 +tp1786 +a(g826 +V\u000a +p1787 +tp1788 +a(g290 +VFF00FF00 +p1789 +tp1790 +a(g345 +Vh +p1791 +tp1792 +a(g839 +V\u000a +p1793 +tp1794 +a(g826 +V \u000a +p1795 +tp1796 +a(g626 +V;some tests of hexa number notation with not common ending\u000a +p1797 +tp1798 +a(g826 +g995 +tp1799 +a(g8 +g1036 +tp1800 +a(g290 +Vff00 +p1801 +tp1802 +a(g345 +g1791 +tp1803 +a(g839 +g995 +tp1804 +a(g290 +Vff00 +p1805 +tp1806 +a(g345 +g1791 +tp1807 +a(g8 +g989 +tp1808 +a(g826 +g995 +tp1809 +a(g290 +Vff00 +p1810 +tp1811 +a(g345 +g1791 +tp1812 +a(g81 +g1751 +tp1813 +a(g81 +g1727 +tp1814 +a(g826 +g995 +tp1815 +a(g290 +VFF +p1816 +tp1817 +a(g345 +g1791 +tp1818 +a(g81 +g964 +tp1819 +a(g81 +Vfoo +p1820 +tp1821 +a(g81 +g964 +tp1822 +a(g826 +g995 +tp1823 +a(g290 +V00 +p1824 +tp1825 +a(g345 +g1791 +tp1826 +a(g8 +g1767 +tp1827 +a(g22 +V1 +p1828 +tp1829 +a(g826 +g995 +tp1830 +a(g317 +V+ +p1831 +tp1832 +a(g826 +g995 +tp1833 +a(g22 +V2 +p1834 +tp1835 +a(g8 +V) +p1836 +tp1837 +a(g826 +g995 +tp1838 +a(g8 +g1767 +tp1839 +a(g290 +VAE +p1840 +tp1841 +a(g345 +g1791 +tp1842 +a(g8 +g1836 +tp1843 +a(g826 +V\u000a\u000a +p1844 +tp1845 +a(g626 +V;normal words:\u000a +p1846 +tp1847 +a(g345 +Vfoo +p1848 +tp1849 +a(g826 +g995 +tp1850 +a(g345 +Vchar +p1851 +tp1852 +a(g826 +V\u000a\u000a +p1853 +tp1854 +a(g626 +V;get-word\u000a +p1855 +tp1856 +a(g890 +V:foo +p1857 +tp1858 +a(g826 +V\u000a \u000a +p1859 +tp1860 +a(g626 +V;lit-word:\u000a +p1861 +tp1862 +a(g366 +V'foo +p1863 +tp1864 +a(g826 +g995 +tp1865 +a(g366 +V'foo +p1866 +tp1867 +a(g826 +V\u000a\u000a +p1868 +tp1869 +a(g626 +V;multiple comment tests...\u000a +p1870 +tp1871 +a(g22 +g1828 +tp1872 +a(g826 +g995 +tp1873 +a(g317 +g1831 +tp1874 +a(g826 +g995 +tp1875 +a(g22 +g1828 +tp1876 +a(g826 +V\u000a +p1877 +tp1878 +a(g626 +Vcomment " +p1879 +tp1880 +a(g626 +Vaa +p1881 +tp1882 +a(g626 +g964 +tp1883 +a(g826 +V \u000a +p1884 +tp1885 +a(g22 +g1834 +tp1886 +a(g826 +g995 +tp1887 +a(g317 +g1831 +tp1888 +a(g826 +g995 +tp1889 +a(g22 +g1834 +tp1890 +a(g826 +V\u000a +p1891 +tp1892 +a(g626 +Vcomment { +p1893 +tp1894 +a(g626 +Vaa +p1895 +tp1896 +a(g626 +g1727 +tp1897 +a(g826 +V\u000a +p1898 +tp1899 +a(g22 +V3 +p1900 +tp1901 +a(g826 +g995 +tp1902 +a(g317 +g1831 +tp1903 +a(g826 +g995 +tp1904 +a(g22 +g1900 +tp1905 +a(g826 +V\u000a +p1906 +tp1907 +a(g626 +Vcomment { +p1908 +tp1909 +a(g626 +Va +p1910 +tp1911 +a(g626 +V^{ +p1912 +tp1913 +a(g626 +g1727 +tp1914 +a(g826 +V\u000a +p1915 +tp1916 +a(g22 +V4 +p1917 +tp1918 +a(g826 +g995 +tp1919 +a(g317 +g1831 +tp1920 +a(g826 +g995 +tp1921 +a(g22 +g1917 +tp1922 +a(g826 +V\u000a +p1923 +tp1924 +a(g626 +Vcomment { +p1925 +tp1926 +a(g626 +g1751 +tp1927 +a(g626 +g1727 +tp1928 +a(g626 +g1727 +tp1929 +a(g826 +V\u000a +p1930 +tp1931 +a(g22 +V5 +p1932 +tp1933 +a(g826 +g995 +tp1934 +a(g317 +g1831 +tp1935 +a(g826 +g995 +tp1936 +a(g22 +g1932 +tp1937 +a(g826 +V\u000a +p1938 +tp1939 +a(g626 +Vcomment { +p1940 +tp1941 +a(g626 +V\u000a foo: 6\u000a +p1942 +tp1943 +a(g626 +g1727 +tp1944 +a(g826 +V\u000a +p1945 +tp1946 +a(g22 +V6 +p1947 +tp1948 +a(g826 +g995 +tp1949 +a(g317 +g1831 +tp1950 +a(g826 +g995 +tp1951 +a(g22 +g1947 +tp1952 +a(g826 +V\u000a +p1953 +tp1954 +a(g626 +Vcomment [ +p1955 +tp1956 +a(g626 +Vfoo: 6 +p1957 +tp1958 +a(g626 +g989 +tp1959 +a(g826 +V\u000a +p1960 +tp1961 +a(g22 +V7 +p1962 +tp1963 +a(g826 +g995 +tp1964 +a(g317 +g1831 +tp1965 +a(g826 +g995 +tp1966 +a(g22 +g1962 +tp1967 +a(g826 +V\u000a +p1968 +tp1969 +a(g626 +Vcomment [ +p1970 +tp1971 +a(g626 +Vfoo: +p1972 +tp1973 +a(g626 +g964 +tp1974 +a(g626 +g1036 +tp1975 +a(g626 +g964 +tp1976 +a(g626 +g995 +tp1977 +a(g626 +g989 +tp1978 +a(g826 +V\u000a +p1979 +tp1980 +a(g22 +V8 +p1981 +tp1982 +a(g826 +g995 +tp1983 +a(g317 +g1831 +tp1984 +a(g826 +g995 +tp1985 +a(g22 +g1981 +tp1986 +a(g826 +V\u000a +p1987 +tp1988 +a(g626 +Vcomment [ +p1989 +tp1990 +a(g626 +Vfoo: +p1991 +tp1992 +a(g626 +g1751 +tp1993 +a(g626 +V^{ +p1994 +tp1995 +a(g626 +g1727 +tp1996 +a(g626 +g995 +tp1997 +a(g626 +g989 +tp1998 +a(g826 +V\u000a +p1999 +tp2000 +a(g22 +V9 +p2001 +tp2002 +a(g826 +g995 +tp2003 +a(g317 +g1831 +tp2004 +a(g826 +g995 +tp2005 +a(g22 +g2001 +tp2006 +a(g826 +V\u000a +p2007 +tp2008 +a(g626 +Vcomment [ +p2009 +tp2010 +a(g626 +Vfoo: +p2011 +tp2012 +a(g626 +g1751 +tp2013 +a(g626 +Vboo +p2014 +tp2015 +a(g626 +g1727 +tp2016 +a(g626 +g995 +tp2017 +a(g626 +g989 +tp2018 +a(g826 +V\u000a +p2019 +tp2020 +a(g22 +V10 +p2021 +tp2022 +a(g826 +g995 +tp2023 +a(g317 +g1831 +tp2024 +a(g826 +g995 +tp2025 +a(g22 +V10 +p2026 +tp2027 +a(g826 +V\u000a +p2028 +tp2029 +a(g626 +Vcomment 5-May-2014/11:17:34+2:00 +p2030 +tp2031 +a(g826 +V\u000a +p2032 +tp2033 +a(g22 +V11 +p2034 +tp2035 +a(g826 +g995 +tp2036 +a(g317 +g1831 +tp2037 +a(g826 +g995 +tp2038 +a(g22 +V11 +p2039 +tp2040 +a(g826 +V\u000a\u000a\u000a +p2041 +tp2042 +a(g744 +Vto-integer +p2043 +tp2044 +a(g826 +g995 +tp2045 +a(g345 +Vfoo +p2046 +tp2047 +a(g826 +V\u000a +p2048 +tp2049 +a(g345 +Vfoo +p2050 +tp2051 +a(g571 +V/ +p2052 +tp2053 +a(g8 +g1767 +tp2054 +a(g345 +g1910 +tp2055 +a(g826 +g995 +tp2056 +a(g317 +g1831 +tp2057 +a(g826 +g995 +tp2058 +a(g22 +g1828 +tp2059 +a(g8 +g1836 +tp2060 +a(g571 +V/b +p2061 +tp2062 +a(g826 +V\u000a\u000a +p2063 +tp2064 +a(g517 +Vcall +p2065 +tp2066 +a(g571 +V/output +p2067 +tp2068 +a(g826 +g995 +tp2069 +a(g345 +Vreform +p2070 +tp2071 +a(g826 +g995 +tp2072 +a(g8 +g1036 +tp2073 +a(g366 +V'which +p2074 +tp2075 +a(g826 +g995 +tp2076 +a(g345 +Vinterpreter +p2077 +tp2078 +a(g8 +g989 +tp2079 +a(g826 +g995 +tp2080 +a(g890 +Vpath: +p2081 +tp2082 +a(g826 +g995 +tp2083 +a(g478 +Vcopy +p2084 +tp2085 +a(g826 +g995 +tp2086 +a(g81 +g964 +tp2087 +a(g81 +g964 +tp2088 +a(g826 +V\u000a\u000a +p2089 +tp2090 +a(g890 +Vversion-1.1: +p2091 +tp2092 +a(g826 +V +p2093 +tp2094 +a(g290 +V00010001 +p2095 +tp2096 +a(g345 +g1791 +tp2097 +a(g839 +V\u000a +p2098 +tp2099 +a(g826 +V \u000a +p2100 +tp2101 +a(g770 +V#if +p2102 +tp2103 +a(g826 +g995 +tp2104 +a(g345 +Vtype +p2105 +tp2106 +a(g826 +g995 +tp2107 +a(g317 +g1173 +tp2108 +a(g826 +g995 +tp2109 +a(g366 +V'exe +p2110 +tp2111 +a(g826 +g995 +tp2112 +a(g8 +g1036 +tp2113 +a(g826 +V\u000a +p2114 +tp2115 +a(g517 +Vpush +p2116 +tp2117 +a(g826 +g995 +tp2118 +a(g517 +Vsystem +p2119 +tp2120 +a(g571 +V/stack +p2121 +tp2122 +a(g571 +V/frame +p2123 +tp2124 +a(g826 +V +p2125 +tp2126 +a(g626 +V;-- save previous frame pointer\u000a +p2127 +tp2128 +a(g826 +V +p2129 +tp2130 +a(g517 +Vsystem +p2131 +tp2132 +a(g571 +V/stack +p2133 +tp2134 +a(g571 +V/frame: +p2135 +tp2136 +a(g826 +g995 +tp2137 +a(g517 +Vsystem +p2138 +tp2139 +a(g571 +V/stack +p2140 +tp2141 +a(g571 +V/top +p2142 +tp2143 +a(g826 +V +p2144 +tp2145 +a(g626 +V;-- @@ reposition frame pointer just after the catch flag\u000a +p2146 +tp2147 +a(g8 +g989 +tp2148 +a(g826 +V\u000a +p2149 +tp2150 +a(g517 +Vpush +p2151 +tp2152 +a(g826 +g995 +tp2153 +a(g345 +VCATCH_ALL +p2154 +tp2155 +a(g826 +V +p2156 +tp2157 +a(g626 +V;-- exceptions root barrier\u000a +p2158 +tp2159 +a(g517 +Vpush +p2160 +tp2161 +a(g826 +g995 +tp2162 +a(g22 +V0 +p2163 +tp2164 +a(g826 +V +p2165 +tp2166 +a(g626 +V;-- keep stack aligned on 64-bit\u000a +p2167 +tp2168 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.reg b/tests/examplefiles/output/example.reg new file mode 100644 index 0000000..11538b2 --- /dev/null +++ b/tests/examplefiles/output/example.reg @@ -0,0 +1,2586 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbVWindows Registry Editor Version 5.00 +p956 +tp957 +a(g7 +V\u000a +p958 +tp959 +a(g761 +V; comment +p960 +tp961 +a(g7 +V\u000a\u000a +p962 +tp963 +a(g842 +V[ +p964 +tp965 +a(g685 +VHKEY_CURRENT_USER +p966 +tp967 +a(g842 +V\u005cSOFTWARE\u005cPygments] +p968 +tp969 +a(g7 +V\u000a +p970 +tp971 +a(g670 +V@ +p972 +tp973 +a(g416 +V= +p974 +tp975 +a(g92 +V"Hello" +p976 +tp977 +a(g7 +V\u000a +p978 +tp979 +a(g670 +V"Key With Spaces" +p980 +tp981 +a(g416 +g974 +tp982 +a(g92 +V"Something" +p983 +tp984 +a(g7 +V\u000a +p985 +tp986 +a(g670 +V"Key With =" +p987 +tp988 +a(g416 +g974 +tp989 +a(g92 +V"With Quotes" +p990 +tp991 +a(g7 +V\u000a +p992 +tp993 +a(g670 +V"Key With = 2" +p994 +tp995 +a(g416 +g974 +tp996 +a(g444 +Vdword +p997 +tp998 +a(g709 +V: +p999 +tp1000 +a(g35 +V123 +p1001 +tp1002 +a(g7 +V\u000a +p1003 +tp1004 +a(g670 +V"Key" +p1005 +tp1006 +a(g7 +V +p1007 +tp1008 +a(g416 +g974 +tp1009 +a(g7 +g1007 +tp1010 +a(g92 +V"Value" +p1011 +tp1012 +a(g7 +V\u000a +p1013 +tp1014 +a(g670 +V"Hex" +p1015 +tp1016 +a(g416 +g974 +tp1017 +a(g444 +Vhex(0) +p1018 +tp1019 +a(g709 +g999 +tp1020 +a(g35 +V1,2,3,a,b,f +p1021 +tp1022 +a(g7 +V\u000a +p1023 +tp1024 +a(g670 +V"Hex 2" +p1025 +tp1026 +a(g416 +g974 +tp1027 +a(g444 +Vhex(5) +p1028 +tp1029 +a(g709 +g999 +tp1030 +a(g35 +V80,00,00,ff +p1031 +tp1032 +a(g7 +V\u000a\u000a +p1033 +tp1034 +a(g842 +g964 +tp1035 +a(g416 +V- +p1036 +tp1037 +a(g685 +VHKEY_CURRENT_USER +p1038 +tp1039 +a(g842 +V\u005cSOFTWARE\u005cPygments\u005cSubkey] +p1040 +tp1041 +a(g7 +V\u000a\u000a +p1042 +tp1043 +a(g842 +g964 +tp1044 +a(g685 +VHKEY_CURRENT_USER +p1045 +tp1046 +a(g842 +V\u005cSOFTWARE\u005cPygments\u005cSubkey2] +p1047 +tp1048 +a(g7 +V\u000a +p1049 +tp1050 +a(g761 +V; comment +p1051 +tp1052 +a(g7 +V\u000a +p1053 +tp1054 +a(g670 +g972 +tp1055 +a(g416 +g974 +tp1056 +a(g416 +g1036 +tp1057 +a(g7 +V\u000a +p1058 +tp1059 +a(g670 +V"Foo" +p1060 +tp1061 +a(g416 +g974 +tp1062 +a(g416 +g1036 +tp1063 +a(g7 +V\u000a +p1064 +tp1065 +a(g670 +V"Foo" +p1066 +tp1067 +a(g416 +g974 +tp1068 +a(g92 +V"Value" +p1069 +tp1070 +a(g7 +V\u000a +p1071 +tp1072 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rexx b/tests/examplefiles/output/example.rexx new file mode 100644 index 0000000..a8737d8 --- /dev/null +++ b/tests/examplefiles/output/example.rexx @@ -0,0 +1,3723 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* +p956 +tp957 +a(g8 +V REXX example. +p958 +tp959 +a(g8 +V*/ +p960 +tp961 +a(g840 +V\u000a +p962 +tp963 +a(g840 +V\u000a +p964 +tp965 +a(g8 +V/* +p966 +tp967 +a(g8 +V Some basic constructs. +p968 +tp969 +a(g8 +V*/ +p970 +tp971 +a(g840 +V\u000a +p972 +tp973 +a(g827 +Valmost_pi +p974 +tp975 +a(g840 +V +p976 +tp977 +a(g413 +V= +p978 +tp979 +a(g840 +g976 +tp980 +a(g32 +V0.1415 +p981 +tp982 +a(g840 +g976 +tp983 +a(g413 +V+ +p984 +tp985 +a(g840 +g976 +tp986 +a(g32 +V3 +p987 +tp988 +a(g840 +V\u000a +p989 +tp990 +a(g794 +Vif +p991 +tp992 +a(g840 +g976 +tp993 +a(g827 +Valmost_pi +p994 +tp995 +a(g840 +g976 +tp996 +a(g413 +V< +p997 +tp998 +a(g840 +g976 +tp999 +a(g32 +g987 +tp1000 +a(g840 +g976 +tp1001 +a(g794 +Vthen +p1002 +tp1003 +a(g840 +V\u000a +p1004 +tp1005 +a(g840 +g976 +tp1006 +a(g840 +g976 +tp1007 +a(g840 +g976 +tp1008 +a(g794 +Vsay +p1009 +tp1010 +a(g840 +g976 +tp1011 +a(g89 +V' +p1012 +tp1013 +a(g89 +Vh +p1014 +tp1015 +a(g89 +Vu +p1016 +tp1017 +a(g89 +g1014 +tp1018 +a(g89 +V? +p1019 +tp1020 +a(g89 +g1012 +tp1021 +a(g840 +V\u000a +p1022 +tp1023 +a(g794 +Velse +p1024 +tp1025 +a(g840 +g976 +tp1026 +a(g794 +Vdo +p1027 +tp1028 +a(g840 +V\u000a +p1029 +tp1030 +a(g840 +g976 +tp1031 +a(g840 +g976 +tp1032 +a(g840 +g976 +tp1033 +a(g794 +Vsay +p1034 +tp1035 +a(g840 +g976 +tp1036 +a(g89 +g1012 +tp1037 +a(g89 +Va +p1038 +tp1039 +a(g89 +Vl +p1040 +tp1041 +a(g89 +Vm +p1042 +tp1043 +a(g89 +Vo +p1044 +tp1045 +a(g89 +Vs +p1046 +tp1047 +a(g89 +Vt +p1048 +tp1049 +a(g89 +V_ +p1050 +tp1051 +a(g89 +Vp +p1052 +tp1053 +a(g89 +Vi +p1054 +tp1055 +a(g89 +g978 +tp1056 +a(g89 +g1012 +tp1057 +a(g840 +g976 +tp1058 +a(g827 +Valmost_pi +p1059 +tp1060 +a(g840 +g976 +tp1061 +a(g413 +V|| +p1062 +tp1063 +a(g840 +g976 +tp1064 +a(g89 +V" +p1065 +tp1066 +a(g89 +V - ok +p1067 +tp1068 +a(g89 +g1065 +tp1069 +a(g840 +V\u000a +p1070 +tp1071 +a(g794 +Vend +p1072 +tp1073 +a(g840 +V\u000a +p1074 +tp1075 +a(g827 +Vx +p1076 +tp1077 +a(g840 +g976 +tp1078 +a(g413 +g978 +tp1079 +a(g840 +g976 +tp1080 +a(g89 +g1012 +tp1081 +a(g89 +g1065 +tp1082 +a(g89 +g1012 +tp1083 +a(g840 +g976 +tp1084 +a(g413 +V|| +p1085 +tp1086 +a(g840 +g976 +tp1087 +a(g89 +g1065 +tp1088 +a(g89 +g1012 +tp1089 +a(g89 +g1065 +tp1090 +a(g840 +g976 +tp1091 +a(g413 +V|| +p1092 +tp1093 +a(g840 +g976 +tp1094 +a(g89 +g1012 +tp1095 +a(g89 +V'' +p1096 +tp1097 +a(g89 +g1012 +tp1098 +a(g840 +g976 +tp1099 +a(g413 +V|| +p1100 +tp1101 +a(g840 +g976 +tp1102 +a(g89 +g1065 +tp1103 +a(g89 +V"" +p1104 +tp1105 +a(g89 +g1065 +tp1106 +a(g840 +g976 +tp1107 +a(g8 +V/* +p1108 +tp1109 +a(g8 +V quotes +p1110 +tp1111 +a(g8 +V*/ +p1112 +tp1113 +a(g840 +V\u000a +p1114 +tp1115 +a(g840 +V\u000a +p1116 +tp1117 +a(g8 +V/* +p1118 +tp1119 +a(g8 +V A comment\u000a +p1120 +tp1121 +a(g8 +V* +p1122 +tp1123 +a(g8 +V spawning multiple\u000a lines. / +p1124 +tp1125 +a(g8 +g1122 +tp1126 +a(g8 +V / +p1127 +tp1128 +a(g8 +V*/ +p1129 +tp1130 +a(g840 +V\u000a +p1131 +tp1132 +a(g840 +V\u000a +p1133 +tp1134 +a(g8 +V/* +p1135 +tp1136 +a(g8 +V Built-in functions. +p1137 +tp1138 +a(g8 +V*/ +p1139 +tp1140 +a(g840 +V\u000a +p1141 +tp1142 +a(g827 +Vline +p1143 +tp1144 +a(g840 +g976 +tp1145 +a(g413 +g978 +tp1146 +a(g840 +g976 +tp1147 +a(g89 +g1012 +tp1148 +a(g89 +g1040 +tp1149 +a(g89 +g1054 +tp1150 +a(g89 +Vn +p1151 +tp1152 +a(g89 +Ve +p1153 +tp1154 +a(g89 +g976 +tp1155 +a(g89 +Vc +p1156 +tp1157 +a(g89 +g1044 +tp1158 +a(g89 +g1151 +tp1159 +a(g89 +g1048 +tp1160 +a(g89 +g1038 +tp1161 +a(g89 +g1054 +tp1162 +a(g89 +g1151 +tp1163 +a(g89 +g1054 +tp1164 +a(g89 +g1151 +tp1165 +a(g89 +Vg +p1166 +tp1167 +a(g89 +g976 +tp1168 +a(g89 +g1046 +tp1169 +a(g89 +g1044 +tp1170 +a(g89 +g1042 +tp1171 +a(g89 +g1153 +tp1172 +a(g89 +g976 +tp1173 +a(g89 +g1046 +tp1174 +a(g89 +g1014 +tp1175 +a(g89 +g1044 +tp1176 +a(g89 +Vr +p1177 +tp1178 +a(g89 +g1048 +tp1179 +a(g89 +g976 +tp1180 +a(g89 +g1048 +tp1181 +a(g89 +g1153 +tp1182 +a(g89 +g1076 +tp1183 +a(g89 +g1048 +tp1184 +a(g89 +g1012 +tp1185 +a(g840 +V\u000a +p1186 +tp1187 +a(g794 +Vsay +p1188 +tp1189 +a(g840 +g976 +tp1190 +a(g682 +VWordPos +p1191 +tp1192 +a(g413 +V( +p1193 +tp1194 +a(g827 +Vline +p1195 +tp1196 +a(g413 +V, +p1197 +tp1198 +a(g840 +g976 +tp1199 +a(g89 +g1012 +tp1200 +a(g89 +g1046 +tp1201 +a(g89 +g1044 +tp1202 +a(g89 +g1042 +tp1203 +a(g89 +g1153 +tp1204 +a(g89 +g1012 +tp1205 +a(g413 +V) +p1206 +tp1207 +a(g840 +V\u000a +p1208 +tp1209 +a(g794 +Vsay +p1210 +tp1211 +a(g840 +g976 +tp1212 +a(g682 +VWord +p1213 +tp1214 +a(g413 +g1193 +tp1215 +a(g827 +Vline +p1216 +tp1217 +a(g413 +g1197 +tp1218 +a(g840 +g976 +tp1219 +a(g32 +V4 +p1220 +tp1221 +a(g413 +g1206 +tp1222 +a(g840 +V\u000a +p1223 +tp1224 +a(g840 +V\u000a +p1225 +tp1226 +a(g8 +V/* +p1227 +tp1228 +a(g8 +V Labels and procedures. +p1229 +tp1230 +a(g8 +V*/ +p1231 +tp1232 +a(g840 +V\u000a +p1233 +tp1234 +a(g597 +Vsome_label +p1235 +tp1236 +a(g840 +g976 +tp1237 +a(g413 +V: +p1238 +tp1239 +a(g840 +V\u000a +p1240 +tp1241 +a(g840 +V\u000a +p1242 +tp1243 +a(g574 +Vdivide +p1244 +tp1245 +a(g413 +g1238 +tp1246 +a(g840 +g976 +tp1247 +a(g810 +Vprocedure +p1248 +tp1249 +a(g840 +V\u000a +p1250 +tp1251 +a(g840 +g976 +tp1252 +a(g840 +g976 +tp1253 +a(g840 +g976 +tp1254 +a(g840 +g976 +tp1255 +a(g794 +Vparse +p1256 +tp1257 +a(g840 +g976 +tp1258 +a(g794 +Varg +p1259 +tp1260 +a(g840 +g976 +tp1261 +a(g827 +Vsome +p1262 +tp1263 +a(g840 +g976 +tp1264 +a(g827 +Vother +p1265 +tp1266 +a(g840 +V\u000a +p1267 +tp1268 +a(g840 +g976 +tp1269 +a(g840 +g976 +tp1270 +a(g840 +g976 +tp1271 +a(g840 +g976 +tp1272 +a(g794 +Vreturn +p1273 +tp1274 +a(g840 +g976 +tp1275 +a(g827 +Vsome +p1276 +tp1277 +a(g840 +g976 +tp1278 +a(g413 +V/ +p1279 +tp1280 +a(g840 +g976 +tp1281 +a(g827 +Vother +p1282 +tp1283 +a(g840 +V\u000a +p1284 +tp1285 +a(g840 +V\u000a +p1286 +tp1287 +a(g794 +Vcall +p1288 +tp1289 +a(g840 +g976 +tp1290 +a(g827 +Vdivide +p1291 +tp1292 +a(g413 +g1193 +tp1293 +a(g32 +V5 +p1294 +tp1295 +a(g413 +g1197 +tp1296 +a(g840 +g976 +tp1297 +a(g32 +V2 +p1298 +tp1299 +a(g413 +g1206 +tp1300 +a(g840 +V\u000a +p1301 +tp1302 +a(g840 +V\u000a +p1303 +tp1304 +a(g8 +V/* +p1305 +tp1306 +a(g8 +V Loops +p1307 +tp1308 +a(g8 +V*/ +p1309 +tp1310 +a(g840 +V\u000a +p1311 +tp1312 +a(g794 +Vdo +p1313 +tp1314 +a(g840 +g976 +tp1315 +a(g827 +g1054 +tp1316 +a(g840 +g976 +tp1317 +a(g413 +g978 +tp1318 +a(g840 +g976 +tp1319 +a(g32 +V1 +p1320 +tp1321 +a(g840 +g976 +tp1322 +a(g794 +Vto +p1323 +tp1324 +a(g840 +g976 +tp1325 +a(g32 +g1294 +tp1326 +a(g840 +V\u000a +p1327 +tp1328 +a(g840 +g976 +tp1329 +a(g840 +g976 +tp1330 +a(g840 +g976 +tp1331 +a(g840 +g976 +tp1332 +a(g794 +Vdo +p1333 +tp1334 +a(g840 +g976 +tp1335 +a(g827 +Vj +p1336 +tp1337 +a(g840 +g976 +tp1338 +a(g413 +g978 +tp1339 +a(g840 +g976 +tp1340 +a(g413 +V- +p1341 +tp1342 +a(g32 +g987 +tp1343 +a(g840 +g976 +tp1344 +a(g794 +Vto +p1345 +tp1346 +a(g840 +g976 +tp1347 +a(g413 +g1341 +tp1348 +a(g32 +V9 +p1349 +tp1350 +a(g840 +g976 +tp1351 +a(g794 +Vby +p1352 +tp1353 +a(g840 +g976 +tp1354 +a(g413 +g1341 +tp1355 +a(g32 +g987 +tp1356 +a(g840 +V\u000a +p1357 +tp1358 +a(g840 +g976 +tp1359 +a(g840 +g976 +tp1360 +a(g840 +g976 +tp1361 +a(g840 +g976 +tp1362 +a(g840 +g976 +tp1363 +a(g840 +g976 +tp1364 +a(g840 +g976 +tp1365 +a(g840 +g976 +tp1366 +a(g794 +Vsay +p1367 +tp1368 +a(g840 +g976 +tp1369 +a(g827 +g1054 +tp1370 +a(g840 +g976 +tp1371 +a(g89 +g1012 +tp1372 +a(g89 +g984 +tp1373 +a(g89 +g1012 +tp1374 +a(g840 +g976 +tp1375 +a(g827 +g1336 +tp1376 +a(g840 +g976 +tp1377 +a(g89 +g1012 +tp1378 +a(g89 +g978 +tp1379 +a(g89 +g1012 +tp1380 +a(g840 +g976 +tp1381 +a(g827 +g1054 +tp1382 +a(g840 +g976 +tp1383 +a(g413 +g984 +tp1384 +a(g840 +g976 +tp1385 +a(g827 +g1336 +tp1386 +a(g840 +V\u000a +p1387 +tp1388 +a(g840 +g976 +tp1389 +a(g840 +g976 +tp1390 +a(g840 +g976 +tp1391 +a(g840 +g976 +tp1392 +a(g794 +Vend +p1393 +tp1394 +a(g840 +g976 +tp1395 +a(g827 +g1336 +tp1396 +a(g840 +V\u000a +p1397 +tp1398 +a(g794 +Vend +p1399 +tp1400 +a(g840 +g976 +tp1401 +a(g827 +g1054 +tp1402 +a(g840 +V\u000a +p1403 +tp1404 +a(g840 +V\u000a +p1405 +tp1406 +a(g794 +Vdo +p1407 +tp1408 +a(g840 +g976 +tp1409 +a(g794 +Vforever +p1410 +tp1411 +a(g840 +V\u000a +p1412 +tp1413 +a(g840 +g976 +tp1414 +a(g840 +g976 +tp1415 +a(g794 +Vleave +p1416 +tp1417 +a(g840 +V\u000a +p1418 +tp1419 +a(g794 +Vend +p1420 +tp1421 +a(g840 +V\u000a +p1422 +tp1423 +a(g840 +V\u000a +p1424 +tp1425 +a(g8 +V/* +p1426 +tp1427 +a(g8 +V Print a text file on MVS. +p1428 +tp1429 +a(g8 +V*/ +p1430 +tp1431 +a(g840 +V\u000a +p1432 +tp1433 +a(g794 +VADDRESS +p1434 +tp1435 +a(g840 +g976 +tp1436 +a(g827 +VTSO +p1437 +tp1438 +a(g840 +V\u000a +p1439 +tp1440 +a(g89 +g1065 +tp1441 +a(g89 +VALLOC F(TEXTFILE) DSN('some.text.dsn') SHR REU +p1442 +tp1443 +a(g89 +g1065 +tp1444 +a(g840 +V\u000a +p1445 +tp1446 +a(g89 +g1065 +tp1447 +a(g89 +VEXECIO * DISKR TEXTFILE ( FINIS STEM LINES. +p1448 +tp1449 +a(g89 +g1065 +tp1450 +a(g840 +V\u000a +p1451 +tp1452 +a(g89 +g1065 +tp1453 +a(g89 +VFREE F(TEXTFILE) +p1454 +tp1455 +a(g89 +g1065 +tp1456 +a(g840 +V\u000a +p1457 +tp1458 +a(g827 +VI +p1459 +tp1460 +a(g840 +g976 +tp1461 +a(g413 +g978 +tp1462 +a(g840 +g976 +tp1463 +a(g32 +g1320 +tp1464 +a(g840 +V\u000a +p1465 +tp1466 +a(g794 +VDO +p1467 +tp1468 +a(g840 +g976 +tp1469 +a(g794 +VWHILE +p1470 +tp1471 +a(g840 +g976 +tp1472 +a(g827 +g1459 +tp1473 +a(g840 +g976 +tp1474 +a(g413 +V<= +p1475 +tp1476 +a(g840 +g976 +tp1477 +a(g827 +VLINES +p1478 +tp1479 +a(g413 +V. +p1480 +tp1481 +a(g32 +V0 +p1482 +tp1483 +a(g840 +V\u000a +p1484 +tp1485 +a(g840 +g976 +tp1486 +a(g840 +g976 +tp1487 +a(g840 +g976 +tp1488 +a(g840 +g976 +tp1489 +a(g794 +VSAY +p1490 +tp1491 +a(g840 +g976 +tp1492 +a(g89 +g1012 +tp1493 +a(g89 +g976 +tp1494 +a(g89 +VL +p1495 +tp1496 +a(g89 +g1459 +tp1497 +a(g89 +VN +p1498 +tp1499 +a(g89 +VE +p1500 +tp1501 +a(g89 +g976 +tp1502 +a(g89 +g1012 +tp1503 +a(g840 +g976 +tp1504 +a(g827 +g1459 +tp1505 +a(g840 +g976 +tp1506 +a(g89 +g1012 +tp1507 +a(g89 +g976 +tp1508 +a(g89 +g1238 +tp1509 +a(g89 +g976 +tp1510 +a(g89 +g1012 +tp1511 +a(g840 +g976 +tp1512 +a(g827 +VLINES +p1513 +tp1514 +a(g413 +g1480 +tp1515 +a(g827 +g1459 +tp1516 +a(g840 +V\u000a +p1517 +tp1518 +a(g840 +g976 +tp1519 +a(g840 +g976 +tp1520 +a(g840 +g976 +tp1521 +a(g840 +g976 +tp1522 +a(g827 +g1459 +tp1523 +a(g840 +g976 +tp1524 +a(g413 +g978 +tp1525 +a(g840 +g976 +tp1526 +a(g827 +g1459 +tp1527 +a(g840 +g976 +tp1528 +a(g413 +g984 +tp1529 +a(g840 +g976 +tp1530 +a(g32 +g1320 +tp1531 +a(g840 +V\u000a +p1532 +tp1533 +a(g794 +VEND +p1534 +tp1535 +a(g840 +V\u000a +p1536 +tp1537 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rhtml b/tests/examplefiles/output/example.rhtml new file mode 100644 index 0000000..aacee11 --- /dev/null +++ b/tests/examplefiles/output/example.rhtml @@ -0,0 +1,18164 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g754 +V<% +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g465 +V@title +p962 +tp963 +a(g7 +g960 +tp964 +a(g416 +V= +p965 +tp966 +a(g7 +g960 +tp967 +a(g233 +V'Moderatoren-Interface' +p968 +tp969 +a(g7 +g960 +tp970 +a(g754 +V%> +p971 +tp972 +a(g7 +V\u000a\u000a +p973 +tp974 +a(g709 +V< +p975 +tp976 +a(g561 +Vdl +p977 +tp978 +a(g709 +V> +p979 +tp980 +a(g7 +V\u000a +p981 +tp982 +a(g709 +g975 +tp983 +a(g561 +Vdt +p984 +tp985 +a(g709 +g979 +tp986 +a(g754 +V<%= +p987 +tp988 +a(g7 +g960 +tp989 +a(g439 +Vlink_to +p990 +tp991 +a(g7 +g960 +tp992 +a(g233 +V'Proben' +p993 +tp994 +a(g709 +V, +p995 +tp996 +a(g7 +g960 +tp997 +a(g120 +V:controller +p998 +tp999 +a(g7 +g960 +tp1000 +a(g416 +g965 +tp1001 +a(g416 +g979 +tp1002 +a(g7 +g960 +tp1003 +a(g233 +V'/admin/proben' +p1004 +tp1005 +a(g7 +g960 +tp1006 +a(g754 +V%> +p1007 +tp1008 +a(g709 +g956 +tp1009 +a(g709 +g975 +tp1010 +a(g709 +V/ +p1011 +tp1012 +a(g561 +Vdt +p1013 +tp1014 +a(g709 +g979 +tp1015 +a(g7 +V\u000a +p1016 +tp1017 +a(g709 +g975 +tp1018 +a(g561 +Vdd +p1019 +tp1020 +a(g709 +g979 +tp1021 +a(g7 +VDie angesetzten Proben des Orchesters +p1022 +tp1023 +a(g709 +g975 +tp1024 +a(g709 +g1011 +tp1025 +a(g561 +Vdd +p1026 +tp1027 +a(g709 +g979 +tp1028 +a(g7 +V\u000a +p1029 +tp1030 +a(g709 +g975 +tp1031 +a(g561 +Vdt +p1032 +tp1033 +a(g709 +g979 +tp1034 +a(g754 +V<%= +p1035 +tp1036 +a(g7 +g960 +tp1037 +a(g439 +Vlink_to +p1038 +tp1039 +a(g7 +g960 +tp1040 +a(g233 +V'Auftritte' +p1041 +tp1042 +a(g709 +g995 +tp1043 +a(g7 +g960 +tp1044 +a(g120 +V:controller +p1045 +tp1046 +a(g7 +g960 +tp1047 +a(g416 +g965 +tp1048 +a(g416 +g979 +tp1049 +a(g7 +g960 +tp1050 +a(g233 +V'/admin/proben' +p1051 +tp1052 +a(g7 +g960 +tp1053 +a(g754 +V%> +p1054 +tp1055 +a(g709 +g956 +tp1056 +a(g709 +g975 +tp1057 +a(g709 +g1011 +tp1058 +a(g561 +Vdt +p1059 +tp1060 +a(g709 +g979 +tp1061 +a(g7 +V\u000a +p1062 +tp1063 +a(g709 +g975 +tp1064 +a(g561 +Vdd +p1065 +tp1066 +a(g709 +g979 +tp1067 +a(g7 +VDie Auftritte des Orchesters +p1068 +tp1069 +a(g709 +g975 +tp1070 +a(g709 +g1011 +tp1071 +a(g561 +Vdd +p1072 +tp1073 +a(g709 +g979 +tp1074 +a(g7 +V\u000a +p1075 +tp1076 +a(g754 +V<%- +p1077 +tp1078 +a(g7 +g960 +tp1079 +a(g842 +Vif +p1080 +tp1081 +a(g7 +g960 +tp1082 +a(g465 +V@valid_user +p1083 +tp1084 +a(g7 +g960 +tp1085 +a(g430 +Vand +p1086 +tp1087 +a(g7 +g960 +tp1088 +a(g465 +V@valid_user +p1089 +tp1090 +a(g416 +V. +p1091 +tp1092 +a(g439 +Vadmin? +p1093 +tp1094 +a(g7 +g960 +tp1095 +a(g754 +V-%> +p1096 +tp1097 +a(g7 +V\u000a +p1098 +tp1099 +a(g709 +g975 +tp1100 +a(g561 +Vdt +p1101 +tp1102 +a(g709 +g979 +tp1103 +a(g754 +V<%= +p1104 +tp1105 +a(g7 +g960 +tp1106 +a(g439 +Vlink_to +p1107 +tp1108 +a(g7 +g960 +tp1109 +a(g233 +V'Benutzer' +p1110 +tp1111 +a(g709 +g995 +tp1112 +a(g7 +g960 +tp1113 +a(g120 +V:controller +p1114 +tp1115 +a(g7 +g960 +tp1116 +a(g416 +g965 +tp1117 +a(g416 +g979 +tp1118 +a(g7 +g960 +tp1119 +a(g233 +V'/admin/user' +p1120 +tp1121 +a(g7 +g960 +tp1122 +a(g754 +V%> +p1123 +tp1124 +a(g709 +g956 +tp1125 +a(g709 +g975 +tp1126 +a(g709 +g1011 +tp1127 +a(g561 +Vdt +p1128 +tp1129 +a(g709 +g979 +tp1130 +a(g7 +V\u000a +p1131 +tp1132 +a(g709 +g975 +tp1133 +a(g561 +Vdd +p1134 +tp1135 +a(g709 +g979 +tp1136 +a(g7 +VBenutzer organisieren (nur für den Admin) +p1137 +tp1138 +a(g709 +g975 +tp1139 +a(g709 +g1011 +tp1140 +a(g561 +Vdd +p1141 +tp1142 +a(g709 +g979 +tp1143 +a(g7 +V\u000a +p1144 +tp1145 +a(g754 +V<%- +p1146 +tp1147 +a(g7 +g960 +tp1148 +a(g842 +Vend +p1149 +tp1150 +a(g7 +g960 +tp1151 +a(g754 +V-%> +p1152 +tp1153 +a(g7 +V\u000a +p1154 +tp1155 +a(g709 +g975 +tp1156 +a(g709 +g1011 +tp1157 +a(g561 +Vdl +p1158 +tp1159 +a(g709 +g979 +tp1160 +a(g7 +V\u000a +p1161 +tp1162 +a(g754 +V<% +p1163 +tp1164 +a(g7 +g960 +tp1165 +a(g465 +V@title +p1166 +tp1167 +a(g7 +g960 +tp1168 +a(g416 +g965 +tp1169 +a(g7 +g960 +tp1170 +a(g233 +V'Anmeldung' +p1171 +tp1172 +a(g7 +g960 +tp1173 +a(g754 +V%> +p1174 +tp1175 +a(g7 +V\u000a\u000a +p1176 +tp1177 +a(g754 +V<%= +p1178 +tp1179 +a(g7 +g960 +tp1180 +a(g439 +Vrender +p1181 +tp1182 +a(g7 +g960 +tp1183 +a(g120 +V:partial +p1184 +tp1185 +a(g7 +g960 +tp1186 +a(g416 +g965 +tp1187 +a(g416 +g979 +tp1188 +a(g7 +g960 +tp1189 +a(g233 +V'user_form' +p1190 +tp1191 +a(g709 +g995 +tp1192 +a(g7 +g960 +tp1193 +a(g120 +V:object +p1194 +tp1195 +a(g7 +g960 +tp1196 +a(g416 +g965 +tp1197 +a(g416 +g979 +tp1198 +a(g7 +g960 +tp1199 +a(g465 +V@user +p1200 +tp1201 +a(g7 +g960 +tp1202 +a(g754 +V%> +p1203 +tp1204 +a(g7 +V\u000a +p1205 +tp1206 +a(g754 +V<% +p1207 +tp1208 +a(g7 +g960 +tp1209 +a(g465 +V@title +p1210 +tp1211 +a(g7 +g960 +tp1212 +a(g416 +g965 +tp1213 +a(g7 +g960 +tp1214 +a(g233 +V'Administrator erstellen' +p1215 +tp1216 +a(g7 +g960 +tp1217 +a(g754 +V%> +p1218 +tp1219 +a(g7 +V\u000a\u000a +p1220 +tp1221 +a(g754 +V<%= +p1222 +tp1223 +a(g7 +g960 +tp1224 +a(g439 +Vrender +p1225 +tp1226 +a(g7 +g960 +tp1227 +a(g120 +V:partial +p1228 +tp1229 +a(g7 +g960 +tp1230 +a(g416 +g965 +tp1231 +a(g416 +g979 +tp1232 +a(g7 +g960 +tp1233 +a(g233 +V'user_form' +p1234 +tp1235 +a(g709 +g995 +tp1236 +a(g7 +g960 +tp1237 +a(g120 +V:object +p1238 +tp1239 +a(g7 +g960 +tp1240 +a(g416 +g965 +tp1241 +a(g416 +g979 +tp1242 +a(g7 +g960 +tp1243 +a(g465 +V@user +p1244 +tp1245 +a(g7 +g960 +tp1246 +a(g754 +V%> +p1247 +tp1248 +a(g7 +V\u000a +p1249 +tp1250 +a(g754 +V<%= +p1251 +tp1252 +a(g7 +g960 +tp1253 +a(g439 +Vform_tag +p1254 +tp1255 +a(g7 +g960 +tp1256 +a(g754 +V%> +p1257 +tp1258 +a(g7 +V\u000a +p1259 +tp1260 +a(g709 +g975 +tp1261 +a(g561 +Vtable +p1262 +tp1263 +a(g709 +g979 +tp1264 +a(g7 +V\u000a +p1265 +tp1266 +a(g709 +g975 +tp1267 +a(g561 +Vtr +p1268 +tp1269 +a(g709 +g979 +tp1270 +a(g7 +V\u000a +p1271 +tp1272 +a(g709 +g975 +tp1273 +a(g561 +Vtd +p1274 +tp1275 +a(g709 +g979 +tp1276 +a(g7 +VName: +p1277 +tp1278 +a(g709 +g975 +tp1279 +a(g709 +g1011 +tp1280 +a(g561 +Vtd +p1281 +tp1282 +a(g709 +g979 +tp1283 +a(g7 +V\u000a +p1284 +tp1285 +a(g709 +g975 +tp1286 +a(g561 +Vtd +p1287 +tp1288 +a(g709 +g979 +tp1289 +a(g754 +V<%= +p1290 +tp1291 +a(g7 +g960 +tp1292 +a(g439 +Vtext_field +p1293 +tp1294 +a(g7 +g960 +tp1295 +a(g233 +V'user' +p1296 +tp1297 +a(g709 +g995 +tp1298 +a(g7 +g960 +tp1299 +a(g233 +V'name' +p1300 +tp1301 +a(g7 +g960 +tp1302 +a(g754 +V%> +p1303 +tp1304 +a(g709 +g956 +tp1305 +a(g709 +g975 +tp1306 +a(g709 +g1011 +tp1307 +a(g561 +Vtd +p1308 +tp1309 +a(g709 +g979 +tp1310 +a(g7 +V\u000a +p1311 +tp1312 +a(g709 +g975 +tp1313 +a(g709 +g1011 +tp1314 +a(g561 +Vtr +p1315 +tp1316 +a(g709 +g979 +tp1317 +a(g7 +V\u000a +p1318 +tp1319 +a(g709 +g975 +tp1320 +a(g561 +Vtr +p1321 +tp1322 +a(g709 +g979 +tp1323 +a(g7 +V\u000a +p1324 +tp1325 +a(g709 +g975 +tp1326 +a(g561 +Vtd +p1327 +tp1328 +a(g709 +g979 +tp1329 +a(g7 +VPasswort: +p1330 +tp1331 +a(g709 +g975 +tp1332 +a(g709 +g1011 +tp1333 +a(g561 +Vtd +p1334 +tp1335 +a(g709 +g979 +tp1336 +a(g7 +V\u000a +p1337 +tp1338 +a(g709 +g975 +tp1339 +a(g561 +Vtd +p1340 +tp1341 +a(g709 +g979 +tp1342 +a(g754 +V<%= +p1343 +tp1344 +a(g7 +g960 +tp1345 +a(g439 +Vpassword_field +p1346 +tp1347 +a(g7 +g960 +tp1348 +a(g233 +V'user' +p1349 +tp1350 +a(g709 +g995 +tp1351 +a(g7 +g960 +tp1352 +a(g233 +V'password' +p1353 +tp1354 +a(g7 +g960 +tp1355 +a(g754 +V%> +p1356 +tp1357 +a(g709 +g956 +tp1358 +a(g709 +g975 +tp1359 +a(g709 +g1011 +tp1360 +a(g561 +Vtd +p1361 +tp1362 +a(g709 +g979 +tp1363 +a(g7 +V\u000a +p1364 +tp1365 +a(g709 +g975 +tp1366 +a(g709 +g1011 +tp1367 +a(g561 +Vtr +p1368 +tp1369 +a(g709 +g979 +tp1370 +a(g7 +V\u000a +p1371 +tp1372 +a(g709 +g975 +tp1373 +a(g561 +Vtr +p1374 +tp1375 +a(g709 +g979 +tp1376 +a(g7 +V\u000a +p1377 +tp1378 +a(g709 +g975 +tp1379 +a(g561 +Vtd +p1380 +tp1381 +a(g709 +g979 +tp1382 +a(g709 +g975 +tp1383 +a(g709 +g1011 +tp1384 +a(g561 +Vtd +p1385 +tp1386 +a(g709 +g979 +tp1387 +a(g7 +V\u000a +p1388 +tp1389 +a(g709 +g975 +tp1390 +a(g561 +Vtd +p1391 +tp1392 +a(g709 +g979 +tp1393 +a(g754 +V<%= +p1394 +tp1395 +a(g7 +g960 +tp1396 +a(g439 +Vsubmit_tag +p1397 +tp1398 +a(g7 +g960 +tp1399 +a(g233 +V'Anmelden' +p1400 +tp1401 +a(g7 +g960 +tp1402 +a(g754 +V%> +p1403 +tp1404 +a(g709 +g956 +tp1405 +a(g709 +g975 +tp1406 +a(g709 +g1011 +tp1407 +a(g561 +Vtd +p1408 +tp1409 +a(g709 +g979 +tp1410 +a(g7 +V\u000a +p1411 +tp1412 +a(g709 +g975 +tp1413 +a(g709 +g1011 +tp1414 +a(g561 +Vtable +p1415 +tp1416 +a(g709 +g979 +tp1417 +a(g7 +V\u000a +p1418 +tp1419 +a(g754 +V<%= +p1420 +tp1421 +a(g7 +g960 +tp1422 +a(g439 +Vend_form_tag +p1423 +tp1424 +a(g7 +g960 +tp1425 +a(g754 +V%> +p1426 +tp1427 +a(g7 +V\u000a +p1428 +tp1429 +a(g754 +V<% +p1430 +tp1431 +a(g7 +g960 +tp1432 +a(g465 +V@title +p1433 +tp1434 +a(g7 +g960 +tp1435 +a(g416 +g965 +tp1436 +a(g7 +g960 +tp1437 +a(g233 +V'Neuer Benutzer' +p1438 +tp1439 +a(g7 +g960 +tp1440 +a(g754 +V-%> +p1441 +tp1442 +a(g7 +V\u000a +p1443 +tp1444 +a(g754 +V<%= +p1445 +tp1446 +a(g7 +g960 +tp1447 +a(g439 +Verror_messages_for +p1448 +tp1449 +a(g7 +g960 +tp1450 +a(g120 +V:user +p1451 +tp1452 +a(g7 +g960 +tp1453 +a(g754 +V%> +p1454 +tp1455 +a(g7 +V\u000a +p1456 +tp1457 +a(g754 +V<%= +p1458 +tp1459 +a(g7 +g960 +tp1460 +a(g439 +Vrender +p1461 +tp1462 +a(g7 +g960 +tp1463 +a(g120 +V:partial +p1464 +tp1465 +a(g7 +g960 +tp1466 +a(g416 +g965 +tp1467 +a(g416 +g979 +tp1468 +a(g7 +g960 +tp1469 +a(g233 +V'form' +p1470 +tp1471 +a(g709 +g995 +tp1472 +a(g7 +g960 +tp1473 +a(g120 +V:object +p1474 +tp1475 +a(g7 +g960 +tp1476 +a(g416 +g965 +tp1477 +a(g416 +g979 +tp1478 +a(g7 +g960 +tp1479 +a(g465 +V@user +p1480 +tp1481 +a(g7 +g960 +tp1482 +a(g754 +V%> +p1483 +tp1484 +a(g7 +V\u000a +p1485 +tp1486 +a(g754 +V<%= +p1487 +tp1488 +a(g7 +g960 +tp1489 +a(g439 +Vform_tag +p1490 +tp1491 +a(g7 +g960 +tp1492 +a(g754 +V%> +p1493 +tp1494 +a(g7 +V\u000a +p1495 +tp1496 +a(g709 +g975 +tp1497 +a(g561 +Vtable +p1498 +tp1499 +a(g709 +g979 +tp1500 +a(g7 +V\u000a +p1501 +tp1502 +a(g709 +g975 +tp1503 +a(g561 +Vtr +p1504 +tp1505 +a(g709 +g979 +tp1506 +a(g7 +V\u000a +p1507 +tp1508 +a(g709 +g975 +tp1509 +a(g561 +Vtd +p1510 +tp1511 +a(g709 +g979 +tp1512 +a(g7 +VName: +p1513 +tp1514 +a(g709 +g975 +tp1515 +a(g709 +g1011 +tp1516 +a(g561 +Vtd +p1517 +tp1518 +a(g709 +g979 +tp1519 +a(g7 +V\u000a +p1520 +tp1521 +a(g709 +g975 +tp1522 +a(g561 +Vtd +p1523 +tp1524 +a(g709 +g979 +tp1525 +a(g754 +V<%= +p1526 +tp1527 +a(g7 +g960 +tp1528 +a(g439 +Vtext_field +p1529 +tp1530 +a(g7 +g960 +tp1531 +a(g233 +V'user' +p1532 +tp1533 +a(g709 +g995 +tp1534 +a(g7 +g960 +tp1535 +a(g233 +V'name' +p1536 +tp1537 +a(g7 +g960 +tp1538 +a(g754 +V%> +p1539 +tp1540 +a(g709 +g956 +tp1541 +a(g709 +g975 +tp1542 +a(g709 +g1011 +tp1543 +a(g561 +Vtd +p1544 +tp1545 +a(g709 +g979 +tp1546 +a(g7 +V\u000a +p1547 +tp1548 +a(g709 +g975 +tp1549 +a(g709 +g1011 +tp1550 +a(g561 +Vtr +p1551 +tp1552 +a(g709 +g979 +tp1553 +a(g7 +V\u000a +p1554 +tp1555 +a(g709 +g975 +tp1556 +a(g561 +Vtr +p1557 +tp1558 +a(g709 +g979 +tp1559 +a(g7 +V\u000a +p1560 +tp1561 +a(g709 +g975 +tp1562 +a(g561 +Vtd +p1563 +tp1564 +a(g709 +g979 +tp1565 +a(g7 +VPasswort: +p1566 +tp1567 +a(g709 +g975 +tp1568 +a(g709 +g1011 +tp1569 +a(g561 +Vtd +p1570 +tp1571 +a(g709 +g979 +tp1572 +a(g7 +V\u000a +p1573 +tp1574 +a(g709 +g975 +tp1575 +a(g561 +Vtd +p1576 +tp1577 +a(g709 +g979 +tp1578 +a(g754 +V<%= +p1579 +tp1580 +a(g7 +g960 +tp1581 +a(g439 +Vpassword_field +p1582 +tp1583 +a(g7 +g960 +tp1584 +a(g233 +V'user' +p1585 +tp1586 +a(g709 +g995 +tp1587 +a(g7 +g960 +tp1588 +a(g233 +V'password' +p1589 +tp1590 +a(g7 +g960 +tp1591 +a(g754 +V%> +p1592 +tp1593 +a(g709 +g956 +tp1594 +a(g709 +g975 +tp1595 +a(g709 +g1011 +tp1596 +a(g561 +Vtd +p1597 +tp1598 +a(g709 +g979 +tp1599 +a(g7 +V\u000a +p1600 +tp1601 +a(g709 +g975 +tp1602 +a(g709 +g1011 +tp1603 +a(g561 +Vtr +p1604 +tp1605 +a(g709 +g979 +tp1606 +a(g7 +V\u000a +p1607 +tp1608 +a(g709 +g975 +tp1609 +a(g561 +Vtr +p1610 +tp1611 +a(g709 +g979 +tp1612 +a(g7 +V\u000a +p1613 +tp1614 +a(g709 +g975 +tp1615 +a(g561 +Vtd +p1616 +tp1617 +a(g709 +g979 +tp1618 +a(g709 +g975 +tp1619 +a(g709 +g1011 +tp1620 +a(g561 +Vtd +p1621 +tp1622 +a(g709 +g979 +tp1623 +a(g7 +V\u000a +p1624 +tp1625 +a(g709 +g975 +tp1626 +a(g561 +Vtd +p1627 +tp1628 +a(g709 +g979 +tp1629 +a(g754 +V<%= +p1630 +tp1631 +a(g7 +g960 +tp1632 +a(g439 +Vsubmit_tag +p1633 +tp1634 +a(g7 +g960 +tp1635 +a(g233 +V'Anlegen' +p1636 +tp1637 +a(g7 +g960 +tp1638 +a(g754 +V%> +p1639 +tp1640 +a(g709 +g956 +tp1641 +a(g709 +g975 +tp1642 +a(g709 +g1011 +tp1643 +a(g561 +Vtd +p1644 +tp1645 +a(g709 +g979 +tp1646 +a(g7 +V\u000a +p1647 +tp1648 +a(g709 +g975 +tp1649 +a(g709 +g1011 +tp1650 +a(g561 +Vtable +p1651 +tp1652 +a(g709 +g979 +tp1653 +a(g7 +V\u000a +p1654 +tp1655 +a(g754 +V<%= +p1656 +tp1657 +a(g7 +g960 +tp1658 +a(g439 +Vend_form_tag +p1659 +tp1660 +a(g7 +g960 +tp1661 +a(g754 +V%> +p1662 +tp1663 +a(g7 +V\u000a +p1664 +tp1665 +a(g754 +V<% +p1666 +tp1667 +a(g7 +g960 +tp1668 +a(g465 +V@title +p1669 +tp1670 +a(g7 +g960 +tp1671 +a(g416 +g965 +tp1672 +a(g7 +g960 +tp1673 +a(g233 +V'Auftritte' +p1674 +tp1675 +a(g7 +g960 +tp1676 +a(g754 +V%> +p1677 +tp1678 +a(g7 +V\u000a\u000a +p1679 +tp1680 +a(g709 +g975 +tp1681 +a(g561 +Vtable +p1682 +tp1683 +a(g7 +g960 +tp1684 +a(g670 +Vcellspacing +p1685 +tp1686 +a(g416 +g965 +tp1687 +a(g92 +V"0" +p1688 +tp1689 +a(g7 +g960 +tp1690 +a(g670 +Vsummary +p1691 +tp1692 +a(g416 +g965 +tp1693 +a(g92 +V"Auftritte: Wann treten wir Wo auf?" +p1694 +tp1695 +a(g709 +g979 +tp1696 +a(g7 +V\u000a +p1697 +tp1698 +a(g754 +V<%= +p1699 +tp1700 +a(g7 +g960 +tp1701 +a(g439 +Vrender +p1702 +tp1703 +a(g7 +g960 +tp1704 +a(g120 +V:partial +p1705 +tp1706 +a(g7 +g960 +tp1707 +a(g416 +g965 +tp1708 +a(g416 +g979 +tp1709 +a(g7 +g960 +tp1710 +a(g233 +V'head' +p1711 +tp1712 +a(g7 +g960 +tp1713 +a(g754 +V%> +p1714 +tp1715 +a(g7 +V\u000a +p1716 +tp1717 +a(g754 +V<%= +p1718 +tp1719 +a(g7 +g960 +tp1720 +a(g439 +Vrender +p1721 +tp1722 +a(g7 +g960 +tp1723 +a(g120 +V:partial +p1724 +tp1725 +a(g7 +g960 +tp1726 +a(g416 +g965 +tp1727 +a(g416 +g979 +tp1728 +a(g7 +g960 +tp1729 +a(g233 +V'day' +p1730 +tp1731 +a(g709 +g995 +tp1732 +a(g7 +g960 +tp1733 +a(g120 +V:collection +p1734 +tp1735 +a(g7 +g960 +tp1736 +a(g416 +g965 +tp1737 +a(g416 +g979 +tp1738 +a(g7 +g960 +tp1739 +a(g465 +V@days +p1740 +tp1741 +a(g7 +g960 +tp1742 +a(g754 +V%> +p1743 +tp1744 +a(g7 +V\u000a +p1745 +tp1746 +a(g709 +g975 +tp1747 +a(g709 +g1011 +tp1748 +a(g561 +Vtable +p1749 +tp1750 +a(g709 +g979 +tp1751 +a(g7 +V\u000a +p1752 +tp1753 +a(g754 +V<% +p1754 +tp1755 +a(g7 +g960 +tp1756 +a(g439 +Vday +p1757 +tp1758 +a(g709 +g995 +tp1759 +a(g7 +g960 +tp1760 +a(g439 +Vauftritte +p1761 +tp1762 +a(g7 +g960 +tp1763 +a(g416 +g965 +tp1764 +a(g7 +g960 +tp1765 +a(g416 +V* +p1766 +tp1767 +a(g439 +Vday +p1768 +tp1769 +a(g7 +g960 +tp1770 +a(g754 +V-%> +p1771 +tp1772 +a(g7 +V\u000a +p1773 +tp1774 +a(g754 +V<% +p1775 +tp1776 +a(g7 +V\u000a +p1777 +tp1778 +a(g842 +Vfor +p1779 +tp1780 +a(g7 +g960 +tp1781 +a(g439 +Vauftritt +p1782 +tp1783 +a(g7 +g960 +tp1784 +a(g842 +Vin +p1785 +tp1786 +a(g7 +g960 +tp1787 +a(g439 +Vauftritte +p1788 +tp1789 +a(g7 +V \u000a +p1790 +tp1791 +a(g754 +V-%> +p1792 +tp1793 +a(g7 +V\u000a\u000a +p1794 +tp1795 +a(g709 +g975 +tp1796 +a(g561 +Vtr +p1797 +tp1798 +a(g709 +g979 +tp1799 +a(g7 +V\u000a +p1800 +tp1801 +a(g709 +g975 +tp1802 +a(g561 +Vtd +p1803 +tp1804 +a(g7 +g960 +tp1805 +a(g670 +Vclass +p1806 +tp1807 +a(g416 +g965 +tp1808 +a(g92 +V"pplan_datum" +p1809 +tp1810 +a(g709 +g979 +tp1811 +a(g7 +V\u000a +p1812 +tp1813 +a(g754 +V<%= +p1814 +tp1815 +a(g7 +g960 +tp1816 +a(g439 +Vcolorize +p1817 +tp1818 +a(g7 +g960 +tp1819 +a(g439 +Vday +p1820 +tp1821 +a(g416 +g1091 +tp1822 +a(g439 +Vto_s +p1823 +tp1824 +a(g709 +V( +p1825 +tp1826 +a(g120 +V:dots +p1827 +tp1828 +a(g709 +V) +p1829 +tp1830 +a(g7 +g960 +tp1831 +a(g842 +Vif +p1832 +tp1833 +a(g7 +g960 +tp1834 +a(g439 +Vday +p1835 +tp1836 +a(g7 +g960 +tp1837 +a(g754 +V%> +p1838 +tp1839 +a(g7 +V\u000a +p1840 +tp1841 +a(g754 +V<% +p1842 +tp1843 +a(g7 +g960 +tp1844 +a(g842 +Vif +p1845 +tp1846 +a(g7 +g960 +tp1847 +a(g439 +Vday +p1848 +tp1849 +a(g7 +g960 +tp1850 +a(g430 +Vand +p1851 +tp1852 +a(g7 +g960 +tp1853 +a(g439 +Vday +p1854 +tp1855 +a(g416 +g1091 +tp1856 +a(g439 +Vwday +p1857 +tp1858 +a(g7 +g960 +tp1859 +a(g416 +V== +p1860 +tp1861 +a(g7 +g960 +tp1862 +a(g40 +V6 +p1863 +tp1864 +a(g7 +g960 +tp1865 +a(g754 +V%> +p1866 +tp1867 +a(g7 +g956 +tp1868 +a(g709 +g975 +tp1869 +a(g561 +Vbr +p1870 +tp1871 +a(g7 +g960 +tp1872 +a(g709 +g1011 +tp1873 +a(g709 +g979 +tp1874 +a(g709 +g975 +tp1875 +a(g561 +Vem +p1876 +tp1877 +a(g709 +g979 +tp1878 +a(g7 +VSamstag +p1879 +tp1880 +a(g709 +g975 +tp1881 +a(g709 +g1011 +tp1882 +a(g561 +Vem +p1883 +tp1884 +a(g709 +g979 +tp1885 +a(g754 +V<% +p1886 +tp1887 +a(g7 +g960 +tp1888 +a(g842 +Vend +p1889 +tp1890 +a(g7 +g960 +tp1891 +a(g754 +V%> +p1892 +tp1893 +a(g709 +g956 +tp1894 +a(g7 +V\u000a +p1895 +tp1896 +a(g709 +g975 +tp1897 +a(g709 +g1011 +tp1898 +a(g561 +Vtd +p1899 +tp1900 +a(g709 +g979 +tp1901 +a(g7 +V\u000a +p1902 +tp1903 +a(g709 +g975 +tp1904 +a(g561 +Vtd +p1905 +tp1906 +a(g7 +g960 +tp1907 +a(g670 +Vclass +p1908 +tp1909 +a(g416 +g965 +tp1910 +a(g92 +V"pplan_zeit" +p1911 +tp1912 +a(g709 +g979 +tp1913 +a(g7 +V\u000a +p1914 +tp1915 +a(g754 +V<%= +p1916 +tp1917 +a(g7 +g960 +tp1918 +a(g439 +Vcolorize +p1919 +tp1920 +a(g7 +g960 +tp1921 +a(g439 +Vauftritt +p1922 +tp1923 +a(g416 +g1091 +tp1924 +a(g439 +Vtime +p1925 +tp1926 +a(g7 +g960 +tp1927 +a(g754 +V%> +p1928 +tp1929 +a(g7 +V\u000a +p1930 +tp1931 +a(g709 +g975 +tp1932 +a(g709 +g1011 +tp1933 +a(g561 +Vtd +p1934 +tp1935 +a(g709 +g979 +tp1936 +a(g7 +V\u000a +p1937 +tp1938 +a(g709 +g975 +tp1939 +a(g561 +Vtd +p1940 +tp1941 +a(g7 +g960 +tp1942 +a(g670 +Vclass +p1943 +tp1944 +a(g416 +g965 +tp1945 +a(g92 +V"pplan_stueck" +p1946 +tp1947 +a(g709 +g979 +tp1948 +a(g7 +V\u000a +p1949 +tp1950 +a(g754 +V<%= +p1951 +tp1952 +a(g7 +g960 +tp1953 +a(g439 +Vcolorize +p1954 +tp1955 +a(g7 +g960 +tp1956 +a(g439 +Vauftritt +p1957 +tp1958 +a(g416 +g1091 +tp1959 +a(g439 +Vprogram +p1960 +tp1961 +a(g7 +g960 +tp1962 +a(g754 +V%> +p1963 +tp1964 +a(g7 +V\u000a +p1965 +tp1966 +a(g754 +V<%= +p1967 +tp1968 +a(g7 +g960 +tp1969 +a(g439 +Vlink_to +p1970 +tp1971 +a(g7 +g960 +tp1972 +a(g233 +V'E' +p1973 +tp1974 +a(g709 +g995 +tp1975 +a(g7 +g960 +tp1976 +a(g120 +V:controller +p1977 +tp1978 +a(g7 +g960 +tp1979 +a(g416 +g965 +tp1980 +a(g416 +g979 +tp1981 +a(g7 +g960 +tp1982 +a(g233 +V'admin/auftritte' +p1983 +tp1984 +a(g709 +g995 +tp1985 +a(g7 +g960 +tp1986 +a(g120 +V:action +p1987 +tp1988 +a(g7 +g960 +tp1989 +a(g416 +g965 +tp1990 +a(g416 +g979 +tp1991 +a(g7 +g960 +tp1992 +a(g120 +V:edit +p1993 +tp1994 +a(g709 +g995 +tp1995 +a(g7 +g960 +tp1996 +a(g120 +V:id +p1997 +tp1998 +a(g7 +g960 +tp1999 +a(g416 +g965 +tp2000 +a(g416 +g979 +tp2001 +a(g7 +g960 +tp2002 +a(g439 +Vauftritt +p2003 +tp2004 +a(g7 +g960 +tp2005 +a(g754 +V%> +p2006 +tp2007 +a(g7 +V\u000a +p2008 +tp2009 +a(g709 +g975 +tp2010 +a(g709 +g1011 +tp2011 +a(g561 +Vtd +p2012 +tp2013 +a(g709 +g979 +tp2014 +a(g7 +V\u000a +p2015 +tp2016 +a(g709 +g975 +tp2017 +a(g561 +Vtd +p2018 +tp2019 +a(g7 +g960 +tp2020 +a(g670 +Vclass +p2021 +tp2022 +a(g416 +g965 +tp2023 +a(g92 +V"pplan_besetzung" +p2024 +tp2025 +a(g709 +g979 +tp2026 +a(g7 +V\u000a +p2027 +tp2028 +a(g754 +V<%= +p2029 +tp2030 +a(g7 +g960 +tp2031 +a(g439 +Vcolorize +p2032 +tp2033 +a(g709 +g1825 +tp2034 +a(g439 +Vauftritt +p2035 +tp2036 +a(g416 +g1091 +tp2037 +a(g439 +Vplace +p2038 +tp2039 +a(g709 +g995 +tp2040 +a(g7 +g960 +tp2041 +a(g233 +V'Ort: ' +p2042 +tp2043 +a(g709 +g1829 +tp2044 +a(g7 +g960 +tp2045 +a(g416 +V+ +p2046 +tp2047 +a(g7 +g960 +tp2048 +a(g233 +V'
    ' +p2049 +tp2050 +a(g7 +g960 +tp2051 +a(g842 +Vunless +p2052 +tp2053 +a(g7 +g960 +tp2054 +a(g439 +Vauftritt +p2055 +tp2056 +a(g416 +g1091 +tp2057 +a(g439 +Vplace +p2058 +tp2059 +a(g416 +g1091 +tp2060 +a(g439 +Vblank? +p2061 +tp2062 +a(g7 +g960 +tp2063 +a(g754 +V%> +p2064 +tp2065 +a(g7 +V\u000a +p2066 +tp2067 +a(g709 +g975 +tp2068 +a(g709 +g1011 +tp2069 +a(g561 +Vtd +p2070 +tp2071 +a(g709 +g979 +tp2072 +a(g7 +V\u000a +p2073 +tp2074 +a(g709 +g975 +tp2075 +a(g709 +g1011 +tp2076 +a(g561 +Vtr +p2077 +tp2078 +a(g709 +g979 +tp2079 +a(g7 +V\u000a\u000a +p2080 +tp2081 +a(g754 +V<% +p2082 +tp2083 +a(g7 +V\u000a +p2084 +tp2085 +a(g439 +Vday +p2086 +tp2087 +a(g7 +g960 +tp2088 +a(g416 +g965 +tp2089 +a(g7 +g960 +tp2090 +a(g883 +Vnil +p2091 +tp2092 +a(g7 +V\u000a +p2093 +tp2094 +a(g842 +Vend +p2095 +tp2096 +a(g7 +V \u000a +p2097 +tp2098 +a(g754 +V-%> +p2099 +tp2100 +a(g7 +V\u000a +p2101 +tp2102 +a(g709 +g975 +tp2103 +a(g561 +Vtr +p2104 +tp2105 +a(g709 +g979 +tp2106 +a(g7 +V\u000a +p2107 +tp2108 +a(g709 +g975 +tp2109 +a(g561 +Vth +p2110 +tp2111 +a(g7 +g960 +tp2112 +a(g670 +Vscope +p2113 +tp2114 +a(g416 +g965 +tp2115 +a(g92 +V"col" +p2116 +tp2117 +a(g7 +g960 +tp2118 +a(g670 +Vclass +p2119 +tp2120 +a(g416 +g965 +tp2121 +a(g92 +V"pplan_datum" +p2122 +tp2123 +a(g709 +g979 +tp2124 +a(g7 +VDatum +p2125 +tp2126 +a(g709 +g975 +tp2127 +a(g709 +g1011 +tp2128 +a(g561 +Vth +p2129 +tp2130 +a(g709 +g979 +tp2131 +a(g7 +V\u000a +p2132 +tp2133 +a(g709 +g975 +tp2134 +a(g561 +Vth +p2135 +tp2136 +a(g7 +g960 +tp2137 +a(g670 +Vscope +p2138 +tp2139 +a(g416 +g965 +tp2140 +a(g92 +V"col" +p2141 +tp2142 +a(g7 +g960 +tp2143 +a(g670 +Vclass +p2144 +tp2145 +a(g416 +g965 +tp2146 +a(g92 +V"pplan_zeit" +p2147 +tp2148 +a(g709 +g979 +tp2149 +a(g7 +VZeit +p2150 +tp2151 +a(g709 +g975 +tp2152 +a(g709 +g1011 +tp2153 +a(g561 +Vth +p2154 +tp2155 +a(g709 +g979 +tp2156 +a(g7 +V\u000a +p2157 +tp2158 +a(g709 +g975 +tp2159 +a(g561 +Vth +p2160 +tp2161 +a(g7 +g960 +tp2162 +a(g670 +Vscope +p2163 +tp2164 +a(g416 +g965 +tp2165 +a(g92 +V"col" +p2166 +tp2167 +a(g7 +g960 +tp2168 +a(g670 +Vclass +p2169 +tp2170 +a(g416 +g965 +tp2171 +a(g92 +V"pplan_stueck" +p2172 +tp2173 +a(g709 +g979 +tp2174 +a(g7 +VProgramm +p2175 +tp2176 +a(g709 +g975 +tp2177 +a(g709 +g1011 +tp2178 +a(g561 +Vth +p2179 +tp2180 +a(g709 +g979 +tp2181 +a(g7 +V\u000a +p2182 +tp2183 +a(g709 +g975 +tp2184 +a(g561 +Vth +p2185 +tp2186 +a(g7 +g960 +tp2187 +a(g670 +Vscope +p2188 +tp2189 +a(g416 +g965 +tp2190 +a(g92 +V"col" +p2191 +tp2192 +a(g7 +g960 +tp2193 +a(g670 +Vclass +p2194 +tp2195 +a(g416 +g965 +tp2196 +a(g92 +V"pplan_besetzung" +p2197 +tp2198 +a(g709 +g979 +tp2199 +a(g7 +VOrt +p2200 +tp2201 +a(g709 +g975 +tp2202 +a(g709 +g1011 +tp2203 +a(g561 +Vth +p2204 +tp2205 +a(g709 +g979 +tp2206 +a(g7 +V\u000a +p2207 +tp2208 +a(g709 +g975 +tp2209 +a(g709 +g1011 +tp2210 +a(g561 +Vtr +p2211 +tp2212 +a(g709 +g979 +tp2213 +a(g7 +V\u000a +p2214 +tp2215 +a(g754 +V<% +p2216 +tp2217 +a(g7 +g960 +tp2218 +a(g465 +V@title +p2219 +tp2220 +a(g7 +g960 +tp2221 +a(g416 +g965 +tp2222 +a(g7 +g960 +tp2223 +a(g160 +V" +p2224 +tp2225 +a(g160 +VBesetzung - +p2226 +tp2227 +a(g128 +V#{ +p2228 +tp2229 +a(g465 +V@instrument +p2230 +tp2231 +a(g416 +g1091 +tp2232 +a(g439 +Vname +p2233 +tp2234 +a(g128 +V} +p2235 +tp2236 +a(g160 +g2224 +tp2237 +a(g7 +g960 +tp2238 +a(g754 +V%> +p2239 +tp2240 +a(g7 +V\u000a\u000a +p2241 +tp2242 +a(g709 +g975 +tp2243 +a(g561 +Vp +p2244 +tp2245 +a(g709 +g979 +tp2246 +a(g7 +V\u000a +p2247 +tp2248 +a(g754 +V<%= +p2249 +tp2250 +a(g7 +g960 +tp2251 +a(g439 +Vpluralize +p2252 +tp2253 +a(g709 +g1825 +tp2254 +a(g465 +V@members +p2255 +tp2256 +a(g416 +g1091 +tp2257 +a(g439 +Vsize +p2258 +tp2259 +a(g709 +g995 +tp2260 +a(g7 +g960 +tp2261 +a(g233 +V'Schüler spielt' +p2262 +tp2263 +a(g709 +g995 +tp2264 +a(g7 +g960 +tp2265 +a(g233 +V'Schüler spielen' +p2266 +tp2267 +a(g709 +g1829 +tp2268 +a(g7 +g960 +tp2269 +a(g754 +V%> +p2270 +tp2271 +a(g7 +g960 +tp2272 +a(g754 +V<%= +p2273 +tp2274 +a(g7 +g960 +tp2275 +a(g439 +Vh +p2276 +tp2277 +a(g7 +g960 +tp2278 +a(g465 +V@instrument +p2279 +tp2280 +a(g416 +g1091 +tp2281 +a(g439 +Vname +p2282 +tp2283 +a(g7 +g960 +tp2284 +a(g754 +V%> +p2285 +tp2286 +a(g7 +V:\u000a +p2287 +tp2288 +a(g709 +g975 +tp2289 +a(g709 +g1011 +tp2290 +a(g561 +g2244 +tp2291 +a(g709 +g979 +tp2292 +a(g7 +V\u000a\u000a +p2293 +tp2294 +a(g709 +g975 +tp2295 +a(g561 +Vtable +p2296 +tp2297 +a(g7 +g960 +tp2298 +a(g670 +Vclass +p2299 +tp2300 +a(g416 +g965 +tp2301 +a(g92 +V"members" +p2302 +tp2303 +a(g709 +g979 +tp2304 +a(g7 +V\u000a +p2305 +tp2306 +a(g754 +V<%= +p2307 +tp2308 +a(g7 +g960 +tp2309 +a(g439 +Vrender +p2310 +tp2311 +a(g7 +g960 +tp2312 +a(g120 +V:partial +p2313 +tp2314 +a(g7 +g960 +tp2315 +a(g416 +g965 +tp2316 +a(g416 +g979 +tp2317 +a(g7 +g960 +tp2318 +a(g233 +V'member' +p2319 +tp2320 +a(g709 +g995 +tp2321 +a(g7 +g960 +tp2322 +a(g120 +V:collection +p2323 +tp2324 +a(g7 +g960 +tp2325 +a(g416 +g965 +tp2326 +a(g416 +g979 +tp2327 +a(g7 +g960 +tp2328 +a(g465 +V@members +p2329 +tp2330 +a(g7 +g960 +tp2331 +a(g754 +V%> +p2332 +tp2333 +a(g7 +V\u000a +p2334 +tp2335 +a(g709 +g975 +tp2336 +a(g709 +g1011 +tp2337 +a(g561 +Vtable +p2338 +tp2339 +a(g709 +g979 +tp2340 +a(g7 +V\u000a +p2341 +tp2342 +a(g754 +V<% +p2343 +tp2344 +a(g7 +g960 +tp2345 +a(g465 +V@title +p2346 +tp2347 +a(g7 +g960 +tp2348 +a(g416 +g965 +tp2349 +a(g7 +g960 +tp2350 +a(g233 +V'Besetzung: %d Mitglieder' +p2351 +tp2352 +a(g7 +g960 +tp2353 +a(g416 +V% +p2354 +tp2355 +a(g7 +g960 +tp2356 +a(g569 +VMember +p2357 +tp2358 +a(g416 +g1091 +tp2359 +a(g439 +Vcount +p2360 +tp2361 +a(g7 +g960 +tp2362 +a(g754 +V-%> +p2363 +tp2364 +a(g7 +V\u000a\u000a +p2365 +tp2366 +a(g709 +g975 +tp2367 +a(g561 +Vdiv +p2368 +tp2369 +a(g7 +g960 +tp2370 +a(g670 +Vclass +p2371 +tp2372 +a(g416 +g965 +tp2373 +a(g92 +V"page-links" +p2374 +tp2375 +a(g709 +g979 +tp2376 +a(g7 +V\u000a +p2377 +tp2378 +a(g754 +V<% +p2379 +tp2380 +a(g7 +g960 +tp2381 +a(g842 +Vif +p2382 +tp2383 +a(g7 +g960 +tp2384 +a(g439 +Vparams +p2385 +tp2386 +a(g416 +V[ +p2387 +tp2388 +a(g120 +V:action +p2389 +tp2390 +a(g416 +V] +p2391 +tp2392 +a(g7 +g960 +tp2393 +a(g416 +V== +p2394 +tp2395 +a(g7 +g960 +tp2396 +a(g233 +V'all' +p2397 +tp2398 +a(g7 +g960 +tp2399 +a(g754 +V-%> +p2400 +tp2401 +a(g7 +V\u000a +p2402 +tp2403 +a(g754 +V<%= +p2404 +tp2405 +a(g7 +g960 +tp2406 +a(g439 +Vlink_to +p2407 +tp2408 +a(g7 +g960 +tp2409 +a(g233 +V'seitenweise' +p2410 +tp2411 +a(g709 +g995 +tp2412 +a(g7 +g960 +tp2413 +a(g120 +V:action +p2414 +tp2415 +a(g7 +g960 +tp2416 +a(g416 +g965 +tp2417 +a(g416 +g979 +tp2418 +a(g7 +g960 +tp2419 +a(g120 +V:index +p2420 +tp2421 +a(g7 +g960 +tp2422 +a(g754 +V%> +p2423 +tp2424 +a(g7 +V\u000a +p2425 +tp2426 +a(g754 +V<% +p2427 +tp2428 +a(g7 +g960 +tp2429 +a(g842 +Velse +p2430 +tp2431 +a(g7 +g960 +tp2432 +a(g754 +V-%> +p2433 +tp2434 +a(g7 +V\u000a +p2435 +tp2436 +a(g754 +V<%= +p2437 +tp2438 +a(g7 +g960 +tp2439 +a(g439 +Vlink_to_if +p2440 +tp2441 +a(g7 +g960 +tp2442 +a(g465 +V@member_pages +p2443 +tp2444 +a(g416 +g1091 +tp2445 +a(g439 +Vcurrent +p2446 +tp2447 +a(g416 +g1091 +tp2448 +a(g439 +Vprevious +p2449 +tp2450 +a(g709 +g995 +tp2451 +a(g7 +g960 +tp2452 +a(g233 +V'<<' +p2453 +tp2454 +a(g709 +g995 +tp2455 +a(g7 +g960 +tp2456 +a(g120 +V:page +p2457 +tp2458 +a(g7 +g960 +tp2459 +a(g416 +g965 +tp2460 +a(g416 +g979 +tp2461 +a(g7 +g960 +tp2462 +a(g465 +V@member_pages +p2463 +tp2464 +a(g416 +g1091 +tp2465 +a(g439 +Vcurrent +p2466 +tp2467 +a(g416 +g1091 +tp2468 +a(g439 +Vprevious +p2469 +tp2470 +a(g7 +g960 +tp2471 +a(g754 +V%> +p2472 +tp2473 +a(g7 +V\u000a| +p2474 +tp2475 +a(g754 +V<%= +p2476 +tp2477 +a(g7 +g960 +tp2478 +a(g439 +Vlink_to +p2479 +tp2480 +a(g7 +g960 +tp2481 +a(g233 +V'alle' +p2482 +tp2483 +a(g709 +g995 +tp2484 +a(g7 +g960 +tp2485 +a(g120 +V:action +p2486 +tp2487 +a(g7 +g960 +tp2488 +a(g416 +g965 +tp2489 +a(g416 +g979 +tp2490 +a(g7 +g960 +tp2491 +a(g120 +V:all +p2492 +tp2493 +a(g7 +g960 +tp2494 +a(g754 +V%> +p2495 +tp2496 +a(g7 +V |\u000a +p2497 +tp2498 +a(g754 +V<%= +p2499 +tp2500 +a(g7 +g960 +tp2501 +a(g439 +Vlink_to_if +p2502 +tp2503 +a(g7 +g960 +tp2504 +a(g465 +V@member_pages +p2505 +tp2506 +a(g416 +g1091 +tp2507 +a(g439 +Vcurrent +p2508 +tp2509 +a(g416 +g1091 +tp2510 +a(g439 +Vnext +p2511 +tp2512 +a(g709 +g995 +tp2513 +a(g7 +g960 +tp2514 +a(g233 +V'>>' +p2515 +tp2516 +a(g709 +g995 +tp2517 +a(g7 +g960 +tp2518 +a(g120 +V:page +p2519 +tp2520 +a(g7 +g960 +tp2521 +a(g416 +g965 +tp2522 +a(g416 +g979 +tp2523 +a(g7 +g960 +tp2524 +a(g465 +V@member_pages +p2525 +tp2526 +a(g416 +g1091 +tp2527 +a(g439 +Vcurrent +p2528 +tp2529 +a(g416 +g1091 +tp2530 +a(g439 +Vnext +p2531 +tp2532 +a(g7 +g960 +tp2533 +a(g754 +V%> +p2534 +tp2535 +a(g7 +V\u000a +p2536 +tp2537 +a(g754 +V<% +p2538 +tp2539 +a(g7 +g960 +tp2540 +a(g842 +Vend +p2541 +tp2542 +a(g7 +g960 +tp2543 +a(g754 +V-%> +p2544 +tp2545 +a(g7 +V\u000a| +p2546 +tp2547 +a(g754 +V<%= +p2548 +tp2549 +a(g7 +g960 +tp2550 +a(g439 +Vlink_to +p2551 +tp2552 +a(g7 +g960 +tp2553 +a(g233 +V'Nach Instrumenten' +p2554 +tp2555 +a(g709 +g995 +tp2556 +a(g7 +g960 +tp2557 +a(g120 +V:action +p2558 +tp2559 +a(g7 +g960 +tp2560 +a(g416 +g965 +tp2561 +a(g416 +g979 +tp2562 +a(g7 +g960 +tp2563 +a(g120 +V:select_instrument +p2564 +tp2565 +a(g7 +g960 +tp2566 +a(g754 +V%> +p2567 +tp2568 +a(g7 +V\u000a +p2569 +tp2570 +a(g709 +g975 +tp2571 +a(g709 +g1011 +tp2572 +a(g561 +Vdiv +p2573 +tp2574 +a(g709 +g979 +tp2575 +a(g7 +V\u000a\u000a +p2576 +tp2577 +a(g709 +g975 +tp2578 +a(g561 +Vtable +p2579 +tp2580 +a(g7 +g960 +tp2581 +a(g670 +Vclass +p2582 +tp2583 +a(g416 +g965 +tp2584 +a(g92 +V"members" +p2585 +tp2586 +a(g709 +g979 +tp2587 +a(g7 +V\u000a +p2588 +tp2589 +a(g754 +V<%= +p2590 +tp2591 +a(g7 +g960 +tp2592 +a(g439 +Vrender +p2593 +tp2594 +a(g7 +g960 +tp2595 +a(g120 +V:partial +p2596 +tp2597 +a(g7 +g960 +tp2598 +a(g416 +g965 +tp2599 +a(g416 +g979 +tp2600 +a(g7 +g960 +tp2601 +a(g233 +V'member' +p2602 +tp2603 +a(g709 +g995 +tp2604 +a(g7 +g960 +tp2605 +a(g120 +V:collection +p2606 +tp2607 +a(g7 +g960 +tp2608 +a(g416 +g965 +tp2609 +a(g416 +g979 +tp2610 +a(g7 +g960 +tp2611 +a(g465 +V@members +p2612 +tp2613 +a(g7 +g960 +tp2614 +a(g754 +V%> +p2615 +tp2616 +a(g7 +V\u000a +p2617 +tp2618 +a(g709 +g975 +tp2619 +a(g709 +g1011 +tp2620 +a(g561 +Vtable +p2621 +tp2622 +a(g709 +g979 +tp2623 +a(g7 +V\u000a +p2624 +tp2625 +a(g754 +V<% +p2626 +tp2627 +a(g7 +g960 +tp2628 +a(g465 +V@title +p2629 +tp2630 +a(g7 +g960 +tp2631 +a(g416 +g965 +tp2632 +a(g7 +g960 +tp2633 +a(g160 +g2224 +tp2634 +a(g160 +VBesetzung - Instrument wählen +p2635 +tp2636 +a(g160 +g2224 +tp2637 +a(g7 +g960 +tp2638 +a(g754 +V%> +p2639 +tp2640 +a(g7 +V\u000a\u000a +p2641 +tp2642 +a(g709 +g975 +tp2643 +a(g561 +Vul +p2644 +tp2645 +a(g709 +g979 +tp2646 +a(g7 +V\u000a +p2647 +tp2648 +a(g754 +V<% +p2649 +tp2650 +a(g7 +g960 +tp2651 +a(g842 +Vfor +p2652 +tp2653 +a(g7 +g960 +tp2654 +a(g439 +Vinstr +p2655 +tp2656 +a(g7 +g960 +tp2657 +a(g842 +Vin +p2658 +tp2659 +a(g7 +g960 +tp2660 +a(g465 +V@instruments +p2661 +tp2662 +a(g7 +g960 +tp2663 +a(g754 +V-%> +p2664 +tp2665 +a(g7 +V\u000a +p2666 +tp2667 +a(g709 +g975 +tp2668 +a(g561 +Vli +p2669 +tp2670 +a(g709 +g979 +tp2671 +a(g7 +V\u000a +p2672 +tp2673 +a(g754 +V<%= +p2674 +tp2675 +a(g7 +g960 +tp2676 +a(g439 +Vlink_to +p2677 +tp2678 +a(g7 +g960 +tp2679 +a(g439 +g2276 +tp2680 +a(g709 +g1825 +tp2681 +a(g439 +Vinstr +p2682 +tp2683 +a(g416 +g1091 +tp2684 +a(g439 +Vname +p2685 +tp2686 +a(g709 +g1829 +tp2687 +a(g709 +g995 +tp2688 +a(g7 +g960 +tp2689 +a(g120 +V:action +p2690 +tp2691 +a(g7 +g960 +tp2692 +a(g416 +g965 +tp2693 +a(g416 +g979 +tp2694 +a(g7 +g960 +tp2695 +a(g120 +V:instrument +p2696 +tp2697 +a(g709 +g995 +tp2698 +a(g7 +g960 +tp2699 +a(g120 +V:id +p2700 +tp2701 +a(g7 +g960 +tp2702 +a(g416 +g965 +tp2703 +a(g416 +g979 +tp2704 +a(g7 +g960 +tp2705 +a(g439 +Vinstr +p2706 +tp2707 +a(g416 +g1091 +tp2708 +a(g439 +Vname +p2709 +tp2710 +a(g7 +g960 +tp2711 +a(g754 +V%> +p2712 +tp2713 +a(g7 +V\u000a +p2714 +tp2715 +a(g709 +g975 +tp2716 +a(g561 +Vspan +p2717 +tp2718 +a(g7 +g960 +tp2719 +a(g670 +Vclass +p2720 +tp2721 +a(g416 +g965 +tp2722 +a(g92 +V"counter" +p2723 +tp2724 +a(g709 +g979 +tp2725 +a(g7 +g1825 +tp2726 +a(g754 +V<%= +p2727 +tp2728 +a(g7 +g960 +tp2729 +a(g439 +g2276 +tp2730 +a(g7 +g960 +tp2731 +a(g439 +Vinstr +p2732 +tp2733 +a(g416 +g1091 +tp2734 +a(g439 +Vmembers +p2735 +tp2736 +a(g416 +g1091 +tp2737 +a(g439 +Vsize +p2738 +tp2739 +a(g7 +g960 +tp2740 +a(g754 +V%> +p2741 +tp2742 +a(g7 +g1829 +tp2743 +a(g709 +g975 +tp2744 +a(g709 +g1011 +tp2745 +a(g561 +Vspan +p2746 +tp2747 +a(g709 +g979 +tp2748 +a(g7 +V\u000a +p2749 +tp2750 +a(g709 +g975 +tp2751 +a(g709 +g1011 +tp2752 +a(g561 +Vli +p2753 +tp2754 +a(g709 +g979 +tp2755 +a(g7 +V\u000a +p2756 +tp2757 +a(g754 +V<% +p2758 +tp2759 +a(g7 +g960 +tp2760 +a(g842 +Vend +p2761 +tp2762 +a(g7 +g960 +tp2763 +a(g754 +V-%> +p2764 +tp2765 +a(g7 +V\u000a +p2766 +tp2767 +a(g709 +g975 +tp2768 +a(g709 +g1011 +tp2769 +a(g561 +Vul +p2770 +tp2771 +a(g709 +g979 +tp2772 +a(g7 +V\u000a +p2773 +tp2774 +a(g754 +V<% +p2775 +tp2776 +a(g7 +g960 +tp2777 +a(g465 +V@title +p2778 +tp2779 +a(g7 +g960 +tp2780 +a(g416 +g965 +tp2781 +a(g7 +g960 +tp2782 +a(g160 +g2224 +tp2783 +a(g160 +VBesetzung: +p2784 +tp2785 +a(g128 +V#{ +p2786 +tp2787 +a(g465 +V@member +p2788 +tp2789 +a(g416 +g1091 +tp2790 +a(g439 +Vname +p2791 +tp2792 +a(g128 +g2235 +tp2793 +a(g160 +g2224 +tp2794 +a(g7 +g960 +tp2795 +a(g754 +V-%> +p2796 +tp2797 +a(g7 +V\u000a\u000a +p2798 +tp2799 +a(g709 +g975 +tp2800 +a(g561 +Vdl +p2801 +tp2802 +a(g709 +g979 +tp2803 +a(g7 +V\u000a\u000a +p2804 +tp2805 +a(g709 +g975 +tp2806 +a(g561 +Vdt +p2807 +tp2808 +a(g709 +g979 +tp2809 +a(g7 +VInstrument / Aufgabe: +p2810 +tp2811 +a(g709 +g975 +tp2812 +a(g709 +g1011 +tp2813 +a(g561 +Vdt +p2814 +tp2815 +a(g709 +g979 +tp2816 +a(g7 +V\u000a +p2817 +tp2818 +a(g709 +g975 +tp2819 +a(g561 +Vdd +p2820 +tp2821 +a(g709 +g979 +tp2822 +a(g754 +V<%= +p2823 +tp2824 +a(g7 +g960 +tp2825 +a(g439 +Vlink_to_instruments_of +p2826 +tp2827 +a(g7 +g960 +tp2828 +a(g465 +V@member +p2829 +tp2830 +a(g7 +g960 +tp2831 +a(g754 +V%> +p2832 +tp2833 +a(g709 +g956 +tp2834 +a(g709 +g975 +tp2835 +a(g709 +g1011 +tp2836 +a(g561 +Vdd +p2837 +tp2838 +a(g709 +g979 +tp2839 +a(g7 +V\u000a\u000a +p2840 +tp2841 +a(g709 +g975 +tp2842 +a(g561 +Vdt +p2843 +tp2844 +a(g709 +g979 +tp2845 +a(g7 +VGeburtstag: +p2846 +tp2847 +a(g709 +g975 +tp2848 +a(g709 +g1011 +tp2849 +a(g561 +Vdt +p2850 +tp2851 +a(g709 +g979 +tp2852 +a(g7 +V\u000a +p2853 +tp2854 +a(g709 +g975 +tp2855 +a(g561 +Vdd +p2856 +tp2857 +a(g709 +g979 +tp2858 +a(g754 +V<%= +p2859 +tp2860 +a(g7 +g960 +tp2861 +a(g439 +g2276 +tp2862 +a(g7 +g960 +tp2863 +a(g465 +V@member +p2864 +tp2865 +a(g416 +g1091 +tp2866 +a(g439 +Vbirthday +p2867 +tp2868 +a(g416 +g1091 +tp2869 +a(g439 +Vto_s +p2870 +tp2871 +a(g709 +g1825 +tp2872 +a(g120 +V:dots +p2873 +tp2874 +a(g709 +g1829 +tp2875 +a(g7 +g960 +tp2876 +a(g754 +V%> +p2877 +tp2878 +a(g709 +g956 +tp2879 +a(g709 +g975 +tp2880 +a(g709 +g1011 +tp2881 +a(g561 +Vdd +p2882 +tp2883 +a(g709 +g979 +tp2884 +a(g7 +V\u000a\u000a +p2885 +tp2886 +a(g709 +g975 +tp2887 +a(g561 +Vdt +p2888 +tp2889 +a(g709 +g979 +tp2890 +a(g7 +VAdresse: +p2891 +tp2892 +a(g709 +g975 +tp2893 +a(g709 +g1011 +tp2894 +a(g561 +Vdt +p2895 +tp2896 +a(g709 +g979 +tp2897 +a(g7 +V\u000a +p2898 +tp2899 +a(g709 +g975 +tp2900 +a(g561 +Vdd +p2901 +tp2902 +a(g709 +g979 +tp2903 +a(g754 +V<%= +p2904 +tp2905 +a(g7 +g960 +tp2906 +a(g439 +g2276 +tp2907 +a(g7 +g960 +tp2908 +a(g465 +V@member +p2909 +tp2910 +a(g416 +g1091 +tp2911 +a(g439 +Vstreet +p2912 +tp2913 +a(g7 +g960 +tp2914 +a(g754 +V%> +p2915 +tp2916 +a(g709 +g956 +tp2917 +a(g709 +g975 +tp2918 +a(g561 +Vbr +p2919 +tp2920 +a(g7 +g960 +tp2921 +a(g709 +g1011 +tp2922 +a(g709 +g979 +tp2923 +a(g754 +V<%= +p2924 +tp2925 +a(g7 +g960 +tp2926 +a(g439 +g2276 +tp2927 +a(g7 +g960 +tp2928 +a(g465 +V@member +p2929 +tp2930 +a(g416 +g1091 +tp2931 +a(g439 +Vplz +p2932 +tp2933 +a(g7 +g960 +tp2934 +a(g754 +V%> +p2935 +tp2936 +a(g709 +g956 +tp2937 +a(g709 +g975 +tp2938 +a(g709 +g1011 +tp2939 +a(g561 +Vdd +p2940 +tp2941 +a(g709 +g979 +tp2942 +a(g7 +V\u000a\u000a +p2943 +tp2944 +a(g709 +g975 +tp2945 +a(g561 +Vdt +p2946 +tp2947 +a(g709 +g979 +tp2948 +a(g7 +VTelefon: +p2949 +tp2950 +a(g709 +g975 +tp2951 +a(g709 +g1011 +tp2952 +a(g561 +Vdt +p2953 +tp2954 +a(g709 +g979 +tp2955 +a(g7 +V\u000a +p2956 +tp2957 +a(g709 +g975 +tp2958 +a(g561 +Vdd +p2959 +tp2960 +a(g709 +g979 +tp2961 +a(g754 +V<%= +p2962 +tp2963 +a(g7 +g960 +tp2964 +a(g439 +g2276 +tp2965 +a(g7 +g960 +tp2966 +a(g465 +V@member +p2967 +tp2968 +a(g416 +g1091 +tp2969 +a(g439 +Vphone +p2970 +tp2971 +a(g7 +g960 +tp2972 +a(g754 +V%> +p2973 +tp2974 +a(g709 +g956 +tp2975 +a(g709 +g975 +tp2976 +a(g709 +g1011 +tp2977 +a(g561 +Vdd +p2978 +tp2979 +a(g709 +g979 +tp2980 +a(g7 +V\u000a\u000a +p2981 +tp2982 +a(g709 +g975 +tp2983 +a(g561 +Vdt +p2984 +tp2985 +a(g709 +g979 +tp2986 +a(g7 +VEmail: +p2987 +tp2988 +a(g709 +g975 +tp2989 +a(g709 +g1011 +tp2990 +a(g561 +Vdt +p2991 +tp2992 +a(g709 +g979 +tp2993 +a(g7 +V\u000a +p2994 +tp2995 +a(g709 +g975 +tp2996 +a(g561 +Vdd +p2997 +tp2998 +a(g709 +g979 +tp2999 +a(g754 +V<%= +p3000 +tp3001 +a(g7 +g960 +tp3002 +a(g439 +Vmail_to +p3003 +tp3004 +a(g7 +g960 +tp3005 +a(g465 +V@member +p3006 +tp3007 +a(g416 +g1091 +tp3008 +a(g439 +Vemail +p3009 +tp3010 +a(g709 +g995 +tp3011 +a(g7 +g960 +tp3012 +a(g465 +V@member +p3013 +tp3014 +a(g416 +g1091 +tp3015 +a(g439 +Vemail +p3016 +tp3017 +a(g709 +g995 +tp3018 +a(g7 +g960 +tp3019 +a(g120 +V:encode +p3020 +tp3021 +a(g7 +g960 +tp3022 +a(g416 +g965 +tp3023 +a(g416 +g979 +tp3024 +a(g7 +g960 +tp3025 +a(g233 +V'javascript' +p3026 +tp3027 +a(g7 +g960 +tp3028 +a(g754 +V%> +p3029 +tp3030 +a(g709 +g956 +tp3031 +a(g709 +g975 +tp3032 +a(g709 +g1011 +tp3033 +a(g561 +Vdd +p3034 +tp3035 +a(g709 +g979 +tp3036 +a(g7 +V\u000a\u000a +p3037 +tp3038 +a(g709 +g975 +tp3039 +a(g709 +g1011 +tp3040 +a(g561 +Vdl +p3041 +tp3042 +a(g709 +g979 +tp3043 +a(g7 +V\u000a +p3044 +tp3045 +a(g709 +g975 +tp3046 +a(g561 +Vtr +p3047 +tp3048 +a(g7 +g960 +tp3049 +a(g670 +Vclass +p3050 +tp3051 +a(g416 +g965 +tp3052 +a(g92 +V"member" +p3053 +tp3054 +a(g709 +g979 +tp3055 +a(g7 +V\u000a +p3056 +tp3057 +a(g709 +g975 +tp3058 +a(g561 +Vtd +p3059 +tp3060 +a(g709 +g979 +tp3061 +a(g754 +V<%= +p3062 +tp3063 +a(g7 +g960 +tp3064 +a(g439 +Vlink_to +p3065 +tp3066 +a(g7 +g960 +tp3067 +a(g439 +Vmember +p3068 +tp3069 +a(g416 +g1091 +tp3070 +a(g439 +Vname +p3071 +tp3072 +a(g709 +g995 +tp3073 +a(g7 +g960 +tp3074 +a(g120 +V:action +p3075 +tp3076 +a(g7 +g960 +tp3077 +a(g416 +g965 +tp3078 +a(g416 +g979 +tp3079 +a(g7 +g960 +tp3080 +a(g120 +V:show +p3081 +tp3082 +a(g709 +g995 +tp3083 +a(g7 +g960 +tp3084 +a(g120 +V:id +p3085 +tp3086 +a(g7 +g960 +tp3087 +a(g416 +g965 +tp3088 +a(g416 +g979 +tp3089 +a(g7 +g960 +tp3090 +a(g439 +Vmember +p3091 +tp3092 +a(g7 +g960 +tp3093 +a(g754 +V%> +p3094 +tp3095 +a(g709 +g956 +tp3096 +a(g7 +V:\u000a +p3097 +tp3098 +a(g754 +V<%= +p3099 +tp3100 +a(g7 +g960 +tp3101 +a(g439 +Vlink_to_instruments_of +p3102 +tp3103 +a(g7 +g960 +tp3104 +a(g439 +Vmember +p3105 +tp3106 +a(g7 +g960 +tp3107 +a(g754 +V%> +p3108 +tp3109 +a(g7 +V\u000a +p3110 +tp3111 +a(g709 +g975 +tp3112 +a(g709 +g1011 +tp3113 +a(g561 +Vtd +p3114 +tp3115 +a(g709 +g979 +tp3116 +a(g7 +V\u000a +p3117 +tp3118 +a(g709 +g975 +tp3119 +a(g709 +g1011 +tp3120 +a(g561 +Vtr +p3121 +tp3122 +a(g709 +g979 +tp3123 +a(g7 +V\u000a +p3124 +tp3125 +a(g754 +V<% +p3126 +tp3127 +a(g7 +g960 +tp3128 +a(g465 +V@title +p3129 +tp3130 +a(g7 +g960 +tp3131 +a(g416 +g965 +tp3132 +a(g7 +g960 +tp3133 +a(g233 +V'Arbeitsgruppen' +p3134 +tp3135 +a(g7 +g960 +tp3136 +a(g754 +V-%> +p3137 +tp3138 +a(g7 +V\u000a +p3139 +tp3140 +a(g709 +g975 +tp3141 +a(g561 +g2244 +tp3142 +a(g709 +g979 +tp3143 +a(g7 +V\u000a Die Arbeitsgruppen sind verantwortlich für die Organisation und Durchführung verschiedenster Aufgaben:\u000a +p3144 +tp3145 +a(g709 +g975 +tp3146 +a(g709 +g1011 +tp3147 +a(g561 +g2244 +tp3148 +a(g709 +g979 +tp3149 +a(g7 +V\u000a\u000a +p3150 +tp3151 +a(g709 +g975 +tp3152 +a(g561 +Vul +p3153 +tp3154 +a(g7 +g960 +tp3155 +a(g670 +Vclass +p3156 +tp3157 +a(g416 +g965 +tp3158 +a(g92 +V"liste" +p3159 +tp3160 +a(g709 +g979 +tp3161 +a(g7 +V\u000a\u000a +p3162 +tp3163 +a(g709 +g975 +tp3164 +a(g561 +Vli +p3165 +tp3166 +a(g709 +g979 +tp3167 +a(g709 +g975 +tp3168 +a(g561 +Vb +p3169 +tp3170 +a(g709 +g979 +tp3171 +a(g7 +VPlakate und Konzertkarten +p3172 +tp3173 +a(g709 +g975 +tp3174 +a(g709 +g1011 +tp3175 +a(g561 +g3169 +tp3176 +a(g709 +g979 +tp3177 +a(g7 +V\u000a +p3178 +tp3179 +a(g709 +g975 +tp3180 +a(g561 +Vul +p3181 +tp3182 +a(g709 +g979 +tp3183 +a(g7 +V\u000a +p3184 +tp3185 +a(g709 +g975 +tp3186 +a(g561 +Vli +p3187 +tp3188 +a(g709 +g979 +tp3189 +a(g7 +VFrau Schraps +p3190 +tp3191 +a(g709 +g975 +tp3192 +a(g709 +g1011 +tp3193 +a(g561 +Vli +p3194 +tp3195 +a(g709 +g979 +tp3196 +a(g7 +V\u000a +p3197 +tp3198 +a(g709 +g975 +tp3199 +a(g561 +Vli +p3200 +tp3201 +a(g709 +g979 +tp3202 +a(g7 +VPaul-Robert Achcenich +p3203 +tp3204 +a(g709 +g975 +tp3205 +a(g709 +g1011 +tp3206 +a(g561 +Vli +p3207 +tp3208 +a(g709 +g979 +tp3209 +a(g7 +V\u000a +p3210 +tp3211 +a(g709 +g975 +tp3212 +a(g561 +Vli +p3213 +tp3214 +a(g709 +g979 +tp3215 +a(g7 +VJosefine Dahms +p3216 +tp3217 +a(g709 +g975 +tp3218 +a(g709 +g1011 +tp3219 +a(g561 +Vli +p3220 +tp3221 +a(g709 +g979 +tp3222 +a(g7 +V\u000a +p3223 +tp3224 +a(g709 +g975 +tp3225 +a(g709 +g1011 +tp3226 +a(g561 +Vul +p3227 +tp3228 +a(g709 +g979 +tp3229 +a(g7 +V\u000a +p3230 +tp3231 +a(g709 +g975 +tp3232 +a(g709 +g1011 +tp3233 +a(g561 +Vli +p3234 +tp3235 +a(g709 +g979 +tp3236 +a(g7 +V\u000a\u000a +p3237 +tp3238 +a(g709 +g975 +tp3239 +a(g561 +Vli +p3240 +tp3241 +a(g709 +g979 +tp3242 +a(g709 +g975 +tp3243 +a(g561 +g3169 +tp3244 +a(g709 +g979 +tp3245 +a(g7 +VNoten +p3246 +tp3247 +a(g709 +g975 +tp3248 +a(g709 +g1011 +tp3249 +a(g561 +g3169 +tp3250 +a(g709 +g979 +tp3251 +a(g709 +g975 +tp3252 +a(g561 +Vbr +p3253 +tp3254 +a(g7 +g960 +tp3255 +a(g709 +g1011 +tp3256 +a(g709 +g979 +tp3257 +a(g7 +V\u000a +p3258 +tp3259 +a(g709 +g975 +tp3260 +a(g561 +Vul +p3261 +tp3262 +a(g709 +g979 +tp3263 +a(g7 +V\u000a +p3264 +tp3265 +a(g709 +g975 +tp3266 +a(g561 +Vli +p3267 +tp3268 +a(g709 +g979 +tp3269 +a(g7 +VFrau Puppe +p3270 +tp3271 +a(g709 +g975 +tp3272 +a(g709 +g1011 +tp3273 +a(g561 +Vli +p3274 +tp3275 +a(g709 +g979 +tp3276 +a(g7 +V\u000a +p3277 +tp3278 +a(g709 +g975 +tp3279 +a(g561 +Vli +p3280 +tp3281 +a(g709 +g979 +tp3282 +a(g7 +VTheresa Rebin +p3283 +tp3284 +a(g709 +g975 +tp3285 +a(g709 +g1011 +tp3286 +a(g561 +Vli +p3287 +tp3288 +a(g709 +g979 +tp3289 +a(g7 +V\u000a +p3290 +tp3291 +a(g709 +g975 +tp3292 +a(g709 +g1011 +tp3293 +a(g561 +Vul +p3294 +tp3295 +a(g709 +g979 +tp3296 +a(g7 +V\u000a +p3297 +tp3298 +a(g709 +g975 +tp3299 +a(g709 +g1011 +tp3300 +a(g561 +Vli +p3301 +tp3302 +a(g709 +g979 +tp3303 +a(g7 +V\u000a \u000a +p3304 +tp3305 +a(g709 +g975 +tp3306 +a(g561 +Vli +p3307 +tp3308 +a(g709 +g979 +tp3309 +a(g709 +g975 +tp3310 +a(g561 +g3169 +tp3311 +a(g709 +g979 +tp3312 +a(g7 +VProgramme +p3313 +tp3314 +a(g709 +g975 +tp3315 +a(g709 +g1011 +tp3316 +a(g561 +g3169 +tp3317 +a(g709 +g979 +tp3318 +a(g709 +g975 +tp3319 +a(g561 +Vbr +p3320 +tp3321 +a(g7 +g960 +tp3322 +a(g709 +g1011 +tp3323 +a(g709 +g979 +tp3324 +a(g7 +V\u000a +p3325 +tp3326 +a(g709 +g975 +tp3327 +a(g561 +Vul +p3328 +tp3329 +a(g709 +g979 +tp3330 +a(g7 +V\u000a +p3331 +tp3332 +a(g709 +g975 +tp3333 +a(g561 +Vli +p3334 +tp3335 +a(g709 +g979 +tp3336 +a(g7 +V? +p3337 +tp3338 +a(g709 +g975 +tp3339 +a(g709 +g1011 +tp3340 +a(g561 +Vli +p3341 +tp3342 +a(g709 +g979 +tp3343 +a(g7 +V\u000a +p3344 +tp3345 +a(g709 +g975 +tp3346 +a(g709 +g1011 +tp3347 +a(g561 +Vul +p3348 +tp3349 +a(g709 +g979 +tp3350 +a(g7 +V\u000a +p3351 +tp3352 +a(g709 +g975 +tp3353 +a(g709 +g1011 +tp3354 +a(g561 +Vli +p3355 +tp3356 +a(g709 +g979 +tp3357 +a(g7 +V\u000a \u000a +p3358 +tp3359 +a(g709 +g975 +tp3360 +a(g561 +Vli +p3361 +tp3362 +a(g709 +g979 +tp3363 +a(g709 +g975 +tp3364 +a(g561 +g3169 +tp3365 +a(g709 +g979 +tp3366 +a(g7 +VInstrumentenstransporte +p3367 +tp3368 +a(g709 +g975 +tp3369 +a(g709 +g1011 +tp3370 +a(g561 +g3169 +tp3371 +a(g709 +g979 +tp3372 +a(g709 +g975 +tp3373 +a(g561 +Vbr +p3374 +tp3375 +a(g7 +g960 +tp3376 +a(g709 +g1011 +tp3377 +a(g709 +g979 +tp3378 +a(g7 +V\u000a +p3379 +tp3380 +a(g709 +g975 +tp3381 +a(g561 +Vul +p3382 +tp3383 +a(g709 +g979 +tp3384 +a(g7 +V\u000a +p3385 +tp3386 +a(g709 +g975 +tp3387 +a(g561 +Vli +p3388 +tp3389 +a(g709 +g979 +tp3390 +a(g7 +VFrau Feldmann +p3391 +tp3392 +a(g709 +g975 +tp3393 +a(g709 +g1011 +tp3394 +a(g561 +Vli +p3395 +tp3396 +a(g709 +g979 +tp3397 +a(g7 +V\u000a +p3398 +tp3399 +a(g709 +g975 +tp3400 +a(g561 +Vli +p3401 +tp3402 +a(g709 +g979 +tp3403 +a(g7 +VKnut Müller +p3404 +tp3405 +a(g709 +g975 +tp3406 +a(g709 +g1011 +tp3407 +a(g561 +Vli +p3408 +tp3409 +a(g709 +g979 +tp3410 +a(g7 +V\u000a +p3411 +tp3412 +a(g709 +g975 +tp3413 +a(g561 +Vli +p3414 +tp3415 +a(g709 +g979 +tp3416 +a(g7 +VPatrick Wolter +p3417 +tp3418 +a(g709 +g975 +tp3419 +a(g709 +g1011 +tp3420 +a(g561 +Vli +p3421 +tp3422 +a(g709 +g979 +tp3423 +a(g7 +V\u000a +p3424 +tp3425 +a(g709 +g975 +tp3426 +a(g561 +Vli +p3427 +tp3428 +a(g709 +g979 +tp3429 +a(g7 +VAlexaner Wolf +p3430 +tp3431 +a(g709 +g975 +tp3432 +a(g709 +g1011 +tp3433 +a(g561 +Vli +p3434 +tp3435 +a(g709 +g979 +tp3436 +a(g7 +V\u000a +p3437 +tp3438 +a(g709 +g975 +tp3439 +a(g709 +g1011 +tp3440 +a(g561 +Vul +p3441 +tp3442 +a(g709 +g979 +tp3443 +a(g7 +V\u000a +p3444 +tp3445 +a(g709 +g975 +tp3446 +a(g709 +g1011 +tp3447 +a(g561 +Vli +p3448 +tp3449 +a(g709 +g979 +tp3450 +a(g7 +V\u000a \u000a +p3451 +tp3452 +a(g709 +g975 +tp3453 +a(g561 +Vli +p3454 +tp3455 +a(g709 +g979 +tp3456 +a(g709 +g975 +tp3457 +a(g561 +g3169 +tp3458 +a(g709 +g979 +tp3459 +a(g7 +VInternetseite +p3460 +tp3461 +a(g709 +g975 +tp3462 +a(g709 +g1011 +tp3463 +a(g561 +g3169 +tp3464 +a(g709 +g979 +tp3465 +a(g709 +g975 +tp3466 +a(g561 +Vbr +p3467 +tp3468 +a(g7 +g960 +tp3469 +a(g709 +g1011 +tp3470 +a(g709 +g979 +tp3471 +a(g7 +V\u000a +p3472 +tp3473 +a(g709 +g975 +tp3474 +a(g561 +Vul +p3475 +tp3476 +a(g709 +g979 +tp3477 +a(g7 +V\u000a +p3478 +tp3479 +a(g709 +g975 +tp3480 +a(g561 +Vli +p3481 +tp3482 +a(g709 +g979 +tp3483 +a(g7 +VFrau Sternbeck +p3484 +tp3485 +a(g709 +g975 +tp3486 +a(g709 +g1011 +tp3487 +a(g561 +Vli +p3488 +tp3489 +a(g709 +g979 +tp3490 +a(g7 +V\u000a +p3491 +tp3492 +a(g709 +g975 +tp3493 +a(g561 +Vli +p3494 +tp3495 +a(g709 +g979 +tp3496 +a(g7 +VUwe Ritzschke +p3497 +tp3498 +a(g709 +g975 +tp3499 +a(g709 +g1011 +tp3500 +a(g561 +Vli +p3501 +tp3502 +a(g709 +g979 +tp3503 +a(g7 +V\u000a +p3504 +tp3505 +a(g709 +g975 +tp3506 +a(g561 +Vli +p3507 +tp3508 +a(g709 +g979 +tp3509 +a(g7 +VPaul-Robert Achcenich +p3510 +tp3511 +a(g709 +g975 +tp3512 +a(g709 +g1011 +tp3513 +a(g561 +Vli +p3514 +tp3515 +a(g709 +g979 +tp3516 +a(g7 +V\u000a +p3517 +tp3518 +a(g709 +g975 +tp3519 +a(g561 +Vli +p3520 +tp3521 +a(g709 +g979 +tp3522 +a(g7 +VKnut Müller +p3523 +tp3524 +a(g709 +g975 +tp3525 +a(g709 +g1011 +tp3526 +a(g561 +Vli +p3527 +tp3528 +a(g709 +g979 +tp3529 +a(g7 +V\u000a +p3530 +tp3531 +a(g709 +g975 +tp3532 +a(g561 +Vli +p3533 +tp3534 +a(g709 +g979 +tp3535 +a(g7 +VAlexander Wolf +p3536 +tp3537 +a(g709 +g975 +tp3538 +a(g709 +g1011 +tp3539 +a(g561 +Vli +p3540 +tp3541 +a(g709 +g979 +tp3542 +a(g7 +V\u000a +p3543 +tp3544 +a(g709 +g975 +tp3545 +a(g709 +g1011 +tp3546 +a(g561 +Vul +p3547 +tp3548 +a(g709 +g979 +tp3549 +a(g7 +V\u000a +p3550 +tp3551 +a(g709 +g975 +tp3552 +a(g709 +g1011 +tp3553 +a(g561 +Vli +p3554 +tp3555 +a(g709 +g979 +tp3556 +a(g7 +V\u000a \u000a +p3557 +tp3558 +a(g709 +g975 +tp3559 +a(g709 +g1011 +tp3560 +a(g561 +Vul +p3561 +tp3562 +a(g709 +g979 +tp3563 +a(g7 +V\u000a +p3564 +tp3565 +a(g754 +V<% +p3566 +tp3567 +a(g7 +g960 +tp3568 +a(g465 +V@title +p3569 +tp3570 +a(g7 +g960 +tp3571 +a(g416 +g965 +tp3572 +a(g7 +g960 +tp3573 +a(g233 +V'Chronik' +p3574 +tp3575 +a(g7 +g960 +tp3576 +a(g754 +V-%> +p3577 +tp3578 +a(g7 +V\u000a +p3579 +tp3580 +a(g709 +g975 +tp3581 +a(g561 +g2244 +tp3582 +a(g709 +g979 +tp3583 +a(g7 +V\u000a Das Jugendsinfonieorchester Marzahn-Hellersdorf wurde im Januar 2005 an der \u000a Musikschule Marzahn-Hellersdorf gegründet und gab im Mai 2005 sein erstes \u000a umjubeltes Konzert im FEZ Wuhlheide. Das Orchester umfasst zur Zeit ca. 65 \u000a jugendliche Musiker und soll auf die Größe eines ausgewachsenen \u000a Sinfonieorchesters erweitert werden (80-100 Musiker).\u000a +p3584 +tp3585 +a(g709 +g975 +tp3586 +a(g709 +g1011 +tp3587 +a(g561 +g2244 +tp3588 +a(g709 +g979 +tp3589 +a(g7 +V\u000a \u000a +p3590 +tp3591 +a(g709 +g975 +tp3592 +a(g561 +g2244 +tp3593 +a(g709 +g979 +tp3594 +a(g7 +V\u000a Als musikalischer Leiter konnte der Dirigent und Echo-Preisträger Jobst \u000a Liebrecht gewonnen werden, der die Musikschule schon aus einer früheren \u000a Zusammenarbeit anlässlich der Kinderoper 'Pollicino' von Hans Werner Henze \u000a kennt. Das Orchester probt wöchentlich. Neben den Tuttiproben finden außerdem \u000a ebenfalls wöchentlich Stimmsatzproben statt, die von Lehrkräften betreut werden. \u000a Das gemeinsame Ziel ist der Aufbau eines leistungsstarken, lebendigen \u000a Klangkörpers, der die Jugendlichen und die Zuhörer ganz neu und direkt für die \u000a Orchestermusik begeistert und diese Musik in den sozialen Brennpunkt Marzahn-\u000a Hellersdorf trägt. \u000a +p3595 +tp3596 +a(g709 +g975 +tp3597 +a(g709 +g1011 +tp3598 +a(g561 +g2244 +tp3599 +a(g709 +g979 +tp3600 +a(g7 +V\u000a \u000a +p3601 +tp3602 +a(g709 +g975 +tp3603 +a(g561 +g2244 +tp3604 +a(g709 +g979 +tp3605 +a(g7 +V\u000a Im Jahr sind etwa 2-3 Konzertprogramme geplant, mit denen wir in Konzertsälen \u000a auftreten. Das erste Konzert des Jugendsinfonieorchesters Marzahn-Hellersdorf \u000a wurde von DeutschlandRadio Kultur aufgezeichnet und in einer Sendung mit dem \u000a Titel +p3606 +tp3607 +a(g507 +V„ +p3608 +tp3609 +a(g7 +VEINSTAND: Nicht nur auf der Strasse herumhängen +p3610 +tp3611 +a(g507 +V” +p3612 +tp3613 +a(g7 +V porträtiert.\u000a Wir wollen außerdem vor Ort in Marzahn und Hellersdorf in die Öffentlichkeit\u000a gehen und spielen, um so für die Kultur zu werben und auch weitere Kinder und \u000a Jugendliche für die Musik und fürs Mitmachen zu gewinnen. Durch die Einrichtung\u000a eines zusätzlichen Vororchesters wird längerfristig versucht, die Arbeit auf ein \u000a breites Fundament zu stellen, eine Werkstatt, ein musikalisches Bauhaus zu \u000a gründen. Wenn die Orchesterarbeit erfolgreich angelaufen ist, sollen auch \u000a übergreifende Projekte (Theater, Tanz, Chor) stattfinden. \u000a +p3614 +tp3615 +a(g709 +g975 +tp3616 +a(g709 +g1011 +tp3617 +a(g561 +g2244 +tp3618 +a(g709 +g979 +tp3619 +a(g7 +V\u000a\u000a +p3620 +tp3621 +a(g709 +g975 +tp3622 +a(g561 +g2244 +tp3623 +a(g709 +g979 +tp3624 +a(g7 +V\u000a Das Orchester will Musik von heute spielen in jedem Sinn, ob es sich um Stücke \u000a aus der sinfonischen Tradition handelt oder um zeitgenössische Musik. Wir kennen \u000a keine Berührungsängste und sind neugierig auf Musik aller Art und möchten diese \u000a Neugierde mit unserem Publikum teilen. \u000a +p3625 +tp3626 +a(g709 +g975 +tp3627 +a(g709 +g1011 +tp3628 +a(g561 +g2244 +tp3629 +a(g709 +g979 +tp3630 +a(g7 +V\u000a +p3631 +tp3632 +a(g754 +V<% +p3633 +tp3634 +a(g7 +g960 +tp3635 +a(g465 +V@title +p3636 +tp3637 +a(g7 +g960 +tp3638 +a(g416 +g965 +tp3639 +a(g7 +g960 +tp3640 +a(g233 +V'Dirigent - Jobst Liebrecht' +p3641 +tp3642 +a(g7 +g960 +tp3643 +a(g754 +V-%> +p3644 +tp3645 +a(g7 +V\u000a +p3646 +tp3647 +a(g709 +g975 +tp3648 +a(g561 +g2244 +tp3649 +a(g709 +g979 +tp3650 +a(g7 +V\u000a +p3651 +tp3652 +a(g754 +V<%= +p3653 +tp3654 +a(g7 +g960 +tp3655 +a(g439 +Vimage_tag +p3656 +tp3657 +a(g7 +g960 +tp3658 +a(g233 +V'jobstliebrecht.jpg' +p3659 +tp3660 +a(g709 +g995 +tp3661 +a(g7 +g960 +tp3662 +a(g120 +V:alt +p3663 +tp3664 +a(g7 +g960 +tp3665 +a(g416 +g965 +tp3666 +a(g416 +g979 +tp3667 +a(g7 +g960 +tp3668 +a(g233 +V'Jobst Liebrecht' +p3669 +tp3670 +a(g709 +g995 +tp3671 +a(g7 +g960 +tp3672 +a(g120 +V:title +p3673 +tp3674 +a(g7 +g960 +tp3675 +a(g416 +g965 +tp3676 +a(g416 +g979 +tp3677 +a(g7 +g960 +tp3678 +a(g233 +V'Jobst Liebrecht' +p3679 +tp3680 +a(g709 +g995 +tp3681 +a(g7 +g960 +tp3682 +a(g120 +V:class +p3683 +tp3684 +a(g7 +g960 +tp3685 +a(g416 +g965 +tp3686 +a(g416 +g979 +tp3687 +a(g7 +g960 +tp3688 +a(g233 +V'pic_right' +p3689 +tp3690 +a(g7 +g960 +tp3691 +a(g754 +V%> +p3692 +tp3693 +a(g7 +V\u000a Jobst Liebrecht studierte Dirigieren an der Musikhochschule in München und bei Peter Eötvös. Sein spezielles Interesse \u000a für neue Musik führte schnell zur Zusammenarbeit mit renommierten Ensembles auf dem Gebiet wie dem Ensemble Modern, \u000a Frankfurt, dem Klangforum-Ensemble, Wien, dem Ensemble Köln sowie dem Ensemble United Berlin. Aufnahmen entstanden beim \u000a WDR, beim DeutschlandRadio Berlin, beim BR und beim SFB. Er dirigierte u.a. das Rundfunk Sinfonieorchester Berlin, die \u000a Duisburger Philharmoniker und das Münchner Kammerorchester sowie in den Opernhäusern in Halle und Giessen. Tourneen im \u000a Ausland führten ihn nach Argentinien, Georgien, Südkorea und in die USA.\u000a +p3694 +tp3695 +a(g709 +g975 +tp3696 +a(g709 +g1011 +tp3697 +a(g561 +g2244 +tp3698 +a(g709 +g979 +tp3699 +a(g7 +V\u000a \u000a +p3700 +tp3701 +a(g709 +g975 +tp3702 +a(g561 +g2244 +tp3703 +a(g709 +g979 +tp3704 +a(g7 +V\u000a Zu den Ur- und Erstaufführungen, die er betreut hat, gehören die Opern 'Lunu' von Moritz Eggert, 'Gloria von Jaxtberg' von \u000a HK Gruber sowie in Zusammenarbeit mit dem Regisseur Einar Schleef das Musiktheaterspiel 'Der Golem in Bayreuth' von Ulla \u000a Berkewicz/Lesch Schmidt am Wiener Burgtheater.\u000a +p3705 +tp3706 +a(g709 +g975 +tp3707 +a(g709 +g1011 +tp3708 +a(g561 +g2244 +tp3709 +a(g709 +g979 +tp3710 +a(g7 +V\u000a \u000a +p3711 +tp3712 +a(g709 +g975 +tp3713 +a(g561 +g2244 +tp3714 +a(g709 +g979 +tp3715 +a(g7 +V\u000a Jobst Liebrecht war mehrere Jahre lang Assistent von Hans Werner Henze und auch immer wieder pädagogisch tätig. Seine \u000a Aufnahme von Henzes Märchenoper 'Pollicino', die als CD bei Wergo erschienen ist, wurde mit dem ECHO-Preis 2004 in der \u000a Sparte 'Klassik für Kinder' ausgezeichnet.\u000a +p3716 +tp3717 +a(g709 +g975 +tp3718 +a(g709 +g1011 +tp3719 +a(g561 +g2244 +tp3720 +a(g709 +g979 +tp3721 +a(g7 +V\u000a\u000a +p3722 +tp3723 +a(g709 +g975 +tp3724 +a(g561 +g2244 +tp3725 +a(g709 +g979 +tp3726 +a(g7 +V\u000a Als Komponist ist Jobst Liebrecht mit Liedern, Kammermusik sowie Bühnenmusiken an die Öffentlichkeit getreten.\u000a +p3727 +tp3728 +a(g709 +g975 +tp3729 +a(g709 +g1011 +tp3730 +a(g561 +g2244 +tp3731 +a(g709 +g979 +tp3732 +a(g7 +V \u000a +p3733 +tp3734 +a(g754 +V<% +p3735 +tp3736 +a(g7 +g960 +tp3737 +a(g439 +Vmessage +p3738 +tp3739 +a(g709 +g995 +tp3740 +a(g7 +g960 +tp3741 +a(g439 +Vbacktrace +p3742 +tp3743 +a(g7 +g960 +tp3744 +a(g416 +g965 +tp3745 +a(g7 +g960 +tp3746 +a(g439 +Vsession +p3747 +tp3748 +a(g416 +g2387 +tp3749 +a(g120 +V:boom +p3750 +tp3751 +a(g416 +g2391 +tp3752 +a(g7 +g960 +tp3753 +a(g754 +V-%> +p3754 +tp3755 +a(g7 +V\u000a +p3756 +tp3757 +a(g754 +V<% +p3758 +tp3759 +a(g7 +g960 +tp3760 +a(g465 +V@title +p3761 +tp3762 +a(g7 +g960 +tp3763 +a(g416 +g965 +tp3764 +a(g7 +g960 +tp3765 +a(g233 +V'Fehler in Zeile %d' +p3766 +tp3767 +a(g7 +g960 +tp3768 +a(g416 +g2354 +tp3769 +a(g7 +g960 +tp3770 +a(g416 +g2387 +tp3771 +a(g439 +Vbacktrace +p3772 +tp3773 +a(g416 +g2387 +tp3774 +a(g200 +g1011 +tp3775 +a(g200 +Vline +p3776 +tp3777 +a(g200 +V\u005c +p3778 +tp3779 +a(g200 +Vs+ +p3780 +tp3781 +a(g200 +V# +p3782 +tp3783 +a(g200 +g1825 +tp3784 +a(g200 +V\u005c +p3785 +tp3786 +a(g200 +Vd+) +p3787 +tp3788 +a(g200 +g1011 +tp3789 +a(g709 +g995 +tp3790 +a(g40 +V1 +p3791 +tp3792 +a(g416 +g2391 +tp3793 +a(g416 +g2391 +tp3794 +a(g7 +g960 +tp3795 +a(g754 +V-%> +p3796 +tp3797 +a(g7 +V\u000a +p3798 +tp3799 +a(g709 +g975 +tp3800 +a(g561 +Vdiv +p3801 +tp3802 +a(g7 +g960 +tp3803 +a(g670 +Vclass +p3804 +tp3805 +a(g416 +g965 +tp3806 +a(g92 +V"flash" +p3807 +tp3808 +a(g709 +g979 +tp3809 +a(g7 +V\u000a +p3810 +tp3811 +a(g709 +g975 +tp3812 +a(g561 +Vdiv +p3813 +tp3814 +a(g7 +g960 +tp3815 +a(g670 +Vclass +p3816 +tp3817 +a(g416 +g965 +tp3818 +a(g92 +V"error" +p3819 +tp3820 +a(g709 +g979 +tp3821 +a(g754 +V<%= +p3822 +tp3823 +a(g7 +g960 +tp3824 +a(g439 +g2276 +tp3825 +a(g7 +g960 +tp3826 +a(g439 +Vmessage +p3827 +tp3828 +a(g7 +g960 +tp3829 +a(g754 +V%> +p3830 +tp3831 +a(g709 +g956 +tp3832 +a(g709 +g975 +tp3833 +a(g709 +g1011 +tp3834 +a(g561 +Vdiv +p3835 +tp3836 +a(g709 +g979 +tp3837 +a(g7 +V\u000a +p3838 +tp3839 +a(g709 +g975 +tp3840 +a(g709 +g1011 +tp3841 +a(g561 +Vdiv +p3842 +tp3843 +a(g709 +g979 +tp3844 +a(g7 +V\u000a +p3845 +tp3846 +a(g754 +V<%= +p3847 +tp3848 +a(g7 +g960 +tp3849 +a(g439 +Vdebug +p3850 +tp3851 +a(g7 +g960 +tp3852 +a(g439 +Vbacktrace +p3853 +tp3854 +a(g7 +g960 +tp3855 +a(g754 +V%> +p3856 +tp3857 +a(g7 +V\u000a +p3858 +tp3859 +a(g754 +V<% +p3860 +tp3861 +a(g7 +g960 +tp3862 +a(g439 +Vcache +p3863 +tp3864 +a(g7 +g960 +tp3865 +a(g120 +V:action_suffix +p3866 +tp3867 +a(g7 +g960 +tp3868 +a(g416 +g965 +tp3869 +a(g416 +g979 +tp3870 +a(g7 +g960 +tp3871 +a(g709 +g1825 +tp3872 +a(g439 +Vaction +p3873 +tp3874 +a(g7 +g960 +tp3875 +a(g416 +g965 +tp3876 +a(g7 +g960 +tp3877 +a(g439 +Vparams +p3878 +tp3879 +a(g416 +g2387 +tp3880 +a(g120 +V:action +p3881 +tp3882 +a(g416 +g2391 +tp3883 +a(g709 +g1829 +tp3884 +a(g7 +g960 +tp3885 +a(g842 +Vdo +p3886 +tp3887 +a(g7 +g960 +tp3888 +a(g754 +V-%> +p3889 +tp3890 +a(g7 +V\u000a +p3891 +tp3892 +a(g709 +g975 +tp3893 +a(g561 +g2244 +tp3894 +a(g709 +g979 +tp3895 +a(g7 +V\u000aDer Inhalt für die Aktion +p3896 +tp3897 +a(g754 +V<%= +p3898 +tp3899 +a(g7 +g960 +tp3900 +a(g439 +g2276 +tp3901 +a(g7 +g960 +tp3902 +a(g439 +Vaction +p3903 +tp3904 +a(g416 +g1091 +tp3905 +a(g439 +Vinspect +p3906 +tp3907 +a(g7 +g960 +tp3908 +a(g754 +V%> +p3909 +tp3910 +a(g7 +V fehlt noch.\u000a +p3911 +tp3912 +a(g709 +g975 +tp3913 +a(g709 +g1011 +tp3914 +a(g561 +g2244 +tp3915 +a(g709 +g979 +tp3916 +a(g7 +V\u000a +p3917 +tp3918 +a(g754 +V<% +p3919 +tp3920 +a(g7 +g960 +tp3921 +a(g842 +Vend +p3922 +tp3923 +a(g7 +g960 +tp3924 +a(g754 +V-%> +p3925 +tp3926 +a(g7 +V\u000a +p3927 +tp3928 +a(g754 +V<% +p3929 +tp3930 +a(g7 +g960 +tp3931 +a(g465 +V@title +p3932 +tp3933 +a(g7 +g960 +tp3934 +a(g416 +g965 +tp3935 +a(g7 +g960 +tp3936 +a(g233 +V'Schulferien Berlin' +p3937 +tp3938 +a(g7 +g960 +tp3939 +a(g754 +V-%> +p3940 +tp3941 +a(g7 +V\u000a +p3942 +tp3943 +a(g709 +g975 +tp3944 +a(g561 +g2244 +tp3945 +a(g709 +g979 +tp3946 +a(g7 +V\u000a Unser Orchester besteht zu einem sehr großen Teil aus Schülern und auch die\u000a Musikschule, der die meisten von uns entstammen, hat in den Schulferien\u000a geschlossen. +p3947 +tp3948 +a(g709 +g975 +tp3949 +a(g561 +Vbr +p3950 +tp3951 +a(g7 +g960 +tp3952 +a(g709 +g1011 +tp3953 +a(g709 +g979 +tp3954 +a(g7 +V\u000a Deshalb finden innerhalb der +p3955 +tp3956 +a(g709 +g975 +tp3957 +a(g561 +Vstrong +p3958 +tp3959 +a(g709 +g979 +tp3960 +a(g7 +VBerliner Ferienzeiten keine Proben +p3961 +tp3962 +a(g709 +g975 +tp3963 +a(g709 +g1011 +tp3964 +a(g561 +Vstrong +p3965 +tp3966 +a(g709 +g979 +tp3967 +a(g7 +V statt.\u000a +p3968 +tp3969 +a(g709 +g975 +tp3970 +a(g709 +g1011 +tp3971 +a(g561 +g2244 +tp3972 +a(g709 +g979 +tp3973 +a(g7 +V\u000a\u000a +p3974 +tp3975 +a(g709 +g975 +tp3976 +a(g561 +Vtable +p3977 +tp3978 +a(g7 +g960 +tp3979 +a(g670 +Vcellspacing +p3980 +tp3981 +a(g416 +g965 +tp3982 +a(g92 +V"0" +p3983 +tp3984 +a(g7 +g960 +tp3985 +a(g670 +Vsummary +p3986 +tp3987 +a(g416 +g965 +tp3988 +a(g92 +V"Schulferien" +p3989 +tp3990 +a(g7 +g960 +tp3991 +a(g670 +Vclass +p3992 +tp3993 +a(g416 +g965 +tp3994 +a(g92 +V"ferien" +p3995 +tp3996 +a(g709 +g979 +tp3997 +a(g7 +V\u000a +p3998 +tp3999 +a(g709 +g975 +tp4000 +a(g561 +Vtr +p4001 +tp4002 +a(g709 +g979 +tp4003 +a(g7 +V\u000a +p4004 +tp4005 +a(g709 +g975 +tp4006 +a(g561 +Vth +p4007 +tp4008 +a(g7 +g960 +tp4009 +a(g670 +Vscope +p4010 +tp4011 +a(g416 +g965 +tp4012 +a(g92 +V"col" +p4013 +tp4014 +a(g7 +g960 +tp4015 +a(g670 +Vclass +p4016 +tp4017 +a(g416 +g965 +tp4018 +a(g92 +V"ferien_zeitraum" +p4019 +tp4020 +a(g709 +g979 +tp4021 +a(g7 +VZeitraum +p4022 +tp4023 +a(g709 +g975 +tp4024 +a(g709 +g1011 +tp4025 +a(g561 +Vth +p4026 +tp4027 +a(g709 +g979 +tp4028 +a(g7 +V\u000a +p4029 +tp4030 +a(g709 +g975 +tp4031 +a(g561 +Vth +p4032 +tp4033 +a(g7 +g960 +tp4034 +a(g670 +Vscope +p4035 +tp4036 +a(g416 +g965 +tp4037 +a(g92 +V"col" +p4038 +tp4039 +a(g7 +g960 +tp4040 +a(g670 +Vclass +p4041 +tp4042 +a(g416 +g965 +tp4043 +a(g92 +V"ferien_jahr" +p4044 +tp4045 +a(g709 +g979 +tp4046 +a(g7 +V2006 +p4047 +tp4048 +a(g709 +g975 +tp4049 +a(g709 +g1011 +tp4050 +a(g561 +Vth +p4051 +tp4052 +a(g709 +g979 +tp4053 +a(g7 +V\u000a +p4054 +tp4055 +a(g709 +g975 +tp4056 +a(g561 +Vth +p4057 +tp4058 +a(g7 +g960 +tp4059 +a(g670 +Vscope +p4060 +tp4061 +a(g416 +g965 +tp4062 +a(g92 +V"col" +p4063 +tp4064 +a(g7 +g960 +tp4065 +a(g670 +Vclass +p4066 +tp4067 +a(g416 +g965 +tp4068 +a(g92 +V"ferien_jahr" +p4069 +tp4070 +a(g709 +g979 +tp4071 +a(g7 +V2007 +p4072 +tp4073 +a(g709 +g975 +tp4074 +a(g709 +g1011 +tp4075 +a(g561 +Vth +p4076 +tp4077 +a(g709 +g979 +tp4078 +a(g7 +V\u000a +p4079 +tp4080 +a(g709 +g975 +tp4081 +a(g561 +Vth +p4082 +tp4083 +a(g7 +g960 +tp4084 +a(g670 +Vscope +p4085 +tp4086 +a(g416 +g965 +tp4087 +a(g92 +V"col" +p4088 +tp4089 +a(g7 +g960 +tp4090 +a(g670 +Vclass +p4091 +tp4092 +a(g416 +g965 +tp4093 +a(g92 +V"ferien_jahr" +p4094 +tp4095 +a(g709 +g979 +tp4096 +a(g7 +V2008 +p4097 +tp4098 +a(g709 +g975 +tp4099 +a(g709 +g1011 +tp4100 +a(g561 +Vth +p4101 +tp4102 +a(g709 +g979 +tp4103 +a(g7 +V\u000a +p4104 +tp4105 +a(g709 +g975 +tp4106 +a(g709 +g1011 +tp4107 +a(g561 +Vtr +p4108 +tp4109 +a(g709 +g979 +tp4110 +a(g7 +V\u000a \u000a +p4111 +tp4112 +a(g709 +g975 +tp4113 +a(g561 +Vtr +p4114 +tp4115 +a(g709 +g979 +tp4116 +a(g7 +V\u000a +p4117 +tp4118 +a(g709 +g975 +tp4119 +a(g561 +Vtd +p4120 +tp4121 +a(g7 +g960 +tp4122 +a(g670 +Vclass +p4123 +tp4124 +a(g416 +g965 +tp4125 +a(g92 +V"ferien_zeitraum" +p4126 +tp4127 +a(g709 +g979 +tp4128 +a(g7 +V\u000a Winter +p4129 +tp4130 +a(g709 +g975 +tp4131 +a(g709 +g1011 +tp4132 +a(g561 +Vtd +p4133 +tp4134 +a(g709 +g979 +tp4135 +a(g7 +V\u000a +p4136 +tp4137 +a(g709 +g975 +tp4138 +a(g561 +Vtd +p4139 +tp4140 +a(g7 +g960 +tp4141 +a(g670 +Vclass +p4142 +tp4143 +a(g416 +g965 +tp4144 +a(g92 +V"ferien_jahr" +p4145 +tp4146 +a(g709 +g979 +tp4147 +a(g7 +V\u000a 30.01. - 03.02. +p4148 +tp4149 +a(g709 +g975 +tp4150 +a(g709 +g1011 +tp4151 +a(g561 +Vtd +p4152 +tp4153 +a(g709 +g979 +tp4154 +a(g7 +V\u000a +p4155 +tp4156 +a(g709 +g975 +tp4157 +a(g561 +Vtd +p4158 +tp4159 +a(g7 +g960 +tp4160 +a(g670 +Vclass +p4161 +tp4162 +a(g416 +g965 +tp4163 +a(g92 +V"ferien_jahr" +p4164 +tp4165 +a(g709 +g979 +tp4166 +a(g7 +V\u000a 05.02. - 10.02. +p4167 +tp4168 +a(g709 +g975 +tp4169 +a(g709 +g1011 +tp4170 +a(g561 +Vtd +p4171 +tp4172 +a(g709 +g979 +tp4173 +a(g7 +V\u000a +p4174 +tp4175 +a(g709 +g975 +tp4176 +a(g561 +Vtd +p4177 +tp4178 +a(g7 +g960 +tp4179 +a(g670 +Vclass +p4180 +tp4181 +a(g416 +g965 +tp4182 +a(g92 +V"ferien_jahr" +p4183 +tp4184 +a(g709 +g979 +tp4185 +a(g7 +V\u000a 04.02. - 09.02. +p4186 +tp4187 +a(g709 +g975 +tp4188 +a(g709 +g1011 +tp4189 +a(g561 +Vtd +p4190 +tp4191 +a(g709 +g979 +tp4192 +a(g7 +V\u000a +p4193 +tp4194 +a(g709 +g975 +tp4195 +a(g709 +g1011 +tp4196 +a(g561 +Vtr +p4197 +tp4198 +a(g709 +g979 +tp4199 +a(g7 +V\u000a \u000a +p4200 +tp4201 +a(g709 +g975 +tp4202 +a(g561 +Vtr +p4203 +tp4204 +a(g709 +g979 +tp4205 +a(g7 +V\u000a +p4206 +tp4207 +a(g709 +g975 +tp4208 +a(g561 +Vtd +p4209 +tp4210 +a(g7 +g960 +tp4211 +a(g670 +Vclass +p4212 +tp4213 +a(g416 +g965 +tp4214 +a(g92 +V"ferien_zeitraum" +p4215 +tp4216 +a(g709 +g979 +tp4217 +a(g7 +V\u000a Ostern/Frühjahr +p4218 +tp4219 +a(g709 +g975 +tp4220 +a(g709 +g1011 +tp4221 +a(g561 +Vtd +p4222 +tp4223 +a(g709 +g979 +tp4224 +a(g7 +V\u000a +p4225 +tp4226 +a(g709 +g975 +tp4227 +a(g561 +Vtd +p4228 +tp4229 +a(g7 +g960 +tp4230 +a(g670 +Vclass +p4231 +tp4232 +a(g416 +g965 +tp4233 +a(g92 +V"ferien_jahr" +p4234 +tp4235 +a(g709 +g979 +tp4236 +a(g7 +V\u000a 10.04. - 21.04. +p4237 +tp4238 +a(g709 +g975 +tp4239 +a(g709 +g1011 +tp4240 +a(g561 +Vtd +p4241 +tp4242 +a(g709 +g979 +tp4243 +a(g7 +V\u000a +p4244 +tp4245 +a(g709 +g975 +tp4246 +a(g561 +Vtd +p4247 +tp4248 +a(g7 +g960 +tp4249 +a(g670 +Vclass +p4250 +tp4251 +a(g416 +g965 +tp4252 +a(g92 +V"ferien_jahr" +p4253 +tp4254 +a(g709 +g979 +tp4255 +a(g7 +V\u000a 02.04. - 13.04. +p4256 +tp4257 +a(g709 +g975 +tp4258 +a(g709 +g1011 +tp4259 +a(g561 +Vtd +p4260 +tp4261 +a(g709 +g979 +tp4262 +a(g7 +V\u000a +p4263 +tp4264 +a(g709 +g975 +tp4265 +a(g561 +Vtd +p4266 +tp4267 +a(g7 +g960 +tp4268 +a(g670 +Vclass +p4269 +tp4270 +a(g416 +g965 +tp4271 +a(g92 +V"ferien_jahr" +p4272 +tp4273 +a(g709 +g979 +tp4274 +a(g7 +V\u000a 17.03. - 28.03. +p4275 +tp4276 +a(g709 +g975 +tp4277 +a(g709 +g1011 +tp4278 +a(g561 +Vtd +p4279 +tp4280 +a(g709 +g979 +tp4281 +a(g7 +V\u000a +p4282 +tp4283 +a(g709 +g975 +tp4284 +a(g709 +g1011 +tp4285 +a(g561 +Vtr +p4286 +tp4287 +a(g709 +g979 +tp4288 +a(g7 +V\u000a \u000a +p4289 +tp4290 +a(g709 +g975 +tp4291 +a(g561 +Vtr +p4292 +tp4293 +a(g709 +g979 +tp4294 +a(g7 +V\u000a +p4295 +tp4296 +a(g709 +g975 +tp4297 +a(g561 +Vtd +p4298 +tp4299 +a(g7 +g960 +tp4300 +a(g670 +Vclass +p4301 +tp4302 +a(g416 +g965 +tp4303 +a(g92 +V"ferien_zeitraum" +p4304 +tp4305 +a(g709 +g979 +tp4306 +a(g7 +V\u000a Himmelf./Pfingsten +p4307 +tp4308 +a(g709 +g975 +tp4309 +a(g709 +g1011 +tp4310 +a(g561 +Vtd +p4311 +tp4312 +a(g709 +g979 +tp4313 +a(g7 +V\u000a +p4314 +tp4315 +a(g709 +g975 +tp4316 +a(g561 +Vtd +p4317 +tp4318 +a(g7 +g960 +tp4319 +a(g670 +Vclass +p4320 +tp4321 +a(g416 +g965 +tp4322 +a(g92 +V"ferien_jahr" +p4323 +tp4324 +a(g709 +g979 +tp4325 +a(g7 +V\u000a 30.04. / 18.05. +p4326 +tp4327 +a(g709 +g975 +tp4328 +a(g709 +g1011 +tp4329 +a(g561 +Vtd +p4330 +tp4331 +a(g709 +g979 +tp4332 +a(g7 +V\u000a +p4333 +tp4334 +a(g709 +g975 +tp4335 +a(g561 +Vtd +p4336 +tp4337 +a(g7 +g960 +tp4338 +a(g670 +Vclass +p4339 +tp4340 +a(g416 +g965 +tp4341 +a(g92 +V"ferien_jahr" +p4342 +tp4343 +a(g709 +g979 +tp4344 +a(g7 +V\u000a 30.04. / 18.05. +p4345 +tp4346 +a(g709 +g975 +tp4347 +a(g709 +g1011 +tp4348 +a(g561 +Vtd +p4349 +tp4350 +a(g709 +g979 +tp4351 +a(g7 +V\u000a +p4352 +tp4353 +a(g709 +g975 +tp4354 +a(g561 +Vtd +p4355 +tp4356 +a(g7 +g960 +tp4357 +a(g670 +Vclass +p4358 +tp4359 +a(g416 +g965 +tp4360 +a(g92 +V"ferien_jahr" +p4361 +tp4362 +a(g709 +g979 +tp4363 +a(g7 +V\u000a 02.05. +p4364 +tp4365 +a(g709 +g975 +tp4366 +a(g709 +g1011 +tp4367 +a(g561 +Vtd +p4368 +tp4369 +a(g709 +g979 +tp4370 +a(g7 +V\u000a +p4371 +tp4372 +a(g709 +g975 +tp4373 +a(g709 +g1011 +tp4374 +a(g561 +Vtr +p4375 +tp4376 +a(g709 +g979 +tp4377 +a(g7 +V\u000a\u000a +p4378 +tp4379 +a(g709 +g975 +tp4380 +a(g561 +Vtr +p4381 +tp4382 +a(g709 +g979 +tp4383 +a(g7 +V\u000a +p4384 +tp4385 +a(g709 +g975 +tp4386 +a(g561 +Vtd +p4387 +tp4388 +a(g7 +g960 +tp4389 +a(g670 +Vclass +p4390 +tp4391 +a(g416 +g965 +tp4392 +a(g92 +V"ferien_zeitraum" +p4393 +tp4394 +a(g709 +g979 +tp4395 +a(g7 +V\u000a Sommer +p4396 +tp4397 +a(g709 +g975 +tp4398 +a(g709 +g1011 +tp4399 +a(g561 +Vtd +p4400 +tp4401 +a(g709 +g979 +tp4402 +a(g7 +V\u000a +p4403 +tp4404 +a(g709 +g975 +tp4405 +a(g561 +Vtd +p4406 +tp4407 +a(g7 +g960 +tp4408 +a(g670 +Vclass +p4409 +tp4410 +a(g416 +g965 +tp4411 +a(g92 +V"ferien_jahr" +p4412 +tp4413 +a(g709 +g979 +tp4414 +a(g7 +V\u000a 06.07. - 19.08. +p4415 +tp4416 +a(g709 +g975 +tp4417 +a(g709 +g1011 +tp4418 +a(g561 +Vtd +p4419 +tp4420 +a(g709 +g979 +tp4421 +a(g7 +V\u000a +p4422 +tp4423 +a(g709 +g975 +tp4424 +a(g561 +Vtd +p4425 +tp4426 +a(g7 +g960 +tp4427 +a(g670 +Vclass +p4428 +tp4429 +a(g416 +g965 +tp4430 +a(g92 +V"ferien_jahr" +p4431 +tp4432 +a(g709 +g979 +tp4433 +a(g7 +V\u000a 12.07. - 25.08. +p4434 +tp4435 +a(g709 +g975 +tp4436 +a(g709 +g1011 +tp4437 +a(g561 +Vtd +p4438 +tp4439 +a(g709 +g979 +tp4440 +a(g7 +V\u000a +p4441 +tp4442 +a(g709 +g975 +tp4443 +a(g561 +Vtd +p4444 +tp4445 +a(g7 +g960 +tp4446 +a(g670 +Vclass +p4447 +tp4448 +a(g416 +g965 +tp4449 +a(g92 +V"ferien_jahr" +p4450 +tp4451 +a(g709 +g979 +tp4452 +a(g7 +V\u000a 17.07. - 30.08. +p4453 +tp4454 +a(g709 +g975 +tp4455 +a(g709 +g1011 +tp4456 +a(g561 +Vtd +p4457 +tp4458 +a(g709 +g979 +tp4459 +a(g7 +V\u000a +p4460 +tp4461 +a(g709 +g975 +tp4462 +a(g709 +g1011 +tp4463 +a(g561 +Vtr +p4464 +tp4465 +a(g709 +g979 +tp4466 +a(g7 +V\u000a \u000a +p4467 +tp4468 +a(g709 +g975 +tp4469 +a(g561 +Vtr +p4470 +tp4471 +a(g709 +g979 +tp4472 +a(g7 +V\u000a +p4473 +tp4474 +a(g709 +g975 +tp4475 +a(g561 +Vtd +p4476 +tp4477 +a(g7 +g960 +tp4478 +a(g670 +Vclass +p4479 +tp4480 +a(g416 +g965 +tp4481 +a(g92 +V"ferien_zeitraum" +p4482 +tp4483 +a(g709 +g979 +tp4484 +a(g7 +V\u000a Herbst +p4485 +tp4486 +a(g709 +g975 +tp4487 +a(g709 +g1011 +tp4488 +a(g561 +Vtd +p4489 +tp4490 +a(g709 +g979 +tp4491 +a(g7 +V\u000a +p4492 +tp4493 +a(g709 +g975 +tp4494 +a(g561 +Vtd +p4495 +tp4496 +a(g7 +g960 +tp4497 +a(g670 +Vclass +p4498 +tp4499 +a(g416 +g965 +tp4500 +a(g92 +V"ferien_jahr" +p4501 +tp4502 +a(g709 +g979 +tp4503 +a(g7 +V\u000a 02.10. - 14.10. +p4504 +tp4505 +a(g709 +g975 +tp4506 +a(g709 +g1011 +tp4507 +a(g561 +Vtd +p4508 +tp4509 +a(g709 +g979 +tp4510 +a(g7 +V\u000a +p4511 +tp4512 +a(g709 +g975 +tp4513 +a(g561 +Vtd +p4514 +tp4515 +a(g7 +g960 +tp4516 +a(g670 +Vclass +p4517 +tp4518 +a(g416 +g965 +tp4519 +a(g92 +V"ferien_jahr" +p4520 +tp4521 +a(g709 +g979 +tp4522 +a(g7 +V\u000a 15.10. - 27.10. +p4523 +tp4524 +a(g709 +g975 +tp4525 +a(g709 +g1011 +tp4526 +a(g561 +Vtd +p4527 +tp4528 +a(g709 +g979 +tp4529 +a(g7 +V\u000a +p4530 +tp4531 +a(g709 +g975 +tp4532 +a(g561 +Vtd +p4533 +tp4534 +a(g7 +g960 +tp4535 +a(g670 +Vclass +p4536 +tp4537 +a(g416 +g965 +tp4538 +a(g92 +V"ferien_jahr" +p4539 +tp4540 +a(g709 +g979 +tp4541 +a(g7 +V\u000a +p4542 +tp4543 +a(g709 +g975 +tp4544 +a(g709 +g1011 +tp4545 +a(g561 +Vtd +p4546 +tp4547 +a(g709 +g979 +tp4548 +a(g7 +V\u000a +p4549 +tp4550 +a(g709 +g975 +tp4551 +a(g709 +g1011 +tp4552 +a(g561 +Vtr +p4553 +tp4554 +a(g709 +g979 +tp4555 +a(g7 +V\u000a \u000a +p4556 +tp4557 +a(g709 +g975 +tp4558 +a(g561 +Vtr +p4559 +tp4560 +a(g709 +g979 +tp4561 +a(g7 +V\u000a +p4562 +tp4563 +a(g709 +g975 +tp4564 +a(g561 +Vtd +p4565 +tp4566 +a(g7 +g960 +tp4567 +a(g670 +Vclass +p4568 +tp4569 +a(g416 +g965 +tp4570 +a(g92 +V"ferien_zeitraum" +p4571 +tp4572 +a(g709 +g979 +tp4573 +a(g7 +V\u000a Weihnachten +p4574 +tp4575 +a(g709 +g975 +tp4576 +a(g709 +g1011 +tp4577 +a(g561 +Vtd +p4578 +tp4579 +a(g709 +g979 +tp4580 +a(g7 +V\u000a +p4581 +tp4582 +a(g709 +g975 +tp4583 +a(g561 +Vtd +p4584 +tp4585 +a(g7 +g960 +tp4586 +a(g670 +Vclass +p4587 +tp4588 +a(g416 +g965 +tp4589 +a(g92 +V"ferien_jahr" +p4590 +tp4591 +a(g709 +g979 +tp4592 +a(g7 +V\u000a 27.12. - 05.01.07 +p4593 +tp4594 +a(g709 +g975 +tp4595 +a(g709 +g1011 +tp4596 +a(g561 +Vtd +p4597 +tp4598 +a(g709 +g979 +tp4599 +a(g7 +V\u000a +p4600 +tp4601 +a(g709 +g975 +tp4602 +a(g561 +Vtd +p4603 +tp4604 +a(g7 +g960 +tp4605 +a(g670 +Vclass +p4606 +tp4607 +a(g416 +g965 +tp4608 +a(g92 +V"ferien_jahr" +p4609 +tp4610 +a(g709 +g979 +tp4611 +a(g7 +V\u000a 24.12. - 04.01.08 +p4612 +tp4613 +a(g709 +g975 +tp4614 +a(g709 +g1011 +tp4615 +a(g561 +Vtd +p4616 +tp4617 +a(g709 +g979 +tp4618 +a(g7 +V\u000a +p4619 +tp4620 +a(g709 +g975 +tp4621 +a(g561 +Vtd +p4622 +tp4623 +a(g7 +g960 +tp4624 +a(g670 +Vclass +p4625 +tp4626 +a(g416 +g965 +tp4627 +a(g92 +V"ferien_jahr" +p4628 +tp4629 +a(g709 +g979 +tp4630 +a(g7 +V\u000a +p4631 +tp4632 +a(g709 +g975 +tp4633 +a(g709 +g1011 +tp4634 +a(g561 +Vtd +p4635 +tp4636 +a(g709 +g979 +tp4637 +a(g7 +V\u000a +p4638 +tp4639 +a(g709 +g975 +tp4640 +a(g709 +g1011 +tp4641 +a(g561 +Vtr +p4642 +tp4643 +a(g709 +g979 +tp4644 +a(g7 +V\u000a \u000a +p4645 +tp4646 +a(g709 +g975 +tp4647 +a(g709 +g1011 +tp4648 +a(g561 +Vtable +p4649 +tp4650 +a(g709 +g979 +tp4651 +a(g7 +V\u000a +p4652 +tp4653 +a(g754 +V<% +p4654 +tp4655 +a(g7 +g960 +tp4656 +a(g465 +V@title +p4657 +tp4658 +a(g7 +g960 +tp4659 +a(g416 +g965 +tp4660 +a(g7 +g960 +tp4661 +a(g233 +V'Termine' +p4662 +tp4663 +a(g7 +g960 +tp4664 +a(g754 +V-%> +p4665 +tp4666 +a(g7 +V\u000a\u000a +p4667 +tp4668 +a(g709 +g975 +tp4669 +a(g561 +Vul +p4670 +tp4671 +a(g709 +g979 +tp4672 +a(g7 +V\u000a +p4673 +tp4674 +a(g709 +g975 +tp4675 +a(g561 +Vli +p4676 +tp4677 +a(g709 +g979 +tp4678 +a(g754 +V<%= +p4679 +tp4680 +a(g7 +g960 +tp4681 +a(g439 +Vlink_to +p4682 +tp4683 +a(g7 +g960 +tp4684 +a(g233 +V'Auftritte' +p4685 +tp4686 +a(g709 +g995 +tp4687 +a(g7 +g960 +tp4688 +a(g120 +V:controller +p4689 +tp4690 +a(g7 +g960 +tp4691 +a(g416 +g965 +tp4692 +a(g416 +g979 +tp4693 +a(g7 +g960 +tp4694 +a(g233 +V'/auftritte' +p4695 +tp4696 +a(g7 +g960 +tp4697 +a(g754 +V%> +p4698 +tp4699 +a(g709 +g956 +tp4700 +a(g709 +g975 +tp4701 +a(g709 +g1011 +tp4702 +a(g561 +Vli +p4703 +tp4704 +a(g709 +g979 +tp4705 +a(g7 +V\u000a +p4706 +tp4707 +a(g709 +g975 +tp4708 +a(g561 +Vli +p4709 +tp4710 +a(g709 +g979 +tp4711 +a(g754 +V<%= +p4712 +tp4713 +a(g7 +g960 +tp4714 +a(g439 +Vlink_to +p4715 +tp4716 +a(g7 +g960 +tp4717 +a(g233 +V'Schulferien' +p4718 +tp4719 +a(g709 +g995 +tp4720 +a(g7 +g960 +tp4721 +a(g120 +V:controller +p4722 +tp4723 +a(g7 +g960 +tp4724 +a(g416 +g965 +tp4725 +a(g416 +g979 +tp4726 +a(g7 +g960 +tp4727 +a(g233 +V'/content' +p4728 +tp4729 +a(g709 +g995 +tp4730 +a(g7 +g960 +tp4731 +a(g120 +V:action +p4732 +tp4733 +a(g7 +g960 +tp4734 +a(g416 +g965 +tp4735 +a(g416 +g979 +tp4736 +a(g7 +g960 +tp4737 +a(g120 +V:schulferien +p4738 +tp4739 +a(g7 +g960 +tp4740 +a(g754 +V%> +p4741 +tp4742 +a(g709 +g956 +tp4743 +a(g709 +g975 +tp4744 +a(g709 +g1011 +tp4745 +a(g561 +Vli +p4746 +tp4747 +a(g709 +g979 +tp4748 +a(g7 +V\u000a +p4749 +tp4750 +a(g709 +g975 +tp4751 +a(g709 +g1011 +tp4752 +a(g561 +Vul +p4753 +tp4754 +a(g709 +g979 +tp4755 +a(g7 +V\u000a +p4756 +tp4757 +a(g754 +V +p4758 +tp4759 +a(g7 +V\u000a +p4760 +tp4761 +a(g709 +g975 +tp4762 +a(g561 +Vhtml +p4763 +tp4764 +a(g7 +g960 +tp4765 +a(g670 +Vxmlns +p4766 +tp4767 +a(g416 +g965 +tp4768 +a(g92 +V"http://www.w3.org/1999/xhtml" +p4769 +tp4770 +a(g7 +g960 +tp4771 +a(g670 +Vxml:lang +p4772 +tp4773 +a(g416 +g965 +tp4774 +a(g92 +V"de" +p4775 +tp4776 +a(g709 +g979 +tp4777 +a(g7 +V\u000a +p4778 +tp4779 +a(g709 +g975 +tp4780 +a(g561 +Vhead +p4781 +tp4782 +a(g709 +g979 +tp4783 +a(g7 +V\u000a +p4784 +tp4785 +a(g754 +V<%= +p4786 +tp4787 +a(g7 +g960 +tp4788 +a(g439 +Vtag +p4789 +tp4790 +a(g7 +g960 +tp4791 +a(g233 +V'meta' +p4792 +tp4793 +a(g709 +g995 +tp4794 +a(g7 +g960 +tp4795 +a(g120 +V:'http-equiv' +p4796 +tp4797 +a(g7 +g960 +tp4798 +a(g416 +g965 +tp4799 +a(g416 +g979 +tp4800 +a(g7 +g960 +tp4801 +a(g233 +V'content-language' +p4802 +tp4803 +a(g709 +g995 +tp4804 +a(g7 +g960 +tp4805 +a(g120 +V:content +p4806 +tp4807 +a(g7 +g960 +tp4808 +a(g416 +g965 +tp4809 +a(g416 +g979 +tp4810 +a(g7 +g960 +tp4811 +a(g233 +V'de' +p4812 +tp4813 +a(g7 +g960 +tp4814 +a(g754 +V%> +p4815 +tp4816 +a(g7 +V\u000a +p4817 +tp4818 +a(g754 +V<%= +p4819 +tp4820 +a(g7 +g960 +tp4821 +a(g439 +Vtag +p4822 +tp4823 +a(g7 +g960 +tp4824 +a(g233 +V'meta' +p4825 +tp4826 +a(g709 +g995 +tp4827 +a(g7 +g960 +tp4828 +a(g120 +V:'http-equiv' +p4829 +tp4830 +a(g7 +g960 +tp4831 +a(g416 +g965 +tp4832 +a(g416 +g979 +tp4833 +a(g7 +g960 +tp4834 +a(g233 +V'content-type' +p4835 +tp4836 +a(g709 +g995 +tp4837 +a(g7 +g960 +tp4838 +a(g120 +V:content +p4839 +tp4840 +a(g7 +g960 +tp4841 +a(g416 +g965 +tp4842 +a(g416 +g979 +tp4843 +a(g7 +g960 +tp4844 +a(g233 +V'text/html; charset=UTF-8' +p4845 +tp4846 +a(g7 +g960 +tp4847 +a(g754 +V%> +p4848 +tp4849 +a(g7 +V\u000a +p4850 +tp4851 +a(g709 +g975 +tp4852 +a(g561 +Vmeta +p4853 +tp4854 +a(g7 +g960 +tp4855 +a(g670 +Vname +p4856 +tp4857 +a(g416 +g965 +tp4858 +a(g92 +V"AUTHOR" +p4859 +tp4860 +a(g7 +g960 +tp4861 +a(g670 +Vcontent +p4862 +tp4863 +a(g416 +g965 +tp4864 +a(g92 +V"Knut Müller, Alexander Wolf, Uwe Ritzschke, Paul-Robert Achcenich" +p4865 +tp4866 +a(g7 +g960 +tp4867 +a(g709 +g1011 +tp4868 +a(g709 +g979 +tp4869 +a(g7 +V\u000a +p4870 +tp4871 +a(g709 +g975 +tp4872 +a(g561 +Vmeta +p4873 +tp4874 +a(g7 +g960 +tp4875 +a(g670 +Vname +p4876 +tp4877 +a(g416 +g965 +tp4878 +a(g92 +V"Publisher" +p4879 +tp4880 +a(g7 +g960 +tp4881 +a(g670 +Vcontent +p4882 +tp4883 +a(g416 +g965 +tp4884 +a(g92 +V"Knut Müller, Alexander Wolf, Uwe Ritzschke, Paul-Robert Achcenich" +p4885 +tp4886 +a(g7 +g960 +tp4887 +a(g709 +g1011 +tp4888 +a(g709 +g979 +tp4889 +a(g7 +V\u000a +p4890 +tp4891 +a(g709 +g975 +tp4892 +a(g561 +Vmeta +p4893 +tp4894 +a(g7 +g960 +tp4895 +a(g670 +Vname +p4896 +tp4897 +a(g416 +g965 +tp4898 +a(g92 +V"Copyright" +p4899 +tp4900 +a(g7 +g960 +tp4901 +a(g670 +Vcontent +p4902 +tp4903 +a(g416 +g965 +tp4904 +a(g92 +V"Knut Müller, Alexander Wolf, Uwe Ritzschke, Paul-Robert Achcenich, 2006" +p4905 +tp4906 +a(g7 +g960 +tp4907 +a(g709 +g1011 +tp4908 +a(g709 +g979 +tp4909 +a(g7 +V\u000a +p4910 +tp4911 +a(g709 +g975 +tp4912 +a(g561 +Vmeta +p4913 +tp4914 +a(g7 +g960 +tp4915 +a(g670 +Vname +p4916 +tp4917 +a(g416 +g965 +tp4918 +a(g92 +V"Keywords" +p4919 +tp4920 +a(g7 +g960 +tp4921 +a(g670 +Vcontent +p4922 +tp4923 +a(g416 +g965 +tp4924 +a(g92 +V"Jugendsinfonieorchester,Marzahn,Hellersdorf,Marzahn-Hellersdorf,Berlin,Orchester,Jobst Liebrecht,FEZ,Pollicino,Moritz Eggert,Popel,Konzert" +p4925 +tp4926 +a(g7 +g960 +tp4927 +a(g709 +g1011 +tp4928 +a(g709 +g979 +tp4929 +a(g7 +V\u000a +p4930 +tp4931 +a(g709 +g975 +tp4932 +a(g561 +Vmeta +p4933 +tp4934 +a(g7 +g960 +tp4935 +a(g670 +Vname +p4936 +tp4937 +a(g416 +g965 +tp4938 +a(g92 +V"Description" +p4939 +tp4940 +a(g7 +g960 +tp4941 +a(g670 +Vcontent +p4942 +tp4943 +a(g416 +g965 +tp4944 +a(g92 +V"JSO - JugendSinfonieOrchester der Musikschule Marzahn-Hellerdorf in Berlin" +p4945 +tp4946 +a(g7 +g960 +tp4947 +a(g709 +g1011 +tp4948 +a(g709 +g979 +tp4949 +a(g7 +V\u000a +p4950 +tp4951 +a(g709 +g975 +tp4952 +a(g561 +Vmeta +p4953 +tp4954 +a(g7 +g960 +tp4955 +a(g670 +Vname +p4956 +tp4957 +a(g416 +g965 +tp4958 +a(g92 +V"Page-topic" +p4959 +tp4960 +a(g7 +g960 +tp4961 +a(g670 +Vcontent +p4962 +tp4963 +a(g416 +g965 +tp4964 +a(g92 +V"JSO Marzahn-Hellersdorf - " +p4965 +tp4966 +a(g7 +g960 +tp4967 +a(g709 +g1011 +tp4968 +a(g709 +g979 +tp4969 +a(g7 +V\u000a\u000a +p4970 +tp4971 +a(g709 +g975 +tp4972 +a(g561 +Vmeta +p4973 +tp4974 +a(g7 +g960 +tp4975 +a(g670 +Vname +p4976 +tp4977 +a(g416 +g965 +tp4978 +a(g92 +V"Audience" +p4979 +tp4980 +a(g7 +g960 +tp4981 +a(g670 +Vcontent +p4982 +tp4983 +a(g416 +g965 +tp4984 +a(g92 +V"Alle" +p4985 +tp4986 +a(g7 +g960 +tp4987 +a(g709 +g1011 +tp4988 +a(g709 +g979 +tp4989 +a(g7 +V\u000a +p4990 +tp4991 +a(g709 +g975 +tp4992 +a(g561 +Vmeta +p4993 +tp4994 +a(g7 +g960 +tp4995 +a(g670 +Vname +p4996 +tp4997 +a(g416 +g965 +tp4998 +a(g92 +V"content-language" +p4999 +tp5000 +a(g7 +g960 +tp5001 +a(g670 +Vcontent +p5002 +tp5003 +a(g416 +g965 +tp5004 +a(g92 +V"DE" +p5005 +tp5006 +a(g7 +g960 +tp5007 +a(g709 +g1011 +tp5008 +a(g709 +g979 +tp5009 +a(g7 +V\u000a +p5010 +tp5011 +a(g709 +g975 +tp5012 +a(g561 +Vmeta +p5013 +tp5014 +a(g7 +g960 +tp5015 +a(g670 +Vname +p5016 +tp5017 +a(g416 +g965 +tp5018 +a(g92 +V"Page-Type" +p5019 +tp5020 +a(g7 +g960 +tp5021 +a(g670 +Vcontent +p5022 +tp5023 +a(g416 +g965 +tp5024 +a(g92 +V"Homepage" +p5025 +tp5026 +a(g7 +g960 +tp5027 +a(g709 +g1011 +tp5028 +a(g709 +g979 +tp5029 +a(g7 +V\u000a +p5030 +tp5031 +a(g709 +g975 +tp5032 +a(g561 +Vmeta +p5033 +tp5034 +a(g7 +g960 +tp5035 +a(g670 +Vname +p5036 +tp5037 +a(g416 +g965 +tp5038 +a(g92 +V"robots" +p5039 +tp5040 +a(g7 +g960 +tp5041 +a(g670 +Vcontent +p5042 +tp5043 +a(g416 +g965 +tp5044 +a(g92 +V"all" +p5045 +tp5046 +a(g7 +g960 +tp5047 +a(g709 +g1011 +tp5048 +a(g709 +g979 +tp5049 +a(g7 +V\u000a\u000a +p5050 +tp5051 +a(g709 +g975 +tp5052 +a(g561 +Vtitle +p5053 +tp5054 +a(g709 +g979 +tp5055 +a(g7 +VJSO +p5056 +tp5057 +a(g754 +V<%- +p5058 +tp5059 +a(g842 +Vif +p5060 +tp5061 +a(g7 +g960 +tp5062 +a(g465 +V@title +p5063 +tp5064 +a(g754 +V-%> +p5065 +tp5066 +a(g7 +V - +p5067 +tp5068 +a(g754 +V<%= +p5069 +tp5070 +a(g7 +g960 +tp5071 +a(g439 +g2276 +tp5072 +a(g7 +g960 +tp5073 +a(g465 +V@title +p5074 +tp5075 +a(g7 +g960 +tp5076 +a(g754 +V%> +p5077 +tp5078 +a(g7 +g956 +tp5079 +a(g754 +V<%- +p5080 +tp5081 +a(g7 +g960 +tp5082 +a(g842 +Vend +p5083 +tp5084 +a(g7 +g960 +tp5085 +a(g754 +V-%> +p5086 +tp5087 +a(g7 +g956 +tp5088 +a(g709 +g975 +tp5089 +a(g709 +g1011 +tp5090 +a(g561 +Vtitle +p5091 +tp5092 +a(g709 +g979 +tp5093 +a(g7 +V\u000a +p5094 +tp5095 +a(g754 +V<%= +p5096 +tp5097 +a(g7 +g960 +tp5098 +a(g439 +Vstylesheet_link_tag +p5099 +tp5100 +a(g7 +g960 +tp5101 +a(g233 +V'/rcss/main' +p5102 +tp5103 +a(g7 +g960 +tp5104 +a(g754 +V%> +p5105 +tp5106 +a(g7 +V\u000a +p5107 +tp5108 +a(g754 +V<%# +p5109 +tp5110 +a(g725 +V= stylesheet_link_tag 'main' +p5111 +tp5112 +a(g754 +V%> +p5113 +tp5114 +a(g7 +V\u000a +p5115 +tp5116 +a(g754 +V<%= +p5117 +tp5118 +a(g7 +g960 +tp5119 +a(g439 +Vjavascript_include_tag +p5120 +tp5121 +a(g7 +g960 +tp5122 +a(g233 +V'nospam' +p5123 +tp5124 +a(g7 +g960 +tp5125 +a(g754 +V%> +p5126 +tp5127 +a(g7 +V\u000a +p5128 +tp5129 +a(g754 +V<%# +p5130 +tp5131 +a(g725 +V= javascript_include_tag :defaults +p5132 +tp5133 +a(g754 +V%> +p5134 +tp5135 +a(g7 +V\u000a +p5136 +tp5137 +a(g709 +g975 +tp5138 +a(g709 +g1011 +tp5139 +a(g561 +Vhead +p5140 +tp5141 +a(g709 +g979 +tp5142 +a(g7 +V\u000a\u000a +p5143 +tp5144 +a(g709 +g975 +tp5145 +a(g561 +Vbody +p5146 +tp5147 +a(g709 +g979 +tp5148 +a(g7 +V\u000a\u000a +p5149 +tp5150 +a(g709 +g975 +tp5151 +a(g561 +Vtable +p5152 +tp5153 +a(g7 +g960 +tp5154 +a(g670 +Vstyle +p5155 +tp5156 +a(g416 +g965 +tp5157 +a(g92 +V"width:100%; height:100%;" +p5158 +tp5159 +a(g7 +g960 +tp5160 +a(g670 +Vcellspacing +p5161 +tp5162 +a(g416 +g965 +tp5163 +a(g92 +V"10" +p5164 +tp5165 +a(g709 +g979 +tp5166 +a(g7 +V\u000a +p5167 +tp5168 +a(g709 +g975 +tp5169 +a(g561 +Vtr +p5170 +tp5171 +a(g709 +g979 +tp5172 +a(g7 +V\u000a +p5173 +tp5174 +a(g709 +g975 +tp5175 +a(g561 +Vtd +p5176 +tp5177 +a(g7 +g960 +tp5178 +a(g670 +Vstyle +p5179 +tp5180 +a(g416 +g965 +tp5181 +a(g92 +V"height:120px; width:15%;text-align:center;vertical-align:middle;" +p5182 +tp5183 +a(g709 +g979 +tp5184 +a(g7 +V\u000a +p5185 +tp5186 +a(g754 +V<%= +p5187 +tp5188 +a(g7 +g960 +tp5189 +a(g439 +Vimage_tag +p5190 +tp5191 +a(g7 +g960 +tp5192 +a(g233 +V'JSO-Logo.gif' +p5193 +tp5194 +a(g709 +g995 +tp5195 +a(g7 +g960 +tp5196 +a(g120 +V:alt +p5197 +tp5198 +a(g7 +g960 +tp5199 +a(g416 +g965 +tp5200 +a(g416 +g979 +tp5201 +a(g7 +g960 +tp5202 +a(g233 +V'JSO-Logo' +p5203 +tp5204 +a(g7 +g960 +tp5205 +a(g754 +V%> +p5206 +tp5207 +a(g7 +V\u000a +p5208 +tp5209 +a(g709 +g975 +tp5210 +a(g709 +g1011 +tp5211 +a(g561 +Vtd +p5212 +tp5213 +a(g709 +g979 +tp5214 +a(g7 +V\u000a +p5215 +tp5216 +a(g709 +g975 +tp5217 +a(g561 +Vtd +p5218 +tp5219 +a(g7 +g960 +tp5220 +a(g670 +Vid +p5221 +tp5222 +a(g416 +g965 +tp5223 +a(g92 +V"title" +p5224 +tp5225 +a(g709 +g979 +tp5226 +a(g7 +V\u000a +p5227 +tp5228 +a(g709 +g975 +tp5229 +a(g561 +Vdiv +p5230 +tp5231 +a(g7 +g960 +tp5232 +a(g670 +Vid +p5233 +tp5234 +a(g416 +g965 +tp5235 +a(g92 +V"musikschule" +p5236 +tp5237 +a(g709 +g979 +tp5238 +a(g709 +g975 +tp5239 +a(g561 +Va +p5240 +tp5241 +a(g7 +g960 +tp5242 +a(g670 +Vhref +p5243 +tp5244 +a(g416 +g965 +tp5245 +a(g92 +V"http://www.musikschule-marzahn-hellersdorf.de" +p5246 +tp5247 +a(g709 +g979 +tp5248 +a(g7 +VMusikschule Marzahn Hellersdorf +p5249 +tp5250 +a(g709 +g975 +tp5251 +a(g709 +g1011 +tp5252 +a(g561 +g5240 +tp5253 +a(g709 +g979 +tp5254 +a(g709 +g975 +tp5255 +a(g709 +g1011 +tp5256 +a(g561 +Vdiv +p5257 +tp5258 +a(g709 +g979 +tp5259 +a(g7 +V\u000a +p5260 +tp5261 +a(g709 +g975 +tp5262 +a(g561 +Vdiv +p5263 +tp5264 +a(g7 +g960 +tp5265 +a(g670 +Vid +p5266 +tp5267 +a(g416 +g965 +tp5268 +a(g92 +V"orchester" +p5269 +tp5270 +a(g709 +g979 +tp5271 +a(g709 +g975 +tp5272 +a(g561 +Vstrong +p5273 +tp5274 +a(g709 +g979 +tp5275 +a(g7 +Vj +p5276 +tp5277 +a(g709 +g975 +tp5278 +a(g709 +g1011 +tp5279 +a(g561 +Vstrong +p5280 +tp5281 +a(g709 +g979 +tp5282 +a(g7 +Vugend +p5283 +tp5284 +a(g709 +g975 +tp5285 +a(g561 +Vstrong +p5286 +tp5287 +a(g709 +g979 +tp5288 +a(g7 +Vs +p5289 +tp5290 +a(g709 +g975 +tp5291 +a(g709 +g1011 +tp5292 +a(g561 +Vstrong +p5293 +tp5294 +a(g709 +g979 +tp5295 +a(g7 +Vinfonie +p5296 +tp5297 +a(g709 +g975 +tp5298 +a(g561 +Vstrong +p5299 +tp5300 +a(g709 +g979 +tp5301 +a(g7 +Vo +p5302 +tp5303 +a(g709 +g975 +tp5304 +a(g709 +g1011 +tp5305 +a(g561 +Vstrong +p5306 +tp5307 +a(g709 +g979 +tp5308 +a(g7 +Vrchester +p5309 +tp5310 +a(g709 +g975 +tp5311 +a(g709 +g1011 +tp5312 +a(g561 +Vdiv +p5313 +tp5314 +a(g709 +g979 +tp5315 +a(g7 +V\u000a +p5316 +tp5317 +a(g709 +g975 +tp5318 +a(g709 +g1011 +tp5319 +a(g561 +Vtd +p5320 +tp5321 +a(g709 +g979 +tp5322 +a(g7 +V\u000a +p5323 +tp5324 +a(g709 +g975 +tp5325 +a(g709 +g1011 +tp5326 +a(g561 +Vtr +p5327 +tp5328 +a(g709 +g979 +tp5329 +a(g7 +V\u000a +p5330 +tp5331 +a(g709 +g975 +tp5332 +a(g561 +Vtr +p5333 +tp5334 +a(g709 +g979 +tp5335 +a(g7 +V\u000a +p5336 +tp5337 +a(g709 +g975 +tp5338 +a(g561 +Vtd +p5339 +tp5340 +a(g7 +g960 +tp5341 +a(g670 +Vstyle +p5342 +tp5343 +a(g416 +g965 +tp5344 +a(g92 +V"width:15%;" +p5345 +tp5346 +a(g709 +g979 +tp5347 +a(g7 +V\u000a +p5348 +tp5349 +a(g754 +V<% +p5350 +tp5351 +a(g7 +g960 +tp5352 +a(g842 +Vif +p5353 +tp5354 +a(g7 +g960 +tp5355 +a(g439 +Vvalid_user +p5356 +tp5357 +a(g7 +g960 +tp5358 +a(g754 +V-%> +p5359 +tp5360 +a(g7 +V\u000a +p5361 +tp5362 +a(g709 +g975 +tp5363 +a(g561 +Vul +p5364 +tp5365 +a(g709 +g979 +tp5366 +a(g7 +V\u000a +p5367 +tp5368 +a(g709 +g975 +tp5369 +a(g561 +Vli +p5370 +tp5371 +a(g7 +g960 +tp5372 +a(g670 +Vclass +p5373 +tp5374 +a(g416 +g965 +tp5375 +a(g92 +V"menu2" +p5376 +tp5377 +a(g709 +g979 +tp5378 +a(g754 +V<%= +p5379 +tp5380 +a(g7 +g960 +tp5381 +a(g439 +Vlink_to +p5382 +tp5383 +a(g7 +g960 +tp5384 +a(g160 +g2224 +tp5385 +a(g160 +VLogout +p5386 +tp5387 +a(g128 +V#{ +p5388 +tp5389 +a(g439 +Vvalid_user +p5390 +tp5391 +a(g416 +g1091 +tp5392 +a(g439 +Vname +p5393 +tp5394 +a(g128 +g2235 +tp5395 +a(g160 +g2224 +tp5396 +a(g709 +g995 +tp5397 +a(g7 +g960 +tp5398 +a(g120 +V:controller +p5399 +tp5400 +a(g7 +g960 +tp5401 +a(g416 +g965 +tp5402 +a(g416 +g979 +tp5403 +a(g7 +g960 +tp5404 +a(g233 +V'/admin/admin' +p5405 +tp5406 +a(g709 +g995 +tp5407 +a(g7 +g960 +tp5408 +a(g120 +V:action +p5409 +tp5410 +a(g7 +g960 +tp5411 +a(g416 +g965 +tp5412 +a(g416 +g979 +tp5413 +a(g7 +g960 +tp5414 +a(g120 +V:logout +p5415 +tp5416 +a(g7 +g960 +tp5417 +a(g754 +V%> +p5418 +tp5419 +a(g709 +g956 +tp5420 +a(g709 +g975 +tp5421 +a(g709 +g1011 +tp5422 +a(g561 +Vli +p5423 +tp5424 +a(g709 +g979 +tp5425 +a(g7 +V\u000a +p5426 +tp5427 +a(g709 +g975 +tp5428 +a(g709 +g1011 +tp5429 +a(g561 +Vul +p5430 +tp5431 +a(g709 +g979 +tp5432 +a(g7 +V\u000a +p5433 +tp5434 +a(g754 +V<% +p5435 +tp5436 +a(g7 +g960 +tp5437 +a(g842 +Vend +p5438 +tp5439 +a(g7 +g960 +tp5440 +a(g754 +V-%> +p5441 +tp5442 +a(g7 +V\u000a +p5443 +tp5444 +a(g754 +V<% +p5445 +tp5446 +a(g7 +g960 +tp5447 +a(g439 +Vcache +p5448 +tp5449 +a(g7 +g960 +tp5450 +a(g120 +V:controller +p5451 +tp5452 +a(g7 +g960 +tp5453 +a(g416 +g965 +tp5454 +a(g416 +g979 +tp5455 +a(g7 +g960 +tp5456 +a(g233 +V'menu' +p5457 +tp5458 +a(g709 +g995 +tp5459 +a(g7 +g960 +tp5460 +a(g120 +V:action +p5461 +tp5462 +a(g7 +g960 +tp5463 +a(g416 +g965 +tp5464 +a(g416 +g979 +tp5465 +a(g7 +g960 +tp5466 +a(g233 +V'main_menu' +p5467 +tp5468 +a(g7 +g960 +tp5469 +a(g842 +Vdo +p5470 +tp5471 +a(g7 +g960 +tp5472 +a(g754 +V-%> +p5473 +tp5474 +a(g7 +V\u000a +p5475 +tp5476 +a(g754 +V<%= +p5477 +tp5478 +a(g7 +g960 +tp5479 +a(g439 +Vrender_component +p5480 +tp5481 +a(g7 +g960 +tp5482 +a(g120 +V:controller +p5483 +tp5484 +a(g7 +g960 +tp5485 +a(g416 +g965 +tp5486 +a(g416 +g979 +tp5487 +a(g7 +g960 +tp5488 +a(g233 +V'menu' +p5489 +tp5490 +a(g709 +g995 +tp5491 +a(g7 +g960 +tp5492 +a(g120 +V:action +p5493 +tp5494 +a(g7 +g960 +tp5495 +a(g416 +g965 +tp5496 +a(g416 +g979 +tp5497 +a(g7 +g960 +tp5498 +a(g233 +V'index' +p5499 +tp5500 +a(g7 +g960 +tp5501 +a(g754 +V%> +p5502 +tp5503 +a(g7 +V\u000a +p5504 +tp5505 +a(g754 +V<% +p5506 +tp5507 +a(g7 +g960 +tp5508 +a(g842 +Vend +p5509 +tp5510 +a(g7 +g960 +tp5511 +a(g754 +V-%> +p5512 +tp5513 +a(g7 +V\u000a +p5514 +tp5515 +a(g709 +g975 +tp5516 +a(g709 +g1011 +tp5517 +a(g561 +Vtd +p5518 +tp5519 +a(g709 +g979 +tp5520 +a(g7 +V\u000a +p5521 +tp5522 +a(g709 +g975 +tp5523 +a(g561 +Vtd +p5524 +tp5525 +a(g7 +g960 +tp5526 +a(g670 +Vid +p5527 +tp5528 +a(g416 +g965 +tp5529 +a(g92 +V"main" +p5530 +tp5531 +a(g709 +g979 +tp5532 +a(g7 +V\u000a +p5533 +tp5534 +a(g754 +V<% +p5535 +tp5536 +a(g7 +g960 +tp5537 +a(g842 +Vunless +p5538 +tp5539 +a(g7 +g960 +tp5540 +a(g465 +V@flash +p5541 +tp5542 +a(g416 +g1091 +tp5543 +a(g439 +Vkeys +p5544 +tp5545 +a(g416 +g1091 +tp5546 +a(g439 +Vempty? +p5547 +tp5548 +a(g7 +g960 +tp5549 +a(g754 +V-%> +p5550 +tp5551 +a(g7 +V\u000a +p5552 +tp5553 +a(g709 +g975 +tp5554 +a(g561 +Vdiv +p5555 +tp5556 +a(g7 +g960 +tp5557 +a(g670 +Vclass +p5558 +tp5559 +a(g416 +g965 +tp5560 +a(g92 +V"flash" +p5561 +tp5562 +a(g709 +g979 +tp5563 +a(g7 +V\u000a +p5564 +tp5565 +a(g754 +V<%- +p5566 +tp5567 +a(g7 +g960 +tp5568 +a(g842 +Vfor +p5569 +tp5570 +a(g7 +g960 +tp5571 +a(g439 +Vkind +p5572 +tp5573 +a(g709 +g995 +tp5574 +a(g7 +g960 +tp5575 +a(g439 +Vmsg +p5576 +tp5577 +a(g7 +g960 +tp5578 +a(g842 +Vin +p5579 +tp5580 +a(g7 +g960 +tp5581 +a(g465 +V@flash +p5582 +tp5583 +a(g7 +g960 +tp5584 +a(g754 +V-%> +p5585 +tp5586 +a(g7 +V\u000a +p5587 +tp5588 +a(g709 +g975 +tp5589 +a(g561 +Vdiv +p5590 +tp5591 +a(g7 +g960 +tp5592 +a(g670 +Vclass +p5593 +tp5594 +a(g416 +g965 +tp5595 +a(g92 +g2224 +tp5596 +a(g754 +V<%= +p5597 +tp5598 +a(g7 +g960 +tp5599 +a(g439 +g2276 +tp5600 +a(g7 +g960 +tp5601 +a(g439 +Vkind +p5602 +tp5603 +a(g7 +g960 +tp5604 +a(g754 +V%> +p5605 +tp5606 +a(g92 +g2224 +tp5607 +a(g709 +g979 +tp5608 +a(g754 +V<%= +p5609 +tp5610 +a(g7 +g960 +tp5611 +a(g439 +g2276 +tp5612 +a(g7 +g960 +tp5613 +a(g439 +Vmsg +p5614 +tp5615 +a(g7 +g960 +tp5616 +a(g754 +V%> +p5617 +tp5618 +a(g709 +g956 +tp5619 +a(g709 +g975 +tp5620 +a(g709 +g1011 +tp5621 +a(g561 +Vdiv +p5622 +tp5623 +a(g709 +g979 +tp5624 +a(g7 +V\u000a +p5625 +tp5626 +a(g754 +V<%- +p5627 +tp5628 +a(g7 +g960 +tp5629 +a(g842 +Vend +p5630 +tp5631 +a(g7 +g960 +tp5632 +a(g754 +V-%> +p5633 +tp5634 +a(g7 +V\u000a +p5635 +tp5636 +a(g709 +g975 +tp5637 +a(g709 +g1011 +tp5638 +a(g561 +Vdiv +p5639 +tp5640 +a(g709 +g979 +tp5641 +a(g7 +V\u000a +p5642 +tp5643 +a(g754 +V<% +p5644 +tp5645 +a(g7 +g960 +tp5646 +a(g842 +Vend +p5647 +tp5648 +a(g7 +g960 +tp5649 +a(g754 +V-%> +p5650 +tp5651 +a(g7 +V\u000a +p5652 +tp5653 +a(g754 +V<%= +p5654 +tp5655 +a(g7 +g960 +tp5656 +a(g439 +Vcontent_tag +p5657 +tp5658 +a(g7 +g960 +tp5659 +a(g233 +V'h3' +p5660 +tp5661 +a(g709 +g995 +tp5662 +a(g7 +g960 +tp5663 +a(g439 +g2276 +tp5664 +a(g709 +g1825 +tp5665 +a(g465 +V@title +p5666 +tp5667 +a(g709 +g1829 +tp5668 +a(g7 +g960 +tp5669 +a(g842 +Vif +p5670 +tp5671 +a(g7 +g960 +tp5672 +a(g465 +V@title +p5673 +tp5674 +a(g7 +g960 +tp5675 +a(g754 +V%> +p5676 +tp5677 +a(g7 +V\u000a +p5678 +tp5679 +a(g754 +V<%= +p5680 +tp5681 +a(g7 +g960 +tp5682 +a(g465 +V@content_for_layout +p5683 +tp5684 +a(g7 +g960 +tp5685 +a(g754 +V%> +p5686 +tp5687 +a(g7 +V\u000a +p5688 +tp5689 +a(g709 +g975 +tp5690 +a(g709 +g1011 +tp5691 +a(g561 +Vtd +p5692 +tp5693 +a(g709 +g979 +tp5694 +a(g7 +V\u000a +p5695 +tp5696 +a(g709 +g975 +tp5697 +a(g709 +g1011 +tp5698 +a(g561 +Vtr +p5699 +tp5700 +a(g709 +g979 +tp5701 +a(g7 +V\u000a +p5702 +tp5703 +a(g709 +g975 +tp5704 +a(g561 +Vtr +p5705 +tp5706 +a(g709 +g979 +tp5707 +a(g7 +V\u000a +p5708 +tp5709 +a(g709 +g975 +tp5710 +a(g561 +Vtd +p5711 +tp5712 +a(g7 +g960 +tp5713 +a(g670 +Vstyle +p5714 +tp5715 +a(g416 +g965 +tp5716 +a(g92 +V"height:80px; width:15%;" +p5717 +tp5718 +a(g709 +g979 +tp5719 +a(g7 +V\u000a +p5720 +tp5721 +a(g709 +g975 +tp5722 +a(g709 +g1011 +tp5723 +a(g561 +Vtd +p5724 +tp5725 +a(g709 +g979 +tp5726 +a(g7 +V\u000a +p5727 +tp5728 +a(g709 +g975 +tp5729 +a(g561 +Vtd +p5730 +tp5731 +a(g7 +g960 +tp5732 +a(g670 +Vstyle +p5733 +tp5734 +a(g416 +g965 +tp5735 +a(g92 +V"height:80px;" +p5736 +tp5737 +a(g709 +g979 +tp5738 +a(g7 +V\u000a +p5739 +tp5740 +a(g709 +g975 +tp5741 +a(g561 +Vdiv +p5742 +tp5743 +a(g7 +g960 +tp5744 +a(g670 +Vstyle +p5745 +tp5746 +a(g416 +g965 +tp5747 +a(g92 +V"position:relative; top:80px; right:0px; text-align:right; font-size: x-small; color: #003;" +p5748 +tp5749 +a(g709 +g979 +tp5750 +a(g7 +V\u000a powered by +p5751 +tp5752 +a(g709 +g975 +tp5753 +a(g561 +g5240 +tp5754 +a(g7 +g960 +tp5755 +a(g670 +Vhref +p5756 +tp5757 +a(g416 +g965 +tp5758 +a(g92 +V"http://rubyonrails.org" +p5759 +tp5760 +a(g709 +g979 +tp5761 +a(g7 +VRuby on Rails +p5762 +tp5763 +a(g709 +g975 +tp5764 +a(g709 +g1011 +tp5765 +a(g561 +g5240 +tp5766 +a(g709 +g979 +tp5767 +a(g7 +g960 +tp5768 +a(g754 +V<%= +p5769 +tp5770 +a(g7 +g960 +tp5771 +a(g569 +VRails +p5772 +tp5773 +a(g416 +V:: +p5774 +tp5775 +a(g569 +VInfo +p5776 +tp5777 +a(g416 +g1091 +tp5778 +a(g439 +Vproperties +p5779 +tp5780 +a(g416 +g1091 +tp5781 +a(g439 +Vvalue_for +p5782 +tp5783 +a(g7 +g960 +tp5784 +a(g233 +V'Rails version' +p5785 +tp5786 +a(g7 +g960 +tp5787 +a(g754 +V%> +p5788 +tp5789 +a(g7 +V [ +p5790 +tp5791 +a(g754 +V<%= +p5792 +tp5793 +a(g7 +g960 +tp5794 +a(g439 +g2276 +tp5795 +a(g7 +g960 +tp5796 +a(g569 +VRAILS_ENV +p5797 +tp5798 +a(g416 +g2387 +tp5799 +a(g200 +g1011 +tp5800 +a(g200 +V^. +p5801 +tp5802 +a(g200 +g1011 +tp5803 +a(g416 +g2391 +tp5804 +a(g7 +g960 +tp5805 +a(g754 +V%> +p5806 +tp5807 +a(g7 +V]\u000a +p5808 +tp5809 +a(g754 +V<%= +p5810 +tp5811 +a(g7 +g960 +tp5812 +a(g439 +Vimage_tag +p5813 +tp5814 +a(g7 +g960 +tp5815 +a(g233 +V'css.png' +p5816 +tp5817 +a(g709 +g995 +tp5818 +a(g7 +g960 +tp5819 +a(g120 +V:alt +p5820 +tp5821 +a(g7 +g960 +tp5822 +a(g416 +g965 +tp5823 +a(g416 +g979 +tp5824 +a(g7 +g960 +tp5825 +a(g233 +V'valid CSS' +p5826 +tp5827 +a(g709 +g995 +tp5828 +a(g7 +g960 +tp5829 +a(g120 +V:title +p5830 +tp5831 +a(g7 +g960 +tp5832 +a(g416 +g965 +tp5833 +a(g416 +g979 +tp5834 +a(g7 +g960 +tp5835 +a(g233 +V'valid Cascading Style Sheet' +p5836 +tp5837 +a(g709 +g995 +tp5838 +a(g7 +g960 +tp5839 +a(g120 +V:style +p5840 +tp5841 +a(g7 +g960 +tp5842 +a(g416 +g965 +tp5843 +a(g416 +g979 +tp5844 +a(g7 +g960 +tp5845 +a(g233 +V'display: inline; vertical-align: middle' +p5846 +tp5847 +a(g7 +g960 +tp5848 +a(g754 +V%> +p5849 +tp5850 +a(g7 +V\u000a +p5851 +tp5852 +a(g754 +V<%= +p5853 +tp5854 +a(g7 +g960 +tp5855 +a(g439 +Vimage_tag +p5856 +tp5857 +a(g7 +g960 +tp5858 +a(g233 +V'xhtml11.png' +p5859 +tp5860 +a(g709 +g995 +tp5861 +a(g7 +g960 +tp5862 +a(g120 +V:alt +p5863 +tp5864 +a(g7 +g960 +tp5865 +a(g416 +g965 +tp5866 +a(g416 +g979 +tp5867 +a(g7 +g960 +tp5868 +a(g233 +V'valid XHTML 1.1' +p5869 +tp5870 +a(g709 +g995 +tp5871 +a(g7 +g960 +tp5872 +a(g120 +V:title +p5873 +tp5874 +a(g7 +g960 +tp5875 +a(g416 +g965 +tp5876 +a(g416 +g979 +tp5877 +a(g7 +g960 +tp5878 +a(g233 +V'valid eXtensible Hypertext Markup Language 1.1' +p5879 +tp5880 +a(g709 +g995 +tp5881 +a(g7 +g960 +tp5882 +a(g120 +V:style +p5883 +tp5884 +a(g7 +g960 +tp5885 +a(g416 +g965 +tp5886 +a(g416 +g979 +tp5887 +a(g7 +g960 +tp5888 +a(g233 +V'display: inline; vertical-align: middle' +p5889 +tp5890 +a(g7 +g960 +tp5891 +a(g754 +V%> +p5892 +tp5893 +a(g7 +V\u000a +p5894 +tp5895 +a(g709 +g975 +tp5896 +a(g709 +g1011 +tp5897 +a(g561 +Vdiv +p5898 +tp5899 +a(g709 +g979 +tp5900 +a(g7 +V\u000a +p5901 +tp5902 +a(g709 +g975 +tp5903 +a(g709 +g1011 +tp5904 +a(g561 +Vtd +p5905 +tp5906 +a(g709 +g979 +tp5907 +a(g7 +V\u000a +p5908 +tp5909 +a(g709 +g975 +tp5910 +a(g709 +g1011 +tp5911 +a(g561 +Vtr +p5912 +tp5913 +a(g709 +g979 +tp5914 +a(g7 +V\u000a +p5915 +tp5916 +a(g709 +g975 +tp5917 +a(g709 +g1011 +tp5918 +a(g561 +Vtable +p5919 +tp5920 +a(g709 +g979 +tp5921 +a(g7 +V\u000a\u000a +p5922 +tp5923 +a(g709 +g975 +tp5924 +a(g709 +g1011 +tp5925 +a(g561 +Vbody +p5926 +tp5927 +a(g709 +g979 +tp5928 +a(g7 +V\u000a\u000a +p5929 +tp5930 +a(g709 +g975 +tp5931 +a(g709 +g1011 +tp5932 +a(g561 +Vhtml +p5933 +tp5934 +a(g709 +g979 +tp5935 +a(g7 +V\u000a +p5936 +tp5937 +a(g754 +V<% +p5938 +tp5939 +a(g7 +g960 +tp5940 +a(g465 +V@title +p5941 +tp5942 +a(g7 +g960 +tp5943 +a(g416 +g965 +tp5944 +a(g7 +g960 +tp5945 +a(g233 +V'Übersicht' +p5946 +tp5947 +a(g7 +g960 +tp5948 +a(g754 +V-%> +p5949 +tp5950 +a(g7 +V\u000a\u000a +p5951 +tp5952 +a(g709 +g975 +tp5953 +a(g561 +Vh4 +p5954 +tp5955 +a(g709 +g979 +tp5956 +a(g7 +Vnächste Probe +p5957 +tp5958 +a(g709 +g975 +tp5959 +a(g709 +g1011 +tp5960 +a(g561 +Vh4 +p5961 +tp5962 +a(g709 +g979 +tp5963 +a(g7 +V\u000a +p5964 +tp5965 +a(g709 +g975 +tp5966 +a(g561 +Vtable +p5967 +tp5968 +a(g7 +g960 +tp5969 +a(g670 +Vcellspacing +p5970 +tp5971 +a(g416 +g965 +tp5972 +a(g92 +V"0" +p5973 +tp5974 +a(g7 +g960 +tp5975 +a(g670 +Vsummary +p5976 +tp5977 +a(g416 +g965 +tp5978 +a(g92 +V"Probenplan: Wann wird Was geprobt?" +p5979 +tp5980 +a(g7 +g960 +tp5981 +a(g670 +Vclass +p5982 +tp5983 +a(g416 +g965 +tp5984 +a(g92 +V"proben" +p5985 +tp5986 +a(g709 +g979 +tp5987 +a(g7 +V\u000a +p5988 +tp5989 +a(g754 +V<%= +p5990 +tp5991 +a(g7 +g960 +tp5992 +a(g439 +Vrender +p5993 +tp5994 +a(g7 +g960 +tp5995 +a(g120 +V:partial +p5996 +tp5997 +a(g7 +g960 +tp5998 +a(g416 +g965 +tp5999 +a(g416 +g979 +tp6000 +a(g7 +g960 +tp6001 +a(g233 +V'proben/head' +p6002 +tp6003 +a(g7 +g960 +tp6004 +a(g754 +V%> +p6005 +tp6006 +a(g7 +V\u000a +p6007 +tp6008 +a(g754 +V<%= +p6009 +tp6010 +a(g7 +g960 +tp6011 +a(g439 +Vrender +p6012 +tp6013 +a(g7 +g960 +tp6014 +a(g120 +V:partial +p6015 +tp6016 +a(g7 +g960 +tp6017 +a(g416 +g965 +tp6018 +a(g416 +g979 +tp6019 +a(g7 +g960 +tp6020 +a(g233 +V'proben/day' +p6021 +tp6022 +a(g709 +g995 +tp6023 +a(g7 +g960 +tp6024 +a(g120 +V:object +p6025 +tp6026 +a(g7 +g960 +tp6027 +a(g416 +g965 +tp6028 +a(g416 +g979 +tp6029 +a(g7 +g960 +tp6030 +a(g465 +V@next_probe +p6031 +tp6032 +a(g7 +g960 +tp6033 +a(g754 +V%> +p6034 +tp6035 +a(g7 +V\u000a +p6036 +tp6037 +a(g709 +g975 +tp6038 +a(g709 +g1011 +tp6039 +a(g561 +Vtable +p6040 +tp6041 +a(g709 +g979 +tp6042 +a(g7 +V\u000a +p6043 +tp6044 +a(g709 +g975 +tp6045 +a(g561 +Vh4 +p6046 +tp6047 +a(g709 +g979 +tp6048 +a(g754 +V<%= +p6049 +tp6050 +a(g7 +g960 +tp6051 +a(g439 +Vlink_to +p6052 +tp6053 +a(g7 +g960 +tp6054 +a(g233 +V'weitere Proben...' +p6055 +tp6056 +a(g709 +g995 +tp6057 +a(g7 +g960 +tp6058 +a(g120 +V:controller +p6059 +tp6060 +a(g7 +g960 +tp6061 +a(g416 +g965 +tp6062 +a(g416 +g979 +tp6063 +a(g7 +g960 +tp6064 +a(g233 +V'proben' +p6065 +tp6066 +a(g7 +g960 +tp6067 +a(g754 +V%> +p6068 +tp6069 +a(g709 +g956 +tp6070 +a(g709 +g975 +tp6071 +a(g709 +g1011 +tp6072 +a(g561 +Vh4 +p6073 +tp6074 +a(g709 +g979 +tp6075 +a(g7 +V\u000a\u000a +p6076 +tp6077 +a(g709 +g975 +tp6078 +a(g561 +Vh4 +p6079 +tp6080 +a(g709 +g979 +tp6081 +a(g7 +Vnächster Auftritt +p6082 +tp6083 +a(g709 +g975 +tp6084 +a(g709 +g1011 +tp6085 +a(g561 +Vh4 +p6086 +tp6087 +a(g709 +g979 +tp6088 +a(g7 +V\u000a +p6089 +tp6090 +a(g709 +g975 +tp6091 +a(g561 +Vtable +p6092 +tp6093 +a(g7 +g960 +tp6094 +a(g670 +Vcellspacing +p6095 +tp6096 +a(g416 +g965 +tp6097 +a(g92 +V"0" +p6098 +tp6099 +a(g7 +g960 +tp6100 +a(g670 +Vsummary +p6101 +tp6102 +a(g416 +g965 +tp6103 +a(g92 +V"Auftritte: Wann treten wir Wo auf?" +p6104 +tp6105 +a(g7 +g960 +tp6106 +a(g670 +Vclass +p6107 +tp6108 +a(g416 +g965 +tp6109 +a(g92 +V"auftritte" +p6110 +tp6111 +a(g709 +g979 +tp6112 +a(g7 +V\u000a +p6113 +tp6114 +a(g754 +V<%= +p6115 +tp6116 +a(g7 +g960 +tp6117 +a(g439 +Vrender +p6118 +tp6119 +a(g7 +g960 +tp6120 +a(g120 +V:partial +p6121 +tp6122 +a(g7 +g960 +tp6123 +a(g416 +g965 +tp6124 +a(g416 +g979 +tp6125 +a(g7 +g960 +tp6126 +a(g233 +V'auftritte/head' +p6127 +tp6128 +a(g7 +g960 +tp6129 +a(g754 +V%> +p6130 +tp6131 +a(g7 +V\u000a +p6132 +tp6133 +a(g754 +V<%= +p6134 +tp6135 +a(g7 +g960 +tp6136 +a(g439 +Vrender +p6137 +tp6138 +a(g7 +g960 +tp6139 +a(g120 +V:partial +p6140 +tp6141 +a(g7 +g960 +tp6142 +a(g416 +g965 +tp6143 +a(g416 +g979 +tp6144 +a(g7 +g960 +tp6145 +a(g233 +V'auftritte/day' +p6146 +tp6147 +a(g709 +g995 +tp6148 +a(g7 +g960 +tp6149 +a(g120 +V:object +p6150 +tp6151 +a(g7 +g960 +tp6152 +a(g416 +g965 +tp6153 +a(g416 +g979 +tp6154 +a(g7 +g960 +tp6155 +a(g465 +V@next_auftritt +p6156 +tp6157 +a(g7 +g960 +tp6158 +a(g754 +V%> +p6159 +tp6160 +a(g7 +V\u000a +p6161 +tp6162 +a(g709 +g975 +tp6163 +a(g709 +g1011 +tp6164 +a(g561 +Vtable +p6165 +tp6166 +a(g709 +g979 +tp6167 +a(g7 +V\u000a +p6168 +tp6169 +a(g709 +g975 +tp6170 +a(g561 +Vh4 +p6171 +tp6172 +a(g709 +g979 +tp6173 +a(g754 +V<%= +p6174 +tp6175 +a(g7 +g960 +tp6176 +a(g439 +Vlink_to +p6177 +tp6178 +a(g7 +g960 +tp6179 +a(g233 +V'mehr Auftritte...' +p6180 +tp6181 +a(g709 +g995 +tp6182 +a(g7 +g960 +tp6183 +a(g120 +V:controller +p6184 +tp6185 +a(g7 +g960 +tp6186 +a(g416 +g965 +tp6187 +a(g416 +g979 +tp6188 +a(g7 +g960 +tp6189 +a(g233 +V'auftritte' +p6190 +tp6191 +a(g7 +g960 +tp6192 +a(g754 +V%> +p6193 +tp6194 +a(g709 +g956 +tp6195 +a(g709 +g975 +tp6196 +a(g709 +g1011 +tp6197 +a(g561 +Vh4 +p6198 +tp6199 +a(g709 +g979 +tp6200 +a(g7 +V\u000a +p6201 +tp6202 +a(g709 +g975 +tp6203 +a(g561 +Vul +p6204 +tp6205 +a(g709 +g979 +tp6206 +a(g7 +V\u000a +p6207 +tp6208 +a(g754 +V<%= +p6209 +tp6210 +a(g7 +g960 +tp6211 +a(g439 +Vcategory +p6212 +tp6213 +a(g7 +g960 +tp6214 +a(g233 +V'Übersicht' +p6215 +tp6216 +a(g709 +g995 +tp6217 +a(g7 +g960 +tp6218 +a(g439 +Vhome_url +p6219 +tp6220 +a(g7 +g960 +tp6221 +a(g754 +V%> +p6222 +tp6223 +a(g7 +V\u000a +p6224 +tp6225 +a(g754 +V<%= +p6226 +tp6227 +a(g7 +g960 +tp6228 +a(g439 +Vsubcat +p6229 +tp6230 +a(g7 +g960 +tp6231 +a(g233 +V'Wer sind wir?' +p6232 +tp6233 +a(g709 +g995 +tp6234 +a(g7 +g960 +tp6235 +a(g120 +V:wer +p6236 +tp6237 +a(g7 +g960 +tp6238 +a(g754 +V%> +p6239 +tp6240 +a(g7 +V\u000a +p6241 +tp6242 +a(g754 +V<%= +p6243 +tp6244 +a(g7 +g960 +tp6245 +a(g439 +Vsubcat +p6246 +tp6247 +a(g7 +g960 +tp6248 +a(g233 +V'Dirigent' +p6249 +tp6250 +a(g7 +g960 +tp6251 +a(g754 +V%> +p6252 +tp6253 +a(g7 +V\u000a +p6254 +tp6255 +a(g754 +V<%= +p6256 +tp6257 +a(g7 +g960 +tp6258 +a(g439 +Vsubcat +p6259 +tp6260 +a(g7 +g960 +tp6261 +a(g233 +V'Besetzung' +p6262 +tp6263 +a(g709 +g995 +tp6264 +a(g7 +g960 +tp6265 +a(g439 +Vurl_for +p6266 +tp6267 +a(g709 +g1825 +tp6268 +a(g120 +V:controller +p6269 +tp6270 +a(g7 +g960 +tp6271 +a(g416 +g965 +tp6272 +a(g416 +g979 +tp6273 +a(g7 +g960 +tp6274 +a(g233 +V'/besetzung' +p6275 +tp6276 +a(g709 +g1829 +tp6277 +a(g7 +g960 +tp6278 +a(g754 +V%> +p6279 +tp6280 +a(g7 +V\u000a +p6281 +tp6282 +a(g754 +V<%= +p6283 +tp6284 +a(g7 +g960 +tp6285 +a(g439 +Vsubcat +p6286 +tp6287 +a(g7 +g960 +tp6288 +a(g233 +V'Repertoire' +p6289 +tp6290 +a(g7 +g960 +tp6291 +a(g754 +V%> +p6292 +tp6293 +a(g7 +V\u000a\u000a +p6294 +tp6295 +a(g754 +V<%= +p6296 +tp6297 +a(g7 +g960 +tp6298 +a(g439 +Vcategory +p6299 +tp6300 +a(g7 +g960 +tp6301 +a(g233 +V'Termine' +p6302 +tp6303 +a(g7 +g960 +tp6304 +a(g754 +V%> +p6305 +tp6306 +a(g7 +V\u000a +p6307 +tp6308 +a(g754 +V<%= +p6309 +tp6310 +a(g7 +g960 +tp6311 +a(g439 +Vsubcat +p6312 +tp6313 +a(g7 +g960 +tp6314 +a(g233 +V'Auftritte' +p6315 +tp6316 +a(g709 +g995 +tp6317 +a(g7 +g960 +tp6318 +a(g439 +Vurl_for +p6319 +tp6320 +a(g709 +g1825 +tp6321 +a(g120 +V:controller +p6322 +tp6323 +a(g7 +g960 +tp6324 +a(g416 +g965 +tp6325 +a(g416 +g979 +tp6326 +a(g7 +g960 +tp6327 +a(g233 +V'/auftritte' +p6328 +tp6329 +a(g709 +g995 +tp6330 +a(g7 +g960 +tp6331 +a(g120 +V:action +p6332 +tp6333 +a(g7 +g960 +tp6334 +a(g416 +g965 +tp6335 +a(g416 +g979 +tp6336 +a(g7 +g960 +tp6337 +a(g120 +V:plan +p6338 +tp6339 +a(g709 +g1829 +tp6340 +a(g7 +g960 +tp6341 +a(g754 +V%> +p6342 +tp6343 +a(g7 +V\u000a +p6344 +tp6345 +a(g754 +V<%= +p6346 +tp6347 +a(g7 +g960 +tp6348 +a(g439 +Vsubcat +p6349 +tp6350 +a(g7 +g960 +tp6351 +a(g233 +V'Schulferien' +p6352 +tp6353 +a(g7 +g960 +tp6354 +a(g754 +V%> +p6355 +tp6356 +a(g7 +V\u000a\u000a +p6357 +tp6358 +a(g754 +V<%= +p6359 +tp6360 +a(g7 +g960 +tp6361 +a(g439 +Vcategory +p6362 +tp6363 +a(g7 +g960 +tp6364 +a(g233 +V'Probenplan' +p6365 +tp6366 +a(g709 +g995 +tp6367 +a(g7 +g960 +tp6368 +a(g439 +Vurl_for +p6369 +tp6370 +a(g709 +g1825 +tp6371 +a(g120 +V:controller +p6372 +tp6373 +a(g7 +g960 +tp6374 +a(g416 +g965 +tp6375 +a(g416 +g979 +tp6376 +a(g7 +g960 +tp6377 +a(g233 +V'/proben' +p6378 +tp6379 +a(g709 +g995 +tp6380 +a(g7 +g960 +tp6381 +a(g120 +V:action +p6382 +tp6383 +a(g7 +g960 +tp6384 +a(g416 +g965 +tp6385 +a(g416 +g979 +tp6386 +a(g7 +g960 +tp6387 +a(g120 +V:plan +p6388 +tp6389 +a(g709 +g1829 +tp6390 +a(g7 +g960 +tp6391 +a(g754 +V%> +p6392 +tp6393 +a(g7 +V\u000a\u000a +p6394 +tp6395 +a(g754 +V<%= +p6396 +tp6397 +a(g7 +g960 +tp6398 +a(g439 +Vcategory +p6399 +tp6400 +a(g7 +g960 +tp6401 +a(g233 +V'Organisation' +p6402 +tp6403 +a(g7 +g960 +tp6404 +a(g754 +V%> +p6405 +tp6406 +a(g7 +V\u000a +p6407 +tp6408 +a(g754 +V<%= +p6409 +tp6410 +a(g7 +g960 +tp6411 +a(g439 +Vsubcat +p6412 +tp6413 +a(g7 +g960 +tp6414 +a(g233 +V'Orchesterrat' +p6415 +tp6416 +a(g7 +g960 +tp6417 +a(g754 +V%> +p6418 +tp6419 +a(g7 +V\u000a +p6420 +tp6421 +a(g754 +V<%= +p6422 +tp6423 +a(g7 +g960 +tp6424 +a(g439 +Vsubcat +p6425 +tp6426 +a(g7 +g960 +tp6427 +a(g233 +V'Arbeitsgruppen' +p6428 +tp6429 +a(g7 +g960 +tp6430 +a(g754 +V%> +p6431 +tp6432 +a(g7 +V\u000a\u000a +p6433 +tp6434 +a(g754 +V<%= +p6435 +tp6436 +a(g7 +g960 +tp6437 +a(g439 +Vcategory +p6438 +tp6439 +a(g7 +g960 +tp6440 +a(g233 +V'Chronik' +p6441 +tp6442 +a(g7 +g960 +tp6443 +a(g754 +V%> +p6444 +tp6445 +a(g7 +V\u000a +p6446 +tp6447 +a(g754 +V<%= +p6448 +tp6449 +a(g7 +g960 +tp6450 +a(g439 +Vsubcat +p6451 +tp6452 +a(g7 +g960 +tp6453 +a(g233 +V'Konzerte' +p6454 +tp6455 +a(g7 +g960 +tp6456 +a(g754 +V%> +p6457 +tp6458 +a(g7 +V\u000a +p6459 +tp6460 +a(g754 +V<%= +p6461 +tp6462 +a(g7 +g960 +tp6463 +a(g439 +Vsubcat +p6464 +tp6465 +a(g7 +g960 +tp6466 +a(g233 +V'Audio' +p6467 +tp6468 +a(g7 +g960 +tp6469 +a(g754 +V%> +p6470 +tp6471 +a(g7 +V\u000a +p6472 +tp6473 +a(g754 +V<%= +p6474 +tp6475 +a(g7 +g960 +tp6476 +a(g439 +Vsubcat +p6477 +tp6478 +a(g7 +g960 +tp6479 +a(g233 +V'Presse' +p6480 +tp6481 +a(g7 +g960 +tp6482 +a(g754 +V%> +p6483 +tp6484 +a(g7 +V\u000a\u000a +p6485 +tp6486 +a(g754 +V<%= +p6487 +tp6488 +a(g7 +g960 +tp6489 +a(g439 +Vcategory +p6490 +tp6491 +a(g7 +g960 +tp6492 +a(g233 +V'Links' +p6493 +tp6494 +a(g709 +g995 +tp6495 +a(g7 +g960 +tp6496 +a(g233 +V'#' +p6497 +tp6498 +a(g7 +g960 +tp6499 +a(g754 +V%> +p6500 +tp6501 +a(g7 +V\u000a +p6502 +tp6503 +a(g754 +V<%= +p6504 +tp6505 +a(g7 +g960 +tp6506 +a(g439 +Vsubcat +p6507 +tp6508 +a(g7 +g960 +tp6509 +a(g233 +V'Bilderseite' +p6510 +tp6511 +a(g709 +g995 +tp6512 +a(g7 +g960 +tp6513 +a(g233 +V'http://musikschule.iden04.de' +p6514 +tp6515 +a(g7 +g960 +tp6516 +a(g754 +V%> +p6517 +tp6518 +a(g7 +V\u000a +p6519 +tp6520 +a(g754 +V<%= +p6521 +tp6522 +a(g7 +g960 +tp6523 +a(g439 +Vsubcat +p6524 +tp6525 +a(g7 +g960 +tp6526 +a(g233 +V'Musikschule' +p6527 +tp6528 +a(g709 +g995 +tp6529 +a(g7 +g960 +tp6530 +a(g233 +V'http://www.musikschule-marzahn-hellersdorf.de' +p6531 +tp6532 +a(g7 +g960 +tp6533 +a(g754 +V%> +p6534 +tp6535 +a(g7 +V\u000a\u000a +p6536 +tp6537 +a(g709 +g975 +tp6538 +a(g561 +Vli +p6539 +tp6540 +a(g709 +g979 +tp6541 +a(g709 +g975 +tp6542 +a(g561 +Vbr +p6543 +tp6544 +a(g7 +g960 +tp6545 +a(g709 +g1011 +tp6546 +a(g709 +g979 +tp6547 +a(g709 +g975 +tp6548 +a(g709 +g1011 +tp6549 +a(g561 +Vli +p6550 +tp6551 +a(g709 +g979 +tp6552 +a(g7 +V\u000a\u000a +p6553 +tp6554 +a(g754 +V<%= +p6555 +tp6556 +a(g7 +g960 +tp6557 +a(g439 +Vcategory +p6558 +tp6559 +a(g7 +g960 +tp6560 +a(g233 +V'Kontakt' +p6561 +tp6562 +a(g7 +g960 +tp6563 +a(g754 +V%> +p6564 +tp6565 +a(g7 +V\u000a +p6566 +tp6567 +a(g709 +g975 +tp6568 +a(g709 +g1011 +tp6569 +a(g561 +Vul +p6570 +tp6571 +a(g709 +g979 +tp6572 +a(g7 +V\u000a +p6573 +tp6574 +a(g754 +V<% +p6575 +tp6576 +a(g7 +g960 +tp6577 +a(g465 +V@title +p6578 +tp6579 +a(g7 +g960 +tp6580 +a(g416 +g965 +tp6581 +a(g7 +g960 +tp6582 +a(g233 +V'Probenplan' +p6583 +tp6584 +a(g7 +g960 +tp6585 +a(g754 +V%> +p6586 +tp6587 +a(g7 +V\u000a\u000a +p6588 +tp6589 +a(g709 +g975 +tp6590 +a(g561 +Vtable +p6591 +tp6592 +a(g7 +g960 +tp6593 +a(g670 +Vcellspacing +p6594 +tp6595 +a(g416 +g965 +tp6596 +a(g92 +V"0" +p6597 +tp6598 +a(g7 +g960 +tp6599 +a(g670 +Vsummary +p6600 +tp6601 +a(g416 +g965 +tp6602 +a(g92 +V"Probenplan: Wann wird Was geprobt?" +p6603 +tp6604 +a(g709 +g979 +tp6605 +a(g7 +V\u000a +p6606 +tp6607 +a(g754 +V<%= +p6608 +tp6609 +a(g7 +g960 +tp6610 +a(g439 +Vrender +p6611 +tp6612 +a(g7 +g960 +tp6613 +a(g120 +V:partial +p6614 +tp6615 +a(g7 +g960 +tp6616 +a(g416 +g965 +tp6617 +a(g416 +g979 +tp6618 +a(g7 +g960 +tp6619 +a(g233 +V'head' +p6620 +tp6621 +a(g7 +g960 +tp6622 +a(g754 +V%> +p6623 +tp6624 +a(g7 +V\u000a +p6625 +tp6626 +a(g754 +V<%= +p6627 +tp6628 +a(g7 +g960 +tp6629 +a(g439 +Vrender +p6630 +tp6631 +a(g7 +g960 +tp6632 +a(g120 +V:partial +p6633 +tp6634 +a(g7 +g960 +tp6635 +a(g416 +g965 +tp6636 +a(g416 +g979 +tp6637 +a(g7 +g960 +tp6638 +a(g233 +V'day' +p6639 +tp6640 +a(g709 +g995 +tp6641 +a(g7 +g960 +tp6642 +a(g120 +V:collection +p6643 +tp6644 +a(g7 +g960 +tp6645 +a(g416 +g965 +tp6646 +a(g416 +g979 +tp6647 +a(g7 +g960 +tp6648 +a(g465 +V@days +p6649 +tp6650 +a(g7 +g960 +tp6651 +a(g754 +V%> +p6652 +tp6653 +a(g7 +V\u000a +p6654 +tp6655 +a(g709 +g975 +tp6656 +a(g709 +g1011 +tp6657 +a(g561 +Vtable +p6658 +tp6659 +a(g709 +g979 +tp6660 +a(g7 +V\u000a\u000a +p6661 +tp6662 +a(g709 +g975 +tp6663 +a(g561 +g2244 +tp6664 +a(g7 +g960 +tp6665 +a(g670 +Vstyle +p6666 +tp6667 +a(g416 +g965 +tp6668 +a(g92 +V"font-size:14px; margin-top:-10px; padding-top:0px; padding-left:40px;" +p6669 +tp6670 +a(g709 +g979 +tp6671 +a(g7 +V\u000aOrt (wenn nicht anders angegeben): Schule am Pappelhof\u000a +p6672 +tp6673 +a(g709 +g975 +tp6674 +a(g709 +g1011 +tp6675 +a(g561 +g2244 +tp6676 +a(g709 +g979 +tp6677 +a(g7 +V \u000a\u000a +p6678 +tp6679 +a(g754 +V<%= +p6680 +tp6681 +a(g7 +g960 +tp6682 +a(g439 +Vrender_partial +p6683 +tp6684 +a(g7 +g960 +tp6685 +a(g233 +V'raum' +p6686 +tp6687 +a(g7 +g960 +tp6688 +a(g754 +V%> +p6689 +tp6690 +a(g7 +V\u000a +p6691 +tp6692 +a(g754 +V<% +p6693 +tp6694 +a(g7 +g960 +tp6695 +a(g439 +Vday +p6696 +tp6697 +a(g709 +g995 +tp6698 +a(g7 +g960 +tp6699 +a(g439 +Vproben +p6700 +tp6701 +a(g7 +g960 +tp6702 +a(g416 +g965 +tp6703 +a(g7 +g960 +tp6704 +a(g416 +g1766 +tp6705 +a(g439 +Vday +p6706 +tp6707 +a(g7 +g960 +tp6708 +a(g754 +V-%> +p6709 +tp6710 +a(g7 +V\u000a +p6711 +tp6712 +a(g754 +V<% +p6713 +tp6714 +a(g7 +V\u000a +p6715 +tp6716 +a(g842 +Vfor +p6717 +tp6718 +a(g7 +g960 +tp6719 +a(g439 +Vprobe +p6720 +tp6721 +a(g7 +g960 +tp6722 +a(g842 +Vin +p6723 +tp6724 +a(g7 +g960 +tp6725 +a(g439 +Vproben +p6726 +tp6727 +a(g7 +V \u000a +p6728 +tp6729 +a(g754 +V-%> +p6730 +tp6731 +a(g7 +V\u000a\u000a +p6732 +tp6733 +a(g709 +g975 +tp6734 +a(g561 +Vtr +p6735 +tp6736 +a(g709 +g979 +tp6737 +a(g7 +V\u000a +p6738 +tp6739 +a(g709 +g975 +tp6740 +a(g561 +Vtd +p6741 +tp6742 +a(g7 +g960 +tp6743 +a(g670 +Vclass +p6744 +tp6745 +a(g416 +g965 +tp6746 +a(g92 +V"pplan_datum" +p6747 +tp6748 +a(g709 +g979 +tp6749 +a(g7 +V\u000a +p6750 +tp6751 +a(g754 +V<%= +p6752 +tp6753 +a(g7 +g960 +tp6754 +a(g439 +Vcolorize +p6755 +tp6756 +a(g7 +g960 +tp6757 +a(g439 +Vday +p6758 +tp6759 +a(g416 +g1091 +tp6760 +a(g439 +Vto_s +p6761 +tp6762 +a(g709 +g1825 +tp6763 +a(g120 +V:dots +p6764 +tp6765 +a(g709 +g1829 +tp6766 +a(g7 +g960 +tp6767 +a(g842 +Vif +p6768 +tp6769 +a(g7 +g960 +tp6770 +a(g439 +Vday +p6771 +tp6772 +a(g7 +g960 +tp6773 +a(g754 +V%> +p6774 +tp6775 +a(g7 +V\u000a +p6776 +tp6777 +a(g754 +V<% +p6778 +tp6779 +a(g7 +g960 +tp6780 +a(g842 +Vif +p6781 +tp6782 +a(g7 +g960 +tp6783 +a(g439 +Vday +p6784 +tp6785 +a(g7 +g960 +tp6786 +a(g430 +Vand +p6787 +tp6788 +a(g7 +g960 +tp6789 +a(g439 +Vday +p6790 +tp6791 +a(g416 +g1091 +tp6792 +a(g439 +Vwday +p6793 +tp6794 +a(g7 +g960 +tp6795 +a(g416 +V== +p6796 +tp6797 +a(g7 +g960 +tp6798 +a(g40 +g1863 +tp6799 +a(g7 +g960 +tp6800 +a(g754 +V%> +p6801 +tp6802 +a(g7 +g956 +tp6803 +a(g709 +g975 +tp6804 +a(g561 +Vbr +p6805 +tp6806 +a(g7 +g960 +tp6807 +a(g709 +g1011 +tp6808 +a(g709 +g979 +tp6809 +a(g709 +g975 +tp6810 +a(g561 +Vem +p6811 +tp6812 +a(g709 +g979 +tp6813 +a(g7 +VSamstag +p6814 +tp6815 +a(g709 +g975 +tp6816 +a(g709 +g1011 +tp6817 +a(g561 +Vem +p6818 +tp6819 +a(g709 +g979 +tp6820 +a(g754 +V<% +p6821 +tp6822 +a(g7 +g960 +tp6823 +a(g842 +Vend +p6824 +tp6825 +a(g7 +g960 +tp6826 +a(g754 +V%> +p6827 +tp6828 +a(g709 +g956 +tp6829 +a(g7 +V\u000a +p6830 +tp6831 +a(g709 +g975 +tp6832 +a(g709 +g1011 +tp6833 +a(g561 +Vtd +p6834 +tp6835 +a(g709 +g979 +tp6836 +a(g7 +V\u000a +p6837 +tp6838 +a(g709 +g975 +tp6839 +a(g561 +Vtd +p6840 +tp6841 +a(g7 +g960 +tp6842 +a(g670 +Vclass +p6843 +tp6844 +a(g416 +g965 +tp6845 +a(g92 +V"pplan_zeit" +p6846 +tp6847 +a(g709 +g979 +tp6848 +a(g7 +V\u000a +p6849 +tp6850 +a(g754 +V<%= +p6851 +tp6852 +a(g7 +g960 +tp6853 +a(g439 +Vcolorize +p6854 +tp6855 +a(g7 +g960 +tp6856 +a(g439 +Vprobe +p6857 +tp6858 +a(g416 +g1091 +tp6859 +a(g439 +Vtime +p6860 +tp6861 +a(g7 +g960 +tp6862 +a(g754 +V%> +p6863 +tp6864 +a(g7 +V\u000a +p6865 +tp6866 +a(g709 +g975 +tp6867 +a(g709 +g1011 +tp6868 +a(g561 +Vtd +p6869 +tp6870 +a(g709 +g979 +tp6871 +a(g7 +V\u000a +p6872 +tp6873 +a(g709 +g975 +tp6874 +a(g561 +Vtd +p6875 +tp6876 +a(g7 +g960 +tp6877 +a(g670 +Vclass +p6878 +tp6879 +a(g416 +g965 +tp6880 +a(g92 +V"pplan_stueck" +p6881 +tp6882 +a(g709 +g979 +tp6883 +a(g7 +V\u000a +p6884 +tp6885 +a(g754 +V<%= +p6886 +tp6887 +a(g7 +g960 +tp6888 +a(g439 +Vcolorize +p6889 +tp6890 +a(g709 +g1825 +tp6891 +a(g439 +Vprobe +p6892 +tp6893 +a(g416 +g1091 +tp6894 +a(g439 +Vplace +p6895 +tp6896 +a(g709 +g995 +tp6897 +a(g7 +g960 +tp6898 +a(g233 +V'Ort: ' +p6899 +tp6900 +a(g709 +g1829 +tp6901 +a(g7 +g960 +tp6902 +a(g416 +g2046 +tp6903 +a(g7 +g960 +tp6904 +a(g233 +V'
    ' +p6905 +tp6906 +a(g7 +g960 +tp6907 +a(g842 +Vunless +p6908 +tp6909 +a(g7 +g960 +tp6910 +a(g439 +Vprobe +p6911 +tp6912 +a(g416 +g1091 +tp6913 +a(g439 +Vplace +p6914 +tp6915 +a(g416 +g1091 +tp6916 +a(g439 +Vblank? +p6917 +tp6918 +a(g7 +g960 +tp6919 +a(g754 +V%> +p6920 +tp6921 +a(g7 +V\u000a +p6922 +tp6923 +a(g754 +V<%= +p6924 +tp6925 +a(g7 +g960 +tp6926 +a(g439 +Vcolorize +p6927 +tp6928 +a(g7 +g960 +tp6929 +a(g439 +Vprobe +p6930 +tp6931 +a(g416 +g1091 +tp6932 +a(g439 +Vprogram +p6933 +tp6934 +a(g7 +g960 +tp6935 +a(g754 +V%> +p6936 +tp6937 +a(g7 +V\u000a +p6938 +tp6939 +a(g754 +V<%= +p6940 +tp6941 +a(g7 +g960 +tp6942 +a(g439 +Vlink_to +p6943 +tp6944 +a(g7 +g960 +tp6945 +a(g233 +V'E' +p6946 +tp6947 +a(g709 +g995 +tp6948 +a(g7 +g960 +tp6949 +a(g120 +V:controller +p6950 +tp6951 +a(g7 +g960 +tp6952 +a(g416 +g965 +tp6953 +a(g416 +g979 +tp6954 +a(g7 +g960 +tp6955 +a(g233 +V'admin/proben' +p6956 +tp6957 +a(g709 +g995 +tp6958 +a(g7 +g960 +tp6959 +a(g120 +V:action +p6960 +tp6961 +a(g7 +g960 +tp6962 +a(g416 +g965 +tp6963 +a(g416 +g979 +tp6964 +a(g7 +g960 +tp6965 +a(g120 +V:edit +p6966 +tp6967 +a(g709 +g995 +tp6968 +a(g7 +g960 +tp6969 +a(g120 +V:id +p6970 +tp6971 +a(g7 +g960 +tp6972 +a(g416 +g965 +tp6973 +a(g416 +g979 +tp6974 +a(g7 +g960 +tp6975 +a(g439 +Vprobe +p6976 +tp6977 +a(g7 +g960 +tp6978 +a(g754 +V%> +p6979 +tp6980 +a(g7 +V\u000a +p6981 +tp6982 +a(g709 +g975 +tp6983 +a(g709 +g1011 +tp6984 +a(g561 +Vtd +p6985 +tp6986 +a(g709 +g979 +tp6987 +a(g7 +V\u000a +p6988 +tp6989 +a(g709 +g975 +tp6990 +a(g561 +Vtd +p6991 +tp6992 +a(g7 +g960 +tp6993 +a(g670 +Vclass +p6994 +tp6995 +a(g416 +g965 +tp6996 +a(g92 +V"pplan_besetzung" +p6997 +tp6998 +a(g709 +g979 +tp6999 +a(g7 +V\u000a +p7000 +tp7001 +a(g754 +V<%= +p7002 +tp7003 +a(g7 +g960 +tp7004 +a(g439 +g2276 +tp7005 +a(g7 +g960 +tp7006 +a(g439 +Vprobe +p7007 +tp7008 +a(g416 +g1091 +tp7009 +a(g439 +Vinstrumentation +p7010 +tp7011 +a(g7 +g960 +tp7012 +a(g754 +V%> +p7013 +tp7014 +a(g7 +V\u000a +p7015 +tp7016 +a(g709 +g975 +tp7017 +a(g709 +g1011 +tp7018 +a(g561 +Vtd +p7019 +tp7020 +a(g709 +g979 +tp7021 +a(g7 +V\u000a +p7022 +tp7023 +a(g709 +g975 +tp7024 +a(g709 +g1011 +tp7025 +a(g561 +Vtr +p7026 +tp7027 +a(g709 +g979 +tp7028 +a(g7 +V\u000a\u000a +p7029 +tp7030 +a(g754 +V<% +p7031 +tp7032 +a(g7 +V\u000a +p7033 +tp7034 +a(g439 +Vday +p7035 +tp7036 +a(g7 +g960 +tp7037 +a(g416 +g965 +tp7038 +a(g7 +g960 +tp7039 +a(g883 +Vnil +p7040 +tp7041 +a(g7 +V\u000a +p7042 +tp7043 +a(g842 +Vend +p7044 +tp7045 +a(g7 +V \u000a +p7046 +tp7047 +a(g754 +V-%> +p7048 +tp7049 +a(g7 +V\u000a +p7050 +tp7051 +a(g709 +g975 +tp7052 +a(g561 +Vtr +p7053 +tp7054 +a(g709 +g979 +tp7055 +a(g7 +V\u000a +p7056 +tp7057 +a(g709 +g975 +tp7058 +a(g561 +Vth +p7059 +tp7060 +a(g7 +g960 +tp7061 +a(g670 +Vscope +p7062 +tp7063 +a(g416 +g965 +tp7064 +a(g92 +V"col" +p7065 +tp7066 +a(g7 +g960 +tp7067 +a(g670 +Vclass +p7068 +tp7069 +a(g416 +g965 +tp7070 +a(g92 +V"pplan_datum" +p7071 +tp7072 +a(g709 +g979 +tp7073 +a(g7 +VDatum +p7074 +tp7075 +a(g709 +g975 +tp7076 +a(g709 +g1011 +tp7077 +a(g561 +Vth +p7078 +tp7079 +a(g709 +g979 +tp7080 +a(g7 +V\u000a +p7081 +tp7082 +a(g709 +g975 +tp7083 +a(g561 +Vth +p7084 +tp7085 +a(g7 +g960 +tp7086 +a(g670 +Vscope +p7087 +tp7088 +a(g416 +g965 +tp7089 +a(g92 +V"col" +p7090 +tp7091 +a(g7 +g960 +tp7092 +a(g670 +Vclass +p7093 +tp7094 +a(g416 +g965 +tp7095 +a(g92 +V"pplan_zeit" +p7096 +tp7097 +a(g709 +g979 +tp7098 +a(g7 +VZeit +p7099 +tp7100 +a(g709 +g975 +tp7101 +a(g709 +g1011 +tp7102 +a(g561 +Vth +p7103 +tp7104 +a(g709 +g979 +tp7105 +a(g7 +V\u000a +p7106 +tp7107 +a(g709 +g975 +tp7108 +a(g561 +Vth +p7109 +tp7110 +a(g7 +g960 +tp7111 +a(g670 +Vscope +p7112 +tp7113 +a(g416 +g965 +tp7114 +a(g92 +V"col" +p7115 +tp7116 +a(g7 +g960 +tp7117 +a(g670 +Vclass +p7118 +tp7119 +a(g416 +g965 +tp7120 +a(g92 +V"pplan_stueck" +p7121 +tp7122 +a(g709 +g979 +tp7123 +a(g7 +VStücke +p7124 +tp7125 +a(g709 +g975 +tp7126 +a(g709 +g1011 +tp7127 +a(g561 +Vth +p7128 +tp7129 +a(g709 +g979 +tp7130 +a(g7 +V\u000a +p7131 +tp7132 +a(g709 +g975 +tp7133 +a(g561 +Vth +p7134 +tp7135 +a(g7 +g960 +tp7136 +a(g670 +Vscope +p7137 +tp7138 +a(g416 +g965 +tp7139 +a(g92 +V"col" +p7140 +tp7141 +a(g7 +g960 +tp7142 +a(g670 +Vclass +p7143 +tp7144 +a(g416 +g965 +tp7145 +a(g92 +V"pplan_besetzung" +p7146 +tp7147 +a(g709 +g979 +tp7148 +a(g7 +VBesetzung +p7149 +tp7150 +a(g709 +g975 +tp7151 +a(g709 +g1011 +tp7152 +a(g561 +Vth +p7153 +tp7154 +a(g709 +g979 +tp7155 +a(g7 +V\u000a +p7156 +tp7157 +a(g709 +g975 +tp7158 +a(g709 +g1011 +tp7159 +a(g561 +Vtr +p7160 +tp7161 +a(g709 +g979 +tp7162 +a(g7 +V\u000a +p7163 +tp7164 +a(g709 +g975 +tp7165 +a(g561 +Vh4 +p7166 +tp7167 +a(g709 +g979 +tp7168 +a(g7 +VProbenräume +p7169 +tp7170 +a(g709 +g975 +tp7171 +a(g709 +g1011 +tp7172 +a(g561 +Vh4 +p7173 +tp7174 +a(g709 +g979 +tp7175 +a(g7 +V\u000a +p7176 +tp7177 +a(g709 +g975 +tp7178 +a(g561 +Vtable +p7179 +tp7180 +a(g7 +g960 +tp7181 +a(g670 +Vcellspacing +p7182 +tp7183 +a(g416 +g965 +tp7184 +a(g92 +V"0" +p7185 +tp7186 +a(g7 +g960 +tp7187 +a(g670 +Vsummary +p7188 +tp7189 +a(g416 +g965 +tp7190 +a(g92 +V"Probenräume: Wer probt Wo?" +p7191 +tp7192 +a(g709 +g979 +tp7193 +a(g7 +V\u000a +p7194 +tp7195 +a(g709 +g975 +tp7196 +a(g561 +Vtr +p7197 +tp7198 +a(g709 +g979 +tp7199 +a(g7 +V\u000a +p7200 +tp7201 +a(g709 +g975 +tp7202 +a(g561 +Vth +p7203 +tp7204 +a(g7 +g960 +tp7205 +a(g670 +Vscope +p7206 +tp7207 +a(g416 +g965 +tp7208 +a(g92 +V"col" +p7209 +tp7210 +a(g7 +g960 +tp7211 +a(g670 +Vclass +p7212 +tp7213 +a(g416 +g965 +tp7214 +a(g92 +V"praum_wer" +p7215 +tp7216 +a(g709 +g979 +tp7217 +a(g7 +VWer +p7218 +tp7219 +a(g709 +g975 +tp7220 +a(g709 +g1011 +tp7221 +a(g561 +Vth +p7222 +tp7223 +a(g709 +g979 +tp7224 +a(g7 +V\u000a +p7225 +tp7226 +a(g709 +g975 +tp7227 +a(g561 +Vth +p7228 +tp7229 +a(g7 +g960 +tp7230 +a(g670 +Vscope +p7231 +tp7232 +a(g416 +g965 +tp7233 +a(g92 +V"col" +p7234 +tp7235 +a(g7 +g960 +tp7236 +a(g670 +Vclass +p7237 +tp7238 +a(g416 +g965 +tp7239 +a(g92 +V"praum_raum" +p7240 +tp7241 +a(g709 +g979 +tp7242 +a(g7 +VRaum +p7243 +tp7244 +a(g709 +g975 +tp7245 +a(g709 +g1011 +tp7246 +a(g561 +Vth +p7247 +tp7248 +a(g709 +g979 +tp7249 +a(g7 +V\u000a +p7250 +tp7251 +a(g709 +g975 +tp7252 +a(g561 +Vth +p7253 +tp7254 +a(g7 +g960 +tp7255 +a(g670 +Vscope +p7256 +tp7257 +a(g416 +g965 +tp7258 +a(g92 +V"col" +p7259 +tp7260 +a(g7 +g960 +tp7261 +a(g670 +Vclass +p7262 +tp7263 +a(g416 +g965 +tp7264 +a(g92 +V"praum_adresse" +p7265 +tp7266 +a(g709 +g979 +tp7267 +a(g7 +VAdresse +p7268 +tp7269 +a(g709 +g975 +tp7270 +a(g709 +g1011 +tp7271 +a(g561 +Vth +p7272 +tp7273 +a(g709 +g979 +tp7274 +a(g7 +V\u000a\u000a +p7275 +tp7276 +a(g709 +g975 +tp7277 +a(g709 +g1011 +tp7278 +a(g561 +Vtr +p7279 +tp7280 +a(g709 +g979 +tp7281 +a(g7 +V\u000a +p7282 +tp7283 +a(g709 +g975 +tp7284 +a(g561 +Vtr +p7285 +tp7286 +a(g709 +g979 +tp7287 +a(g7 +V\u000a +p7288 +tp7289 +a(g709 +g975 +tp7290 +a(g561 +Vtd +p7291 +tp7292 +a(g7 +g960 +tp7293 +a(g670 +Vclass +p7294 +tp7295 +a(g416 +g965 +tp7296 +a(g92 +V"praum_wer" +p7297 +tp7298 +a(g709 +g979 +tp7299 +a(g7 +VStreicher +p7300 +tp7301 +a(g709 +g975 +tp7302 +a(g709 +g1011 +tp7303 +a(g561 +Vtd +p7304 +tp7305 +a(g709 +g979 +tp7306 +a(g7 +V\u000a +p7307 +tp7308 +a(g709 +g975 +tp7309 +a(g561 +Vtd +p7310 +tp7311 +a(g7 +g960 +tp7312 +a(g670 +Vclass +p7313 +tp7314 +a(g416 +g965 +tp7315 +a(g92 +V"praum_raum" +p7316 +tp7317 +a(g709 +g979 +tp7318 +a(g7 +VSchule am Pappelhof +p7319 +tp7320 +a(g709 +g975 +tp7321 +a(g561 +Vbr +p7322 +tp7323 +a(g7 +g960 +tp7324 +a(g709 +g1011 +tp7325 +a(g709 +g979 +tp7326 +a(g7 +V(Raum Nr.) +p7327 +tp7328 +a(g709 +g975 +tp7329 +a(g709 +g1011 +tp7330 +a(g561 +Vtd +p7331 +tp7332 +a(g709 +g979 +tp7333 +a(g7 +V\u000a +p7334 +tp7335 +a(g709 +g975 +tp7336 +a(g561 +Vtd +p7337 +tp7338 +a(g7 +g960 +tp7339 +a(g670 +Vclass +p7340 +tp7341 +a(g416 +g965 +tp7342 +a(g92 +V"praum_adresse" +p7343 +tp7344 +a(g709 +g979 +tp7345 +a(g7 +V(Anschrifft Pappelhofschule) +p7346 +tp7347 +a(g709 +g975 +tp7348 +a(g709 +g1011 +tp7349 +a(g561 +Vtd +p7350 +tp7351 +a(g709 +g979 +tp7352 +a(g7 +V\u000a +p7353 +tp7354 +a(g709 +g975 +tp7355 +a(g709 +g1011 +tp7356 +a(g561 +Vtr +p7357 +tp7358 +a(g709 +g979 +tp7359 +a(g7 +V\u000a +p7360 +tp7361 +a(g709 +g975 +tp7362 +a(g561 +Vtr +p7363 +tp7364 +a(g709 +g979 +tp7365 +a(g7 +V\u000a\u000a +p7366 +tp7367 +a(g709 +g975 +tp7368 +a(g561 +Vtd +p7369 +tp7370 +a(g7 +g960 +tp7371 +a(g670 +Vclass +p7372 +tp7373 +a(g416 +g965 +tp7374 +a(g92 +V"praum_wer" +p7375 +tp7376 +a(g709 +g979 +tp7377 +a(g7 +VBlechbläser +p7378 +tp7379 +a(g709 +g975 +tp7380 +a(g709 +g1011 +tp7381 +a(g561 +Vtd +p7382 +tp7383 +a(g709 +g979 +tp7384 +a(g7 +V\u000a +p7385 +tp7386 +a(g709 +g975 +tp7387 +a(g561 +Vtd +p7388 +tp7389 +a(g7 +g960 +tp7390 +a(g670 +Vclass +p7391 +tp7392 +a(g416 +g965 +tp7393 +a(g92 +V"praum_raum" +p7394 +tp7395 +a(g709 +g979 +tp7396 +a(g7 +VMusikschule Marzahn +p7397 +tp7398 +a(g709 +g975 +tp7399 +a(g561 +Vbr +p7400 +tp7401 +a(g7 +g960 +tp7402 +a(g709 +g1011 +tp7403 +a(g709 +g979 +tp7404 +a(g7 +V(Raum Nr.) +p7405 +tp7406 +a(g709 +g975 +tp7407 +a(g709 +g1011 +tp7408 +a(g561 +Vtd +p7409 +tp7410 +a(g709 +g979 +tp7411 +a(g7 +V\u000a +p7412 +tp7413 +a(g709 +g975 +tp7414 +a(g561 +Vtd +p7415 +tp7416 +a(g7 +g960 +tp7417 +a(g670 +Vclass +p7418 +tp7419 +a(g416 +g965 +tp7420 +a(g92 +V"praum_adresse" +p7421 +tp7422 +a(g709 +g979 +tp7423 +a(g7 +V(Anschrifft Musikscule Marzahn) +p7424 +tp7425 +a(g709 +g975 +tp7426 +a(g709 +g1011 +tp7427 +a(g561 +Vtd +p7428 +tp7429 +a(g709 +g979 +tp7430 +a(g7 +V\u000a +p7431 +tp7432 +a(g709 +g975 +tp7433 +a(g709 +g1011 +tp7434 +a(g561 +Vtr +p7435 +tp7436 +a(g709 +g979 +tp7437 +a(g7 +V\u000a +p7438 +tp7439 +a(g709 +g975 +tp7440 +a(g561 +Vtr +p7441 +tp7442 +a(g709 +g979 +tp7443 +a(g7 +V\u000a +p7444 +tp7445 +a(g709 +g975 +tp7446 +a(g561 +Vtd +p7447 +tp7448 +a(g7 +g960 +tp7449 +a(g670 +Vclass +p7450 +tp7451 +a(g416 +g965 +tp7452 +a(g92 +V"praum_wer" +p7453 +tp7454 +a(g709 +g979 +tp7455 +a(g7 +VHolzbläser +p7456 +tp7457 +a(g709 +g975 +tp7458 +a(g709 +g1011 +tp7459 +a(g561 +Vtd +p7460 +tp7461 +a(g709 +g979 +tp7462 +a(g7 +V\u000a\u000a +p7463 +tp7464 +a(g709 +g975 +tp7465 +a(g561 +Vtd +p7466 +tp7467 +a(g7 +g960 +tp7468 +a(g670 +Vclass +p7469 +tp7470 +a(g416 +g965 +tp7471 +a(g92 +V"praum_raum" +p7472 +tp7473 +a(g709 +g979 +tp7474 +a(g7 +VSchule am Pappelhof +p7475 +tp7476 +a(g709 +g975 +tp7477 +a(g561 +Vbr +p7478 +tp7479 +a(g7 +g960 +tp7480 +a(g709 +g1011 +tp7481 +a(g709 +g979 +tp7482 +a(g7 +V(Raum Nr.) +p7483 +tp7484 +a(g709 +g975 +tp7485 +a(g709 +g1011 +tp7486 +a(g561 +Vtd +p7487 +tp7488 +a(g709 +g979 +tp7489 +a(g7 +V\u000a +p7490 +tp7491 +a(g709 +g975 +tp7492 +a(g561 +Vtd +p7493 +tp7494 +a(g7 +g960 +tp7495 +a(g670 +Vclass +p7496 +tp7497 +a(g416 +g965 +tp7498 +a(g92 +V"praum_adresse" +p7499 +tp7500 +a(g709 +g979 +tp7501 +a(g7 +V(Anschrifft Pappelhofschule) +p7502 +tp7503 +a(g709 +g975 +tp7504 +a(g709 +g1011 +tp7505 +a(g561 +Vtd +p7506 +tp7507 +a(g709 +g979 +tp7508 +a(g7 +V\u000a +p7509 +tp7510 +a(g709 +g975 +tp7511 +a(g709 +g1011 +tp7512 +a(g561 +Vtr +p7513 +tp7514 +a(g709 +g979 +tp7515 +a(g7 +V\u000a +p7516 +tp7517 +a(g709 +g975 +tp7518 +a(g561 +Vtr +p7519 +tp7520 +a(g709 +g979 +tp7521 +a(g7 +V\u000a +p7522 +tp7523 +a(g709 +g975 +tp7524 +a(g561 +Vtd +p7525 +tp7526 +a(g7 +g960 +tp7527 +a(g670 +Vclass +p7528 +tp7529 +a(g416 +g965 +tp7530 +a(g92 +V"praum_wer" +p7531 +tp7532 +a(g709 +g979 +tp7533 +a(g7 +V... +p7534 +tp7535 +a(g709 +g975 +tp7536 +a(g709 +g1011 +tp7537 +a(g561 +Vtd +p7538 +tp7539 +a(g709 +g979 +tp7540 +a(g7 +V\u000a +p7541 +tp7542 +a(g709 +g975 +tp7543 +a(g561 +Vtd +p7544 +tp7545 +a(g7 +g960 +tp7546 +a(g670 +Vclass +p7547 +tp7548 +a(g416 +g965 +tp7549 +a(g92 +V"praum_raum" +p7550 +tp7551 +a(g709 +g979 +tp7552 +a(g7 +V(Ort) +p7553 +tp7554 +a(g709 +g975 +tp7555 +a(g561 +Vbr +p7556 +tp7557 +a(g7 +g960 +tp7558 +a(g709 +g1011 +tp7559 +a(g709 +g979 +tp7560 +a(g7 +V(Raum Nr.) +p7561 +tp7562 +a(g709 +g975 +tp7563 +a(g709 +g1011 +tp7564 +a(g561 +Vtd +p7565 +tp7566 +a(g709 +g979 +tp7567 +a(g7 +V\u000a\u000a +p7568 +tp7569 +a(g709 +g975 +tp7570 +a(g561 +Vtd +p7571 +tp7572 +a(g7 +g960 +tp7573 +a(g670 +Vclass +p7574 +tp7575 +a(g416 +g965 +tp7576 +a(g92 +V"praum_adresse" +p7577 +tp7578 +a(g709 +g979 +tp7579 +a(g7 +V(Anschrifft) +p7580 +tp7581 +a(g709 +g975 +tp7582 +a(g709 +g1011 +tp7583 +a(g561 +Vtd +p7584 +tp7585 +a(g709 +g979 +tp7586 +a(g7 +V\u000a +p7587 +tp7588 +a(g709 +g975 +tp7589 +a(g709 +g1011 +tp7590 +a(g561 +Vtr +p7591 +tp7592 +a(g709 +g979 +tp7593 +a(g7 +V\u000a +p7594 +tp7595 +a(g709 +g975 +tp7596 +a(g709 +g1011 +tp7597 +a(g561 +Vtable +p7598 +tp7599 +a(g709 +g979 +tp7600 +a(g7 +V\u000a +p7601 +tp7602 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rkt b/tests/examplefiles/output/example.rkt new file mode 100644 index 0000000..26f5253 --- /dev/null +++ b/tests/examplefiles/output/example.rkt @@ -0,0 +1,16433 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g868 +V#lang +p958 +tp959 +a(g624 +Vracket +p960 +tp961 +a(g7 +V\u000a\u000a +p962 +tp963 +a(g709 +V( +p964 +tp965 +a(g7 +g956 +tp966 +a(g842 +Vrequire +p967 +tp968 +a(g7 +g956 +tp969 +a(g7 +V +p970 +tp971 +a(g709 +g964 +tp972 +a(g7 +g956 +tp973 +a(g842 +Vonly-in +p974 +tp975 +a(g7 +g956 +tp976 +a(g7 +g970 +tp977 +a(g439 +Vsrfi/13 +p978 +tp979 +a(g7 +g956 +tp980 +a(g7 +g970 +tp981 +a(g439 +Vstring-contains +p982 +tp983 +a(g709 +V) +p984 +tp985 +a(g709 +g984 +tp986 +a(g7 +g956 +tp987 +a(g7 +V\u000a\u000a +p988 +tp989 +a(g761 +V; Single-line comment style. +p990 +tp991 +a(g7 +V\u000a\u000a +p992 +tp993 +a(g761 +V;; Single-line comment style. +p994 +tp995 +a(g7 +V\u000a\u000a +p996 +tp997 +a(g792 +V#| +p998 +tp999 +a(g792 +V Multi-line comment style ... on one line +p1000 +tp1001 +a(g792 +V|# +p1002 +tp1003 +a(g7 +V\u000a\u000a +p1004 +tp1005 +a(g792 +V#| +p1006 +tp1007 +a(g792 +V\u000aMulti-line comment style ...\u000a +p1008 +tp1009 +a(g792 +V#| +p1010 +tp1011 +a(g792 +V# +p1012 +tp1013 +a(g792 +g1012 +tp1014 +a(g792 +g1012 +tp1015 +a(g792 +g970 +tp1016 +a(g792 +V#| +p1017 +tp1018 +a(g792 +V nested +p1019 +tp1020 +a(g792 +V|# +p1021 +tp1022 +a(g792 +V| +p1023 +tp1024 +a(g792 +g1023 +tp1025 +a(g792 +g1023 +tp1026 +a(g792 +g970 +tp1027 +a(g792 +V|# +p1028 +tp1029 +a(g792 +V\u000a... on multiple lines\u000a +p1030 +tp1031 +a(g792 +V|# +p1032 +tp1033 +a(g7 +V\u000a\u000a +p1034 +tp1035 +a(g725 +V#; +p1036 +tp1037 +a(g709 +g964 +tp1038 +a(g7 +g956 +tp1039 +a(g439 +Vs-expression +p1040 +tp1041 +a(g7 +g956 +tp1042 +a(g7 +g970 +tp1043 +a(g439 +Vcomment +p1044 +tp1045 +a(g7 +g956 +tp1046 +a(g7 +g970 +tp1047 +a(g709 +g964 +tp1048 +a(g7 +g956 +tp1049 +a(g439 +Vone +p1050 +tp1051 +a(g7 +g956 +tp1052 +a(g7 +g970 +tp1053 +a(g439 +Vline +p1054 +tp1055 +a(g709 +g984 +tp1056 +a(g709 +g984 +tp1057 +a(g7 +g956 +tp1058 +a(g7 +V\u000a\u000a +p1059 +tp1060 +a(g725 +V#; +p1061 +tp1062 +a(g7 +V\u000a +p1063 +tp1064 +a(g709 +g964 +tp1065 +a(g7 +g956 +tp1066 +a(g439 +Vs-expression +p1067 +tp1068 +a(g7 +g956 +tp1069 +a(g7 +g970 +tp1070 +a(g439 +Vcomment +p1071 +tp1072 +a(g7 +g956 +tp1073 +a(g7 +V\u000a +p1074 +tp1075 +a(g709 +g964 +tp1076 +a(g7 +g956 +tp1077 +a(g439 +Vmultiple +p1078 +tp1079 +a(g7 +g956 +tp1080 +a(g7 +g970 +tp1081 +a(g439 +Vlines +p1082 +tp1083 +a(g709 +g984 +tp1084 +a(g709 +g984 +tp1085 +a(g7 +g956 +tp1086 +a(g7 +V\u000a\u000a +p1087 +tp1088 +a(g725 +V#! shebang comment +p1089 +tp1090 +a(g7 +V\u000a\u000a +p1091 +tp1092 +a(g725 +V#!/shebang comment +p1093 +tp1094 +a(g7 +V\u000a\u000a +p1095 +tp1096 +a(g725 +V#! shebang \u005c\u000acomment +p1097 +tp1098 +a(g7 +V\u000a\u000a +p1099 +tp1100 +a(g725 +V#!/shebang \u005c\u000acomment +p1101 +tp1102 +a(g7 +V\u000a\u000a +p1103 +tp1104 +a(g761 +V;; Uncommented numbers after single-line comments +p1105 +tp1106 +a(g7 +V\u000a +p1107 +tp1108 +a(g761 +V;; NEL +p1109 +tp1110 +a(g7 +V… +p1111 +tp1112 +a(g40 +V133 +p1113 +tp1114 +a(g7 +g956 +tp1115 +a(g7 +V\u000a +p1116 +tp1117 +a(g761 +V;; LS +p1118 +tp1119 +a(g7 +V\u2028 +p1120 +tp1121 +a(g40 +V8232 +p1122 +tp1123 +a(g7 +g956 +tp1124 +a(g7 +V\u000a +p1125 +tp1126 +a(g761 +V;; PS +p1127 +tp1128 +a(g7 +V\u2029 +p1129 +tp1130 +a(g40 +V8233 +p1131 +tp1132 +a(g7 +g956 +tp1133 +a(g7 +V\u000a\u000a +p1134 +tp1135 +a(g868 +V#reader +p1136 +tp1137 +a(g7 +g970 +tp1138 +a(g120 +Vracket +p1139 +tp1140 +a(g7 +V\u000a +p1141 +tp1142 +a(g709 +g964 +tp1143 +a(g7 +g956 +tp1144 +a(g842 +Vdefine +p1145 +tp1146 +a(g7 +g956 +tp1147 +a(g709 +g964 +tp1148 +a(g7 +g956 +tp1149 +a(g439 +Va-function +p1150 +tp1151 +a(g7 +g956 +tp1152 +a(g7 +g970 +tp1153 +a(g439 +Vx +p1154 +tp1155 +a(g7 +g956 +tp1156 +a(g7 +g970 +tp1157 +a(g907 +V#:keyword +p1158 +tp1159 +a(g7 +g956 +tp1160 +a(g7 +g970 +tp1161 +a(g709 +V[ +p1162 +tp1163 +a(g7 +g956 +tp1164 +a(g439 +Vy +p1165 +tp1166 +a(g7 +g956 +tp1167 +a(g7 +g970 +tp1168 +a(g40 +V0 +p1169 +tp1170 +a(g709 +V] +p1171 +tp1172 +a(g709 +g984 +tp1173 +a(g7 +g956 +tp1174 +a(g7 +V\u000a +p1175 +tp1176 +a(g709 +g964 +tp1177 +a(g7 +g956 +tp1178 +a(g842 +Vdefine +p1179 +tp1180 +a(g7 +g956 +tp1181 +a(g7 +g970 +tp1182 +a(g439 +Vfoo0 +p1183 +tp1184 +a(g7 +g956 +tp1185 +a(g7 +g970 +tp1186 +a(g416 +V' +p1187 +tp1188 +a(g120 +Vsymbol +p1189 +tp1190 +a(g709 +g984 +tp1191 +a(g7 +g956 +tp1192 +a(g7 +g970 +tp1193 +a(g761 +V; () +p1194 +tp1195 +a(g7 +V\u000a +p1196 +tp1197 +a(g709 +g1162 +tp1198 +a(g7 +g956 +tp1199 +a(g842 +Vdefine +p1200 +tp1201 +a(g7 +g956 +tp1202 +a(g7 +g970 +tp1203 +a(g439 +Vfoo1 +p1204 +tp1205 +a(g7 +g956 +tp1206 +a(g7 +g970 +tp1207 +a(g416 +g1187 +tp1208 +a(g120 +Vsymbol +p1209 +tp1210 +a(g709 +g1171 +tp1211 +a(g7 +g956 +tp1212 +a(g7 +g970 +tp1213 +a(g761 +V; [] +p1214 +tp1215 +a(g7 +V\u000a +p1216 +tp1217 +a(g709 +V{ +p1218 +tp1219 +a(g7 +g956 +tp1220 +a(g842 +Vdefine +p1221 +tp1222 +a(g7 +g956 +tp1223 +a(g7 +g970 +tp1224 +a(g439 +Vfoo2 +p1225 +tp1226 +a(g7 +g956 +tp1227 +a(g7 +g970 +tp1228 +a(g416 +g1187 +tp1229 +a(g120 +Vsymbol +p1230 +tp1231 +a(g709 +V} +p1232 +tp1233 +a(g7 +g956 +tp1234 +a(g7 +g970 +tp1235 +a(g761 +V; {} +p1236 +tp1237 +a(g7 +V\u000a +p1238 +tp1239 +a(g709 +g964 +tp1240 +a(g7 +g956 +tp1241 +a(g842 +Vdefine +p1242 +tp1243 +a(g7 +g956 +tp1244 +a(g7 +g970 +tp1245 +a(g439 +V100-Continue +p1246 +tp1247 +a(g7 +g956 +tp1248 +a(g7 +g970 +tp1249 +a(g416 +g1187 +tp1250 +a(g120 +Vsymbol +p1251 +tp1252 +a(g709 +g984 +tp1253 +a(g7 +g956 +tp1254 +a(g7 +V\u000a +p1255 +tp1256 +a(g709 +g964 +tp1257 +a(g7 +g956 +tp1258 +a(g842 +Vand +p1259 +tp1260 +a(g7 +g956 +tp1261 +a(g7 +g970 +tp1262 +a(g709 +g964 +tp1263 +a(g7 +g956 +tp1264 +a(g685 +Vappend +p1265 +tp1266 +a(g7 +g956 +tp1267 +a(g7 +g970 +tp1268 +a(g709 +g964 +tp1269 +a(g7 +g956 +tp1270 +a(g685 +Vcar +p1271 +tp1272 +a(g7 +g956 +tp1273 +a(g416 +g1187 +tp1274 +a(g709 +g964 +tp1275 +a(g7 +g956 +tp1276 +a(g40 +V1 +p1277 +tp1278 +a(g7 +g956 +tp1279 +a(g7 +g970 +tp1280 +a(g40 +V2 +p1281 +tp1282 +a(g7 +g956 +tp1283 +a(g7 +g970 +tp1284 +a(g40 +V3 +p1285 +tp1286 +a(g709 +g984 +tp1287 +a(g709 +g984 +tp1288 +a(g709 +g984 +tp1289 +a(g709 +g984 +tp1290 +a(g7 +g956 +tp1291 +a(g7 +V\u000a +p1292 +tp1293 +a(g709 +g964 +tp1294 +a(g7 +g956 +tp1295 +a(g685 +Vregexp-match? +p1296 +tp1297 +a(g7 +g956 +tp1298 +a(g7 +g970 +tp1299 +a(g200 +V#rx"foobar" +p1300 +tp1301 +a(g7 +g956 +tp1302 +a(g7 +g970 +tp1303 +a(g160 +V" +p1304 +tp1305 +a(g160 +Vfoobar +p1306 +tp1307 +a(g160 +g1304 +tp1308 +a(g709 +g984 +tp1309 +a(g7 +g956 +tp1310 +a(g7 +V\u000a +p1311 +tp1312 +a(g709 +g964 +tp1313 +a(g7 +g956 +tp1314 +a(g685 +Vregexp-match? +p1315 +tp1316 +a(g7 +g956 +tp1317 +a(g7 +g970 +tp1318 +a(g200 +V#px"\u005c"foo\u005c\u005c(bar\u005c\u005c)?\u005c"" +p1319 +tp1320 +a(g7 +g956 +tp1321 +a(g7 +g970 +tp1322 +a(g160 +g1304 +tp1323 +a(g160 +Vfoobar +p1324 +tp1325 +a(g160 +g1304 +tp1326 +a(g709 +g984 +tp1327 +a(g7 +g956 +tp1328 +a(g7 +V\u000a +p1329 +tp1330 +a(g709 +g964 +tp1331 +a(g7 +g956 +tp1332 +a(g685 +Vregexp-match? +p1333 +tp1334 +a(g7 +g956 +tp1335 +a(g7 +g970 +tp1336 +a(g200 +V#rx#"foobar" +p1337 +tp1338 +a(g7 +g956 +tp1339 +a(g7 +g970 +tp1340 +a(g160 +g1304 +tp1341 +a(g160 +Vfoobar +p1342 +tp1343 +a(g160 +g1304 +tp1344 +a(g709 +g984 +tp1345 +a(g7 +g956 +tp1346 +a(g7 +V\u000a +p1347 +tp1348 +a(g709 +g964 +tp1349 +a(g7 +g956 +tp1350 +a(g685 +Vregexp-match? +p1351 +tp1352 +a(g7 +g956 +tp1353 +a(g7 +g970 +tp1354 +a(g200 +V#px#"foobar" +p1355 +tp1356 +a(g7 +g956 +tp1357 +a(g7 +g970 +tp1358 +a(g160 +g1304 +tp1359 +a(g160 +Vfoobar +p1360 +tp1361 +a(g160 +g1304 +tp1362 +a(g709 +g984 +tp1363 +a(g7 +g956 +tp1364 +a(g7 +V\u000a +p1365 +tp1366 +a(g709 +g964 +tp1367 +a(g7 +g956 +tp1368 +a(g842 +Vdefine +p1369 +tp1370 +a(g7 +g956 +tp1371 +a(g7 +g970 +tp1372 +a(g416 +V#cs +p1373 +tp1374 +a(g439 +Va +p1375 +tp1376 +a(g7 +g956 +tp1377 +a(g7 +g970 +tp1378 +a(g40 +g1277 +tp1379 +a(g709 +g984 +tp1380 +a(g7 +g956 +tp1381 +a(g7 +V\u000a +p1382 +tp1383 +a(g416 +V#Ci +p1384 +tp1385 +a(g7 +g970 +tp1386 +a(g709 +g964 +tp1387 +a(g7 +g956 +tp1388 +a(g842 +Vlet +p1389 +tp1390 +a(g7 +g956 +tp1391 +a(g7 +g970 +tp1392 +a(g709 +g964 +tp1393 +a(g7 +g956 +tp1394 +a(g709 +g1162 +tp1395 +a(g7 +g956 +tp1396 +a(g439 +V#%A|||b #true C\u000a\u005c|\uff44\u2002 +p1397 +tp1398 +a(g7 +g956 +tp1399 +a(g160 +g1304 +tp1400 +a(g160 +Vfoo +p1401 +tp1402 +a(g160 +g1304 +tp1403 +a(g709 +g1171 +tp1404 +a(g709 +g984 +tp1405 +a(g7 +g956 +tp1406 +a(g7 +V\u000a +p1407 +tp1408 +a(g709 +g964 +tp1409 +a(g7 +g956 +tp1410 +a(g685 +Vdisplayln +p1411 +tp1412 +a(g7 +g956 +tp1413 +a(g7 +g970 +tp1414 +a(g416 +V#cS +p1415 +tp1416 +a(g7 +g970 +tp1417 +a(g439 +V#%\u005cab\u005c #true\u005c C\u005c\u000a\u005c\u005c\uff44|| +p1418 +tp1419 +a(g709 +g984 +tp1420 +a(g709 +g984 +tp1421 +a(g7 +g956 +tp1422 +a(g7 +V\u000a +p1423 +tp1424 +a(g709 +g964 +tp1425 +a(g7 +g956 +tp1426 +a(g842 +Vfor/list +p1427 +tp1428 +a(g7 +g956 +tp1429 +a(g7 +g970 +tp1430 +a(g709 +g964 +tp1431 +a(g7 +g956 +tp1432 +a(g709 +g1162 +tp1433 +a(g7 +g956 +tp1434 +a(g439 +g1154 +tp1435 +a(g7 +g956 +tp1436 +a(g7 +g970 +tp1437 +a(g709 +g964 +tp1438 +a(g7 +g956 +tp1439 +a(g685 +Vin-list +p1440 +tp1441 +a(g7 +g956 +tp1442 +a(g7 +g970 +tp1443 +a(g709 +g964 +tp1444 +a(g7 +g956 +tp1445 +a(g685 +Vlist +p1446 +tp1447 +a(g7 +g956 +tp1448 +a(g7 +g970 +tp1449 +a(g40 +g1277 +tp1450 +a(g7 +g956 +tp1451 +a(g7 +g970 +tp1452 +a(g40 +g1281 +tp1453 +a(g7 +g956 +tp1454 +a(g7 +g970 +tp1455 +a(g709 +g964 +tp1456 +a(g7 +g956 +tp1457 +a(g685 +Vlist +p1458 +tp1459 +a(g7 +g956 +tp1460 +a(g7 +g970 +tp1461 +a(g40 +g1285 +tp1462 +a(g7 +g956 +tp1463 +a(g7 +g970 +tp1464 +a(g40 +V4 +p1465 +tp1466 +a(g709 +g984 +tp1467 +a(g709 +g984 +tp1468 +a(g709 +g984 +tp1469 +a(g709 +g1171 +tp1470 +a(g709 +g984 +tp1471 +a(g7 +g956 +tp1472 +a(g7 +V\u000a +p1473 +tp1474 +a(g709 +g964 +tp1475 +a(g7 +g956 +tp1476 +a(g842 +Vcond +p1477 +tp1478 +a(g7 +g956 +tp1479 +a(g7 +V\u000a +p1480 +tp1481 +a(g709 +g1162 +tp1482 +a(g7 +g956 +tp1483 +a(g709 +g964 +tp1484 +a(g7 +g956 +tp1485 +a(g685 +Vpair? +p1486 +tp1487 +a(g7 +g956 +tp1488 +a(g7 +g970 +tp1489 +a(g439 +g1154 +tp1490 +a(g709 +g984 +tp1491 +a(g7 +g956 +tp1492 +a(g7 +g970 +tp1493 +a(g709 +g964 +tp1494 +a(g7 +g956 +tp1495 +a(g685 +Vcar +p1496 +tp1497 +a(g7 +g956 +tp1498 +a(g7 +g970 +tp1499 +a(g439 +g1154 +tp1500 +a(g709 +g984 +tp1501 +a(g709 +g1171 +tp1502 +a(g7 +g956 +tp1503 +a(g7 +V\u000a +p1504 +tp1505 +a(g709 +g1162 +tp1506 +a(g7 +g956 +tp1507 +a(g842 +Velse +p1508 +tp1509 +a(g7 +g956 +tp1510 +a(g7 +g970 +tp1511 +a(g439 +g1154 +tp1512 +a(g709 +g1171 +tp1513 +a(g709 +g984 +tp1514 +a(g709 +g984 +tp1515 +a(g709 +g984 +tp1516 +a(g7 +g956 +tp1517 +a(g7 +V\u000a\u000a +p1518 +tp1519 +a(g761 +V;; Literals +p1520 +tp1521 +a(g7 +V\u000a +p1522 +tp1523 +a(g709 +g964 +tp1524 +a(g7 +g956 +tp1525 +a(g685 +Vvalues +p1526 +tp1527 +a(g7 +g956 +tp1528 +a(g7 +V\u000a +p1529 +tp1530 +a(g761 +V;; #b +p1531 +tp1532 +a(g7 +V\u000a +p1533 +tp1534 +a(g269 +V#b1 +p1535 +tp1536 +a(g7 +g956 +tp1537 +a(g7 +V\u000a +p1538 +tp1539 +a(g269 +V#b+1 +p1540 +tp1541 +a(g7 +g956 +tp1542 +a(g7 +V\u000a +p1543 +tp1544 +a(g269 +V#b-1 +p1545 +tp1546 +a(g7 +g956 +tp1547 +a(g7 +V\u000a +p1548 +tp1549 +a(g269 +V#b.1 +p1550 +tp1551 +a(g7 +g956 +tp1552 +a(g7 +V\u000a +p1553 +tp1554 +a(g269 +V#b1. +p1555 +tp1556 +a(g7 +g956 +tp1557 +a(g7 +V\u000a +p1558 +tp1559 +a(g269 +V#b0.1 +p1560 +tp1561 +a(g7 +g956 +tp1562 +a(g7 +V\u000a +p1563 +tp1564 +a(g269 +V#b+0.1 +p1565 +tp1566 +a(g7 +g956 +tp1567 +a(g7 +V\u000a +p1568 +tp1569 +a(g269 +V#b-0.1 +p1570 +tp1571 +a(g7 +g956 +tp1572 +a(g7 +V\u000a +p1573 +tp1574 +a(g269 +V#b1/10 +p1575 +tp1576 +a(g7 +g956 +tp1577 +a(g7 +V\u000a +p1578 +tp1579 +a(g269 +V#b+1/10 +p1580 +tp1581 +a(g7 +g956 +tp1582 +a(g7 +V\u000a +p1583 +tp1584 +a(g269 +V#b-1/10 +p1585 +tp1586 +a(g7 +g956 +tp1587 +a(g7 +V\u000a +p1588 +tp1589 +a(g269 +V#b1e11 +p1590 +tp1591 +a(g7 +g956 +tp1592 +a(g7 +V\u000a +p1593 +tp1594 +a(g269 +V#b+1e11 +p1595 +tp1596 +a(g7 +g956 +tp1597 +a(g7 +V\u000a +p1598 +tp1599 +a(g269 +V#b-1e11 +p1600 +tp1601 +a(g7 +g956 +tp1602 +a(g7 +V\u000a +p1603 +tp1604 +a(g269 +V#b.1e11 +p1605 +tp1606 +a(g7 +g956 +tp1607 +a(g7 +V\u000a +p1608 +tp1609 +a(g269 +V#b1.e11 +p1610 +tp1611 +a(g7 +g956 +tp1612 +a(g7 +V\u000a +p1613 +tp1614 +a(g269 +V#b0.1e11 +p1615 +tp1616 +a(g7 +g956 +tp1617 +a(g7 +V\u000a +p1618 +tp1619 +a(g269 +V#b+0.1e11 +p1620 +tp1621 +a(g7 +g956 +tp1622 +a(g7 +V\u000a +p1623 +tp1624 +a(g269 +V#b-0.1e11 +p1625 +tp1626 +a(g7 +g956 +tp1627 +a(g7 +V\u000a +p1628 +tp1629 +a(g269 +V#b1/10e11 +p1630 +tp1631 +a(g7 +g956 +tp1632 +a(g7 +V\u000a +p1633 +tp1634 +a(g269 +V#b+1/10e11 +p1635 +tp1636 +a(g7 +g956 +tp1637 +a(g7 +V\u000a +p1638 +tp1639 +a(g269 +V#b-1/10e11 +p1640 +tp1641 +a(g7 +g956 +tp1642 +a(g7 +V\u000a +p1643 +tp1644 +a(g269 +V#b+i +p1645 +tp1646 +a(g7 +g956 +tp1647 +a(g7 +V\u000a +p1648 +tp1649 +a(g269 +V#b1+i +p1650 +tp1651 +a(g7 +g956 +tp1652 +a(g7 +V\u000a +p1653 +tp1654 +a(g269 +V#b+1+i +p1655 +tp1656 +a(g7 +g956 +tp1657 +a(g7 +V\u000a +p1658 +tp1659 +a(g269 +V#b-1+i +p1660 +tp1661 +a(g7 +g956 +tp1662 +a(g7 +V\u000a +p1663 +tp1664 +a(g269 +V#b.1+i +p1665 +tp1666 +a(g7 +g956 +tp1667 +a(g7 +V\u000a +p1668 +tp1669 +a(g269 +V#b1.+i +p1670 +tp1671 +a(g7 +g956 +tp1672 +a(g7 +V\u000a +p1673 +tp1674 +a(g269 +V#b0.1+i +p1675 +tp1676 +a(g7 +g956 +tp1677 +a(g7 +V\u000a +p1678 +tp1679 +a(g269 +V#b+0.1+i +p1680 +tp1681 +a(g7 +g956 +tp1682 +a(g7 +V\u000a +p1683 +tp1684 +a(g269 +V#b-0.1+i +p1685 +tp1686 +a(g7 +g956 +tp1687 +a(g7 +V\u000a +p1688 +tp1689 +a(g269 +V#b1/10+i +p1690 +tp1691 +a(g7 +g956 +tp1692 +a(g7 +V\u000a +p1693 +tp1694 +a(g269 +V#b+1/10+i +p1695 +tp1696 +a(g7 +g956 +tp1697 +a(g7 +V\u000a +p1698 +tp1699 +a(g269 +V#b-1/10+i +p1700 +tp1701 +a(g7 +g956 +tp1702 +a(g7 +V\u000a +p1703 +tp1704 +a(g269 +V#b1e11+i +p1705 +tp1706 +a(g7 +g956 +tp1707 +a(g7 +V\u000a +p1708 +tp1709 +a(g269 +V#b+1e11+i +p1710 +tp1711 +a(g7 +g956 +tp1712 +a(g7 +V\u000a +p1713 +tp1714 +a(g269 +V#b-1e11+i +p1715 +tp1716 +a(g7 +g956 +tp1717 +a(g7 +V\u000a +p1718 +tp1719 +a(g269 +V#b1.e11+i +p1720 +tp1721 +a(g7 +g956 +tp1722 +a(g7 +V\u000a +p1723 +tp1724 +a(g269 +V#b.1e11+i +p1725 +tp1726 +a(g7 +g956 +tp1727 +a(g7 +V\u000a +p1728 +tp1729 +a(g269 +V#b0.1e11+i +p1730 +tp1731 +a(g7 +g956 +tp1732 +a(g7 +V\u000a +p1733 +tp1734 +a(g269 +V#b+0.1e11+i +p1735 +tp1736 +a(g7 +g956 +tp1737 +a(g7 +V\u000a +p1738 +tp1739 +a(g269 +V#b-0.1e11+i +p1740 +tp1741 +a(g7 +g956 +tp1742 +a(g7 +V\u000a +p1743 +tp1744 +a(g269 +V#b1/10e11+i +p1745 +tp1746 +a(g7 +g956 +tp1747 +a(g7 +V\u000a +p1748 +tp1749 +a(g269 +V#b+1/10e11+i +p1750 +tp1751 +a(g7 +g956 +tp1752 +a(g7 +V\u000a +p1753 +tp1754 +a(g269 +V#b-1/10e11+i +p1755 +tp1756 +a(g7 +g956 +tp1757 +a(g7 +V\u000a +p1758 +tp1759 +a(g269 +V#b+1i +p1760 +tp1761 +a(g7 +g956 +tp1762 +a(g7 +V\u000a +p1763 +tp1764 +a(g269 +V#b1+1i +p1765 +tp1766 +a(g7 +g956 +tp1767 +a(g7 +V\u000a +p1768 +tp1769 +a(g269 +V#b+1+1i +p1770 +tp1771 +a(g7 +g956 +tp1772 +a(g7 +V\u000a +p1773 +tp1774 +a(g269 +V#b-1+1i +p1775 +tp1776 +a(g7 +g956 +tp1777 +a(g7 +V\u000a +p1778 +tp1779 +a(g269 +V#b1.+1i +p1780 +tp1781 +a(g7 +g956 +tp1782 +a(g7 +V\u000a +p1783 +tp1784 +a(g269 +V#b.1+1i +p1785 +tp1786 +a(g7 +g956 +tp1787 +a(g7 +V\u000a +p1788 +tp1789 +a(g269 +V#b0.1+1i +p1790 +tp1791 +a(g7 +g956 +tp1792 +a(g7 +V\u000a +p1793 +tp1794 +a(g269 +V#b+0.1+1i +p1795 +tp1796 +a(g7 +g956 +tp1797 +a(g7 +V\u000a +p1798 +tp1799 +a(g269 +V#b-0.1+1i +p1800 +tp1801 +a(g7 +g956 +tp1802 +a(g7 +V\u000a +p1803 +tp1804 +a(g269 +V#b1/10+1i +p1805 +tp1806 +a(g7 +g956 +tp1807 +a(g7 +V\u000a +p1808 +tp1809 +a(g269 +V#b+1/10+1i +p1810 +tp1811 +a(g7 +g956 +tp1812 +a(g7 +V\u000a +p1813 +tp1814 +a(g269 +V#b-1/10+1i +p1815 +tp1816 +a(g7 +g956 +tp1817 +a(g7 +V\u000a +p1818 +tp1819 +a(g269 +V#b1e11+1i +p1820 +tp1821 +a(g7 +g956 +tp1822 +a(g7 +V\u000a +p1823 +tp1824 +a(g269 +V#b+1e11+1i +p1825 +tp1826 +a(g7 +g956 +tp1827 +a(g7 +V\u000a +p1828 +tp1829 +a(g269 +V#b-1e11+1i +p1830 +tp1831 +a(g7 +g956 +tp1832 +a(g7 +V\u000a +p1833 +tp1834 +a(g269 +V#b.1e11+1i +p1835 +tp1836 +a(g7 +g956 +tp1837 +a(g7 +V\u000a +p1838 +tp1839 +a(g269 +V#b0.1e11+1i +p1840 +tp1841 +a(g7 +g956 +tp1842 +a(g7 +V\u000a +p1843 +tp1844 +a(g269 +V#b+0.1e11+1i +p1845 +tp1846 +a(g7 +g956 +tp1847 +a(g7 +V\u000a +p1848 +tp1849 +a(g269 +V#b-0.1e11+1i +p1850 +tp1851 +a(g7 +g956 +tp1852 +a(g7 +V\u000a +p1853 +tp1854 +a(g269 +V#b1/10e11+1i +p1855 +tp1856 +a(g7 +g956 +tp1857 +a(g7 +V\u000a +p1858 +tp1859 +a(g269 +V#b+1/10e11+1i +p1860 +tp1861 +a(g7 +g956 +tp1862 +a(g7 +V\u000a +p1863 +tp1864 +a(g269 +V#b-1/10e11+1i +p1865 +tp1866 +a(g7 +g956 +tp1867 +a(g7 +V\u000a +p1868 +tp1869 +a(g269 +V#b+1/10e11i +p1870 +tp1871 +a(g7 +g956 +tp1872 +a(g7 +V\u000a +p1873 +tp1874 +a(g269 +V#b1+1/10e11i +p1875 +tp1876 +a(g7 +g956 +tp1877 +a(g7 +V\u000a +p1878 +tp1879 +a(g269 +V#b+1+1/10e11i +p1880 +tp1881 +a(g7 +g956 +tp1882 +a(g7 +V\u000a +p1883 +tp1884 +a(g269 +V#b-1+1/10e11i +p1885 +tp1886 +a(g7 +g956 +tp1887 +a(g7 +V\u000a +p1888 +tp1889 +a(g269 +V#b.1+1/10e11i +p1890 +tp1891 +a(g7 +g956 +tp1892 +a(g7 +V\u000a +p1893 +tp1894 +a(g269 +V#b0.1+1/10e11i +p1895 +tp1896 +a(g7 +g956 +tp1897 +a(g7 +V\u000a +p1898 +tp1899 +a(g269 +V#b+0.1+1/10e11i +p1900 +tp1901 +a(g7 +g956 +tp1902 +a(g7 +V\u000a +p1903 +tp1904 +a(g269 +V#b-0.1+1/10e11i +p1905 +tp1906 +a(g7 +g956 +tp1907 +a(g7 +V\u000a +p1908 +tp1909 +a(g269 +V#b1/10+1/10e11i +p1910 +tp1911 +a(g7 +g956 +tp1912 +a(g7 +V\u000a +p1913 +tp1914 +a(g269 +V#b+1/10+1/10e11i +p1915 +tp1916 +a(g7 +g956 +tp1917 +a(g7 +V\u000a +p1918 +tp1919 +a(g269 +V#b-1/10+1/10e11i +p1920 +tp1921 +a(g7 +g956 +tp1922 +a(g7 +V\u000a +p1923 +tp1924 +a(g269 +V#b1e11+1/10e11i +p1925 +tp1926 +a(g7 +g956 +tp1927 +a(g7 +V\u000a +p1928 +tp1929 +a(g269 +V#b+1e11+1/10e11i +p1930 +tp1931 +a(g7 +g956 +tp1932 +a(g7 +V\u000a +p1933 +tp1934 +a(g269 +V#b-1e11+1/10e11i +p1935 +tp1936 +a(g7 +g956 +tp1937 +a(g7 +V\u000a +p1938 +tp1939 +a(g269 +V#b.1e11+1/10e11i +p1940 +tp1941 +a(g7 +g956 +tp1942 +a(g7 +V\u000a +p1943 +tp1944 +a(g269 +V#b0.1e11+1/10e11i +p1945 +tp1946 +a(g7 +g956 +tp1947 +a(g7 +V\u000a +p1948 +tp1949 +a(g269 +V#b+0.1e11+1/10e11i +p1950 +tp1951 +a(g7 +g956 +tp1952 +a(g7 +V\u000a +p1953 +tp1954 +a(g269 +V#b-0.1e11+1/10e11i +p1955 +tp1956 +a(g7 +g956 +tp1957 +a(g7 +V\u000a +p1958 +tp1959 +a(g269 +V#b1/10e11+1/10e11i +p1960 +tp1961 +a(g7 +g956 +tp1962 +a(g7 +V\u000a +p1963 +tp1964 +a(g269 +V#b+1/10e11+1/10e11i +p1965 +tp1966 +a(g7 +g956 +tp1967 +a(g7 +V\u000a +p1968 +tp1969 +a(g269 +V#b-1/10e11+1/10e11i +p1970 +tp1971 +a(g7 +g956 +tp1972 +a(g7 +V\u000a +p1973 +tp1974 +a(g761 +V;; #d +p1975 +tp1976 +a(g7 +V\u000a +p1977 +tp1978 +a(g40 +V#d1 +p1979 +tp1980 +a(g7 +g956 +tp1981 +a(g7 +V\u000a +p1982 +tp1983 +a(g40 +V#d+1 +p1984 +tp1985 +a(g7 +g956 +tp1986 +a(g7 +V\u000a +p1987 +tp1988 +a(g40 +V#d-1 +p1989 +tp1990 +a(g7 +g956 +tp1991 +a(g7 +V\u000a +p1992 +tp1993 +a(g318 +V#d.1 +p1994 +tp1995 +a(g7 +g956 +tp1996 +a(g7 +V\u000a +p1997 +tp1998 +a(g318 +V#d1. +p1999 +tp2000 +a(g7 +g956 +tp2001 +a(g7 +V\u000a +p2002 +tp2003 +a(g318 +V#d1.2 +p2004 +tp2005 +a(g7 +g956 +tp2006 +a(g7 +V\u000a +p2007 +tp2008 +a(g318 +V#d+1.2 +p2009 +tp2010 +a(g7 +g956 +tp2011 +a(g7 +V\u000a +p2012 +tp2013 +a(g318 +V#d-1.2 +p2014 +tp2015 +a(g7 +g956 +tp2016 +a(g7 +V\u000a +p2017 +tp2018 +a(g35 +V#d1/2 +p2019 +tp2020 +a(g7 +g956 +tp2021 +a(g7 +V\u000a +p2022 +tp2023 +a(g35 +V#d+1/2 +p2024 +tp2025 +a(g7 +g956 +tp2026 +a(g7 +V\u000a +p2027 +tp2028 +a(g35 +V#d-1/2 +p2029 +tp2030 +a(g7 +g956 +tp2031 +a(g7 +V\u000a +p2032 +tp2033 +a(g318 +V#d1e3 +p2034 +tp2035 +a(g7 +g956 +tp2036 +a(g7 +V\u000a +p2037 +tp2038 +a(g318 +V#d+1e3 +p2039 +tp2040 +a(g7 +g956 +tp2041 +a(g7 +V\u000a +p2042 +tp2043 +a(g318 +V#d-1e3 +p2044 +tp2045 +a(g7 +g956 +tp2046 +a(g7 +V\u000a +p2047 +tp2048 +a(g318 +V#d.1e3 +p2049 +tp2050 +a(g7 +g956 +tp2051 +a(g7 +V\u000a +p2052 +tp2053 +a(g318 +V#d1.e3 +p2054 +tp2055 +a(g7 +g956 +tp2056 +a(g7 +V\u000a +p2057 +tp2058 +a(g318 +V#d1.2e3 +p2059 +tp2060 +a(g7 +g956 +tp2061 +a(g7 +V\u000a +p2062 +tp2063 +a(g318 +V#d+1.2e3 +p2064 +tp2065 +a(g7 +g956 +tp2066 +a(g7 +V\u000a +p2067 +tp2068 +a(g318 +V#d-1.2e3 +p2069 +tp2070 +a(g7 +g956 +tp2071 +a(g7 +V\u000a +p2072 +tp2073 +a(g35 +V#d1/2e3 +p2074 +tp2075 +a(g7 +g956 +tp2076 +a(g7 +V\u000a +p2077 +tp2078 +a(g35 +V#d+1/2e3 +p2079 +tp2080 +a(g7 +g956 +tp2081 +a(g7 +V\u000a +p2082 +tp2083 +a(g35 +V#d-1/2e3 +p2084 +tp2085 +a(g7 +g956 +tp2086 +a(g7 +V\u000a +p2087 +tp2088 +a(g35 +V#d+i +p2089 +tp2090 +a(g7 +g956 +tp2091 +a(g7 +V\u000a +p2092 +tp2093 +a(g35 +V#d1+i +p2094 +tp2095 +a(g7 +g956 +tp2096 +a(g7 +V\u000a +p2097 +tp2098 +a(g35 +V#d+1+i +p2099 +tp2100 +a(g7 +g956 +tp2101 +a(g7 +V\u000a +p2102 +tp2103 +a(g35 +V#d-1+i +p2104 +tp2105 +a(g7 +g956 +tp2106 +a(g7 +V\u000a +p2107 +tp2108 +a(g35 +V#d.1+i +p2109 +tp2110 +a(g7 +g956 +tp2111 +a(g7 +V\u000a +p2112 +tp2113 +a(g35 +V#d1.+i +p2114 +tp2115 +a(g7 +g956 +tp2116 +a(g7 +V\u000a +p2117 +tp2118 +a(g35 +V#d1.2+i +p2119 +tp2120 +a(g7 +g956 +tp2121 +a(g7 +V\u000a +p2122 +tp2123 +a(g35 +V#d+1.2+i +p2124 +tp2125 +a(g7 +g956 +tp2126 +a(g7 +V\u000a +p2127 +tp2128 +a(g35 +V#d-1.2+i +p2129 +tp2130 +a(g7 +g956 +tp2131 +a(g7 +V\u000a +p2132 +tp2133 +a(g35 +V#d1/2+i +p2134 +tp2135 +a(g7 +g956 +tp2136 +a(g7 +V\u000a +p2137 +tp2138 +a(g35 +V#d+1/2+i +p2139 +tp2140 +a(g7 +g956 +tp2141 +a(g7 +V\u000a +p2142 +tp2143 +a(g35 +V#d-1/2+i +p2144 +tp2145 +a(g7 +g956 +tp2146 +a(g7 +V\u000a +p2147 +tp2148 +a(g35 +V#d1e3+i +p2149 +tp2150 +a(g7 +g956 +tp2151 +a(g7 +V\u000a +p2152 +tp2153 +a(g35 +V#d+1e3+i +p2154 +tp2155 +a(g7 +g956 +tp2156 +a(g7 +V\u000a +p2157 +tp2158 +a(g35 +V#d-1e3+i +p2159 +tp2160 +a(g7 +g956 +tp2161 +a(g7 +V\u000a +p2162 +tp2163 +a(g35 +V#d1.e3+i +p2164 +tp2165 +a(g7 +g956 +tp2166 +a(g7 +V\u000a +p2167 +tp2168 +a(g35 +V#d.1e3+i +p2169 +tp2170 +a(g7 +g956 +tp2171 +a(g7 +V\u000a +p2172 +tp2173 +a(g35 +V#d1.2e3+i +p2174 +tp2175 +a(g7 +g956 +tp2176 +a(g7 +V\u000a +p2177 +tp2178 +a(g35 +V#d+1.2e3+i +p2179 +tp2180 +a(g7 +g956 +tp2181 +a(g7 +V\u000a +p2182 +tp2183 +a(g35 +V#d-1.2e3+i +p2184 +tp2185 +a(g7 +g956 +tp2186 +a(g7 +V\u000a +p2187 +tp2188 +a(g35 +V#d1/2e3+i +p2189 +tp2190 +a(g7 +g956 +tp2191 +a(g7 +V\u000a +p2192 +tp2193 +a(g35 +V#d+1/2e3+i +p2194 +tp2195 +a(g7 +g956 +tp2196 +a(g7 +V\u000a +p2197 +tp2198 +a(g35 +V#d-1/2e3+i +p2199 +tp2200 +a(g7 +g956 +tp2201 +a(g7 +V\u000a +p2202 +tp2203 +a(g35 +V#d+1i +p2204 +tp2205 +a(g7 +g956 +tp2206 +a(g7 +V\u000a +p2207 +tp2208 +a(g35 +V#d1+1i +p2209 +tp2210 +a(g7 +g956 +tp2211 +a(g7 +V\u000a +p2212 +tp2213 +a(g35 +V#d+1+1i +p2214 +tp2215 +a(g7 +g956 +tp2216 +a(g7 +V\u000a +p2217 +tp2218 +a(g35 +V#d-1+1i +p2219 +tp2220 +a(g7 +g956 +tp2221 +a(g7 +V\u000a +p2222 +tp2223 +a(g35 +V#d1.+1i +p2224 +tp2225 +a(g7 +g956 +tp2226 +a(g7 +V\u000a +p2227 +tp2228 +a(g35 +V#d.1+1i +p2229 +tp2230 +a(g7 +g956 +tp2231 +a(g7 +V\u000a +p2232 +tp2233 +a(g35 +V#d1.2+1i +p2234 +tp2235 +a(g7 +g956 +tp2236 +a(g7 +V\u000a +p2237 +tp2238 +a(g35 +V#d+1.2+1i +p2239 +tp2240 +a(g7 +g956 +tp2241 +a(g7 +V\u000a +p2242 +tp2243 +a(g35 +V#d-1.2+1i +p2244 +tp2245 +a(g7 +g956 +tp2246 +a(g7 +V\u000a +p2247 +tp2248 +a(g35 +V#d1/2+1i +p2249 +tp2250 +a(g7 +g956 +tp2251 +a(g7 +V\u000a +p2252 +tp2253 +a(g35 +V#d+1/2+1i +p2254 +tp2255 +a(g7 +g956 +tp2256 +a(g7 +V\u000a +p2257 +tp2258 +a(g35 +V#d-1/2+1i +p2259 +tp2260 +a(g7 +g956 +tp2261 +a(g7 +V\u000a +p2262 +tp2263 +a(g35 +V#d1e3+1i +p2264 +tp2265 +a(g7 +g956 +tp2266 +a(g7 +V\u000a +p2267 +tp2268 +a(g35 +V#d+1e3+1i +p2269 +tp2270 +a(g7 +g956 +tp2271 +a(g7 +V\u000a +p2272 +tp2273 +a(g35 +V#d-1e3+1i +p2274 +tp2275 +a(g7 +g956 +tp2276 +a(g7 +V\u000a +p2277 +tp2278 +a(g35 +V#d.1e3+1i +p2279 +tp2280 +a(g7 +g956 +tp2281 +a(g7 +V\u000a +p2282 +tp2283 +a(g35 +V#d1.2e3+1i +p2284 +tp2285 +a(g7 +g956 +tp2286 +a(g7 +V\u000a +p2287 +tp2288 +a(g35 +V#d+1.2e3+1i +p2289 +tp2290 +a(g7 +g956 +tp2291 +a(g7 +V\u000a +p2292 +tp2293 +a(g35 +V#d-1.2e3+1i +p2294 +tp2295 +a(g7 +g956 +tp2296 +a(g7 +V\u000a +p2297 +tp2298 +a(g35 +V#d1/2e3+1i +p2299 +tp2300 +a(g7 +g956 +tp2301 +a(g7 +V\u000a +p2302 +tp2303 +a(g35 +V#d+1/2e3+1i +p2304 +tp2305 +a(g7 +g956 +tp2306 +a(g7 +V\u000a +p2307 +tp2308 +a(g35 +V#d-1/2e3+1i +p2309 +tp2310 +a(g7 +g956 +tp2311 +a(g7 +V\u000a +p2312 +tp2313 +a(g35 +V#d+1/2e3i +p2314 +tp2315 +a(g7 +g956 +tp2316 +a(g7 +V\u000a +p2317 +tp2318 +a(g35 +V#d1+1/2e3i +p2319 +tp2320 +a(g7 +g956 +tp2321 +a(g7 +V\u000a +p2322 +tp2323 +a(g35 +V#d+1+1/2e3i +p2324 +tp2325 +a(g7 +g956 +tp2326 +a(g7 +V\u000a +p2327 +tp2328 +a(g35 +V#d-1+1/2e3i +p2329 +tp2330 +a(g7 +g956 +tp2331 +a(g7 +V\u000a +p2332 +tp2333 +a(g35 +V#d.1+1/2e3i +p2334 +tp2335 +a(g7 +g956 +tp2336 +a(g7 +V\u000a +p2337 +tp2338 +a(g35 +V#d1.2+1/2e3i +p2339 +tp2340 +a(g7 +g956 +tp2341 +a(g7 +V\u000a +p2342 +tp2343 +a(g35 +V#d+1.2+1/2e3i +p2344 +tp2345 +a(g7 +g956 +tp2346 +a(g7 +V\u000a +p2347 +tp2348 +a(g35 +V#d-1.2+1/2e3i +p2349 +tp2350 +a(g7 +g956 +tp2351 +a(g7 +V\u000a +p2352 +tp2353 +a(g35 +V#d1/2+1/2e3i +p2354 +tp2355 +a(g7 +g956 +tp2356 +a(g7 +V\u000a +p2357 +tp2358 +a(g35 +V#d+1/2+1/2e3i +p2359 +tp2360 +a(g7 +g956 +tp2361 +a(g7 +V\u000a +p2362 +tp2363 +a(g35 +V#d-1/2+1/2e3i +p2364 +tp2365 +a(g7 +g956 +tp2366 +a(g7 +V\u000a +p2367 +tp2368 +a(g35 +V#d1e3+1/2e3i +p2369 +tp2370 +a(g7 +g956 +tp2371 +a(g7 +V\u000a +p2372 +tp2373 +a(g35 +V#d+1e3+1/2e3i +p2374 +tp2375 +a(g7 +g956 +tp2376 +a(g7 +V\u000a +p2377 +tp2378 +a(g35 +V#d-1e3+1/2e3i +p2379 +tp2380 +a(g7 +g956 +tp2381 +a(g7 +V\u000a +p2382 +tp2383 +a(g35 +V#d.1e3+1/2e3i +p2384 +tp2385 +a(g7 +g956 +tp2386 +a(g7 +V\u000a +p2387 +tp2388 +a(g35 +V#d1.2e3+1/2e3i +p2389 +tp2390 +a(g7 +g956 +tp2391 +a(g7 +V\u000a +p2392 +tp2393 +a(g35 +V#d+1.2e3+1/2e3i +p2394 +tp2395 +a(g7 +g956 +tp2396 +a(g7 +V\u000a +p2397 +tp2398 +a(g35 +V#d-1.2e3+1/2e3i +p2399 +tp2400 +a(g7 +g956 +tp2401 +a(g7 +V\u000a +p2402 +tp2403 +a(g35 +V#d1/2e3+1/2e3i +p2404 +tp2405 +a(g7 +g956 +tp2406 +a(g7 +V\u000a +p2407 +tp2408 +a(g35 +V#d+1/2e3+1/2e3i +p2409 +tp2410 +a(g7 +g956 +tp2411 +a(g7 +V\u000a +p2412 +tp2413 +a(g35 +V#d-1/2e3+1/2e3i +p2414 +tp2415 +a(g7 +g956 +tp2416 +a(g7 +V\u000a +p2417 +tp2418 +a(g761 +V;; Extflonums +p2419 +tp2420 +a(g7 +V\u000a +p2421 +tp2422 +a(g318 +V+nan.t +p2423 +tp2424 +a(g7 +g956 +tp2425 +a(g7 +V\u000a +p2426 +tp2427 +a(g318 +V1t3 +p2428 +tp2429 +a(g7 +g956 +tp2430 +a(g7 +V\u000a +p2431 +tp2432 +a(g318 +V+1t3 +p2433 +tp2434 +a(g7 +g956 +tp2435 +a(g7 +V\u000a +p2436 +tp2437 +a(g318 +V-1t3 +p2438 +tp2439 +a(g7 +g956 +tp2440 +a(g7 +V\u000a +p2441 +tp2442 +a(g318 +V.1t3 +p2443 +tp2444 +a(g7 +g956 +tp2445 +a(g7 +V\u000a +p2446 +tp2447 +a(g318 +V1.t3 +p2448 +tp2449 +a(g7 +g956 +tp2450 +a(g7 +V\u000a +p2451 +tp2452 +a(g318 +V1.2t3 +p2453 +tp2454 +a(g7 +g956 +tp2455 +a(g7 +V\u000a +p2456 +tp2457 +a(g318 +V+1.2t3 +p2458 +tp2459 +a(g7 +g956 +tp2460 +a(g7 +V\u000a +p2461 +tp2462 +a(g318 +V-1.2t3 +p2463 +tp2464 +a(g7 +g956 +tp2465 +a(g7 +V\u000a +p2466 +tp2467 +a(g318 +V1/2t3 +p2468 +tp2469 +a(g7 +g956 +tp2470 +a(g7 +V\u000a +p2471 +tp2472 +a(g318 +V+1/2t3 +p2473 +tp2474 +a(g7 +g956 +tp2475 +a(g7 +V\u000a +p2476 +tp2477 +a(g318 +V-1/2t3 +p2478 +tp2479 +a(g7 +g956 +tp2480 +a(g7 +V\u000a +p2481 +tp2482 +a(g318 +V1#t0 +p2483 +tp2484 +a(g7 +g956 +tp2485 +a(g7 +V\u000a +p2486 +tp2487 +a(g318 +V1.#t0 +p2488 +tp2489 +a(g7 +g956 +tp2490 +a(g7 +V\u000a +p2491 +tp2492 +a(g318 +V.2#t0 +p2493 +tp2494 +a(g7 +g956 +tp2495 +a(g7 +V\u000a +p2496 +tp2497 +a(g318 +V1.2#t0 +p2498 +tp2499 +a(g7 +g956 +tp2500 +a(g7 +V\u000a +p2501 +tp2502 +a(g318 +V1#/2t0 +p2503 +tp2504 +a(g7 +g956 +tp2505 +a(g7 +V\u000a +p2506 +tp2507 +a(g318 +V1/2#t0 +p2508 +tp2509 +a(g7 +g956 +tp2510 +a(g7 +V\u000a +p2511 +tp2512 +a(g318 +V1#/2#t0 +p2513 +tp2514 +a(g7 +g956 +tp2515 +a(g7 +V\u000a +p2516 +tp2517 +a(g318 +V1#t3 +p2518 +tp2519 +a(g7 +g956 +tp2520 +a(g7 +V\u000a +p2521 +tp2522 +a(g318 +V1.#t3 +p2523 +tp2524 +a(g7 +g956 +tp2525 +a(g7 +V\u000a +p2526 +tp2527 +a(g318 +V.2#t3 +p2528 +tp2529 +a(g7 +g956 +tp2530 +a(g7 +V\u000a +p2531 +tp2532 +a(g318 +V1.2#t3 +p2533 +tp2534 +a(g7 +g956 +tp2535 +a(g7 +V\u000a +p2536 +tp2537 +a(g318 +V1#/2t3 +p2538 +tp2539 +a(g7 +g956 +tp2540 +a(g7 +V\u000a +p2541 +tp2542 +a(g318 +V1/2#t3 +p2543 +tp2544 +a(g7 +g956 +tp2545 +a(g7 +V\u000a +p2546 +tp2547 +a(g318 +V1#/2#t3 +p2548 +tp2549 +a(g7 +g956 +tp2550 +a(g7 +V\u000a +p2551 +tp2552 +a(g761 +V;; No # reader prefix -- same as #d +p2553 +tp2554 +a(g7 +V\u000a +p2555 +tp2556 +a(g318 +V-1.23 +p2557 +tp2558 +a(g7 +g956 +tp2559 +a(g7 +V\u000a +p2560 +tp2561 +a(g318 +V1.123 +p2562 +tp2563 +a(g7 +g956 +tp2564 +a(g7 +V\u000a +p2565 +tp2566 +a(g318 +V1e3 +p2567 +tp2568 +a(g7 +g956 +tp2569 +a(g7 +V\u000a +p2570 +tp2571 +a(g318 +V1e-22 +p2572 +tp2573 +a(g7 +g956 +tp2574 +a(g7 +V\u000a +p2575 +tp2576 +a(g35 +V1/2 +p2577 +tp2578 +a(g7 +g956 +tp2579 +a(g7 +V\u000a +p2580 +tp2581 +a(g35 +V-1/2 +p2582 +tp2583 +a(g7 +g956 +tp2584 +a(g7 +V\u000a +p2585 +tp2586 +a(g40 +g1277 +tp2587 +a(g7 +g956 +tp2588 +a(g7 +V\u000a +p2589 +tp2590 +a(g40 +V-1 +p2591 +tp2592 +a(g7 +g956 +tp2593 +a(g7 +V\u000a +p2594 +tp2595 +a(g761 +V;; #e +p2596 +tp2597 +a(g7 +V\u000a +p2598 +tp2599 +a(g318 +V#e-1.23 +p2600 +tp2601 +a(g7 +g956 +tp2602 +a(g7 +V\u000a +p2603 +tp2604 +a(g318 +V#e1.123 +p2605 +tp2606 +a(g7 +g956 +tp2607 +a(g7 +V\u000a +p2608 +tp2609 +a(g318 +V#e1e3 +p2610 +tp2611 +a(g7 +g956 +tp2612 +a(g7 +V\u000a +p2613 +tp2614 +a(g318 +V#e1e-22 +p2615 +tp2616 +a(g7 +g956 +tp2617 +a(g7 +V\u000a +p2618 +tp2619 +a(g40 +V#e1 +p2620 +tp2621 +a(g7 +g956 +tp2622 +a(g7 +V\u000a +p2623 +tp2624 +a(g40 +V#e-1 +p2625 +tp2626 +a(g7 +g956 +tp2627 +a(g7 +V\u000a +p2628 +tp2629 +a(g35 +V#e1/2 +p2630 +tp2631 +a(g7 +g956 +tp2632 +a(g7 +V\u000a +p2633 +tp2634 +a(g35 +V#e-1/2 +p2635 +tp2636 +a(g7 +g956 +tp2637 +a(g7 +V\u000a +p2638 +tp2639 +a(g761 +V;; #d#e +p2640 +tp2641 +a(g7 +V\u000a +p2642 +tp2643 +a(g318 +V#d#e-1.23 +p2644 +tp2645 +a(g7 +g956 +tp2646 +a(g7 +V\u000a +p2647 +tp2648 +a(g318 +V#d#e1.123 +p2649 +tp2650 +a(g7 +g956 +tp2651 +a(g7 +V\u000a +p2652 +tp2653 +a(g318 +V#d#e1e3 +p2654 +tp2655 +a(g7 +g956 +tp2656 +a(g7 +V\u000a +p2657 +tp2658 +a(g318 +V#d#e1e-22 +p2659 +tp2660 +a(g7 +g956 +tp2661 +a(g7 +V\u000a +p2662 +tp2663 +a(g40 +V#d#e1 +p2664 +tp2665 +a(g7 +g956 +tp2666 +a(g7 +V\u000a +p2667 +tp2668 +a(g40 +V#d#e-1 +p2669 +tp2670 +a(g7 +g956 +tp2671 +a(g7 +V\u000a +p2672 +tp2673 +a(g35 +V#d#e1/2 +p2674 +tp2675 +a(g7 +g956 +tp2676 +a(g7 +V\u000a +p2677 +tp2678 +a(g35 +V#d#e-1/2 +p2679 +tp2680 +a(g7 +g956 +tp2681 +a(g7 +V\u000a +p2682 +tp2683 +a(g761 +V;; #e#d +p2684 +tp2685 +a(g7 +V\u000a +p2686 +tp2687 +a(g318 +V#e#d-1.23 +p2688 +tp2689 +a(g7 +g956 +tp2690 +a(g7 +V\u000a +p2691 +tp2692 +a(g318 +V#e#d1.123 +p2693 +tp2694 +a(g7 +g956 +tp2695 +a(g7 +V\u000a +p2696 +tp2697 +a(g318 +V#e#d1e3 +p2698 +tp2699 +a(g7 +g956 +tp2700 +a(g7 +V\u000a +p2701 +tp2702 +a(g318 +V#e#d1e-22 +p2703 +tp2704 +a(g7 +g956 +tp2705 +a(g7 +V\u000a +p2706 +tp2707 +a(g40 +V#e#d1 +p2708 +tp2709 +a(g7 +g956 +tp2710 +a(g7 +V\u000a +p2711 +tp2712 +a(g40 +V#e#d-1 +p2713 +tp2714 +a(g7 +g956 +tp2715 +a(g7 +V\u000a +p2716 +tp2717 +a(g35 +V#e#d1/2 +p2718 +tp2719 +a(g7 +g956 +tp2720 +a(g7 +V\u000a +p2721 +tp2722 +a(g35 +V#e#d-1/2 +p2723 +tp2724 +a(g7 +g956 +tp2725 +a(g7 +V\u000a +p2726 +tp2727 +a(g761 +V;; #i always float +p2728 +tp2729 +a(g7 +V\u000a +p2730 +tp2731 +a(g318 +V#i-1.23 +p2732 +tp2733 +a(g7 +g956 +tp2734 +a(g7 +V\u000a +p2735 +tp2736 +a(g318 +V#i1.123 +p2737 +tp2738 +a(g7 +g956 +tp2739 +a(g7 +V\u000a +p2740 +tp2741 +a(g318 +V#i1e3 +p2742 +tp2743 +a(g7 +g956 +tp2744 +a(g7 +V\u000a +p2745 +tp2746 +a(g318 +V#i1e-22 +p2747 +tp2748 +a(g7 +g956 +tp2749 +a(g7 +V\u000a +p2750 +tp2751 +a(g318 +V#i1/2 +p2752 +tp2753 +a(g7 +g956 +tp2754 +a(g7 +V\u000a +p2755 +tp2756 +a(g318 +V#i-1/2 +p2757 +tp2758 +a(g7 +g956 +tp2759 +a(g7 +V\u000a +p2760 +tp2761 +a(g318 +V#i1 +p2762 +tp2763 +a(g7 +g956 +tp2764 +a(g7 +V\u000a +p2765 +tp2766 +a(g318 +V#i-1 +p2767 +tp2768 +a(g7 +g956 +tp2769 +a(g7 +V\u000a +p2770 +tp2771 +a(g761 +V;; Implicitly inexact numbers +p2772 +tp2773 +a(g7 +V\u000a +p2774 +tp2775 +a(g318 +V+nan.0 +p2776 +tp2777 +a(g7 +g956 +tp2778 +a(g7 +V\u000a +p2779 +tp2780 +a(g318 +V1# +p2781 +tp2782 +a(g7 +g956 +tp2783 +a(g7 +V\u000a +p2784 +tp2785 +a(g318 +V1.# +p2786 +tp2787 +a(g7 +g956 +tp2788 +a(g7 +V\u000a +p2789 +tp2790 +a(g318 +V.2# +p2791 +tp2792 +a(g7 +g956 +tp2793 +a(g7 +V\u000a +p2794 +tp2795 +a(g318 +V1.2# +p2796 +tp2797 +a(g7 +g956 +tp2798 +a(g7 +V\u000a +p2799 +tp2800 +a(g318 +V1#/2 +p2801 +tp2802 +a(g7 +g956 +tp2803 +a(g7 +V\u000a +p2804 +tp2805 +a(g318 +V1/2# +p2806 +tp2807 +a(g7 +g956 +tp2808 +a(g7 +V\u000a +p2809 +tp2810 +a(g318 +V1#/2# +p2811 +tp2812 +a(g7 +g956 +tp2813 +a(g7 +V\u000a +p2814 +tp2815 +a(g318 +V1#e3 +p2816 +tp2817 +a(g7 +g956 +tp2818 +a(g7 +V\u000a +p2819 +tp2820 +a(g318 +V1.#e3 +p2821 +tp2822 +a(g7 +g956 +tp2823 +a(g7 +V\u000a +p2824 +tp2825 +a(g318 +V.2#e3 +p2826 +tp2827 +a(g7 +g956 +tp2828 +a(g7 +V\u000a +p2829 +tp2830 +a(g318 +V1.2#e3 +p2831 +tp2832 +a(g7 +g956 +tp2833 +a(g7 +V\u000a +p2834 +tp2835 +a(g318 +V1#/2e3 +p2836 +tp2837 +a(g7 +g956 +tp2838 +a(g7 +V\u000a +p2839 +tp2840 +a(g318 +V1/2#e3 +p2841 +tp2842 +a(g7 +g956 +tp2843 +a(g7 +V\u000a +p2844 +tp2845 +a(g318 +V1#/2#e3 +p2846 +tp2847 +a(g7 +g956 +tp2848 +a(g7 +V\u000a +p2849 +tp2850 +a(g318 +V+nan.0+i +p2851 +tp2852 +a(g7 +g956 +tp2853 +a(g7 +V\u000a +p2854 +tp2855 +a(g318 +V1#+i +p2856 +tp2857 +a(g7 +g956 +tp2858 +a(g7 +V\u000a +p2859 +tp2860 +a(g318 +V1.#+i +p2861 +tp2862 +a(g7 +g956 +tp2863 +a(g7 +V\u000a +p2864 +tp2865 +a(g318 +V.2#+i +p2866 +tp2867 +a(g7 +g956 +tp2868 +a(g7 +V\u000a +p2869 +tp2870 +a(g318 +V1.2#+i +p2871 +tp2872 +a(g7 +g956 +tp2873 +a(g7 +V\u000a +p2874 +tp2875 +a(g318 +V1#/2+i +p2876 +tp2877 +a(g7 +g956 +tp2878 +a(g7 +V\u000a +p2879 +tp2880 +a(g318 +V1/2#+i +p2881 +tp2882 +a(g7 +g956 +tp2883 +a(g7 +V\u000a +p2884 +tp2885 +a(g318 +V1#/2#+i +p2886 +tp2887 +a(g7 +g956 +tp2888 +a(g7 +V\u000a +p2889 +tp2890 +a(g318 +V1#e3+i +p2891 +tp2892 +a(g7 +g956 +tp2893 +a(g7 +V\u000a +p2894 +tp2895 +a(g318 +V1.#e3+i +p2896 +tp2897 +a(g7 +g956 +tp2898 +a(g7 +V\u000a +p2899 +tp2900 +a(g318 +V.2#e3+i +p2901 +tp2902 +a(g7 +g956 +tp2903 +a(g7 +V\u000a +p2904 +tp2905 +a(g318 +V1.2#e3+i +p2906 +tp2907 +a(g7 +g956 +tp2908 +a(g7 +V\u000a +p2909 +tp2910 +a(g318 +V1#/2e3+i +p2911 +tp2912 +a(g7 +g956 +tp2913 +a(g7 +V\u000a +p2914 +tp2915 +a(g318 +V1/2#e3+i +p2916 +tp2917 +a(g7 +g956 +tp2918 +a(g7 +V\u000a +p2919 +tp2920 +a(g318 +V1#/2#e3+i +p2921 +tp2922 +a(g7 +g956 +tp2923 +a(g7 +V\u000a +p2924 +tp2925 +a(g318 +V+nan.0i +p2926 +tp2927 +a(g7 +g956 +tp2928 +a(g7 +V\u000a +p2929 +tp2930 +a(g318 +V+1#i +p2931 +tp2932 +a(g7 +g956 +tp2933 +a(g7 +V\u000a +p2934 +tp2935 +a(g318 +V+1.#i +p2936 +tp2937 +a(g7 +g956 +tp2938 +a(g7 +V\u000a +p2939 +tp2940 +a(g318 +V+.2#i +p2941 +tp2942 +a(g7 +g956 +tp2943 +a(g7 +V\u000a +p2944 +tp2945 +a(g318 +V+1.2#i +p2946 +tp2947 +a(g7 +g956 +tp2948 +a(g7 +V\u000a +p2949 +tp2950 +a(g318 +V+1#/2i +p2951 +tp2952 +a(g7 +g956 +tp2953 +a(g7 +V\u000a +p2954 +tp2955 +a(g318 +V+1/2#i +p2956 +tp2957 +a(g7 +g956 +tp2958 +a(g7 +V\u000a +p2959 +tp2960 +a(g318 +V+1#/2#i +p2961 +tp2962 +a(g7 +g956 +tp2963 +a(g7 +V\u000a +p2964 +tp2965 +a(g318 +V+1#e3i +p2966 +tp2967 +a(g7 +g956 +tp2968 +a(g7 +V\u000a +p2969 +tp2970 +a(g318 +V+1.#e3i +p2971 +tp2972 +a(g7 +g956 +tp2973 +a(g7 +V\u000a +p2974 +tp2975 +a(g318 +V+.2#e3i +p2976 +tp2977 +a(g7 +g956 +tp2978 +a(g7 +V\u000a +p2979 +tp2980 +a(g318 +V+1.2#e3i +p2981 +tp2982 +a(g7 +g956 +tp2983 +a(g7 +V\u000a +p2984 +tp2985 +a(g318 +V+1#/2e3i +p2986 +tp2987 +a(g7 +g956 +tp2988 +a(g7 +V\u000a +p2989 +tp2990 +a(g318 +V+1/2#e3i +p2991 +tp2992 +a(g7 +g956 +tp2993 +a(g7 +V\u000a +p2994 +tp2995 +a(g318 +V+1#/2#e3i +p2996 +tp2997 +a(g7 +g956 +tp2998 +a(g7 +V\u000a +p2999 +tp3000 +a(g318 +V0+nan.0i +p3001 +tp3002 +a(g7 +g956 +tp3003 +a(g7 +V\u000a +p3004 +tp3005 +a(g318 +V0+1#i +p3006 +tp3007 +a(g7 +g956 +tp3008 +a(g7 +V\u000a +p3009 +tp3010 +a(g318 +V0+1.#i +p3011 +tp3012 +a(g7 +g956 +tp3013 +a(g7 +V\u000a +p3014 +tp3015 +a(g318 +V0+.2#i +p3016 +tp3017 +a(g7 +g956 +tp3018 +a(g7 +V\u000a +p3019 +tp3020 +a(g318 +V0+1.2#i +p3021 +tp3022 +a(g7 +g956 +tp3023 +a(g7 +V\u000a +p3024 +tp3025 +a(g318 +V0+1#/2i +p3026 +tp3027 +a(g7 +g956 +tp3028 +a(g7 +V\u000a +p3029 +tp3030 +a(g318 +V0+1/2#i +p3031 +tp3032 +a(g7 +g956 +tp3033 +a(g7 +V\u000a +p3034 +tp3035 +a(g318 +V0+1#/2#i +p3036 +tp3037 +a(g7 +g956 +tp3038 +a(g7 +V\u000a +p3039 +tp3040 +a(g318 +V0+1#e3i +p3041 +tp3042 +a(g7 +g956 +tp3043 +a(g7 +V\u000a +p3044 +tp3045 +a(g318 +V0+1.#e3i +p3046 +tp3047 +a(g7 +g956 +tp3048 +a(g7 +V\u000a +p3049 +tp3050 +a(g318 +V0+.2#e3i +p3051 +tp3052 +a(g7 +g956 +tp3053 +a(g7 +V\u000a +p3054 +tp3055 +a(g318 +V0+1.2#e3i +p3056 +tp3057 +a(g7 +g956 +tp3058 +a(g7 +V\u000a +p3059 +tp3060 +a(g318 +V0+1#/2e3i +p3061 +tp3062 +a(g7 +g956 +tp3063 +a(g7 +V\u000a +p3064 +tp3065 +a(g318 +V0+1/2#e3i +p3066 +tp3067 +a(g7 +g956 +tp3068 +a(g7 +V\u000a +p3069 +tp3070 +a(g318 +V0+1#/2#e3i +p3071 +tp3072 +a(g7 +g956 +tp3073 +a(g7 +V\u000a +p3074 +tp3075 +a(g318 +V1#/2#e3+nan.0i +p3076 +tp3077 +a(g7 +g956 +tp3078 +a(g7 +V\u000a +p3079 +tp3080 +a(g318 +V1#/2#e3+1#i +p3081 +tp3082 +a(g7 +g956 +tp3083 +a(g7 +V\u000a +p3084 +tp3085 +a(g318 +V1#/2#e3+1.#i +p3086 +tp3087 +a(g7 +g956 +tp3088 +a(g7 +V\u000a +p3089 +tp3090 +a(g318 +V1#/2#e3+.2#i +p3091 +tp3092 +a(g7 +g956 +tp3093 +a(g7 +V\u000a +p3094 +tp3095 +a(g318 +V1#/2#e3+1.2#i +p3096 +tp3097 +a(g7 +g956 +tp3098 +a(g7 +V\u000a +p3099 +tp3100 +a(g318 +V1#/2#e3+1#/2i +p3101 +tp3102 +a(g7 +g956 +tp3103 +a(g7 +V\u000a +p3104 +tp3105 +a(g318 +V1#/2#e3+1/2#i +p3106 +tp3107 +a(g7 +g956 +tp3108 +a(g7 +V\u000a +p3109 +tp3110 +a(g318 +V1#/2#e3+1#/2#i +p3111 +tp3112 +a(g7 +g956 +tp3113 +a(g7 +V\u000a +p3114 +tp3115 +a(g318 +V1#/2#e3+1#e3i +p3116 +tp3117 +a(g7 +g956 +tp3118 +a(g7 +V\u000a +p3119 +tp3120 +a(g318 +V1#/2#e3+1.#e3i +p3121 +tp3122 +a(g7 +g956 +tp3123 +a(g7 +V\u000a +p3124 +tp3125 +a(g318 +V1#/2#e3+.2#e3i +p3126 +tp3127 +a(g7 +g956 +tp3128 +a(g7 +V\u000a +p3129 +tp3130 +a(g318 +V1#/2#e3+1.2#e3i +p3131 +tp3132 +a(g7 +g956 +tp3133 +a(g7 +V\u000a +p3134 +tp3135 +a(g318 +V1#/2#e3+1#/2e3i +p3136 +tp3137 +a(g7 +g956 +tp3138 +a(g7 +V\u000a +p3139 +tp3140 +a(g318 +V1#/2#e3+1/2#e3i +p3141 +tp3142 +a(g7 +g956 +tp3143 +a(g7 +V\u000a +p3144 +tp3145 +a(g318 +V1#/2#e3+1#/2#e3i +p3146 +tp3147 +a(g7 +g956 +tp3148 +a(g7 +V\u000a +p3149 +tp3150 +a(g318 +V+nan.0@1 +p3151 +tp3152 +a(g7 +g956 +tp3153 +a(g7 +V\u000a +p3154 +tp3155 +a(g318 +V1#@1 +p3156 +tp3157 +a(g7 +g956 +tp3158 +a(g7 +V\u000a +p3159 +tp3160 +a(g318 +V1.#@1 +p3161 +tp3162 +a(g7 +g956 +tp3163 +a(g7 +V\u000a +p3164 +tp3165 +a(g318 +V.2#@1 +p3166 +tp3167 +a(g7 +g956 +tp3168 +a(g7 +V\u000a +p3169 +tp3170 +a(g318 +V1.2#@1 +p3171 +tp3172 +a(g7 +g956 +tp3173 +a(g7 +V\u000a +p3174 +tp3175 +a(g318 +V1#/2@1 +p3176 +tp3177 +a(g7 +g956 +tp3178 +a(g7 +V\u000a +p3179 +tp3180 +a(g318 +V1/2#@1 +p3181 +tp3182 +a(g7 +g956 +tp3183 +a(g7 +V\u000a +p3184 +tp3185 +a(g318 +V1#/2#@1 +p3186 +tp3187 +a(g7 +g956 +tp3188 +a(g7 +V\u000a +p3189 +tp3190 +a(g318 +V1#e3@1 +p3191 +tp3192 +a(g7 +g956 +tp3193 +a(g7 +V\u000a +p3194 +tp3195 +a(g318 +V1.#e3@1 +p3196 +tp3197 +a(g7 +g956 +tp3198 +a(g7 +V\u000a +p3199 +tp3200 +a(g318 +V.2#e3@1 +p3201 +tp3202 +a(g7 +g956 +tp3203 +a(g7 +V\u000a +p3204 +tp3205 +a(g318 +V1.2#e3@1 +p3206 +tp3207 +a(g7 +g956 +tp3208 +a(g7 +V\u000a +p3209 +tp3210 +a(g318 +V1#/2e3@1 +p3211 +tp3212 +a(g7 +g956 +tp3213 +a(g7 +V\u000a +p3214 +tp3215 +a(g318 +V1/2#e3@1 +p3216 +tp3217 +a(g7 +g956 +tp3218 +a(g7 +V\u000a +p3219 +tp3220 +a(g318 +V1#/2#e3@1 +p3221 +tp3222 +a(g7 +g956 +tp3223 +a(g7 +V\u000a +p3224 +tp3225 +a(g318 +V1@+nan.0 +p3226 +tp3227 +a(g7 +g956 +tp3228 +a(g7 +V\u000a +p3229 +tp3230 +a(g318 +V1@1# +p3231 +tp3232 +a(g7 +g956 +tp3233 +a(g7 +V\u000a +p3234 +tp3235 +a(g318 +V1@1.# +p3236 +tp3237 +a(g7 +g956 +tp3238 +a(g7 +V\u000a +p3239 +tp3240 +a(g318 +V1@.2# +p3241 +tp3242 +a(g7 +g956 +tp3243 +a(g7 +V\u000a +p3244 +tp3245 +a(g318 +V1@1.2# +p3246 +tp3247 +a(g7 +g956 +tp3248 +a(g7 +V\u000a +p3249 +tp3250 +a(g318 +V1@1#/2 +p3251 +tp3252 +a(g7 +g956 +tp3253 +a(g7 +V\u000a +p3254 +tp3255 +a(g318 +V1@1/2# +p3256 +tp3257 +a(g7 +g956 +tp3258 +a(g7 +V\u000a +p3259 +tp3260 +a(g318 +V1@1#/2# +p3261 +tp3262 +a(g7 +g956 +tp3263 +a(g7 +V\u000a +p3264 +tp3265 +a(g318 +V1@1#e3 +p3266 +tp3267 +a(g7 +g956 +tp3268 +a(g7 +V\u000a +p3269 +tp3270 +a(g318 +V1@1.#e3 +p3271 +tp3272 +a(g7 +g956 +tp3273 +a(g7 +V\u000a +p3274 +tp3275 +a(g318 +V1@.2#e3 +p3276 +tp3277 +a(g7 +g956 +tp3278 +a(g7 +V\u000a +p3279 +tp3280 +a(g318 +V1@1.2#e3 +p3281 +tp3282 +a(g7 +g956 +tp3283 +a(g7 +V\u000a +p3284 +tp3285 +a(g318 +V1@1#/2e3 +p3286 +tp3287 +a(g7 +g956 +tp3288 +a(g7 +V\u000a +p3289 +tp3290 +a(g318 +V1@1/2#e3 +p3291 +tp3292 +a(g7 +g956 +tp3293 +a(g7 +V\u000a +p3294 +tp3295 +a(g318 +V1@1#/2#e3 +p3296 +tp3297 +a(g7 +g956 +tp3298 +a(g7 +V\u000a +p3299 +tp3300 +a(g318 +V1#/2#e3@1# +p3301 +tp3302 +a(g7 +g956 +tp3303 +a(g7 +V\u000a +p3304 +tp3305 +a(g318 +V1#/2#e3@1.# +p3306 +tp3307 +a(g7 +g956 +tp3308 +a(g7 +V\u000a +p3309 +tp3310 +a(g318 +V1#/2#e3@.2# +p3311 +tp3312 +a(g7 +g956 +tp3313 +a(g7 +V\u000a +p3314 +tp3315 +a(g318 +V1#/2#e3@1.2# +p3316 +tp3317 +a(g7 +g956 +tp3318 +a(g7 +V\u000a +p3319 +tp3320 +a(g318 +V1#/2#e3@1#/2 +p3321 +tp3322 +a(g7 +g956 +tp3323 +a(g7 +V\u000a +p3324 +tp3325 +a(g318 +V1#/2#e3@1/2# +p3326 +tp3327 +a(g7 +g956 +tp3328 +a(g7 +V\u000a +p3329 +tp3330 +a(g318 +V1#/2#e3@1#/2# +p3331 +tp3332 +a(g7 +g956 +tp3333 +a(g7 +V\u000a +p3334 +tp3335 +a(g318 +V1#/2#e3@1#e3 +p3336 +tp3337 +a(g7 +g956 +tp3338 +a(g7 +V\u000a +p3339 +tp3340 +a(g318 +V1#/2#e3@1.#e3 +p3341 +tp3342 +a(g7 +g956 +tp3343 +a(g7 +V\u000a +p3344 +tp3345 +a(g318 +V1#/2#e3@.2#e3 +p3346 +tp3347 +a(g7 +g956 +tp3348 +a(g7 +V\u000a +p3349 +tp3350 +a(g318 +V1#/2#e3@1.2#e3 +p3351 +tp3352 +a(g7 +g956 +tp3353 +a(g7 +V\u000a +p3354 +tp3355 +a(g318 +V1#/2#e3@1#/2e3 +p3356 +tp3357 +a(g7 +g956 +tp3358 +a(g7 +V\u000a +p3359 +tp3360 +a(g318 +V1#/2#e3@1/2#e3 +p3361 +tp3362 +a(g7 +g956 +tp3363 +a(g7 +V\u000a +p3364 +tp3365 +a(g318 +V1#/2#e3@1#/2#e3 +p3366 +tp3367 +a(g7 +g956 +tp3368 +a(g7 +V\u000a +p3369 +tp3370 +a(g761 +V;; #o +p3371 +tp3372 +a(g7 +V\u000a +p3373 +tp3374 +a(g285 +V#o777.777 +p3375 +tp3376 +a(g7 +g956 +tp3377 +a(g7 +V\u000a +p3378 +tp3379 +a(g285 +V#o-777.777 +p3380 +tp3381 +a(g7 +g956 +tp3382 +a(g7 +V\u000a +p3383 +tp3384 +a(g285 +V#o777e777 +p3385 +tp3386 +a(g7 +g956 +tp3387 +a(g7 +V\u000a +p3388 +tp3389 +a(g285 +V#o777e-777 +p3390 +tp3391 +a(g7 +g956 +tp3392 +a(g7 +V\u000a +p3393 +tp3394 +a(g285 +V#o3/7 +p3395 +tp3396 +a(g7 +g956 +tp3397 +a(g7 +V\u000a +p3398 +tp3399 +a(g285 +V#o-3/7 +p3400 +tp3401 +a(g7 +g956 +tp3402 +a(g7 +V\u000a +p3403 +tp3404 +a(g285 +V#o777 +p3405 +tp3406 +a(g7 +g956 +tp3407 +a(g7 +V\u000a +p3408 +tp3409 +a(g285 +V#o-777 +p3410 +tp3411 +a(g7 +g956 +tp3412 +a(g7 +V\u000a +p3413 +tp3414 +a(g285 +V#e#o777.777 +p3415 +tp3416 +a(g7 +g956 +tp3417 +a(g7 +V\u000a +p3418 +tp3419 +a(g285 +V#e#o-777.777 +p3420 +tp3421 +a(g7 +g956 +tp3422 +a(g7 +V\u000a +p3423 +tp3424 +a(g285 +V#e#o777e777 +p3425 +tp3426 +a(g7 +g956 +tp3427 +a(g7 +V\u000a +p3428 +tp3429 +a(g285 +V#e#o777e-777 +p3430 +tp3431 +a(g7 +g956 +tp3432 +a(g7 +V\u000a +p3433 +tp3434 +a(g285 +V#e#o3/7 +p3435 +tp3436 +a(g7 +g956 +tp3437 +a(g7 +V\u000a +p3438 +tp3439 +a(g285 +V#e#o-3/7 +p3440 +tp3441 +a(g7 +g956 +tp3442 +a(g7 +V\u000a +p3443 +tp3444 +a(g285 +V#e#o777 +p3445 +tp3446 +a(g7 +g956 +tp3447 +a(g7 +V\u000a +p3448 +tp3449 +a(g285 +V#e#o-777 +p3450 +tp3451 +a(g7 +g956 +tp3452 +a(g7 +V\u000a +p3453 +tp3454 +a(g285 +V#i#o777.777 +p3455 +tp3456 +a(g7 +g956 +tp3457 +a(g7 +V\u000a +p3458 +tp3459 +a(g285 +V#i#o-777.777 +p3460 +tp3461 +a(g7 +g956 +tp3462 +a(g7 +V\u000a +p3463 +tp3464 +a(g285 +V#i#o777e777 +p3465 +tp3466 +a(g7 +g956 +tp3467 +a(g7 +V\u000a +p3468 +tp3469 +a(g285 +V#i#o777e-777 +p3470 +tp3471 +a(g7 +g956 +tp3472 +a(g7 +V\u000a +p3473 +tp3474 +a(g285 +V#i#o3/7 +p3475 +tp3476 +a(g7 +g956 +tp3477 +a(g7 +V\u000a +p3478 +tp3479 +a(g285 +V#i#o-3/7 +p3480 +tp3481 +a(g7 +g956 +tp3482 +a(g7 +V\u000a +p3483 +tp3484 +a(g285 +V#i#o777 +p3485 +tp3486 +a(g7 +g956 +tp3487 +a(g7 +V\u000a +p3488 +tp3489 +a(g285 +V#i#o-777 +p3490 +tp3491 +a(g7 +g956 +tp3492 +a(g7 +V\u000a +p3493 +tp3494 +a(g761 +V;; #x +p3495 +tp3496 +a(g7 +V\u000a +p3497 +tp3498 +a(g301 +V#x-f.f +p3499 +tp3500 +a(g7 +g956 +tp3501 +a(g7 +V\u000a +p3502 +tp3503 +a(g301 +V#xf.f +p3504 +tp3505 +a(g7 +g956 +tp3506 +a(g7 +V\u000a +p3507 +tp3508 +a(g301 +V#xfsf +p3509 +tp3510 +a(g7 +g956 +tp3511 +a(g7 +V\u000a +p3512 +tp3513 +a(g301 +V#xfs-f +p3514 +tp3515 +a(g7 +g956 +tp3516 +a(g7 +V\u000a +p3517 +tp3518 +a(g301 +V#x7/f +p3519 +tp3520 +a(g7 +g956 +tp3521 +a(g7 +V\u000a +p3522 +tp3523 +a(g301 +V#x-7/f +p3524 +tp3525 +a(g7 +g956 +tp3526 +a(g7 +V\u000a +p3527 +tp3528 +a(g301 +V#x-f +p3529 +tp3530 +a(g7 +g956 +tp3531 +a(g7 +V\u000a +p3532 +tp3533 +a(g301 +V#xf +p3534 +tp3535 +a(g7 +g956 +tp3536 +a(g7 +V\u000a +p3537 +tp3538 +a(g301 +V#e#x-f.f +p3539 +tp3540 +a(g7 +g956 +tp3541 +a(g7 +V\u000a +p3542 +tp3543 +a(g301 +V#e#xf.f +p3544 +tp3545 +a(g7 +g956 +tp3546 +a(g7 +V\u000a +p3547 +tp3548 +a(g301 +V#e#xfsf +p3549 +tp3550 +a(g7 +g956 +tp3551 +a(g7 +V\u000a +p3552 +tp3553 +a(g301 +V#e#xfs-f +p3554 +tp3555 +a(g7 +g956 +tp3556 +a(g7 +V\u000a +p3557 +tp3558 +a(g301 +V#e#x7/f +p3559 +tp3560 +a(g7 +g956 +tp3561 +a(g7 +V\u000a +p3562 +tp3563 +a(g301 +V#e#x-7/f +p3564 +tp3565 +a(g7 +g956 +tp3566 +a(g7 +V\u000a +p3567 +tp3568 +a(g301 +V#e#x-f +p3569 +tp3570 +a(g7 +g956 +tp3571 +a(g7 +V\u000a +p3572 +tp3573 +a(g301 +V#e#xf +p3574 +tp3575 +a(g7 +g956 +tp3576 +a(g7 +V\u000a +p3577 +tp3578 +a(g301 +V#i#x-f.f +p3579 +tp3580 +a(g7 +g956 +tp3581 +a(g7 +V\u000a +p3582 +tp3583 +a(g301 +V#i#xf.f +p3584 +tp3585 +a(g7 +g956 +tp3586 +a(g7 +V\u000a +p3587 +tp3588 +a(g301 +V#i#xfsf +p3589 +tp3590 +a(g7 +g956 +tp3591 +a(g7 +V\u000a +p3592 +tp3593 +a(g301 +V#i#xfs-f +p3594 +tp3595 +a(g7 +g956 +tp3596 +a(g7 +V\u000a +p3597 +tp3598 +a(g301 +V#i#x7/f +p3599 +tp3600 +a(g7 +g956 +tp3601 +a(g7 +V\u000a +p3602 +tp3603 +a(g301 +V#i#x-7/f +p3604 +tp3605 +a(g7 +g956 +tp3606 +a(g7 +V\u000a +p3607 +tp3608 +a(g301 +V#i#x-f +p3609 +tp3610 +a(g7 +g956 +tp3611 +a(g7 +V\u000a +p3612 +tp3613 +a(g301 +V#i#xf +p3614 +tp3615 +a(g7 +g956 +tp3616 +a(g7 +V\u000a +p3617 +tp3618 +a(g761 +V;; Not numbers +p3619 +tp3620 +a(g7 +V\u000a +p3621 +tp3622 +a(g416 +g1187 +tp3623 +a(g120 +V-1.23x +p3624 +tp3625 +a(g7 +g956 +tp3626 +a(g7 +V\u000a +p3627 +tp3628 +a(g416 +g1187 +tp3629 +a(g120 +V1.123x +p3630 +tp3631 +a(g7 +g956 +tp3632 +a(g7 +V\u000a +p3633 +tp3634 +a(g416 +g1187 +tp3635 +a(g120 +V1e3x +p3636 +tp3637 +a(g7 +g956 +tp3638 +a(g7 +V\u000a +p3639 +tp3640 +a(g416 +g1187 +tp3641 +a(g120 +V1e-22x +p3642 +tp3643 +a(g7 +g956 +tp3644 +a(g7 +V\u000a +p3645 +tp3646 +a(g416 +g1187 +tp3647 +a(g120 +V1/2x +p3648 +tp3649 +a(g7 +g956 +tp3650 +a(g7 +V\u000a +p3651 +tp3652 +a(g416 +g1187 +tp3653 +a(g120 +V-1/2x +p3654 +tp3655 +a(g7 +g956 +tp3656 +a(g7 +V\u000a +p3657 +tp3658 +a(g416 +g1187 +tp3659 +a(g120 +V1x +p3660 +tp3661 +a(g7 +g956 +tp3662 +a(g7 +V\u000a +p3663 +tp3664 +a(g416 +g1187 +tp3665 +a(g120 +V-1x +p3666 +tp3667 +a(g7 +g956 +tp3668 +a(g7 +V\u000a +p3669 +tp3670 +a(g416 +g1187 +tp3671 +a(g120 +V/ +p3672 +tp3673 +a(g7 +g956 +tp3674 +a(g7 +V\u000a +p3675 +tp3676 +a(g416 +g1187 +tp3677 +a(g120 +V1/ +p3678 +tp3679 +a(g7 +g956 +tp3680 +a(g7 +V\u000a +p3681 +tp3682 +a(g416 +g1187 +tp3683 +a(g120 +V/2 +p3684 +tp3685 +a(g7 +g956 +tp3686 +a(g7 +V\u000a +p3687 +tp3688 +a(g416 +g1187 +tp3689 +a(g120 +V1//2 +p3690 +tp3691 +a(g7 +g956 +tp3692 +a(g7 +V\u000a +p3693 +tp3694 +a(g416 +g1187 +tp3695 +a(g120 +V1e3. +p3696 +tp3697 +a(g7 +g956 +tp3698 +a(g7 +V\u000a +p3699 +tp3700 +a(g416 +g1187 +tp3701 +a(g120 +V1e +p3702 +tp3703 +a(g7 +g956 +tp3704 +a(g7 +V\u000a +p3705 +tp3706 +a(g416 +g1187 +tp3707 +a(g120 +Ve3 +p3708 +tp3709 +a(g7 +g956 +tp3710 +a(g7 +V\u000a +p3711 +tp3712 +a(g416 +g1187 +tp3713 +a(g120 +V.i +p3714 +tp3715 +a(g7 +g956 +tp3716 +a(g7 +V\u000a +p3717 +tp3718 +a(g416 +g1187 +tp3719 +a(g120 +V1.2.3 +p3720 +tp3721 +a(g7 +g956 +tp3722 +a(g7 +V\u000a +p3723 +tp3724 +a(g416 +g1187 +tp3725 +a(g120 +V1..2 +p3726 +tp3727 +a(g7 +g956 +tp3728 +a(g7 +V\u000a +p3729 +tp3730 +a(g416 +g1187 +tp3731 +a(g120 +V.1. +p3732 +tp3733 +a(g7 +g956 +tp3734 +a(g7 +V\u000a +p3735 +tp3736 +a(g416 +g1187 +tp3737 +a(g120 +V@ +p3738 +tp3739 +a(g7 +g956 +tp3740 +a(g7 +V\u000a +p3741 +tp3742 +a(g416 +g1187 +tp3743 +a(g120 +V1@ +p3744 +tp3745 +a(g7 +g956 +tp3746 +a(g7 +V\u000a +p3747 +tp3748 +a(g416 +g1187 +tp3749 +a(g120 +V@2 +p3750 +tp3751 +a(g7 +g956 +tp3752 +a(g7 +V\u000a +p3753 +tp3754 +a(g416 +g1187 +tp3755 +a(g120 +V1@@2 +p3756 +tp3757 +a(g7 +g956 +tp3758 +a(g7 +V\u000a +p3759 +tp3760 +a(g416 +g1187 +tp3761 +a(g120 +V1@2@3 +p3762 +tp3763 +a(g7 +g956 +tp3764 +a(g7 +V\u000a +p3765 +tp3766 +a(g416 +g1187 +tp3767 +a(g120 +V1@2i +p3768 +tp3769 +a(g7 +g956 +tp3770 +a(g7 +V\u000a +p3771 +tp3772 +a(g416 +g1187 +tp3773 +a(g120 +V1+-2i +p3774 +tp3775 +a(g7 +g956 +tp3776 +a(g7 +V\u000a +p3777 +tp3778 +a(g416 +g1187 +tp3779 +a(g120 +V1i+2 +p3780 +tp3781 +a(g7 +g956 +tp3782 +a(g7 +V\u000a +p3783 +tp3784 +a(g416 +g1187 +tp3785 +a(g120 +V1i+2i +p3786 +tp3787 +a(g7 +g956 +tp3788 +a(g7 +V\u000a +p3789 +tp3790 +a(g416 +g1187 +tp3791 +a(g120 +V1+2i+3i +p3792 +tp3793 +a(g7 +g956 +tp3794 +a(g7 +V\u000a +p3795 +tp3796 +a(g416 +g1187 +tp3797 +a(g120 +V- +p3798 +tp3799 +a(g7 +g956 +tp3800 +a(g7 +V\u000a +p3801 +tp3802 +a(g416 +g1187 +tp3803 +a(g120 +V--1 +p3804 +tp3805 +a(g7 +g956 +tp3806 +a(g7 +V\u000a +p3807 +tp3808 +a(g416 +g1187 +tp3809 +a(g120 +V+ +p3810 +tp3811 +a(g7 +g956 +tp3812 +a(g7 +V\u000a +p3813 +tp3814 +a(g416 +g1187 +tp3815 +a(g120 +V++1 +p3816 +tp3817 +a(g7 +g956 +tp3818 +a(g7 +V\u000a +p3819 +tp3820 +a(g416 +g1187 +tp3821 +a(g120 +V1/2.3 +p3822 +tp3823 +a(g7 +g956 +tp3824 +a(g7 +V\u000a +p3825 +tp3826 +a(g416 +g1187 +tp3827 +a(g120 +V1#2 +p3828 +tp3829 +a(g7 +g956 +tp3830 +a(g7 +V\u000a +p3831 +tp3832 +a(g416 +g1187 +tp3833 +a(g120 +V1#.2 +p3834 +tp3835 +a(g7 +g956 +tp3836 +a(g7 +V\u000a +p3837 +tp3838 +a(g416 +g1187 +tp3839 +a(g120 +V1.#2 +p3840 +tp3841 +a(g7 +g956 +tp3842 +a(g7 +V\u000a +p3843 +tp3844 +a(g416 +g1187 +tp3845 +a(g120 +V.#2 +p3846 +tp3847 +a(g7 +g956 +tp3848 +a(g7 +V\u000a +p3849 +tp3850 +a(g416 +g1187 +tp3851 +a(g120 +V+nan.t+nan.ti +p3852 +tp3853 +a(g7 +g956 +tp3854 +a(g7 +V\u000a +p3855 +tp3856 +a(g416 +g1187 +tp3857 +a(g120 +V+nan.t@nan.t +p3858 +tp3859 +a(g7 +g956 +tp3860 +a(g7 +V\u000a +p3861 +tp3862 +a(g761 +V;; Booleans +p3863 +tp3864 +a(g7 +V\u000a +p3865 +tp3866 +a(g569 +V#t +p3867 +tp3868 +a(g7 +g956 +tp3869 +a(g7 +V\u000a +p3870 +tp3871 +a(g569 +V#T +p3872 +tp3873 +a(g7 +g956 +tp3874 +a(g7 +V\u000a +p3875 +tp3876 +a(g569 +V#true +p3877 +tp3878 +a(g7 +g956 +tp3879 +a(g7 +V\u000a +p3880 +tp3881 +a(g569 +V#f +p3882 +tp3883 +a(g7 +g956 +tp3884 +a(g7 +V\u000a +p3885 +tp3886 +a(g569 +V#F +p3887 +tp3888 +a(g7 +g956 +tp3889 +a(g7 +V\u000a +p3890 +tp3891 +a(g569 +V#false +p3892 +tp3893 +a(g7 +g956 +tp3894 +a(g7 +V\u000a +p3895 +tp3896 +a(g761 +V;; Characters, strings, and byte strings +p3897 +tp3898 +a(g7 +V\u000a +p3899 +tp3900 +a(g96 +V#\u005c\u000a +p3901 +tp3902 +a(g7 +g956 +tp3903 +a(g7 +g970 +tp3904 +a(g96 +V#\u005cNull +p3905 +tp3906 +a(g7 +g956 +tp3907 +a(g40 +V9 +p3908 +tp3909 +a(g7 +g956 +tp3910 +a(g7 +V\u000a +p3911 +tp3912 +a(g96 +V#\u005cn +p3913 +tp3914 +a(g7 +g956 +tp3915 +a(g40 +g3908 +tp3916 +a(g7 +g956 +tp3917 +a(g7 +V\u000a +p3918 +tp3919 +a(g96 +V#\u005c9 +p3920 +tp3921 +a(g7 +g956 +tp3922 +a(g40 +g3908 +tp3923 +a(g7 +g956 +tp3924 +a(g7 +V\u000a +p3925 +tp3926 +a(g96 +V#\u005c000 +p3927 +tp3928 +a(g7 +g956 +tp3929 +a(g40 +g3908 +tp3930 +a(g7 +g956 +tp3931 +a(g7 +V\u000a +p3932 +tp3933 +a(g96 +V#\u005cu3BB +p3934 +tp3935 +a(g7 +g956 +tp3936 +a(g7 +V\u000a +p3937 +tp3938 +a(g96 +V#\u005cu03BB +p3939 +tp3940 +a(g7 +g956 +tp3941 +a(g40 +g3908 +tp3942 +a(g7 +g956 +tp3943 +a(g7 +V\u000a +p3944 +tp3945 +a(g96 +V#\u005cU3BB +p3946 +tp3947 +a(g7 +g956 +tp3948 +a(g7 +V\u000a +p3949 +tp3950 +a(g96 +V#\u005cU000003BB +p3951 +tp3952 +a(g7 +g956 +tp3953 +a(g40 +g3908 +tp3954 +a(g7 +g956 +tp3955 +a(g7 +V\u000a +p3956 +tp3957 +a(g96 +V#\u005c\u03bb +p3958 +tp3959 +a(g7 +g956 +tp3960 +a(g40 +g3908 +tp3961 +a(g7 +g956 +tp3962 +a(g7 +V\u000a +p3963 +tp3964 +a(g160 +g1304 +tp3965 +a(g160 +Vstring +p3966 +tp3967 +a(g216 +V\u005c\u000a +p3968 +tp3969 +a(g160 +g970 +tp3970 +a(g216 +V\u005ca +p3971 +tp3972 +a(g160 +V. +p3973 +tp3974 +a(g216 +V\u005cb +p3975 +tp3976 +a(g160 +g3973 +tp3977 +a(g216 +V\u005ct +p3978 +tp3979 +a(g160 +g3973 +tp3980 +a(g216 +V\u005cn +p3981 +tp3982 +a(g160 +g3973 +tp3983 +a(g216 +V\u005cv +p3984 +tp3985 +a(g160 +g3973 +tp3986 +a(g216 +V\u005cf +p3987 +tp3988 +a(g160 +g3973 +tp3989 +a(g216 +V\u005cr +p3990 +tp3991 +a(g160 +g3973 +tp3992 +a(g216 +V\u005ce +p3993 +tp3994 +a(g160 +g3973 +tp3995 +a(g216 +V\u005c" +p3996 +tp3997 +a(g160 +g3973 +tp3998 +a(g216 +V\u005c' +p3999 +tp4000 +a(g160 +g3973 +tp4001 +a(g216 +V\u005c\u005c +p4002 +tp4003 +a(g160 +g3973 +tp4004 +a(g216 +V\u005c1 +p4005 +tp4006 +a(g160 +g3973 +tp4007 +a(g216 +V\u005c123 +p4008 +tp4009 +a(g160 +g3973 +tp4010 +a(g216 +V\u005c123 +p4011 +tp4012 +a(g160 +V4. +p4013 +tp4014 +a(g216 +V\u005cx9 +p4015 +tp4016 +a(g160 +g3973 +tp4017 +a(g216 +V\u005cx30 +p4018 +tp4019 +a(g160 +g3973 +tp4020 +a(g216 +V\u005cx30 +p4021 +tp4022 +a(g160 +g1285 +tp4023 +a(g160 +g1304 +tp4024 +a(g7 +g956 +tp4025 +a(g7 +V\u000a +p4026 +tp4027 +a(g160 +g1304 +tp4028 +a(g216 +V\u005cu9 +p4029 +tp4030 +a(g160 +g3973 +tp4031 +a(g216 +V\u005cu1234 +p4032 +tp4033 +a(g160 +g3973 +tp4034 +a(g216 +V\u005cu1234 +p4035 +tp4036 +a(g160 +V5. +p4037 +tp4038 +a(g216 +V\u005cU9 +p4039 +tp4040 +a(g160 +g3973 +tp4041 +a(g216 +V\u005cU00100000 +p4042 +tp4043 +a(g160 +g3973 +tp4044 +a(g216 +V\u005cU00100000 +p4045 +tp4046 +a(g160 +g1169 +tp4047 +a(g160 +g1304 +tp4048 +a(g7 +g956 +tp4049 +a(g7 +V\u000a +p4050 +tp4051 +a(g160 +V#" +p4052 +tp4053 +a(g160 +Vbyte-string +p4054 +tp4055 +a(g216 +V\u005c7 +p4056 +tp4057 +a(g216 +V\u005cxff +p4058 +tp4059 +a(g216 +V\u005ct +p4060 +tp4061 +a(g160 +g1304 +tp4062 +a(g7 +g956 +tp4063 +a(g7 +V\u000a +p4064 +tp4065 +a(g112 +V#< (listof string?) string?) +p6136 +tp6137 +a(g7 +V\u000a +p6138 +tp6139 +a(g761 +V;; Appends all the strings together, quoting them as appropriate for Python, +p6140 +tp6141 +a(g7 +V\u000a +p6142 +tp6143 +a(g761 +V;; with commas and spaces between them, wrapping at 80 characters, with an +p6144 +tp6145 +a(g7 +V\u000a +p6146 +tp6147 +a(g761 +V;; indentation of 8 spaces. +p6148 +tp6149 +a(g7 +V\u000a +p6150 +tp6151 +a(g709 +g964 +tp6152 +a(g7 +g956 +tp6153 +a(g842 +Vdefine +p6154 +tp6155 +a(g7 +g956 +tp6156 +a(g7 +g970 +tp6157 +a(g709 +g964 +tp6158 +a(g7 +g956 +tp6159 +a(g439 +Vwrap-lines +p6160 +tp6161 +a(g7 +g956 +tp6162 +a(g7 +g970 +tp6163 +a(g439 +Vlst +p6164 +tp6165 +a(g709 +g984 +tp6166 +a(g7 +g956 +tp6167 +a(g7 +V\u000a +p6168 +tp6169 +a(g709 +g964 +tp6170 +a(g7 +g956 +tp6171 +a(g842 +Vdefine +p6172 +tp6173 +a(g7 +g956 +tp6174 +a(g7 +g970 +tp6175 +a(g439 +VINDENTATION +p6176 +tp6177 +a(g7 +g956 +tp6178 +a(g7 +g970 +tp6179 +a(g416 +g1187 +tp6180 +a(g160 +g1304 +tp6181 +a(g160 +V +p6182 +tp6183 +a(g160 +g1304 +tp6184 +a(g709 +g984 +tp6185 +a(g7 +g956 +tp6186 +a(g7 +V\u000a +p6187 +tp6188 +a(g709 +g964 +tp6189 +a(g7 +g956 +tp6190 +a(g842 +Vdefine +p6191 +tp6192 +a(g7 +g956 +tp6193 +a(g7 +g970 +tp6194 +a(g439 +VWIDTH +p6195 +tp6196 +a(g7 +g956 +tp6197 +a(g7 +g970 +tp6198 +a(g416 +g1187 +tp6199 +a(g40 +V80 +p6200 +tp6201 +a(g709 +g984 +tp6202 +a(g7 +g956 +tp6203 +a(g7 +V\u000a +p6204 +tp6205 +a(g709 +g964 +tp6206 +a(g7 +g956 +tp6207 +a(g842 +Vdefine +p6208 +tp6209 +a(g7 +g956 +tp6210 +a(g7 +g970 +tp6211 +a(g709 +g964 +tp6212 +a(g7 +g956 +tp6213 +a(g439 +Vwrap-lines* +p6214 +tp6215 +a(g7 +g956 +tp6216 +a(g7 +g970 +tp6217 +a(g439 +Vlst +p6218 +tp6219 +a(g7 +g956 +tp6220 +a(g7 +g970 +tp6221 +a(g439 +Vdone-lines +p6222 +tp6223 +a(g7 +g956 +tp6224 +a(g7 +g970 +tp6225 +a(g439 +Vcurrent-line +p6226 +tp6227 +a(g709 +g984 +tp6228 +a(g7 +g956 +tp6229 +a(g7 +V\u000a +p6230 +tp6231 +a(g709 +g964 +tp6232 +a(g7 +g956 +tp6233 +a(g842 +Vif +p6234 +tp6235 +a(g7 +g956 +tp6236 +a(g7 +g970 +tp6237 +a(g709 +g964 +tp6238 +a(g7 +g956 +tp6239 +a(g685 +Vnull? +p6240 +tp6241 +a(g7 +g956 +tp6242 +a(g7 +g970 +tp6243 +a(g439 +Vlst +p6244 +tp6245 +a(g709 +g984 +tp6246 +a(g7 +g956 +tp6247 +a(g7 +V\u000a +p6248 +tp6249 +a(g709 +g964 +tp6250 +a(g7 +g956 +tp6251 +a(g685 +Vstring-append +p6252 +tp6253 +a(g7 +g956 +tp6254 +a(g7 +g970 +tp6255 +a(g709 +g964 +tp6256 +a(g7 +g956 +tp6257 +a(g685 +Vfoldr +p6258 +tp6259 +a(g7 +g956 +tp6260 +a(g7 +g970 +tp6261 +a(g685 +Vstring-append +p6262 +tp6263 +a(g7 +g956 +tp6264 +a(g7 +g970 +tp6265 +a(g160 +g1304 +tp6266 +a(g160 +g1304 +tp6267 +a(g7 +g956 +tp6268 +a(g7 +g970 +tp6269 +a(g439 +Vdone-lines +p6270 +tp6271 +a(g709 +g984 +tp6272 +a(g7 +g956 +tp6273 +a(g7 +g970 +tp6274 +a(g439 +Vcurrent-line +p6275 +tp6276 +a(g709 +g984 +tp6277 +a(g7 +g956 +tp6278 +a(g7 +V\u000a +p6279 +tp6280 +a(g709 +g964 +tp6281 +a(g7 +g956 +tp6282 +a(g842 +Vlet* +p6283 +tp6284 +a(g7 +g956 +tp6285 +a(g7 +g970 +tp6286 +a(g709 +g964 +tp6287 +a(g7 +g956 +tp6288 +a(g709 +g1162 +tp6289 +a(g7 +g956 +tp6290 +a(g439 +Vstr +p6291 +tp6292 +a(g7 +g956 +tp6293 +a(g7 +g970 +tp6294 +a(g709 +g964 +tp6295 +a(g7 +g956 +tp6296 +a(g685 +Vfirst +p6297 +tp6298 +a(g7 +g956 +tp6299 +a(g7 +g970 +tp6300 +a(g439 +Vlst +p6301 +tp6302 +a(g709 +g984 +tp6303 +a(g709 +g1171 +tp6304 +a(g7 +g956 +tp6305 +a(g7 +V\u000a +p6306 +tp6307 +a(g709 +g1162 +tp6308 +a(g7 +g956 +tp6309 +a(g439 +Vwrapped-str +p6310 +tp6311 +a(g7 +g956 +tp6312 +a(g7 +g970 +tp6313 +a(g709 +g964 +tp6314 +a(g7 +g956 +tp6315 +a(g842 +Vif +p6316 +tp6317 +a(g7 +g956 +tp6318 +a(g7 +g970 +tp6319 +a(g709 +g964 +tp6320 +a(g7 +g956 +tp6321 +a(g685 +Vregexp-match-exact? +p6322 +tp6323 +a(g7 +g956 +tp6324 +a(g7 +g970 +tp6325 +a(g416 +g1187 +tp6326 +a(g200 +V#px"[[:ascii:]]+" +p6327 +tp6328 +a(g7 +g956 +tp6329 +a(g7 +g970 +tp6330 +a(g439 +Vstr +p6331 +tp6332 +a(g709 +g984 +tp6333 +a(g7 +g956 +tp6334 +a(g7 +V\u000a +p6335 +tp6336 +a(g709 +g964 +tp6337 +a(g7 +g956 +tp6338 +a(g685 +Vstring-append +p6339 +tp6340 +a(g7 +g956 +tp6341 +a(g7 +g970 +tp6342 +a(g160 +g1304 +tp6343 +a(g160 +g1187 +tp6344 +a(g160 +g1304 +tp6345 +a(g7 +g956 +tp6346 +a(g7 +g970 +tp6347 +a(g439 +Vstr +p6348 +tp6349 +a(g7 +g956 +tp6350 +a(g7 +g970 +tp6351 +a(g160 +g1304 +tp6352 +a(g160 +V', +p6353 +tp6354 +a(g160 +g1304 +tp6355 +a(g709 +g984 +tp6356 +a(g7 +g956 +tp6357 +a(g7 +V\u000a +p6358 +tp6359 +a(g709 +g964 +tp6360 +a(g7 +g956 +tp6361 +a(g685 +Vstring-append +p6362 +tp6363 +a(g7 +g956 +tp6364 +a(g7 +g970 +tp6365 +a(g160 +g1304 +tp6366 +a(g160 +Vu' +p6367 +tp6368 +a(g160 +g1304 +tp6369 +a(g7 +g956 +tp6370 +a(g7 +g970 +tp6371 +a(g439 +Vstr +p6372 +tp6373 +a(g7 +g956 +tp6374 +a(g7 +g970 +tp6375 +a(g160 +g1304 +tp6376 +a(g160 +V', +p6377 +tp6378 +a(g160 +g1304 +tp6379 +a(g709 +g984 +tp6380 +a(g709 +g984 +tp6381 +a(g709 +g1171 +tp6382 +a(g7 +g956 +tp6383 +a(g7 +V\u000a +p6384 +tp6385 +a(g709 +g1162 +tp6386 +a(g7 +g956 +tp6387 +a(g439 +Vnew-line +p6388 +tp6389 +a(g7 +g956 +tp6390 +a(g7 +g970 +tp6391 +a(g709 +g964 +tp6392 +a(g7 +g956 +tp6393 +a(g685 +Vstring-append +p6394 +tp6395 +a(g7 +g956 +tp6396 +a(g7 +g970 +tp6397 +a(g439 +Vcurrent-line +p6398 +tp6399 +a(g7 +g956 +tp6400 +a(g7 +g970 +tp6401 +a(g160 +g1304 +tp6402 +a(g160 +g970 +tp6403 +a(g160 +g1304 +tp6404 +a(g7 +g956 +tp6405 +a(g7 +g970 +tp6406 +a(g439 +Vwrapped-str +p6407 +tp6408 +a(g709 +g984 +tp6409 +a(g709 +g1171 +tp6410 +a(g709 +g984 +tp6411 +a(g7 +g956 +tp6412 +a(g7 +V\u000a +p6413 +tp6414 +a(g709 +g964 +tp6415 +a(g7 +g956 +tp6416 +a(g842 +Vif +p6417 +tp6418 +a(g7 +g956 +tp6419 +a(g7 +g970 +tp6420 +a(g709 +g964 +tp6421 +a(g7 +g956 +tp6422 +a(g709 +g964 +tp6423 +a(g7 +g956 +tp6424 +a(g685 +Vstring-length +p6425 +tp6426 +a(g7 +g956 +tp6427 +a(g7 +g970 +tp6428 +a(g439 +Vnew-line +p6429 +tp6430 +a(g709 +g984 +tp6431 +a(g7 +g956 +tp6432 +a(g7 +g970 +tp6433 +a(g416 +g3973 +tp6434 +a(g7 +g970 +tp6435 +a(g685 +V>= +p6436 +tp6437 +a(g7 +g956 +tp6438 +a(g7 +g970 +tp6439 +a(g416 +g3973 +tp6440 +a(g7 +g970 +tp6441 +a(g439 +VWIDTH +p6442 +tp6443 +a(g709 +g984 +tp6444 +a(g7 +g956 +tp6445 +a(g7 +V\u000a +p6446 +tp6447 +a(g709 +g964 +tp6448 +a(g7 +g956 +tp6449 +a(g439 +Vwrap-lines* +p6450 +tp6451 +a(g7 +g956 +tp6452 +a(g7 +g970 +tp6453 +a(g709 +g964 +tp6454 +a(g7 +g956 +tp6455 +a(g685 +Vrest +p6456 +tp6457 +a(g7 +g956 +tp6458 +a(g7 +g970 +tp6459 +a(g439 +Vlst +p6460 +tp6461 +a(g709 +g984 +tp6462 +a(g7 +g956 +tp6463 +a(g7 +V\u000a +p6464 +tp6465 +a(g709 +g964 +tp6466 +a(g7 +g956 +tp6467 +a(g685 +Vappend +p6468 +tp6469 +a(g7 +g956 +tp6470 +a(g7 +g970 +tp6471 +a(g439 +Vdone-lines +p6472 +tp6473 +a(g7 +g956 +tp6474 +a(g7 +V\u000a +p6475 +tp6476 +a(g416 +g4266 +tp6477 +a(g709 +g964 +tp6478 +a(g7 +g956 +tp6479 +a(g416 +g4275 +tp6480 +a(g709 +g964 +tp6481 +a(g7 +g956 +tp6482 +a(g685 +Vstring-append +p6483 +tp6484 +a(g7 +g956 +tp6485 +a(g7 +g970 +tp6486 +a(g439 +Vcurrent-line +p6487 +tp6488 +a(g7 +g956 +tp6489 +a(g7 +g970 +tp6490 +a(g160 +g1304 +tp6491 +a(g216 +V\u005cn +p6492 +tp6493 +a(g160 +g1304 +tp6494 +a(g709 +g984 +tp6495 +a(g709 +g984 +tp6496 +a(g709 +g984 +tp6497 +a(g7 +g956 +tp6498 +a(g7 +V\u000a +p6499 +tp6500 +a(g709 +g964 +tp6501 +a(g7 +g956 +tp6502 +a(g685 +Vstring-append +p6503 +tp6504 +a(g7 +g956 +tp6505 +a(g7 +g970 +tp6506 +a(g439 +VINDENTATION +p6507 +tp6508 +a(g7 +g956 +tp6509 +a(g7 +g970 +tp6510 +a(g439 +Vwrapped-str +p6511 +tp6512 +a(g709 +g984 +tp6513 +a(g709 +g984 +tp6514 +a(g7 +g956 +tp6515 +a(g7 +V\u000a +p6516 +tp6517 +a(g709 +g964 +tp6518 +a(g7 +g956 +tp6519 +a(g439 +Vwrap-lines* +p6520 +tp6521 +a(g7 +g956 +tp6522 +a(g7 +g970 +tp6523 +a(g709 +g964 +tp6524 +a(g7 +g956 +tp6525 +a(g685 +Vrest +p6526 +tp6527 +a(g7 +g956 +tp6528 +a(g7 +g970 +tp6529 +a(g439 +Vlst +p6530 +tp6531 +a(g709 +g984 +tp6532 +a(g7 +g956 +tp6533 +a(g7 +V\u000a +p6534 +tp6535 +a(g439 +Vdone-lines +p6536 +tp6537 +a(g7 +g956 +tp6538 +a(g7 +V\u000a +p6539 +tp6540 +a(g439 +Vnew-line +p6541 +tp6542 +a(g709 +g984 +tp6543 +a(g709 +g984 +tp6544 +a(g709 +g984 +tp6545 +a(g709 +g984 +tp6546 +a(g709 +g984 +tp6547 +a(g7 +g956 +tp6548 +a(g7 +V\u000a +p6549 +tp6550 +a(g709 +g964 +tp6551 +a(g7 +g956 +tp6552 +a(g439 +Vwrap-lines* +p6553 +tp6554 +a(g7 +g956 +tp6555 +a(g7 +g970 +tp6556 +a(g439 +Vlst +p6557 +tp6558 +a(g7 +g956 +tp6559 +a(g7 +g970 +tp6560 +a(g416 +g1187 +tp6561 +a(g709 +g964 +tp6562 +a(g709 +g984 +tp6563 +a(g7 +g956 +tp6564 +a(g7 +g970 +tp6565 +a(g439 +VINDENTATION +p6566 +tp6567 +a(g709 +g984 +tp6568 +a(g709 +g984 +tp6569 +a(g7 +g956 +tp6570 +a(g7 +V\u000a\u000a +p6571 +tp6572 +a(g761 +V;; (-> string? boolean?) +p6573 +tp6574 +a(g7 +V\u000a +p6575 +tp6576 +a(g761 +V;; Returns #t if str represents a syntax identifier in the current namespace, +p6577 +tp6578 +a(g7 +V\u000a +p6579 +tp6580 +a(g761 +V;; otherwise #f. +p6581 +tp6582 +a(g7 +V\u000a +p6583 +tp6584 +a(g709 +g964 +tp6585 +a(g7 +g956 +tp6586 +a(g842 +Vdefine +p6587 +tp6588 +a(g7 +g956 +tp6589 +a(g7 +g970 +tp6590 +a(g709 +g964 +tp6591 +a(g7 +g956 +tp6592 +a(g439 +Vsyntax-identifier? +p6593 +tp6594 +a(g7 +g956 +tp6595 +a(g7 +g970 +tp6596 +a(g439 +Vstr +p6597 +tp6598 +a(g709 +g984 +tp6599 +a(g7 +g956 +tp6600 +a(g7 +V\u000a +p6601 +tp6602 +a(g709 +g964 +tp6603 +a(g7 +g956 +tp6604 +a(g842 +Vwith-handlers +p6605 +tp6606 +a(g7 +g956 +tp6607 +a(g7 +g970 +tp6608 +a(g709 +g964 +tp6609 +a(g7 +g956 +tp6610 +a(g709 +g1162 +tp6611 +a(g7 +g956 +tp6612 +a(g685 +Vexn? +p6613 +tp6614 +a(g7 +g956 +tp6615 +a(g7 +g970 +tp6616 +a(g685 +Vexn? +p6617 +tp6618 +a(g709 +g1171 +tp6619 +a(g709 +g984 +tp6620 +a(g7 +g956 +tp6621 +a(g7 +V\u000a +p6622 +tp6623 +a(g709 +g964 +tp6624 +a(g7 +g956 +tp6625 +a(g685 +Vnot +p6626 +tp6627 +a(g7 +g956 +tp6628 +a(g7 +g970 +tp6629 +a(g709 +g964 +tp6630 +a(g7 +g956 +tp6631 +a(g685 +Veval +p6632 +tp6633 +a(g7 +g956 +tp6634 +a(g7 +g970 +tp6635 +a(g709 +g964 +tp6636 +a(g7 +g956 +tp6637 +a(g685 +Vcall-with-input-string +p6638 +tp6639 +a(g7 +g956 +tp6640 +a(g7 +g970 +tp6641 +a(g439 +Vstr +p6642 +tp6643 +a(g7 +g956 +tp6644 +a(g7 +g970 +tp6645 +a(g685 +Vread +p6646 +tp6647 +a(g709 +g984 +tp6648 +a(g709 +g984 +tp6649 +a(g709 +g984 +tp6650 +a(g709 +g984 +tp6651 +a(g709 +g984 +tp6652 +a(g7 +g956 +tp6653 +a(g7 +V\u000a\u000a +p6654 +tp6655 +a(g709 +g964 +tp6656 +a(g7 +g956 +tp6657 +a(g842 +Vdefine +p6658 +tp6659 +a(g7 +g956 +tp6660 +a(g7 +g970 +tp6661 +a(g439 +VRACKET-NAMESPACE +p6662 +tp6663 +a(g7 +g956 +tp6664 +a(g7 +V\u000a +p6665 +tp6666 +a(g709 +g964 +tp6667 +a(g7 +g956 +tp6668 +a(g842 +Vparameterize +p6669 +tp6670 +a(g7 +g956 +tp6671 +a(g7 +g970 +tp6672 +a(g709 +g964 +tp6673 +a(g7 +g956 +tp6674 +a(g709 +g1162 +tp6675 +a(g7 +g956 +tp6676 +a(g685 +Vcurrent-namespace +p6677 +tp6678 +a(g7 +g956 +tp6679 +a(g7 +g970 +tp6680 +a(g709 +g964 +tp6681 +a(g7 +g956 +tp6682 +a(g685 +Vmake-base-namespace +p6683 +tp6684 +a(g709 +g984 +tp6685 +a(g709 +g1171 +tp6686 +a(g709 +g984 +tp6687 +a(g7 +g956 +tp6688 +a(g7 +V\u000a +p6689 +tp6690 +a(g709 +g964 +tp6691 +a(g7 +g956 +tp6692 +a(g685 +Vnamespace-require +p6693 +tp6694 +a(g7 +g956 +tp6695 +a(g7 +g970 +tp6696 +a(g416 +g1187 +tp6697 +a(g120 +Vracket +p6698 +tp6699 +a(g709 +g984 +tp6700 +a(g7 +g956 +tp6701 +a(g7 +V\u000a +p6702 +tp6703 +a(g709 +g964 +tp6704 +a(g7 +g956 +tp6705 +a(g685 +Vcurrent-namespace +p6706 +tp6707 +a(g709 +g984 +tp6708 +a(g709 +g984 +tp6709 +a(g709 +g984 +tp6710 +a(g7 +g956 +tp6711 +a(g7 +V\u000a\u000a +p6712 +tp6713 +a(g709 +g964 +tp6714 +a(g7 +g956 +tp6715 +a(g842 +Vdefine +p6716 +tp6717 +a(g7 +g956 +tp6718 +a(g7 +g970 +tp6719 +a(g439 +VBOUND-IDENTIFIERS +p6720 +tp6721 +a(g7 +g956 +tp6722 +a(g7 +V\u000a +p6723 +tp6724 +a(g709 +g964 +tp6725 +a(g7 +g956 +tp6726 +a(g842 +Vparameterize +p6727 +tp6728 +a(g7 +g956 +tp6729 +a(g7 +g970 +tp6730 +a(g709 +g964 +tp6731 +a(g7 +g956 +tp6732 +a(g709 +g1162 +tp6733 +a(g7 +g956 +tp6734 +a(g685 +Vcurrent-namespace +p6735 +tp6736 +a(g7 +g956 +tp6737 +a(g7 +g970 +tp6738 +a(g439 +VRACKET-NAMESPACE +p6739 +tp6740 +a(g709 +g1171 +tp6741 +a(g709 +g984 +tp6742 +a(g7 +g956 +tp6743 +a(g7 +V\u000a +p6744 +tp6745 +a(g709 +g964 +tp6746 +a(g7 +g956 +tp6747 +a(g685 +Vsort +p6748 +tp6749 +a(g7 +g956 +tp6750 +a(g7 +g970 +tp6751 +a(g709 +g964 +tp6752 +a(g7 +g956 +tp6753 +a(g685 +Vmap +p6754 +tp6755 +a(g7 +g956 +tp6756 +a(g7 +g970 +tp6757 +a(g685 +Vsymbol->string +p6758 +tp6759 +a(g7 +g956 +tp6760 +a(g7 +g970 +tp6761 +a(g709 +g964 +tp6762 +a(g7 +g956 +tp6763 +a(g685 +Vnamespace-mapped-symbols +p6764 +tp6765 +a(g709 +g984 +tp6766 +a(g709 +g984 +tp6767 +a(g7 +g956 +tp6768 +a(g7 +V\u000a +p6769 +tp6770 +a(g685 +Vstring<=? +p6771 +tp6772 +a(g709 +g984 +tp6773 +a(g709 +g984 +tp6774 +a(g709 +g984 +tp6775 +a(g7 +g956 +tp6776 +a(g7 +V\u000a\u000a +p6777 +tp6778 +a(g709 +g964 +tp6779 +a(g7 +g956 +tp6780 +a(g842 +Vdefine-values +p6781 +tp6782 +a(g7 +g956 +tp6783 +a(g7 +g970 +tp6784 +a(g709 +g964 +tp6785 +a(g7 +g956 +tp6786 +a(g439 +VKEYWORDS +p6787 +tp6788 +a(g7 +g956 +tp6789 +a(g7 +g970 +tp6790 +a(g439 +VBUILTINS +p6791 +tp6792 +a(g709 +g984 +tp6793 +a(g7 +g956 +tp6794 +a(g7 +V\u000a +p6795 +tp6796 +a(g709 +g964 +tp6797 +a(g7 +g956 +tp6798 +a(g842 +Vparameterize +p6799 +tp6800 +a(g7 +g956 +tp6801 +a(g7 +g970 +tp6802 +a(g709 +g964 +tp6803 +a(g7 +g956 +tp6804 +a(g709 +g1162 +tp6805 +a(g7 +g956 +tp6806 +a(g685 +Vcurrent-namespace +p6807 +tp6808 +a(g7 +g956 +tp6809 +a(g7 +g970 +tp6810 +a(g439 +VRACKET-NAMESPACE +p6811 +tp6812 +a(g709 +g1171 +tp6813 +a(g709 +g984 +tp6814 +a(g7 +g956 +tp6815 +a(g7 +V\u000a +p6816 +tp6817 +a(g709 +g964 +tp6818 +a(g7 +g956 +tp6819 +a(g685 +Vpartition +p6820 +tp6821 +a(g7 +g956 +tp6822 +a(g7 +g970 +tp6823 +a(g439 +Vsyntax-identifier? +p6824 +tp6825 +a(g7 +g956 +tp6826 +a(g7 +g970 +tp6827 +a(g439 +VBOUND-IDENTIFIERS +p6828 +tp6829 +a(g709 +g984 +tp6830 +a(g709 +g984 +tp6831 +a(g709 +g984 +tp6832 +a(g7 +g956 +tp6833 +a(g7 +V\u000a +p6834 +tp6835 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rpf b/tests/examplefiles/output/example.rpf new file mode 100644 index 0000000..22d06b1 --- /dev/null +++ b/tests/examplefiles/output/example.rpf @@ -0,0 +1,2423 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Constant' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp555 +tp556 +Rp557 +(dp558 +g10 +g428 +sg34 +g35 +((lp559 +tp560 +Rp561 +sbsS'Function' +p562 +g1 +(g2 +g3 +(g178 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g428 +sg34 +g35 +((lp567 +g1 +(g2 +g3 +(g178 +g562 +g468 +tp568 +tp569 +Rp570 +(dp571 +g10 +g565 +sg34 +g35 +((lp572 +tp573 +Rp574 +sbatp575 +Rp576 +sg468 +g570 +sbsS'Blubb' +p577 +g1 +(g2 +g3 +(g178 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g428 +sg34 +g35 +((lp582 +tp583 +Rp584 +sbsS'Label' +p585 +g1 +(g2 +g3 +(g178 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g428 +sg34 +g35 +((lp590 +tp591 +Rp592 +sbsS'Field' +p593 +g1 +(g2 +g3 +(g178 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g428 +sg34 +g35 +((lp598 +tp599 +Rp600 +sbsS'Exception' +p601 +g1 +(g2 +g3 +(g178 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g428 +sg34 +g35 +((lp606 +tp607 +Rp608 +sbsS'Namespace' +p609 +g1 +(g2 +g3 +(g178 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag580 +ag526 +ag496 +ag433 +ag604 +ag518 +ag550 +ag565 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag588 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag557 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag596 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg5 +g8 +sg609 +g1 +(g2 +g3 +(g4 +g609 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g900 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag8 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVdeclare +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g428 +Vxyz +p960 +tp961 +a(g830 +g958 +tp962 +a(g8 +Vtrue +p963 +tp964 +a(g830 +V\u000a +p965 +tp966 +a(g8 +Vdeclare +p967 +tp968 +a(g830 +g958 +tp969 +a(g428 +Vs +p970 +tp971 +a(g830 +g958 +tp972 +a(g81 +V"some string" +p973 +tp974 +a(g830 +V\u000a +p975 +tp976 +a(g428 +Vi +p977 +tp978 +a(g830 +g958 +tp979 +a(g405 +V= +p980 +tp981 +a(g830 +g958 +tp982 +a(g22 +V2 +p983 +tp984 +a(g830 +V\u000a +p985 +tp986 +a(g428 +Vf +p987 +tp988 +a(g830 +g958 +tp989 +a(g405 +g980 +tp990 +a(g830 +g958 +tp991 +a(g22 +V0.2 +p992 +tp993 +a(g830 +V\u000a +p994 +tp995 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rts b/tests/examplefiles/output/example.rts new file mode 100644 index 0000000..37f2649 --- /dev/null +++ b/tests/examplefiles/output/example.rts @@ -0,0 +1,7270 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# Example of a Riverbed TrafficScript (*.rts) file. +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g822 +V\u000a +p960 +tp961 +a(g569 +Vhttp.setHeader +p962 +tp963 +a(g408 +V( +p964 +tp965 +a(g822 +V +p966 +tp967 +a(g84 +V" +p968 +tp969 +a(g84 +VH +p970 +tp971 +a(g84 +Vo +p972 +tp973 +a(g84 +Vs +p974 +tp975 +a(g84 +Vt +p976 +tp977 +a(g84 +g968 +tp978 +a(g408 +V, +p979 +tp980 +a(g822 +g966 +tp981 +a(g84 +g968 +tp982 +a(g84 +g974 +tp983 +a(g84 +Ve +p984 +tp985 +a(g84 +Vc +p986 +tp987 +a(g84 +Vu +p988 +tp989 +a(g84 +Vr +p990 +tp991 +a(g84 +g984 +tp992 +a(g84 +V. +p993 +tp994 +a(g84 +Vm +p995 +tp996 +a(g84 +Vy +p997 +tp998 +a(g84 +g974 +tp999 +a(g84 +Vi +p1000 +tp1001 +a(g84 +g976 +tp1002 +a(g84 +g984 +tp1003 +a(g84 +g993 +tp1004 +a(g84 +g986 +tp1005 +a(g84 +g972 +tp1006 +a(g84 +g995 +tp1007 +a(g84 +g968 +tp1008 +a(g822 +g966 +tp1009 +a(g408 +V) +p1010 +tp1011 +a(g408 +V; +p1012 +tp1013 +a(g822 +V\u000a +p1014 +tp1015 +a(g436 +V$body +p1016 +tp1017 +a(g408 +V = +p1018 +tp1019 +a(g822 +g966 +tp1020 +a(g569 +Vhttp.getBody +p1021 +tp1022 +a(g408 +g964 +tp1023 +a(g822 +g966 +tp1024 +a(g408 +g1010 +tp1025 +a(g408 +g1012 +tp1026 +a(g822 +g966 +tp1027 +a(g7 +V# get the POST data +p1028 +tp1029 +a(g822 +V\u000a +p1030 +tp1031 +a(g436 +V$single +p1032 +tp1033 +a(g408 +V = +p1034 +tp1035 +a(g822 +g966 +tp1036 +a(g84 +V'Hello \u005c\u000aworld' +p1037 +tp1038 +a(g408 +g1012 +tp1039 +a(g822 +V\u000a +p1040 +tp1041 +a(g436 +V$double +p1042 +tp1043 +a(g408 +V = +p1044 +tp1045 +a(g822 +g966 +tp1046 +a(g84 +g968 +tp1047 +a(g84 +g970 +tp1048 +a(g84 +g984 +tp1049 +a(g84 +Vl +p1050 +tp1051 +a(g84 +g1050 +tp1052 +a(g84 +g972 +tp1053 +a(g84 +g966 +tp1054 +a(g84 +V\u005c +p1055 +tp1056 +a(g84 +V\u000a +p1057 +tp1058 +a(g84 +Vw +p1059 +tp1060 +a(g84 +g972 +tp1061 +a(g84 +g990 +tp1062 +a(g84 +g1050 +tp1063 +a(g84 +Vd +p1064 +tp1065 +a(g84 +g968 +tp1066 +a(g408 +g1012 +tp1067 +a(g822 +V\u000a +p1068 +tp1069 +a(g436 +V$pi +p1070 +tp1071 +a(g408 +V = +p1072 +tp1073 +a(g822 +g966 +tp1074 +a(g27 +V3 +p1075 +tp1076 +a(g408 +g993 +tp1077 +a(g27 +V14157 +p1078 +tp1079 +a(g408 +g1012 +tp1080 +a(g822 +V\u000a +p1081 +tp1082 +a(g436 +V$message +p1083 +tp1084 +a(g408 +V = +p1085 +tp1086 +a(g822 +g966 +tp1087 +a(g84 +g968 +tp1088 +a(g84 +VT +p1089 +tp1090 +a(g84 +Vh +p1091 +tp1092 +a(g84 +g984 +tp1093 +a(g84 +g966 +tp1094 +a(g84 +VU +p1095 +tp1096 +a(g84 +VR +p1097 +tp1098 +a(g84 +VL +p1099 +tp1100 +a(g84 +g966 +tp1101 +a(g84 +Vp +p1102 +tp1103 +a(g84 +Va +p1104 +tp1105 +a(g84 +g976 +tp1106 +a(g84 +g1091 +tp1107 +a(g84 +g966 +tp1108 +a(g84 +g1000 +tp1109 +a(g84 +g974 +tp1110 +a(g84 +g966 +tp1111 +a(g84 +g968 +tp1112 +a(g822 +g966 +tp1113 +a(g408 +g993 +tp1114 +a(g822 +g966 +tp1115 +a(g569 +Vhttp.GetPath +p1116 +tp1117 +a(g408 +g964 +tp1118 +a(g408 +g1010 +tp1119 +a(g408 +g1012 +tp1120 +a(g822 +V\u000a +p1121 +tp1122 +a(g436 +V$four +p1123 +tp1124 +a(g408 +V = +p1125 +tp1126 +a(g822 +g966 +tp1127 +a(g27 +V2 +p1128 +tp1129 +a(g822 +g966 +tp1130 +a(g408 +V+ +p1131 +tp1132 +a(g822 +g966 +tp1133 +a(g27 +g1128 +tp1134 +a(g408 +g1012 +tp1135 +a(g822 +V\u000a +p1136 +tp1137 +a(g7 +V# Sets $ratio to "75%" (for example) +p1138 +tp1139 +a(g822 +V\u000a +p1140 +tp1141 +a(g436 +V$ratio +p1142 +tp1143 +a(g408 +V = +p1144 +tp1145 +a(g822 +g966 +tp1146 +a(g408 +g964 +tp1147 +a(g822 +g966 +tp1148 +a(g436 +V$a +p1149 +tp1150 +a(g822 +g966 +tp1151 +a(g408 +V/ +p1152 +tp1153 +a(g822 +g966 +tp1154 +a(g408 +g964 +tp1155 +a(g436 +V$a +p1156 +tp1157 +a(g822 +g966 +tp1158 +a(g408 +g1131 +tp1159 +a(g822 +g966 +tp1160 +a(g436 +V$b +p1161 +tp1162 +a(g408 +g1010 +tp1163 +a(g822 +g966 +tp1164 +a(g408 +V* +p1165 +tp1166 +a(g822 +g966 +tp1167 +a(g27 +V100 +p1168 +tp1169 +a(g822 +g966 +tp1170 +a(g408 +g1010 +tp1171 +a(g822 +g966 +tp1172 +a(g408 +g993 +tp1173 +a(g822 +g966 +tp1174 +a(g84 +g968 +tp1175 +a(g84 +V% +p1176 +tp1177 +a(g84 +g968 +tp1178 +a(g408 +g1012 +tp1179 +a(g822 +V\u000a +p1180 +tp1181 +a(g436 +V$contentLength +p1182 +tp1183 +a(g408 +V = +p1184 +tp1185 +a(g822 +g966 +tp1186 +a(g569 +Vhttp.getHeader +p1187 +tp1188 +a(g408 +g964 +tp1189 +a(g822 +g966 +tp1190 +a(g84 +g968 +tp1191 +a(g84 +VC +p1192 +tp1193 +a(g84 +g972 +tp1194 +a(g84 +Vn +p1195 +tp1196 +a(g84 +g976 +tp1197 +a(g84 +g984 +tp1198 +a(g84 +g1195 +tp1199 +a(g84 +g976 +tp1200 +a(g84 +V- +p1201 +tp1202 +a(g84 +g1099 +tp1203 +a(g84 +g984 +tp1204 +a(g84 +g1195 +tp1205 +a(g84 +Vg +p1206 +tp1207 +a(g84 +g976 +tp1208 +a(g84 +g1091 +tp1209 +a(g84 +g968 +tp1210 +a(g822 +g966 +tp1211 +a(g408 +g1010 +tp1212 +a(g408 +g1012 +tp1213 +a(g822 +V\u000a +p1214 +tp1215 +a(g740 +Vif +p1216 +tp1217 +a(g408 +g964 +tp1218 +a(g822 +g966 +tp1219 +a(g436 +V$contentLength +p1220 +tp1221 +a(g822 +g966 +tp1222 +a(g408 +V> +p1223 +tp1224 +a(g822 +g966 +tp1225 +a(g27 +V1024 +p1226 +tp1227 +a(g822 +g966 +tp1228 +a(g408 +g1165 +tp1229 +a(g822 +g966 +tp1230 +a(g27 +V1024 +p1231 +tp1232 +a(g822 +g966 +tp1233 +a(g408 +g1010 +tp1234 +a(g822 +g966 +tp1235 +a(g408 +V{ +p1236 +tp1237 +a(g822 +V\u000a +p1238 +tp1239 +a(g822 +V +p1240 +tp1241 +a(g569 +Vlog.warn +p1242 +tp1243 +a(g408 +g964 +tp1244 +a(g822 +g966 +tp1245 +a(g84 +g968 +tp1246 +a(g84 +g1099 +tp1247 +a(g84 +g1104 +tp1248 +a(g84 +g990 +tp1249 +a(g84 +g1206 +tp1250 +a(g84 +g984 +tp1251 +a(g84 +g966 +tp1252 +a(g84 +g990 +tp1253 +a(g84 +g984 +tp1254 +a(g84 +Vq +p1255 +tp1256 +a(g84 +g988 +tp1257 +a(g84 +g984 +tp1258 +a(g84 +g974 +tp1259 +a(g84 +g976 +tp1260 +a(g84 +g966 +tp1261 +a(g84 +Vb +p1262 +tp1263 +a(g84 +g972 +tp1264 +a(g84 +g1064 +tp1265 +a(g84 +g997 +tp1266 +a(g84 +V: +p1267 +tp1268 +a(g84 +g966 +tp1269 +a(g84 +g968 +tp1270 +a(g408 +g993 +tp1271 +a(g436 +V$contentLength +p1272 +tp1273 +a(g822 +g966 +tp1274 +a(g408 +g1010 +tp1275 +a(g408 +g1012 +tp1276 +a(g822 +V\u000a +p1277 +tp1278 +a(g408 +V} +p1279 +tp1280 +a(g822 +V\u000a +p1281 +tp1282 +a(g27 +V4 +p1283 +tp1284 +a(g822 +g966 +tp1285 +a(g408 +g1131 +tp1286 +a(g822 +g966 +tp1287 +a(g27 +V7 +p1288 +tp1289 +a(g408 +g993 +tp1290 +a(g27 +V5 +p1291 +tp1292 +a(g822 +g966 +tp1293 +a(g408 +g1165 +tp1294 +a(g822 +g966 +tp1295 +a(g436 +V$a +p1296 +tp1297 +a(g822 +V\u000a +p1298 +tp1299 +a(g408 +g1201 +tp1300 +a(g436 +V$b +p1301 +tp1302 +a(g822 +g966 +tp1303 +a(g408 +g1152 +tp1304 +a(g822 +g966 +tp1305 +a(g436 +V$c +p1306 +tp1307 +a(g822 +g966 +tp1308 +a(g408 +g1201 +tp1309 +a(g822 +g966 +tp1310 +a(g27 +V1 +p1311 +tp1312 +a(g822 +V\u000a +p1313 +tp1314 +a(g27 +g1288 +tp1315 +a(g822 +g966 +tp1316 +a(g408 +g1176 +tp1317 +a(g822 +g966 +tp1318 +a(g27 +g1075 +tp1319 +a(g822 +V +p1320 +tp1321 +a(g7 +V# Returns 1 +p1322 +tp1323 +a(g822 +V\u000a +p1324 +tp1325 +a(g84 +g968 +tp1326 +a(g84 +Vf +p1327 +tp1328 +a(g84 +g972 +tp1329 +a(g84 +g972 +tp1330 +a(g84 +g968 +tp1331 +a(g822 +g966 +tp1332 +a(g408 +V& +p1333 +tp1334 +a(g408 +g1333 +tp1335 +a(g822 +g966 +tp1336 +a(g408 +V! +p1337 +tp1338 +a(g27 +V0 +p1339 +tp1340 +a(g822 +g966 +tp1341 +a(g7 +V# true +p1342 +tp1343 +a(g822 +V\u000a +p1344 +tp1345 +a(g408 +g964 +tp1346 +a(g822 +g966 +tp1347 +a(g27 +g1311 +tp1348 +a(g822 +g966 +tp1349 +a(g408 +V< +p1350 +tp1351 +a(g822 +g966 +tp1352 +a(g27 +g1128 +tp1353 +a(g822 +g966 +tp1354 +a(g408 +g1010 +tp1355 +a(g822 +g966 +tp1356 +a(g408 +g1333 +tp1357 +a(g408 +g1333 +tp1358 +a(g822 +g966 +tp1359 +a(g408 +g964 +tp1360 +a(g822 +g966 +tp1361 +a(g27 +g1075 +tp1362 +a(g822 +g966 +tp1363 +a(g408 +g1350 +tp1364 +a(g822 +g966 +tp1365 +a(g27 +g1283 +tp1366 +a(g822 +g966 +tp1367 +a(g408 +g1010 +tp1368 +a(g822 +g966 +tp1369 +a(g7 +V# true +p1370 +tp1371 +a(g822 +V\u000a +p1372 +tp1373 +a(g436 +V$a +p1374 +tp1375 +a(g822 +g966 +tp1376 +a(g408 +V| +p1377 +tp1378 +a(g408 +g1377 +tp1379 +a(g822 +g966 +tp1380 +a(g436 +V$b +p1381 +tp1382 +a(g822 +g966 +tp1383 +a(g7 +V# true if $a or $b is true +p1384 +tp1385 +a(g822 +V\u000a +p1386 +tp1387 +a(g27 +V0x1234 +p1388 +tp1389 +a(g822 +g966 +tp1390 +a(g408 +g1333 +tp1391 +a(g822 +g966 +tp1392 +a(g27 +V255 +p1393 +tp1394 +a(g822 +V +p1395 +tp1396 +a(g7 +V# 0x34 +p1397 +tp1398 +a(g822 +V\u000a +p1399 +tp1400 +a(g27 +g1311 +tp1401 +a(g408 +g1377 +tp1402 +a(g27 +g1128 +tp1403 +a(g408 +g1377 +tp1404 +a(g27 +g1283 +tp1405 +a(g822 +g966 +tp1406 +a(g7 +V#7 +p1407 +tp1408 +a(g822 +V\u000a +p1409 +tp1410 +a(g27 +g1311 +tp1411 +a(g408 +V^ +p1412 +tp1413 +a(g27 +g1075 +tp1414 +a(g822 +g966 +tp1415 +a(g7 +V#2 +p1416 +tp1417 +a(g822 +V\u000a +p1418 +tp1419 +a(g408 +V~ +p1420 +tp1421 +a(g27 +g1311 +tp1422 +a(g822 +g966 +tp1423 +a(g408 +g1333 +tp1424 +a(g822 +g966 +tp1425 +a(g27 +V0xffff +p1426 +tp1427 +a(g822 +V +p1428 +tp1429 +a(g7 +V# 65534 +p1430 +tp1431 +a(g822 +V\u000a +p1432 +tp1433 +a(g27 +g1311 +tp1434 +a(g822 +g966 +tp1435 +a(g408 +g1350 +tp1436 +a(g408 +g1350 +tp1437 +a(g822 +g966 +tp1438 +a(g27 +g1128 +tp1439 +a(g822 +V +p1440 +tp1441 +a(g7 +V# 4 +p1442 +tp1443 +a(g822 +V\u000a +p1444 +tp1445 +a(g27 +g1128 +tp1446 +a(g822 +g966 +tp1447 +a(g408 +g1223 +tp1448 +a(g408 +g1223 +tp1449 +a(g822 +g966 +tp1450 +a(g27 +g1311 +tp1451 +a(g822 +V +p1452 +tp1453 +a(g7 +V# 1 +p1454 +tp1455 +a(g822 +V\u000a +p1456 +tp1457 +a(g436 +V$foo +p1458 +tp1459 +a(g822 +g966 +tp1460 +a(g408 +g1165 +tp1461 +a(g408 +V= +p1462 +tp1463 +a(g822 +g966 +tp1464 +a(g27 +g1291 +tp1465 +a(g822 +g966 +tp1466 +a(g7 +V# Product equals ($foo = $foo * 5) +p1467 +tp1468 +a(g822 +V\u000a +p1469 +tp1470 +a(g436 +V$foo +p1471 +tp1472 +a(g822 +g966 +tp1473 +a(g408 +g1152 +tp1474 +a(g408 +g1462 +tp1475 +a(g822 +g966 +tp1476 +a(g27 +g1128 +tp1477 +a(g822 +g966 +tp1478 +a(g7 +V# Quotient equals ($foo = $foo / 5) +p1479 +tp1480 +a(g822 +V\u000a +p1481 +tp1482 +a(g436 +V$foo +p1483 +tp1484 +a(g822 +g966 +tp1485 +a(g408 +g1176 +tp1486 +a(g408 +g1462 +tp1487 +a(g822 +g966 +tp1488 +a(g27 +g1128 +tp1489 +a(g822 +g966 +tp1490 +a(g7 +V# Modulo equals ($foo = $foo % 5) +p1491 +tp1492 +a(g822 +V\u000a +p1493 +tp1494 +a(g436 +V$foo +p1495 +tp1496 +a(g822 +g966 +tp1497 +a(g408 +g1350 +tp1498 +a(g408 +g1350 +tp1499 +a(g408 +g1462 +tp1500 +a(g822 +g966 +tp1501 +a(g27 +g1128 +tp1502 +a(g822 +g966 +tp1503 +a(g7 +V# Bit-shift left equals ($foo = $foo << 2) +p1504 +tp1505 +a(g822 +V\u000a +p1506 +tp1507 +a(g436 +V$foo +p1508 +tp1509 +a(g822 +g966 +tp1510 +a(g408 +g1223 +tp1511 +a(g408 +g1223 +tp1512 +a(g408 +g1462 +tp1513 +a(g822 +g966 +tp1514 +a(g27 +g1128 +tp1515 +a(g822 +g966 +tp1516 +a(g7 +V# Bit-shift right equals ($foo = $foo >> 2) +p1517 +tp1518 +a(g822 +V\u000a +p1519 +tp1520 +a(g436 +V$foo +p1521 +tp1522 +a(g822 +g966 +tp1523 +a(g408 +g1333 +tp1524 +a(g408 +g1462 +tp1525 +a(g822 +g966 +tp1526 +a(g27 +g1128 +tp1527 +a(g822 +g966 +tp1528 +a(g7 +V# Bitwise AND equals ($foo = $foo & 2) +p1529 +tp1530 +a(g822 +V\u000a +p1531 +tp1532 +a(g436 +V$foo +p1533 +tp1534 +a(g822 +g966 +tp1535 +a(g408 +g1377 +tp1536 +a(g408 +g1462 +tp1537 +a(g822 +g966 +tp1538 +a(g27 +g1128 +tp1539 +a(g822 +g966 +tp1540 +a(g7 +V# Bitwise OR equals ($foo = $foo | 2) +p1541 +tp1542 +a(g822 +V\u000a +p1543 +tp1544 +a(g436 +V$foo +p1545 +tp1546 +a(g822 +g966 +tp1547 +a(g408 +g1412 +tp1548 +a(g408 +g1462 +tp1549 +a(g822 +g966 +tp1550 +a(g27 +g1128 +tp1551 +a(g822 +g966 +tp1552 +a(g7 +V# Bitwise XOR equals ($foo = $foo ^ 2) +p1553 +tp1554 +a(g822 +V\u000a +p1555 +tp1556 +a(g436 +V$int +p1557 +tp1558 +a(g408 +V = +p1559 +tp1560 +a(g822 +g966 +tp1561 +a(g27 +V10 +p1562 +tp1563 +a(g408 +g1012 +tp1564 +a(g822 +V\u000a +p1565 +tp1566 +a(g436 +V$double +p1567 +tp1568 +a(g408 +V = +p1569 +tp1570 +a(g822 +g966 +tp1571 +a(g27 +g1128 +tp1572 +a(g408 +g993 +tp1573 +a(g27 +V71828 +p1574 +tp1575 +a(g408 +g1012 +tp1576 +a(g822 +V\u000a +p1577 +tp1578 +a(g569 +Vstring.len +p1579 +tp1580 +a(g408 +g964 +tp1581 +a(g822 +g966 +tp1582 +a(g436 +V$double +p1583 +tp1584 +a(g822 +g966 +tp1585 +a(g408 +g1010 +tp1586 +a(g408 +g1012 +tp1587 +a(g822 +g966 +tp1588 +a(g7 +V# casts to string, returns 7 +p1589 +tp1590 +a(g822 +V\u000a +p1591 +tp1592 +a(g7 +V# Convert $string to a number, and add 4: +p1593 +tp1594 +a(g822 +V\u000a +p1595 +tp1596 +a(g436 +V$r +p1597 +tp1598 +a(g408 +V = +p1599 +tp1600 +a(g822 +g966 +tp1601 +a(g436 +V$string +p1602 +tp1603 +a(g822 +g966 +tp1604 +a(g408 +g1131 +tp1605 +a(g822 +g966 +tp1606 +a(g27 +g1283 +tp1607 +a(g408 +g1012 +tp1608 +a(g822 +V +p1609 +tp1610 +a(g7 +V# $r is 14 +p1611 +tp1612 +a(g822 +V\u000a +p1613 +tp1614 +a(g740 +Vif +p1615 +tp1616 +a(g408 +g964 +tp1617 +a(g822 +g966 +tp1618 +a(g569 +Vstring.startsWith +p1619 +tp1620 +a(g408 +g964 +tp1621 +a(g822 +g966 +tp1622 +a(g436 +V$path +p1623 +tp1624 +a(g408 +g979 +tp1625 +a(g822 +g966 +tp1626 +a(g84 +g968 +tp1627 +a(g84 +g1152 +tp1628 +a(g84 +g974 +tp1629 +a(g84 +g984 +tp1630 +a(g84 +g986 +tp1631 +a(g84 +g988 +tp1632 +a(g84 +g990 +tp1633 +a(g84 +g984 +tp1634 +a(g84 +g968 +tp1635 +a(g822 +g966 +tp1636 +a(g408 +g1010 +tp1637 +a(g822 +g966 +tp1638 +a(g408 +g1010 +tp1639 +a(g822 +g966 +tp1640 +a(g408 +g1236 +tp1641 +a(g822 +V\u000a +p1642 +tp1643 +a(g822 +V +p1644 +tp1645 +a(g569 +Vpool.use +p1646 +tp1647 +a(g408 +g964 +tp1648 +a(g822 +g966 +tp1649 +a(g84 +g968 +tp1650 +a(g84 +g974 +tp1651 +a(g84 +g984 +tp1652 +a(g84 +g986 +tp1653 +a(g84 +g988 +tp1654 +a(g84 +g990 +tp1655 +a(g84 +g984 +tp1656 +a(g84 +g966 +tp1657 +a(g84 +g1102 +tp1658 +a(g84 +g972 +tp1659 +a(g84 +g972 +tp1660 +a(g84 +g1050 +tp1661 +a(g84 +g968 +tp1662 +a(g822 +g966 +tp1663 +a(g408 +g1010 +tp1664 +a(g408 +g1012 +tp1665 +a(g822 +V\u000a +p1666 +tp1667 +a(g408 +g1279 +tp1668 +a(g822 +g966 +tp1669 +a(g740 +Velse +p1670 +tp1671 +a(g822 +g966 +tp1672 +a(g408 +g1236 +tp1673 +a(g822 +V\u000a +p1674 +tp1675 +a(g822 +V +p1676 +tp1677 +a(g569 +Vpool.use +p1678 +tp1679 +a(g408 +g964 +tp1680 +a(g822 +g966 +tp1681 +a(g84 +g968 +tp1682 +a(g84 +g1195 +tp1683 +a(g84 +g972 +tp1684 +a(g84 +g1195 +tp1685 +a(g84 +g1201 +tp1686 +a(g84 +g974 +tp1687 +a(g84 +g984 +tp1688 +a(g84 +g986 +tp1689 +a(g84 +g988 +tp1690 +a(g84 +g990 +tp1691 +a(g84 +g984 +tp1692 +a(g84 +g966 +tp1693 +a(g84 +g1102 +tp1694 +a(g84 +g972 +tp1695 +a(g84 +g972 +tp1696 +a(g84 +g1050 +tp1697 +a(g84 +g968 +tp1698 +a(g822 +g966 +tp1699 +a(g408 +g1010 +tp1700 +a(g408 +g1012 +tp1701 +a(g822 +V\u000a +p1702 +tp1703 +a(g408 +g1279 +tp1704 +a(g822 +V\u000a +p1705 +tp1706 +a(g822 +V\u000a +p1707 +tp1708 +a(g740 +Vfor +p1709 +tp1710 +a(g408 +g964 +tp1711 +a(g822 +g966 +tp1712 +a(g436 +V$count +p1713 +tp1714 +a(g408 +V = +p1715 +tp1716 +a(g822 +g966 +tp1717 +a(g27 +g1339 +tp1718 +a(g408 +g1012 +tp1719 +a(g822 +g966 +tp1720 +a(g436 +V$count +p1721 +tp1722 +a(g822 +g966 +tp1723 +a(g408 +g1350 +tp1724 +a(g822 +g966 +tp1725 +a(g27 +V10 +p1726 +tp1727 +a(g408 +g1012 +tp1728 +a(g822 +g966 +tp1729 +a(g436 +V$count +p1730 +tp1731 +a(g408 +g1131 +tp1732 +a(g408 +g1131 +tp1733 +a(g822 +g966 +tp1734 +a(g408 +g1010 +tp1735 +a(g822 +g966 +tp1736 +a(g408 +g1236 +tp1737 +a(g822 +V\u000a +p1738 +tp1739 +a(g822 +V +p1740 +tp1741 +a(g569 +Vlog.info +p1742 +tp1743 +a(g408 +g964 +tp1744 +a(g822 +g966 +tp1745 +a(g84 +g968 +tp1746 +a(g84 +VI +p1747 +tp1748 +a(g84 +g1195 +tp1749 +a(g84 +g966 +tp1750 +a(g84 +g1050 +tp1751 +a(g84 +g972 +tp1752 +a(g84 +g972 +tp1753 +a(g84 +g1102 +tp1754 +a(g84 +g979 +tp1755 +a(g84 +g966 +tp1756 +a(g84 +g986 +tp1757 +a(g84 +g972 +tp1758 +a(g84 +g988 +tp1759 +a(g84 +g1195 +tp1760 +a(g84 +g976 +tp1761 +a(g84 +g966 +tp1762 +a(g84 +g1462 +tp1763 +a(g84 +g966 +tp1764 +a(g84 +g968 +tp1765 +a(g822 +g966 +tp1766 +a(g408 +g993 +tp1767 +a(g822 +g966 +tp1768 +a(g436 +V$count +p1769 +tp1770 +a(g822 +g966 +tp1771 +a(g408 +g1010 +tp1772 +a(g408 +g1012 +tp1773 +a(g822 +V\u000a +p1774 +tp1775 +a(g408 +g1279 +tp1776 +a(g822 +V\u000a +p1777 +tp1778 +a(g822 +V\u000a +p1779 +tp1780 +a(g569 +g1000 +tp1781 +a(g436 +V$count +p1782 +tp1783 +a(g408 +V = +p1784 +tp1785 +a(g822 +g966 +tp1786 +a(g27 +g1339 +tp1787 +a(g408 +g1012 +tp1788 +a(g822 +V\u000a +p1789 +tp1790 +a(g740 +Vwhile +p1791 +tp1792 +a(g408 +g964 +tp1793 +a(g822 +g966 +tp1794 +a(g436 +V$count +p1795 +tp1796 +a(g822 +g966 +tp1797 +a(g408 +g1350 +tp1798 +a(g822 +g966 +tp1799 +a(g27 +V10 +p1800 +tp1801 +a(g822 +g966 +tp1802 +a(g408 +g1010 +tp1803 +a(g822 +g966 +tp1804 +a(g408 +g1236 +tp1805 +a(g822 +V\u000a +p1806 +tp1807 +a(g822 +V +p1808 +tp1809 +a(g569 +Vlog.info +p1810 +tp1811 +a(g408 +g964 +tp1812 +a(g822 +g966 +tp1813 +a(g84 +g968 +tp1814 +a(g84 +g1747 +tp1815 +a(g84 +g1195 +tp1816 +a(g84 +g966 +tp1817 +a(g84 +g1050 +tp1818 +a(g84 +g972 +tp1819 +a(g84 +g972 +tp1820 +a(g84 +g1102 +tp1821 +a(g84 +g979 +tp1822 +a(g84 +g966 +tp1823 +a(g84 +g986 +tp1824 +a(g84 +g972 +tp1825 +a(g84 +g988 +tp1826 +a(g84 +g1195 +tp1827 +a(g84 +g976 +tp1828 +a(g84 +g966 +tp1829 +a(g84 +g1462 +tp1830 +a(g84 +g966 +tp1831 +a(g84 +g968 +tp1832 +a(g822 +g966 +tp1833 +a(g408 +g993 +tp1834 +a(g822 +g966 +tp1835 +a(g436 +V$count +p1836 +tp1837 +a(g822 +g966 +tp1838 +a(g408 +g1010 +tp1839 +a(g408 +g1012 +tp1840 +a(g822 +V\u000a +p1841 +tp1842 +a(g822 +V +p1843 +tp1844 +a(g436 +V$count +p1845 +tp1846 +a(g408 +V = +p1847 +tp1848 +a(g822 +g966 +tp1849 +a(g436 +V$count +p1850 +tp1851 +a(g822 +g966 +tp1852 +a(g408 +g1131 +tp1853 +a(g822 +g966 +tp1854 +a(g27 +g1311 +tp1855 +a(g408 +g1012 +tp1856 +a(g822 +V\u000a +p1857 +tp1858 +a(g408 +g1279 +tp1859 +a(g822 +V\u000a +p1860 +tp1861 +a(g822 +V\u000a +p1862 +tp1863 +a(g436 +V$count +p1864 +tp1865 +a(g408 +V = +p1866 +tp1867 +a(g822 +g966 +tp1868 +a(g27 +g1339 +tp1869 +a(g408 +g1012 +tp1870 +a(g822 +V\u000a +p1871 +tp1872 +a(g740 +Vdo +p1873 +tp1874 +a(g822 +g966 +tp1875 +a(g408 +g1236 +tp1876 +a(g822 +V\u000a +p1877 +tp1878 +a(g822 +V +p1879 +tp1880 +a(g569 +Vlog.info +p1881 +tp1882 +a(g408 +g964 +tp1883 +a(g822 +g966 +tp1884 +a(g84 +g968 +tp1885 +a(g84 +g1747 +tp1886 +a(g84 +g1195 +tp1887 +a(g84 +g966 +tp1888 +a(g84 +g1050 +tp1889 +a(g84 +g972 +tp1890 +a(g84 +g972 +tp1891 +a(g84 +g1102 +tp1892 +a(g84 +g979 +tp1893 +a(g84 +g966 +tp1894 +a(g84 +g986 +tp1895 +a(g84 +g972 +tp1896 +a(g84 +g988 +tp1897 +a(g84 +g1195 +tp1898 +a(g84 +g976 +tp1899 +a(g84 +g966 +tp1900 +a(g84 +g1462 +tp1901 +a(g84 +g966 +tp1902 +a(g84 +g968 +tp1903 +a(g822 +g966 +tp1904 +a(g408 +g993 +tp1905 +a(g822 +g966 +tp1906 +a(g436 +V$count +p1907 +tp1908 +a(g822 +g966 +tp1909 +a(g408 +g1010 +tp1910 +a(g408 +g1012 +tp1911 +a(g822 +V\u000a +p1912 +tp1913 +a(g822 +V +p1914 +tp1915 +a(g436 +V$count +p1916 +tp1917 +a(g408 +V = +p1918 +tp1919 +a(g822 +g966 +tp1920 +a(g436 +V$count +p1921 +tp1922 +a(g822 +g966 +tp1923 +a(g408 +g1131 +tp1924 +a(g822 +g966 +tp1925 +a(g27 +g1311 +tp1926 +a(g408 +g1012 +tp1927 +a(g822 +V\u000a +p1928 +tp1929 +a(g408 +g1279 +tp1930 +a(g822 +g966 +tp1931 +a(g740 +Vwhile +p1932 +tp1933 +a(g408 +g964 +tp1934 +a(g822 +g966 +tp1935 +a(g436 +V$count +p1936 +tp1937 +a(g822 +g966 +tp1938 +a(g408 +g1350 +tp1939 +a(g822 +g966 +tp1940 +a(g27 +V10 +p1941 +tp1942 +a(g822 +g966 +tp1943 +a(g408 +g1010 +tp1944 +a(g408 +g1012 +tp1945 +a(g822 +V\u000a +p1946 +tp1947 +a(g822 +V\u000a +p1948 +tp1949 +a(g436 +V$mime +p1950 +tp1951 +a(g408 +V = +p1952 +tp1953 +a(g822 +g966 +tp1954 +a(g569 +Vhttp.getResponseHeader +p1955 +tp1956 +a(g408 +g964 +tp1957 +a(g822 +g966 +tp1958 +a(g84 +g968 +tp1959 +a(g84 +g1192 +tp1960 +a(g84 +g972 +tp1961 +a(g84 +g1195 +tp1962 +a(g84 +g976 +tp1963 +a(g84 +g984 +tp1964 +a(g84 +g1195 +tp1965 +a(g84 +g976 +tp1966 +a(g84 +g1201 +tp1967 +a(g84 +g1089 +tp1968 +a(g84 +g997 +tp1969 +a(g84 +g1102 +tp1970 +a(g84 +g984 +tp1971 +a(g84 +g968 +tp1972 +a(g822 +g966 +tp1973 +a(g408 +g1010 +tp1974 +a(g408 +g1012 +tp1975 +a(g822 +V\u000a +p1976 +tp1977 +a(g740 +Vif +p1978 +tp1979 +a(g408 +g964 +tp1980 +a(g822 +g966 +tp1981 +a(g408 +g1337 +tp1982 +a(g569 +Vstring.startsWith +p1983 +tp1984 +a(g408 +g964 +tp1985 +a(g822 +g966 +tp1986 +a(g436 +V$mime +p1987 +tp1988 +a(g408 +g979 +tp1989 +a(g822 +g966 +tp1990 +a(g84 +g968 +tp1991 +a(g84 +g976 +tp1992 +a(g84 +g984 +tp1993 +a(g84 +Vx +p1994 +tp1995 +a(g84 +g976 +tp1996 +a(g84 +g1152 +tp1997 +a(g84 +g1091 +tp1998 +a(g84 +g976 +tp1999 +a(g84 +g995 +tp2000 +a(g84 +g1050 +tp2001 +a(g84 +g968 +tp2002 +a(g822 +g966 +tp2003 +a(g408 +g1010 +tp2004 +a(g408 +g1010 +tp2005 +a(g822 +g966 +tp2006 +a(g740 +Vbreak +p2007 +tp2008 +a(g408 +g1012 +tp2009 +a(g822 +V\u000a +p2010 +tp2011 +a(g436 +V$array +p2012 +tp2013 +a(g408 +V = +p2014 +tp2015 +a(g822 +g966 +tp2016 +a(g408 +V[ +p2017 +tp2018 +a(g822 +g966 +tp2019 +a(g84 +g968 +tp2020 +a(g84 +VA +p2021 +tp2022 +a(g84 +g1050 +tp2023 +a(g84 +g984 +tp2024 +a(g84 +g1994 +tp2025 +a(g84 +g968 +tp2026 +a(g408 +g979 +tp2027 +a(g822 +g966 +tp2028 +a(g84 +g968 +tp2029 +a(g84 +VM +p2030 +tp2031 +a(g84 +g1104 +tp2032 +a(g84 +g976 +tp2033 +a(g84 +g976 +tp2034 +a(g84 +g968 +tp2035 +a(g408 +g979 +tp2036 +a(g822 +g966 +tp2037 +a(g84 +g968 +tp2038 +a(g84 +VO +p2039 +tp2040 +a(g84 +g1050 +tp2041 +a(g84 +g1000 +tp2042 +a(g84 +Vv +p2043 +tp2044 +a(g84 +g984 +tp2045 +a(g84 +g990 +tp2046 +a(g84 +g968 +tp2047 +a(g408 +g979 +tp2048 +a(g822 +g966 +tp2049 +a(g84 +g968 +tp2050 +a(g84 +g1099 +tp2051 +a(g84 +g1104 +tp2052 +a(g84 +g988 +tp2053 +a(g84 +g990 +tp2054 +a(g84 +g984 +tp2055 +a(g84 +g1195 +tp2056 +a(g84 +g986 +tp2057 +a(g84 +g984 +tp2058 +a(g84 +g968 +tp2059 +a(g822 +g966 +tp2060 +a(g408 +V] +p2061 +tp2062 +a(g408 +g1012 +tp2063 +a(g822 +V\u000a +p2064 +tp2065 +a(g436 +V$someone +p2066 +tp2067 +a(g408 +V = +p2068 +tp2069 +a(g822 +g966 +tp2070 +a(g436 +V$array +p2071 +tp2072 +a(g408 +g2017 +tp2073 +a(g27 +g1339 +tp2074 +a(g408 +g2061 +tp2075 +a(g408 +g1012 +tp2076 +a(g822 +V\u000a +p2077 +tp2078 +a(g436 +V$arraylen +p2079 +tp2080 +a(g408 +V = +p2081 +tp2082 +a(g822 +g966 +tp2083 +a(g569 +Varray.length +p2084 +tp2085 +a(g408 +g964 +tp2086 +a(g436 +V$array +p2087 +tp2088 +a(g408 +g1010 +tp2089 +a(g408 +g1012 +tp2090 +a(g822 +V\u000a +p2091 +tp2092 +a(g569 +Vlog.info +p2093 +tp2094 +a(g408 +g964 +tp2095 +a(g84 +g968 +tp2096 +a(g84 +g2030 +tp2097 +a(g84 +g997 +tp2098 +a(g84 +g966 +tp2099 +a(g84 +g1104 +tp2100 +a(g84 +g990 +tp2101 +a(g84 +g990 +tp2102 +a(g84 +g1104 +tp2103 +a(g84 +g997 +tp2104 +a(g84 +g966 +tp2105 +a(g84 +g1091 +tp2106 +a(g84 +g1104 +tp2107 +a(g84 +g974 +tp2108 +a(g84 +g966 +tp2109 +a(g84 +g968 +tp2110 +a(g822 +g966 +tp2111 +a(g408 +g993 +tp2112 +a(g822 +g966 +tp2113 +a(g436 +V$arraylen +p2114 +tp2115 +a(g822 +g966 +tp2116 +a(g408 +g993 +tp2117 +a(g822 +g966 +tp2118 +a(g84 +g968 +tp2119 +a(g84 +g966 +tp2120 +a(g84 +g984 +tp2121 +a(g84 +g1050 +tp2122 +a(g84 +g984 +tp2123 +a(g84 +g995 +tp2124 +a(g84 +g984 +tp2125 +a(g84 +g1195 +tp2126 +a(g84 +g976 +tp2127 +a(g84 +g974 +tp2128 +a(g84 +g993 +tp2129 +a(g208 +V\u005cn +p2130 +tp2131 +a(g84 +g968 +tp2132 +a(g408 +g1010 +tp2133 +a(g408 +g1012 +tp2134 +a(g822 +V\u000a +p2135 +tp2136 +a(g822 +V\u000a +p2137 +tp2138 +a(g740 +Vfor +p2139 +tp2140 +a(g822 +g966 +tp2141 +a(g408 +g964 +tp2142 +a(g822 +g966 +tp2143 +a(g436 +V$i +p2144 +tp2145 +a(g408 +V = +p2146 +tp2147 +a(g822 +g966 +tp2148 +a(g27 +g1339 +tp2149 +a(g408 +g1012 +tp2150 +a(g822 +g966 +tp2151 +a(g436 +V$i +p2152 +tp2153 +a(g822 +g966 +tp2154 +a(g408 +g1350 +tp2155 +a(g822 +g966 +tp2156 +a(g436 +V$arraylen +p2157 +tp2158 +a(g408 +g1012 +tp2159 +a(g822 +g966 +tp2160 +a(g436 +V$i +p2161 +tp2162 +a(g408 +g1131 +tp2163 +a(g408 +g1131 +tp2164 +a(g822 +g966 +tp2165 +a(g408 +g1010 +tp2166 +a(g408 +g1236 +tp2167 +a(g822 +V\u000a +p2168 +tp2169 +a(g822 +V +p2170 +tp2171 +a(g569 +Vlog.info +p2172 +tp2173 +a(g822 +g966 +tp2174 +a(g408 +g964 +tp2175 +a(g822 +g966 +tp2176 +a(g84 +g968 +tp2177 +a(g84 +VE +p2178 +tp2179 +a(g84 +g1050 +tp2180 +a(g84 +g984 +tp2181 +a(g84 +g995 +tp2182 +a(g84 +g984 +tp2183 +a(g84 +g1195 +tp2184 +a(g84 +g976 +tp2185 +a(g84 +g966 +tp2186 +a(g84 +V# +p2187 +tp2188 +a(g84 +g968 +tp2189 +a(g822 +g966 +tp2190 +a(g408 +g993 +tp2191 +a(g822 +g966 +tp2192 +a(g436 +V$i +p2193 +tp2194 +a(g822 +g966 +tp2195 +a(g408 +g993 +tp2196 +a(g822 +g966 +tp2197 +a(g84 +g968 +tp2198 +a(g84 +g966 +tp2199 +a(g84 +g968 +tp2200 +a(g822 +g966 +tp2201 +a(g408 +g993 +tp2202 +a(g822 +g966 +tp2203 +a(g436 +V$array +p2204 +tp2205 +a(g408 +g2017 +tp2206 +a(g436 +V$i +p2207 +tp2208 +a(g408 +g2061 +tp2209 +a(g408 +g1010 +tp2210 +a(g408 +g1012 +tp2211 +a(g822 +V\u000a +p2212 +tp2213 +a(g408 +g1279 +tp2214 +a(g822 +V\u000a +p2215 +tp2216 +a(g822 +V\u000a +p2217 +tp2218 +a(g436 +V$hash +p2219 +tp2220 +a(g408 +V = +p2221 +tp2222 +a(g822 +g966 +tp2223 +a(g408 +g2017 +tp2224 +a(g822 +g966 +tp2225 +a(g84 +g968 +tp2226 +a(g84 +g972 +tp2227 +a(g84 +g990 +tp2228 +a(g84 +g1104 +tp2229 +a(g84 +g1195 +tp2230 +a(g84 +g1206 +tp2231 +a(g84 +g984 +tp2232 +a(g84 +g968 +tp2233 +a(g408 +V = +p2234 +tp2235 +a(g408 +g1223 +tp2236 +a(g822 +g966 +tp2237 +a(g84 +g968 +tp2238 +a(g84 +g1327 +tp2239 +a(g84 +g990 +tp2240 +a(g84 +g988 +tp2241 +a(g84 +g1000 +tp2242 +a(g84 +g976 +tp2243 +a(g84 +g968 +tp2244 +a(g408 +g979 +tp2245 +a(g822 +V\u000a +p2246 +tp2247 +a(g822 +V +p2248 +tp2249 +a(g84 +g968 +tp2250 +a(g84 +g1104 +tp2251 +a(g84 +g1102 +tp2252 +a(g84 +g1102 +tp2253 +a(g84 +g1050 +tp2254 +a(g84 +g984 +tp2255 +a(g84 +g968 +tp2256 +a(g408 +V = +p2257 +tp2258 +a(g408 +g1223 +tp2259 +a(g822 +g966 +tp2260 +a(g84 +g968 +tp2261 +a(g84 +g1327 +tp2262 +a(g84 +g990 +tp2263 +a(g84 +g988 +tp2264 +a(g84 +g1000 +tp2265 +a(g84 +g976 +tp2266 +a(g84 +g968 +tp2267 +a(g408 +g979 +tp2268 +a(g822 +V\u000a +p2269 +tp2270 +a(g822 +V +p2271 +tp2272 +a(g84 +g968 +tp2273 +a(g84 +g986 +tp2274 +a(g84 +g1104 +tp2275 +a(g84 +g1262 +tp2276 +a(g84 +g1262 +tp2277 +a(g84 +g1104 +tp2278 +a(g84 +g1206 +tp2279 +a(g84 +g984 +tp2280 +a(g84 +g968 +tp2281 +a(g408 +V = +p2282 +tp2283 +a(g408 +g1223 +tp2284 +a(g822 +g966 +tp2285 +a(g84 +g968 +tp2286 +a(g84 +g2043 +tp2287 +a(g84 +g984 +tp2288 +a(g84 +g1206 +tp2289 +a(g84 +g984 +tp2290 +a(g84 +g976 +tp2291 +a(g84 +g1104 +tp2292 +a(g84 +g1262 +tp2293 +a(g84 +g1050 +tp2294 +a(g84 +g984 +tp2295 +a(g84 +g968 +tp2296 +a(g408 +g979 +tp2297 +a(g822 +V\u000a +p2298 +tp2299 +a(g822 +V +p2300 +tp2301 +a(g84 +g968 +tp2302 +a(g84 +g1102 +tp2303 +a(g84 +g984 +tp2304 +a(g84 +g1104 +tp2305 +a(g84 +g990 +tp2306 +a(g84 +g968 +tp2307 +a(g408 +V = +p2308 +tp2309 +a(g408 +g1223 +tp2310 +a(g822 +g966 +tp2311 +a(g84 +g968 +tp2312 +a(g84 +g1327 +tp2313 +a(g84 +g990 +tp2314 +a(g84 +g988 +tp2315 +a(g84 +g1000 +tp2316 +a(g84 +g976 +tp2317 +a(g84 +g968 +tp2318 +a(g822 +g966 +tp2319 +a(g408 +g2061 +tp2320 +a(g408 +g1012 +tp2321 +a(g822 +V\u000a +p2322 +tp2323 +a(g822 +V\u000a +p2324 +tp2325 +a(g740 +Vforeach +p2326 +tp2327 +a(g822 +g966 +tp2328 +a(g408 +g964 +tp2329 +a(g822 +g966 +tp2330 +a(g436 +V$key +p2331 +tp2332 +a(g822 +g966 +tp2333 +a(g740 +Vin +p2334 +tp2335 +a(g822 +g966 +tp2336 +a(g569 +Vhash.keys +p2337 +tp2338 +a(g408 +g964 +tp2339 +a(g436 +V$hash +p2340 +tp2341 +a(g408 +g1010 +tp2342 +a(g408 +g1010 +tp2343 +a(g408 +g1236 +tp2344 +a(g822 +V\u000a +p2345 +tp2346 +a(g822 +V +p2347 +tp2348 +a(g569 +Vlog.info +p2349 +tp2350 +a(g408 +g964 +tp2351 +a(g84 +g968 +tp2352 +a(g84 +VK +p2353 +tp2354 +a(g84 +g984 +tp2355 +a(g84 +g997 +tp2356 +a(g84 +g1267 +tp2357 +a(g84 +g966 +tp2358 +a(g84 +g968 +tp2359 +a(g822 +g966 +tp2360 +a(g408 +g993 +tp2361 +a(g822 +g966 +tp2362 +a(g436 +V$key +p2363 +tp2364 +a(g822 +g966 +tp2365 +a(g408 +g993 +tp2366 +a(g822 +g966 +tp2367 +a(g84 +g968 +tp2368 +a(g84 +g1012 +tp2369 +a(g84 +g966 +tp2370 +a(g84 +VV +p2371 +tp2372 +a(g84 +g1104 +tp2373 +a(g84 +g1050 +tp2374 +a(g84 +g988 +tp2375 +a(g84 +g984 +tp2376 +a(g84 +g1267 +tp2377 +a(g84 +g966 +tp2378 +a(g84 +g968 +tp2379 +a(g822 +g966 +tp2380 +a(g408 +g993 +tp2381 +a(g822 +g966 +tp2382 +a(g436 +V$hash +p2383 +tp2384 +a(g408 +g2017 +tp2385 +a(g436 +V$key +p2386 +tp2387 +a(g408 +g2061 +tp2388 +a(g822 +g966 +tp2389 +a(g408 +g993 +tp2390 +a(g822 +V\u000a +p2391 +tp2392 +a(g84 +g968 +tp2393 +a(g84 +g1012 +tp2394 +a(g84 +g968 +tp2395 +a(g408 +g1010 +tp2396 +a(g408 +g1012 +tp2397 +a(g822 +g966 +tp2398 +a(g408 +g1279 +tp2399 +a(g822 +V\u000a +p2400 +tp2401 +a(g822 +V\u000a +p2402 +tp2403 +a(g7 +V# Declare a subroutine to calculate factorials +p2404 +tp2405 +a(g822 +V\u000a +p2406 +tp2407 +a(g740 +Vsub +p2408 +tp2409 +a(g822 +g966 +tp2410 +a(g569 +Vfactorial +p2411 +tp2412 +a(g408 +g964 +tp2413 +a(g822 +g966 +tp2414 +a(g436 +V$n +p2415 +tp2416 +a(g822 +g966 +tp2417 +a(g408 +g1010 +tp2418 +a(g822 +g966 +tp2419 +a(g408 +g1236 +tp2420 +a(g822 +V\u000a +p2421 +tp2422 +a(g822 +V +p2423 +tp2424 +a(g740 +Vif +p2425 +tp2426 +a(g408 +g964 +tp2427 +a(g822 +g966 +tp2428 +a(g436 +V$n +p2429 +tp2430 +a(g408 +V = +p2431 +tp2432 +a(g408 +g1462 +tp2433 +a(g822 +g966 +tp2434 +a(g27 +g1339 +tp2435 +a(g822 +g966 +tp2436 +a(g408 +g1010 +tp2437 +a(g822 +g966 +tp2438 +a(g740 +Vreturn +p2439 +tp2440 +a(g822 +g966 +tp2441 +a(g27 +g1311 +tp2442 +a(g408 +g1012 +tp2443 +a(g822 +V\u000a +p2444 +tp2445 +a(g822 +V +p2446 +tp2447 +a(g740 +Vreturn +p2448 +tp2449 +a(g822 +g966 +tp2450 +a(g436 +V$n +p2451 +tp2452 +a(g408 +g1165 +tp2453 +a(g569 +Vfactorial +p2454 +tp2455 +a(g408 +g964 +tp2456 +a(g822 +g966 +tp2457 +a(g436 +V$n +p2458 +tp2459 +a(g408 +g1201 +tp2460 +a(g27 +g1311 +tp2461 +a(g822 +g966 +tp2462 +a(g408 +g1010 +tp2463 +a(g408 +g1012 +tp2464 +a(g822 +V\u000a +p2465 +tp2466 +a(g408 +g1279 +tp2467 +a(g822 +V\u000a +p2468 +tp2469 +a(g7 +V# Put entries into the array +p2470 +tp2471 +a(g822 +V\u000a +p2472 +tp2473 +a(g436 +V$c +p2474 +tp2475 +a(g408 +V = +p2476 +tp2477 +a(g822 +g966 +tp2478 +a(g27 +g1339 +tp2479 +a(g408 +g1012 +tp2480 +a(g822 +V\u000a +p2481 +tp2482 +a(g740 +Vwhile +p2483 +tp2484 +a(g408 +g964 +tp2485 +a(g822 +g966 +tp2486 +a(g436 +V$c +p2487 +tp2488 +a(g822 +g966 +tp2489 +a(g408 +g1350 +tp2490 +a(g408 +g1462 +tp2491 +a(g822 +g966 +tp2492 +a(g27 +V10 +p2493 +tp2494 +a(g822 +g966 +tp2495 +a(g408 +g1010 +tp2496 +a(g822 +g966 +tp2497 +a(g408 +g1236 +tp2498 +a(g822 +V\u000a +p2499 +tp2500 +a(g822 +V +p2501 +tp2502 +a(g436 +V$msg +p2503 +tp2504 +a(g408 +V = +p2505 +tp2506 +a(g822 +g966 +tp2507 +a(g84 +g968 +tp2508 +a(g84 +VD +p2509 +tp2510 +a(g84 +g1000 +tp2511 +a(g84 +g1064 +tp2512 +a(g84 +g966 +tp2513 +a(g84 +g997 +tp2514 +a(g84 +g972 +tp2515 +a(g84 +g988 +tp2516 +a(g84 +g966 +tp2517 +a(g84 +Vk +p2518 +tp2519 +a(g84 +g1195 +tp2520 +a(g84 +g972 +tp2521 +a(g84 +g1059 +tp2522 +a(g84 +g966 +tp2523 +a(g84 +g976 +tp2524 +a(g84 +g1091 +tp2525 +a(g84 +g1104 +tp2526 +a(g84 +g976 +tp2527 +a(g84 +g966 +tp2528 +a(g84 +g968 +tp2529 +a(g408 +g993 +tp2530 +a(g822 +g966 +tp2531 +a(g436 +V$c +p2532 +tp2533 +a(g822 +g966 +tp2534 +a(g408 +g993 +tp2535 +a(g84 +g968 +tp2536 +a(g84 +g1337 +tp2537 +a(g84 +g966 +tp2538 +a(g84 +g1000 +tp2539 +a(g84 +g974 +tp2540 +a(g84 +g966 +tp2541 +a(g84 +g968 +tp2542 +a(g408 +g993 +tp2543 +a(g822 +g966 +tp2544 +a(g569 +Vfactorial +p2545 +tp2546 +a(g408 +g964 +tp2547 +a(g822 +g966 +tp2548 +a(g436 +V$c +p2549 +tp2550 +a(g822 +g966 +tp2551 +a(g408 +g1010 +tp2552 +a(g822 +V\u000a +p2553 +tp2554 +a(g408 +g993 +tp2555 +a(g84 +g968 +tp2556 +a(g84 +V? +p2557 +tp2558 +a(g84 +g968 +tp2559 +a(g822 +g966 +tp2560 +a(g408 +g1012 +tp2561 +a(g822 +V\u000a +p2562 +tp2563 +a(g822 +V +p2564 +tp2565 +a(g569 +Vdata.set +p2566 +tp2567 +a(g408 +g964 +tp2568 +a(g822 +g966 +tp2569 +a(g84 +g968 +tp2570 +a(g84 +g995 +tp2571 +a(g84 +g997 +tp2572 +a(g84 +g1104 +tp2573 +a(g84 +g990 +tp2574 +a(g84 +g990 +tp2575 +a(g84 +g1104 +tp2576 +a(g84 +g997 +tp2577 +a(g84 +g968 +tp2578 +a(g408 +g993 +tp2579 +a(g436 +V$c +p2580 +tp2581 +a(g408 +g979 +tp2582 +a(g822 +g966 +tp2583 +a(g436 +V$msg +p2584 +tp2585 +a(g822 +g966 +tp2586 +a(g408 +g1010 +tp2587 +a(g408 +g1012 +tp2588 +a(g822 +V\u000a +p2589 +tp2590 +a(g436 +V$c +p2591 +tp2592 +a(g408 +g1131 +tp2593 +a(g408 +g1131 +tp2594 +a(g408 +g1012 +tp2595 +a(g822 +g966 +tp2596 +a(g408 +g1279 +tp2597 +a(g822 +V\u000a +p2598 +tp2599 +a(g7 +V# Look up several entries. Note: the 1000th entry is empty +p2600 +tp2601 +a(g822 +V\u000a +p2602 +tp2603 +a(g436 +V$msg +p2604 +tp2605 +a(g408 +V = +p2606 +tp2607 +a(g822 +g966 +tp2608 +a(g84 +g968 +tp2609 +a(g84 +g968 +tp2610 +a(g408 +g1012 +tp2611 +a(g822 +V\u000a +p2612 +tp2613 +a(g436 +V$msg +p2614 +tp2615 +a(g822 +g966 +tp2616 +a(g408 +g993 +tp2617 +a(g408 +g1462 +tp2618 +a(g822 +g966 +tp2619 +a(g84 +g968 +tp2620 +a(g84 +g1747 +tp2621 +a(g84 +g1195 +tp2622 +a(g84 +g1064 +tp2623 +a(g84 +g984 +tp2624 +a(g84 +g1994 +tp2625 +a(g84 +g966 +tp2626 +a(g84 +g1311 +tp2627 +a(g84 +g1339 +tp2628 +a(g84 +g1339 +tp2629 +a(g84 +g1339 +tp2630 +a(g84 +g1267 +tp2631 +a(g84 +g966 +tp2632 +a(g84 +g968 +tp2633 +a(g408 +g993 +tp2634 +a(g569 +Vdata.get +p2635 +tp2636 +a(g408 +g964 +tp2637 +a(g822 +g966 +tp2638 +a(g84 +g968 +tp2639 +a(g84 +g995 +tp2640 +a(g84 +g997 +tp2641 +a(g84 +g1104 +tp2642 +a(g84 +g990 +tp2643 +a(g84 +g990 +tp2644 +a(g84 +g1104 +tp2645 +a(g84 +g997 +tp2646 +a(g84 +g1311 +tp2647 +a(g84 +g1339 +tp2648 +a(g84 +g1339 +tp2649 +a(g84 +g1339 +tp2650 +a(g84 +g968 +tp2651 +a(g822 +g966 +tp2652 +a(g408 +g1010 +tp2653 +a(g408 +g993 +tp2654 +a(g84 +g968 +tp2655 +a(g208 +V\u005cn +p2656 +tp2657 +a(g84 +g968 +tp2658 +a(g408 +g1012 +tp2659 +a(g822 +V\u000a +p2660 +tp2661 +a(g7 +V# delete the entire array (but no other data stored by data.set) +p2662 +tp2663 +a(g822 +V\u000a +p2664 +tp2665 +a(g569 +Vdata.reset +p2666 +tp2667 +a(g408 +g964 +tp2668 +a(g822 +g966 +tp2669 +a(g84 +g968 +tp2670 +a(g84 +g995 +tp2671 +a(g84 +g997 +tp2672 +a(g84 +g1104 +tp2673 +a(g84 +g990 +tp2674 +a(g84 +g990 +tp2675 +a(g84 +g1104 +tp2676 +a(g84 +g997 +tp2677 +a(g84 +g968 +tp2678 +a(g822 +g966 +tp2679 +a(g408 +g1010 +tp2680 +a(g408 +g1012 +tp2681 +a(g822 +V\u000a +p2682 +tp2683 +a(g569 +Vhttp.sendResponse +p2684 +tp2685 +a(g408 +g964 +tp2686 +a(g822 +g966 +tp2687 +a(g84 +g968 +tp2688 +a(g84 +g1128 +tp2689 +a(g84 +g1339 +tp2690 +a(g84 +g1339 +tp2691 +a(g84 +g966 +tp2692 +a(g84 +g2039 +tp2693 +a(g84 +g2353 +tp2694 +a(g84 +g968 +tp2695 +a(g408 +g979 +tp2696 +a(g822 +g966 +tp2697 +a(g84 +g968 +tp2698 +a(g84 +g976 +tp2699 +a(g84 +g984 +tp2700 +a(g84 +g1994 +tp2701 +a(g84 +g976 +tp2702 +a(g84 +g1152 +tp2703 +a(g84 +g1102 +tp2704 +a(g84 +g1050 +tp2705 +a(g84 +g1104 +tp2706 +a(g84 +g1000 +tp2707 +a(g84 +g1195 +tp2708 +a(g84 +g968 +tp2709 +a(g408 +g979 +tp2710 +a(g822 +g966 +tp2711 +a(g436 +V$msg +p2712 +tp2713 +a(g408 +g979 +tp2714 +a(g822 +g966 +tp2715 +a(g84 +g968 +tp2716 +a(g84 +g968 +tp2717 +a(g822 +g966 +tp2718 +a(g408 +g1010 +tp2719 +a(g408 +g1012 +tp2720 +a(g822 +V\u000a +p2721 +tp2722 +a(g740 +Vsub +p2723 +tp2724 +a(g822 +g966 +tp2725 +a(g569 +Vheadbug +p2726 +tp2727 +a(g408 +g964 +tp2728 +a(g408 +g1010 +tp2729 +a(g408 +g1236 +tp2730 +a(g822 +V\u000a +p2731 +tp2732 +a(g822 +V +p2733 +tp2734 +a(g7 +V# Prints each header to the event log. +p2735 +tp2736 +a(g822 +V\u000a +p2737 +tp2738 +a(g822 +V +p2739 +tp2740 +a(g436 +V$headers +p2741 +tp2742 +a(g408 +V = +p2743 +tp2744 +a(g822 +g966 +tp2745 +a(g569 +Vhttp.listHeaderNames +p2746 +tp2747 +a(g408 +g964 +tp2748 +a(g408 +g1010 +tp2749 +a(g408 +g1012 +tp2750 +a(g822 +V\u000a +p2751 +tp2752 +a(g822 +V +p2753 +tp2754 +a(g740 +Vforeach +p2755 +tp2756 +a(g822 +g966 +tp2757 +a(g408 +g964 +tp2758 +a(g436 +V$header +p2759 +tp2760 +a(g822 +g966 +tp2761 +a(g740 +Vin +p2762 +tp2763 +a(g822 +g966 +tp2764 +a(g436 +V$headers +p2765 +tp2766 +a(g408 +g1010 +tp2767 +a(g408 +g1236 +tp2768 +a(g822 +V\u000a +p2769 +tp2770 +a(g822 +V +p2771 +tp2772 +a(g569 +Vlog.info +p2773 +tp2774 +a(g408 +g964 +tp2775 +a(g822 +g966 +tp2776 +a(g436 +V$header +p2777 +tp2778 +a(g822 +g966 +tp2779 +a(g408 +g993 +tp2780 +a(g822 +g966 +tp2781 +a(g84 +g968 +tp2782 +a(g84 +g1267 +tp2783 +a(g84 +g966 +tp2784 +a(g84 +g968 +tp2785 +a(g822 +g966 +tp2786 +a(g408 +g993 +tp2787 +a(g822 +g966 +tp2788 +a(g569 +Vhttp.getheader +p2789 +tp2790 +a(g408 +g964 +tp2791 +a(g436 +V$header +p2792 +tp2793 +a(g408 +g1010 +tp2794 +a(g408 +g1010 +tp2795 +a(g408 +g1012 +tp2796 +a(g822 +V\u000a +p2797 +tp2798 +a(g408 +g1279 +tp2799 +a(g822 +g966 +tp2800 +a(g408 +g1279 +tp2801 +a(g822 +V\u000a +p2802 +tp2803 +a(g822 +V\u000a +p2804 +tp2805 +a(g740 +Vimport +p2806 +tp2807 +a(g822 +g966 +tp2808 +a(g569 +Vfoo +p2809 +tp2810 +a(g408 +g1012 +tp2811 +a(g822 +V\u000a +p2812 +tp2813 +a(g569 +Vfoo.headbug +p2814 +tp2815 +a(g408 +g964 +tp2816 +a(g408 +g1010 +tp2817 +a(g408 +g1012 +tp2818 +a(g822 +V\u000a +p2819 +tp2820 +a(g7 +V# Sets the regex string as ^192\u005c.168\u005c. ; the two examples +p2821 +tp2822 +a(g822 +V\u000a +p2823 +tp2824 +a(g7 +V# below have the same effect +p2825 +tp2826 +a(g822 +V\u000a +p2827 +tp2828 +a(g436 +V$regex +p2829 +tp2830 +a(g408 +V = +p2831 +tp2832 +a(g822 +g966 +tp2833 +a(g84 +g968 +tp2834 +a(g84 +g1412 +tp2835 +a(g84 +g964 +tp2836 +a(g84 +g1311 +tp2837 +a(g84 +V9 +p2838 +tp2839 +a(g84 +g1128 +tp2840 +a(g84 +g1010 +tp2841 +a(g84 +V\u005c +p2842 +tp2843 +a(g84 +V\u005c +p2844 +tp2845 +a(g84 +g993 +tp2846 +a(g84 +g1311 +tp2847 +a(g84 +V6 +p2848 +tp2849 +a(g84 +V8 +p2850 +tp2851 +a(g84 +V\u005c +p2852 +tp2853 +a(g84 +V\u005c +p2854 +tp2855 +a(g84 +g993 +tp2856 +a(g84 +g968 +tp2857 +a(g408 +g1012 +tp2858 +a(g822 +V\u000a +p2859 +tp2860 +a(g436 +V$regex +p2861 +tp2862 +a(g408 +V = +p2863 +tp2864 +a(g822 +g966 +tp2865 +a(g84 +V'^192\u005c.168\u005c.' +p2866 +tp2867 +a(g408 +g1012 +tp2868 +a(g822 +V\u000a +p2869 +tp2870 +a(g740 +Vif +p2871 +tp2872 +a(g822 +g966 +tp2873 +a(g408 +g964 +tp2874 +a(g822 +g966 +tp2875 +a(g569 +Vstring.regexMatch +p2876 +tp2877 +a(g408 +g964 +tp2878 +a(g822 +g966 +tp2879 +a(g436 +V$ip +p2880 +tp2881 +a(g408 +g979 +tp2882 +a(g822 +g966 +tp2883 +a(g436 +V$regex +p2884 +tp2885 +a(g822 +g966 +tp2886 +a(g408 +g1010 +tp2887 +a(g822 +g966 +tp2888 +a(g408 +g1010 +tp2889 +a(g822 +g966 +tp2890 +a(g408 +g1236 +tp2891 +a(g822 +V\u000a +p2892 +tp2893 +a(g822 +V +p2894 +tp2895 +a(g7 +V# IP is on 192.168.* network +p2896 +tp2897 +a(g822 +V\u000a +p2898 +tp2899 +a(g408 +g1279 +tp2900 +a(g822 +V\u000a +p2901 +tp2902 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sbl b/tests/examplefiles/output/example.sbl new file mode 100644 index 0000000..004c4bb --- /dev/null +++ b/tests/examplefiles/output/example.sbl @@ -0,0 +1,5260 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* Stemmer for Esperanto in UTF-8 */ +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g794 +Vstrings +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g706 +V( +p964 +tp965 +a(g706 +V) +p966 +tp967 +a(g827 +V\u000a\u000a +p968 +tp969 +a(g794 +Vintegers +p970 +tp971 +a(g827 +g962 +tp972 +a(g706 +g964 +tp973 +a(g706 +g966 +tp974 +a(g827 +V\u000a\u000a +p975 +tp976 +a(g794 +Vbooleans +p977 +tp978 +a(g827 +g962 +tp979 +a(g706 +g964 +tp980 +a(g827 +g962 +tp981 +a(g436 +Vforeign +p982 +tp983 +a(g827 +g962 +tp984 +a(g706 +g966 +tp985 +a(g827 +V\u000a\u000a +p986 +tp987 +a(g794 +Vroutines +p988 +tp989 +a(g827 +g962 +tp990 +a(g706 +g964 +tp991 +a(g827 +V\u000a +p992 +tp993 +a(g436 +Vapostrophe +p994 +tp995 +a(g827 +V\u000a +p996 +tp997 +a(g436 +Vcanonical_form +p998 +tp999 +a(g827 +V\u000a +p1000 +tp1001 +a(g436 +Vcorrelative +p1002 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g436 +Vinterjection +p1006 +tp1007 +a(g827 +V\u000a +p1008 +tp1009 +a(g436 +Vshort_word +p1010 +tp1011 +a(g827 +V\u000a +p1012 +tp1013 +a(g436 +Vstandard_suffix +p1014 +tp1015 +a(g827 +V\u000a +p1016 +tp1017 +a(g436 +Vunuj +p1018 +tp1019 +a(g827 +V\u000a +p1020 +tp1021 +a(g706 +g966 +tp1022 +a(g827 +V\u000a\u000a +p1023 +tp1024 +a(g794 +Vexternals +p1025 +tp1026 +a(g827 +g962 +tp1027 +a(g706 +g964 +tp1028 +a(g827 +g962 +tp1029 +a(g436 +Vstem +p1030 +tp1031 +a(g827 +g962 +tp1032 +a(g706 +g966 +tp1033 +a(g827 +V\u000a\u000a +p1034 +tp1035 +a(g794 +Vgroupings +p1036 +tp1037 +a(g827 +g962 +tp1038 +a(g706 +g964 +tp1039 +a(g827 +g962 +tp1040 +a(g436 +Vvowel +p1041 +tp1042 +a(g827 +g962 +tp1043 +a(g436 +Vaiou +p1044 +tp1045 +a(g827 +g962 +tp1046 +a(g436 +Vao +p1047 +tp1048 +a(g827 +g962 +tp1049 +a(g436 +Vou +p1050 +tp1051 +a(g827 +g962 +tp1052 +a(g706 +g966 +tp1053 +a(g827 +V\u000a\u000a +p1054 +tp1055 +a(g794 +Vstringdef +p1056 +tp1057 +a(g827 +g962 +tp1058 +a(g213 +Va' +p1059 +tp1060 +a(g827 +g962 +tp1061 +a(g794 +Vdecimal +p1062 +tp1063 +a(g827 +g962 +tp1064 +a(g230 +V' +p1065 +tp1066 +a(g230 +V225 +p1067 +tp1068 +a(g230 +g1065 +tp1069 +a(g827 +V\u000a +p1070 +tp1071 +a(g794 +Vstringdef +p1072 +tp1073 +a(g827 +g962 +tp1074 +a(g213 +Ve' +p1075 +tp1076 +a(g827 +g962 +tp1077 +a(g794 +Vhex +p1078 +tp1079 +a(g827 +g962 +tp1080 +a(g230 +g1065 +tp1081 +a(g230 +VE9 +p1082 +tp1083 +a(g230 +g1065 +tp1084 +a(g827 +V\u000a +p1085 +tp1086 +a(g794 +Vstringdef +p1087 +tp1088 +a(g827 +g962 +tp1089 +a(g213 +Vi' +p1090 +tp1091 +a(g827 +g962 +tp1092 +a(g794 +Vhex +p1093 +tp1094 +a(g827 +g962 +tp1095 +a(g230 +g1065 +tp1096 +a(g230 +VED +p1097 +tp1098 +a(g230 +g1065 +tp1099 +a(g827 +V\u000a +p1100 +tp1101 +a(g794 +Vstringdef +p1102 +tp1103 +a(g827 +g962 +tp1104 +a(g213 +Vo' +p1105 +tp1106 +a(g827 +g962 +tp1107 +a(g794 +Vhex +p1108 +tp1109 +a(g827 +g962 +tp1110 +a(g230 +g1065 +tp1111 +a(g230 +V f3 +p1112 +tp1113 +a(g230 +g1065 +tp1114 +a(g827 +V\u000a +p1115 +tp1116 +a(g794 +Vstringdef +p1117 +tp1118 +a(g827 +g962 +tp1119 +a(g213 +Vu' +p1120 +tp1121 +a(g827 +g962 +tp1122 +a(g794 +Vhex +p1123 +tp1124 +a(g827 +g962 +tp1125 +a(g230 +g1065 +tp1126 +a(g230 +Vfa +p1127 +tp1128 +a(g230 +g1065 +tp1129 +a(g827 +V\u000a\u000a +p1130 +tp1131 +a(g794 +Vstringdef +p1132 +tp1133 +a(g827 +g962 +tp1134 +a(g213 +Vcx +p1135 +tp1136 +a(g827 +g962 +tp1137 +a(g794 +Vhex +p1138 +tp1139 +a(g827 +g962 +tp1140 +a(g230 +g1065 +tp1141 +a(g230 +V0109 +p1142 +tp1143 +a(g230 +g1065 +tp1144 +a(g827 +V\u000a +p1145 +tp1146 +a(g794 +Vstringdef +p1147 +tp1148 +a(g827 +g962 +tp1149 +a(g213 +Vgx +p1150 +tp1151 +a(g827 +g962 +tp1152 +a(g794 +Vhex +p1153 +tp1154 +a(g827 +g962 +tp1155 +a(g230 +g1065 +tp1156 +a(g230 +V011D +p1157 +tp1158 +a(g230 +g1065 +tp1159 +a(g827 +V\u000a +p1160 +tp1161 +a(g794 +Vstringdef +p1162 +tp1163 +a(g827 +g962 +tp1164 +a(g213 +Vhx +p1165 +tp1166 +a(g827 +g962 +tp1167 +a(g794 +Vhex +p1168 +tp1169 +a(g827 +g962 +tp1170 +a(g230 +g1065 +tp1171 +a(g230 +V0125 +p1172 +tp1173 +a(g230 +g1065 +tp1174 +a(g827 +V\u000a +p1175 +tp1176 +a(g794 +Vstringdef +p1177 +tp1178 +a(g827 +g962 +tp1179 +a(g213 +Vjx +p1180 +tp1181 +a(g827 +g962 +tp1182 +a(g794 +Vhex +p1183 +tp1184 +a(g827 +g962 +tp1185 +a(g230 +g1065 +tp1186 +a(g230 +V0135 +p1187 +tp1188 +a(g230 +g1065 +tp1189 +a(g827 +V\u000a +p1190 +tp1191 +a(g794 +Vstringdef +p1192 +tp1193 +a(g827 +g962 +tp1194 +a(g213 +Vsx +p1195 +tp1196 +a(g827 +g962 +tp1197 +a(g794 +Vhex +p1198 +tp1199 +a(g827 +g962 +tp1200 +a(g230 +g1065 +tp1201 +a(g230 +V015D +p1202 +tp1203 +a(g230 +g1065 +tp1204 +a(g827 +V\u000a +p1205 +tp1206 +a(g794 +Vstringdef +p1207 +tp1208 +a(g827 +g962 +tp1209 +a(g213 +Vux +p1210 +tp1211 +a(g827 +g962 +tp1212 +a(g794 +Vhex +p1213 +tp1214 +a(g827 +g962 +tp1215 +a(g230 +g1065 +tp1216 +a(g230 +V016D +p1217 +tp1218 +a(g230 +g1065 +tp1219 +a(g827 +V\u000a\u000a +p1220 +tp1221 +a(g794 +Vdefine +p1222 +tp1223 +a(g827 +g962 +tp1224 +a(g436 +Vcanonical_form +p1225 +tp1226 +a(g827 +g962 +tp1227 +a(g794 +Vas +p1228 +tp1229 +a(g827 +g962 +tp1230 +a(g427 +Vrepeat +p1231 +tp1232 +a(g827 +g962 +tp1233 +a(g706 +g964 +tp1234 +a(g827 +V\u000a +p1235 +tp1236 +a(g413 +V[ +p1237 +tp1238 +a(g427 +Vsubstring +p1239 +tp1240 +a(g413 +V] +p1241 +tp1242 +a(g827 +V\u000a +p1243 +tp1244 +a(g794 +Vamong +p1245 +tp1246 +a(g827 +g962 +tp1247 +a(g706 +g964 +tp1248 +a(g827 +V\u000a +p1249 +tp1250 +a(g794 +Vstringescapes +p1251 +tp1252 +a(g827 +g962 +tp1253 +a(g213 +V/ +p1254 +tp1255 +a(g213 +g1254 +tp1256 +a(g827 +V\u000a +p1257 +tp1258 +a(g230 +g1065 +tp1259 +a(g230 +V +p1260 +tp1261 +a(g213 +g1254 +tp1262 +a(g213 +Va' +p1263 +tp1264 +a(g213 +g1254 +tp1265 +a(g230 +g1260 +tp1266 +a(g230 +g1065 +tp1267 +a(g827 +g962 +tp1268 +a(g706 +g964 +tp1269 +a(g413 +V<- +p1270 +tp1271 +a(g827 +g962 +tp1272 +a(g230 +g1065 +tp1273 +a(g230 +Va +p1274 +tp1275 +a(g230 +g1065 +tp1276 +a(g827 +g962 +tp1277 +a(g427 +Vset +p1278 +tp1279 +a(g827 +g962 +tp1280 +a(g436 +Vforeign +p1281 +tp1282 +a(g706 +g966 +tp1283 +a(g827 +V\u000a +p1284 +tp1285 +a(g230 +g1065 +tp1286 +a(g230 +g1260 +tp1287 +a(g213 +g1254 +tp1288 +a(g213 +Ve' +p1289 +tp1290 +a(g213 +g1254 +tp1291 +a(g230 +g1260 +tp1292 +a(g230 +g1065 +tp1293 +a(g827 +g962 +tp1294 +a(g706 +g964 +tp1295 +a(g413 +V<- +p1296 +tp1297 +a(g827 +g962 +tp1298 +a(g230 +g1065 +tp1299 +a(g230 +Ve +p1300 +tp1301 +a(g230 +g1065 +tp1302 +a(g827 +g962 +tp1303 +a(g427 +Vset +p1304 +tp1305 +a(g827 +g962 +tp1306 +a(g436 +Vforeign +p1307 +tp1308 +a(g706 +g966 +tp1309 +a(g827 +V\u000a +p1310 +tp1311 +a(g230 +g1065 +tp1312 +a(g230 +g1260 +tp1313 +a(g213 +g1254 +tp1314 +a(g213 +Vi' +p1315 +tp1316 +a(g213 +g1254 +tp1317 +a(g230 +g1260 +tp1318 +a(g230 +g1065 +tp1319 +a(g827 +g962 +tp1320 +a(g706 +g964 +tp1321 +a(g413 +V<- +p1322 +tp1323 +a(g827 +g962 +tp1324 +a(g230 +g1065 +tp1325 +a(g230 +Vi +p1326 +tp1327 +a(g230 +g1065 +tp1328 +a(g827 +g962 +tp1329 +a(g427 +Vset +p1330 +tp1331 +a(g827 +g962 +tp1332 +a(g436 +Vforeign +p1333 +tp1334 +a(g706 +g966 +tp1335 +a(g827 +V\u000a +p1336 +tp1337 +a(g230 +g1065 +tp1338 +a(g230 +g1260 +tp1339 +a(g213 +g1254 +tp1340 +a(g213 +Vo' +p1341 +tp1342 +a(g213 +g1254 +tp1343 +a(g230 +g1260 +tp1344 +a(g230 +g1065 +tp1345 +a(g827 +g962 +tp1346 +a(g706 +g964 +tp1347 +a(g413 +V<- +p1348 +tp1349 +a(g827 +g962 +tp1350 +a(g230 +g1065 +tp1351 +a(g230 +Vo +p1352 +tp1353 +a(g230 +g1065 +tp1354 +a(g827 +g962 +tp1355 +a(g427 +Vset +p1356 +tp1357 +a(g827 +g962 +tp1358 +a(g436 +Vforeign +p1359 +tp1360 +a(g706 +g966 +tp1361 +a(g827 +V\u000a +p1362 +tp1363 +a(g230 +g1065 +tp1364 +a(g230 +g1260 +tp1365 +a(g213 +g1254 +tp1366 +a(g213 +Vu' +p1367 +tp1368 +a(g213 +g1254 +tp1369 +a(g230 +g1260 +tp1370 +a(g230 +g1065 +tp1371 +a(g827 +g962 +tp1372 +a(g706 +g964 +tp1373 +a(g413 +V<- +p1374 +tp1375 +a(g827 +g962 +tp1376 +a(g230 +g1065 +tp1377 +a(g230 +Vu +p1378 +tp1379 +a(g230 +g1065 +tp1380 +a(g827 +g962 +tp1381 +a(g427 +Vset +p1382 +tp1383 +a(g827 +g962 +tp1384 +a(g436 +Vforeign +p1385 +tp1386 +a(g706 +g966 +tp1387 +a(g827 +V\u000a +p1388 +tp1389 +a(g794 +Vstringescapes +p1390 +tp1391 +a(g827 +g962 +tp1392 +a(g213 +V` +p1393 +tp1394 +a(g213 +g1065 +tp1395 +a(g827 +V\u000a +p1396 +tp1397 +a(g230 +g1065 +tp1398 +a(g230 +Vcx +p1399 +tp1400 +a(g230 +g1065 +tp1401 +a(g827 +g962 +tp1402 +a(g706 +g964 +tp1403 +a(g413 +V<- +p1404 +tp1405 +a(g827 +g962 +tp1406 +a(g230 +g1065 +tp1407 +a(g230 +g1260 +tp1408 +a(g213 +g1393 +tp1409 +a(g213 +Vcx' +p1410 +tp1411 +a(g230 +g1260 +tp1412 +a(g230 +g1065 +tp1413 +a(g706 +g966 +tp1414 +a(g827 +V\u000a +p1415 +tp1416 +a(g230 +g1065 +tp1417 +a(g230 +Vgx +p1418 +tp1419 +a(g230 +g1065 +tp1420 +a(g827 +g962 +tp1421 +a(g706 +g964 +tp1422 +a(g413 +V<- +p1423 +tp1424 +a(g827 +g962 +tp1425 +a(g230 +g1065 +tp1426 +a(g230 +g1260 +tp1427 +a(g213 +g1393 +tp1428 +a(g213 +Vgx' +p1429 +tp1430 +a(g230 +g1260 +tp1431 +a(g230 +g1065 +tp1432 +a(g706 +g966 +tp1433 +a(g827 +V\u000a +p1434 +tp1435 +a(g230 +g1065 +tp1436 +a(g230 +Vhx +p1437 +tp1438 +a(g230 +g1065 +tp1439 +a(g827 +g962 +tp1440 +a(g706 +g964 +tp1441 +a(g413 +V<- +p1442 +tp1443 +a(g827 +g962 +tp1444 +a(g230 +g1065 +tp1445 +a(g230 +g1260 +tp1446 +a(g213 +g1393 +tp1447 +a(g213 +Vhx' +p1448 +tp1449 +a(g230 +g1260 +tp1450 +a(g230 +g1065 +tp1451 +a(g706 +g966 +tp1452 +a(g827 +V\u000a +p1453 +tp1454 +a(g230 +g1065 +tp1455 +a(g230 +Vjx +p1456 +tp1457 +a(g230 +g1065 +tp1458 +a(g827 +g962 +tp1459 +a(g706 +g964 +tp1460 +a(g413 +V<- +p1461 +tp1462 +a(g827 +g962 +tp1463 +a(g230 +g1065 +tp1464 +a(g230 +g1260 +tp1465 +a(g213 +g1393 +tp1466 +a(g213 +Vjx' +p1467 +tp1468 +a(g230 +g1260 +tp1469 +a(g230 +g1065 +tp1470 +a(g706 +g966 +tp1471 +a(g827 +V\u000a +p1472 +tp1473 +a(g230 +g1065 +tp1474 +a(g230 +Vsx +p1475 +tp1476 +a(g230 +g1065 +tp1477 +a(g827 +g962 +tp1478 +a(g706 +g964 +tp1479 +a(g413 +V<- +p1480 +tp1481 +a(g827 +g962 +tp1482 +a(g230 +g1065 +tp1483 +a(g230 +g1260 +tp1484 +a(g213 +g1393 +tp1485 +a(g213 +Vsx' +p1486 +tp1487 +a(g230 +g1260 +tp1488 +a(g230 +g1065 +tp1489 +a(g706 +g966 +tp1490 +a(g827 +V\u000a +p1491 +tp1492 +a(g230 +g1065 +tp1493 +a(g230 +Vux +p1494 +tp1495 +a(g230 +g1065 +tp1496 +a(g827 +g962 +tp1497 +a(g706 +g964 +tp1498 +a(g413 +V<- +p1499 +tp1500 +a(g827 +g962 +tp1501 +a(g230 +g1065 +tp1502 +a(g230 +g1260 +tp1503 +a(g213 +g1393 +tp1504 +a(g213 +Vux' +p1505 +tp1506 +a(g230 +g1260 +tp1507 +a(g230 +g1065 +tp1508 +a(g706 +g966 +tp1509 +a(g827 +V\u000a +p1510 +tp1511 +a(g230 +g1065 +tp1512 +a(g230 +g1260 +tp1513 +a(g230 +g1065 +tp1514 +a(g827 +g962 +tp1515 +a(g706 +g964 +tp1516 +a(g427 +Vnext +p1517 +tp1518 +a(g706 +g966 +tp1519 +a(g827 +V\u000a +p1520 +tp1521 +a(g706 +g966 +tp1522 +a(g827 +V\u000a +p1523 +tp1524 +a(g706 +g966 +tp1525 +a(g827 +V\u000a\u000a +p1526 +tp1527 +a(g794 +Vbackwardmode +p1528 +tp1529 +a(g827 +g962 +tp1530 +a(g706 +g964 +tp1531 +a(g827 +V\u000a +p1532 +tp1533 +a(g794 +Vstringescapes +p1534 +tp1535 +a(g827 +g962 +tp1536 +a(g213 +V{ +p1537 +tp1538 +a(g827 +g962 +tp1539 +a(g213 +V} +p1540 +tp1541 +a(g827 +V\u000a\u000a +p1542 +tp1543 +a(g794 +Vdefine +p1544 +tp1545 +a(g827 +g962 +tp1546 +a(g436 +Vapostrophe +p1547 +tp1548 +a(g827 +g962 +tp1549 +a(g794 +Vas +p1550 +tp1551 +a(g827 +g962 +tp1552 +a(g706 +g964 +tp1553 +a(g827 +V\u000a +p1554 +tp1555 +a(g706 +g964 +tp1556 +a(g413 +g1237 +tp1557 +a(g230 +g1065 +tp1558 +a(g230 +Vun +p1559 +tp1560 +a(g213 +g1537 +tp1561 +a(g213 +g1065 +tp1562 +a(g213 +g1540 +tp1563 +a(g230 +g1260 +tp1564 +a(g230 +g1065 +tp1565 +a(g413 +g1241 +tp1566 +a(g827 +g962 +tp1567 +a(g427 +Vatlimit +p1568 +tp1569 +a(g827 +g962 +tp1570 +a(g413 +V<- +p1571 +tp1572 +a(g827 +g962 +tp1573 +a(g230 +g1065 +tp1574 +a(g230 +Vunu +p1575 +tp1576 +a(g230 +g1065 +tp1577 +a(g706 +g966 +tp1578 +a(g827 +g962 +tp1579 +a(g427 +Vor +p1580 +tp1581 +a(g827 +V\u000a +p1582 +tp1583 +a(g706 +g964 +tp1584 +a(g413 +g1237 +tp1585 +a(g230 +g1065 +tp1586 +a(g230 +Vl +p1587 +tp1588 +a(g213 +g1537 +tp1589 +a(g213 +g1065 +tp1590 +a(g213 +g1540 +tp1591 +a(g230 +g1260 +tp1592 +a(g230 +g1065 +tp1593 +a(g413 +g1241 +tp1594 +a(g827 +g962 +tp1595 +a(g427 +Vatlimit +p1596 +tp1597 +a(g827 +g962 +tp1598 +a(g413 +V<- +p1599 +tp1600 +a(g827 +g962 +tp1601 +a(g230 +g1065 +tp1602 +a(g230 +Vla +p1603 +tp1604 +a(g230 +g1065 +tp1605 +a(g706 +g966 +tp1606 +a(g827 +g962 +tp1607 +a(g427 +Vor +p1608 +tp1609 +a(g827 +V\u000a +p1610 +tp1611 +a(g706 +g964 +tp1612 +a(g413 +g1237 +tp1613 +a(g230 +g1065 +tp1614 +a(g230 +g1260 +tp1615 +a(g213 +g1537 +tp1616 +a(g213 +g1065 +tp1617 +a(g213 +g1540 +tp1618 +a(g230 +g1260 +tp1619 +a(g230 +g1065 +tp1620 +a(g413 +g1241 +tp1621 +a(g827 +g962 +tp1622 +a(g413 +V<- +p1623 +tp1624 +a(g827 +g962 +tp1625 +a(g230 +g1065 +tp1626 +a(g230 +g1352 +tp1627 +a(g230 +g1065 +tp1628 +a(g706 +g966 +tp1629 +a(g827 +V\u000a +p1630 +tp1631 +a(g706 +g966 +tp1632 +a(g827 +V\u000a\u000a +p1633 +tp1634 +a(g794 +Vdefine +p1635 +tp1636 +a(g827 +g962 +tp1637 +a(g436 +Vvowel +p1638 +tp1639 +a(g827 +g962 +tp1640 +a(g230 +g1065 +tp1641 +a(g230 +Vaeiou +p1642 +tp1643 +a(g230 +g1065 +tp1644 +a(g827 +V\u000a +p1645 +tp1646 +a(g794 +Vdefine +p1647 +tp1648 +a(g827 +g962 +tp1649 +a(g436 +Vaiou +p1650 +tp1651 +a(g827 +g962 +tp1652 +a(g436 +Vvowel +p1653 +tp1654 +a(g827 +g962 +tp1655 +a(g413 +V- +p1656 +tp1657 +a(g827 +g962 +tp1658 +a(g230 +g1065 +tp1659 +a(g230 +g1300 +tp1660 +a(g230 +g1065 +tp1661 +a(g827 +V\u000a +p1662 +tp1663 +a(g794 +Vdefine +p1664 +tp1665 +a(g827 +g962 +tp1666 +a(g436 +Vao +p1667 +tp1668 +a(g827 +g962 +tp1669 +a(g230 +g1065 +tp1670 +a(g230 +Vao +p1671 +tp1672 +a(g230 +g1065 +tp1673 +a(g827 +V\u000a +p1674 +tp1675 +a(g794 +Vdefine +p1676 +tp1677 +a(g827 +g962 +tp1678 +a(g436 +Vou +p1679 +tp1680 +a(g827 +g962 +tp1681 +a(g230 +g1065 +tp1682 +a(g230 +Vou +p1683 +tp1684 +a(g230 +g1065 +tp1685 +a(g827 +V\u000a\u000a +p1686 +tp1687 +a(g794 +Vdefine +p1688 +tp1689 +a(g827 +g962 +tp1690 +a(g436 +Vshort_word +p1691 +tp1692 +a(g827 +g962 +tp1693 +a(g794 +Vas +p1694 +tp1695 +a(g827 +g962 +tp1696 +a(g427 +Vnot +p1697 +tp1698 +a(g827 +g962 +tp1699 +a(g706 +g964 +tp1700 +a(g427 +Vloop +p1701 +tp1702 +a(g827 +g962 +tp1703 +a(g706 +g964 +tp1704 +a(g682 +Vmaxint +p1705 +tp1706 +a(g827 +g962 +tp1707 +a(g413 +V* +p1708 +tp1709 +a(g827 +g962 +tp1710 +a(g37 +V0 +p1711 +tp1712 +a(g827 +g962 +tp1713 +a(g413 +V+ +p1714 +tp1715 +a(g827 +g962 +tp1716 +a(g37 +V4 +p1717 +tp1718 +a(g827 +g962 +tp1719 +a(g413 +g1254 +tp1720 +a(g827 +g962 +tp1721 +a(g37 +V2 +p1722 +tp1723 +a(g706 +g966 +tp1724 +a(g827 +g962 +tp1725 +a(g427 +Vgopast +p1726 +tp1727 +a(g827 +g962 +tp1728 +a(g436 +Vvowel +p1729 +tp1730 +a(g706 +g966 +tp1731 +a(g827 +V\u000a\u000a +p1732 +tp1733 +a(g794 +Vdefine +p1734 +tp1735 +a(g827 +g962 +tp1736 +a(g436 +Vinterjection +p1737 +tp1738 +a(g827 +g962 +tp1739 +a(g794 +Vas +p1740 +tp1741 +a(g827 +g962 +tp1742 +a(g706 +g964 +tp1743 +a(g827 +V\u000a +p1744 +tp1745 +a(g794 +Vamong +p1746 +tp1747 +a(g827 +g962 +tp1748 +a(g706 +g964 +tp1749 +a(g230 +g1065 +tp1750 +a(g230 +Vadia +p1751 +tp1752 +a(g213 +g1537 +tp1753 +a(g213 +Vux} +p1754 +tp1755 +a(g230 +g1260 +tp1756 +a(g230 +g1065 +tp1757 +a(g827 +g962 +tp1758 +a(g230 +g1065 +tp1759 +a(g230 +Vaha +p1760 +tp1761 +a(g230 +g1065 +tp1762 +a(g827 +g962 +tp1763 +a(g230 +g1065 +tp1764 +a(g230 +Vamen +p1765 +tp1766 +a(g230 +g1065 +tp1767 +a(g827 +g962 +tp1768 +a(g230 +g1065 +tp1769 +a(g230 +Vhola +p1770 +tp1771 +a(g230 +g1065 +tp1772 +a(g827 +g962 +tp1773 +a(g230 +g1065 +tp1774 +a(g230 +Vhura +p1775 +tp1776 +a(g230 +g1065 +tp1777 +a(g827 +g962 +tp1778 +a(g230 +g1065 +tp1779 +a(g230 +Vmia +p1780 +tp1781 +a(g213 +g1537 +tp1782 +a(g213 +Vux} +p1783 +tp1784 +a(g230 +g1260 +tp1785 +a(g230 +g1065 +tp1786 +a(g827 +g962 +tp1787 +a(g230 +g1065 +tp1788 +a(g230 +Vmuu +p1789 +tp1790 +a(g230 +g1065 +tp1791 +a(g827 +g962 +tp1792 +a(g230 +g1065 +tp1793 +a(g230 +Voho +p1794 +tp1795 +a(g230 +g1065 +tp1796 +a(g706 +g966 +tp1797 +a(g827 +V\u000a +p1798 +tp1799 +a(g427 +Vatlimit +p1800 +tp1801 +a(g827 +V\u000a +p1802 +tp1803 +a(g706 +g966 +tp1804 +a(g827 +V\u000a\u000a +p1805 +tp1806 +a(g794 +Vdefine +p1807 +tp1808 +a(g827 +g962 +tp1809 +a(g436 +Vcorrelative +p1810 +tp1811 +a(g827 +g962 +tp1812 +a(g794 +Vas +p1813 +tp1814 +a(g827 +g962 +tp1815 +a(g706 +g964 +tp1816 +a(g827 +V\u000a +p1817 +tp1818 +a(g413 +g1237 +tp1819 +a(g413 +g1241 +tp1820 +a(g827 +V\u000a +p1821 +tp1822 +a(g906 +V// Ignore -al, -am, etc. since they can't be confused with suffixes. +p1823 +tp1824 +a(g827 +V\u000a +p1825 +tp1826 +a(g427 +Vtest +p1827 +tp1828 +a(g827 +g962 +tp1829 +a(g706 +g964 +tp1830 +a(g827 +V\u000a +p1831 +tp1832 +a(g706 +g964 +tp1833 +a(g230 +g1065 +tp1834 +a(g230 +g1274 +tp1835 +a(g230 +g1065 +tp1836 +a(g827 +g962 +tp1837 +a(g427 +Vor +p1838 +tp1839 +a(g827 +g962 +tp1840 +a(g706 +g964 +tp1841 +a(g427 +Vtry +p1842 +tp1843 +a(g827 +g962 +tp1844 +a(g230 +g1065 +tp1845 +a(g230 +Vn +p1846 +tp1847 +a(g230 +g1065 +tp1848 +a(g413 +g1241 +tp1849 +a(g827 +g962 +tp1850 +a(g230 +g1065 +tp1851 +a(g230 +g1300 +tp1852 +a(g230 +g1065 +tp1853 +a(g706 +g966 +tp1854 +a(g827 +g962 +tp1855 +a(g427 +Vor +p1856 +tp1857 +a(g827 +g962 +tp1858 +a(g706 +g964 +tp1859 +a(g427 +Vtry +p1860 +tp1861 +a(g827 +g962 +tp1862 +a(g230 +g1065 +tp1863 +a(g230 +g1846 +tp1864 +a(g230 +g1065 +tp1865 +a(g827 +g962 +tp1866 +a(g427 +Vtry +p1867 +tp1868 +a(g827 +g962 +tp1869 +a(g230 +g1065 +tp1870 +a(g230 +Vj +p1871 +tp1872 +a(g230 +g1065 +tp1873 +a(g413 +g1241 +tp1874 +a(g827 +g962 +tp1875 +a(g436 +Vou +p1876 +tp1877 +a(g706 +g966 +tp1878 +a(g706 +g966 +tp1879 +a(g827 +V\u000a +p1880 +tp1881 +a(g230 +g1065 +tp1882 +a(g230 +g1326 +tp1883 +a(g230 +g1065 +tp1884 +a(g827 +V\u000a +p1885 +tp1886 +a(g427 +Vtry +p1887 +tp1888 +a(g827 +g962 +tp1889 +a(g706 +g964 +tp1890 +a(g230 +g1065 +tp1891 +a(g230 +Vk +p1892 +tp1893 +a(g230 +g1065 +tp1894 +a(g827 +g962 +tp1895 +a(g427 +Vor +p1896 +tp1897 +a(g827 +g962 +tp1898 +a(g230 +g1065 +tp1899 +a(g230 +Vt +p1900 +tp1901 +a(g230 +g1065 +tp1902 +a(g827 +g962 +tp1903 +a(g427 +Vor +p1904 +tp1905 +a(g827 +g962 +tp1906 +a(g230 +g1065 +tp1907 +a(g230 +g1260 +tp1908 +a(g213 +g1537 +tp1909 +a(g213 +Vcx} +p1910 +tp1911 +a(g230 +g1260 +tp1912 +a(g230 +g1065 +tp1913 +a(g827 +g962 +tp1914 +a(g427 +Vor +p1915 +tp1916 +a(g827 +g962 +tp1917 +a(g230 +g1065 +tp1918 +a(g230 +Vnen +p1919 +tp1920 +a(g230 +g1065 +tp1921 +a(g706 +g966 +tp1922 +a(g827 +V\u000a +p1923 +tp1924 +a(g427 +Vatlimit +p1925 +tp1926 +a(g827 +V\u000a +p1927 +tp1928 +a(g706 +g966 +tp1929 +a(g827 +V\u000a +p1930 +tp1931 +a(g427 +Vdelete +p1932 +tp1933 +a(g827 +V\u000a +p1934 +tp1935 +a(g706 +g966 +tp1936 +a(g827 +V\u000a\u000a +p1937 +tp1938 +a(g794 +Vdefine +p1939 +tp1940 +a(g827 +g962 +tp1941 +a(g436 +Vunuj +p1942 +tp1943 +a(g827 +g962 +tp1944 +a(g794 +Vas +p1945 +tp1946 +a(g827 +g962 +tp1947 +a(g706 +g964 +tp1948 +a(g827 +V\u000a +p1949 +tp1950 +a(g413 +g1237 +tp1951 +a(g427 +Vtry +p1952 +tp1953 +a(g827 +g962 +tp1954 +a(g230 +g1065 +tp1955 +a(g230 +g1846 +tp1956 +a(g230 +g1065 +tp1957 +a(g827 +g962 +tp1958 +a(g230 +g1065 +tp1959 +a(g230 +g1871 +tp1960 +a(g230 +g1065 +tp1961 +a(g413 +g1241 +tp1962 +a(g827 +g962 +tp1963 +a(g230 +g1065 +tp1964 +a(g230 +Vunu +p1965 +tp1966 +a(g230 +g1065 +tp1967 +a(g827 +g962 +tp1968 +a(g427 +Vatlimit +p1969 +tp1970 +a(g827 +g962 +tp1971 +a(g427 +Vdelete +p1972 +tp1973 +a(g827 +V\u000a +p1974 +tp1975 +a(g706 +g966 +tp1976 +a(g827 +V\u000a\u000a +p1977 +tp1978 +a(g794 +Vdefine +p1979 +tp1980 +a(g827 +g962 +tp1981 +a(g436 +Vstandard_suffix +p1982 +tp1983 +a(g827 +g962 +tp1984 +a(g794 +Vas +p1985 +tp1986 +a(g827 +g962 +tp1987 +a(g706 +g964 +tp1988 +a(g827 +V\u000a +p1989 +tp1990 +a(g413 +g1237 +tp1991 +a(g827 +V\u000a +p1992 +tp1993 +a(g427 +Vtry +p1994 +tp1995 +a(g827 +g962 +tp1996 +a(g706 +g964 +tp1997 +a(g706 +g964 +tp1998 +a(g427 +Vtry +p1999 +tp2000 +a(g827 +g962 +tp2001 +a(g230 +g1065 +tp2002 +a(g230 +g1846 +tp2003 +a(g230 +g1065 +tp2004 +a(g827 +g962 +tp2005 +a(g427 +Vtry +p2006 +tp2007 +a(g827 +g962 +tp2008 +a(g230 +g1065 +tp2009 +a(g230 +g1871 +tp2010 +a(g230 +g1065 +tp2011 +a(g827 +g962 +tp2012 +a(g436 +Vao +p2013 +tp2014 +a(g706 +g966 +tp2015 +a(g827 +g962 +tp2016 +a(g427 +Vor +p2017 +tp2018 +a(g827 +g962 +tp2019 +a(g706 +g964 +tp2020 +a(g427 +Vtry +p2021 +tp2022 +a(g827 +g962 +tp2023 +a(g230 +g1065 +tp2024 +a(g230 +Vs +p2025 +tp2026 +a(g230 +g1065 +tp2027 +a(g827 +g962 +tp2028 +a(g436 +Vaiou +p2029 +tp2030 +a(g706 +g966 +tp2031 +a(g827 +g962 +tp2032 +a(g427 +Vor +p2033 +tp2034 +a(g827 +g962 +tp2035 +a(g706 +g964 +tp2036 +a(g427 +Vtry +p2037 +tp2038 +a(g827 +g962 +tp2039 +a(g230 +g1065 +tp2040 +a(g230 +g1846 +tp2041 +a(g230 +g1065 +tp2042 +a(g827 +g962 +tp2043 +a(g230 +g1065 +tp2044 +a(g230 +g1300 +tp2045 +a(g230 +g1065 +tp2046 +a(g706 +g966 +tp2047 +a(g706 +g966 +tp2048 +a(g827 +V\u000a +p2049 +tp2050 +a(g427 +Vtry +p2051 +tp2052 +a(g827 +g962 +tp2053 +a(g230 +g1065 +tp2054 +a(g230 +g1656 +tp2055 +a(g230 +g1065 +tp2056 +a(g827 +g962 +tp2057 +a(g427 +Vtry +p2058 +tp2059 +a(g827 +g962 +tp2060 +a(g230 +g1065 +tp2061 +a(g230 +g1274 +tp2062 +a(g213 +g1537 +tp2063 +a(g213 +Vux} +p2064 +tp2065 +a(g230 +g1260 +tp2066 +a(g230 +g1065 +tp2067 +a(g827 +V\u000a +p2068 +tp2069 +a(g413 +g1241 +tp2070 +a(g827 +g962 +tp2071 +a(g427 +Vdelete +p2072 +tp2073 +a(g827 +V\u000a +p2074 +tp2075 +a(g706 +g966 +tp2076 +a(g827 +V\u000a +p2077 +tp2078 +a(g706 +g966 +tp2079 +a(g827 +V\u000a\u000a +p2080 +tp2081 +a(g794 +Vdefine +p2082 +tp2083 +a(g827 +g962 +tp2084 +a(g436 +Vstem +p2085 +tp2086 +a(g827 +g962 +tp2087 +a(g794 +Vas +p2088 +tp2089 +a(g827 +g962 +tp2090 +a(g706 +g964 +tp2091 +a(g827 +V\u000a +p2092 +tp2093 +a(g427 +Vdo +p2094 +tp2095 +a(g827 +g962 +tp2096 +a(g436 +Vcanonical_form +p2097 +tp2098 +a(g827 +V\u000a +p2099 +tp2100 +a(g427 +Vnot +p2101 +tp2102 +a(g827 +g962 +tp2103 +a(g436 +Vforeign +p2104 +tp2105 +a(g827 +V\u000a +p2106 +tp2107 +a(g427 +Vbackwards +p2108 +tp2109 +a(g827 +g962 +tp2110 +a(g706 +g964 +tp2111 +a(g827 +V\u000a +p2112 +tp2113 +a(g427 +Vdo +p2114 +tp2115 +a(g827 +g962 +tp2116 +a(g436 +Vapostrophe +p2117 +tp2118 +a(g827 +V\u000a +p2119 +tp2120 +a(g436 +Vshort_word +p2121 +tp2122 +a(g827 +g962 +tp2123 +a(g427 +Vor +p2124 +tp2125 +a(g827 +g962 +tp2126 +a(g436 +Vinterjection +p2127 +tp2128 +a(g827 +g962 +tp2129 +a(g427 +Vor +p2130 +tp2131 +a(g827 +V\u000a +p2132 +tp2133 +a(g436 +Vcorrelative +p2134 +tp2135 +a(g827 +g962 +tp2136 +a(g427 +Vor +p2137 +tp2138 +a(g827 +g962 +tp2139 +a(g436 +Vunuj +p2140 +tp2141 +a(g827 +g962 +tp2142 +a(g427 +Vor +p2143 +tp2144 +a(g827 +g962 +tp2145 +a(g427 +Vdo +p2146 +tp2147 +a(g827 +g962 +tp2148 +a(g436 +Vstandard_suffix +p2149 +tp2150 +a(g827 +V\u000a +p2151 +tp2152 +a(g706 +g966 +tp2153 +a(g827 +V\u000a +p2154 +tp2155 +a(g706 +g966 +tp2156 +a(g827 +V\u000a +p2157 +tp2158 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.scd b/tests/examplefiles/output/example.scd new file mode 100644 index 0000000..0362c70 --- /dev/null +++ b/tests/examplefiles/output/example.scd @@ -0,0 +1,4023 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Other' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag8 +ag179 +ag68 +ag203 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g231 +g15 +tp236 +tp237 +Rp238 +(dp239 +g10 +g234 +sg25 +g26 +((lp240 +tp241 +Rp242 +sbsg25 +g26 +((lp243 +g238 +atp244 +Rp245 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p246 +tp247 +tp248 +Rp249 +(dp250 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g246 +g121 +tp251 +tp252 +Rp253 +(dp254 +g10 +g249 +sg25 +g26 +((lp255 +tp256 +Rp257 +sbsg25 +g26 +((lp258 +g1 +(g2 +g3 +(g4 +g246 +g113 +tp259 +tp260 +Rp261 +(dp262 +g10 +g249 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbag253 +atp266 +Rp267 +sg113 +g261 +sbag187 +ag124 +ag100 +atp268 +Rp269 +sg10 +g1 +(g2 +g3 +(ttp270 +Rp271 +(dp272 +S'Number' +p273 +g1 +(g2 +g3 +(S'Literal' +p274 +g273 +tp275 +tp276 +Rp277 +(dp278 +S'Integer' +p279 +g1 +(g2 +g3 +(g274 +g273 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g277 +sS'Long' +p284 +g1 +(g2 +g3 +(g274 +g273 +g279 +g284 +tp285 +tp286 +Rp287 +(dp288 +g10 +g282 +sg25 +g26 +((lp289 +tp290 +Rp291 +sbsg25 +g26 +((lp292 +g287 +atp293 +Rp294 +sbsg10 +g1 +(g2 +g3 +(g274 +tp295 +tp296 +Rp297 +(dp298 +S'Scalar' +p299 +g1 +(g2 +g3 +(g274 +g299 +tp300 +tp301 +Rp302 +(dp303 +g10 +g297 +sg25 +g26 +((lp304 +g1 +(g2 +g3 +(g274 +g299 +S'Plain' +p305 +tp306 +tp307 +Rp308 +(dp309 +g10 +g302 +sg25 +g26 +((lp310 +tp311 +Rp312 +sbatp313 +Rp314 +sg305 +g308 +sbsg273 +g277 +sg10 +g271 +sg5 +g1 +(g2 +g3 +(g274 +g5 +tp315 +tp316 +Rp317 +(dp318 +g10 +g297 +sg25 +g26 +((lp319 +tp320 +Rp321 +sbsS'Char' +p322 +g1 +(g2 +g3 +(g274 +g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g297 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'String' +p330 +g1 +(g2 +g3 +(g274 +g330 +tp331 +tp332 +Rp333 +(dp334 +g322 +g1 +(g2 +g3 +(g274 +g330 +g322 +tp335 +tp336 +Rp337 +(dp338 +g10 +g333 +sg25 +g26 +((lp339 +tp340 +Rp341 +sbsS'Backtick' +p342 +g1 +(g2 +g3 +(g274 +g330 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g333 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Heredoc' +p350 +g1 +(g2 +g3 +(g274 +g330 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g333 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsg97 +g1 +(g2 +g3 +(g274 +g330 +g97 +tp358 +tp359 +Rp360 +(dp361 +g10 +g333 +sg25 +g26 +((lp362 +tp363 +Rp364 +sbsS'Interpol' +p365 +g1 +(g2 +g3 +(g274 +g330 +g365 +tp366 +tp367 +Rp368 +(dp369 +g10 +g333 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Delimiter' +p373 +g1 +(g2 +g3 +(g274 +g330 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g333 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Boolean' +p381 +g1 +(g2 +g3 +(g274 +g330 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g333 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Character' +p389 +g1 +(g2 +g3 +(g274 +g330 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g333 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Double' +p397 +g1 +(g2 +g3 +(g274 +g330 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g333 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Delimeter' +p405 +g1 +(g2 +g3 +(g274 +g330 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g333 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Atom' +p413 +g1 +(g2 +g3 +(g274 +g330 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g333 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Affix' +p421 +g1 +(g2 +g3 +(g274 +g330 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g333 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsg4 +g1 +(g2 +g3 +(g274 +g330 +g4 +tp429 +tp430 +Rp431 +(dp432 +g10 +g333 +sg25 +g26 +((lp433 +tp434 +Rp435 +sbsS'Regex' +p436 +g1 +(g2 +g3 +(g274 +g330 +g436 +tp437 +tp438 +Rp439 +(dp440 +g10 +g333 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Interp' +p444 +g1 +(g2 +g3 +(g274 +g330 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g333 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Escape' +p452 +g1 +(g2 +g3 +(g274 +g330 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g333 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsg25 +g26 +((lp460 +g376 +ag360 +ag439 +ag1 +(g2 +g3 +(g274 +g330 +S'Doc' +p461 +tp462 +tp463 +Rp464 +(dp465 +g10 +g333 +sg25 +g26 +((lp466 +tp467 +Rp468 +sbag392 +ag384 +ag400 +ag368 +ag416 +ag408 +ag431 +ag455 +ag1 +(g2 +g3 +(g274 +g330 +S'Single' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g333 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag1 +(g2 +g3 +(g274 +g330 +g5 +tp477 +tp478 +Rp479 +(dp480 +g10 +g333 +sg25 +g26 +((lp481 +tp482 +Rp483 +sbag447 +ag345 +ag424 +ag1 +(g2 +g3 +(g274 +g330 +S'Moment' +p484 +tp485 +tp486 +Rp487 +(dp488 +g10 +g333 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag337 +ag353 +atp492 +Rp493 +sg469 +g472 +sg484 +g487 +sg10 +g297 +sg5 +g479 +sg461 +g464 +sbsg25 +g26 +((lp494 +g333 +ag325 +ag317 +ag1 +(g2 +g3 +(g274 +S'Date' +p495 +tp496 +tp497 +Rp498 +(dp499 +g10 +g297 +sg25 +g26 +((lp500 +tp501 +Rp502 +sbag302 +ag277 +atp503 +Rp504 +sg495 +g498 +sbsS'Bin' +p505 +g1 +(g2 +g3 +(g274 +g273 +g505 +tp506 +tp507 +Rp508 +(dp509 +g10 +g277 +sg25 +g26 +((lp510 +tp511 +Rp512 +sbsS'Radix' +p513 +g1 +(g2 +g3 +(g274 +g273 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g277 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Oct' +p521 +g1 +(g2 +g3 +(g274 +g273 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g277 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Dec' +p529 +g1 +(g2 +g3 +(g274 +g273 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g277 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Hex' +p537 +g1 +(g2 +g3 +(g274 +g273 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g277 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsg25 +g26 +((lp545 +g282 +ag516 +ag532 +ag1 +(g2 +g3 +(g274 +g273 +S'Decimal' +p546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g277 +sg25 +g26 +((lp551 +tp552 +Rp553 +sbag508 +ag1 +(g2 +g3 +(g274 +g273 +S'Float' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g277 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag524 +ag540 +atp562 +Rp563 +sg546 +g549 +sg554 +g557 +sbsS'Generic' +p564 +g1 +(g2 +g3 +(g564 +tp565 +tp566 +Rp567 +(dp568 +g10 +g271 +sS'Deleted' +p569 +g1 +(g2 +g3 +(g564 +g569 +tp570 +tp571 +Rp572 +(dp573 +g10 +g567 +sg25 +g26 +((lp574 +tp575 +Rp576 +sbsS'Subheading' +p577 +g1 +(g2 +g3 +(g564 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g567 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Heading' +p585 +g1 +(g2 +g3 +(g564 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g567 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Emph' +p593 +g1 +(g2 +g3 +(g564 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g567 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Prompt' +p601 +g1 +(g2 +g3 +(g564 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g567 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Inserted' +p609 +g1 +(g2 +g3 +(g564 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g567 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Strong' +p617 +g1 +(g2 +g3 +(g564 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g567 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Error' +p625 +g1 +(g2 +g3 +(g564 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g567 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Traceback' +p633 +g1 +(g2 +g3 +(g564 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g567 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsg25 +g26 +((lp641 +g588 +ag580 +ag1 +(g2 +g3 +(g564 +S'Output' +p642 +tp643 +tp644 +Rp645 +(dp646 +g10 +g567 +sg25 +g26 +((lp647 +tp648 +Rp649 +sbag620 +ag596 +ag628 +ag636 +ag612 +ag604 +ag572 +atp650 +Rp651 +sg642 +g645 +sbsg65 +g1 +(g2 +g3 +(g65 +tp652 +tp653 +Rp654 +(dp655 +g10 +g271 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp656 +tp657 +Rp658 +(dp659 +g10 +g654 +sg25 +g26 +((lp660 +tp661 +Rp662 +sbsg25 +g26 +((lp663 +g658 +ag1 +(g2 +g3 +(g65 +S'Word' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g654 +sg25 +g26 +((lp669 +tp670 +Rp671 +sbatp672 +Rp673 +sg664 +g667 +sbsg330 +g333 +sg4 +g13 +sS'Punctuation' +p674 +g1 +(g2 +g3 +(g674 +tp675 +tp676 +Rp677 +(dp678 +g10 +g271 +sg25 +g26 +((lp679 +g1 +(g2 +g3 +(g674 +S'Indicator' +p680 +tp681 +tp682 +Rp683 +(dp684 +g10 +g677 +sg25 +g26 +((lp685 +tp686 +Rp687 +sbatp688 +Rp689 +sg680 +g683 +sbsS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g693 +sg25 +g26 +((lp700 +tp701 +Rp702 +sbsg10 +g271 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g693 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g693 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g693 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsg469 +g1 +(g2 +g3 +(g690 +g469 +tp727 +tp728 +Rp729 +(dp730 +g10 +g693 +sg25 +g26 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g10 +g693 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsg461 +g1 +(g2 +g3 +(g690 +g461 +tp742 +tp743 +Rp744 +(dp745 +g10 +g693 +sg25 +g26 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g10 +g693 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g693 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsg25 +g26 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g10 +g693 +sg25 +g26 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g693 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg274 +g297 +sg5 +g1 +(g2 +g3 +(g5 +tp784 +tp785 +Rp786 +(dp787 +g10 +g271 +sg25 +g26 +((lp788 +tp789 +Rp790 +sbsg625 +g1 +(g2 +g3 +(g625 +tp791 +tp792 +Rp793 +(dp794 +g10 +g271 +sg25 +g26 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g271 +sg452 +g1 +(g2 +g3 +(g452 +tp799 +tp800 +Rp801 +(dp802 +g10 +g271 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsg25 +g26 +((lp806 +g13 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g271 +sg121 +g1 +(g2 +g3 +(g807 +g121 +tp812 +tp813 +Rp814 +(dp815 +g10 +g810 +sg25 +g26 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g10 +g810 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsg145 +g1 +(g2 +g3 +(g807 +g145 +tp827 +tp828 +Rp829 +(dp830 +g10 +g810 +sg25 +g26 +((lp831 +tp832 +Rp833 +sbsg200 +g1 +(g2 +g3 +(g807 +g200 +tp834 +tp835 +Rp836 +(dp837 +g10 +g810 +sg25 +g26 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g810 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsg113 +g1 +(g2 +g3 +(g807 +g113 +tp849 +tp850 +Rp851 +(dp852 +g10 +g810 +sg25 +g26 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g10 +g810 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsg25 +g26 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g664 +tp865 +tp866 +Rp867 +(dp868 +g10 +g810 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g810 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g10 +g810 +sg25 +g26 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg664 +g867 +sbag567 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g10 +g892 +sg25 +g26 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g892 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsg10 +g271 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g892 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg97 +g1 +(g2 +g3 +(g889 +g97 +tp918 +tp919 +Rp920 +(dp921 +g10 +g892 +sg25 +g26 +((lp922 +tp923 +Rp924 +sbsg674 +g1 +(g2 +g3 +(g889 +g674 +tp925 +tp926 +Rp927 +(dp928 +g10 +g892 +sg25 +g26 +((lp929 +tp930 +Rp931 +sbsg25 +g26 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g10 +g892 +sg25 +g26 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag654 +ag801 +ag677 +ag693 +ag793 +ag297 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg20 +g211 +sg246 +g249 +sg231 +g234 +sg5 +g8 +sbsS'Member' +p945 +g1 +(g2 +g3 +(g4 +g5 +g945 +tp946 +tp947 +Rp948 +(dp949 +g10 +g8 +sg25 +g26 +((lp950 +tp951 +Rp952 +sbsg25 +g26 +((lp953 +g948 +atp954 +Rp955 +sbVInstr +p956 +tp957 +a(g677 +V( +p958 +tp959 +a(g400 +V"cs.fm.BasicFM" +p960 +tp961 +a(g677 +V, +p962 +tp963 +a(g892 +V +p964 +tp965 +a(g677 +V{ +p966 +tp967 +a(g892 +V\u000a +p968 +tp969 +a(g875 +Varg +p970 +tp971 +a(g892 +g964 +tp972 +a(g8 +Vfreq +p973 +tp974 +a(g892 +g964 +tp975 +a(g654 +V= +p976 +tp977 +a(g892 +g964 +tp978 +a(g282 +V440 +p979 +tp980 +a(g677 +g962 +tp981 +a(g892 +V\u000a +p982 +tp983 +a(g8 +Vamp +p984 +tp985 +a(g892 +g964 +tp986 +a(g654 +g976 +tp987 +a(g892 +g964 +tp988 +a(g557 +V0.9 +p989 +tp990 +a(g677 +g962 +tp991 +a(g892 +V\u000a +p992 +tp993 +a(g8 +Vgate +p994 +tp995 +a(g892 +g964 +tp996 +a(g654 +g976 +tp997 +a(g892 +g964 +tp998 +a(g282 +V0 +p999 +tp1000 +a(g677 +g962 +tp1001 +a(g892 +V\u000a +p1002 +tp1003 +a(g8 +VcarrierFreqRatio +p1004 +tp1005 +a(g892 +g964 +tp1006 +a(g654 +g976 +tp1007 +a(g892 +g964 +tp1008 +a(g557 +V1.0 +p1009 +tp1010 +a(g677 +g962 +tp1011 +a(g892 +V\u000a +p1012 +tp1013 +a(g8 +VmodulatorFreqRatio +p1014 +tp1015 +a(g892 +g964 +tp1016 +a(g654 +g976 +tp1017 +a(g892 +g964 +tp1018 +a(g557 +V1.0 +p1019 +tp1020 +a(g677 +g962 +tp1021 +a(g892 +V\u000a +p1022 +tp1023 +a(g729 +V// not sure if having these defaults here actually does anything.\u000a +p1024 +tp1025 +a(g892 +V +p1026 +tp1027 +a(g8 +VmodEnvShape +p1028 +tp1029 +a(g892 +g964 +tp1030 +a(g654 +g976 +tp1031 +a(g892 +g964 +tp1032 +a(g8 +VEnv +p1033 +tp1034 +a(g677 +V. +p1035 +tp1036 +a(g8 +Vadsr +p1037 +tp1038 +a(g677 +g958 +tp1039 +a(g892 +V\u000a +p1040 +tp1041 +a(g8 +VattackTime +p1042 +tp1043 +a(g654 +V: +p1044 +tp1045 +a(g892 +g964 +tp1046 +a(g557 +V0.05 +p1047 +tp1048 +a(g677 +g962 +tp1049 +a(g892 +V\u000a +p1050 +tp1051 +a(g8 +VdecayTime +p1052 +tp1053 +a(g654 +g1044 +tp1054 +a(g892 +g964 +tp1055 +a(g557 +V0.1 +p1056 +tp1057 +a(g677 +g962 +tp1058 +a(g892 +V\u000a +p1059 +tp1060 +a(g8 +VsustainLevel +p1061 +tp1062 +a(g654 +g1044 +tp1063 +a(g892 +g964 +tp1064 +a(g557 +V0.5 +p1065 +tp1066 +a(g892 +g964 +tp1067 +a(g654 +V* +p1068 +tp1069 +a(g892 +g964 +tp1070 +a(g8 +Vamp +p1071 +tp1072 +a(g677 +g962 +tp1073 +a(g892 +V\u000a +p1074 +tp1075 +a(g8 +VreleaseTime +p1076 +tp1077 +a(g654 +g1044 +tp1078 +a(g892 +g964 +tp1079 +a(g557 +V0.1 +p1080 +tp1081 +a(g677 +g962 +tp1082 +a(g892 +V\u000a +p1083 +tp1084 +a(g8 +VpeakLevel +p1085 +tp1086 +a(g654 +g1044 +tp1087 +a(g892 +g964 +tp1088 +a(g8 +Vamp +p1089 +tp1090 +a(g677 +g962 +tp1091 +a(g892 +V\u000a +p1092 +tp1093 +a(g8 +Vcurve +p1094 +tp1095 +a(g654 +g1044 +tp1096 +a(g892 +g964 +tp1097 +a(g677 +V[ +p1098 +tp1099 +a(g282 +V4 +p1100 +tp1101 +a(g677 +g962 +tp1102 +a(g892 +g964 +tp1103 +a(g654 +V- +p1104 +tp1105 +a(g282 +g1100 +tp1106 +a(g677 +g962 +tp1107 +a(g892 +g964 +tp1108 +a(g654 +g1104 +tp1109 +a(g282 +V2 +p1110 +tp1111 +a(g677 +V] +p1112 +tp1113 +a(g892 +V\u000a +p1114 +tp1115 +a(g677 +V) +p1116 +tp1117 +a(g677 +g962 +tp1118 +a(g892 +V\u000a +p1119 +tp1120 +a(g8 +VcarrierEnvShape +p1121 +tp1122 +a(g892 +g964 +tp1123 +a(g654 +g976 +tp1124 +a(g892 +g964 +tp1125 +a(g8 +VEnv +p1126 +tp1127 +a(g677 +g1035 +tp1128 +a(g8 +Vadsr +p1129 +tp1130 +a(g677 +g958 +tp1131 +a(g892 +V\u000a +p1132 +tp1133 +a(g8 +VattackTime +p1134 +tp1135 +a(g654 +g1044 +tp1136 +a(g892 +g964 +tp1137 +a(g557 +V0.05 +p1138 +tp1139 +a(g677 +g962 +tp1140 +a(g892 +V\u000a +p1141 +tp1142 +a(g8 +VdecayTime +p1143 +tp1144 +a(g654 +g1044 +tp1145 +a(g892 +g964 +tp1146 +a(g557 +V0.1 +p1147 +tp1148 +a(g677 +g962 +tp1149 +a(g892 +V\u000a +p1150 +tp1151 +a(g8 +VsustainLevel +p1152 +tp1153 +a(g654 +g1044 +tp1154 +a(g892 +g964 +tp1155 +a(g557 +V0.5 +p1156 +tp1157 +a(g892 +g964 +tp1158 +a(g654 +g1068 +tp1159 +a(g892 +g964 +tp1160 +a(g8 +Vamp +p1161 +tp1162 +a(g677 +g962 +tp1163 +a(g892 +V\u000a +p1164 +tp1165 +a(g8 +VreleaseTime +p1166 +tp1167 +a(g654 +g1044 +tp1168 +a(g892 +g964 +tp1169 +a(g557 +V0.1 +p1170 +tp1171 +a(g677 +g962 +tp1172 +a(g892 +V\u000a +p1173 +tp1174 +a(g8 +VpeakLevel +p1175 +tp1176 +a(g654 +g1044 +tp1177 +a(g892 +g964 +tp1178 +a(g8 +Vamp +p1179 +tp1180 +a(g677 +g962 +tp1181 +a(g892 +V\u000a +p1182 +tp1183 +a(g8 +Vcurve +p1184 +tp1185 +a(g654 +g1044 +tp1186 +a(g892 +g964 +tp1187 +a(g677 +g1098 +tp1188 +a(g282 +g1100 +tp1189 +a(g677 +g962 +tp1190 +a(g892 +g964 +tp1191 +a(g654 +g1104 +tp1192 +a(g282 +g1100 +tp1193 +a(g677 +g962 +tp1194 +a(g892 +g964 +tp1195 +a(g654 +g1104 +tp1196 +a(g282 +g1110 +tp1197 +a(g677 +g1112 +tp1198 +a(g892 +V\u000a +p1199 +tp1200 +a(g677 +g1116 +tp1201 +a(g677 +V; +p1202 +tp1203 +a(g892 +V\u000a\u000a +p1204 +tp1205 +a(g875 +Vvar +p1206 +tp1207 +a(g892 +g964 +tp1208 +a(g8 +Vcarrier +p1209 +tp1210 +a(g677 +g962 +tp1211 +a(g892 +V\u000a +p1212 +tp1213 +a(g8 +Vmodulator +p1214 +tp1215 +a(g677 +g962 +tp1216 +a(g892 +V\u000a +p1217 +tp1218 +a(g8 +VcarrierEnv +p1219 +tp1220 +a(g677 +g962 +tp1221 +a(g892 +V\u000a +p1222 +tp1223 +a(g8 +VmodEnv +p1224 +tp1225 +a(g677 +g962 +tp1226 +a(g892 +V\u000a +p1227 +tp1228 +a(g8 +Vout +p1229 +tp1230 +a(g677 +g1202 +tp1231 +a(g892 +V\u000a\u000a +p1232 +tp1233 +a(g8 +VmodEnv +p1234 +tp1235 +a(g892 +g964 +tp1236 +a(g654 +g976 +tp1237 +a(g892 +g964 +tp1238 +a(g8 +VEnvGen +p1239 +tp1240 +a(g677 +g1035 +tp1241 +a(g8 +Vkr +p1242 +tp1243 +a(g677 +g958 +tp1244 +a(g892 +V\u000a +p1245 +tp1246 +a(g8 +Venvelope +p1247 +tp1248 +a(g654 +g1044 +tp1249 +a(g892 +g964 +tp1250 +a(g8 +VmodEnvShape +p1251 +tp1252 +a(g677 +g962 +tp1253 +a(g892 +V\u000a +p1254 +tp1255 +a(g8 +Vgate +p1256 +tp1257 +a(g654 +g1044 +tp1258 +a(g892 +g964 +tp1259 +a(g8 +Vgate +p1260 +tp1261 +a(g892 +V\u000a +p1262 +tp1263 +a(g677 +g1116 +tp1264 +a(g677 +g1202 +tp1265 +a(g892 +V\u000a \u000a +p1266 +tp1267 +a(g8 +Vmodulator +p1268 +tp1269 +a(g892 +g964 +tp1270 +a(g654 +g976 +tp1271 +a(g892 +g964 +tp1272 +a(g8 +VmodEnv +p1273 +tp1274 +a(g892 +g964 +tp1275 +a(g654 +g1068 +tp1276 +a(g892 +g964 +tp1277 +a(g8 +VSinOsc +p1278 +tp1279 +a(g677 +g1035 +tp1280 +a(g8 +Var +p1281 +tp1282 +a(g677 +g958 +tp1283 +a(g8 +Vfreq +p1284 +tp1285 +a(g892 +g964 +tp1286 +a(g654 +g1068 +tp1287 +a(g892 +g964 +tp1288 +a(g8 +VmodulatorFreqRatio +p1289 +tp1290 +a(g677 +g1116 +tp1291 +a(g677 +g1202 +tp1292 +a(g892 +V\u000a \u000a +p1293 +tp1294 +a(g729 +V// carrier sustains until noteoff\u000a +p1295 +tp1296 +a(g892 +V +p1297 +tp1298 +a(g8 +VcarrierEnvShape +p1299 +tp1300 +a(g677 +g1035 +tp1301 +a(g8 +VreleaseNode +p1302 +tp1303 +a(g892 +g964 +tp1304 +a(g654 +g976 +tp1305 +a(g892 +g964 +tp1306 +a(g282 +g1110 +tp1307 +a(g677 +g1202 +tp1308 +a(g892 +V\u000a\u000a +p1309 +tp1310 +a(g8 +VcarrierEnv +p1311 +tp1312 +a(g892 +g964 +tp1313 +a(g654 +g976 +tp1314 +a(g892 +g964 +tp1315 +a(g8 +VEnvGen +p1316 +tp1317 +a(g677 +g1035 +tp1318 +a(g8 +Vkr +p1319 +tp1320 +a(g677 +g958 +tp1321 +a(g892 +V\u000a +p1322 +tp1323 +a(g8 +Venvelope +p1324 +tp1325 +a(g654 +g1044 +tp1326 +a(g892 +g964 +tp1327 +a(g8 +VcarrierEnvShape +p1328 +tp1329 +a(g677 +g962 +tp1330 +a(g892 +V\u000a +p1331 +tp1332 +a(g8 +Vgate +p1333 +tp1334 +a(g654 +g1044 +tp1335 +a(g892 +g964 +tp1336 +a(g8 +Vgate +p1337 +tp1338 +a(g892 +V\u000a +p1339 +tp1340 +a(g677 +g1116 +tp1341 +a(g677 +g1202 +tp1342 +a(g892 +V\u000a\u000a +p1343 +tp1344 +a(g8 +Vcarrier +p1345 +tp1346 +a(g892 +g964 +tp1347 +a(g654 +g976 +tp1348 +a(g892 +g964 +tp1349 +a(g8 +VcarrierEnv +p1350 +tp1351 +a(g892 +g964 +tp1352 +a(g654 +g1068 +tp1353 +a(g892 +g964 +tp1354 +a(g8 +VSinOsc +p1355 +tp1356 +a(g677 +g1035 +tp1357 +a(g8 +Var +p1358 +tp1359 +a(g677 +g958 +tp1360 +a(g892 +V\u000a +p1361 +tp1362 +a(g677 +g958 +tp1363 +a(g8 +Vfreq +p1364 +tp1365 +a(g892 +g964 +tp1366 +a(g654 +g1068 +tp1367 +a(g892 +g964 +tp1368 +a(g8 +VcarrierFreqRatio +p1369 +tp1370 +a(g677 +g1116 +tp1371 +a(g892 +g964 +tp1372 +a(g654 +V+ +p1373 +tp1374 +a(g892 +g964 +tp1375 +a(g677 +g958 +tp1376 +a(g8 +Vmodulator +p1377 +tp1378 +a(g892 +g964 +tp1379 +a(g654 +g1068 +tp1380 +a(g892 +g964 +tp1381 +a(g8 +Vfreq +p1382 +tp1383 +a(g677 +g1116 +tp1384 +a(g892 +V\u000a +p1385 +tp1386 +a(g677 +g1116 +tp1387 +a(g677 +g1202 +tp1388 +a(g892 +V\u000a\u000a +p1389 +tp1390 +a(g729 +V// free synth when both carrier and modulator envelopes are done\u000a +p1391 +tp1392 +a(g892 +V +p1393 +tp1394 +a(g8 +VFreeSelf +p1395 +tp1396 +a(g677 +g1035 +tp1397 +a(g8 +Vkr +p1398 +tp1399 +a(g677 +g958 +tp1400 +a(g8 +VDone +p1401 +tp1402 +a(g677 +g1035 +tp1403 +a(g8 +Vkr +p1404 +tp1405 +a(g677 +g958 +tp1406 +a(g8 +VcarrierEnv +p1407 +tp1408 +a(g677 +g1116 +tp1409 +a(g892 +g964 +tp1410 +a(g654 +g1373 +tp1411 +a(g892 +g964 +tp1412 +a(g8 +VDone +p1413 +tp1414 +a(g677 +g1035 +tp1415 +a(g8 +Vkr +p1416 +tp1417 +a(g677 +g958 +tp1418 +a(g8 +VmodEnv +p1419 +tp1420 +a(g677 +g1116 +tp1421 +a(g892 +g964 +tp1422 +a(g654 +g1104 +tp1423 +a(g892 +g964 +tp1424 +a(g282 +V1 +p1425 +tp1426 +a(g677 +g1116 +tp1427 +a(g677 +g1202 +tp1428 +a(g892 +V\u000a\u000a +p1429 +tp1430 +a(g8 +Vout +p1431 +tp1432 +a(g892 +g964 +tp1433 +a(g654 +g976 +tp1434 +a(g892 +g964 +tp1435 +a(g8 +Vamp +p1436 +tp1437 +a(g892 +g964 +tp1438 +a(g654 +g1068 +tp1439 +a(g892 +g964 +tp1440 +a(g8 +Vcarrier +p1441 +tp1442 +a(g677 +g1202 +tp1443 +a(g892 +V\u000a +p1444 +tp1445 +a(g677 +V} +p1446 +tp1447 +a(g677 +g962 +tp1448 +a(g892 +g964 +tp1449 +a(g677 +g1098 +tp1450 +a(g892 +V\u000a +p1451 +tp1452 +a(g360 +V\u005cfreq +p1453 +tp1454 +a(g677 +g1035 +tp1455 +a(g8 +VasSpec +p1456 +tp1457 +a(g677 +g958 +tp1458 +a(g677 +g1116 +tp1459 +a(g677 +g962 +tp1460 +a(g892 +V\u000a +p1461 +tp1462 +a(g360 +V\u005camp +p1463 +tp1464 +a(g677 +g1035 +tp1465 +a(g8 +VasSpec +p1466 +tp1467 +a(g677 +g958 +tp1468 +a(g677 +g1116 +tp1469 +a(g677 +g962 +tp1470 +a(g892 +V\u000a +p1471 +tp1472 +a(g360 +V\u005cnil +p1473 +tp1474 +a(g677 +g962 +tp1475 +a(g892 +V\u000a +p1476 +tp1477 +a(g8 +VControlSpec +p1478 +tp1479 +a(g677 +g958 +tp1480 +a(g557 +V0.1 +p1481 +tp1482 +a(g677 +g962 +tp1483 +a(g892 +g964 +tp1484 +a(g282 +V10 +p1485 +tp1486 +a(g677 +g1116 +tp1487 +a(g677 +g962 +tp1488 +a(g892 +V\u000a +p1489 +tp1490 +a(g8 +VControlSpec +p1491 +tp1492 +a(g677 +g958 +tp1493 +a(g557 +V0.1 +p1494 +tp1495 +a(g677 +g962 +tp1496 +a(g892 +g964 +tp1497 +a(g282 +V10 +p1498 +tp1499 +a(g677 +g1116 +tp1500 +a(g677 +g962 +tp1501 +a(g892 +V\u000a +p1502 +tp1503 +a(g8 +VEnvSpec +p1504 +tp1505 +a(g677 +g958 +tp1506 +a(g8 +VEnv +p1507 +tp1508 +a(g677 +g1035 +tp1509 +a(g8 +Vadsr +p1510 +tp1511 +a(g677 +g958 +tp1512 +a(g892 +V\u000a +p1513 +tp1514 +a(g8 +VattackTime +p1515 +tp1516 +a(g654 +g1044 +tp1517 +a(g892 +g964 +tp1518 +a(g557 +V0.05 +p1519 +tp1520 +a(g677 +g962 +tp1521 +a(g892 +V\u000a +p1522 +tp1523 +a(g8 +VdecayTime +p1524 +tp1525 +a(g654 +g1044 +tp1526 +a(g892 +g964 +tp1527 +a(g557 +V0.1 +p1528 +tp1529 +a(g677 +g962 +tp1530 +a(g892 +V\u000a +p1531 +tp1532 +a(g8 +VsustainLevel +p1533 +tp1534 +a(g654 +g1044 +tp1535 +a(g892 +g964 +tp1536 +a(g557 +V0.8 +p1537 +tp1538 +a(g677 +g962 +tp1539 +a(g892 +V\u000a +p1540 +tp1541 +a(g8 +VreleaseTime +p1542 +tp1543 +a(g654 +g1044 +tp1544 +a(g892 +g964 +tp1545 +a(g557 +V0.1 +p1546 +tp1547 +a(g677 +g962 +tp1548 +a(g892 +V\u000a +p1549 +tp1550 +a(g8 +VpeakLevel +p1551 +tp1552 +a(g654 +g1044 +tp1553 +a(g892 +g964 +tp1554 +a(g557 +V1.0 +p1555 +tp1556 +a(g677 +g962 +tp1557 +a(g892 +V\u000a +p1558 +tp1559 +a(g8 +Vcurve +p1560 +tp1561 +a(g654 +g1044 +tp1562 +a(g892 +g964 +tp1563 +a(g677 +g1098 +tp1564 +a(g282 +g1100 +tp1565 +a(g677 +g962 +tp1566 +a(g892 +g964 +tp1567 +a(g654 +g1104 +tp1568 +a(g282 +g1100 +tp1569 +a(g677 +g962 +tp1570 +a(g892 +g964 +tp1571 +a(g654 +g1104 +tp1572 +a(g282 +g1110 +tp1573 +a(g677 +g1112 +tp1574 +a(g892 +V\u000a +p1575 +tp1576 +a(g677 +g1116 +tp1577 +a(g677 +g1116 +tp1578 +a(g677 +g962 +tp1579 +a(g892 +V\u000a +p1580 +tp1581 +a(g8 +VEnvSpec +p1582 +tp1583 +a(g677 +g958 +tp1584 +a(g8 +VEnv +p1585 +tp1586 +a(g677 +g1035 +tp1587 +a(g8 +Vadsr +p1588 +tp1589 +a(g677 +g958 +tp1590 +a(g892 +V\u000a +p1591 +tp1592 +a(g8 +VattackTime +p1593 +tp1594 +a(g654 +g1044 +tp1595 +a(g892 +g964 +tp1596 +a(g557 +V0.05 +p1597 +tp1598 +a(g677 +g962 +tp1599 +a(g892 +V\u000a +p1600 +tp1601 +a(g8 +VdecayTime +p1602 +tp1603 +a(g654 +g1044 +tp1604 +a(g892 +g964 +tp1605 +a(g557 +V0.1 +p1606 +tp1607 +a(g677 +g962 +tp1608 +a(g892 +V\u000a +p1609 +tp1610 +a(g8 +VsustainLevel +p1611 +tp1612 +a(g654 +g1044 +tp1613 +a(g892 +g964 +tp1614 +a(g557 +V0.8 +p1615 +tp1616 +a(g677 +g962 +tp1617 +a(g892 +V\u000a +p1618 +tp1619 +a(g8 +VreleaseTime +p1620 +tp1621 +a(g654 +g1044 +tp1622 +a(g892 +g964 +tp1623 +a(g557 +V0.1 +p1624 +tp1625 +a(g677 +g962 +tp1626 +a(g892 +V\u000a +p1627 +tp1628 +a(g8 +VpeakLevel +p1629 +tp1630 +a(g654 +g1044 +tp1631 +a(g892 +g964 +tp1632 +a(g557 +V1.0 +p1633 +tp1634 +a(g677 +g962 +tp1635 +a(g892 +V\u000a +p1636 +tp1637 +a(g8 +Vcurve +p1638 +tp1639 +a(g654 +g1044 +tp1640 +a(g892 +g964 +tp1641 +a(g677 +g1098 +tp1642 +a(g282 +g1100 +tp1643 +a(g677 +g962 +tp1644 +a(g892 +g964 +tp1645 +a(g654 +g1104 +tp1646 +a(g282 +g1100 +tp1647 +a(g677 +g962 +tp1648 +a(g892 +g964 +tp1649 +a(g654 +g1104 +tp1650 +a(g282 +g1110 +tp1651 +a(g677 +g1112 +tp1652 +a(g892 +V\u000a +p1653 +tp1654 +a(g677 +g1116 +tp1655 +a(g677 +g1116 +tp1656 +a(g892 +V\u000a +p1657 +tp1658 +a(g677 +g1112 +tp1659 +a(g677 +g1116 +tp1660 +a(g677 +g1202 +tp1661 +a(g892 +V\u000a +p1662 +tp1663 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sgf b/tests/examplefiles/output/example.sgf new file mode 100644 index 0000000..2ca3282 --- /dev/null +++ b/tests/examplefiles/output/example.sgf @@ -0,0 +1,5692 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV( +p956 +tp957 +a(g7 +V; +p958 +tp959 +a(g669 +VFF +p960 +tp961 +a(g7 +V[ +p962 +tp963 +a(g17 +V4 +p964 +tp965 +a(g7 +V] +p966 +tp967 +a(g669 +VGM +p968 +tp969 +a(g7 +g962 +tp970 +a(g17 +V1 +p971 +tp972 +a(g7 +g966 +tp973 +a(g669 +VSZ +p974 +tp975 +a(g7 +g962 +tp976 +a(g17 +V19 +p977 +tp978 +a(g7 +g966 +tp979 +a(g669 +VFG +p980 +tp981 +a(g7 +g962 +tp982 +a(g76 +V257:Figure 1 +p983 +tp984 +a(g7 +g966 +tp985 +a(g669 +VPM +p986 +tp987 +a(g7 +g962 +tp988 +a(g17 +g971 +tp989 +a(g7 +g966 +tp990 +a(g7 +V\u000a +p991 +tp992 +a(g669 +VPB +p993 +tp994 +a(g7 +g962 +tp995 +a(g76 +VTakemiya Masaki +p996 +tp997 +a(g7 +g966 +tp998 +a(g669 +VBR +p999 +tp1000 +a(g7 +g962 +tp1001 +a(g76 +V9 dan +p1002 +tp1003 +a(g7 +g966 +tp1004 +a(g669 +VPW +p1005 +tp1006 +a(g7 +g962 +tp1007 +a(g76 +VCho Chikun +p1008 +tp1009 +a(g7 +g966 +tp1010 +a(g7 +V\u000a +p1011 +tp1012 +a(g669 +VWR +p1013 +tp1014 +a(g7 +g962 +tp1015 +a(g76 +V9 dan +p1016 +tp1017 +a(g7 +g966 +tp1018 +a(g669 +VRE +p1019 +tp1020 +a(g7 +g962 +tp1021 +a(g76 +VW+Resign +p1022 +tp1023 +a(g7 +g966 +tp1024 +a(g669 +VKM +p1025 +tp1026 +a(g7 +g962 +tp1027 +a(g17 +V5.5 +p1028 +tp1029 +a(g7 +g966 +tp1030 +a(g669 +VTM +p1031 +tp1032 +a(g7 +g962 +tp1033 +a(g17 +V28800 +p1034 +tp1035 +a(g7 +g966 +tp1036 +a(g669 +VDT +p1037 +tp1038 +a(g7 +g962 +tp1039 +a(g76 +V1996-10-18,19 +p1040 +tp1041 +a(g7 +g966 +tp1042 +a(g7 +V\u000a +p1043 +tp1044 +a(g669 +VEV +p1045 +tp1046 +a(g7 +g962 +tp1047 +a(g76 +V21st Meijin +p1048 +tp1049 +a(g7 +g966 +tp1050 +a(g669 +VRO +p1051 +tp1052 +a(g7 +g962 +tp1053 +a(g76 +V2 (final) +p1054 +tp1055 +a(g7 +g966 +tp1056 +a(g669 +VSO +p1057 +tp1058 +a(g7 +g962 +tp1059 +a(g76 +VGo World #78 +p1060 +tp1061 +a(g7 +g966 +tp1062 +a(g669 +VUS +p1063 +tp1064 +a(g7 +g962 +tp1065 +a(g76 +VArno Hollosi +p1066 +tp1067 +a(g7 +g966 +tp1068 +a(g7 +V\u000a +p1069 +tp1070 +a(g7 +g958 +tp1071 +a(g669 +VB +p1072 +tp1073 +a(g7 +g962 +tp1074 +a(g76 +Vpd +p1075 +tp1076 +a(g7 +g966 +tp1077 +a(g7 +g958 +tp1078 +a(g669 +VW +p1079 +tp1080 +a(g7 +g962 +tp1081 +a(g76 +Vdp +p1082 +tp1083 +a(g7 +g966 +tp1084 +a(g7 +g958 +tp1085 +a(g669 +g1072 +tp1086 +a(g7 +g962 +tp1087 +a(g76 +Vpp +p1088 +tp1089 +a(g7 +g966 +tp1090 +a(g7 +g958 +tp1091 +a(g669 +g1079 +tp1092 +a(g7 +g962 +tp1093 +a(g76 +Vdd +p1094 +tp1095 +a(g7 +g966 +tp1096 +a(g7 +g958 +tp1097 +a(g669 +g1072 +tp1098 +a(g7 +g962 +tp1099 +a(g76 +Vpj +p1100 +tp1101 +a(g7 +g966 +tp1102 +a(g7 +g958 +tp1103 +a(g669 +g1079 +tp1104 +a(g7 +g962 +tp1105 +a(g76 +Vnc +p1106 +tp1107 +a(g7 +g966 +tp1108 +a(g7 +g958 +tp1109 +a(g669 +g1072 +tp1110 +a(g7 +g962 +tp1111 +a(g76 +Voe +p1112 +tp1113 +a(g7 +g966 +tp1114 +a(g7 +g958 +tp1115 +a(g669 +g1079 +tp1116 +a(g7 +g962 +tp1117 +a(g76 +Vqc +p1118 +tp1119 +a(g7 +g966 +tp1120 +a(g7 +g958 +tp1121 +a(g669 +g1072 +tp1122 +a(g7 +g962 +tp1123 +a(g76 +Vpc +p1124 +tp1125 +a(g7 +g966 +tp1126 +a(g7 +g958 +tp1127 +a(g669 +g1079 +tp1128 +a(g7 +g962 +tp1129 +a(g76 +Vqd +p1130 +tp1131 +a(g7 +g966 +tp1132 +a(g7 +V\u000a +p1133 +tp1134 +a(g7 +g956 +tp1135 +a(g7 +g958 +tp1136 +a(g669 +g1072 +tp1137 +a(g7 +g962 +tp1138 +a(g76 +Vqf +p1139 +tp1140 +a(g7 +g966 +tp1141 +a(g7 +g958 +tp1142 +a(g669 +g1079 +tp1143 +a(g7 +g962 +tp1144 +a(g76 +Vrf +p1145 +tp1146 +a(g7 +g966 +tp1147 +a(g7 +g958 +tp1148 +a(g669 +g1072 +tp1149 +a(g7 +g962 +tp1150 +a(g76 +Vrg +p1151 +tp1152 +a(g7 +g966 +tp1153 +a(g7 +g958 +tp1154 +a(g669 +g1079 +tp1155 +a(g7 +g962 +tp1156 +a(g76 +Vre +p1157 +tp1158 +a(g7 +g966 +tp1159 +a(g7 +g958 +tp1160 +a(g669 +g1072 +tp1161 +a(g7 +g962 +tp1162 +a(g76 +Vqg +p1163 +tp1164 +a(g7 +g966 +tp1165 +a(g7 +g958 +tp1166 +a(g669 +g1079 +tp1167 +a(g7 +g962 +tp1168 +a(g76 +Vpb +p1169 +tp1170 +a(g7 +g966 +tp1171 +a(g7 +g958 +tp1172 +a(g669 +g1072 +tp1173 +a(g7 +g962 +tp1174 +a(g76 +Vob +p1175 +tp1176 +a(g7 +g966 +tp1177 +a(g7 +g958 +tp1178 +a(g669 +g1079 +tp1179 +a(g7 +g962 +tp1180 +a(g76 +Vqb +p1181 +tp1182 +a(g7 +g966 +tp1183 +a(g7 +V\u000a +p1184 +tp1185 +a(g7 +g956 +tp1186 +a(g7 +g958 +tp1187 +a(g669 +g1072 +tp1188 +a(g7 +g962 +tp1189 +a(g76 +Vmp +p1190 +tp1191 +a(g7 +g966 +tp1192 +a(g7 +g958 +tp1193 +a(g669 +g1079 +tp1194 +a(g7 +g962 +tp1195 +a(g76 +Vfq +p1196 +tp1197 +a(g7 +g966 +tp1198 +a(g7 +g958 +tp1199 +a(g669 +g1072 +tp1200 +a(g7 +g962 +tp1201 +a(g76 +Vci +p1202 +tp1203 +a(g7 +g966 +tp1204 +a(g7 +g958 +tp1205 +a(g669 +g1079 +tp1206 +a(g7 +g962 +tp1207 +a(g76 +Vcg +p1208 +tp1209 +a(g7 +g966 +tp1210 +a(g7 +g958 +tp1211 +a(g669 +g1072 +tp1212 +a(g7 +g962 +tp1213 +a(g76 +Vdl +p1214 +tp1215 +a(g7 +g966 +tp1216 +a(g7 +g958 +tp1217 +a(g669 +g1079 +tp1218 +a(g7 +g962 +tp1219 +a(g76 +Vcn +p1220 +tp1221 +a(g7 +g966 +tp1222 +a(g7 +g958 +tp1223 +a(g669 +g1072 +tp1224 +a(g7 +g962 +tp1225 +a(g76 +Vqo +p1226 +tp1227 +a(g7 +g966 +tp1228 +a(g7 +g958 +tp1229 +a(g669 +g1079 +tp1230 +a(g7 +g962 +tp1231 +a(g76 +Vec +p1232 +tp1233 +a(g7 +g966 +tp1234 +a(g7 +g958 +tp1235 +a(g669 +g1072 +tp1236 +a(g7 +g962 +tp1237 +a(g76 +Vjp +p1238 +tp1239 +a(g7 +g966 +tp1240 +a(g7 +g958 +tp1241 +a(g669 +g1079 +tp1242 +a(g7 +g962 +tp1243 +a(g76 +Vjd +p1244 +tp1245 +a(g7 +g966 +tp1246 +a(g7 +V\u000a +p1247 +tp1248 +a(g7 +g958 +tp1249 +a(g669 +g1072 +tp1250 +a(g7 +g962 +tp1251 +a(g76 +Vei +p1252 +tp1253 +a(g7 +g966 +tp1254 +a(g7 +g958 +tp1255 +a(g669 +g1079 +tp1256 +a(g7 +g962 +tp1257 +a(g76 +Veg +p1258 +tp1259 +a(g7 +g966 +tp1260 +a(g7 +g958 +tp1261 +a(g669 +g1072 +tp1262 +a(g7 +g962 +tp1263 +a(g76 +Vkk +p1264 +tp1265 +a(g7 +g966 +tp1266 +a(g669 +VL +p1267 +tp1268 +a(g669 +g1072 +tp1269 +a(g7 +g962 +tp1270 +a(g76 +Vqq:a +p1271 +tp1272 +a(g7 +g966 +tp1273 +a(g7 +g962 +tp1274 +a(g76 +Vdj:b +p1275 +tp1276 +a(g7 +g966 +tp1277 +a(g7 +g962 +tp1278 +a(g76 +Vck:c +p1279 +tp1280 +a(g7 +g966 +tp1281 +a(g7 +g962 +tp1282 +a(g76 +Vqp:d +p1283 +tp1284 +a(g7 +g966 +tp1285 +a(g669 +VN +p1286 +tp1287 +a(g7 +g962 +tp1288 +a(g76 +VFigure 1 +p1289 +tp1290 +a(g7 +g966 +tp1291 +a(g7 +V\u000a +p1292 +tp1293 +a(g7 +V\u000a +p1294 +tp1295 +a(g7 +g958 +tp1296 +a(g669 +g1079 +tp1297 +a(g7 +g962 +tp1298 +a(g76 +Vme +p1299 +tp1300 +a(g7 +g966 +tp1301 +a(g669 +VFG +p1302 +tp1303 +a(g7 +g962 +tp1304 +a(g76 +V257:Figure 2 +p1305 +tp1306 +a(g7 +g966 +tp1307 +a(g7 +g958 +tp1308 +a(g669 +g1072 +tp1309 +a(g7 +g962 +tp1310 +a(g76 +Vkf +p1311 +tp1312 +a(g7 +g966 +tp1313 +a(g7 +g958 +tp1314 +a(g669 +g1079 +tp1315 +a(g7 +g962 +tp1316 +a(g76 +Vke +p1317 +tp1318 +a(g7 +g966 +tp1319 +a(g7 +g958 +tp1320 +a(g669 +g1072 +tp1321 +a(g7 +g962 +tp1322 +a(g76 +Vlf +p1323 +tp1324 +a(g7 +g966 +tp1325 +a(g7 +g958 +tp1326 +a(g669 +g1079 +tp1327 +a(g7 +g962 +tp1328 +a(g76 +Vjf +p1329 +tp1330 +a(g7 +g966 +tp1331 +a(g7 +g958 +tp1332 +a(g669 +g1072 +tp1333 +a(g7 +g962 +tp1334 +a(g76 +Vjg +p1335 +tp1336 +a(g7 +g966 +tp1337 +a(g7 +V\u000a +p1338 +tp1339 +a(g7 +g956 +tp1340 +a(g7 +g958 +tp1341 +a(g669 +g1079 +tp1342 +a(g7 +g962 +tp1343 +a(g76 +Vmf +p1344 +tp1345 +a(g7 +g966 +tp1346 +a(g7 +g958 +tp1347 +a(g669 +g1072 +tp1348 +a(g7 +g962 +tp1349 +a(g76 +Vif +p1350 +tp1351 +a(g7 +g966 +tp1352 +a(g7 +g958 +tp1353 +a(g669 +g1079 +tp1354 +a(g7 +g962 +tp1355 +a(g76 +Vje +p1356 +tp1357 +a(g7 +g966 +tp1358 +a(g7 +g958 +tp1359 +a(g669 +g1072 +tp1360 +a(g7 +g962 +tp1361 +a(g76 +Vig +p1362 +tp1363 +a(g7 +g966 +tp1364 +a(g7 +g958 +tp1365 +a(g669 +g1079 +tp1366 +a(g7 +g962 +tp1367 +a(g76 +Vmg +p1368 +tp1369 +a(g7 +g966 +tp1370 +a(g7 +g958 +tp1371 +a(g669 +g1072 +tp1372 +a(g7 +g962 +tp1373 +a(g76 +Vmj +p1374 +tp1375 +a(g7 +g966 +tp1376 +a(g7 +g958 +tp1377 +a(g669 +g1079 +tp1378 +a(g7 +g962 +tp1379 +a(g76 +Vmq +p1380 +tp1381 +a(g7 +g966 +tp1382 +a(g7 +g958 +tp1383 +a(g669 +g1072 +tp1384 +a(g7 +g962 +tp1385 +a(g76 +Vlq +p1386 +tp1387 +a(g7 +g966 +tp1388 +a(g7 +g958 +tp1389 +a(g669 +g1079 +tp1390 +a(g7 +g962 +tp1391 +a(g76 +Vnq +p1392 +tp1393 +a(g7 +g966 +tp1394 +a(g7 +V\u000a +p1395 +tp1396 +a(g7 +g956 +tp1397 +a(g7 +g958 +tp1398 +a(g669 +g1072 +tp1399 +a(g7 +g962 +tp1400 +a(g76 +Vlr +p1401 +tp1402 +a(g7 +g966 +tp1403 +a(g7 +g958 +tp1404 +a(g669 +g1079 +tp1405 +a(g7 +g962 +tp1406 +a(g76 +Vqq +p1407 +tp1408 +a(g7 +g966 +tp1409 +a(g7 +g958 +tp1410 +a(g669 +g1072 +tp1411 +a(g7 +g962 +tp1412 +a(g76 +Vpq +p1413 +tp1414 +a(g7 +g966 +tp1415 +a(g7 +g958 +tp1416 +a(g669 +g1079 +tp1417 +a(g7 +g962 +tp1418 +a(g76 +Vpr +p1419 +tp1420 +a(g7 +g966 +tp1421 +a(g7 +g958 +tp1422 +a(g669 +g1072 +tp1423 +a(g7 +g962 +tp1424 +a(g76 +Vrq +p1425 +tp1426 +a(g7 +g966 +tp1427 +a(g7 +g958 +tp1428 +a(g669 +g1079 +tp1429 +a(g7 +g962 +tp1430 +a(g76 +Vrr +p1431 +tp1432 +a(g7 +g966 +tp1433 +a(g7 +g958 +tp1434 +a(g669 +g1072 +tp1435 +a(g7 +g962 +tp1436 +a(g76 +Vrp +p1437 +tp1438 +a(g7 +g966 +tp1439 +a(g7 +g958 +tp1440 +a(g669 +g1079 +tp1441 +a(g7 +g962 +tp1442 +a(g76 +Voq +p1443 +tp1444 +a(g7 +g966 +tp1445 +a(g7 +g958 +tp1446 +a(g669 +g1072 +tp1447 +a(g7 +g962 +tp1448 +a(g76 +Vmr +p1449 +tp1450 +a(g7 +g966 +tp1451 +a(g7 +g958 +tp1452 +a(g669 +g1079 +tp1453 +a(g7 +g962 +tp1454 +a(g76 +Voo +p1455 +tp1456 +a(g7 +g966 +tp1457 +a(g7 +g958 +tp1458 +a(g669 +g1072 +tp1459 +a(g7 +g962 +tp1460 +a(g76 +Vmn +p1461 +tp1462 +a(g7 +g966 +tp1463 +a(g7 +V\u000a +p1464 +tp1465 +a(g7 +g956 +tp1466 +a(g7 +g958 +tp1467 +a(g669 +g1079 +tp1468 +a(g7 +g962 +tp1469 +a(g76 +Vnr +p1470 +tp1471 +a(g7 +g966 +tp1472 +a(g7 +g958 +tp1473 +a(g669 +g1072 +tp1474 +a(g7 +g962 +tp1475 +a(g76 +Vqp +p1476 +tp1477 +a(g7 +g966 +tp1478 +a(g669 +g1267 +tp1479 +a(g669 +g1072 +tp1480 +a(g7 +g962 +tp1481 +a(g76 +Vkd:a +p1482 +tp1483 +a(g7 +g966 +tp1484 +a(g7 +g962 +tp1485 +a(g76 +Vkh:b +p1486 +tp1487 +a(g7 +g966 +tp1488 +a(g669 +g1286 +tp1489 +a(g7 +g962 +tp1490 +a(g76 +VFigure 2 +p1491 +tp1492 +a(g7 +g966 +tp1493 +a(g7 +V\u000a +p1494 +tp1495 +a(g7 +V\u000a +p1496 +tp1497 +a(g7 +g958 +tp1498 +a(g669 +g1079 +tp1499 +a(g7 +g962 +tp1500 +a(g76 +Vpk +p1501 +tp1502 +a(g7 +g966 +tp1503 +a(g669 +VFG +p1504 +tp1505 +a(g7 +g962 +tp1506 +a(g76 +V257:Figure 3 +p1507 +tp1508 +a(g7 +g966 +tp1509 +a(g7 +g958 +tp1510 +a(g669 +g1072 +tp1511 +a(g7 +g962 +tp1512 +a(g76 +Vpm +p1513 +tp1514 +a(g7 +g966 +tp1515 +a(g7 +g958 +tp1516 +a(g669 +g1079 +tp1517 +a(g7 +g962 +tp1518 +a(g76 +Voj +p1519 +tp1520 +a(g7 +g966 +tp1521 +a(g7 +g958 +tp1522 +a(g669 +g1072 +tp1523 +a(g7 +g962 +tp1524 +a(g76 +Vok +p1525 +tp1526 +a(g7 +g966 +tp1527 +a(g7 +g958 +tp1528 +a(g669 +g1079 +tp1529 +a(g7 +g962 +tp1530 +a(g76 +Vqr +p1531 +tp1532 +a(g7 +g966 +tp1533 +a(g7 +g958 +tp1534 +a(g669 +g1072 +tp1535 +a(g7 +g962 +tp1536 +a(g76 +Vos +p1537 +tp1538 +a(g7 +g966 +tp1539 +a(g7 +g958 +tp1540 +a(g669 +g1079 +tp1541 +a(g7 +g962 +tp1542 +a(g76 +Vol +p1543 +tp1544 +a(g7 +g966 +tp1545 +a(g7 +g958 +tp1546 +a(g669 +g1072 +tp1547 +a(g7 +g962 +tp1548 +a(g76 +Vnk +p1549 +tp1550 +a(g7 +g966 +tp1551 +a(g7 +g958 +tp1552 +a(g669 +g1079 +tp1553 +a(g7 +g962 +tp1554 +a(g76 +Vqj +p1555 +tp1556 +a(g7 +g966 +tp1557 +a(g7 +V\u000a +p1558 +tp1559 +a(g7 +g958 +tp1560 +a(g669 +g1072 +tp1561 +a(g7 +g962 +tp1562 +a(g76 +Vpi +p1563 +tp1564 +a(g7 +g966 +tp1565 +a(g7 +g958 +tp1566 +a(g669 +g1079 +tp1567 +a(g7 +g962 +tp1568 +a(g76 +Vpl +p1569 +tp1570 +a(g7 +g966 +tp1571 +a(g7 +g958 +tp1572 +a(g669 +g1072 +tp1573 +a(g7 +g962 +tp1574 +a(g76 +Vqm +p1575 +tp1576 +a(g7 +g966 +tp1577 +a(g7 +g958 +tp1578 +a(g669 +g1079 +tp1579 +a(g7 +g962 +tp1580 +a(g76 +Vns +p1581 +tp1582 +a(g7 +g966 +tp1583 +a(g7 +g958 +tp1584 +a(g669 +g1072 +tp1585 +a(g7 +g962 +tp1586 +a(g76 +Vsr +p1587 +tp1588 +a(g7 +g966 +tp1589 +a(g7 +g958 +tp1590 +a(g669 +g1079 +tp1591 +a(g7 +g962 +tp1592 +a(g76 +Vom +p1593 +tp1594 +a(g7 +g966 +tp1595 +a(g7 +g958 +tp1596 +a(g669 +g1072 +tp1597 +a(g7 +g962 +tp1598 +a(g76 +Vop +p1599 +tp1600 +a(g7 +g966 +tp1601 +a(g7 +g958 +tp1602 +a(g669 +g1079 +tp1603 +a(g7 +g962 +tp1604 +a(g76 +Vqi +p1605 +tp1606 +a(g7 +g966 +tp1607 +a(g7 +g958 +tp1608 +a(g669 +g1072 +tp1609 +a(g7 +g962 +tp1610 +a(g76 +Voi +p1611 +tp1612 +a(g7 +g966 +tp1613 +a(g7 +V\u000a +p1614 +tp1615 +a(g7 +g956 +tp1616 +a(g7 +g958 +tp1617 +a(g669 +g1079 +tp1618 +a(g7 +g962 +tp1619 +a(g76 +Vrl +p1620 +tp1621 +a(g7 +g966 +tp1622 +a(g7 +g958 +tp1623 +a(g669 +g1072 +tp1624 +a(g7 +g962 +tp1625 +a(g76 +Vqh +p1626 +tp1627 +a(g7 +g966 +tp1628 +a(g7 +g958 +tp1629 +a(g669 +g1079 +tp1630 +a(g7 +g962 +tp1631 +a(g76 +Vrm +p1632 +tp1633 +a(g7 +g966 +tp1634 +a(g7 +g958 +tp1635 +a(g669 +g1072 +tp1636 +a(g7 +g962 +tp1637 +a(g76 +Vrn +p1638 +tp1639 +a(g7 +g966 +tp1640 +a(g7 +g958 +tp1641 +a(g669 +g1079 +tp1642 +a(g7 +g962 +tp1643 +a(g76 +Vri +p1644 +tp1645 +a(g7 +g966 +tp1646 +a(g7 +g958 +tp1647 +a(g669 +g1072 +tp1648 +a(g7 +g962 +tp1649 +a(g76 +Vql +p1650 +tp1651 +a(g7 +g966 +tp1652 +a(g7 +g958 +tp1653 +a(g669 +g1079 +tp1654 +a(g7 +g962 +tp1655 +a(g76 +Vqk +p1656 +tp1657 +a(g7 +g966 +tp1658 +a(g7 +g958 +tp1659 +a(g669 +g1072 +tp1660 +a(g7 +g962 +tp1661 +a(g76 +Vsm +p1662 +tp1663 +a(g7 +g966 +tp1664 +a(g7 +g958 +tp1665 +a(g669 +g1079 +tp1666 +a(g7 +g962 +tp1667 +a(g76 +Vsk +p1668 +tp1669 +a(g7 +g966 +tp1670 +a(g7 +g958 +tp1671 +a(g669 +g1072 +tp1672 +a(g7 +g962 +tp1673 +a(g76 +Vsh +p1674 +tp1675 +a(g7 +g966 +tp1676 +a(g7 +g958 +tp1677 +a(g669 +g1079 +tp1678 +a(g7 +g962 +tp1679 +a(g76 +Vog +p1680 +tp1681 +a(g7 +g966 +tp1682 +a(g7 +V\u000a +p1683 +tp1684 +a(g7 +g958 +tp1685 +a(g669 +g1072 +tp1686 +a(g7 +g962 +tp1687 +a(g76 +Voh +p1688 +tp1689 +a(g7 +g966 +tp1690 +a(g7 +g958 +tp1691 +a(g669 +g1079 +tp1692 +a(g7 +g962 +tp1693 +a(g76 +Vnp +p1694 +tp1695 +a(g7 +g966 +tp1696 +a(g7 +g958 +tp1697 +a(g669 +g1072 +tp1698 +a(g7 +g962 +tp1699 +a(g76 +Vno +p1700 +tp1701 +a(g7 +g966 +tp1702 +a(g7 +g958 +tp1703 +a(g669 +g1079 +tp1704 +a(g7 +g962 +tp1705 +a(g76 +Vmm +p1706 +tp1707 +a(g7 +g966 +tp1708 +a(g7 +g958 +tp1709 +a(g669 +g1072 +tp1710 +a(g7 +g962 +tp1711 +a(g76 +Vnn +p1712 +tp1713 +a(g7 +g966 +tp1714 +a(g7 +g958 +tp1715 +a(g669 +g1079 +tp1716 +a(g7 +g962 +tp1717 +a(g76 +Vlp +p1718 +tp1719 +a(g7 +g966 +tp1720 +a(g7 +g958 +tp1721 +a(g669 +g1072 +tp1722 +a(g7 +g962 +tp1723 +a(g76 +Vkp +p1724 +tp1725 +a(g7 +g966 +tp1726 +a(g7 +g958 +tp1727 +a(g669 +g1079 +tp1728 +a(g7 +g962 +tp1729 +a(g76 +Vlo +p1730 +tp1731 +a(g7 +g966 +tp1732 +a(g7 +g958 +tp1733 +a(g669 +g1072 +tp1734 +a(g7 +g962 +tp1735 +a(g76 +Vln +p1736 +tp1737 +a(g7 +g966 +tp1738 +a(g7 +g958 +tp1739 +a(g669 +g1079 +tp1740 +a(g7 +g962 +tp1741 +a(g76 +Vko +p1742 +tp1743 +a(g7 +g966 +tp1744 +a(g7 +g958 +tp1745 +a(g669 +g1072 +tp1746 +a(g7 +g962 +tp1747 +a(g76 +Vmo +p1748 +tp1749 +a(g7 +g966 +tp1750 +a(g7 +V\u000a +p1751 +tp1752 +a(g7 +g958 +tp1753 +a(g669 +g1079 +tp1754 +a(g7 +g962 +tp1755 +a(g76 +Vjo +p1756 +tp1757 +a(g7 +g966 +tp1758 +a(g7 +g958 +tp1759 +a(g669 +g1072 +tp1760 +a(g7 +g962 +tp1761 +a(g76 +Vkm +p1762 +tp1763 +a(g7 +g966 +tp1764 +a(g669 +g1286 +tp1765 +a(g7 +g962 +tp1766 +a(g76 +VFigure 3 +p1767 +tp1768 +a(g7 +g966 +tp1769 +a(g7 +V) +p1770 +tp1771 +a(g7 +V\u000a +p1772 +tp1773 +a(g7 +V\u000a +p1774 +tp1775 +a(g7 +g956 +tp1776 +a(g7 +g958 +tp1777 +a(g669 +g1079 +tp1778 +a(g7 +g962 +tp1779 +a(g76 +Vql +p1780 +tp1781 +a(g7 +g966 +tp1782 +a(g669 +VV +p1783 +tp1784 +a(g669 +g1079 +tp1785 +a(g7 +g962 +tp1786 +a(g76 +Vja +p1787 +tp1788 +a(g7 +V: +p1789 +tp1790 +a(g76 +Vss +p1791 +tp1792 +a(g7 +g966 +tp1793 +a(g669 +VFG +p1794 +tp1795 +a(g7 +g962 +tp1796 +a(g76 +V257:Dia. 6 +p1797 +tp1798 +a(g7 +g966 +tp1799 +a(g669 +VM +p1800 +tp1801 +a(g669 +g1286 +tp1802 +a(g7 +g962 +tp1803 +a(g17 +g971 +tp1804 +a(g7 +g966 +tp1805 +a(g7 +g958 +tp1806 +a(g669 +g1072 +tp1807 +a(g7 +g962 +tp1808 +a(g76 +Vrm +p1809 +tp1810 +a(g7 +g966 +tp1811 +a(g7 +g958 +tp1812 +a(g669 +g1079 +tp1813 +a(g7 +g962 +tp1814 +a(g76 +Vph +p1815 +tp1816 +a(g7 +g966 +tp1817 +a(g7 +g958 +tp1818 +a(g669 +g1072 +tp1819 +a(g7 +g962 +tp1820 +a(g76 +Voh +p1821 +tp1822 +a(g7 +g966 +tp1823 +a(g7 +g958 +tp1824 +a(g669 +g1079 +tp1825 +a(g7 +g962 +tp1826 +a(g76 +Vpg +p1827 +tp1828 +a(g7 +g966 +tp1829 +a(g7 +g958 +tp1830 +a(g669 +g1072 +tp1831 +a(g7 +g962 +tp1832 +a(g76 +Vog +p1833 +tp1834 +a(g7 +g966 +tp1835 +a(g7 +g958 +tp1836 +a(g669 +g1079 +tp1837 +a(g7 +g962 +tp1838 +a(g76 +Vpf +p1839 +tp1840 +a(g7 +g966 +tp1841 +a(g7 +V\u000a +p1842 +tp1843 +a(g7 +g958 +tp1844 +a(g669 +g1072 +tp1845 +a(g7 +g962 +tp1846 +a(g76 +Vqh +p1847 +tp1848 +a(g7 +g966 +tp1849 +a(g7 +g958 +tp1850 +a(g669 +g1079 +tp1851 +a(g7 +g962 +tp1852 +a(g76 +Vqe +p1853 +tp1854 +a(g7 +g966 +tp1855 +a(g7 +g958 +tp1856 +a(g669 +g1072 +tp1857 +a(g7 +g962 +tp1858 +a(g76 +Vsh +p1859 +tp1860 +a(g7 +g966 +tp1861 +a(g7 +g958 +tp1862 +a(g669 +g1079 +tp1863 +a(g7 +g962 +tp1864 +a(g76 +Vof +p1865 +tp1866 +a(g7 +g966 +tp1867 +a(g7 +g958 +tp1868 +a(g669 +g1072 +tp1869 +a(g7 +g962 +tp1870 +a(g76 +Vsj +p1871 +tp1872 +a(g7 +g966 +tp1873 +a(g669 +VTR +p1874 +tp1875 +a(g7 +g962 +tp1876 +a(g76 +Voe +p1877 +tp1878 +a(g7 +g966 +tp1879 +a(g7 +g962 +tp1880 +a(g76 +Vpd +p1881 +tp1882 +a(g7 +g966 +tp1883 +a(g7 +g962 +tp1884 +a(g76 +Vpc +p1885 +tp1886 +a(g7 +g966 +tp1887 +a(g7 +g962 +tp1888 +a(g76 +Vob +p1889 +tp1890 +a(g7 +g966 +tp1891 +a(g669 +g1267 +tp1892 +a(g669 +g1072 +tp1893 +a(g7 +g962 +tp1894 +a(g76 +Vpe:a +p1895 +tp1896 +a(g7 +g966 +tp1897 +a(g7 +g962 +tp1898 +a(g76 +Vsg:b +p1899 +tp1900 +a(g7 +g966 +tp1901 +a(g7 +g962 +tp1902 +a(g76 +Vsi:c +p1903 +tp1904 +a(g7 +g966 +tp1905 +a(g7 +V\u000a +p1906 +tp1907 +a(g669 +g1286 +tp1908 +a(g7 +g962 +tp1909 +a(g76 +VDiagram 6 +p1910 +tp1911 +a(g7 +g966 +tp1912 +a(g7 +g1770 +tp1913 +a(g7 +g1770 +tp1914 +a(g7 +V\u000a +p1915 +tp1916 +a(g7 +V\u000a +p1917 +tp1918 +a(g7 +g956 +tp1919 +a(g7 +g958 +tp1920 +a(g669 +g1079 +tp1921 +a(g7 +g962 +tp1922 +a(g76 +Vno +p1923 +tp1924 +a(g7 +g966 +tp1925 +a(g669 +g1783 +tp1926 +a(g669 +g1079 +tp1927 +a(g7 +g962 +tp1928 +a(g76 +Vjj +p1929 +tp1930 +a(g7 +g1789 +tp1931 +a(g76 +Vss +p1932 +tp1933 +a(g7 +g966 +tp1934 +a(g669 +VFG +p1935 +tp1936 +a(g7 +g962 +tp1937 +a(g76 +V257:Dia. 5 +p1938 +tp1939 +a(g7 +g966 +tp1940 +a(g669 +g1800 +tp1941 +a(g669 +g1286 +tp1942 +a(g7 +g962 +tp1943 +a(g17 +g971 +tp1944 +a(g7 +g966 +tp1945 +a(g7 +g958 +tp1946 +a(g669 +g1072 +tp1947 +a(g7 +g962 +tp1948 +a(g76 +Vpn +p1949 +tp1950 +a(g7 +g966 +tp1951 +a(g669 +g1286 +tp1952 +a(g7 +g962 +tp1953 +a(g76 +VDiagram 5 +p1954 +tp1955 +a(g7 +g966 +tp1956 +a(g7 +g1770 +tp1957 +a(g7 +g1770 +tp1958 +a(g7 +V\u000a +p1959 +tp1960 +a(g7 +V\u000a +p1961 +tp1962 +a(g7 +g956 +tp1963 +a(g7 +g958 +tp1964 +a(g669 +g1072 +tp1965 +a(g7 +g962 +tp1966 +a(g76 +Vpr +p1967 +tp1968 +a(g7 +g966 +tp1969 +a(g669 +VFG +p1970 +tp1971 +a(g7 +g962 +tp1972 +a(g76 +V257:Dia. 4 +p1973 +tp1974 +a(g7 +g966 +tp1975 +a(g669 +g1800 +tp1976 +a(g669 +g1286 +tp1977 +a(g7 +g962 +tp1978 +a(g17 +g971 +tp1979 +a(g7 +g966 +tp1980 +a(g7 +g958 +tp1981 +a(g669 +g1079 +tp1982 +a(g7 +g962 +tp1983 +a(g76 +Vkq +p1984 +tp1985 +a(g7 +g966 +tp1986 +a(g7 +g958 +tp1987 +a(g669 +g1072 +tp1988 +a(g7 +g962 +tp1989 +a(g76 +Vlp +p1990 +tp1991 +a(g7 +g966 +tp1992 +a(g7 +g958 +tp1993 +a(g669 +g1079 +tp1994 +a(g7 +g962 +tp1995 +a(g76 +Vlr +p1996 +tp1997 +a(g7 +g966 +tp1998 +a(g7 +g958 +tp1999 +a(g669 +g1072 +tp2000 +a(g7 +g962 +tp2001 +a(g76 +Vjq +p2002 +tp2003 +a(g7 +g966 +tp2004 +a(g7 +g958 +tp2005 +a(g669 +g1079 +tp2006 +a(g7 +g962 +tp2007 +a(g76 +Vjr +p2008 +tp2009 +a(g7 +g966 +tp2010 +a(g7 +g958 +tp2011 +a(g669 +g1072 +tp2012 +a(g7 +g962 +tp2013 +a(g76 +Vkp +p2014 +tp2015 +a(g7 +g966 +tp2016 +a(g7 +g958 +tp2017 +a(g669 +g1079 +tp2018 +a(g7 +g962 +tp2019 +a(g76 +Vkr +p2020 +tp2021 +a(g7 +g966 +tp2022 +a(g7 +g958 +tp2023 +a(g669 +g1072 +tp2024 +a(g7 +g962 +tp2025 +a(g76 +Vir +p2026 +tp2027 +a(g7 +g966 +tp2028 +a(g7 +V\u000a +p2029 +tp2030 +a(g7 +g958 +tp2031 +a(g669 +g1079 +tp2032 +a(g7 +g962 +tp2033 +a(g76 +Vhr +p2034 +tp2035 +a(g7 +g966 +tp2036 +a(g669 +g1267 +tp2037 +a(g669 +g1072 +tp2038 +a(g7 +g962 +tp2039 +a(g76 +Vis:a +p2040 +tp2041 +a(g7 +g966 +tp2042 +a(g7 +g962 +tp2043 +a(g76 +Vjs:b +p2044 +tp2045 +a(g7 +g966 +tp2046 +a(g7 +g962 +tp2047 +a(g76 +Vor:c +p2048 +tp2049 +a(g7 +g966 +tp2050 +a(g669 +g1286 +tp2051 +a(g7 +g962 +tp2052 +a(g76 +VDiagram 4 +p2053 +tp2054 +a(g7 +g966 +tp2055 +a(g7 +g1770 +tp2056 +a(g7 +g1770 +tp2057 +a(g7 +V\u000a +p2058 +tp2059 +a(g7 +V\u000a +p2060 +tp2061 +a(g7 +g956 +tp2062 +a(g7 +g958 +tp2063 +a(g669 +g1079 +tp2064 +a(g7 +g962 +tp2065 +a(g76 +Vif +p2066 +tp2067 +a(g7 +g966 +tp2068 +a(g669 +VFG +p2069 +tp2070 +a(g7 +g962 +tp2071 +a(g76 +V257:Dia. 3 +p2072 +tp2073 +a(g7 +g966 +tp2074 +a(g669 +g1800 +tp2075 +a(g669 +g1286 +tp2076 +a(g7 +g962 +tp2077 +a(g17 +g971 +tp2078 +a(g7 +g966 +tp2079 +a(g7 +g958 +tp2080 +a(g669 +g1072 +tp2081 +a(g7 +g962 +tp2082 +a(g76 +Vmf +p2083 +tp2084 +a(g7 +g966 +tp2085 +a(g7 +g958 +tp2086 +a(g669 +g1079 +tp2087 +a(g7 +g962 +tp2088 +a(g76 +Vig +p2089 +tp2090 +a(g7 +g966 +tp2091 +a(g7 +g958 +tp2092 +a(g669 +g1072 +tp2093 +a(g7 +g962 +tp2094 +a(g76 +Vjh +p2095 +tp2096 +a(g7 +g966 +tp2097 +a(g669 +g1267 +tp2098 +a(g669 +g1072 +tp2099 +a(g7 +g962 +tp2100 +a(g76 +Vki:a +p2101 +tp2102 +a(g7 +g966 +tp2103 +a(g669 +g1286 +tp2104 +a(g7 +g962 +tp2105 +a(g76 +VDiagram 3 +p2106 +tp2107 +a(g7 +g966 +tp2108 +a(g7 +g1770 +tp2109 +a(g7 +g1770 +tp2110 +a(g7 +V\u000a +p2111 +tp2112 +a(g7 +V\u000a +p2113 +tp2114 +a(g7 +g956 +tp2115 +a(g7 +g958 +tp2116 +a(g669 +g1079 +tp2117 +a(g7 +g962 +tp2118 +a(g76 +Voc +p2119 +tp2120 +a(g7 +g966 +tp2121 +a(g669 +g1783 +tp2122 +a(g669 +g1079 +tp2123 +a(g7 +g962 +tp2124 +a(g76 +Vaa +p2125 +tp2126 +a(g7 +g1789 +tp2127 +a(g76 +Vsk +p2128 +tp2129 +a(g7 +g966 +tp2130 +a(g669 +VFG +p2131 +tp2132 +a(g7 +g962 +tp2133 +a(g76 +V257:Dia. 2 +p2134 +tp2135 +a(g7 +g966 +tp2136 +a(g669 +g1800 +tp2137 +a(g669 +g1286 +tp2138 +a(g7 +g962 +tp2139 +a(g17 +g971 +tp2140 +a(g7 +g966 +tp2141 +a(g7 +g958 +tp2142 +a(g669 +g1072 +tp2143 +a(g7 +g962 +tp2144 +a(g76 +Vmd +p2145 +tp2146 +a(g7 +g966 +tp2147 +a(g7 +g958 +tp2148 +a(g669 +g1079 +tp2149 +a(g7 +g962 +tp2150 +a(g76 +Vmc +p2151 +tp2152 +a(g7 +g966 +tp2153 +a(g7 +g958 +tp2154 +a(g669 +g1072 +tp2155 +a(g7 +g962 +tp2156 +a(g76 +Vld +p2157 +tp2158 +a(g7 +g966 +tp2159 +a(g669 +g1286 +tp2160 +a(g7 +g962 +tp2161 +a(g76 +VDiagram 2 +p2162 +tp2163 +a(g7 +g966 +tp2164 +a(g7 +g1770 +tp2165 +a(g7 +g1770 +tp2166 +a(g7 +V\u000a +p2167 +tp2168 +a(g7 +V\u000a +p2169 +tp2170 +a(g7 +g956 +tp2171 +a(g7 +g958 +tp2172 +a(g669 +g1072 +tp2173 +a(g7 +g962 +tp2174 +a(g76 +Vqe +p2175 +tp2176 +a(g7 +g966 +tp2177 +a(g669 +g1783 +tp2178 +a(g669 +g1079 +tp2179 +a(g7 +g962 +tp2180 +a(g76 +Vaa +p2181 +tp2182 +a(g7 +g1789 +tp2183 +a(g76 +Vsj +p2184 +tp2185 +a(g7 +g966 +tp2186 +a(g669 +VFG +p2187 +tp2188 +a(g7 +g962 +tp2189 +a(g76 +V257:Dia. 1 +p2190 +tp2191 +a(g7 +g966 +tp2192 +a(g669 +g1800 +tp2193 +a(g669 +g1286 +tp2194 +a(g7 +g962 +tp2195 +a(g17 +g971 +tp2196 +a(g7 +g966 +tp2197 +a(g7 +g958 +tp2198 +a(g669 +g1079 +tp2199 +a(g7 +g962 +tp2200 +a(g76 +Vre +p2201 +tp2202 +a(g7 +g966 +tp2203 +a(g7 +g958 +tp2204 +a(g669 +g1072 +tp2205 +a(g7 +g962 +tp2206 +a(g76 +Vqf +p2207 +tp2208 +a(g7 +g966 +tp2209 +a(g7 +g958 +tp2210 +a(g669 +g1079 +tp2211 +a(g7 +g962 +tp2212 +a(g76 +Vrf +p2213 +tp2214 +a(g7 +g966 +tp2215 +a(g7 +g958 +tp2216 +a(g669 +g1072 +tp2217 +a(g7 +g962 +tp2218 +a(g76 +Vqg +p2219 +tp2220 +a(g7 +g966 +tp2221 +a(g7 +g958 +tp2222 +a(g669 +g1079 +tp2223 +a(g7 +g962 +tp2224 +a(g76 +Vpb +p2225 +tp2226 +a(g7 +g966 +tp2227 +a(g7 +g958 +tp2228 +a(g669 +g1072 +tp2229 +a(g7 +g962 +tp2230 +a(g76 +Vob +p2231 +tp2232 +a(g7 +g966 +tp2233 +a(g7 +V\u000a +p2234 +tp2235 +a(g7 +g958 +tp2236 +a(g669 +g1079 +tp2237 +a(g7 +g962 +tp2238 +a(g76 +Vqb +p2239 +tp2240 +a(g7 +g966 +tp2241 +a(g669 +g1267 +tp2242 +a(g669 +g1072 +tp2243 +a(g7 +g962 +tp2244 +a(g76 +Vrg:a +p2245 +tp2246 +a(g7 +g966 +tp2247 +a(g669 +g1286 +tp2248 +a(g7 +g962 +tp2249 +a(g76 +VDiagram 1 +p2250 +tp2251 +a(g7 +g966 +tp2252 +a(g7 +g1770 +tp2253 +a(g7 +g1770 +tp2254 +a(g7 +V\u000a +p2255 +tp2256 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sh b/tests/examplefiles/output/example.sh new file mode 100644 index 0000000..489bdac --- /dev/null +++ b/tests/examplefiles/output/example.sh @@ -0,0 +1,2746 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Hashbang' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg5 +g8 +sS'Preproc' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag8 +ag18 +ag921 +ag891 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/bin/bash\u000a +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g682 +Vprintf +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g157 +V"%d %s\u005cn" +p964 +tp965 +a(g827 +g962 +tp966 +a(g32 +V10 +p967 +tp968 +a(g827 +g962 +tp969 +a(g157 +V"foo" +p970 +tp971 +a(g827 +V\u000a +p972 +tp973 +a(g682 +Vprintf +p974 +tp975 +a(g827 +g962 +tp976 +a(g157 +V"%d %s\u005cn" +p977 +tp978 +a(g827 +g962 +tp979 +a(g745 +V$(( +p980 +tp981 +a(g32 +V10#1 +p982 +tp983 +a(g745 +V)) +p984 +tp985 +a(g827 +g962 +tp986 +a(g157 +V"bar" +p987 +tp988 +a(g827 +V\u000a\u000a +p989 +tp990 +a(g682 +Vlet +p991 +tp992 +a(g827 +g962 +tp993 +a(g157 +V" +p994 +tp995 +a(g157 +Vm = 10# +p996 +tp997 +a(g125 +V${ +p998 +tp999 +a(g441 +V1 +p1000 +tp1001 +a(g706 +V: +p1002 +tp1003 +a(g441 +g1000 +tp1004 +a(g706 +g1002 +tp1005 +a(g441 +V2 +p1006 +tp1007 +a(g125 +V} +p1008 +tp1009 +a(g157 +g994 +tp1010 +a(g827 +V\u000a +p1011 +tp1012 +a(g682 +Vecho +p1013 +tp1014 +a(g827 +g962 +tp1015 +a(g441 +V$m +p1016 +tp1017 +a(g827 +V\u000a\u000a +p1018 +tp1019 +a(g441 +Vm +p1020 +tp1021 +a(g413 +V= +p1022 +tp1023 +a(g745 +V$(( +p1024 +tp1025 +a(g32 +V10# +p1026 +tp1027 +a(g125 +V${ +p1028 +tp1029 +a(g441 +g1000 +tp1030 +a(g706 +g1002 +tp1031 +a(g441 +V4 +p1032 +tp1033 +a(g706 +g1002 +tp1034 +a(g441 +V3 +p1035 +tp1036 +a(g125 +g1008 +tp1037 +a(g827 +g962 +tp1038 +a(g413 +V+ +p1039 +tp1040 +a(g827 +g962 +tp1041 +a(g32 +V10# +p1042 +tp1043 +a(g125 +V${ +p1044 +tp1045 +a(g441 +g1000 +tp1046 +a(g706 +g1002 +tp1047 +a(g441 +g1000 +tp1048 +a(g706 +g1002 +tp1049 +a(g441 +g1035 +tp1050 +a(g125 +g1008 +tp1051 +a(g745 +V)) +p1052 +tp1053 +a(g827 +V\u000a +p1054 +tp1055 +a(g682 +Vecho +p1056 +tp1057 +a(g827 +g962 +tp1058 +a(g441 +V$m +p1059 +tp1060 +a(g827 +V\u000a\u000a +p1061 +tp1062 +a(g441 +g1020 +tp1063 +a(g413 +g1022 +tp1064 +a(g745 +V$(( +p1065 +tp1066 +a(g32 +V10# +p1067 +tp1068 +a(g125 +V${ +p1069 +tp1070 +a(g441 +g1000 +tp1071 +a(g706 +g1002 +tp1072 +a(g441 +g1032 +tp1073 +a(g706 +g1002 +tp1074 +a(g441 +g1035 +tp1075 +a(g125 +g1008 +tp1076 +a(g745 +V)) +p1077 +tp1078 +a(g827 +V\u000a +p1079 +tp1080 +a(g682 +Vecho +p1081 +tp1082 +a(g827 +g962 +tp1083 +a(g441 +V$m +p1084 +tp1085 +a(g827 +V\u000a\u000a +p1086 +tp1087 +a(g441 +g1020 +tp1088 +a(g413 +g1022 +tp1089 +a(g745 +V$(( +p1090 +tp1091 +a(g32 +V10# +p1092 +tp1093 +a(g441 +V$1 +p1094 +tp1095 +a(g745 +V)) +p1096 +tp1097 +a(g827 +V\u000a +p1098 +tp1099 +a(g682 +Vecho +p1100 +tp1101 +a(g827 +g962 +tp1102 +a(g441 +V$m +p1103 +tp1104 +a(g827 +V\u000a\u000a +p1105 +tp1106 +a(g441 +g1020 +tp1107 +a(g413 +g1022 +tp1108 +a(g745 +V$(( +p1109 +tp1110 +a(g32 +V10#1 +p1111 +tp1112 +a(g745 +V)) +p1113 +tp1114 +a(g827 +V\u000a +p1115 +tp1116 +a(g682 +Vecho +p1117 +tp1118 +a(g827 +g962 +tp1119 +a(g441 +V$m +p1120 +tp1121 +a(g827 +V\u000a\u000a +p1122 +tp1123 +a(g441 +g1020 +tp1124 +a(g413 +g1022 +tp1125 +a(g745 +V$(( +p1126 +tp1127 +a(g32 +V10 +p1128 +tp1129 +a(g745 +V)) +p1130 +tp1131 +a(g827 +V\u000a +p1132 +tp1133 +a(g682 +Vecho +p1134 +tp1135 +a(g827 +g962 +tp1136 +a(g441 +V$m +p1137 +tp1138 +a(g827 +V\u000a +p1139 +tp1140 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sh-session b/tests/examplefiles/output/example.sh-session new file mode 100644 index 0000000..a8146b0 --- /dev/null +++ b/tests/examplefiles/output/example.sh-session @@ -0,0 +1,2548 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g365 +Vuser@host:~/path$ +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g7 +Vls +p962 +tp963 +a(g7 +g960 +tp964 +a(g7 +V-a +p965 +tp966 +a(g7 +V\u000a +p967 +tp968 +a(g406 +V. .. a b c\u000a +p969 +tp970 +a(g7 +g956 +tp971 +a(g365 +Vuser@host:~/path$ +p972 +tp973 +a(g7 +g960 +tp974 +a(g7 +Vdiff +p975 +tp976 +a(g7 +g960 +tp977 +a(g7 +V-u +p978 +tp979 +a(g7 +g960 +tp980 +a(g7 +Va +p981 +tp982 +a(g7 +g960 +tp983 +a(g7 +Vb +p984 +tp985 +a(g7 +V\u000a +p986 +tp987 +a(g406 +V--- a 2008-07-26 17:10:07.000000000 -0700\u000a +p988 +tp989 +a(g406 +V+++ b 2008-07-26 17:10:10.000000000 -0700\u000a +p990 +tp991 +a(g406 +V@@ -1,3 +1,3 @@\u000a +p992 +tp993 +a(g406 +V a\u000a +p994 +tp995 +a(g406 +V-b\u000a +p996 +tp997 +a(g406 +V+x\u000a +p998 +tp999 +a(g406 +V c\u000a +p1000 +tp1001 +a(g7 +g956 +tp1002 +a(g365 +Vuser@host:~/path$ +p1003 +tp1004 +a(g7 +g960 +tp1005 +a(g685 +Vecho +p1006 +tp1007 +a(g7 +g960 +tp1008 +a(g216 +V\u005c\u000a +p1009 +tp1010 +a(g7 +V> +p1011 +tp1012 +a(g7 +g960 +tp1013 +a(g7 +g981 +tp1014 +a(g7 +V\u000a +p1015 +tp1016 +a(g406 +Va\u000a +p1017 +tp1018 +a(g7 +g956 +tp1019 +a(g365 +Vuser@host:~/path$ +p1020 +tp1021 +a(g7 +g960 +tp1022 +a(g7 +Vsu +p1023 +tp1024 +a(g7 +V\u000a +p1025 +tp1026 +a(g365 +Vroot@host:~# +p1027 +tp1028 +a(g7 +V\u000a +p1029 +tp1030 +a(g365 +Vsh-3.1$ +p1031 +tp1032 +a(g7 +g960 +tp1033 +a(g761 +V# on hardy\u000a +p1034 +tp1035 +a(g365 +Vsh$ +p1036 +tp1037 +a(g761 +g956 +tp1038 +a(g7 +g960 +tp1039 +a(g761 +V# on etch\u000a +p1040 +tp1041 +a(g365 +V(virtualenv-name)user@host:~$ +p1042 +tp1043 +a(g761 +g956 +tp1044 +a(g7 +g960 +tp1045 +a(g7 +Vls +p1046 +tp1047 +a(g7 +g960 +tp1048 +a(g7 +V-a +p1049 +tp1050 +a(g7 +V\u000a +p1051 +tp1052 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.shell-session b/tests/examplefiles/output/example.shell-session new file mode 100644 index 0000000..55fc4bd --- /dev/null +++ b/tests/examplefiles/output/example.shell-session @@ -0,0 +1,3124 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g365 +V[user@linuxbox imx-bootlets-src-10.05.02]$ +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g7 +Vmake +p962 +tp963 +a(g7 +g960 +tp964 +a(g444 +VCROSS_COMPILE +p965 +tp966 +a(g416 +V= +p967 +tp968 +a(g7 +Varm-none-eabi- +p969 +tp970 +a(g7 +V +p971 +tp972 +a(g7 +Vclean +p973 +tp974 +a(g7 +V\u000a +p975 +tp976 +a(g406 +Vrm -rf *.sb\u000a +p977 +tp978 +a(g406 +Vrm -f sd_mmc_bootstream.raw\u000a +p979 +tp980 +a(g406 +Vrm -f linux_prep/board/*.o\u000a +p981 +tp982 +a(g406 +V...\u000a +p983 +tp984 +a(g406 +VFiles:\u000a +p985 +tp986 +a(g406 +Vrm -f power_prep.o eabi.o\u000a +p987 +tp988 +a(g406 +VBuild output:\u000a +p989 +tp990 +a(g406 +Vmake[1]: Leaving directory `/home/...'\u000a +p991 +tp992 +a(g7 +g956 +tp993 +a(g365 +V[user@linuxbox imx-bootlets-src-10.05.02]$ +p994 +tp995 +a(g7 +g960 +tp996 +a(g7 +Vmake +p997 +tp998 +a(g7 +g960 +tp999 +a(g444 +VCROSS_COMPILE +p1000 +tp1001 +a(g416 +g967 +tp1002 +a(g7 +Varm-none-eabi- +p1003 +tp1004 +a(g7 +V\u000a +p1005 +tp1006 +a(g406 +Vmake[1]: Entering directory `/home/...'\u000a +p1007 +tp1008 +a(g406 +V...\u000a +p1009 +tp1010 +a(g7 +g956 +tp1011 +a(g365 +V# +p1012 +tp1013 +a(g7 +V@echo +p1014 +tp1015 +a(g7 +g960 +tp1016 +a(g160 +V"generating U-Boot boot stream image" +p1017 +tp1018 +a(g7 +V\u000a +p1019 +tp1020 +a(g365 +g1012 +tp1021 +a(g7 +g956 +tp1022 +a(g7 +Velftosb2 +p1023 +tp1024 +a(g7 +g960 +tp1025 +a(g7 +V-z +p1026 +tp1027 +a(g7 +g960 +tp1028 +a(g7 +V-c +p1029 +tp1030 +a(g7 +g960 +tp1031 +a(g7 +V./uboot_prebuilt.db +p1032 +tp1033 +a(g7 +g960 +tp1034 +a(g7 +V-o +p1035 +tp1036 +a(g7 +g960 +tp1037 +a(g7 +Vimx23_uboot.sb +p1038 +tp1039 +a(g7 +V\u000a +p1040 +tp1041 +a(g406 +Vecho "generating kernel bootstream file sd_mmc_bootstream.raw"\u000a +p1042 +tp1043 +a(g406 +Vgenerating kernel bootstream file sd_mmc_bootstream.raw\u000a +p1044 +tp1045 +a(g7 +g956 +tp1046 +a(g365 +g1012 +tp1047 +a(g7 +VPlease +p1048 +tp1049 +a(g7 +g960 +tp1050 +a(g7 +Vuse +p1051 +tp1052 +a(g7 +g960 +tp1053 +a(g7 +Vcfimager +p1054 +tp1055 +a(g7 +g960 +tp1056 +a(g7 +Vto +p1057 +tp1058 +a(g7 +g960 +tp1059 +a(g7 +Vburn +p1060 +tp1061 +a(g7 +g960 +tp1062 +a(g7 +Vxxx_linux.sb. +p1063 +tp1064 +a(g7 +g960 +tp1065 +a(g7 +VThe +p1066 +tp1067 +a(g7 +g960 +tp1068 +a(g7 +Vbelow +p1069 +tp1070 +a(g7 +g960 +tp1071 +a(g7 +Vway +p1072 +tp1073 +a(g7 +g960 +tp1074 +a(g7 +Vwill +p1075 +tp1076 +a(g7 +g960 +tp1077 +a(g7 +Vno +p1078 +tp1079 +a(g7 +V\u000a +p1080 +tp1081 +a(g365 +g1012 +tp1082 +a(g7 +g956 +tp1083 +a(g7 +Vwork +p1084 +tp1085 +a(g7 +g960 +tp1086 +a(g7 +Vat +p1087 +tp1088 +a(g7 +g960 +tp1089 +a(g7 +Vimx28 +p1090 +tp1091 +a(g7 +g960 +tp1092 +a(g7 +Vplatform. +p1093 +tp1094 +a(g7 +V\u000a +p1095 +tp1096 +a(g365 +V> +p1097 +tp1098 +a(g7 +g960 +tp1099 +a(g685 +Vtest +p1100 +tp1101 +a(g7 +V\u000a +p1102 +tp1103 +a(g365 +V$ +p1104 +tp1105 +a(g7 +g960 +tp1106 +a(g685 +Vtest +p1107 +tp1108 +a(g7 +V\u000a +p1109 +tp1110 +a(g406 +Vrm -f sd_mmc_bootstream.raw\u000a +p1111 +tp1112 +a(g7 +g956 +tp1113 +a(g365 +V[user@linuxbox imx-bootlets-src-10.05.02]$ +p1114 +tp1115 +a(g7 +V\u000a +p1116 +tp1117 +a(g365 +Vpi@raspberrypi ~ $ +p1118 +tp1119 +a(g7 +g960 +tp1120 +a(g7 +Vsudo +p1121 +tp1122 +a(g7 +g960 +tp1123 +a(g7 +Vsh +p1124 +tp1125 +a(g7 +g960 +tp1126 +a(g7 +V-c +p1127 +tp1128 +a(g7 +g960 +tp1129 +a(g160 +V"echo 17 > /sys/class/gpio/export" +p1130 +tp1131 +a(g7 +V\u000a +p1132 +tp1133 +a(g365 +Vpi@raspberrypi ~ $ +p1134 +tp1135 +a(g7 +g960 +tp1136 +a(g7 +Vsudo +p1137 +tp1138 +a(g7 +g960 +tp1139 +a(g7 +Vsh +p1140 +tp1141 +a(g7 +g960 +tp1142 +a(g7 +V-c +p1143 +tp1144 +a(g7 +g960 +tp1145 +a(g160 +V"echo out > /sys/class/gpio/gpio17/direction" +p1146 +tp1147 +a(g7 +V\u000a +p1148 +tp1149 +a(g365 +Vpi@raspberrypi ~ $ +p1150 +tp1151 +a(g7 +g960 +tp1152 +a(g7 +Vsudo +p1153 +tp1154 +a(g7 +g960 +tp1155 +a(g7 +Vsh +p1156 +tp1157 +a(g7 +g960 +tp1158 +a(g7 +V-c +p1159 +tp1160 +a(g7 +g960 +tp1161 +a(g160 +V"echo 1 > /sys/class/gpio/gpio17/value" +p1162 +tp1163 +a(g7 +V\u000a +p1164 +tp1165 +a(g365 +Vpi@raspberrypi ~ $ +p1166 +tp1167 +a(g7 +g960 +tp1168 +a(g7 +Vsudo +p1169 +tp1170 +a(g7 +g960 +tp1171 +a(g7 +Vsh +p1172 +tp1173 +a(g7 +g960 +tp1174 +a(g7 +V-c +p1175 +tp1176 +a(g7 +g960 +tp1177 +a(g160 +V"echo 0 > /sys/class/gpio/gpio17/value" +p1178 +tp1179 +a(g7 +V\u000a +p1180 +tp1181 +a(g365 +Vpi@raspberrypi ~ $ +p1182 +tp1183 +a(g7 +V\u000a +p1184 +tp1185 +a(g365 +V[user@linuxbox ~]$ +p1186 +tp1187 +a(g7 +g960 +tp1188 +a(g761 +V# copy other stuff to the SD card\u000a +p1189 +tp1190 +a(g365 +Vroot@imx233-olinuxino-micro:~# +p1191 +tp1192 +a(g761 +g956 +tp1193 +a(g7 +g960 +tp1194 +a(g7 +Vlsmod +p1195 +tp1196 +a(g7 +V\u000a +p1197 +tp1198 +a(g406 +V Not tainted\u000a +p1199 +tp1200 +a(g7 +g956 +tp1201 +a(g365 +V[user@linuxbox ~]$ +p1202 +tp1203 +a(g7 +g960 +tp1204 +a(g7 +Vtail +p1205 +tp1206 +a(g7 +g960 +tp1207 +a(g7 +V-n +p1208 +tp1209 +a(g7 +g960 +tp1210 +a(g35 +V2 +p1211 +tp1212 +a(g7 +g960 +tp1213 +a(g7 +V/mnt/rpi/etc/inittab +p1214 +tp1215 +a(g7 +V\u000a +p1216 +tp1217 +a(g365 +g1012 +tp1218 +a(g7 +g956 +tp1219 +a(g7 +VSpawn +p1220 +tp1221 +a(g7 +g960 +tp1222 +a(g7 +Va +p1223 +tp1224 +a(g7 +g960 +tp1225 +a(g7 +Vgetty +p1226 +tp1227 +a(g7 +g960 +tp1228 +a(g7 +Von +p1229 +tp1230 +a(g7 +g960 +tp1231 +a(g7 +VRaspberry +p1232 +tp1233 +a(g7 +g960 +tp1234 +a(g7 +VPi +p1235 +tp1236 +a(g7 +g960 +tp1237 +a(g7 +Vserial +p1238 +tp1239 +a(g7 +g960 +tp1240 +a(g7 +Vline +p1241 +tp1242 +a(g7 +V\u000a +p1243 +tp1244 +a(g406 +VT0:23:respawn:/sbin/getty -L ttyAMA0 115200 vt100\u000a +p1245 +tp1246 +a(g7 +g956 +tp1247 +a(g365 +Vpi@raspberrypi:~/Adafruit-WebIDE$ +p1248 +tp1249 +a(g7 +g960 +tp1250 +a(g7 +Vmkdir +p1251 +tp1252 +a(g7 +g960 +tp1253 +a(g7 +Vtmp +p1254 +tp1255 +a(g7 +V\u000a +p1256 +tp1257 +a(g365 +Vpi@raspberrypi:~/Adafruit-WebIDE$ +p1258 +tp1259 +a(g7 +g960 +tp1260 +a(g7 +Vnpm +p1261 +tp1262 +a(g7 +g960 +tp1263 +a(g7 +Vconfig +p1264 +tp1265 +a(g7 +g960 +tp1266 +a(g685 +Vset +p1267 +tp1268 +a(g7 +g960 +tp1269 +a(g7 +Vtmp +p1270 +tp1271 +a(g7 +g960 +tp1272 +a(g7 +Vtmp +p1273 +tp1274 +a(g7 +V\u000a +p1275 +tp1276 +a(g365 +Vpi@raspberrypi:~/Adafruit-WebIDE$ +p1277 +tp1278 +a(g7 +g960 +tp1279 +a(g7 +Vnpm +p1280 +tp1281 +a(g7 +g960 +tp1282 +a(g7 +Vinstall +p1283 +tp1284 +a(g7 +V\u000a +p1285 +tp1286 +a(g365 +Vpi@raspberrypi ~/Adafruit-WebIDE $ +p1287 +tp1288 +a(g7 +g960 +tp1289 +a(g7 +Vifconfig +p1290 +tp1291 +a(g7 +g960 +tp1292 +a(g7 +Veth0 +p1293 +tp1294 +a(g7 +V\u000a +p1295 +tp1296 +a(g406 +Veth0 Link encap:Ethernet HWaddr b5:33:ff:33:ee:aq\u000a +p1297 +tp1298 +a(g406 +V inet addr:10.42.0.60 Bcast:10.42.0.255 Mask:255.255.255.0\u000a +p1299 +tp1300 +a(g406 +V UP BROADCAST RUNNING MULTICAST MTU:1500 Metric:1\u000a +p1301 +tp1302 +a(g406 +V RX packets:21867 errors:0 dropped:0 overruns:0 frame:0\u000a +p1303 +tp1304 +a(g406 +V TX packets:8684 errors:0 dropped:0 overruns:0 carrier:0\u000a +p1305 +tp1306 +a(g406 +V collisions:0 txqueuelen:1000\u000a +p1307 +tp1308 +a(g406 +V RX bytes:27338495 (26.0 MiB) TX bytes:1268356 (1.2 MiB)\u000a +p1309 +tp1310 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sl b/tests/examplefiles/output/example.sl new file mode 100644 index 0000000..0e3e804 --- /dev/null +++ b/tests/examplefiles/output/example.sl @@ -0,0 +1,2371 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Hashbang' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg5 +g8 +sS'Preproc' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag8 +ag18 +ag921 +ag891 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/bin/bash\u000a +p956 +tp957 +a(g786 +V#SBATCH --partition=part\u000a +p958 +tp959 +a(g786 +V#SBATCH --job-name=job\u000a +p960 +tp961 +a(g786 +V#SBATCH --mem=1G\u000a +p962 +tp963 +a(g786 +V#SBATCH --cpus-per-task=8\u000a +p964 +tp965 +a(g682 +Vsrun +p966 +tp967 +a(g827 +V +p968 +tp969 +a(g827 +V/usr/bin/sleep +p970 +tp971 +a(g827 +V\u000a +p972 +tp973 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.slim b/tests/examplefiles/output/example.slim new file mode 100644 index 0000000..7751e28 --- /dev/null +++ b/tests/examplefiles/output/example.slim @@ -0,0 +1,2876 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g561 +Vdoctype +p958 +tp959 +a(g7 +V html +p960 +tp961 +a(g7 +V\u000a +p962 +tp963 +a(g7 +g956 +tp964 +a(g561 +Vhtml +p965 +tp966 +a(g7 +V\u000a +p967 +tp968 +a(g7 +V +p969 +tp970 +a(g561 +Vhead +p971 +tp972 +a(g7 +V\u000a +p973 +tp974 +a(g7 +V +p975 +tp976 +a(g561 +Vtitle +p977 +tp978 +a(g7 +V Slim Examples +p979 +tp980 +a(g7 +V\u000a +p981 +tp982 +a(g7 +V +p983 +tp984 +a(g561 +Vmeta +p985 +tp986 +a(g670 +V name +p987 +tp988 +a(g709 +V= +p989 +tp990 +a(g160 +V" +p991 +tp992 +a(g160 +Vkeywords +p993 +tp994 +a(g160 +g991 +tp995 +a(g670 +V content +p996 +tp997 +a(g709 +g989 +tp998 +a(g160 +g991 +tp999 +a(g160 +Vtemplate language +p1000 +tp1001 +a(g160 +g991 +tp1002 +a(g7 +V\u000a +p1003 +tp1004 +a(g7 +V +p1005 +tp1006 +a(g561 +Vmeta +p1007 +tp1008 +a(g670 +V name +p1009 +tp1010 +a(g709 +g989 +tp1011 +a(g160 +g991 +tp1012 +a(g160 +Vauthor +p1013 +tp1014 +a(g160 +g991 +tp1015 +a(g670 +V content +p1016 +tp1017 +a(g709 +g989 +tp1018 +a(g7 +Vauthor +p1019 +tp1020 +a(g7 +V\u000a +p1021 +tp1022 +a(g7 +V +p1023 +tp1024 +a(g7 +Vjavascript:\u000a +p1025 +tp1026 +a(g7 +V alert('Slim supports embedded javascript!') +p1027 +tp1028 +a(g7 +V\u000a +p1029 +tp1030 +a(g7 +V\u000a +p1031 +tp1032 +a(g7 +V +p1033 +tp1034 +a(g561 +Vbody +p1035 +tp1036 +a(g7 +V\u000a +p1037 +tp1038 +a(g7 +V +p1039 +tp1040 +a(g561 +Vh1 +p1041 +tp1042 +a(g7 +V Markup examples +p1043 +tp1044 +a(g7 +V\u000a +p1045 +tp1046 +a(g7 +V\u000a +p1047 +tp1048 +a(g7 +V +p1049 +tp1050 +a(g577 +V#content +p1051 +tp1052 +a(g7 +V\u000a +p1053 +tp1054 +a(g7 +V +p1055 +tp1056 +a(g561 +Vp +p1057 +tp1058 +a(g7 +V This example shows you how a basic Slim file looks like. +p1059 +tp1060 +a(g7 +V\u000a +p1061 +tp1062 +a(g7 +V\u000a +p1063 +tp1064 +a(g7 +V +p1065 +tp1066 +a(g709 +V== +p1067 +tp1068 +a(g7 +V +p1069 +tp1070 +a(g842 +Vyield +p1071 +tp1072 +a(g7 +V\u000a +p1073 +tp1074 +a(g7 +V\u000a +p1075 +tp1076 +a(g7 +V +p1077 +tp1078 +a(g709 +V- +p1079 +tp1080 +a(g7 +g1069 +tp1081 +a(g842 +Vunless +p1082 +tp1083 +a(g7 +g1069 +tp1084 +a(g439 +Vitems +p1085 +tp1086 +a(g416 +V. +p1087 +tp1088 +a(g439 +Vempty? +p1089 +tp1090 +a(g7 +V\u000a +p1091 +tp1092 +a(g7 +V +p1093 +tp1094 +a(g561 +Vtable +p1095 +tp1096 +a(g7 +V\u000a +p1097 +tp1098 +a(g7 +V +p1099 +tp1100 +a(g709 +g1079 +tp1101 +a(g7 +g1069 +tp1102 +a(g842 +Vfor +p1103 +tp1104 +a(g7 +g1069 +tp1105 +a(g439 +Vitem +p1106 +tp1107 +a(g7 +g1069 +tp1108 +a(g842 +Vin +p1109 +tp1110 +a(g7 +g1069 +tp1111 +a(g439 +Vitems +p1112 +tp1113 +a(g7 +g1069 +tp1114 +a(g842 +Vdo +p1115 +tp1116 +a(g7 +V\u000a +p1117 +tp1118 +a(g7 +V +p1119 +tp1120 +a(g561 +Vtr +p1121 +tp1122 +a(g7 +V\u000a +p1123 +tp1124 +a(g7 +V +p1125 +tp1126 +a(g561 +Vtd +p1127 +tp1128 +a(g632 +V.name +p1129 +tp1130 +a(g709 +V = +p1131 +tp1132 +a(g7 +g1069 +tp1133 +a(g439 +Vitem +p1134 +tp1135 +a(g416 +g1087 +tp1136 +a(g439 +Vname +p1137 +tp1138 +a(g7 +V\u000a +p1139 +tp1140 +a(g7 +V +p1141 +tp1142 +a(g561 +Vtd +p1143 +tp1144 +a(g632 +V.price +p1145 +tp1146 +a(g709 +V = +p1147 +tp1148 +a(g7 +g1069 +tp1149 +a(g439 +Vitem +p1150 +tp1151 +a(g416 +g1087 +tp1152 +a(g439 +Vprice +p1153 +tp1154 +a(g7 +V\u000a +p1155 +tp1156 +a(g7 +V +p1157 +tp1158 +a(g709 +g1079 +tp1159 +a(g7 +g1069 +tp1160 +a(g842 +Velse +p1161 +tp1162 +a(g7 +V\u000a +p1163 +tp1164 +a(g7 +V +p1165 +tp1166 +a(g561 +g1057 +tp1167 +a(g7 +V\u000a +p1168 +tp1169 +a(g7 +V +p1170 +tp1171 +a(g7 +V| No items found. Please add some inventory.\u000a +p1172 +tp1173 +a(g7 +V +p1174 +tp1175 +a(g7 +VThank you! +p1176 +tp1177 +a(g7 +V\u000a +p1178 +tp1179 +a(g7 +V\u000a +p1180 +tp1181 +a(g7 +V +p1182 +tp1183 +a(g561 +Vdiv +p1184 +tp1185 +a(g670 +V id +p1186 +tp1187 +a(g709 +g989 +tp1188 +a(g160 +g991 +tp1189 +a(g160 +Vfooter +p1190 +tp1191 +a(g160 +g991 +tp1192 +a(g7 +V\u000a +p1193 +tp1194 +a(g7 +V +p1195 +tp1196 +a(g709 +g989 +tp1197 +a(g7 +g1069 +tp1198 +a(g439 +Vrender +p1199 +tp1200 +a(g7 +g1069 +tp1201 +a(g233 +V'footer' +p1202 +tp1203 +a(g7 +V\u000a +p1204 +tp1205 +a(g7 +V +p1206 +tp1207 +a(g7 +V| Copyright (C) #{year} #{author}\u000a +p1208 +tp1209 +a(g7 +g956 +tp1210 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sls b/tests/examplefiles/output/example.sls new file mode 100644 index 0000000..0d3aa3a --- /dev/null +++ b/tests/examplefiles/output/example.sls @@ -0,0 +1,3606 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Tag' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsg5 +g8 +sS'Constant' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Function' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +g1 +(g2 +g3 +(g4 +g145 +g55 +tp151 +tp152 +Rp153 +(dp154 +g10 +g148 +sg25 +g26 +((lp155 +tp156 +Rp157 +sbatp158 +Rp159 +sg55 +g153 +sbsS'Blubb' +p160 +g1 +(g2 +g3 +(g4 +g160 +tp161 +tp162 +Rp163 +(dp164 +g10 +g13 +sg25 +g26 +((lp165 +tp166 +Rp167 +sbsS'Label' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag163 +ag116 +ag84 +ag18 +ag187 +ag108 +ag8 +ag148 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag171 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag140 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg137 +g1 +(g2 +g3 +(g815 +g137 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbVinclude +p956 +tp957 +a(g685 +V: +p958 +tp959 +a(g900 +V\u000a +p960 +tp961 +a(g900 +V +p962 +tp963 +a(g691 +V- +p964 +tp965 +a(g900 +V +p966 +tp967 +a(g316 +Vmoosefs +p968 +tp969 +a(g900 +V\u000a\u000a +p970 +tp971 +a(g730 +V{% +p972 +tp973 +a(g900 +g966 +tp974 +a(g818 +Vfor +p975 +tp976 +a(g900 +g966 +tp977 +a(g18 +Vmnt +p978 +tp979 +a(g900 +g966 +tp980 +a(g818 +Vin +p981 +tp982 +a(g900 +g966 +tp983 +a(g18 +Vsalt +p984 +tp985 +a(g662 +V[ +p986 +tp987 +a(g480 +V'cmd.run' +p988 +tp989 +a(g662 +V] +p990 +tp991 +a(g662 +V( +p992 +tp993 +a(g480 +V'ls /dev/data/moose*' +p994 +tp995 +a(g662 +V) +p996 +tp997 +a(g18 +V.split +p998 +tp999 +a(g662 +g992 +tp1000 +a(g662 +g996 +tp1001 +a(g900 +g966 +tp1002 +a(g730 +V%} +p1003 +tp1004 +a(g900 +V\u000a +p1005 +tp1006 +a(g8 +V/mnt/moose +p1007 +tp1008 +a(g730 +V{{ +p1009 +tp1010 +a(g900 +g966 +tp1011 +a(g18 +Vmnt +p1012 +tp1013 +a(g662 +g986 +tp1014 +a(g662 +g964 +tp1015 +a(g285 +V1 +p1016 +tp1017 +a(g662 +g990 +tp1018 +a(g900 +g966 +tp1019 +a(g730 +V}} +p1020 +tp1021 +a(g8 +V +p1022 +tp1023 +a(g685 +g958 +tp1024 +a(g900 +V\u000a +p1025 +tp1026 +a(g900 +V +p1027 +tp1028 +a(g8 +Vmount.mounted +p1029 +tp1030 +a(g685 +g958 +tp1031 +a(g900 +V\u000a +p1032 +tp1033 +a(g900 +V +p1034 +tp1035 +a(g691 +g964 +tp1036 +a(g900 +g966 +tp1037 +a(g8 +Vdevice +p1038 +tp1039 +a(g685 +g958 +tp1040 +a(g900 +g966 +tp1041 +a(g730 +V{{ +p1042 +tp1043 +a(g900 +g966 +tp1044 +a(g18 +Vmnt +p1045 +tp1046 +a(g900 +g966 +tp1047 +a(g730 +V}} +p1048 +tp1049 +a(g900 +g1022 +tp1050 +a(g900 +V\u000a +p1051 +tp1052 +a(g900 +V +p1053 +tp1054 +a(g691 +g964 +tp1055 +a(g900 +g966 +tp1056 +a(g8 +Vfstype +p1057 +tp1058 +a(g685 +g958 +tp1059 +a(g900 +g966 +tp1060 +a(g316 +Vxfs +p1061 +tp1062 +a(g900 +V\u000a +p1063 +tp1064 +a(g900 +V +p1065 +tp1066 +a(g691 +g964 +tp1067 +a(g900 +g966 +tp1068 +a(g8 +Vmkmnt +p1069 +tp1070 +a(g685 +g958 +tp1071 +a(g900 +g966 +tp1072 +a(g316 +VTrue +p1073 +tp1074 +a(g900 +V\u000a +p1075 +tp1076 +a(g900 +V +p1077 +tp1078 +a(g8 +Vfile.directory +p1079 +tp1080 +a(g685 +g958 +tp1081 +a(g900 +V\u000a +p1082 +tp1083 +a(g900 +V +p1084 +tp1085 +a(g691 +g964 +tp1086 +a(g900 +g966 +tp1087 +a(g8 +Vuser +p1088 +tp1089 +a(g685 +g958 +tp1090 +a(g900 +g966 +tp1091 +a(g316 +Vmfs +p1092 +tp1093 +a(g900 +V\u000a +p1094 +tp1095 +a(g900 +V +p1096 +tp1097 +a(g691 +g964 +tp1098 +a(g900 +g966 +tp1099 +a(g8 +Vgroup +p1100 +tp1101 +a(g685 +g958 +tp1102 +a(g900 +g966 +tp1103 +a(g316 +Vmfs +p1104 +tp1105 +a(g900 +V\u000a +p1106 +tp1107 +a(g900 +V +p1108 +tp1109 +a(g691 +g964 +tp1110 +a(g900 +g966 +tp1111 +a(g8 +Vrequire +p1112 +tp1113 +a(g685 +g958 +tp1114 +a(g900 +V\u000a +p1115 +tp1116 +a(g900 +V +p1117 +tp1118 +a(g691 +g964 +tp1119 +a(g900 +g966 +tp1120 +a(g8 +Vuser +p1121 +tp1122 +a(g685 +g958 +tp1123 +a(g900 +g966 +tp1124 +a(g316 +Vmfs +p1125 +tp1126 +a(g900 +V\u000a +p1127 +tp1128 +a(g900 +V +p1129 +tp1130 +a(g691 +g964 +tp1131 +a(g900 +g966 +tp1132 +a(g8 +Vgroup +p1133 +tp1134 +a(g685 +g958 +tp1135 +a(g900 +g966 +tp1136 +a(g316 +Vmfs +p1137 +tp1138 +a(g900 +V\u000a +p1139 +tp1140 +a(g730 +V{% +p1141 +tp1142 +a(g900 +g966 +tp1143 +a(g818 +Vendfor +p1144 +tp1145 +a(g900 +g966 +tp1146 +a(g730 +V%} +p1147 +tp1148 +a(g900 +V\u000a\u000a +p1149 +tp1150 +a(g8 +V/etc/mfshdd.cfg +p1151 +tp1152 +a(g685 +g958 +tp1153 +a(g900 +V\u000a +p1154 +tp1155 +a(g900 +V +p1156 +tp1157 +a(g8 +Vfile.managed +p1158 +tp1159 +a(g685 +g958 +tp1160 +a(g900 +V\u000a +p1161 +tp1162 +a(g900 +V +p1163 +tp1164 +a(g691 +g964 +tp1165 +a(g900 +g966 +tp1166 +a(g8 +Vsource +p1167 +tp1168 +a(g685 +g958 +tp1169 +a(g900 +g966 +tp1170 +a(g316 +Vsalt://moosefs/mfshdd.cfg +p1171 +tp1172 +a(g900 +V\u000a +p1173 +tp1174 +a(g900 +V +p1175 +tp1176 +a(g691 +g964 +tp1177 +a(g900 +g966 +tp1178 +a(g8 +Vuser +p1179 +tp1180 +a(g685 +g958 +tp1181 +a(g900 +g966 +tp1182 +a(g316 +Vroot +p1183 +tp1184 +a(g900 +V\u000a +p1185 +tp1186 +a(g900 +V +p1187 +tp1188 +a(g691 +g964 +tp1189 +a(g900 +g966 +tp1190 +a(g8 +Vgroup +p1191 +tp1192 +a(g685 +g958 +tp1193 +a(g900 +g966 +tp1194 +a(g316 +Vroot +p1195 +tp1196 +a(g900 +V\u000a +p1197 +tp1198 +a(g900 +V +p1199 +tp1200 +a(g691 +g964 +tp1201 +a(g900 +g966 +tp1202 +a(g8 +Vmode +p1203 +tp1204 +a(g685 +g958 +tp1205 +a(g900 +g966 +tp1206 +a(g316 +V644 +p1207 +tp1208 +a(g900 +V\u000a +p1209 +tp1210 +a(g900 +V +p1211 +tp1212 +a(g691 +g964 +tp1213 +a(g900 +g966 +tp1214 +a(g8 +Vtemplate +p1215 +tp1216 +a(g685 +g958 +tp1217 +a(g900 +g966 +tp1218 +a(g316 +Vjinja +p1219 +tp1220 +a(g900 +V\u000a +p1221 +tp1222 +a(g900 +V +p1223 +tp1224 +a(g691 +g964 +tp1225 +a(g900 +g966 +tp1226 +a(g8 +Vrequire +p1227 +tp1228 +a(g685 +g958 +tp1229 +a(g900 +V\u000a +p1230 +tp1231 +a(g900 +V +p1232 +tp1233 +a(g691 +g964 +tp1234 +a(g900 +g966 +tp1235 +a(g8 +Vpkg +p1236 +tp1237 +a(g685 +g958 +tp1238 +a(g900 +g966 +tp1239 +a(g316 +Vmfs-chunkserver +p1240 +tp1241 +a(g900 +V\u000a\u000a +p1242 +tp1243 +a(g8 +V/etc/mfschunkserver.cfg +p1244 +tp1245 +a(g685 +g958 +tp1246 +a(g900 +V\u000a +p1247 +tp1248 +a(g900 +V +p1249 +tp1250 +a(g8 +Vfile.managed +p1251 +tp1252 +a(g685 +g958 +tp1253 +a(g900 +V\u000a +p1254 +tp1255 +a(g900 +V +p1256 +tp1257 +a(g691 +g964 +tp1258 +a(g900 +g966 +tp1259 +a(g8 +Vsource +p1260 +tp1261 +a(g685 +g958 +tp1262 +a(g900 +g966 +tp1263 +a(g316 +Vsalt://moosefs/mfschunkserver.cfg +p1264 +tp1265 +a(g900 +V\u000a +p1266 +tp1267 +a(g900 +V +p1268 +tp1269 +a(g691 +g964 +tp1270 +a(g900 +g966 +tp1271 +a(g8 +Vuser +p1272 +tp1273 +a(g685 +g958 +tp1274 +a(g900 +g966 +tp1275 +a(g316 +Vroot +p1276 +tp1277 +a(g900 +V\u000a +p1278 +tp1279 +a(g900 +V +p1280 +tp1281 +a(g691 +g964 +tp1282 +a(g900 +g966 +tp1283 +a(g8 +Vgroup +p1284 +tp1285 +a(g685 +g958 +tp1286 +a(g900 +g966 +tp1287 +a(g316 +Vroot +p1288 +tp1289 +a(g900 +V\u000a +p1290 +tp1291 +a(g900 +V +p1292 +tp1293 +a(g691 +g964 +tp1294 +a(g900 +g966 +tp1295 +a(g8 +Vmode +p1296 +tp1297 +a(g685 +g958 +tp1298 +a(g900 +g966 +tp1299 +a(g316 +V644 +p1300 +tp1301 +a(g900 +V\u000a +p1302 +tp1303 +a(g900 +V +p1304 +tp1305 +a(g691 +g964 +tp1306 +a(g900 +g966 +tp1307 +a(g8 +Vtemplate +p1308 +tp1309 +a(g685 +g958 +tp1310 +a(g900 +g966 +tp1311 +a(g316 +Vjinja +p1312 +tp1313 +a(g900 +V\u000a +p1314 +tp1315 +a(g900 +V +p1316 +tp1317 +a(g691 +g964 +tp1318 +a(g900 +g966 +tp1319 +a(g8 +Vrequire +p1320 +tp1321 +a(g685 +g958 +tp1322 +a(g900 +V\u000a +p1323 +tp1324 +a(g900 +V +p1325 +tp1326 +a(g691 +g964 +tp1327 +a(g900 +g966 +tp1328 +a(g8 +Vpkg +p1329 +tp1330 +a(g685 +g958 +tp1331 +a(g900 +g966 +tp1332 +a(g316 +Vmfs-chunkserver +p1333 +tp1334 +a(g900 +V\u000a\u000a +p1335 +tp1336 +a(g8 +Vmfs-chunkserver +p1337 +tp1338 +a(g685 +g958 +tp1339 +a(g900 +V\u000a +p1340 +tp1341 +a(g900 +V +p1342 +tp1343 +a(g8 +Vpkg +p1344 +tp1345 +a(g685 +g958 +tp1346 +a(g900 +V\u000a +p1347 +tp1348 +a(g900 +V +p1349 +tp1350 +a(g691 +g964 +tp1351 +a(g900 +g966 +tp1352 +a(g316 +Vinstalled +p1353 +tp1354 +a(g900 +V\u000a +p1355 +tp1356 +a(g8 +Vmfschunkserver +p1357 +tp1358 +a(g685 +g958 +tp1359 +a(g900 +V\u000a +p1360 +tp1361 +a(g900 +V +p1362 +tp1363 +a(g8 +Vservice +p1364 +tp1365 +a(g685 +g958 +tp1366 +a(g900 +V\u000a +p1367 +tp1368 +a(g900 +V +p1369 +tp1370 +a(g691 +g964 +tp1371 +a(g900 +g966 +tp1372 +a(g316 +Vrunning +p1373 +tp1374 +a(g900 +V\u000a +p1375 +tp1376 +a(g900 +V +p1377 +tp1378 +a(g691 +g964 +tp1379 +a(g900 +g966 +tp1380 +a(g8 +Vrequire +p1381 +tp1382 +a(g685 +g958 +tp1383 +a(g900 +V\u000a +p1384 +tp1385 +a(g730 +V{% +p1386 +tp1387 +a(g900 +g966 +tp1388 +a(g818 +Vfor +p1389 +tp1390 +a(g900 +g966 +tp1391 +a(g18 +Vmnt +p1392 +tp1393 +a(g900 +g966 +tp1394 +a(g818 +Vin +p1395 +tp1396 +a(g900 +g966 +tp1397 +a(g18 +Vsalt +p1398 +tp1399 +a(g662 +g986 +tp1400 +a(g480 +V'cmd.run' +p1401 +tp1402 +a(g662 +g990 +tp1403 +a(g662 +g992 +tp1404 +a(g480 +V'ls /dev/data/moose*' +p1405 +tp1406 +a(g662 +g996 +tp1407 +a(g900 +g966 +tp1408 +a(g730 +V%} +p1409 +tp1410 +a(g900 +V\u000a +p1411 +tp1412 +a(g900 +V +p1413 +tp1414 +a(g691 +g964 +tp1415 +a(g900 +g966 +tp1416 +a(g8 +Vmount +p1417 +tp1418 +a(g685 +g958 +tp1419 +a(g900 +g966 +tp1420 +a(g316 +V/mnt/moose +p1421 +tp1422 +a(g730 +V{{ +p1423 +tp1424 +a(g900 +g966 +tp1425 +a(g18 +Vmnt +p1426 +tp1427 +a(g662 +g986 +tp1428 +a(g662 +g964 +tp1429 +a(g285 +g1016 +tp1430 +a(g662 +g990 +tp1431 +a(g900 +g966 +tp1432 +a(g730 +V}} +p1433 +tp1434 +a(g316 +g1022 +tp1435 +a(g900 +V\u000a +p1436 +tp1437 +a(g900 +V +p1438 +tp1439 +a(g691 +g964 +tp1440 +a(g900 +g966 +tp1441 +a(g8 +Vfile +p1442 +tp1443 +a(g685 +g958 +tp1444 +a(g900 +g966 +tp1445 +a(g316 +V/mnt/moose +p1446 +tp1447 +a(g730 +V{{ +p1448 +tp1449 +a(g900 +g966 +tp1450 +a(g18 +Vmnt +p1451 +tp1452 +a(g662 +g986 +tp1453 +a(g662 +g964 +tp1454 +a(g285 +g1016 +tp1455 +a(g662 +g990 +tp1456 +a(g900 +g966 +tp1457 +a(g730 +V}} +p1458 +tp1459 +a(g316 +g1022 +tp1460 +a(g900 +V\u000a +p1461 +tp1462 +a(g730 +V{% +p1463 +tp1464 +a(g900 +g966 +tp1465 +a(g818 +Vendfor +p1466 +tp1467 +a(g900 +g966 +tp1468 +a(g730 +V%} +p1469 +tp1470 +a(g900 +V\u000a +p1471 +tp1472 +a(g900 +V +p1473 +tp1474 +a(g691 +g964 +tp1475 +a(g900 +g966 +tp1476 +a(g8 +Vfile +p1477 +tp1478 +a(g685 +g958 +tp1479 +a(g900 +g966 +tp1480 +a(g316 +V/etc/mfschunkserver.cfg +p1481 +tp1482 +a(g900 +V\u000a +p1483 +tp1484 +a(g900 +V +p1485 +tp1486 +a(g691 +g964 +tp1487 +a(g900 +g966 +tp1488 +a(g8 +Vfile +p1489 +tp1490 +a(g685 +g958 +tp1491 +a(g900 +g966 +tp1492 +a(g316 +V/etc/mfshdd.cfg +p1493 +tp1494 +a(g900 +V\u000a +p1495 +tp1496 +a(g900 +V +p1497 +tp1498 +a(g691 +g964 +tp1499 +a(g900 +g966 +tp1500 +a(g8 +Vfile +p1501 +tp1502 +a(g685 +g958 +tp1503 +a(g900 +g966 +tp1504 +a(g316 +V/var/lib/mfs +p1505 +tp1506 +a(g900 +V\u000a +p1507 +tp1508 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sml b/tests/examplefiles/output/example.sml new file mode 100644 index 0000000..87a82c4 --- /dev/null +++ b/tests/examplefiles/output/example.sml @@ -0,0 +1,8622 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVstructure +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g613 +VC +p960 +tp961 +a(g831 +g958 +tp962 +a(g698 +V= +p963 +tp964 +a(g831 +g958 +tp965 +a(g8 +Vstruct +p966 +tp967 +a(g831 +V\u000a +p968 +tp969 +a(g8 +Vval +p970 +tp971 +a(g831 +g958 +tp972 +a(g433 +Va +p973 +tp974 +a(g831 +g958 +tp975 +a(g698 +g963 +tp976 +a(g831 +g958 +tp977 +a(g27 +V12 +p978 +tp979 +a(g831 +V\u000a +p980 +tp981 +a(g8 +Vfun +p982 +tp983 +a(g831 +g958 +tp984 +a(g566 +Vf +p985 +tp986 +a(g831 +g958 +tp987 +a(g428 +Vx +p988 +tp989 +a(g831 +g958 +tp990 +a(g698 +g963 +tp991 +a(g831 +g958 +tp992 +a(g428 +g988 +tp993 +a(g831 +g958 +tp994 +a(g428 +V+ +p995 +tp996 +a(g831 +g958 +tp997 +a(g27 +V5 +p998 +tp999 +a(g831 +V\u000a +p1000 +tp1001 +a(g8 +Vend +p1002 +tp1003 +a(g831 +V\u000a\u000a +p1004 +tp1005 +a(g781 +V(* +p1006 +tp1007 +a(g781 +V(* +p1008 +tp1009 +a(g781 +V(* +p1010 +tp1011 +a(g781 +V(* +p1012 +tp1013 +a(g781 +V(* +p1014 +tp1015 +a(g781 +g958 +tp1016 +a(g781 +VT +p1017 +tp1018 +a(g781 +Vh +p1019 +tp1020 +a(g781 +Vi +p1021 +tp1022 +a(g781 +Vs +p1023 +tp1024 +a(g781 +g958 +tp1025 +a(g781 +g985 +tp1026 +a(g781 +g1021 +tp1027 +a(g781 +Vl +p1028 +tp1029 +a(g781 +Ve +p1030 +tp1031 +a(g781 +g958 +tp1032 +a(g781 +g1021 +tp1033 +a(g781 +g1023 +tp1034 +a(g781 +g958 +tp1035 +a(g781 +g973 +tp1036 +a(g781 +g1028 +tp1037 +a(g781 +g1028 +tp1038 +a(g781 +g958 +tp1039 +a(g781 +Vp +p1040 +tp1041 +a(g781 +Vr +p1042 +tp1043 +a(g781 +g1030 +tp1044 +a(g781 +Vt +p1045 +tp1046 +a(g781 +g1045 +tp1047 +a(g781 +Vy +p1048 +tp1049 +a(g781 +g958 +tp1050 +a(g781 +g1023 +tp1051 +a(g781 +g1045 +tp1052 +a(g781 +g1042 +tp1053 +a(g781 +g973 +tp1054 +a(g781 +Vn +p1055 +tp1056 +a(g781 +Vg +p1057 +tp1058 +a(g781 +g1030 +tp1059 +a(g781 +g958 +tp1060 +a(g781 +VS +p1061 +tp1062 +a(g781 +g1045 +tp1063 +a(g781 +g973 +tp1064 +a(g781 +g1055 +tp1065 +a(g781 +Vd +p1066 +tp1067 +a(g781 +g973 +tp1068 +a(g781 +g1042 +tp1069 +a(g781 +g1066 +tp1070 +a(g781 +g958 +tp1071 +a(g781 +VM +p1072 +tp1073 +a(g781 +VL +p1074 +tp1075 +a(g781 +g958 +tp1076 +a(g781 +V*) +p1077 +tp1078 +a(g781 +V*) +p1079 +tp1080 +a(g781 +V*) +p1081 +tp1082 +a(g781 +V*) +p1083 +tp1084 +a(g781 +g958 +tp1085 +a(g781 +V(* +p1086 +tp1087 +a(g781 +V*) +p1088 +tp1089 +a(g781 +V*) +p1090 +tp1091 +a(g831 +V\u000a +p1092 +tp1093 +a(g781 +V(* +p1094 +tp1095 +a(g781 +g958 +tp1096 +a(g781 +VR +p1097 +tp1098 +a(g781 +Vo +p1099 +tp1100 +a(g781 +Vb +p1101 +tp1102 +a(g781 +g1030 +tp1103 +a(g781 +g1042 +tp1104 +a(g781 +g1045 +tp1105 +a(g781 +g958 +tp1106 +a(g781 +VJ +p1107 +tp1108 +a(g781 +V. +p1109 +tp1110 +a(g781 +g958 +tp1111 +a(g781 +g1061 +tp1112 +a(g781 +g1021 +tp1113 +a(g781 +Vm +p1114 +tp1115 +a(g781 +g1114 +tp1116 +a(g781 +g1099 +tp1117 +a(g781 +g1055 +tp1118 +a(g781 +g1023 +tp1119 +a(g781 +g958 +tp1120 +a(g781 +V*) +p1121 +tp1122 +a(g831 +V\u000a\u000a +p1123 +tp1124 +a(g781 +V(* +p1125 +tp1126 +a(g781 +g958 +tp1127 +a(g781 +g960 +tp1128 +a(g781 +g1099 +tp1129 +a(g781 +g1114 +tp1130 +a(g781 +g1114 +tp1131 +a(g781 +g1030 +tp1132 +a(g781 +g1055 +tp1133 +a(g781 +g1045 +tp1134 +a(g781 +g1023 +tp1135 +a(g781 +g958 +tp1136 +a(g781 +V(* +p1137 +tp1138 +a(g781 +g958 +tp1139 +a(g781 +Vc +p1140 +tp1141 +a(g781 +g973 +tp1142 +a(g781 +g1055 +tp1143 +a(g781 +g958 +tp1144 +a(g781 +g1101 +tp1145 +a(g781 +g1030 +tp1146 +a(g781 +g958 +tp1147 +a(g781 +g1055 +tp1148 +a(g781 +g1030 +tp1149 +a(g781 +g1023 +tp1150 +a(g781 +g1045 +tp1151 +a(g781 +g1030 +tp1152 +a(g781 +g1066 +tp1153 +a(g781 +g958 +tp1154 +a(g781 +V*) +p1155 +tp1156 +a(g781 +g958 +tp1157 +a(g781 +V*) +p1158 +tp1159 +a(g831 +V\u000a +p1160 +tp1161 +a(g8 +Vstructure +p1162 +tp1163 +a(g831 +g958 +tp1164 +a(g613 +g1061 +tp1165 +a(g831 +g958 +tp1166 +a(g698 +g963 +tp1167 +a(g831 +g958 +tp1168 +a(g8 +Vstruct +p1169 +tp1170 +a(g831 +V\u000a +p1171 +tp1172 +a(g8 +Vval +p1173 +tp1174 +a(g831 +g958 +tp1175 +a(g433 +g988 +tp1176 +a(g831 +g958 +tp1177 +a(g698 +g963 +tp1178 +a(g831 +g958 +tp1179 +a(g698 +V( +p1180 +tp1181 +a(g27 +V1 +p1182 +tp1183 +a(g698 +V, +p1184 +tp1185 +a(g831 +g958 +tp1186 +a(g27 +V2 +p1187 +tp1188 +a(g698 +g1184 +tp1189 +a(g831 +g958 +tp1190 +a(g149 +V" +p1191 +tp1192 +a(g149 +g1045 +tp1193 +a(g149 +g1019 +tp1194 +a(g149 +g1042 +tp1195 +a(g149 +g1030 +tp1196 +a(g149 +g1030 +tp1197 +a(g149 +g1191 +tp1198 +a(g698 +V) +p1199 +tp1200 +a(g831 +V\u000a +p1201 +tp1202 +a(g8 +Vend +p1203 +tp1204 +a(g831 +V\u000a\u000a +p1205 +tp1206 +a(g8 +Vstructure +p1207 +tp1208 +a(g831 +g958 +tp1209 +a(g613 +VSv +p1210 +tp1211 +a(g831 +g958 +tp1212 +a(g698 +g963 +tp1213 +a(g831 +g958 +tp1214 +a(g8 +Vstruct +p1215 +tp1216 +a(g831 +V\u000a +p1217 +tp1218 +a(g781 +V(* +p1219 +tp1220 +a(g781 +g958 +tp1221 +a(g781 +g1017 +tp1222 +a(g781 +g1019 +tp1223 +a(g781 +g1030 +tp1224 +a(g781 +g1023 +tp1225 +a(g781 +g1030 +tp1226 +a(g781 +g958 +tp1227 +a(g781 +g1028 +tp1228 +a(g781 +g1099 +tp1229 +a(g781 +g1099 +tp1230 +a(g781 +Vk +p1231 +tp1232 +a(g781 +g958 +tp1233 +a(g781 +g1057 +tp1234 +a(g781 +g1099 +tp1235 +a(g781 +g1099 +tp1236 +a(g781 +g1066 +tp1237 +a(g781 +g958 +tp1238 +a(g781 +V*) +p1239 +tp1240 +a(g831 +V\u000a +p1241 +tp1242 +a(g8 +Vval +p1243 +tp1244 +a(g831 +g958 +tp1245 +a(g433 +g988 +tp1246 +a(g831 +g958 +tp1247 +a(g698 +g963 +tp1248 +a(g831 +g958 +tp1249 +a(g698 +g1180 +tp1250 +a(g27 +g1182 +tp1251 +a(g698 +g1184 +tp1252 +a(g831 +g958 +tp1253 +a(g27 +g1187 +tp1254 +a(g698 +g1184 +tp1255 +a(g831 +g958 +tp1256 +a(g149 +g1191 +tp1257 +a(g149 +g1045 +tp1258 +a(g149 +g1019 +tp1259 +a(g149 +g1042 +tp1260 +a(g149 +g1030 +tp1261 +a(g149 +g1030 +tp1262 +a(g149 +g1191 +tp1263 +a(g698 +g1199 +tp1264 +a(g831 +V\u000a +p1265 +tp1266 +a(g8 +Vval +p1267 +tp1268 +a(g831 +g958 +tp1269 +a(g433 +Vz +p1270 +tp1271 +a(g831 +g958 +tp1272 +a(g698 +g963 +tp1273 +a(g831 +g958 +tp1274 +a(g589 +V#2 +p1275 +tp1276 +a(g831 +g958 +tp1277 +a(g428 +g988 +tp1278 +a(g831 +V\u000a\u000a +p1279 +tp1280 +a(g781 +V(* +p1281 +tp1282 +a(g781 +g958 +tp1283 +a(g781 +VA +p1284 +tp1285 +a(g781 +g1028 +tp1286 +a(g781 +g1045 +tp1287 +a(g781 +g1019 +tp1288 +a(g781 +g1099 +tp1289 +a(g781 +Vu +p1290 +tp1291 +a(g781 +g1057 +tp1292 +a(g781 +g1019 +tp1293 +a(g781 +g958 +tp1294 +a(g781 +g1045 +tp1295 +a(g781 +g1019 +tp1296 +a(g781 +g1030 +tp1297 +a(g781 +g1023 +tp1298 +a(g781 +g1030 +tp1299 +a(g781 +g958 +tp1300 +a(g781 +g1028 +tp1301 +a(g781 +g1099 +tp1302 +a(g781 +g1099 +tp1303 +a(g781 +g1231 +tp1304 +a(g781 +g958 +tp1305 +a(g781 +g1101 +tp1306 +a(g781 +g973 +tp1307 +a(g781 +g1066 +tp1308 +a(g781 +g958 +tp1309 +a(g781 +g1180 +tp1310 +a(g781 +g1055 +tp1311 +a(g781 +g1099 +tp1312 +a(g781 +g1045 +tp1313 +a(g781 +g958 +tp1314 +a(g781 +g973 +tp1315 +a(g781 +g1028 +tp1316 +a(g781 +g1028 +tp1317 +a(g781 +g958 +tp1318 +a(g781 +g1045 +tp1319 +a(g781 +g1019 +tp1320 +a(g781 +g1030 +tp1321 +a(g781 +g958 +tp1322 +a(g781 +g1055 +tp1323 +a(g781 +g1290 +tp1324 +a(g781 +g1114 +tp1325 +a(g781 +g1101 +tp1326 +a(g781 +g1030 +tp1327 +a(g781 +g1042 +tp1328 +a(g781 +g1023 +tp1329 +a(g781 +g958 +tp1330 +a(g781 +g973 +tp1331 +a(g781 +g1042 +tp1332 +a(g781 +g1030 +tp1333 +a(g781 +g958 +tp1334 +a(g781 +g1140 +tp1335 +a(g781 +g1099 +tp1336 +a(g781 +g1055 +tp1337 +a(g781 +g1023 +tp1338 +a(g781 +g1045 +tp1339 +a(g781 +g973 +tp1340 +a(g781 +g1055 +tp1341 +a(g781 +g1045 +tp1342 +a(g781 +g1023 +tp1343 +a(g781 +g1199 +tp1344 +a(g781 +g1184 +tp1345 +a(g781 +g958 +tp1346 +a(g781 +g958 +tp1347 +a(g781 +g958 +tp1348 +a(g781 +g958 +tp1349 +a(g781 +g958 +tp1350 +a(g781 +g958 +tp1351 +a(g781 +g958 +tp1352 +a(g781 +V* +p1353 +tp1354 +a(g781 +V\u000a +p1355 +tp1356 +a(g781 +g958 +tp1357 +a(g781 +g958 +tp1358 +a(g781 +g958 +tp1359 +a(g781 +g1353 +tp1360 +a(g781 +g958 +tp1361 +a(g781 +g1045 +tp1362 +a(g781 +g1019 +tp1363 +a(g781 +g1030 +tp1364 +a(g781 +g1048 +tp1365 +a(g781 +g958 +tp1366 +a(g781 +g1055 +tp1367 +a(g781 +g1030 +tp1368 +a(g781 +Vv +p1369 +tp1370 +a(g781 +g1030 +tp1371 +a(g781 +g1042 +tp1372 +a(g781 +g958 +tp1373 +a(g781 +g1099 +tp1374 +a(g781 +g1140 +tp1375 +a(g781 +g1140 +tp1376 +a(g781 +g1290 +tp1377 +a(g781 +g1042 +tp1378 +a(g781 +g958 +tp1379 +a(g781 +g1021 +tp1380 +a(g781 +g1055 +tp1381 +a(g781 +g958 +tp1382 +a(g781 +g1040 +tp1383 +a(g781 +g1042 +tp1384 +a(g781 +g973 +tp1385 +a(g781 +g1140 +tp1386 +a(g781 +g1045 +tp1387 +a(g781 +g1021 +tp1388 +a(g781 +g1140 +tp1389 +a(g781 +g1030 +tp1390 +a(g781 +g1184 +tp1391 +a(g781 +g958 +tp1392 +a(g781 +g973 +tp1393 +a(g781 +g1023 +tp1394 +a(g781 +g958 +tp1395 +a(g781 +g1045 +tp1396 +a(g781 +g1019 +tp1397 +a(g781 +g1030 +tp1398 +a(g781 +g1048 +tp1399 +a(g781 +g958 +tp1400 +a(g781 +g973 +tp1401 +a(g781 +g1042 +tp1402 +a(g781 +g1030 +tp1403 +a(g781 +g958 +tp1404 +a(g781 +g1030 +tp1405 +a(g781 +Vq +p1406 +tp1407 +a(g781 +g1290 +tp1408 +a(g781 +g1021 +tp1409 +a(g781 +g1369 +tp1410 +a(g781 +g973 +tp1411 +a(g781 +g1028 +tp1412 +a(g781 +g1030 +tp1413 +a(g781 +g1055 +tp1414 +a(g781 +g1045 +tp1415 +a(g781 +g958 +tp1416 +a(g781 +g1045 +tp1417 +a(g781 +g1099 +tp1418 +a(g781 +g958 +tp1419 +a(g781 +g1045 +tp1420 +a(g781 +g1019 +tp1421 +a(g781 +g1030 +tp1422 +a(g781 +g958 +tp1423 +a(g781 +g973 +tp1424 +a(g781 +g1101 +tp1425 +a(g781 +g1099 +tp1426 +a(g781 +g1369 +tp1427 +a(g781 +g1030 +tp1428 +a(g781 +g1109 +tp1429 +a(g781 +g958 +tp1430 +a(g781 +V*) +p1431 +tp1432 +a(g831 +V\u000a +p1433 +tp1434 +a(g8 +Vval +p1435 +tp1436 +a(g831 +g958 +tp1437 +a(g433 +g988 +tp1438 +a(g831 +g958 +tp1439 +a(g698 +g963 +tp1440 +a(g831 +g958 +tp1441 +a(g698 +V{ +p1442 +tp1443 +a(g27 +g1182 +tp1444 +a(g831 +g958 +tp1445 +a(g698 +g963 +tp1446 +a(g831 +g958 +tp1447 +a(g27 +g1182 +tp1448 +a(g698 +g1184 +tp1449 +a(g831 +g958 +tp1450 +a(g27 +V3 +p1451 +tp1452 +a(g831 +g958 +tp1453 +a(g698 +g963 +tp1454 +a(g831 +g958 +tp1455 +a(g149 +g1191 +tp1456 +a(g149 +g1045 +tp1457 +a(g149 +g1019 +tp1458 +a(g149 +g1042 +tp1459 +a(g149 +g1030 +tp1460 +a(g149 +g1030 +tp1461 +a(g149 +g1191 +tp1462 +a(g698 +g1184 +tp1463 +a(g831 +g958 +tp1464 +a(g27 +g1187 +tp1465 +a(g831 +g958 +tp1466 +a(g698 +g963 +tp1467 +a(g831 +g958 +tp1468 +a(g27 +g1187 +tp1469 +a(g698 +V} +p1470 +tp1471 +a(g831 +V\u000a +p1472 +tp1473 +a(g8 +Vval +p1474 +tp1475 +a(g831 +g958 +tp1476 +a(g433 +g1270 +tp1477 +a(g831 +g958 +tp1478 +a(g698 +g963 +tp1479 +a(g831 +g958 +tp1480 +a(g589 +V#\u000a 2 +p1481 +tp1482 +a(g831 +g958 +tp1483 +a(g428 +g988 +tp1484 +a(g831 +V\u000a\u000a +p1485 +tp1486 +a(g8 +Vval +p1487 +tp1488 +a(g831 +g958 +tp1489 +a(g433 +V|| +p1490 +tp1491 +a(g831 +g958 +tp1492 +a(g698 +g963 +tp1493 +a(g831 +g958 +tp1494 +a(g27 +V12 +p1495 +tp1496 +a(g831 +V\u000a +p1497 +tp1498 +a(g8 +Vend +p1499 +tp1500 +a(g831 +V\u000a\u000a +p1501 +tp1502 +a(g8 +Vsignature +p1503 +tp1504 +a(g831 +g958 +tp1505 +a(g613 +g1061 +tp1506 +a(g831 +g958 +tp1507 +a(g698 +g963 +tp1508 +a(g831 +g958 +tp1509 +a(g8 +Vsig +p1510 +tp1511 +a(g831 +g958 +tp1512 +a(g8 +Vend +p1513 +tp1514 +a(g831 +V\u000a\u000a +p1515 +tp1516 +a(g8 +Vstructure +p1517 +tp1518 +a(g831 +g958 +tp1519 +a(g613 +g1061 +tp1520 +a(g831 +g958 +tp1521 +a(g698 +g963 +tp1522 +a(g831 +g958 +tp1523 +a(g8 +Vstruct +p1524 +tp1525 +a(g831 +V\u000a +p1526 +tp1527 +a(g8 +Vval +p1528 +tp1529 +a(g831 +g958 +tp1530 +a(g433 +g988 +tp1531 +a(g831 +g958 +tp1532 +a(g698 +g963 +tp1533 +a(g831 +g958 +tp1534 +a(g698 +g1180 +tp1535 +a(g27 +g1182 +tp1536 +a(g698 +g1184 +tp1537 +a(g831 +g958 +tp1538 +a(g27 +g1187 +tp1539 +a(g698 +g1184 +tp1540 +a(g831 +g958 +tp1541 +a(g149 +g1191 +tp1542 +a(g149 +g1045 +tp1543 +a(g149 +g1019 +tp1544 +a(g149 +g1042 +tp1545 +a(g149 +g1030 +tp1546 +a(g149 +g1030 +tp1547 +a(g149 +g1191 +tp1548 +a(g698 +g1199 +tp1549 +a(g831 +V\u000a +p1550 +tp1551 +a(g8 +Vdatatype +p1552 +tp1553 +a(g831 +g958 +tp1554 +a(g488 +V'a +p1555 +tp1556 +a(g831 +g958 +tp1557 +a(g886 +g1045 +tp1558 +a(g831 +g958 +tp1559 +a(g698 +g963 +tp1560 +a(g831 +g958 +tp1561 +a(g621 +g1017 +tp1562 +a(g831 +g958 +tp1563 +a(g8 +Vof +p1564 +tp1565 +a(g831 +g958 +tp1566 +a(g488 +V'a +p1567 +tp1568 +a(g831 +V\u000a +p1569 +tp1570 +a(g8 +Vand +p1571 +tp1572 +a(g831 +g958 +tp1573 +a(g886 +g1290 +tp1574 +a(g831 +g958 +tp1575 +a(g698 +g963 +tp1576 +a(g831 +g958 +tp1577 +a(g621 +VU +p1578 +tp1579 +a(g831 +g958 +tp1580 +a(g8 +Vof +p1581 +tp1582 +a(g831 +g958 +tp1583 +a(g428 +g1369 +tp1584 +a(g831 +g958 +tp1585 +a(g428 +g1353 +tp1586 +a(g831 +g958 +tp1587 +a(g428 +g1369 +tp1588 +a(g831 +V\u000a +p1589 +tp1590 +a(g8 +Vwithtype +p1591 +tp1592 +a(g831 +g958 +tp1593 +a(g886 +g1369 +tp1594 +a(g831 +g958 +tp1595 +a(g698 +g963 +tp1596 +a(g831 +g958 +tp1597 +a(g698 +g1442 +tp1598 +a(g428 +Vleft +p1599 +tp1600 +a(g698 +V: +p1601 +tp1602 +a(g831 +g958 +tp1603 +a(g428 +Vint +p1604 +tp1605 +a(g831 +g958 +tp1606 +a(g428 +g1045 +tp1607 +a(g698 +g1184 +tp1608 +a(g831 +g958 +tp1609 +a(g428 +Vright +p1610 +tp1611 +a(g698 +g1601 +tp1612 +a(g831 +g958 +tp1613 +a(g428 +Vint +p1614 +tp1615 +a(g831 +g958 +tp1616 +a(g428 +g1045 +tp1617 +a(g698 +g1470 +tp1618 +a(g831 +V\u000a +p1619 +tp1620 +a(g831 +V +p1621 +tp1622 +a(g831 +g1621 +tp1623 +a(g8 +Vexception +p1624 +tp1625 +a(g831 +g958 +tp1626 +a(g621 +VE1 +p1627 +tp1628 +a(g831 +g958 +tp1629 +a(g8 +Vof +p1630 +tp1631 +a(g831 +g958 +tp1632 +a(g428 +Vint +p1633 +tp1634 +a(g831 +g958 +tp1635 +a(g8 +Vand +p1636 +tp1637 +a(g831 +g958 +tp1638 +a(g621 +VE2 +p1639 +tp1640 +a(g831 +V\u000a +p1641 +tp1642 +a(g831 +g1621 +tp1643 +a(g8 +Vfun +p1644 +tp1645 +a(g831 +g958 +tp1646 +a(g488 +V'a +p1647 +tp1648 +a(g831 +g958 +tp1649 +a(g566 +Vid +p1650 +tp1651 +a(g831 +g958 +tp1652 +a(g698 +g1180 +tp1653 +a(g428 +g988 +tp1654 +a(g698 +g1601 +tp1655 +a(g831 +g958 +tp1656 +a(g488 +V'a +p1657 +tp1658 +a(g698 +g1199 +tp1659 +a(g831 +g958 +tp1660 +a(g698 +g1601 +tp1661 +a(g831 +g958 +tp1662 +a(g488 +V'a +p1663 +tp1664 +a(g831 +g958 +tp1665 +a(g698 +g963 +tp1666 +a(g831 +g958 +tp1667 +a(g428 +g988 +tp1668 +a(g831 +V\u000a\u000a +p1669 +tp1670 +a(g8 +Vval +p1671 +tp1672 +a(g831 +V \u000a +p1673 +tp1674 +a(g488 +V'a +p1675 +tp1676 +a(g831 +g958 +tp1677 +a(g433 +Vid +p1678 +tp1679 +a(g831 +g958 +tp1680 +a(g698 +g963 +tp1681 +a(g831 +g958 +tp1682 +a(g8 +Vfn +p1683 +tp1684 +a(g831 +g958 +tp1685 +a(g698 +g1180 +tp1686 +a(g428 +g988 +tp1687 +a(g831 +g958 +tp1688 +a(g698 +g1601 +tp1689 +a(g831 +g958 +tp1690 +a(g488 +V'a +p1691 +tp1692 +a(g698 +g1199 +tp1693 +a(g831 +g958 +tp1694 +a(g698 +V=> +p1695 +tp1696 +a(g831 +g958 +tp1697 +a(g428 +g988 +tp1698 +a(g831 +V\u000a +p1699 +tp1700 +a(g8 +Vend +p1701 +tp1702 +a(g831 +V\u000a\u000a +p1703 +tp1704 +a(g8 +Vsignature +p1705 +tp1706 +a(g831 +g958 +tp1707 +a(g613 +g1097 +tp1708 +a(g831 +g958 +tp1709 +a(g698 +g963 +tp1710 +a(g831 +g958 +tp1711 +a(g8 +Vsig +p1712 +tp1713 +a(g831 +V\u000a +p1714 +tp1715 +a(g8 +Vtype +p1716 +tp1717 +a(g831 +g958 +tp1718 +a(g886 +g1045 +tp1719 +a(g831 +V\u000a +p1720 +tp1721 +a(g831 +g1621 +tp1722 +a(g8 +Vval +p1723 +tp1724 +a(g831 +g958 +tp1725 +a(g433 +g988 +tp1726 +a(g831 +g958 +tp1727 +a(g698 +g1601 +tp1728 +a(g831 +g958 +tp1729 +a(g428 +g1045 +tp1730 +a(g831 +V\u000a +p1731 +tp1732 +a(g8 +Vval +p1733 +tp1734 +a(g831 +g958 +tp1735 +a(g433 +g985 +tp1736 +a(g831 +g958 +tp1737 +a(g698 +g1601 +tp1738 +a(g831 +g958 +tp1739 +a(g428 +g1045 +tp1740 +a(g831 +g958 +tp1741 +a(g428 +g1353 +tp1742 +a(g831 +g958 +tp1743 +a(g428 +Vint +p1744 +tp1745 +a(g831 +g958 +tp1746 +a(g698 +V-> +p1747 +tp1748 +a(g831 +g958 +tp1749 +a(g428 +Vint +p1750 +tp1751 +a(g831 +V\u000a +p1752 +tp1753 +a(g8 +Vend +p1754 +tp1755 +a(g831 +V\u000a +p1756 +tp1757 +a(g8 +Vstructure +p1758 +tp1759 +a(g831 +g958 +tp1760 +a(g613 +g1097 +tp1761 +a(g831 +g958 +tp1762 +a(g698 +g1601 +tp1763 +a(g831 +g958 +tp1764 +a(g428 +g1097 +tp1765 +a(g831 +g958 +tp1766 +a(g698 +g963 +tp1767 +a(g831 +g958 +tp1768 +a(g8 +Vstruct +p1769 +tp1770 +a(g831 +V\u000a +p1771 +tp1772 +a(g8 +Vdatatype +p1773 +tp1774 +a(g831 +g958 +tp1775 +a(g886 +g1045 +tp1776 +a(g831 +g958 +tp1777 +a(g698 +g963 +tp1778 +a(g831 +g958 +tp1779 +a(g621 +g1017 +tp1780 +a(g831 +g958 +tp1781 +a(g8 +Vof +p1782 +tp1783 +a(g831 +g958 +tp1784 +a(g428 +Vint +p1785 +tp1786 +a(g831 +V\u000a +p1787 +tp1788 +a(g831 +g1621 +tp1789 +a(g8 +Vval +p1790 +tp1791 +a(g831 +g958 +tp1792 +a(g433 +g988 +tp1793 +a(g831 +g958 +tp1794 +a(g698 +g1601 +tp1795 +a(g831 +g958 +tp1796 +a(g428 +g1045 +tp1797 +a(g831 +g958 +tp1798 +a(g698 +g963 +tp1799 +a(g831 +g958 +tp1800 +a(g428 +g1017 +tp1801 +a(g831 +g958 +tp1802 +a(g27 +V0 +p1803 +tp1804 +a(g831 +V\u000a +p1805 +tp1806 +a(g8 +Vfun +p1807 +tp1808 +a(g831 +g958 +tp1809 +a(g566 +g985 +tp1810 +a(g831 +g958 +tp1811 +a(g698 +g1180 +tp1812 +a(g428 +g1017 +tp1813 +a(g831 +g958 +tp1814 +a(g428 +g988 +tp1815 +a(g698 +g1184 +tp1816 +a(g831 +g958 +tp1817 +a(g428 +g1021 +tp1818 +a(g831 +g958 +tp1819 +a(g698 +g1601 +tp1820 +a(g831 +g958 +tp1821 +a(g428 +Vint +p1822 +tp1823 +a(g698 +g1199 +tp1824 +a(g831 +g958 +tp1825 +a(g698 +g1601 +tp1826 +a(g831 +g958 +tp1827 +a(g428 +Vint +p1828 +tp1829 +a(g831 +g958 +tp1830 +a(g698 +g963 +tp1831 +a(g831 +g958 +tp1832 +a(g428 +g988 +tp1833 +a(g831 +g958 +tp1834 +a(g428 +g995 +tp1835 +a(g831 +g958 +tp1836 +a(g428 +g1021 +tp1837 +a(g831 +V\u000a +p1838 +tp1839 +a(g8 +Vfun +p1840 +tp1841 +a(g831 +g958 +tp1842 +a(g488 +V'a +p1843 +tp1844 +a(g831 +g958 +tp1845 +a(g566 +Vid +p1846 +tp1847 +a(g831 +g958 +tp1848 +a(g698 +g1180 +tp1849 +a(g428 +g988 +tp1850 +a(g698 +g1601 +tp1851 +a(g831 +g958 +tp1852 +a(g488 +V'a +p1853 +tp1854 +a(g698 +g1199 +tp1855 +a(g831 +g958 +tp1856 +a(g698 +g1601 +tp1857 +a(g831 +g958 +tp1858 +a(g488 +V'a +p1859 +tp1860 +a(g831 +g958 +tp1861 +a(g698 +g963 +tp1862 +a(g831 +g958 +tp1863 +a(g428 +g988 +tp1864 +a(g831 +V\u000a +p1865 +tp1866 +a(g8 +Vend +p1867 +tp1868 +a(g831 +V\u000a\u000a +p1869 +tp1870 +a(g8 +Vsignature +p1871 +tp1872 +a(g831 +g958 +tp1873 +a(g613 +VBA_Z +p1874 +tp1875 +a(g831 +g958 +tp1876 +a(g698 +g963 +tp1877 +a(g831 +g958 +tp1878 +a(g8 +Vsig +p1879 +tp1880 +a(g831 +V \u000a +p1881 +tp1882 +a(g8 +Vval +p1883 +tp1884 +a(g831 +g958 +tp1885 +a(g433 +g1023 +tp1886 +a(g698 +g1601 +tp1887 +a(g831 +g958 +tp1888 +a(g428 +Vint +p1889 +tp1890 +a(g831 +V\u000a +p1891 +tp1892 +a(g8 +Vinclude +p1893 +tp1894 +a(g831 +g958 +tp1895 +a(g613 +g1061 +tp1896 +a(g831 +g958 +tp1897 +a(g613 +g1097 +tp1898 +a(g831 +V\u000a +p1899 +tp1900 +a(g831 +g1621 +tp1901 +a(g8 +Vend +p1902 +tp1903 +a(g831 +V \u000a\u000a +p1904 +tp1905 +a(g8 +Vstructure +p1906 +tp1907 +a(g831 +g958 +tp1908 +a(g613 +Vb______ +p1909 +tp1910 +a(g831 +g958 +tp1911 +a(g698 +g963 +tp1912 +a(g831 +g958 +tp1913 +a(g8 +Vstruct +p1914 +tp1915 +a(g831 +g958 +tp1916 +a(g781 +V(* +p1917 +tp1918 +a(g781 +g958 +tp1919 +a(g781 +VW +p1920 +tp1921 +a(g781 +g1019 +tp1922 +a(g781 +g973 +tp1923 +a(g781 +g1045 +tp1924 +a(g781 +g958 +tp1925 +a(g781 +V(* +p1926 +tp1927 +a(g781 +g958 +tp1928 +a(g781 +g1284 +tp1929 +a(g781 +g958 +tp1930 +a(g781 +g1353 +tp1931 +a(g781 +g958 +tp1932 +a(g781 +g1023 +tp1933 +a(g781 +g1045 +tp1934 +a(g781 +g1042 +tp1935 +a(g781 +g973 +tp1936 +a(g781 +g1055 +tp1937 +a(g781 +g1057 +tp1938 +a(g781 +g1030 +tp1939 +a(g781 +g958 +tp1940 +a(g781 +g1353 +tp1941 +a(g781 +g958 +tp1942 +a(g781 +g1055 +tp1943 +a(g781 +g973 +tp1944 +a(g781 +g1114 +tp1945 +a(g781 +g1030 +tp1946 +a(g781 +g958 +tp1947 +a(g781 +V*) +p1948 +tp1949 +a(g781 +g958 +tp1950 +a(g781 +g985 +tp1951 +a(g781 +g1099 +tp1952 +a(g781 +g1042 +tp1953 +a(g781 +g958 +tp1954 +a(g781 +g1199 +tp1955 +a(g781 +g958 +tp1956 +a(g781 +g973 +tp1957 +a(g781 +g958 +tp1958 +a(g781 +g1180 +tp1959 +a(g781 +g958 +tp1960 +a(g781 +g1023 +tp1961 +a(g781 +g1045 +tp1962 +a(g781 +g1042 +tp1963 +a(g781 +g1290 +tp1964 +a(g781 +g1140 +tp1965 +a(g781 +g1045 +tp1966 +a(g781 +g958 +tp1967 +a(g781 +V*) +p1968 +tp1969 +a(g831 +V\u000a\u000a +p1970 +tp1971 +a(g8 +Vval +p1972 +tp1973 +a(g831 +g958 +tp1974 +a(g433 +V!%&$#+-/:<=>?@\u005c~`^|* +p1975 +tp1976 +a(g831 +g958 +tp1977 +a(g698 +g963 +tp1978 +a(g831 +g958 +tp1979 +a(g27 +g1451 +tp1980 +a(g831 +V\u000a\u000a +p1981 +tp1982 +a(g8 +Vtype +p1983 +tp1984 +a(g831 +g958 +tp1985 +a(g886 +Vstruct' +p1986 +tp1987 +a(g831 +g958 +tp1988 +a(g698 +g963 +tp1989 +a(g831 +g958 +tp1990 +a(g428 +Vint +p1991 +tp1992 +a(g831 +g958 +tp1993 +a(g428 +Vlist +p1994 +tp1995 +a(g831 +V\u000a +p1996 +tp1997 +a(g8 +Vand +p1998 +tp1999 +a(g831 +g958 +tp2000 +a(g488 +V'a +p2001 +tp2002 +a(g831 +g958 +tp2003 +a(g886 +Vsig' +p2004 +tp2005 +a(g831 +g958 +tp2006 +a(g698 +g963 +tp2007 +a(g831 +g958 +tp2008 +a(g488 +V'a +p2009 +tp2010 +a(g831 +g958 +tp2011 +a(g428 +Vlist +p2012 +tp2013 +a(g831 +V\u000a +p2014 +tp2015 +a(g8 +Vand +p2016 +tp2017 +a(g831 +g958 +tp2018 +a(g698 +g1180 +tp2019 +a(g488 +V'a +p2020 +tp2021 +a(g698 +g1184 +tp2022 +a(g831 +g958 +tp2023 +a(g488 +V'b +p2024 +tp2025 +a(g698 +g1199 +tp2026 +a(g831 +g958 +tp2027 +a(g886 +Vend' +p2028 +tp2029 +a(g831 +g958 +tp2030 +a(g698 +g963 +tp2031 +a(g831 +g958 +tp2032 +a(g488 +V'b +p2033 +tp2034 +a(g831 +g958 +tp2035 +a(g428 +Voption +p2036 +tp2037 +a(g831 +g958 +tp2038 +a(g428 +g1353 +tp2039 +a(g831 +g958 +tp2040 +a(g488 +V'a +p2041 +tp2042 +a(g831 +g958 +tp2043 +a(g428 +Vlist +p2044 +tp2045 +a(g831 +V\u000a\u000a +p2046 +tp2047 +a(g831 +g1621 +tp2048 +a(g8 +Vstructure +p2049 +tp2050 +a(g831 +g958 +tp2051 +a(g613 +Vbaz +p2052 +tp2053 +a(g831 +g958 +tp2054 +a(g698 +g963 +tp2055 +a(g831 +g958 +tp2056 +a(g8 +Vstruct +p2057 +tp2058 +a(g831 +V\u000a +p2059 +tp2060 +a(g8 +Vstructure +p2061 +tp2062 +a(g831 +g958 +tp2063 +a(g613 +VBar +p2064 +tp2065 +a(g831 +g958 +tp2066 +a(g698 +g963 +tp2067 +a(g831 +g958 +tp2068 +a(g8 +Vstruct +p2069 +tp2070 +a(g831 +V \u000a +p2071 +tp2072 +a(g8 +Vval +p2073 +tp2074 +a(g831 +g958 +tp2075 +a(g433 +Vfoo +p2076 +tp2077 +a(g831 +g958 +tp2078 +a(g698 +g963 +tp2079 +a(g831 +g958 +tp2080 +a(g428 +V!%&$#+-/:<=>?@\u005c~`^|* +p2081 +tp2082 +a(g831 +V\u000a +p2083 +tp2084 +a(g8 +Vend +p2085 +tp2086 +a(g831 +V \u000a +p2087 +tp2088 +a(g8 +Vend +p2089 +tp2090 +a(g831 +V\u000a\u000a +p2091 +tp2092 +a(g8 +Vinfixr +p2093 +tp2094 +a(g831 +g958 +tp2095 +a(g428 +V+!+ +p2096 +tp2097 +a(g831 +V \u000a +p2098 +tp2099 +a(g8 +Vfun +p2100 +tp2101 +a(g831 +g958 +tp2102 +a(g698 +g1180 +tp2103 +a(g428 +g973 +tp2104 +a(g831 +g958 +tp2105 +a(g428 +V+!+ +p2106 +tp2107 +a(g831 +g958 +tp2108 +a(g428 +g1101 +tp2109 +a(g698 +g1199 +tp2110 +a(g831 +g958 +tp2111 +a(g566 +g963 +tp2112 +a(g831 +g958 +tp2113 +a(g698 +g1180 +tp2114 +a(g8 +Vop +p2115 +tp2116 +a(g831 +g958 +tp2117 +a(g428 +g995 +tp2118 +a(g698 +g1199 +tp2119 +a(g831 +g958 +tp2120 +a(g698 +g1180 +tp2121 +a(g428 +g973 +tp2122 +a(g698 +g1184 +tp2123 +a(g831 +g958 +tp2124 +a(g428 +g1101 +tp2125 +a(g698 +g1199 +tp2126 +a(g831 +V\u000a\u000a +p2127 +tp2128 +a(g8 +Vopen +p2129 +tp2130 +a(g831 +g958 +tp2131 +a(g613 +Vbaz +p2132 +tp2133 +a(g831 +g958 +tp2134 +a(g613 +g1061 +tp2135 +a(g831 +g958 +tp2136 +a(g613 +g1097 +tp2137 +a(g831 +V\u000a\u000a +p2138 +tp2139 +a(g831 +g1621 +tp2140 +a(g8 +Vval +p2141 +tp2142 +a(g433 +V$$$ +p2143 +tp2144 +a(g831 +g958 +tp2145 +a(g698 +g963 +tp2146 +a(g831 +g958 +tp2147 +a(g8 +Vfn +p2148 +tp2149 +a(g831 +g958 +tp2150 +a(g428 +g988 +tp2151 +a(g831 +g958 +tp2152 +a(g698 +V=> +p2153 +tp2154 +a(g831 +g958 +tp2155 +a(g8 +Vfn +p2156 +tp2157 +a(g831 +g958 +tp2158 +a(g428 +g1048 +tp2159 +a(g831 +g958 +tp2160 +a(g698 +V=> +p2161 +tp2162 +a(g831 +g958 +tp2163 +a(g8 +Vfn +p2164 +tp2165 +a(g831 +g958 +tp2166 +a(g428 +g1270 +tp2167 +a(g831 +g958 +tp2168 +a(g698 +V=> +p2169 +tp2170 +a(g831 +g958 +tp2171 +a(g8 +Vfn +p2172 +tp2173 +a(g831 +g958 +tp2174 +a(g428 +Vw +p2175 +tp2176 +a(g831 +g958 +tp2177 +a(g698 +V=> +p2178 +tp2179 +a(g831 +g958 +tp2180 +a(g428 +g2175 +tp2181 +a(g831 +V\u000a +p2182 +tp2183 +a(g8 +Vval +p2184 +tp2185 +a(g831 +g958 +tp2186 +a(g698 +g1180 +tp2187 +a(g428 +Vfoo +p2188 +tp2189 +a(g698 +g1184 +tp2190 +a(g831 +g958 +tp2191 +a(g428 +V++ +p2192 +tp2193 +a(g698 +g1184 +tp2194 +a(g831 +g958 +tp2195 +a(g428 +Vbar +p2196 +tp2197 +a(g698 +g1184 +tp2198 +a(g831 +g958 +tp2199 +a(g428 +V|| +p2200 +tp2201 +a(g698 +g1199 +tp2202 +a(g831 +g958 +tp2203 +a(g433 +g963 +tp2204 +a(g831 +g958 +tp2205 +a(g698 +g1180 +tp2206 +a(g27 +V4 +p2207 +tp2208 +a(g698 +g1184 +tp2209 +a(g831 +g958 +tp2210 +a(g613 +Vbaz +p2211 +tp2212 +a(g698 +g1109 +tp2213 +a(g613 +VBar +p2214 +tp2215 +a(g698 +g1109 +tp2216 +a(g428 +Vfoo +p2217 +tp2218 +a(g698 +g1184 +tp2219 +a(g831 +g958 +tp2220 +a(g428 +V!%&$#+-/:<=>?@\u005c~`^|* +p2221 +tp2222 +a(g698 +g1184 +tp2223 +a(g831 +g958 +tp2224 +a(g613 +VBar +p2225 +tp2226 +a(g698 +g1109 +tp2227 +a(g428 +Vfoo +p2228 +tp2229 +a(g698 +g1199 +tp2230 +a(g831 +V\u000a +p2231 +tp2232 +a(g8 +Vval +p2233 +tp2234 +a(g831 +g958 +tp2235 +a(g698 +V_ +p2236 +tp2237 +a(g831 +g958 +tp2238 +a(g698 +g963 +tp2239 +a(g831 +g958 +tp2240 +a(g428 +V$$$ +p2241 +tp2242 +a(g428 +Vfoo +p2243 +tp2244 +a(g428 +V++ +p2245 +tp2246 +a(g428 +Vbar +p2247 +tp2248 +a(g428 +V|| +p2249 +tp2250 +a(g831 +V\u000a\u000a +p2251 +tp2252 +a(g8 +Vval +p2253 +tp2254 +a(g831 +g958 +tp2255 +a(g433 +Vval'ue +p2256 +tp2257 +a(g831 +g958 +tp2258 +a(g698 +g1601 +tp2259 +a(g831 +g958 +tp2260 +a(g488 +V' +p2261 +tp2262 +a(g831 +g958 +tp2263 +a(g428 +Vlist +p2264 +tp2265 +a(g831 +g958 +tp2266 +a(g698 +g963 +tp2267 +a(g831 +g958 +tp2268 +a(g698 +V[ +p2269 +tp2270 +a(g698 +V] +p2271 +tp2272 +a(g831 +V\u000a +p2273 +tp2274 +a(g8 +Vval +p2275 +tp2276 +a(g831 +g958 +tp2277 +a(g433 +Vstruct3 +p2278 +tp2279 +a(g831 +g958 +tp2280 +a(g698 +g1601 +tp2281 +a(g831 +g958 +tp2282 +a(g698 +g1180 +tp2283 +a(g488 +g2261 +tp2284 +a(g831 +g958 +tp2285 +a(g698 +V-> +p2286 +tp2287 +a(g831 +g958 +tp2288 +a(g488 +g2261 +tp2289 +a(g698 +g1199 +tp2290 +a(g831 +g958 +tp2291 +a(g698 +g963 +tp2292 +a(g831 +g958 +tp2293 +a(g8 +Vfn +p2294 +tp2295 +a(g831 +g958 +tp2296 +a(g428 +g988 +tp2297 +a(g831 +g958 +tp2298 +a(g698 +V=> +p2299 +tp2300 +a(g831 +g958 +tp2301 +a(g428 +g988 +tp2302 +a(g831 +V\u000a +p2303 +tp2304 +a(g8 +Vval +p2305 +tp2306 +a(g831 +g958 +tp2307 +a(g433 +Vend_struct_' +p2308 +tp2309 +a(g831 +g958 +tp2310 +a(g698 +g1601 +tp2311 +a(g831 +g958 +tp2312 +a(g698 +g1180 +tp2313 +a(g488 +V'a +p2314 +tp2315 +a(g831 +g958 +tp2316 +a(g698 +V-> +p2317 +tp2318 +a(g831 +g958 +tp2319 +a(g488 +V'a +p2320 +tp2321 +a(g698 +g1199 +tp2322 +a(g831 +g958 +tp2323 +a(g698 +g963 +tp2324 +a(g831 +g958 +tp2325 +a(g8 +Vfn +p2326 +tp2327 +a(g831 +g958 +tp2328 +a(g428 +g988 +tp2329 +a(g831 +g958 +tp2330 +a(g698 +V=> +p2331 +tp2332 +a(g831 +g958 +tp2333 +a(g428 +g988 +tp2334 +a(g831 +V\u000a +p2335 +tp2336 +a(g8 +Vval +p2337 +tp2338 +a(g831 +g958 +tp2339 +a(g433 +g988 +tp2340 +a(g831 +g958 +tp2341 +a(g698 +g1601 +tp2342 +a(g831 +g958 +tp2343 +a(g698 +g1180 +tp2344 +a(g488 +V''a +p2345 +tp2346 +a(g831 +g958 +tp2347 +a(g698 +V-> +p2348 +tp2349 +a(g831 +g958 +tp2350 +a(g488 +V''a +p2351 +tp2352 +a(g698 +g1199 +tp2353 +a(g831 +g958 +tp2354 +a(g698 +g963 +tp2355 +a(g831 +g958 +tp2356 +a(g8 +Vfn +p2357 +tp2358 +a(g831 +g958 +tp2359 +a(g428 +g988 +tp2360 +a(g831 +g958 +tp2361 +a(g698 +V=> +p2362 +tp2363 +a(g831 +g958 +tp2364 +a(g428 +g988 +tp2365 +a(g831 +V\u000a +p2366 +tp2367 +a(g8 +Vval +p2368 +tp2369 +a(g831 +g958 +tp2370 +a(g433 +g988 +tp2371 +a(g831 +g958 +tp2372 +a(g698 +g1601 +tp2373 +a(g831 +g958 +tp2374 +a(g698 +g1180 +tp2375 +a(g488 +V'''' +p2376 +tp2377 +a(g831 +g958 +tp2378 +a(g698 +V-> +p2379 +tp2380 +a(g831 +g958 +tp2381 +a(g488 +V'''' +p2382 +tp2383 +a(g698 +g1199 +tp2384 +a(g831 +g958 +tp2385 +a(g698 +g963 +tp2386 +a(g831 +g958 +tp2387 +a(g8 +Vfn +p2388 +tp2389 +a(g831 +g958 +tp2390 +a(g428 +g988 +tp2391 +a(g831 +g958 +tp2392 +a(g698 +V=> +p2393 +tp2394 +a(g831 +g958 +tp2395 +a(g428 +g988 +tp2396 +a(g831 +V\u000a +p2397 +tp2398 +a(g8 +Vval +p2399 +tp2400 +a(g831 +g958 +tp2401 +a(g433 +g988 +tp2402 +a(g831 +g958 +tp2403 +a(g698 +g1601 +tp2404 +a(g831 +g958 +tp2405 +a(g428 +Vunit +p2406 +tp2407 +a(g831 +g958 +tp2408 +a(g698 +g963 +tp2409 +a(g831 +g958 +tp2410 +a(g428 +Vprint +p2411 +tp2412 +a(g831 +g958 +tp2413 +a(g149 +g1191 +tp2414 +a(g149 +g1920 +tp2415 +a(g149 +g1030 +tp2416 +a(g149 +g1021 +tp2417 +a(g149 +g1042 +tp2418 +a(g149 +g1066 +tp2419 +a(g149 +g1184 +tp2420 +a(g149 +g958 +tp2421 +a(g149 +g1019 +tp2422 +a(g149 +g1290 +tp2423 +a(g149 +g1019 +tp2424 +a(g149 +V? +p2425 +tp2426 +a(g205 +V\u005cn +p2427 +tp2428 +a(g149 +g1191 +tp2429 +a(g831 +V\u000a +p2430 +tp2431 +a(g8 +Vval +p2432 +tp2433 +a(g831 +g958 +tp2434 +a(g433 +g2175 +tp2435 +a(g831 +g958 +tp2436 +a(g698 +g963 +tp2437 +a(g831 +g958 +tp2438 +a(g698 +g1442 +tp2439 +a(g428 +g988 +tp2440 +a(g698 +g963 +tp2441 +a(g27 +g1182 +tp2442 +a(g698 +g1184 +tp2443 +a(g428 +g1048 +tp2444 +a(g698 +g963 +tp2445 +a(g27 +g1187 +tp2446 +a(g698 +g1184 +tp2447 +a(g428 +V##= +p2448 +tp2449 +a(g831 +g958 +tp2450 +a(g698 +g963 +tp2451 +a(g27 +g1451 +tp2452 +a(g698 +g1184 +tp2453 +a(g27 +g2207 +tp2454 +a(g698 +g963 +tp2455 +a(g27 +g1451 +tp2456 +a(g698 +g1470 +tp2457 +a(g831 +V\u000a +p2458 +tp2459 +a(g8 +Vval +p2460 +tp2461 +a(g831 +g958 +tp2462 +a(g698 +g1442 +tp2463 +a(g428 +V##= +p2464 +tp2465 +a(g698 +g1184 +tp2466 +a(g831 +g958 +tp2467 +a(g428 +g988 +tp2468 +a(g698 +g1184 +tp2469 +a(g831 +g958 +tp2470 +a(g27 +g2207 +tp2471 +a(g698 +g963 +tp2472 +a(g428 +g973 +tp2473 +a(g698 +g1184 +tp2474 +a(g698 +V... +p2475 +tp2476 +a(g698 +g1470 +tp2477 +a(g831 +g958 +tp2478 +a(g698 +g963 +tp2479 +a(g831 +g958 +tp2480 +a(g428 +g2175 +tp2481 +a(g831 +V\u000a +p2482 +tp2483 +a(g8 +Vval +p2484 +tp2485 +a(g831 +g958 +tp2486 +a(g433 +g1270 +tp2487 +a(g831 +g958 +tp2488 +a(g698 +g963 +tp2489 +a(g831 +g958 +tp2490 +a(g589 +V#4 +p2491 +tp2492 +a(g831 +g958 +tp2493 +a(g428 +g2175 +tp2494 +a(g831 +V\u000a +p2495 +tp2496 +a(g8 +Vval +p2497 +tp2498 +a(g831 +g958 +tp2499 +a(g433 +g1270 +tp2500 +a(g831 +g958 +tp2501 +a(g698 +g963 +tp2502 +a(g831 +g958 +tp2503 +a(g589 +V# ##= +p2504 +tp2505 +a(g831 +g958 +tp2506 +a(g428 +g2175 +tp2507 +a(g831 +V\u000a\u000a +p2508 +tp2509 +a(g8 +Vfun +p2510 +tp2511 +a(g831 +g958 +tp2512 +a(g566 +g985 +tp2513 +a(g831 +g958 +tp2514 +a(g428 +g988 +tp2515 +a(g831 +g958 +tp2516 +a(g428 +g1048 +tp2517 +a(g831 +g958 +tp2518 +a(g27 +g1803 +tp2519 +a(g831 +g958 +tp2520 +a(g698 +g963 +tp2521 +a(g831 +g958 +tp2522 +a(g27 +g2207 +tp2523 +a(g831 +V \u000a +p2524 +tp2525 +a(g698 +V| +p2526 +tp2527 +a(g831 +g958 +tp2528 +a(g566 +g985 +tp2529 +a(g831 +g958 +tp2530 +a(g428 +g988 +tp2531 +a(g831 +g958 +tp2532 +a(g428 +g1048 +tp2533 +a(g831 +g958 +tp2534 +a(g428 +g1270 +tp2535 +a(g831 +g958 +tp2536 +a(g698 +g963 +tp2537 +a(g831 +g958 +tp2538 +a(g27 +g2207 +tp2539 +a(g831 +g958 +tp2540 +a(g428 +g995 +tp2541 +a(g831 +g958 +tp2542 +a(g613 +VSv +p2543 +tp2544 +a(g698 +g1109 +tp2545 +a(g428 +V|| +p2546 +tp2547 +a(g831 +V\u000a\u000a +p2548 +tp2549 +a(g831 +g1621 +tp2550 +a(g8 +Vexception +p2551 +tp2552 +a(g831 +g958 +tp2553 +a(g621 +VFoo +p2554 +tp2555 +a(g831 +g958 +tp2556 +a(g8 +Vof +p2557 +tp2558 +a(g831 +g958 +tp2559 +a(g428 +Vint +p2560 +tp2561 +a(g831 +V\u000a +p2562 +tp2563 +a(g831 +g1621 +tp2564 +a(g8 +Vdatatype +p2565 +tp2566 +a(g831 +g958 +tp2567 +a(g698 +g1180 +tp2568 +a(g488 +V'0 +p2569 +tp2570 +a(g698 +g1184 +tp2571 +a(g831 +g958 +tp2572 +a(g488 +V'b +p2573 +tp2574 +a(g698 +g1184 +tp2575 +a(g831 +g958 +tp2576 +a(g488 +V'_ +p2577 +tp2578 +a(g698 +g1184 +tp2579 +a(g831 +g958 +tp2580 +a(g488 +g2261 +tp2581 +a(g698 +g1199 +tp2582 +a(g831 +g958 +tp2583 +a(g886 +Vf'o'o +p2584 +tp2585 +a(g831 +g958 +tp2586 +a(g698 +g963 +tp2587 +a(g831 +g958 +tp2588 +a(g621 +VBar +p2589 +tp2590 +a(g831 +g958 +tp2591 +a(g698 +g2526 +tp2592 +a(g831 +g958 +tp2593 +a(g621 +VbaZ12' +p2594 +tp2595 +a(g831 +g958 +tp2596 +a(g698 +g2526 +tp2597 +a(g831 +g958 +tp2598 +a(g621 +Vdsfa_fad +p2599 +tp2600 +a(g831 +g958 +tp2601 +a(g698 +g2526 +tp2602 +a(g831 +g958 +tp2603 +a(g621 +V#@$ +p2604 +tp2605 +a(g831 +g958 +tp2606 +a(g698 +g2526 +tp2607 +a(g831 +g958 +tp2608 +a(g621 +VBug +p2609 +tp2610 +a(g831 +V\u000a +p2611 +tp2612 +a(g8 +Vand +p2613 +tp2614 +a(g831 +g958 +tp2615 +a(g698 +g1180 +tp2616 +a(g488 +g2261 +tp2617 +a(g698 +g1184 +tp2618 +a(g831 +g958 +tp2619 +a(g488 +V''' +p2620 +tp2621 +a(g698 +g1184 +tp2622 +a(g831 +g958 +tp2623 +a(g488 +V'''' +p2624 +tp2625 +a(g698 +g1184 +tp2626 +a(g831 +g958 +tp2627 +a(g488 +V''''' +p2628 +tp2629 +a(g698 +g1199 +tp2630 +a(g831 +g958 +tp2631 +a(g886 +Vbar +p2632 +tp2633 +a(g831 +g958 +tp2634 +a(g698 +g963 +tp2635 +a(g831 +V \u000a +p2636 +tp2637 +a(g621 +VBee +p2638 +tp2639 +a(g831 +g958 +tp2640 +a(g8 +Vof +p2641 +tp2642 +a(g831 +g958 +tp2643 +a(g428 +Vunit +p2644 +tp2645 +a(g831 +V\u000a +p2646 +tp2647 +a(g698 +g2526 +tp2648 +a(g831 +g958 +tp2649 +a(g621 +VBen +p2650 +tp2651 +a(g831 +g958 +tp2652 +a(g8 +Vof +p2653 +tp2654 +a(g831 +g958 +tp2655 +a(g698 +g1180 +tp2656 +a(g488 +g2261 +tp2657 +a(g698 +g1184 +tp2658 +a(g831 +g958 +tp2659 +a(g488 +V''' +p2660 +tp2661 +a(g698 +g1184 +tp2662 +a(g831 +g958 +tp2663 +a(g488 +V'''' +p2664 +tp2665 +a(g698 +g1184 +tp2666 +a(g831 +g958 +tp2667 +a(g488 +V''''' +p2668 +tp2669 +a(g698 +g1199 +tp2670 +a(g831 +g958 +tp2671 +a(g428 +Vf'o'o +p2672 +tp2673 +a(g831 +g958 +tp2674 +a(g428 +g1353 +tp2675 +a(g831 +g958 +tp2676 +a(g428 +Vint +p2677 +tp2678 +a(g831 +V\u000a +p2679 +tp2680 +a(g698 +g2526 +tp2681 +a(g831 +g958 +tp2682 +a(g621 +VX +p2683 +tp2684 +a(g831 +g958 +tp2685 +a(g8 +Vof +p2686 +tp2687 +a(g831 +g958 +tp2688 +a(g488 +V''' +p2689 +tp2690 +a(g831 +g958 +tp2691 +a(g428 +Vlist +p2692 +tp2693 +a(g831 +V\u000a\u000a +p2694 +tp2695 +a(g831 +g1621 +tp2696 +a(g8 +Vfun +p2697 +tp2698 +a(g831 +g958 +tp2699 +a(g566 +g1406 +tp2700 +a(g831 +g958 +tp2701 +a(g428 +g988 +tp2702 +a(g831 +g958 +tp2703 +a(g698 +g963 +tp2704 +a(g831 +g958 +tp2705 +a(g8 +Vraise +p2706 +tp2707 +a(g831 +g958 +tp2708 +a(g428 +VFoo +p2709 +tp2710 +a(g831 +g958 +tp2711 +a(g428 +g988 +tp2712 +a(g831 +V\u000a +p2713 +tp2714 +a(g8 +Vand +p2715 +tp2716 +a(g831 +g958 +tp2717 +a(g566 +g1019 +tp2718 +a(g831 +g958 +tp2719 +a(g428 +g988 +tp2720 +a(g831 +g958 +tp2721 +a(g698 +g963 +tp2722 +a(g831 +g958 +tp2723 +a(g8 +Vraise +p2724 +tp2725 +a(g831 +g958 +tp2726 +a(g428 +VFoo +p2727 +tp2728 +a(g831 +g958 +tp2729 +a(g698 +g1180 +tp2730 +a(g428 +V~ +p2731 +tp2732 +a(g428 +g988 +tp2733 +a(g698 +g1199 +tp2734 +a(g831 +V\u000a\u000a +p2735 +tp2736 +a(g8 +Vval +p2737 +tp2738 +a(g831 +g958 +tp2739 +a(g433 +g988 +tp2740 +a(g831 +g958 +tp2741 +a(g698 +g963 +tp2742 +a(g831 +g958 +tp2743 +a(g27 +g2207 +tp2744 +a(g831 +V\u000a +p2745 +tp2746 +a(g8 +Vand +p2747 +tp2748 +a(g831 +g958 +tp2749 +a(g433 +g1048 +tp2750 +a(g831 +g958 +tp2751 +a(g698 +g963 +tp2752 +a(g831 +g958 +tp2753 +a(g27 +g998 +tp2754 +a(g831 +V\u000a\u000a +p2755 +tp2756 +a(g8 +Vfun +p2757 +tp2758 +a(g831 +g958 +tp2759 +a(g566 +g1406 +tp2760 +a(g831 +g958 +tp2761 +a(g27 +g1803 +tp2762 +a(g831 +g958 +tp2763 +a(g698 +g963 +tp2764 +a(g831 +g958 +tp2765 +a(g27 +g2207 +tp2766 +a(g831 +V\u000a +p2767 +tp2768 +a(g698 +g2526 +tp2769 +a(g831 +g958 +tp2770 +a(g566 +g1406 +tp2771 +a(g831 +g958 +tp2772 +a(g27 +g1182 +tp2773 +a(g831 +g958 +tp2774 +a(g698 +g963 +tp2775 +a(g831 +g958 +tp2776 +a(g698 +g1180 +tp2777 +a(g8 +Vcase +p2778 +tp2779 +a(g831 +g958 +tp2780 +a(g27 +g1182 +tp2781 +a(g831 +g958 +tp2782 +a(g8 +Vof +p2783 +tp2784 +a(g831 +g958 +tp2785 +a(g27 +g1182 +tp2786 +a(g831 +g958 +tp2787 +a(g698 +V=> +p2788 +tp2789 +a(g831 +g958 +tp2790 +a(g27 +g1187 +tp2791 +a(g831 +g958 +tp2792 +a(g428 +g2526 +tp2793 +a(g831 +g958 +tp2794 +a(g27 +g1451 +tp2795 +a(g831 +g958 +tp2796 +a(g698 +V=> +p2797 +tp2798 +a(g831 +g958 +tp2799 +a(g27 +g2207 +tp2800 +a(g831 +g958 +tp2801 +a(g428 +g2526 +tp2802 +a(g831 +g958 +tp2803 +a(g428 +g988 +tp2804 +a(g831 +g958 +tp2805 +a(g698 +V=> +p2806 +tp2807 +a(g831 +g958 +tp2808 +a(g428 +g1048 +tp2809 +a(g698 +g1199 +tp2810 +a(g831 +V\u000a +p2811 +tp2812 +a(g698 +g2526 +tp2813 +a(g831 +g958 +tp2814 +a(g566 +g1406 +tp2815 +a(g831 +g958 +tp2816 +a(g428 +g1048 +tp2817 +a(g831 +g958 +tp2818 +a(g698 +g963 +tp2819 +a(g831 +g958 +tp2820 +a(g8 +Vcase +p2821 +tp2822 +a(g831 +g958 +tp2823 +a(g428 +g1048 +tp2824 +a(g831 +g958 +tp2825 +a(g8 +Vof +p2826 +tp2827 +a(g831 +g958 +tp2828 +a(g27 +g1182 +tp2829 +a(g831 +g958 +tp2830 +a(g698 +V=> +p2831 +tp2832 +a(g831 +g958 +tp2833 +a(g27 +g1187 +tp2834 +a(g831 +g958 +tp2835 +a(g428 +g2526 +tp2836 +a(g831 +g958 +tp2837 +a(g27 +g1451 +tp2838 +a(g831 +g958 +tp2839 +a(g698 +V=> +p2840 +tp2841 +a(g831 +g958 +tp2842 +a(g27 +g2207 +tp2843 +a(g831 +g958 +tp2844 +a(g428 +g2526 +tp2845 +a(g831 +g958 +tp2846 +a(g428 +g988 +tp2847 +a(g831 +g958 +tp2848 +a(g698 +V=> +p2849 +tp2850 +a(g831 +g958 +tp2851 +a(g428 +g1048 +tp2852 +a(g831 +V\u000a\u000a +p2853 +tp2854 +a(g8 +Vval +p2855 +tp2856 +a(g831 +g958 +tp2857 +a(g433 +g988 +tp2858 +a(g831 +g958 +tp2859 +a(g698 +g963 +tp2860 +a(g831 +g958 +tp2861 +a(g428 +Vref +p2862 +tp2863 +a(g831 +g958 +tp2864 +a(g428 +Vtrue +p2865 +tp2866 +a(g831 +V\u000a +p2867 +tp2868 +a(g8 +Vfun +p2869 +tp2870 +a(g831 +g958 +tp2871 +a(g566 +g1406 +tp2872 +a(g831 +g958 +tp2873 +a(g27 +g1803 +tp2874 +a(g831 +g958 +tp2875 +a(g698 +g963 +tp2876 +a(g831 +g958 +tp2877 +a(g27 +g2207 +tp2878 +a(g831 +V\u000a +p2879 +tp2880 +a(g698 +g2526 +tp2881 +a(g831 +g958 +tp2882 +a(g566 +g1406 +tp2883 +a(g831 +g958 +tp2884 +a(g27 +g1182 +tp2885 +a(g831 +g958 +tp2886 +a(g698 +g963 +tp2887 +a(g831 +g958 +tp2888 +a(g8 +Vif +p2889 +tp2890 +a(g831 +g958 +tp2891 +a(g428 +Vfalse +p2892 +tp2893 +a(g831 +g958 +tp2894 +a(g8 +Vthen +p2895 +tp2896 +a(g831 +g958 +tp2897 +a(g8 +Vcase +p2898 +tp2899 +a(g831 +g958 +tp2900 +a(g27 +g1182 +tp2901 +a(g831 +g958 +tp2902 +a(g8 +Vof +p2903 +tp2904 +a(g831 +g958 +tp2905 +a(g27 +g1182 +tp2906 +a(g831 +g958 +tp2907 +a(g698 +V=> +p2908 +tp2909 +a(g831 +g958 +tp2910 +a(g27 +g1187 +tp2911 +a(g831 +g958 +tp2912 +a(g428 +g2526 +tp2913 +a(g831 +g958 +tp2914 +a(g27 +g1451 +tp2915 +a(g831 +g958 +tp2916 +a(g698 +V=> +p2917 +tp2918 +a(g831 +g958 +tp2919 +a(g27 +g2207 +tp2920 +a(g831 +g958 +tp2921 +a(g428 +g2526 +tp2922 +a(g831 +g958 +tp2923 +a(g428 +g988 +tp2924 +a(g831 +g958 +tp2925 +a(g698 +V=> +p2926 +tp2927 +a(g831 +g958 +tp2928 +a(g428 +g1048 +tp2929 +a(g831 +g958 +tp2930 +a(g8 +Velse +p2931 +tp2932 +a(g831 +g958 +tp2933 +a(g27 +V19 +p2934 +tp2935 +a(g831 +V\u000a +p2936 +tp2937 +a(g698 +g2526 +tp2938 +a(g831 +g958 +tp2939 +a(g566 +g1406 +tp2940 +a(g831 +g958 +tp2941 +a(g27 +g1187 +tp2942 +a(g831 +g958 +tp2943 +a(g698 +g963 +tp2944 +a(g831 +g958 +tp2945 +a(g698 +g1180 +tp2946 +a(g8 +Vwhile +p2947 +tp2948 +a(g831 +g958 +tp2949 +a(g428 +V! +p2950 +tp2951 +a(g428 +g988 +tp2952 +a(g831 +g958 +tp2953 +a(g8 +Vhandle +p2954 +tp2955 +a(g831 +g958 +tp2956 +a(g428 +VMatch +p2957 +tp2958 +a(g831 +g958 +tp2959 +a(g698 +V=> +p2960 +tp2961 +a(g831 +g958 +tp2962 +a(g428 +g2950 +tp2963 +a(g428 +g988 +tp2964 +a(g831 +g958 +tp2965 +a(g428 +g2526 +tp2966 +a(g831 +g958 +tp2967 +a(g428 +VFail +p2968 +tp2969 +a(g831 +g958 +tp2970 +a(g698 +g2236 +tp2971 +a(g831 +g958 +tp2972 +a(g698 +V=> +p2973 +tp2974 +a(g831 +g958 +tp2975 +a(g428 +g2950 +tp2976 +a(g428 +g988 +tp2977 +a(g831 +g958 +tp2978 +a(g8 +Vdo +p2979 +tp2980 +a(g831 +g958 +tp2981 +a(g698 +g1180 +tp2982 +a(g698 +g1199 +tp2983 +a(g831 +g958 +tp2984 +a(g698 +V; +p2985 +tp2986 +a(g831 +g958 +tp2987 +a(g27 +g1187 +tp2988 +a(g698 +g1199 +tp2989 +a(g831 +V\u000a +p2990 +tp2991 +a(g698 +g2526 +tp2992 +a(g831 +g958 +tp2993 +a(g566 +g1406 +tp2994 +a(g831 +g958 +tp2995 +a(g428 +g988 +tp2996 +a(g831 +g958 +tp2997 +a(g698 +g963 +tp2998 +a(g831 +g958 +tp2999 +a(g698 +g1180 +tp3000 +a(g8 +Vraise +p3001 +tp3002 +a(g831 +g958 +tp3003 +a(g428 +VMatch +p3004 +tp3005 +a(g698 +g1199 +tp3006 +a(g831 +g958 +tp3007 +a(g8 +Vhandle +p3008 +tp3009 +a(g831 +g958 +tp3010 +a(g428 +VDomain +p3011 +tp3012 +a(g831 +g958 +tp3013 +a(g698 +V=> +p3014 +tp3015 +a(g831 +g958 +tp3016 +a(g27 +V9 +p3017 +tp3018 +a(g831 +g958 +tp3019 +a(g428 +g2526 +tp3020 +a(g831 +g958 +tp3021 +a(g428 +VMatch +p3022 +tp3023 +a(g831 +g958 +tp3024 +a(g698 +V=> +p3025 +tp3026 +a(g831 +g958 +tp3027 +a(g27 +g1451 +tp3028 +a(g831 +V\u000a\u000a +p3029 +tp3030 +a(g8 +Vfun +p3031 +tp3032 +a(g831 +g958 +tp3033 +a(g566 +g1040 +tp3034 +a(g831 +g958 +tp3035 +a(g27 +g1803 +tp3036 +a(g831 +g958 +tp3037 +a(g698 +g963 +tp3038 +a(g831 +g958 +tp3039 +a(g27 +V12 +p3040 +tp3041 +a(g831 +V\u000a +p3042 +tp3043 +a(g698 +g2526 +tp3044 +a(g831 +g958 +tp3045 +a(g566 +g1040 +tp3046 +a(g831 +g958 +tp3047 +a(g27 +g1182 +tp3048 +a(g831 +g958 +tp3049 +a(g698 +g963 +tp3050 +a(g831 +g958 +tp3051 +a(g27 +V8 +p3052 +tp3053 +a(g831 +V\u000a +p3054 +tp3055 +a(g698 +g2526 +tp3056 +a(g831 +g958 +tp3057 +a(g566 +g1040 +tp3058 +a(g831 +g958 +tp3059 +a(g27 +g1187 +tp3060 +a(g831 +g958 +tp3061 +a(g698 +g963 +tp3062 +a(g831 +g958 +tp3063 +a(g428 +g1042 +tp3064 +a(g831 +g958 +tp3065 +a(g428 +Vfalse +p3066 +tp3067 +a(g831 +V\u000a +p3068 +tp3069 +a(g698 +g2526 +tp3070 +a(g831 +g958 +tp3071 +a(g566 +g1040 +tp3072 +a(g831 +g958 +tp3073 +a(g428 +g988 +tp3074 +a(g831 +g958 +tp3075 +a(g698 +g963 +tp3076 +a(g831 +g958 +tp3077 +a(g428 +g1042 +tp3078 +a(g831 +g958 +tp3079 +a(g428 +Vtrue +p3080 +tp3081 +a(g831 +V\u000a +p3082 +tp3083 +a(g8 +Vand +p3084 +tp3085 +a(g831 +g958 +tp3086 +a(g566 +g1042 +tp3087 +a(g831 +g958 +tp3088 +a(g428 +Vtrue +p3089 +tp3090 +a(g831 +g958 +tp3091 +a(g698 +g963 +tp3092 +a(g831 +g958 +tp3093 +a(g27 +V19 +p3094 +tp3095 +a(g831 +V\u000a +p3096 +tp3097 +a(g698 +g2526 +tp3098 +a(g831 +g958 +tp3099 +a(g566 +g1042 +tp3100 +a(g831 +g958 +tp3101 +a(g428 +Vfalse +p3102 +tp3103 +a(g831 +g958 +tp3104 +a(g698 +g963 +tp3105 +a(g831 +g958 +tp3106 +a(g27 +V12 +p3107 +tp3108 +a(g831 +V\u000a\u000a +p3109 +tp3110 +a(g8 +Vval +p3111 +tp3112 +a(g831 +g958 +tp3113 +a(g698 +g2236 +tp3114 +a(g831 +g958 +tp3115 +a(g698 +g963 +tp3116 +a(g831 +g958 +tp3117 +a(g27 +V123 +p3118 +tp3119 +a(g831 +V\u000a +p3120 +tp3121 +a(g8 +Vval +p3122 +tp3123 +a(g831 +g958 +tp3124 +a(g698 +g2236 +tp3125 +a(g831 +g958 +tp3126 +a(g698 +g963 +tp3127 +a(g831 +g958 +tp3128 +a(g27 +V0001 +p3129 +tp3130 +a(g831 +V\u000a +p3131 +tp3132 +a(g8 +Vval +p3133 +tp3134 +a(g831 +g958 +tp3135 +a(g698 +g2236 +tp3136 +a(g831 +g958 +tp3137 +a(g698 +g963 +tp3138 +a(g831 +g958 +tp3139 +a(g27 +V~123 +p3140 +tp3141 +a(g831 +V\u000a +p3142 +tp3143 +a(g8 +Vval +p3144 +tp3145 +a(g831 +g958 +tp3146 +a(g698 +g2236 +tp3147 +a(g831 +g958 +tp3148 +a(g698 +g963 +tp3149 +a(g831 +g958 +tp3150 +a(g27 +V~0001 +p3151 +tp3152 +a(g831 +V\u000a +p3153 +tp3154 +a(g8 +Vval +p3155 +tp3156 +a(g831 +g958 +tp3157 +a(g698 +g2236 +tp3158 +a(g831 +g958 +tp3159 +a(g698 +g963 +tp3160 +a(g831 +g958 +tp3161 +a(g27 +V0w12412 +p3162 +tp3163 +a(g831 +V\u000a +p3164 +tp3165 +a(g8 +Vval +p3166 +tp3167 +a(g831 +g958 +tp3168 +a(g698 +g2236 +tp3169 +a(g831 +g958 +tp3170 +a(g698 +g963 +tp3171 +a(g831 +g958 +tp3172 +a(g27 +V0w12412 +p3173 +tp3174 +a(g831 +V\u000a +p3175 +tp3176 +a(g8 +Vval +p3177 +tp3178 +a(g831 +g958 +tp3179 +a(g698 +g2236 +tp3180 +a(g831 +g958 +tp3181 +a(g698 +g963 +tp3182 +a(g831 +g958 +tp3183 +a(g290 +V0xfA0 +p3184 +tp3185 +a(g831 +V\u000a +p3186 +tp3187 +a(g8 +Vval +p3188 +tp3189 +a(g831 +g958 +tp3190 +a(g698 +g2236 +tp3191 +a(g831 +g958 +tp3192 +a(g698 +g963 +tp3193 +a(g831 +g958 +tp3194 +a(g290 +V~0xfA0 +p3195 +tp3196 +a(g831 +V\u000a +p3197 +tp3198 +a(g8 +Vval +p3199 +tp3200 +a(g831 +g958 +tp3201 +a(g698 +g2236 +tp3202 +a(g831 +g958 +tp3203 +a(g698 +g963 +tp3204 +a(g831 +g958 +tp3205 +a(g290 +V0wxfA0 +p3206 +tp3207 +a(g831 +V\u000a +p3208 +tp3209 +a(g8 +Vval +p3210 +tp3211 +a(g831 +g958 +tp3212 +a(g698 +g2236 +tp3213 +a(g831 +g958 +tp3214 +a(g698 +g963 +tp3215 +a(g831 +g958 +tp3216 +a(g307 +V1.4 +p3217 +tp3218 +a(g831 +V\u000a +p3219 +tp3220 +a(g8 +Vval +p3221 +tp3222 +a(g831 +g958 +tp3223 +a(g698 +g2236 +tp3224 +a(g831 +g958 +tp3225 +a(g698 +g963 +tp3226 +a(g831 +g958 +tp3227 +a(g307 +V~1.4 +p3228 +tp3229 +a(g831 +V\u000a +p3230 +tp3231 +a(g8 +Vval +p3232 +tp3233 +a(g831 +g958 +tp3234 +a(g698 +g2236 +tp3235 +a(g831 +g958 +tp3236 +a(g698 +g963 +tp3237 +a(g831 +g958 +tp3238 +a(g307 +V1e~2 +p3239 +tp3240 +a(g831 +V\u000a +p3241 +tp3242 +a(g8 +Vval +p3243 +tp3244 +a(g831 +g958 +tp3245 +a(g698 +g2236 +tp3246 +a(g831 +g958 +tp3247 +a(g698 +g963 +tp3248 +a(g831 +g958 +tp3249 +a(g307 +V1E~2 +p3250 +tp3251 +a(g831 +V\u000a +p3252 +tp3253 +a(g8 +Vval +p3254 +tp3255 +a(g831 +g958 +tp3256 +a(g698 +g2236 +tp3257 +a(g831 +g958 +tp3258 +a(g698 +g963 +tp3259 +a(g831 +g958 +tp3260 +a(g307 +V1e2 +p3261 +tp3262 +a(g831 +V\u000a +p3263 +tp3264 +a(g8 +Vval +p3265 +tp3266 +a(g831 +g958 +tp3267 +a(g698 +g2236 +tp3268 +a(g831 +g958 +tp3269 +a(g698 +g963 +tp3270 +a(g831 +g958 +tp3271 +a(g307 +V1E2 +p3272 +tp3273 +a(g831 +V\u000a +p3274 +tp3275 +a(g8 +Vval +p3276 +tp3277 +a(g831 +g958 +tp3278 +a(g698 +g2236 +tp3279 +a(g831 +g958 +tp3280 +a(g698 +g963 +tp3281 +a(g831 +g958 +tp3282 +a(g307 +V1.4e~2 +p3283 +tp3284 +a(g831 +V\u000a +p3285 +tp3286 +a(g8 +Vval +p3287 +tp3288 +a(g831 +g958 +tp3289 +a(g698 +g2236 +tp3290 +a(g831 +g958 +tp3291 +a(g698 +g963 +tp3292 +a(g831 +g958 +tp3293 +a(g307 +V1.4E~2 +p3294 +tp3295 +a(g831 +V\u000a +p3296 +tp3297 +a(g8 +Vval +p3298 +tp3299 +a(g831 +g958 +tp3300 +a(g698 +g2236 +tp3301 +a(g831 +g958 +tp3302 +a(g698 +g963 +tp3303 +a(g831 +g958 +tp3304 +a(g307 +V1.4e2 +p3305 +tp3306 +a(g831 +V\u000a +p3307 +tp3308 +a(g8 +Vval +p3309 +tp3310 +a(g831 +g958 +tp3311 +a(g698 +g2236 +tp3312 +a(g831 +g958 +tp3313 +a(g698 +g963 +tp3314 +a(g831 +g958 +tp3315 +a(g307 +V1.4E2 +p3316 +tp3317 +a(g831 +V\u000a\u000a +p3318 +tp3319 +a(g8 +Vval +p3320 +tp3321 +a(g831 +g958 +tp3322 +a(g433 +g1140 +tp3323 +a(g831 +g958 +tp3324 +a(g698 +g963 +tp3325 +a(g831 +g958 +tp3326 +a(g85 +V#" +p3327 +tp3328 +a(g205 +V\u005c000 +p3329 +tp3330 +a(g85 +g1191 +tp3331 +a(g831 +V\u000a +p3332 +tp3333 +a(g8 +Vval +p3334 +tp3335 +a(g831 +g958 +tp3336 +a(g433 +Vst +p3337 +tp3338 +a(g831 +g958 +tp3339 +a(g698 +g963 +tp3340 +a(g831 +g958 +tp3341 +a(g149 +g1191 +tp3342 +a(g149 +g985 +tp3343 +a(g149 +g1099 +tp3344 +a(g149 +g1099 +tp3345 +a(g149 +g958 +tp3346 +a(g117 +V\u005c\u000a \u005c +p3347 +tp3348 +a(g149 +g958 +tp3349 +a(g149 +g1101 +tp3350 +a(g149 +g973 +tp3351 +a(g149 +g1042 +tp3352 +a(g149 +g1191 +tp3353 +a(g831 +g958 +tp3354 +a(g428 +V^ +p3355 +tp3356 +a(g831 +g958 +tp3357 +a(g149 +g1191 +tp3358 +a(g149 +g1101 +tp3359 +a(g149 +g973 +tp3360 +a(g149 +g1270 +tp3361 +a(g149 +g958 +tp3362 +a(g117 +V\u005c \u000a \u005c +p3363 +tp3364 +a(g149 +g958 +tp3365 +a(g149 +g973 +tp3366 +a(g149 +g1055 +tp3367 +a(g149 +g1066 +tp3368 +a(g149 +g958 +tp3369 +a(g117 +V\u005c \u000a \u005c +p3370 +tp3371 +a(g149 +g958 +tp3372 +a(g149 +g1023 +tp3373 +a(g149 +g1290 +tp3374 +a(g149 +g1140 +tp3375 +a(g149 +g1019 +tp3376 +a(g205 +V\u005cn +p3377 +tp3378 +a(g149 +g1191 +tp3379 +a(g831 +V\u000a\u000a +p3380 +tp3381 +a(g8 +Vval +p3382 +tp3383 +a(g831 +g958 +tp3384 +a(g698 +g1180 +tp3385 +a(g698 +g1199 +tp3386 +a(g831 +g958 +tp3387 +a(g433 +g963 +tp3388 +a(g831 +g958 +tp3389 +a(g428 +Vprint +p3390 +tp3391 +a(g831 +g958 +tp3392 +a(g428 +Vst +p3393 +tp3394 +a(g831 +V\u000a\u000a +p3395 +tp3396 +a(g8 +Vval +p3397 +tp3398 +a(g831 +g958 +tp3399 +a(g698 +g2236 +tp3400 +a(g831 +g958 +tp3401 +a(g698 +g963 +tp3402 +a(g831 +g958 +tp3403 +a(g428 +Vfoo +p3404 +tp3405 +a(g428 +V:: +p3406 +tp3407 +a(g428 +Vbar +p3408 +tp3409 +a(g428 +V:: +p3410 +tp3411 +a(g27 +g2207 +tp3412 +a(g428 +V:: +p3413 +tp3414 +a(g698 +g2269 +tp3415 +a(g428 +V++ +p3416 +tp3417 +a(g698 +g2271 +tp3418 +a(g831 +V\u000a\u000a +p3419 +tp3420 +a(g8 +Vend +p3421 +tp3422 +a(g831 +V\u000a +p3423 +tp3424 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.snobol b/tests/examplefiles/output/example.snobol new file mode 100644 index 0000000..f5d06de --- /dev/null +++ b/tests/examplefiles/output/example.snobol @@ -0,0 +1,2769 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV-SOME RANDOM DIRECTIVE WOULD GO HERE\u000a +p956 +tp957 +a(g7 +V*\u000a +p958 +tp959 +a(g7 +V* SNOBOL4 example file for lexer\u000a +p960 +tp961 +a(g7 +V*\u000a +p962 +tp963 +a(g822 +V +p964 +tp965 +a(g431 +VSOME.THING_OR_OTHER32 +p966 +tp967 +a(g822 +g964 +tp968 +a(g408 +V= +p969 +tp970 +a(g822 +g964 +tp971 +a(g32 +V1 +p972 +tp973 +a(g822 +g964 +tp974 +a(g408 +V+ +p975 +tp976 +a(g822 +g964 +tp977 +a(g310 +V1.0 +p978 +tp979 +a(g822 +g964 +tp980 +a(g408 +V- +p981 +tp982 +a(g822 +g964 +tp983 +a(g310 +V1E3 +p984 +tp985 +a(g822 +g964 +tp986 +a(g408 +V* +p987 +tp988 +a(g822 +g964 +tp989 +a(g310 +V1E-3 +p990 +tp991 +a(g822 +g964 +tp992 +a(g408 +V** +p993 +tp994 +a(g822 +g964 +tp995 +a(g310 +V2.718284590E0 +p996 +tp997 +a(g822 +V\u000a +p998 +tp999 +a(g701 +V+ +p1000 +tp1001 +a(g701 +V: +p1002 +tp1003 +a(g740 +VF +p1004 +tp1005 +a(g701 +V( +p1006 +tp1007 +a(g592 +VEND +p1008 +tp1009 +a(g701 +V) +p1010 +tp1011 +a(g740 +VS +p1012 +tp1013 +a(g701 +g1006 +tp1014 +a(g592 +VIN_LOOP +p1015 +tp1016 +a(g701 +g1010 +tp1017 +a(g822 +V \u000a +p1018 +tp1019 +a(g822 +g964 +tp1020 +a(g431 +VPATTERN +p1021 +tp1022 +a(g822 +g964 +tp1023 +a(g408 +g969 +tp1024 +a(g822 +g964 +tp1025 +a(g677 +VLEN +p1026 +tp1027 +a(g701 +g1006 +tp1028 +a(g32 +V3 +p1029 +tp1030 +a(g701 +g1010 +tp1031 +a(g822 +g964 +tp1032 +a(g701 +g1006 +tp1033 +a(g84 +V"GAR" +p1034 +tp1035 +a(g822 +g964 +tp1036 +a(g408 +V| +p1037 +tp1038 +a(g822 +g964 +tp1039 +a(g84 +V"BAR" +p1040 +tp1041 +a(g701 +g1010 +tp1042 +a(g822 +V\u000a +p1043 +tp1044 +a(g592 +VIN_LOOP +p1045 +tp1046 +a(g822 +g964 +tp1047 +a(g431 +VTHING +p1048 +tp1049 +a(g822 +g964 +tp1050 +a(g408 +g969 +tp1051 +a(g822 +g964 +tp1052 +a(g677 +VINPUT +p1053 +tp1054 +a(g822 +g964 +tp1055 +a(g701 +g1002 +tp1056 +a(g740 +g1004 +tp1057 +a(g701 +g1006 +tp1058 +a(g592 +VEND +p1059 +tp1060 +a(g701 +g1010 +tp1061 +a(g822 +V\u000a +p1062 +tp1063 +a(g822 +g964 +tp1064 +a(g431 +VTHING +p1065 +tp1066 +a(g822 +g964 +tp1067 +a(g677 +VLEN +p1068 +tp1069 +a(g701 +g1006 +tp1070 +a(g32 +g1029 +tp1071 +a(g701 +g1010 +tp1072 +a(g822 +g964 +tp1073 +a(g701 +g1006 +tp1074 +a(g84 +V"GAR" +p1075 +tp1076 +a(g822 +g964 +tp1077 +a(g408 +g1037 +tp1078 +a(g822 +g964 +tp1079 +a(g84 +V"BAR" +p1080 +tp1081 +a(g701 +g1010 +tp1082 +a(g822 +g964 +tp1083 +a(g701 +g1002 +tp1084 +a(g740 +g1012 +tp1085 +a(g701 +g1006 +tp1086 +a(g592 +VOK +p1087 +tp1088 +a(g701 +g1010 +tp1089 +a(g822 +V\u000a +p1090 +tp1091 +a(g822 +g964 +tp1092 +a(g677 +VOUTPUT +p1093 +tp1094 +a(g822 +g964 +tp1095 +a(g408 +g969 +tp1096 +a(g822 +g964 +tp1097 +a(g431 +VTHING +p1098 +tp1099 +a(g822 +g964 +tp1100 +a(g84 +V" : Failure!" +p1101 +tp1102 +a(g822 +g964 +tp1103 +a(g701 +g1002 +tp1104 +a(g701 +g1006 +tp1105 +a(g592 +VIN_LOOP +p1106 +tp1107 +a(g701 +g1010 +tp1108 +a(g822 +V\u000a +p1109 +tp1110 +a(g592 +VOK +p1111 +tp1112 +a(g822 +g964 +tp1113 +a(g677 +VOUTPUT +p1114 +tp1115 +a(g822 +g964 +tp1116 +a(g408 +g969 +tp1117 +a(g822 +g964 +tp1118 +a(g431 +VTHING +p1119 +tp1120 +a(g822 +g964 +tp1121 +a(g84 +V' : "Success"!' +p1122 +tp1123 +a(g822 +g964 +tp1124 +a(g701 +g1002 +tp1125 +a(g701 +g1006 +tp1126 +a(g592 +VIN_LOOP +p1127 +tp1128 +a(g701 +g1010 +tp1129 +a(g822 +V\u000a +p1130 +tp1131 +a(g592 +VEND\u000a +p1132 +tp1133 +a(g104 +VFOOBAR\u000a +p1134 +tp1135 +a(g104 +VFOOGAR\u000a +p1136 +tp1137 +a(g104 +VTHiNIg\u000a +p1138 +tp1139 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.stan b/tests/examplefiles/output/example.stan new file mode 100644 index 0000000..f05262a --- /dev/null +++ b/tests/examplefiles/output/example.stan @@ -0,0 +1,4763 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* \u000aA file for testing Stan syntax highlighting. \u000a\u000aIt is not a real model and will not compile\u000a*/ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g906 +V# also a comment +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g906 +V// also a comment +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g771 +Vfunctions +p968 +tp969 +a(g827 +V +p970 +tp971 +a(g706 +V{ +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g749 +Vvoid +p976 +tp977 +a(g827 +g970 +tp978 +a(g436 +Vf1 +p979 +tp980 +a(g706 +V( +p981 +tp982 +a(g749 +Vvoid +p983 +tp984 +a(g827 +g970 +tp985 +a(g436 +Va +p986 +tp987 +a(g706 +V, +p988 +tp989 +a(g827 +g970 +tp990 +a(g749 +Vreal +p991 +tp992 +a(g827 +g970 +tp993 +a(g436 +Vb +p994 +tp995 +a(g706 +V) +p996 +tp997 +a(g827 +g970 +tp998 +a(g706 +g972 +tp999 +a(g827 +V\u000a +p1000 +tp1001 +a(g745 +Vreturn +p1002 +tp1003 +a(g827 +g970 +tp1004 +a(g315 +V1 +p1005 +tp1006 +a(g827 +g970 +tp1007 +a(g413 +V/ +p1008 +tp1009 +a(g827 +g970 +tp1010 +a(g436 +g986 +tp1011 +a(g706 +V; +p1012 +tp1013 +a(g827 +V\u000a +p1014 +tp1015 +a(g706 +V} +p1016 +tp1017 +a(g827 +V\u000a +p1018 +tp1019 +a(g749 +Vreal +p1020 +tp1021 +a(g827 +g970 +tp1022 +a(g436 +Vf2 +p1023 +tp1024 +a(g706 +g981 +tp1025 +a(g749 +Vint +p1026 +tp1027 +a(g827 +g970 +tp1028 +a(g436 +g986 +tp1029 +a(g706 +g988 +tp1030 +a(g827 +g970 +tp1031 +a(g749 +Vvector +p1032 +tp1033 +a(g827 +g970 +tp1034 +a(g436 +g994 +tp1035 +a(g706 +g988 +tp1036 +a(g827 +g970 +tp1037 +a(g749 +Vreal +p1038 +tp1039 +a(g827 +g970 +tp1040 +a(g436 +Vc +p1041 +tp1042 +a(g706 +g996 +tp1043 +a(g827 +g970 +tp1044 +a(g706 +g972 +tp1045 +a(g827 +V\u000a +p1046 +tp1047 +a(g745 +Vreturn +p1048 +tp1049 +a(g827 +g970 +tp1050 +a(g436 +g986 +tp1051 +a(g827 +g970 +tp1052 +a(g413 +V+ +p1053 +tp1054 +a(g827 +g970 +tp1055 +a(g436 +g994 +tp1056 +a(g827 +g970 +tp1057 +a(g413 +g1053 +tp1058 +a(g827 +g970 +tp1059 +a(g436 +g1041 +tp1060 +a(g706 +g1012 +tp1061 +a(g827 +V\u000a +p1062 +tp1063 +a(g706 +g1016 +tp1064 +a(g827 +V\u000a +p1065 +tp1066 +a(g706 +g1016 +tp1067 +a(g827 +V\u000a +p1068 +tp1069 +a(g771 +Vdata +p1070 +tp1071 +a(g827 +g970 +tp1072 +a(g706 +g972 +tp1073 +a(g827 +V\u000a +p1074 +tp1075 +a(g906 +V// valid name +p1076 +tp1077 +a(g827 +V\u000a +p1078 +tp1079 +a(g749 +Vint +p1080 +tp1081 +a(g827 +g970 +tp1082 +a(g436 +VabcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_abc +p1083 +tp1084 +a(g706 +g1012 +tp1085 +a(g827 +V\u000a +p1086 +tp1087 +a(g906 +V// all types should be highlighted +p1088 +tp1089 +a(g827 +V\u000a +p1090 +tp1091 +a(g749 +Vint +p1092 +tp1093 +a(g827 +g970 +tp1094 +a(g436 +Va3 +p1095 +tp1096 +a(g706 +g1012 +tp1097 +a(g827 +V\u000a +p1098 +tp1099 +a(g749 +Vreal +p1100 +tp1101 +a(g827 +g970 +tp1102 +a(g436 +Vfoo +p1103 +tp1104 +a(g706 +V[ +p1105 +tp1106 +a(g315 +V2 +p1107 +tp1108 +a(g706 +V] +p1109 +tp1110 +a(g706 +g1012 +tp1111 +a(g827 +V\u000a +p1112 +tp1113 +a(g749 +Vvector +p1114 +tp1115 +a(g706 +g1105 +tp1116 +a(g315 +V3 +p1117 +tp1118 +a(g706 +g1109 +tp1119 +a(g827 +g970 +tp1120 +a(g436 +Vbar +p1121 +tp1122 +a(g706 +g1012 +tp1123 +a(g827 +V\u000a +p1124 +tp1125 +a(g749 +Vrow_vector +p1126 +tp1127 +a(g706 +g1105 +tp1128 +a(g315 +g1117 +tp1129 +a(g706 +g1109 +tp1130 +a(g827 +g970 +tp1131 +a(g436 +Vbaz +p1132 +tp1133 +a(g706 +g1012 +tp1134 +a(g827 +V\u000a +p1135 +tp1136 +a(g749 +Vmatrix +p1137 +tp1138 +a(g706 +g1105 +tp1139 +a(g315 +g1117 +tp1140 +a(g706 +g988 +tp1141 +a(g315 +g1117 +tp1142 +a(g706 +g1109 +tp1143 +a(g827 +g970 +tp1144 +a(g436 +Vqux +p1145 +tp1146 +a(g706 +g1012 +tp1147 +a(g827 +V\u000a +p1148 +tp1149 +a(g749 +Vsimplex +p1150 +tp1151 +a(g706 +g1105 +tp1152 +a(g315 +g1117 +tp1153 +a(g706 +g1109 +tp1154 +a(g827 +g970 +tp1155 +a(g436 +Vquux +p1156 +tp1157 +a(g706 +g1012 +tp1158 +a(g827 +V\u000a +p1159 +tp1160 +a(g749 +Vordered +p1161 +tp1162 +a(g706 +g1105 +tp1163 +a(g315 +g1117 +tp1164 +a(g706 +g1109 +tp1165 +a(g827 +g970 +tp1166 +a(g436 +Vcorge +p1167 +tp1168 +a(g706 +g1012 +tp1169 +a(g827 +V\u000a +p1170 +tp1171 +a(g749 +Vpositive_ordered +p1172 +tp1173 +a(g706 +g1105 +tp1174 +a(g315 +g1117 +tp1175 +a(g706 +g1109 +tp1176 +a(g827 +g970 +tp1177 +a(g436 +Vwibble +p1178 +tp1179 +a(g706 +g1012 +tp1180 +a(g827 +V\u000a +p1181 +tp1182 +a(g749 +Vcorr_matrix +p1183 +tp1184 +a(g706 +g1105 +tp1185 +a(g315 +g1117 +tp1186 +a(g706 +g1109 +tp1187 +a(g827 +g970 +tp1188 +a(g436 +Vgrault +p1189 +tp1190 +a(g706 +g1012 +tp1191 +a(g827 +V\u000a +p1192 +tp1193 +a(g749 +Vcov_matrix +p1194 +tp1195 +a(g706 +g1105 +tp1196 +a(g315 +g1117 +tp1197 +a(g706 +g1109 +tp1198 +a(g827 +g970 +tp1199 +a(g436 +Vgarply +p1200 +tp1201 +a(g706 +g1012 +tp1202 +a(g827 +V\u000a +p1203 +tp1204 +a(g749 +Vcholesky_factor_cov +p1205 +tp1206 +a(g706 +g1105 +tp1207 +a(g315 +g1117 +tp1208 +a(g706 +g1109 +tp1209 +a(g827 +g970 +tp1210 +a(g436 +Vwaldo +p1211 +tp1212 +a(g706 +g1012 +tp1213 +a(g827 +V\u000a +p1214 +tp1215 +a(g749 +Vcholesky_factor_corr +p1216 +tp1217 +a(g706 +g1105 +tp1218 +a(g315 +g1117 +tp1219 +a(g706 +g1109 +tp1220 +a(g827 +g970 +tp1221 +a(g436 +Vwaldo2 +p1222 +tp1223 +a(g706 +g1012 +tp1224 +a(g827 +V\u000a \u000a +p1225 +tp1226 +a(g749 +Vreal +p1227 +tp1228 +a(g413 +V< +p1229 +tp1230 +a(g745 +Vlower +p1231 +tp1232 +a(g706 +V= +p1233 +tp1234 +a(g413 +V- +p1235 +tp1236 +a(g315 +g1005 +tp1237 +a(g706 +g988 +tp1238 +a(g745 +Vupper +p1239 +tp1240 +a(g706 +g1233 +tp1241 +a(g315 +g1005 +tp1242 +a(g413 +V> +p1243 +tp1244 +a(g827 +g970 +tp1245 +a(g436 +Vfoo1 +p1246 +tp1247 +a(g706 +g1012 +tp1248 +a(g827 +V\u000a +p1249 +tp1250 +a(g749 +Vreal +p1251 +tp1252 +a(g413 +g1229 +tp1253 +a(g745 +Vlower +p1254 +tp1255 +a(g706 +g1233 +tp1256 +a(g315 +V0 +p1257 +tp1258 +a(g413 +g1243 +tp1259 +a(g827 +g970 +tp1260 +a(g436 +Vfoo2 +p1261 +tp1262 +a(g706 +g1012 +tp1263 +a(g827 +V\u000a +p1264 +tp1265 +a(g749 +Vreal +p1266 +tp1267 +a(g413 +g1229 +tp1268 +a(g745 +Vupper +p1269 +tp1270 +a(g706 +g1233 +tp1271 +a(g315 +g1257 +tp1272 +a(g413 +g1243 +tp1273 +a(g827 +g970 +tp1274 +a(g436 +Vfoo3 +p1275 +tp1276 +a(g706 +g1012 +tp1277 +a(g827 +V\u000a +p1278 +tp1279 +a(g706 +g1016 +tp1280 +a(g827 +V\u000a +p1281 +tp1282 +a(g771 +Vtransformed data +p1283 +tp1284 +a(g827 +g970 +tp1285 +a(g706 +g972 +tp1286 +a(g827 +V\u000a +p1287 +tp1288 +a(g749 +Vreal +p1289 +tp1290 +a(g827 +g970 +tp1291 +a(g436 +Vxyzzy +p1292 +tp1293 +a(g706 +g1012 +tp1294 +a(g827 +V\u000a +p1295 +tp1296 +a(g749 +Vint +p1297 +tp1298 +a(g827 +g970 +tp1299 +a(g436 +Vthud +p1300 +tp1301 +a(g706 +g1012 +tp1302 +a(g827 +V\u000a +p1303 +tp1304 +a(g749 +Vrow_vector +p1305 +tp1306 +a(g827 +g970 +tp1307 +a(g436 +Vgrault2 +p1308 +tp1309 +a(g706 +g1012 +tp1310 +a(g827 +V\u000a +p1311 +tp1312 +a(g749 +Vmatrix +p1313 +tp1314 +a(g827 +g970 +tp1315 +a(g436 +Vqux2 +p1316 +tp1317 +a(g706 +g1012 +tp1318 +a(g827 +V\u000a \u000a +p1319 +tp1320 +a(g906 +V// all floating point literals should be recognized +p1321 +tp1322 +a(g827 +V\u000a +p1323 +tp1324 +a(g906 +V// all operators should be recognized +p1325 +tp1326 +a(g827 +V\u000a +p1327 +tp1328 +a(g906 +V// paren should be recognized; +p1329 +tp1330 +a(g827 +V\u000a +p1331 +tp1332 +a(g436 +Vxyzzy +p1333 +tp1334 +a(g827 +g970 +tp1335 +a(g413 +V<- +p1336 +tp1337 +a(g827 +g970 +tp1338 +a(g315 +V1234.5687 +p1339 +tp1340 +a(g827 +g970 +tp1341 +a(g413 +g1053 +tp1342 +a(g827 +g970 +tp1343 +a(g315 +V.123 +p1344 +tp1345 +a(g827 +g970 +tp1346 +a(g413 +g1235 +tp1347 +a(g827 +g970 +tp1348 +a(g706 +g981 +tp1349 +a(g315 +V2.7e3 +p1350 +tp1351 +a(g827 +g970 +tp1352 +a(g413 +g1008 +tp1353 +a(g827 +g970 +tp1354 +a(g315 +V2E-5 +p1355 +tp1356 +a(g827 +g970 +tp1357 +a(g413 +V* +p1358 +tp1359 +a(g827 +g970 +tp1360 +a(g315 +V135e-5 +p1361 +tp1362 +a(g706 +g996 +tp1363 +a(g706 +g1012 +tp1364 +a(g827 +V\u000a +p1365 +tp1366 +a(g906 +V// integer literal +p1367 +tp1368 +a(g827 +V\u000a +p1369 +tp1370 +a(g436 +Vthud +p1371 +tp1372 +a(g827 +g970 +tp1373 +a(g413 +V<- +p1374 +tp1375 +a(g827 +g970 +tp1376 +a(g413 +g1235 +tp1377 +a(g315 +V12309865 +p1378 +tp1379 +a(g706 +g1012 +tp1380 +a(g827 +V\u000a +p1381 +tp1382 +a(g906 +V// ./ and .* should be recognized as operators +p1383 +tp1384 +a(g827 +V\u000a +p1385 +tp1386 +a(g436 +Vgrault2 +p1387 +tp1388 +a(g827 +g970 +tp1389 +a(g413 +V<- +p1390 +tp1391 +a(g827 +g970 +tp1392 +a(g436 +Vgrault +p1393 +tp1394 +a(g827 +g970 +tp1395 +a(g413 +V.* +p1396 +tp1397 +a(g827 +g970 +tp1398 +a(g436 +Vgarply +p1399 +tp1400 +a(g827 +g970 +tp1401 +a(g413 +V./ +p1402 +tp1403 +a(g827 +g970 +tp1404 +a(g436 +Vgarply +p1405 +tp1406 +a(g706 +g1012 +tp1407 +a(g827 +V\u000a +p1408 +tp1409 +a(g906 +V// ' and \u005c should be recognized as operators +p1410 +tp1411 +a(g827 +V\u000a +p1412 +tp1413 +a(g436 +Vqux2 +p1414 +tp1415 +a(g827 +g970 +tp1416 +a(g413 +V<- +p1417 +tp1418 +a(g827 +g970 +tp1419 +a(g436 +Vqux +p1420 +tp1421 +a(g413 +V' +p1422 +tp1423 +a(g827 +g970 +tp1424 +a(g413 +V\u005c +p1425 +tp1426 +a(g827 +g970 +tp1427 +a(g436 +Vbar +p1428 +tp1429 +a(g706 +g1012 +tp1430 +a(g827 +V\u000a \u000a +p1431 +tp1432 +a(g706 +g1016 +tp1433 +a(g827 +V\u000a +p1434 +tp1435 +a(g771 +Vparameters +p1436 +tp1437 +a(g827 +g970 +tp1438 +a(g706 +g972 +tp1439 +a(g827 +V\u000a +p1440 +tp1441 +a(g749 +Vreal +p1442 +tp1443 +a(g827 +g970 +tp1444 +a(g436 +Vfred +p1445 +tp1446 +a(g706 +g1012 +tp1447 +a(g827 +V\u000a +p1448 +tp1449 +a(g749 +Vreal +p1450 +tp1451 +a(g827 +g970 +tp1452 +a(g436 +Vplugh +p1453 +tp1454 +a(g706 +g1012 +tp1455 +a(g827 +V\u000a +p1456 +tp1457 +a(g706 +g1016 +tp1458 +a(g827 +V\u000a +p1459 +tp1460 +a(g771 +Vtransformed parameters +p1461 +tp1462 +a(g827 +g970 +tp1463 +a(g706 +g972 +tp1464 +a(g827 +V \u000a +p1465 +tp1466 +a(g706 +g1016 +tp1467 +a(g827 +V\u000a +p1468 +tp1469 +a(g771 +Vmodel +p1470 +tp1471 +a(g827 +g970 +tp1472 +a(g706 +g972 +tp1473 +a(g827 +V\u000a +p1474 +tp1475 +a(g906 +V// ~, <- are operators, +p1476 +tp1477 +a(g827 +V\u000a +p1478 +tp1479 +a(g906 +V// T may be be recognized +p1480 +tp1481 +a(g827 +V\u000a +p1482 +tp1483 +a(g906 +V// normal is a function +p1484 +tp1485 +a(g827 +V\u000a +p1486 +tp1487 +a(g436 +Vfred +p1488 +tp1489 +a(g827 +g970 +tp1490 +a(g413 +V~ +p1491 +tp1492 +a(g840 +g970 +tp1493 +a(g682 +Vnormal +p1494 +tp1495 +a(g706 +g981 +tp1496 +a(g315 +g1257 +tp1497 +a(g706 +g988 +tp1498 +a(g827 +g970 +tp1499 +a(g315 +g1005 +tp1500 +a(g706 +g996 +tp1501 +a(g827 +g970 +tp1502 +a(g436 +VT +p1503 +tp1504 +a(g706 +g981 +tp1505 +a(g413 +g1235 +tp1506 +a(g315 +V0.5 +p1507 +tp1508 +a(g706 +g988 +tp1509 +a(g827 +g970 +tp1510 +a(g315 +V0.5 +p1511 +tp1512 +a(g706 +g996 +tp1513 +a(g706 +g1012 +tp1514 +a(g827 +V\u000a +p1515 +tp1516 +a(g749 +Vreal +p1517 +tp1518 +a(g827 +g970 +tp1519 +a(g436 +Vtmp +p1520 +tp1521 +a(g706 +g1012 +tp1522 +a(g827 +V\u000a +p1523 +tp1524 +a(g906 +V// C++ reserved +p1525 +tp1526 +a(g827 +V\u000a +p1527 +tp1528 +a(g749 +Vreal +p1529 +tp1530 +a(g827 +g970 +tp1531 +a(g794 +Vpublic +p1532 +tp1533 +a(g706 +g1012 +tp1534 +a(g827 +V\u000a \u000a +p1535 +tp1536 +a(g906 +V// control structures +p1537 +tp1538 +a(g827 +V\u000a +p1539 +tp1540 +a(g745 +Vfor +p1541 +tp1542 +a(g827 +g970 +tp1543 +a(g706 +g981 +tp1544 +a(g436 +Vi +p1545 +tp1546 +a(g827 +g970 +tp1547 +a(g745 +Vin +p1548 +tp1549 +a(g827 +g970 +tp1550 +a(g315 +g1005 +tp1551 +a(g413 +V: +p1552 +tp1553 +a(g315 +V10 +p1554 +tp1555 +a(g706 +g996 +tp1556 +a(g827 +g970 +tp1557 +a(g706 +g972 +tp1558 +a(g827 +V\u000a +p1559 +tp1560 +a(g436 +Vtmp +p1561 +tp1562 +a(g827 +g970 +tp1563 +a(g413 +V<- +p1564 +tp1565 +a(g827 +g970 +tp1566 +a(g436 +Vtmp +p1567 +tp1568 +a(g827 +g970 +tp1569 +a(g413 +g1053 +tp1570 +a(g827 +g970 +tp1571 +a(g315 +V0.1 +p1572 +tp1573 +a(g706 +g1012 +tp1574 +a(g827 +V\u000a +p1575 +tp1576 +a(g706 +g1016 +tp1577 +a(g827 +V\u000a +p1578 +tp1579 +a(g436 +Vtmp +p1580 +tp1581 +a(g827 +g970 +tp1582 +a(g413 +V<- +p1583 +tp1584 +a(g827 +g970 +tp1585 +a(g315 +V0.0 +p1586 +tp1587 +a(g706 +g1012 +tp1588 +a(g827 +V\u000a +p1589 +tp1590 +a(g745 +Vwhile +p1591 +tp1592 +a(g827 +g970 +tp1593 +a(g706 +g981 +tp1594 +a(g436 +Vtmp +p1595 +tp1596 +a(g827 +g970 +tp1597 +a(g413 +g1229 +tp1598 +a(g827 +g970 +tp1599 +a(g315 +V5.0 +p1600 +tp1601 +a(g706 +g996 +tp1602 +a(g827 +g970 +tp1603 +a(g706 +g972 +tp1604 +a(g827 +V\u000a +p1605 +tp1606 +a(g436 +Vtmp +p1607 +tp1608 +a(g827 +g970 +tp1609 +a(g413 +V<- +p1610 +tp1611 +a(g827 +g970 +tp1612 +a(g436 +Vtmp +p1613 +tp1614 +a(g827 +g970 +tp1615 +a(g413 +g1053 +tp1616 +a(g827 +g970 +tp1617 +a(g315 +g1005 +tp1618 +a(g706 +g1012 +tp1619 +a(g827 +V\u000a +p1620 +tp1621 +a(g706 +g1016 +tp1622 +a(g827 +V\u000a +p1623 +tp1624 +a(g745 +Vif +p1625 +tp1626 +a(g827 +g970 +tp1627 +a(g706 +g981 +tp1628 +a(g436 +Vtmp +p1629 +tp1630 +a(g827 +g970 +tp1631 +a(g413 +g1243 +tp1632 +a(g827 +g970 +tp1633 +a(g315 +V0.0 +p1634 +tp1635 +a(g706 +g996 +tp1636 +a(g827 +g970 +tp1637 +a(g706 +g972 +tp1638 +a(g827 +V\u000a +p1639 +tp1640 +a(g745 +Vprint +p1641 +tp1642 +a(g706 +g981 +tp1643 +a(g436 +Vtmp +p1644 +tp1645 +a(g706 +g996 +tp1646 +a(g706 +g1012 +tp1647 +a(g827 +V\u000a +p1648 +tp1649 +a(g706 +g1016 +tp1650 +a(g827 +g970 +tp1651 +a(g745 +Velse +p1652 +tp1653 +a(g827 +g970 +tp1654 +a(g706 +g972 +tp1655 +a(g827 +V\u000a +p1656 +tp1657 +a(g745 +Vprint +p1658 +tp1659 +a(g706 +g981 +tp1660 +a(g436 +Vtmp +p1661 +tp1662 +a(g706 +g996 +tp1663 +a(g706 +g1012 +tp1664 +a(g827 +V\u000a +p1665 +tp1666 +a(g706 +g1016 +tp1667 +a(g827 +V\u000a\u000a +p1668 +tp1669 +a(g906 +V// operators +p1670 +tp1671 +a(g827 +V\u000a +p1672 +tp1673 +a(g436 +Vtmp +p1674 +tp1675 +a(g827 +g970 +tp1676 +a(g413 +V|| +p1677 +tp1678 +a(g827 +g970 +tp1679 +a(g436 +Vtmp +p1680 +tp1681 +a(g706 +g1012 +tp1682 +a(g827 +V\u000a +p1683 +tp1684 +a(g436 +Vtmp +p1685 +tp1686 +a(g827 +g970 +tp1687 +a(g413 +V&& +p1688 +tp1689 +a(g827 +g970 +tp1690 +a(g436 +Vtmp +p1691 +tp1692 +a(g706 +g1012 +tp1693 +a(g827 +V\u000a +p1694 +tp1695 +a(g436 +Vtmp +p1696 +tp1697 +a(g827 +g970 +tp1698 +a(g413 +V== +p1699 +tp1700 +a(g827 +g970 +tp1701 +a(g436 +Vtmp +p1702 +tp1703 +a(g706 +g1012 +tp1704 +a(g827 +V\u000a +p1705 +tp1706 +a(g436 +Vtmp +p1707 +tp1708 +a(g827 +g970 +tp1709 +a(g413 +V!= +p1710 +tp1711 +a(g827 +g970 +tp1712 +a(g436 +Vtmp +p1713 +tp1714 +a(g706 +g1012 +tp1715 +a(g827 +V \u000a +p1716 +tp1717 +a(g436 +Vtmp +p1718 +tp1719 +a(g827 +g970 +tp1720 +a(g413 +g1229 +tp1721 +a(g827 +g970 +tp1722 +a(g436 +Vtmp +p1723 +tp1724 +a(g706 +g1012 +tp1725 +a(g827 +V\u000a +p1726 +tp1727 +a(g436 +Vtmp +p1728 +tp1729 +a(g827 +g970 +tp1730 +a(g413 +V<= +p1731 +tp1732 +a(g827 +g970 +tp1733 +a(g436 +Vtmp +p1734 +tp1735 +a(g706 +g1012 +tp1736 +a(g827 +V\u000a +p1737 +tp1738 +a(g436 +Vtmp +p1739 +tp1740 +a(g827 +g970 +tp1741 +a(g413 +g1243 +tp1742 +a(g827 +g970 +tp1743 +a(g436 +Vtmp +p1744 +tp1745 +a(g706 +g1012 +tp1746 +a(g827 +V\u000a +p1747 +tp1748 +a(g436 +Vtmp +p1749 +tp1750 +a(g827 +g970 +tp1751 +a(g413 +V>= +p1752 +tp1753 +a(g827 +g970 +tp1754 +a(g436 +Vtmp +p1755 +tp1756 +a(g706 +g1012 +tp1757 +a(g827 +V\u000a +p1758 +tp1759 +a(g436 +Vtmp +p1760 +tp1761 +a(g827 +g970 +tp1762 +a(g413 +g1053 +tp1763 +a(g827 +g970 +tp1764 +a(g436 +Vtmp +p1765 +tp1766 +a(g706 +g1012 +tp1767 +a(g827 +V\u000a +p1768 +tp1769 +a(g436 +Vtmp +p1770 +tp1771 +a(g827 +g970 +tp1772 +a(g413 +g1235 +tp1773 +a(g827 +g970 +tp1774 +a(g436 +Vtmp +p1775 +tp1776 +a(g706 +g1012 +tp1777 +a(g827 +V\u000a +p1778 +tp1779 +a(g436 +Vtmp +p1780 +tp1781 +a(g827 +g970 +tp1782 +a(g413 +g1358 +tp1783 +a(g827 +g970 +tp1784 +a(g436 +Vtmp +p1785 +tp1786 +a(g706 +g1012 +tp1787 +a(g827 +V\u000a +p1788 +tp1789 +a(g436 +Vtmp +p1790 +tp1791 +a(g827 +g970 +tp1792 +a(g413 +g1008 +tp1793 +a(g827 +g970 +tp1794 +a(g436 +Vtmp +p1795 +tp1796 +a(g706 +g1012 +tp1797 +a(g827 +V\u000a +p1798 +tp1799 +a(g436 +Vtmp +p1800 +tp1801 +a(g827 +g970 +tp1802 +a(g413 +V.* +p1803 +tp1804 +a(g827 +g970 +tp1805 +a(g436 +Vtmp +p1806 +tp1807 +a(g706 +g1012 +tp1808 +a(g827 +V\u000a +p1809 +tp1810 +a(g436 +Vtmp +p1811 +tp1812 +a(g827 +g970 +tp1813 +a(g413 +V./ +p1814 +tp1815 +a(g827 +g970 +tp1816 +a(g436 +Vtmp +p1817 +tp1818 +a(g706 +g1012 +tp1819 +a(g827 +V\u000a +p1820 +tp1821 +a(g436 +Vtmp +p1822 +tp1823 +a(g827 +g970 +tp1824 +a(g413 +V^ +p1825 +tp1826 +a(g827 +g970 +tp1827 +a(g436 +Vtmp +p1828 +tp1829 +a(g706 +g1012 +tp1830 +a(g827 +V\u000a +p1831 +tp1832 +a(g413 +V! +p1833 +tp1834 +a(g827 +g970 +tp1835 +a(g436 +Vtmp +p1836 +tp1837 +a(g706 +g1012 +tp1838 +a(g827 +V\u000a +p1839 +tp1840 +a(g413 +g1235 +tp1841 +a(g827 +g970 +tp1842 +a(g436 +Vtmp +p1843 +tp1844 +a(g706 +g1012 +tp1845 +a(g827 +V\u000a +p1846 +tp1847 +a(g413 +g1053 +tp1848 +a(g827 +g970 +tp1849 +a(g436 +Vtmp +p1850 +tp1851 +a(g706 +g1012 +tp1852 +a(g827 +V\u000a +p1853 +tp1854 +a(g436 +Vtmp +p1855 +tp1856 +a(g827 +g970 +tp1857 +a(g413 +g1422 +tp1858 +a(g706 +g1012 +tp1859 +a(g827 +V\u000a\u000a +p1860 +tp1861 +a(g906 +V// lp__ should be highlighted +p1862 +tp1863 +a(g827 +V\u000a +p1864 +tp1865 +a(g906 +V// normal_log as a function +p1866 +tp1867 +a(g827 +V\u000a +p1868 +tp1869 +a(g694 +Vlp__ +p1870 +tp1871 +a(g827 +g970 +tp1872 +a(g413 +V<- +p1873 +tp1874 +a(g827 +g970 +tp1875 +a(g694 +Vlp__ +p1876 +tp1877 +a(g827 +g970 +tp1878 +a(g413 +g1053 +tp1879 +a(g827 +g970 +tp1880 +a(g436 +Vnormal_log +p1881 +tp1882 +a(g706 +g981 +tp1883 +a(g436 +Vplugh +p1884 +tp1885 +a(g706 +g988 +tp1886 +a(g827 +g970 +tp1887 +a(g315 +g1257 +tp1888 +a(g706 +g988 +tp1889 +a(g827 +g970 +tp1890 +a(g315 +g1005 +tp1891 +a(g706 +g996 +tp1892 +a(g706 +g1012 +tp1893 +a(g827 +V\u000a +p1894 +tp1895 +a(g436 +Vincrement_log_prob +p1896 +tp1897 +a(g706 +g981 +tp1898 +a(g436 +Vnormal_log +p1899 +tp1900 +a(g706 +g981 +tp1901 +a(g436 +Vplugh +p1902 +tp1903 +a(g706 +g988 +tp1904 +a(g827 +g970 +tp1905 +a(g315 +g1257 +tp1906 +a(g706 +g988 +tp1907 +a(g827 +g970 +tp1908 +a(g315 +g1005 +tp1909 +a(g706 +g996 +tp1910 +a(g706 +g996 +tp1911 +a(g706 +g1012 +tp1912 +a(g827 +V\u000a \u000a +p1913 +tp1914 +a(g906 +V// print statement and string literal +p1915 +tp1916 +a(g827 +V\u000a +p1917 +tp1918 +a(g745 +Vprint +p1919 +tp1920 +a(g706 +g981 +tp1921 +a(g89 +V"abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789_~@#$%^&*`'-+={}[].,;: " +p1922 +tp1923 +a(g706 +g996 +tp1924 +a(g706 +g1012 +tp1925 +a(g827 +V\u000a +p1926 +tp1927 +a(g745 +Vprint +p1928 +tp1929 +a(g706 +g981 +tp1930 +a(g89 +V"Hello, world!" +p1931 +tp1932 +a(g706 +g996 +tp1933 +a(g706 +g1012 +tp1934 +a(g827 +V\u000a +p1935 +tp1936 +a(g745 +Vprint +p1937 +tp1938 +a(g706 +g981 +tp1939 +a(g89 +V"" +p1940 +tp1941 +a(g706 +g996 +tp1942 +a(g706 +g1012 +tp1943 +a(g827 +V\u000a\u000a +p1944 +tp1945 +a(g906 +V// reject statement +p1946 +tp1947 +a(g827 +V\u000a +p1948 +tp1949 +a(g745 +Vreject +p1950 +tp1951 +a(g706 +g981 +tp1952 +a(g89 +V"I just don't like it" +p1953 +tp1954 +a(g706 +g996 +tp1955 +a(g706 +g1012 +tp1956 +a(g827 +V\u000a \u000a +p1957 +tp1958 +a(g706 +g1016 +tp1959 +a(g827 +V\u000a +p1960 +tp1961 +a(g771 +Vgenerated quantities +p1962 +tp1963 +a(g827 +g970 +tp1964 +a(g706 +g972 +tp1965 +a(g827 +V\u000a +p1966 +tp1967 +a(g749 +Vreal +p1968 +tp1969 +a(g827 +g970 +tp1970 +a(g436 +Vbar1 +p1971 +tp1972 +a(g706 +g1012 +tp1973 +a(g827 +V\u000a +p1974 +tp1975 +a(g436 +Vbar1 +p1976 +tp1977 +a(g827 +g970 +tp1978 +a(g413 +V<- +p1979 +tp1980 +a(g827 +g970 +tp1981 +a(g436 +Vfoo +p1982 +tp1983 +a(g827 +g970 +tp1984 +a(g413 +g1053 +tp1985 +a(g827 +g970 +tp1986 +a(g315 +g1005 +tp1987 +a(g706 +g1012 +tp1988 +a(g827 +V\u000a +p1989 +tp1990 +a(g706 +g1016 +tp1991 +a(g827 +V\u000a +p1992 +tp1993 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.tap b/tests/examplefiles/output/example.tap new file mode 100644 index 0000000..73e6e9c --- /dev/null +++ b/tests/examplefiles/output/example.tap @@ -0,0 +1,3677 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg5 +g8 +sg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag179 +ag68 +ag8 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag187 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg5 +g1 +(g2 +g3 +(g815 +g5 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbVTAP version 13\u000a +p956 +tp957 +a(g883 +V1..42 +p958 +tp959 +a(g701 +V\u000a +p960 +tp961 +a(g883 +V1..13 +p962 +tp963 +a(g900 +V +p964 +tp965 +a(g636 +VA plan only supports directives so this text is wrong.\u000a +p966 +tp967 +a(g867 +Vok +p968 +tp969 +a(g900 +g964 +tp970 +a(g290 +V1 +p971 +tp972 +a(g900 +g964 +tp973 +a(g900 +VA +p974 +tp975 +a(g900 +g964 +tp976 +a(g900 +Vnormal +p977 +tp978 +a(g900 +g964 +tp979 +a(g900 +Vtest +p980 +tp981 +a(g900 +g964 +tp982 +a(g900 +Vline +p983 +tp984 +a(g900 +g964 +tp985 +a(g900 +Vincludes +p986 +tp987 +a(g900 +g964 +tp988 +a(g900 +Va +p989 +tp990 +a(g900 +g964 +tp991 +a(g900 +Vnumber. +p992 +tp993 +a(g900 +V\u000a +p994 +tp995 +a(g867 +Vok +p996 +tp997 +a(g900 +g964 +tp998 +a(g900 +VBut +p999 +tp1000 +a(g900 +g964 +tp1001 +a(g900 +g989 +tp1002 +a(g900 +g964 +tp1003 +a(g900 +Vtest +p1004 +tp1005 +a(g900 +g964 +tp1006 +a(g900 +Vline +p1007 +tp1008 +a(g900 +g964 +tp1009 +a(g900 +Vmay +p1010 +tp1011 +a(g900 +g964 +tp1012 +a(g900 +Valso +p1013 +tp1014 +a(g900 +g964 +tp1015 +a(g900 +Vomit +p1016 +tp1017 +a(g900 +g964 +tp1018 +a(g900 +g989 +tp1019 +a(g900 +g964 +tp1020 +a(g900 +Vnumber. +p1021 +tp1022 +a(g900 +V\u000a +p1023 +tp1024 +a(g900 +V\u000a +p1025 +tp1026 +a(g900 +VA random line that does not look like a test or diagnostic should be ignored.\u000a +p1027 +tp1028 +a(g900 +V No matter how it is spaced out.\u000a +p1029 +tp1030 +a(g900 +V\u000a +p1031 +tp1032 +a(g900 +VOr if it is a totally blank line.\u000a +p1033 +tp1034 +a(g900 +V\u000a +p1035 +tp1036 +a(g636 +Vnot ok +p1037 +tp1038 +a(g900 +g964 +tp1039 +a(g290 +V3 +p1040 +tp1041 +a(g900 +g964 +tp1042 +a(g900 +VThis +p1043 +tp1044 +a(g900 +g964 +tp1045 +a(g900 +Vis +p1046 +tp1047 +a(g900 +g964 +tp1048 +a(g900 +g989 +tp1049 +a(g900 +g964 +tp1050 +a(g900 +Vfailing +p1051 +tp1052 +a(g900 +g964 +tp1053 +a(g900 +Vtest +p1054 +tp1055 +a(g900 +g964 +tp1056 +a(g900 +Vline. +p1057 +tp1058 +a(g900 +V\u000a +p1059 +tp1060 +a(g900 +V\u000a +p1061 +tp1062 +a(g701 +V# Diagnostics are any lines...\u000a +p1063 +tp1064 +a(g701 +V# ... beginning with a hash character.\u000a +p1065 +tp1066 +a(g900 +V\u000a +p1067 +tp1068 +a(g636 +Vnot ok +p1069 +tp1070 +a(g900 +g964 +tp1071 +a(g290 +V4 +p1072 +tp1073 +a(g900 +g964 +tp1074 +a(g900 +VThere +p1075 +tp1076 +a(g900 +g964 +tp1077 +a(g900 +Vare +p1078 +tp1079 +a(g900 +g964 +tp1080 +a(g900 +g989 +tp1081 +a(g900 +g964 +tp1082 +a(g900 +Vcouple +p1083 +tp1084 +a(g900 +g964 +tp1085 +a(g900 +Vof +p1086 +tp1087 +a(g900 +g964 +tp1088 +a(g900 +Vdirectives. +p1089 +tp1090 +a(g900 +g964 +tp1091 +a(g701 +V# +p1092 +tp1093 +a(g701 +g964 +tp1094 +a(g730 +VTODO +p1095 +tp1096 +a(g701 +g964 +tp1097 +a(g701 +Vis +p1098 +tp1099 +a(g701 +g964 +tp1100 +a(g701 +Vone +p1101 +tp1102 +a(g701 +g964 +tp1103 +a(g701 +Vof +p1104 +tp1105 +a(g701 +g964 +tp1106 +a(g701 +Vthose +p1107 +tp1108 +a(g701 +g964 +tp1109 +a(g701 +Vdirectives. +p1110 +tp1111 +a(g701 +V\u000a +p1112 +tp1113 +a(g636 +Vnot ok +p1114 +tp1115 +a(g900 +g964 +tp1116 +a(g290 +V5 +p1117 +tp1118 +a(g900 +g964 +tp1119 +a(g701 +g1092 +tp1120 +a(g701 +g964 +tp1121 +a(g730 +VTODO +p1122 +tp1123 +a(g701 +V: +p1124 +tp1125 +a(g701 +g964 +tp1126 +a(g701 +Vis +p1127 +tp1128 +a(g701 +g964 +tp1129 +a(g701 +Vinvalid +p1130 +tp1131 +a(g701 +g964 +tp1132 +a(g701 +Vbecause +p1133 +tp1134 +a(g701 +g964 +tp1135 +a(g701 +Vthe +p1136 +tp1137 +a(g701 +g964 +tp1138 +a(g701 +Vdirective +p1139 +tp1140 +a(g701 +g964 +tp1141 +a(g701 +Vmust +p1142 +tp1143 +a(g701 +g964 +tp1144 +a(g701 +Vbe +p1145 +tp1146 +a(g701 +g964 +tp1147 +a(g701 +Vfollowed +p1148 +tp1149 +a(g701 +g964 +tp1150 +a(g701 +Vby +p1151 +tp1152 +a(g701 +g964 +tp1153 +a(g701 +g989 +tp1154 +a(g701 +g964 +tp1155 +a(g701 +Vspace. +p1156 +tp1157 +a(g701 +V\u000a +p1158 +tp1159 +a(g867 +Vok +p1160 +tp1161 +a(g900 +g964 +tp1162 +a(g290 +V6 +p1163 +tp1164 +a(g900 +g964 +tp1165 +a(g900 +V- +p1166 +tp1167 +a(g900 +g964 +tp1168 +a(g900 +VAnother +p1169 +tp1170 +a(g900 +g964 +tp1171 +a(g900 +Vdirective +p1172 +tp1173 +a(g900 +g964 +tp1174 +a(g900 +Vline +p1175 +tp1176 +a(g900 +g964 +tp1177 +a(g701 +g1092 +tp1178 +a(g701 +g964 +tp1179 +a(g730 +VtoDO +p1180 +tp1181 +a(g701 +g964 +tp1182 +a(g701 +Vis +p1183 +tp1184 +a(g701 +g964 +tp1185 +a(g701 +Vnot +p1186 +tp1187 +a(g701 +g964 +tp1188 +a(g701 +Vcase +p1189 +tp1190 +a(g701 +g964 +tp1191 +a(g701 +Vsensitive. +p1192 +tp1193 +a(g701 +V\u000a +p1194 +tp1195 +a(g900 +V\u000a +p1196 +tp1197 +a(g867 +Vok +p1198 +tp1199 +a(g900 +g964 +tp1200 +a(g290 +V7 +p1201 +tp1202 +a(g900 +g964 +tp1203 +a(g900 +g974 +tp1204 +a(g900 +g964 +tp1205 +a(g900 +Vline +p1206 +tp1207 +a(g900 +g964 +tp1208 +a(g900 +Vthat +p1209 +tp1210 +a(g900 +g964 +tp1211 +a(g900 +Vis +p1212 +tp1213 +a(g900 +g964 +tp1214 +a(g900 +g989 +tp1215 +a(g900 +g964 +tp1216 +a(g701 +g1092 +tp1217 +a(g701 +g964 +tp1218 +a(g730 +VSKIP +p1219 +tp1220 +a(g701 +V\u000a +p1221 +tp1222 +a(g867 +Vok +p1223 +tp1224 +a(g900 +g964 +tp1225 +a(g290 +V8 +p1226 +tp1227 +a(g900 +g964 +tp1228 +a(g900 +VTests +p1229 +tp1230 +a(g900 +g964 +tp1231 +a(g900 +Vcan +p1232 +tp1233 +a(g900 +g964 +tp1234 +a(g900 +Vbe +p1235 +tp1236 +a(g900 +g964 +tp1237 +a(g701 +g1092 +tp1238 +a(g701 +g964 +tp1239 +a(g730 +Vskipped +p1240 +tp1241 +a(g701 +g964 +tp1242 +a(g701 +Vas +p1243 +tp1244 +a(g701 +g964 +tp1245 +a(g701 +Vlong +p1246 +tp1247 +a(g701 +g964 +tp1248 +a(g701 +Vas +p1249 +tp1250 +a(g701 +g964 +tp1251 +a(g701 +Vthe +p1252 +tp1253 +a(g701 +g964 +tp1254 +a(g701 +Vdirective +p1255 +tp1256 +a(g701 +g964 +tp1257 +a(g701 +Vhas +p1258 +tp1259 +a(g701 +g964 +tp1260 +a(g701 +Vthe +p1261 +tp1262 +a(g701 +g964 +tp1263 +a(g701 +V"skip" +p1264 +tp1265 +a(g701 +g964 +tp1266 +a(g701 +Vstem. +p1267 +tp1268 +a(g701 +V\u000a +p1269 +tp1270 +a(g867 +Vok +p1271 +tp1272 +a(g900 +g964 +tp1273 +a(g290 +V9 +p1274 +tp1275 +a(g900 +g964 +tp1276 +a(g900 +VThe +p1277 +tp1278 +a(g900 +g964 +tp1279 +a(g900 +VTODO +p1280 +tp1281 +a(g900 +g964 +tp1282 +a(g900 +Vdirective +p1283 +tp1284 +a(g900 +g964 +tp1285 +a(g900 +Vmust +p1286 +tp1287 +a(g900 +g964 +tp1288 +a(g900 +Vbe +p1289 +tp1290 +a(g900 +g964 +tp1291 +a(g900 +Vfollowed +p1292 +tp1293 +a(g900 +g964 +tp1294 +a(g900 +Vby +p1295 +tp1296 +a(g900 +g964 +tp1297 +a(g900 +g989 +tp1298 +a(g900 +g964 +tp1299 +a(g900 +Vspace, +p1300 +tp1301 +a(g900 +g964 +tp1302 +a(g900 +Vbut +p1303 +tp1304 +a(g900 +g964 +tp1305 +a(g701 +g1092 +tp1306 +a(g701 +g964 +tp1307 +a(g730 +Vskip: +p1308 +tp1309 +a(g701 +g964 +tp1310 +a(g701 +Vis +p1311 +tp1312 +a(g701 +g964 +tp1313 +a(g701 +Vvalid. +p1314 +tp1315 +a(g701 +V\u000a +p1316 +tp1317 +a(g883 +V1..0 +p1318 +tp1319 +a(g900 +g964 +tp1320 +a(g701 +g1092 +tp1321 +a(g701 +g964 +tp1322 +a(g730 +VSkipped +p1323 +tp1324 +a(g701 +g964 +tp1325 +a(g701 +Vdirectives +p1326 +tp1327 +a(g701 +g964 +tp1328 +a(g701 +Vcan +p1329 +tp1330 +a(g701 +g964 +tp1331 +a(g701 +Vshow +p1332 +tp1333 +a(g701 +g964 +tp1334 +a(g701 +Von +p1335 +tp1336 +a(g701 +g964 +tp1337 +a(g701 +g989 +tp1338 +a(g701 +g964 +tp1339 +a(g701 +Vplan +p1340 +tp1341 +a(g701 +g964 +tp1342 +a(g701 +Vline +p1343 +tp1344 +a(g701 +g964 +tp1345 +a(g701 +Vtoo. +p1346 +tp1347 +a(g701 +V\u000a +p1348 +tp1349 +a(g900 +V\u000a +p1350 +tp1351 +a(g636 +VBail out! is a special phrase emitted when a TAP file aborted.\u000a +p1352 +tp1353 +a(g900 +V\u000a +p1354 +tp1355 +a(g636 +Vnot ok +p1356 +tp1357 +a(g900 +g964 +tp1358 +a(g290 +V10 +p1359 +tp1360 +a(g900 +g964 +tp1361 +a(g900 +VHaving +p1362 +tp1363 +a(g900 +g964 +tp1364 +a(g900 +VTAP +p1365 +tp1366 +a(g900 +g964 +tp1367 +a(g900 +Vversion +p1368 +tp1369 +a(g900 +g964 +tp1370 +a(g900 +V13 +p1371 +tp1372 +a(g900 +g964 +tp1373 +a(g900 +Vin +p1374 +tp1375 +a(g900 +g964 +tp1376 +a(g900 +Vthe +p1377 +tp1378 +a(g900 +g964 +tp1379 +a(g900 +Vmiddle +p1380 +tp1381 +a(g900 +g964 +tp1382 +a(g900 +Vof +p1383 +tp1384 +a(g900 +g964 +tp1385 +a(g900 +g989 +tp1386 +a(g900 +g964 +tp1387 +a(g900 +Vline +p1388 +tp1389 +a(g900 +g964 +tp1390 +a(g900 +Vis +p1391 +tp1392 +a(g900 +g964 +tp1393 +a(g900 +Vnot +p1394 +tp1395 +a(g900 +g964 +tp1396 +a(g900 +g989 +tp1397 +a(g900 +g964 +tp1398 +a(g900 +VTAP +p1399 +tp1400 +a(g900 +g964 +tp1401 +a(g900 +Vversion. +p1402 +tp1403 +a(g900 +V\u000a +p1404 +tp1405 +a(g636 +Vnot ok +p1406 +tp1407 +a(g900 +g964 +tp1408 +a(g290 +V11 +p1409 +tp1410 +a(g900 +g964 +tp1411 +a(g900 +VHaving +p1412 +tp1413 +a(g900 +g964 +tp1414 +a(g900 +VBail +p1415 +tp1416 +a(g900 +g964 +tp1417 +a(g900 +Vout! +p1418 +tp1419 +a(g900 +g964 +tp1420 +a(g900 +Vin +p1421 +tp1422 +a(g900 +g964 +tp1423 +a(g900 +Vthe +p1424 +tp1425 +a(g900 +g964 +tp1426 +a(g900 +Vmiddle +p1427 +tp1428 +a(g900 +g964 +tp1429 +a(g900 +Vof +p1430 +tp1431 +a(g900 +g964 +tp1432 +a(g900 +g989 +tp1433 +a(g900 +g964 +tp1434 +a(g900 +Vline +p1435 +tp1436 +a(g900 +g964 +tp1437 +a(g900 +Vis +p1438 +tp1439 +a(g900 +g964 +tp1440 +a(g900 +Vnot +p1441 +tp1442 +a(g900 +g964 +tp1443 +a(g900 +g989 +tp1444 +a(g900 +g964 +tp1445 +a(g900 +Vbail +p1446 +tp1447 +a(g900 +g964 +tp1448 +a(g900 +Vout. +p1449 +tp1450 +a(g900 +V\u000a +p1451 +tp1452 +a(g900 +V\u000a +p1453 +tp1454 +a(g867 +Vok +p1455 +tp1456 +a(g900 +g964 +tp1457 +a(g290 +V12 +p1458 +tp1459 +a(g900 +g964 +tp1460 +a(g900 +VHere +p1461 +tp1462 +a(g900 +g964 +tp1463 +a(g900 +Vis +p1464 +tp1465 +a(g900 +g964 +tp1466 +a(g900 +Van +p1467 +tp1468 +a(g900 +g964 +tp1469 +a(g900 +Vempty +p1470 +tp1471 +a(g900 +g964 +tp1472 +a(g900 +Vdirective. +p1473 +tp1474 +a(g900 +g964 +tp1475 +a(g701 +g1092 +tp1476 +a(g701 +V\u000a +p1477 +tp1478 +a(g900 +V\u000a +p1479 +tp1480 +a(g701 +V# The most basic valid test lines.\u000a +p1481 +tp1482 +a(g867 +Vok +p1483 +tp1484 +a(g900 +V\u000a +p1485 +tp1486 +a(g636 +Vnot ok +p1487 +tp1488 +a(g900 +V\u000a +p1489 +tp1490 +a(g900 +V\u000a +p1491 +tp1492 +a(g867 +Vok +p1493 +tp1494 +a(g900 +g964 +tp1495 +a(g290 +V15 +p1496 +tp1497 +a(g900 +g964 +tp1498 +a(g900 +VOnly +p1499 +tp1500 +a(g900 +g964 +tp1501 +a(g900 +Vthe +p1502 +tp1503 +a(g900 +g964 +tp1504 +a(g900 +Vtest +p1505 +tp1506 +a(g900 +g964 +tp1507 +a(g900 +Vnumber +p1508 +tp1509 +a(g900 +g964 +tp1510 +a(g900 +Vshould +p1511 +tp1512 +a(g900 +g964 +tp1513 +a(g900 +Vlook +p1514 +tp1515 +a(g900 +g964 +tp1516 +a(g900 +Vdifferent. +p1517 +tp1518 +a(g900 +g964 +tp1519 +a(g900 +VNot +p1520 +tp1521 +a(g900 +g964 +tp1522 +a(g900 +Vanother +p1523 +tp1524 +a(g900 +g964 +tp1525 +a(g900 +V42, +p1526 +tp1527 +a(g900 +g964 +tp1528 +a(g900 +Vfor +p1529 +tp1530 +a(g900 +g964 +tp1531 +a(g900 +Vexample. +p1532 +tp1533 +a(g900 +V\u000a +p1534 +tp1535 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.tasm b/tests/examplefiles/output/example.tasm new file mode 100644 index 0000000..ee655db --- /dev/null +++ b/tests/examplefiles/output/example.tasm @@ -0,0 +1,13435 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;----------------------------------------------------------------------------; +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V; Does A* pathfinding for rockraiders and vehicles +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V; +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V; Copyright 2015 Ruben De Smet +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +g964 +tp972 +a(g826 +V\u000a +p973 +tp974 +a(g8 +V; Redistribution and use in source and binary forms, with or without +p975 +tp976 +a(g826 +V\u000a +p977 +tp978 +a(g8 +V; modification, are permitted provided that the following conditions are +p979 +tp980 +a(g826 +V\u000a +p981 +tp982 +a(g8 +V; met: +p983 +tp984 +a(g826 +V\u000a +p985 +tp986 +a(g8 +V; +p987 +tp988 +a(g826 +V\u000a +p989 +tp990 +a(g8 +V; (1) Redistributions of source code must retain the above copyright +p991 +tp992 +a(g826 +V\u000a +p993 +tp994 +a(g8 +V; notice, this list of conditions and the following disclaimer. +p995 +tp996 +a(g826 +V\u000a +p997 +tp998 +a(g8 +V; +p999 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g8 +V; (2) Redistributions in binary form must reproduce the above copyright +p1003 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g8 +V; notice, this list of conditions and the following disclaimer in +p1007 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g8 +V; the documentation and/or other materials provided with the +p1011 +tp1012 +a(g826 +V\u000a +p1013 +tp1014 +a(g8 +V; distribution. +p1015 +tp1016 +a(g826 +V\u000a +p1017 +tp1018 +a(g8 +V; +p1019 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g8 +V; (3) The name of the author may not be used to +p1023 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g8 +V; endorse or promote products derived from this software without +p1027 +tp1028 +a(g826 +V\u000a +p1029 +tp1030 +a(g8 +V; specific prior written permission. +p1031 +tp1032 +a(g826 +V\u000a +p1033 +tp1034 +a(g8 +V; +p1035 +tp1036 +a(g826 +V\u000a +p1037 +tp1038 +a(g8 +V; THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR +p1039 +tp1040 +a(g826 +V\u000a +p1041 +tp1042 +a(g8 +V; IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED +p1043 +tp1044 +a(g826 +V\u000a +p1045 +tp1046 +a(g8 +V; WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g8 +V; DISCLAIMED. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, +p1051 +tp1052 +a(g826 +V\u000a +p1053 +tp1054 +a(g8 +V; INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES +p1055 +tp1056 +a(g826 +V\u000a +p1057 +tp1058 +a(g8 +V; (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +p1059 +tp1060 +a(g826 +V\u000a +p1061 +tp1062 +a(g8 +V; SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) +p1063 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g8 +V; HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g8 +V; STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING +p1071 +tp1072 +a(g826 +V\u000a +p1073 +tp1074 +a(g8 +V; IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +p1075 +tp1076 +a(g826 +V\u000a +p1077 +tp1078 +a(g8 +V; POSSIBILITY OF SUCH DAMAGE. +p1079 +tp1080 +a(g826 +V\u000a +p1081 +tp1082 +a(g8 +g964 +tp1083 +a(g826 +V\u000a +p1084 +tp1085 +a(g8 +V;----------------------------------------------------------------------------; +p1086 +tp1087 +a(g826 +V\u000a +p1088 +tp1089 +a(g826 +V\u000a +p1090 +tp1091 +a(g744 +VIDEAL +p1092 +tp1093 +a(g826 +V\u000a +p1094 +tp1095 +a(g744 +VP386 +p1096 +tp1097 +a(g826 +V\u000a +p1098 +tp1099 +a(g744 +VMODEL +p1100 +tp1101 +a(g826 +V +p1102 +tp1103 +a(g440 +VFLAT +p1104 +tp1105 +a(g705 +V, +p1106 +tp1107 +a(g826 +g1102 +tp1108 +a(g440 +VC +p1109 +tp1110 +a(g826 +V\u000a +p1111 +tp1112 +a(g744 +VASSUME +p1113 +tp1114 +a(g826 +g1102 +tp1115 +a(g681 +Vcs +p1116 +tp1117 +a(g705 +V: +p1118 +tp1119 +a(g440 +V_TEXT +p1120 +tp1121 +a(g705 +g1106 +tp1122 +a(g681 +Vds +p1123 +tp1124 +a(g705 +g1118 +tp1125 +a(g440 +VFLAT +p1126 +tp1127 +a(g705 +g1106 +tp1128 +a(g681 +Ves +p1129 +tp1130 +a(g705 +g1118 +tp1131 +a(g440 +VFLAT +p1132 +tp1133 +a(g705 +g1106 +tp1134 +a(g681 +Vfs +p1135 +tp1136 +a(g705 +g1118 +tp1137 +a(g440 +VFLAT +p1138 +tp1139 +a(g705 +g1106 +tp1140 +a(g681 +Vgs +p1141 +tp1142 +a(g705 +g1118 +tp1143 +a(g440 +VFLAT +p1144 +tp1145 +a(g826 +V\u000a\u000a +p1146 +tp1147 +a(g744 +VINCLUDE +p1148 +tp1149 +a(g826 +g1102 +tp1150 +a(g89 +V"ASTAR.INC" +p1151 +tp1152 +a(g826 +V\u000a +p1153 +tp1154 +a(g744 +VINCLUDE +p1155 +tp1156 +a(g826 +g1102 +tp1157 +a(g89 +V"READLVL.INC" +p1158 +tp1159 +a(g826 +V\u000a +p1160 +tp1161 +a(g744 +VINCLUDE +p1162 +tp1163 +a(g826 +g1102 +tp1164 +a(g89 +V"DEBUG.INC" +p1165 +tp1166 +a(g826 +V\u000a\u000a +p1167 +tp1168 +a(g744 +VSTRUC +p1169 +tp1170 +a(g826 +g1102 +tp1171 +a(g440 +VTPriorityField +p1172 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g826 +V +p1176 +tp1177 +a(g565 +Vheuristic +p1178 +tp1179 +a(g809 +g1102 +tp1180 +a(g809 +Vdd +p1181 +tp1182 +a(g826 +g1102 +tp1183 +a(g440 +V? +p1184 +tp1185 +a(g826 +V\u000a +p1186 +tp1187 +a(g826 +V +p1188 +tp1189 +a(g565 +Vdistance +p1190 +tp1191 +a(g809 +g1102 +tp1192 +a(g809 +Vdd +p1193 +tp1194 +a(g826 +g1102 +tp1195 +a(g440 +g1184 +tp1196 +a(g826 +V\u000a +p1197 +tp1198 +a(g826 +V +p1199 +tp1200 +a(g565 +Vx +p1201 +tp1202 +a(g809 +g1102 +tp1203 +a(g809 +Vdb +p1204 +tp1205 +a(g826 +g1102 +tp1206 +a(g440 +g1184 +tp1207 +a(g826 +V\u000a +p1208 +tp1209 +a(g826 +V +p1210 +tp1211 +a(g565 +Vy +p1212 +tp1213 +a(g809 +g1102 +tp1214 +a(g809 +Vdb +p1215 +tp1216 +a(g826 +g1102 +tp1217 +a(g440 +g1184 +tp1218 +a(g826 +V\u000a +p1219 +tp1220 +a(g826 +V +p1221 +tp1222 +a(g565 +Vfromx +p1223 +tp1224 +a(g809 +g1102 +tp1225 +a(g809 +Vdb +p1226 +tp1227 +a(g826 +g1102 +tp1228 +a(g440 +g1184 +tp1229 +a(g826 +V\u000a +p1230 +tp1231 +a(g826 +V +p1232 +tp1233 +a(g565 +Vfromy +p1234 +tp1235 +a(g809 +g1102 +tp1236 +a(g809 +Vdb +p1237 +tp1238 +a(g826 +g1102 +tp1239 +a(g440 +g1184 +tp1240 +a(g826 +V\u000a +p1241 +tp1242 +a(g744 +VENDS +p1243 +tp1244 +a(g826 +V\u000a\u000a +p1245 +tp1246 +a(g744 +VSTRUC +p1247 +tp1248 +a(g826 +g1102 +tp1249 +a(g440 +VTField +p1250 +tp1251 +a(g826 +V\u000a +p1252 +tp1253 +a(g826 +V +p1254 +tp1255 +a(g565 +Vdistance +p1256 +tp1257 +a(g809 +g1102 +tp1258 +a(g809 +Vdd +p1259 +tp1260 +a(g826 +g1102 +tp1261 +a(g440 +g1184 +tp1262 +a(g826 +V\u000a +p1263 +tp1264 +a(g826 +V +p1265 +tp1266 +a(g565 +g1201 +tp1267 +a(g809 +g1102 +tp1268 +a(g809 +Vdb +p1269 +tp1270 +a(g826 +g1102 +tp1271 +a(g440 +g1184 +tp1272 +a(g826 +V\u000a +p1273 +tp1274 +a(g826 +V +p1275 +tp1276 +a(g565 +g1212 +tp1277 +a(g809 +g1102 +tp1278 +a(g809 +Vdb +p1279 +tp1280 +a(g826 +g1102 +tp1281 +a(g440 +g1184 +tp1282 +a(g826 +V\u000a +p1283 +tp1284 +a(g744 +VENDS +p1285 +tp1286 +a(g826 +V\u000a\u000a +p1287 +tp1288 +a(g744 +VCODESEG +p1289 +tp1290 +a(g826 +g1102 +tp1291 +a(g826 +V\u000a\u000a +p1292 +tp1293 +a(g744 +VPROC +p1294 +tp1295 +a(g826 +g1102 +tp1296 +a(g440 +VgetPath +p1297 +tp1298 +a(g826 +V\u000a +p1299 +tp1300 +a(g826 +V +p1301 +tp1302 +a(g744 +VUSES +p1303 +tp1304 +a(g826 +g1102 +tp1305 +a(g681 +Vecx +p1306 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g826 +V +p1310 +tp1311 +a(g744 +VARG +p1312 +tp1313 +a(g826 +g1102 +tp1314 +a(g440 +V@@tgtx +p1315 +tp1316 +a(g705 +g1118 +tp1317 +a(g748 +Vdword +p1318 +tp1319 +a(g705 +g1106 +tp1320 +a(g826 +g1102 +tp1321 +a(g826 +V\u005c\u000a +p1322 +tp1323 +a(g826 +V +p1324 +tp1325 +a(g440 +V@@tgty +p1326 +tp1327 +a(g705 +g1118 +tp1328 +a(g748 +Vdword +p1329 +tp1330 +a(g826 +g1102 +tp1331 +a(g826 +V\u005c\u000a +p1332 +tp1333 +a(g826 +V +p1334 +tp1335 +a(g440 +VRETURNS +p1336 +tp1337 +a(g826 +g1102 +tp1338 +a(g681 +Veax +p1339 +tp1340 +a(g705 +g1106 +tp1341 +a(g826 +g1102 +tp1342 +a(g681 +Vebx +p1343 +tp1344 +a(g826 +g1102 +tp1345 +a(g8 +V; eax contains x, ebx contains y +p1346 +tp1347 +a(g826 +V\u000a\u000a +p1348 +tp1349 +a(g826 +V +p1350 +tp1351 +a(g573 +Vcall +p1352 +tp1353 +a(g826 +g1102 +tp1354 +a(g440 +VgetLevelWidth +p1355 +tp1356 +a(g826 +V\u000a +p1357 +tp1358 +a(g826 +V +p1359 +tp1360 +a(g573 +Vimul +p1361 +tp1362 +a(g826 +g1102 +tp1363 +a(g681 +Veax +p1364 +tp1365 +a(g705 +g1106 +tp1366 +a(g826 +g1102 +tp1367 +a(g705 +V[ +p1368 +tp1369 +a(g440 +V@@tgty +p1370 +tp1371 +a(g705 +V] +p1372 +tp1373 +a(g826 +V\u000a +p1374 +tp1375 +a(g826 +V +p1376 +tp1377 +a(g573 +Vadd +p1378 +tp1379 +a(g826 +g1102 +tp1380 +a(g681 +Veax +p1381 +tp1382 +a(g705 +g1106 +tp1383 +a(g826 +g1102 +tp1384 +a(g705 +g1368 +tp1385 +a(g440 +V@@tgtx +p1386 +tp1387 +a(g705 +g1372 +tp1388 +a(g826 +V\u000a +p1389 +tp1390 +a(g826 +V +p1391 +tp1392 +a(g573 +Vimul +p1393 +tp1394 +a(g826 +g1102 +tp1395 +a(g681 +Veax +p1396 +tp1397 +a(g705 +g1106 +tp1398 +a(g826 +g1102 +tp1399 +a(g681 +VSI +p1400 +tp1401 +a(g440 +VZE +p1402 +tp1403 +a(g826 +g1102 +tp1404 +a(g440 +VTField +p1405 +tp1406 +a(g826 +V\u000a +p1407 +tp1408 +a(g826 +V +p1409 +tp1410 +a(g573 +Vadd +p1411 +tp1412 +a(g826 +g1102 +tp1413 +a(g681 +Veax +p1414 +tp1415 +a(g705 +g1106 +tp1416 +a(g826 +g1102 +tp1417 +a(g440 +Voffset +p1418 +tp1419 +a(g826 +g1102 +tp1420 +a(g440 +VbacktraceGraph +p1421 +tp1422 +a(g826 +V +p1423 +tp1424 +a(g826 +V\u000a +p1425 +tp1426 +a(g826 +V +p1427 +tp1428 +a(g573 +Vmov +p1429 +tp1430 +a(g826 +g1102 +tp1431 +a(g681 +Vecx +p1432 +tp1433 +a(g705 +g1106 +tp1434 +a(g826 +g1102 +tp1435 +a(g681 +Veax +p1436 +tp1437 +a(g826 +V\u000a\u000a +p1438 +tp1439 +a(g826 +V +p1440 +tp1441 +a(g573 +Vxor +p1442 +tp1443 +a(g826 +g1102 +tp1444 +a(g681 +Veax +p1445 +tp1446 +a(g705 +g1106 +tp1447 +a(g826 +g1102 +tp1448 +a(g681 +Veax +p1449 +tp1450 +a(g826 +V\u000a +p1451 +tp1452 +a(g826 +V +p1453 +tp1454 +a(g573 +Vxor +p1455 +tp1456 +a(g826 +g1102 +tp1457 +a(g681 +Vebx +p1458 +tp1459 +a(g705 +g1106 +tp1460 +a(g826 +g1102 +tp1461 +a(g681 +Vebx +p1462 +tp1463 +a(g826 +V\u000a\u000a +p1464 +tp1465 +a(g826 +V +p1466 +tp1467 +a(g573 +Vmov +p1468 +tp1469 +a(g826 +g1102 +tp1470 +a(g681 +Val +p1471 +tp1472 +a(g705 +g1106 +tp1473 +a(g826 +g1102 +tp1474 +a(g705 +V[( +p1475 +tp1476 +a(g440 +VTField +p1477 +tp1478 +a(g826 +g1102 +tp1479 +a(g440 +Vptr +p1480 +tp1481 +a(g826 +g1102 +tp1482 +a(g681 +Vecx +p1483 +tp1484 +a(g705 +V) +p1485 +tp1486 +a(g440 +V.x +p1487 +tp1488 +a(g705 +g1372 +tp1489 +a(g826 +V\u000a +p1490 +tp1491 +a(g826 +V +p1492 +tp1493 +a(g573 +Vmov +p1494 +tp1495 +a(g826 +g1102 +tp1496 +a(g681 +Vbl +p1497 +tp1498 +a(g705 +g1106 +tp1499 +a(g826 +g1102 +tp1500 +a(g705 +V[( +p1501 +tp1502 +a(g440 +VTField +p1503 +tp1504 +a(g826 +g1102 +tp1505 +a(g440 +Vptr +p1506 +tp1507 +a(g826 +g1102 +tp1508 +a(g681 +Vecx +p1509 +tp1510 +a(g705 +g1485 +tp1511 +a(g440 +V.y +p1512 +tp1513 +a(g705 +g1372 +tp1514 +a(g826 +V\u000a\u000a +p1515 +tp1516 +a(g826 +V +p1517 +tp1518 +a(g573 +Vret +p1519 +tp1520 +a(g826 +V\u000a +p1521 +tp1522 +a(g744 +VENDP +p1523 +tp1524 +a(g826 +g1102 +tp1525 +a(g440 +VgetPath +p1526 +tp1527 +a(g826 +V\u000a\u000a +p1528 +tp1529 +a(g744 +VPROC +p1530 +tp1531 +a(g826 +g1102 +tp1532 +a(g440 +VfindPath +p1533 +tp1534 +a(g826 +V\u000a +p1535 +tp1536 +a(g826 +V +p1537 +tp1538 +a(g8 +V; eax will contain a 1 when a path has been found +p1539 +tp1540 +a(g826 +V\u000a +p1541 +tp1542 +a(g826 +V +p1543 +tp1544 +a(g8 +V; 0 otherwise. +p1545 +tp1546 +a(g826 +V\u000a +p1547 +tp1548 +a(g826 +V +p1549 +tp1550 +a(g744 +VARG +p1551 +tp1552 +a(g826 +g1102 +tp1553 +a(g440 +V@@srcx +p1554 +tp1555 +a(g705 +g1118 +tp1556 +a(g748 +Vdword +p1557 +tp1558 +a(g705 +g1106 +tp1559 +a(g826 +g1102 +tp1560 +a(g826 +V\u005c\u000a +p1561 +tp1562 +a(g826 +V +p1563 +tp1564 +a(g440 +V@@srcy +p1565 +tp1566 +a(g705 +g1118 +tp1567 +a(g748 +Vdword +p1568 +tp1569 +a(g705 +g1106 +tp1570 +a(g826 +g1102 +tp1571 +a(g826 +V\u005c\u000a +p1572 +tp1573 +a(g826 +V +p1574 +tp1575 +a(g440 +V@@tgtx +p1576 +tp1577 +a(g705 +g1118 +tp1578 +a(g748 +Vdword +p1579 +tp1580 +a(g705 +g1106 +tp1581 +a(g826 +g1102 +tp1582 +a(g826 +V\u005c\u000a +p1583 +tp1584 +a(g826 +V +p1585 +tp1586 +a(g440 +V@@tgty +p1587 +tp1588 +a(g705 +g1118 +tp1589 +a(g748 +Vdword +p1590 +tp1591 +a(g705 +g1106 +tp1592 +a(g826 +g1102 +tp1593 +a(g826 +V\u005c\u000a +p1594 +tp1595 +a(g826 +V +p1596 +tp1597 +a(g440 +V@@type +p1598 +tp1599 +a(g705 +g1118 +tp1600 +a(g748 +Vdword +p1601 +tp1602 +a(g826 +g1102 +tp1603 +a(g826 +V\u005c\u000a +p1604 +tp1605 +a(g826 +V +p1606 +tp1607 +a(g440 +VRETURNS +p1608 +tp1609 +a(g826 +g1102 +tp1610 +a(g681 +Veax +p1611 +tp1612 +a(g826 +V\u000a\u000a +p1613 +tp1614 +a(g826 +V +p1615 +tp1616 +a(g8 +V; Check whether the target field is "allowed" for +p1617 +tp1618 +a(g826 +V\u000a +p1619 +tp1620 +a(g826 +V +p1621 +tp1622 +a(g8 +V; the selected vehicle or rock raider +p1623 +tp1624 +a(g826 +V\u000a +p1625 +tp1626 +a(g826 +V +p1627 +tp1628 +a(g573 +Vcall +p1629 +tp1630 +a(g826 +g1102 +tp1631 +a(g440 +VgetField +p1632 +tp1633 +a(g705 +g1106 +tp1634 +a(g826 +g1102 +tp1635 +a(g705 +g1368 +tp1636 +a(g440 +V@@tgtx +p1637 +tp1638 +a(g705 +V], +p1639 +tp1640 +a(g826 +g1102 +tp1641 +a(g705 +g1368 +tp1642 +a(g440 +V@@tgty +p1643 +tp1644 +a(g705 +g1372 +tp1645 +a(g826 +V\u000a +p1646 +tp1647 +a(g826 +V +p1648 +tp1649 +a(g573 +Vmov +p1650 +tp1651 +a(g826 +g1102 +tp1652 +a(g681 +Val +p1653 +tp1654 +a(g705 +g1106 +tp1655 +a(g826 +g1102 +tp1656 +a(g705 +g1368 +tp1657 +a(g748 +Vbyte +p1658 +tp1659 +a(g826 +g1102 +tp1660 +a(g440 +Vptr +p1661 +tp1662 +a(g826 +g1102 +tp1663 +a(g681 +Veax +p1664 +tp1665 +a(g705 +g1372 +tp1666 +a(g826 +V\u000a +p1667 +tp1668 +a(g826 +V +p1669 +tp1670 +a(g573 +Vand +p1671 +tp1672 +a(g826 +g1102 +tp1673 +a(g681 +Veax +p1674 +tp1675 +a(g705 +g1106 +tp1676 +a(g826 +g1102 +tp1677 +a(g297 +V0FFh +p1678 +tp1679 +a(g826 +V\u000a\u000a +p1680 +tp1681 +a(g826 +V +p1682 +tp1683 +a(g573 +Vadd +p1684 +tp1685 +a(g826 +g1102 +tp1686 +a(g681 +Veax +p1687 +tp1688 +a(g705 +g1106 +tp1689 +a(g826 +g1102 +tp1690 +a(g440 +Voffset +p1691 +tp1692 +a(g826 +g1102 +tp1693 +a(g440 +VactionTable +p1694 +tp1695 +a(g826 +V\u000a +p1696 +tp1697 +a(g826 +V +p1698 +tp1699 +a(g573 +Vmov +p1700 +tp1701 +a(g826 +g1102 +tp1702 +a(g681 +Veax +p1703 +tp1704 +a(g705 +g1106 +tp1705 +a(g826 +g1102 +tp1706 +a(g705 +g1368 +tp1707 +a(g681 +Veax +p1708 +tp1709 +a(g705 +g1372 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g826 +V +p1713 +tp1714 +a(g573 +Vand +p1715 +tp1716 +a(g826 +g1102 +tp1717 +a(g681 +Veax +p1718 +tp1719 +a(g705 +g1106 +tp1720 +a(g826 +g1102 +tp1721 +a(g705 +g1368 +tp1722 +a(g440 +V@@type +p1723 +tp1724 +a(g705 +g1372 +tp1725 +a(g826 +V +p1726 +tp1727 +a(g8 +V; TODO: for now, rock raider is hard coded +p1728 +tp1729 +a(g826 +V\u000a +p1730 +tp1731 +a(g826 +V +p1732 +tp1733 +a(g573 +Vjnz +p1734 +tp1735 +a(g826 +g1102 +tp1736 +a(g440 +V@canGoToTarget +p1737 +tp1738 +a(g826 +V\u000a\u000a +p1739 +tp1740 +a(g826 +V +p1741 +tp1742 +a(g573 +Vmov +p1743 +tp1744 +a(g826 +g1102 +tp1745 +a(g681 +Veax +p1746 +tp1747 +a(g705 +g1106 +tp1748 +a(g826 +g1102 +tp1749 +a(g37 +V0 +p1750 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g826 +V +p1754 +tp1755 +a(g573 +Vret +p1756 +tp1757 +a(g826 +V\u000a +p1758 +tp1759 +a(g596 +V@canGoToTarget: +p1760 +tp1761 +a(g826 +V\u000a +p1762 +tp1763 +a(g826 +V\u000a +p1764 +tp1765 +a(g826 +V +p1766 +tp1767 +a(g573 +Vcall +p1768 +tp1769 +a(g826 +g1102 +tp1770 +a(g681 +Vcl +p1771 +tp1772 +a(g440 +VeanData +p1773 +tp1774 +a(g826 +V\u000a +p1775 +tp1776 +a(g826 +V +p1777 +tp1778 +a(g573 +Vmov +p1779 +tp1780 +a(g826 +g1102 +tp1781 +a(g681 +Veax +p1782 +tp1783 +a(g705 +g1106 +tp1784 +a(g826 +g1102 +tp1785 +a(g705 +g1368 +tp1786 +a(g440 +V@@type +p1787 +tp1788 +a(g705 +g1372 +tp1789 +a(g826 +V\u000a +p1790 +tp1791 +a(g826 +V +p1792 +tp1793 +a(g573 +Vmov +p1794 +tp1795 +a(g826 +g1102 +tp1796 +a(g705 +g1368 +tp1797 +a(g440 +VcurrentType +p1798 +tp1799 +a(g705 +V], +p1800 +tp1801 +a(g826 +g1102 +tp1802 +a(g681 +Veax +p1803 +tp1804 +a(g826 +V\u000a\u000a +p1805 +tp1806 +a(g826 +V +p1807 +tp1808 +a(g573 +Vmov +p1809 +tp1810 +a(g826 +g1102 +tp1811 +a(g681 +Veax +p1812 +tp1813 +a(g705 +g1106 +tp1814 +a(g826 +g1102 +tp1815 +a(g705 +g1368 +tp1816 +a(g440 +V@@srcx +p1817 +tp1818 +a(g705 +g1372 +tp1819 +a(g826 +V\u000a +p1820 +tp1821 +a(g826 +V +p1822 +tp1823 +a(g573 +Vmov +p1824 +tp1825 +a(g826 +g1102 +tp1826 +a(g705 +g1368 +tp1827 +a(g440 +VcurrentOpen.x +p1828 +tp1829 +a(g705 +V], +p1830 +tp1831 +a(g826 +g1102 +tp1832 +a(g681 +Val +p1833 +tp1834 +a(g826 +V\u000a +p1835 +tp1836 +a(g826 +V +p1837 +tp1838 +a(g573 +Vmov +p1839 +tp1840 +a(g826 +g1102 +tp1841 +a(g681 +Veax +p1842 +tp1843 +a(g705 +g1106 +tp1844 +a(g826 +g1102 +tp1845 +a(g705 +g1368 +tp1846 +a(g440 +V@@srcy +p1847 +tp1848 +a(g705 +g1372 +tp1849 +a(g826 +V\u000a +p1850 +tp1851 +a(g826 +V +p1852 +tp1853 +a(g573 +Vmov +p1854 +tp1855 +a(g826 +g1102 +tp1856 +a(g705 +g1368 +tp1857 +a(g440 +VcurrentOpen.y +p1858 +tp1859 +a(g705 +V], +p1860 +tp1861 +a(g826 +g1102 +tp1862 +a(g681 +Val +p1863 +tp1864 +a(g826 +V\u000a\u000a +p1865 +tp1866 +a(g826 +V +p1867 +tp1868 +a(g573 +Vcall +p1869 +tp1870 +a(g826 +g1102 +tp1871 +a(g681 +Vdi +p1872 +tp1873 +a(g440 +Vstance +p1874 +tp1875 +a(g705 +g1106 +tp1876 +a(g826 +g1102 +tp1877 +a(g705 +g1368 +tp1878 +a(g440 +V@@srcx +p1879 +tp1880 +a(g705 +V], +p1881 +tp1882 +a(g826 +g1102 +tp1883 +a(g705 +g1368 +tp1884 +a(g440 +V@@srcy +p1885 +tp1886 +a(g705 +V], +p1887 +tp1888 +a(g826 +g1102 +tp1889 +a(g705 +g1368 +tp1890 +a(g440 +V@@tgtx +p1891 +tp1892 +a(g705 +V], +p1893 +tp1894 +a(g826 +g1102 +tp1895 +a(g705 +g1368 +tp1896 +a(g440 +V@@tgty +p1897 +tp1898 +a(g705 +g1372 +tp1899 +a(g826 +g1102 +tp1900 +a(g826 +V\u000a +p1901 +tp1902 +a(g826 +V +p1903 +tp1904 +a(g8 +V; eax <- distance +p1905 +tp1906 +a(g826 +V\u000a +p1907 +tp1908 +a(g826 +V +p1909 +tp1910 +a(g573 +Vcall +p1911 +tp1912 +a(g826 +g1102 +tp1913 +a(g440 +VaddOpen +p1914 +tp1915 +a(g705 +g1106 +tp1916 +a(g826 +g1102 +tp1917 +a(g705 +g1368 +tp1918 +a(g440 +V@@srcx +p1919 +tp1920 +a(g705 +V], +p1921 +tp1922 +a(g826 +g1102 +tp1923 +a(g705 +g1368 +tp1924 +a(g440 +V@@srcy +p1925 +tp1926 +a(g705 +V], +p1927 +tp1928 +a(g826 +g1102 +tp1929 +a(g681 +Veax +p1930 +tp1931 +a(g705 +g1106 +tp1932 +a(g826 +g1102 +tp1933 +a(g37 +g1750 +tp1934 +a(g826 +V\u000a\u000a +p1935 +tp1936 +a(g596 +V@openListNotEmpty: +p1937 +tp1938 +a(g826 +V\u000a +p1939 +tp1940 +a(g826 +V +p1941 +tp1942 +a(g573 +Vcall +p1943 +tp1944 +a(g826 +g1102 +tp1945 +a(g440 +VpopOpen +p1946 +tp1947 +a(g826 +V\u000a +p1948 +tp1949 +a(g826 +V +p1950 +tp1951 +a(g573 +Vcmp +p1952 +tp1953 +a(g826 +g1102 +tp1954 +a(g681 +Veax +p1955 +tp1956 +a(g705 +g1106 +tp1957 +a(g826 +g1102 +tp1958 +a(g37 +g1750 +tp1959 +a(g826 +V\u000a +p1960 +tp1961 +a(g826 +V +p1962 +tp1963 +a(g573 +Vje +p1964 +tp1965 +a(g826 +g1102 +tp1966 +a(g440 +V@openListEmpty +p1967 +tp1968 +a(g826 +V\u000a\u000a +p1969 +tp1970 +a(g826 +V +p1971 +tp1972 +a(g573 +Vcall +p1973 +tp1974 +a(g826 +g1102 +tp1975 +a(g440 +VaddToMap +p1976 +tp1977 +a(g826 +V\u000a\u000a +p1978 +tp1979 +a(g826 +V +p1980 +tp1981 +a(g573 +Vcall +p1982 +tp1983 +a(g826 +g1102 +tp1984 +a(g440 +VaddClosed +p1985 +tp1986 +a(g826 +V\u000a\u000a +p1987 +tp1988 +a(g826 +V +p1989 +tp1990 +a(g573 +Vmov +p1991 +tp1992 +a(g826 +g1102 +tp1993 +a(g681 +Veax +p1994 +tp1995 +a(g705 +g1106 +tp1996 +a(g826 +g1102 +tp1997 +a(g705 +g1368 +tp1998 +a(g440 +V@@tgtx +p1999 +tp2000 +a(g705 +g1372 +tp2001 +a(g826 +V\u000a +p2002 +tp2003 +a(g826 +V +p2004 +tp2005 +a(g573 +Vcmp +p2006 +tp2007 +a(g826 +g1102 +tp2008 +a(g705 +g1368 +tp2009 +a(g440 +VcurrentOpen.x +p2010 +tp2011 +a(g705 +V], +p2012 +tp2013 +a(g826 +g1102 +tp2014 +a(g681 +Val +p2015 +tp2016 +a(g826 +V\u000a +p2017 +tp2018 +a(g826 +V +p2019 +tp2020 +a(g573 +Vjne +p2021 +tp2022 +a(g826 +g1102 +tp2023 +a(g440 +V@nextOpen +p2024 +tp2025 +a(g826 +V\u000a +p2026 +tp2027 +a(g826 +V +p2028 +tp2029 +a(g573 +Vmov +p2030 +tp2031 +a(g826 +g1102 +tp2032 +a(g681 +Veax +p2033 +tp2034 +a(g705 +g1106 +tp2035 +a(g826 +g1102 +tp2036 +a(g705 +g1368 +tp2037 +a(g440 +V@@tgty +p2038 +tp2039 +a(g705 +g1372 +tp2040 +a(g826 +V\u000a +p2041 +tp2042 +a(g826 +V +p2043 +tp2044 +a(g573 +Vcmp +p2045 +tp2046 +a(g826 +g1102 +tp2047 +a(g705 +g1368 +tp2048 +a(g440 +VcurrentOpen.y +p2049 +tp2050 +a(g705 +V], +p2051 +tp2052 +a(g826 +g1102 +tp2053 +a(g681 +Val +p2054 +tp2055 +a(g826 +V\u000a +p2056 +tp2057 +a(g826 +V +p2058 +tp2059 +a(g573 +Vjne +p2060 +tp2061 +a(g826 +g1102 +tp2062 +a(g440 +V@nextOpen +p2063 +tp2064 +a(g826 +V\u000a\u000a +p2065 +tp2066 +a(g826 +V +p2067 +tp2068 +a(g573 +Vjmp +p2069 +tp2070 +a(g826 +g1102 +tp2071 +a(g440 +V@routeFound +p2072 +tp2073 +a(g826 +V\u000a\u000a +p2074 +tp2075 +a(g826 +V +p2076 +tp2077 +a(g596 +V@nextOpen: +p2078 +tp2079 +a(g826 +V\u000a +p2080 +tp2081 +a(g826 +V +p2082 +tp2083 +a(g573 +Vcall +p2084 +tp2085 +a(g826 +g1102 +tp2086 +a(g440 +VaddNeighbours +p2087 +tp2088 +a(g705 +g1106 +tp2089 +a(g826 +g1102 +tp2090 +a(g705 +g1368 +tp2091 +a(g440 +V@@tgtx +p2092 +tp2093 +a(g705 +V], +p2094 +tp2095 +a(g826 +g1102 +tp2096 +a(g705 +g1368 +tp2097 +a(g440 +V@@tgty +p2098 +tp2099 +a(g705 +g1372 +tp2100 +a(g826 +V\u000a\u000a +p2101 +tp2102 +a(g826 +V +p2103 +tp2104 +a(g573 +Vjmp +p2105 +tp2106 +a(g826 +g1102 +tp2107 +a(g440 +V@openListNotEmpty +p2108 +tp2109 +a(g826 +V\u000a\u000a +p2110 +tp2111 +a(g596 +V@openListEmpty: +p2112 +tp2113 +a(g826 +V\u000a +p2114 +tp2115 +a(g826 +V +p2116 +tp2117 +a(g573 +Vmov +p2118 +tp2119 +a(g826 +g1102 +tp2120 +a(g681 +Veax +p2121 +tp2122 +a(g705 +g1106 +tp2123 +a(g826 +g1102 +tp2124 +a(g37 +g1750 +tp2125 +a(g826 +V\u000a +p2126 +tp2127 +a(g826 +V +p2128 +tp2129 +a(g573 +Vret +p2130 +tp2131 +a(g826 +V\u000a\u000a +p2132 +tp2133 +a(g596 +V@routeFound: +p2134 +tp2135 +a(g826 +V\u000a +p2136 +tp2137 +a(g826 +V +p2138 +tp2139 +a(g573 +Vmov +p2140 +tp2141 +a(g826 +g1102 +tp2142 +a(g681 +Veax +p2143 +tp2144 +a(g705 +g1106 +tp2145 +a(g826 +g1102 +tp2146 +a(g37 +V1 +p2147 +tp2148 +a(g826 +V\u000a +p2149 +tp2150 +a(g826 +V +p2151 +tp2152 +a(g573 +Vret +p2153 +tp2154 +a(g826 +V\u000a +p2155 +tp2156 +a(g744 +VENDP +p2157 +tp2158 +a(g826 +g1102 +tp2159 +a(g440 +VfindPath +p2160 +tp2161 +a(g826 +V\u000a\u000a +p2162 +tp2163 +a(g744 +VPROC +p2164 +tp2165 +a(g826 +g1102 +tp2166 +a(g440 +VaddToMap +p2167 +tp2168 +a(g826 +V\u000a +p2169 +tp2170 +a(g826 +V +p2171 +tp2172 +a(g744 +VUSES +p2173 +tp2174 +a(g826 +g1102 +tp2175 +a(g681 +Veax +p2176 +tp2177 +a(g705 +g1106 +tp2178 +a(g826 +g1102 +tp2179 +a(g681 +Vecx +p2180 +tp2181 +a(g826 +V\u000a\u000a +p2182 +tp2183 +a(g826 +V +p2184 +tp2185 +a(g573 +Vcall +p2186 +tp2187 +a(g826 +g1102 +tp2188 +a(g440 +VgetLevelWidth +p2189 +tp2190 +a(g826 +V\u000a +p2191 +tp2192 +a(g826 +V +p2193 +tp2194 +a(g573 +Vxor +p2195 +tp2196 +a(g826 +g1102 +tp2197 +a(g681 +Vecx +p2198 +tp2199 +a(g705 +g1106 +tp2200 +a(g826 +g1102 +tp2201 +a(g681 +Vecx +p2202 +tp2203 +a(g826 +V\u000a +p2204 +tp2205 +a(g826 +V +p2206 +tp2207 +a(g573 +Vmov +p2208 +tp2209 +a(g826 +g1102 +tp2210 +a(g681 +Vcl +p2211 +tp2212 +a(g705 +g1106 +tp2213 +a(g826 +g1102 +tp2214 +a(g705 +g1368 +tp2215 +a(g440 +VcurrentOpen.y +p2216 +tp2217 +a(g705 +g1372 +tp2218 +a(g826 +V\u000a +p2219 +tp2220 +a(g826 +V +p2221 +tp2222 +a(g573 +Vimul +p2223 +tp2224 +a(g826 +g1102 +tp2225 +a(g681 +Veax +p2226 +tp2227 +a(g705 +g1106 +tp2228 +a(g826 +g1102 +tp2229 +a(g681 +Vecx +p2230 +tp2231 +a(g826 +V\u000a +p2232 +tp2233 +a(g826 +V +p2234 +tp2235 +a(g573 +Vmov +p2236 +tp2237 +a(g826 +g1102 +tp2238 +a(g681 +Vcl +p2239 +tp2240 +a(g705 +g1106 +tp2241 +a(g826 +g1102 +tp2242 +a(g705 +g1368 +tp2243 +a(g440 +VcurrentOpen.x +p2244 +tp2245 +a(g705 +g1372 +tp2246 +a(g826 +V\u000a +p2247 +tp2248 +a(g826 +V +p2249 +tp2250 +a(g573 +Vadd +p2251 +tp2252 +a(g826 +g1102 +tp2253 +a(g681 +Veax +p2254 +tp2255 +a(g705 +g1106 +tp2256 +a(g826 +g1102 +tp2257 +a(g681 +Vecx +p2258 +tp2259 +a(g826 +V\u000a +p2260 +tp2261 +a(g826 +V +p2262 +tp2263 +a(g573 +Vimul +p2264 +tp2265 +a(g826 +g1102 +tp2266 +a(g681 +Veax +p2267 +tp2268 +a(g705 +g1106 +tp2269 +a(g826 +g1102 +tp2270 +a(g681 +VSI +p2271 +tp2272 +a(g440 +VZE +p2273 +tp2274 +a(g826 +g1102 +tp2275 +a(g440 +VTField +p2276 +tp2277 +a(g826 +V\u000a +p2278 +tp2279 +a(g826 +V +p2280 +tp2281 +a(g573 +Vadd +p2282 +tp2283 +a(g826 +g1102 +tp2284 +a(g681 +Veax +p2285 +tp2286 +a(g705 +g1106 +tp2287 +a(g826 +g1102 +tp2288 +a(g440 +Voffset +p2289 +tp2290 +a(g826 +g1102 +tp2291 +a(g440 +VbacktraceGraph +p2292 +tp2293 +a(g826 +V\u000a\u000a +p2294 +tp2295 +a(g826 +V +p2296 +tp2297 +a(g573 +Vmov +p2298 +tp2299 +a(g826 +g1102 +tp2300 +a(g681 +Vecx +p2301 +tp2302 +a(g705 +g1106 +tp2303 +a(g826 +g1102 +tp2304 +a(g705 +g1368 +tp2305 +a(g440 +VcurrentOpen.distance +p2306 +tp2307 +a(g705 +g1372 +tp2308 +a(g826 +V\u000a +p2309 +tp2310 +a(g826 +V +p2311 +tp2312 +a(g573 +Vcmp +p2313 +tp2314 +a(g826 +g1102 +tp2315 +a(g705 +V[( +p2316 +tp2317 +a(g440 +VTField +p2318 +tp2319 +a(g826 +g1102 +tp2320 +a(g440 +Vptr +p2321 +tp2322 +a(g826 +g1102 +tp2323 +a(g681 +Veax +p2324 +tp2325 +a(g705 +g1485 +tp2326 +a(g440 +V.distance +p2327 +tp2328 +a(g705 +V], +p2329 +tp2330 +a(g826 +g1102 +tp2331 +a(g681 +Vecx +p2332 +tp2333 +a(g826 +V\u000a +p2334 +tp2335 +a(g826 +V +p2336 +tp2337 +a(g573 +Vjbe +p2338 +tp2339 +a(g826 +g1102 +tp2340 +a(g440 +V@dontAdd +p2341 +tp2342 +a(g826 +V\u000a\u000a +p2343 +tp2344 +a(g826 +V +p2345 +tp2346 +a(g573 +Vmov +p2347 +tp2348 +a(g826 +g1102 +tp2349 +a(g705 +V[( +p2350 +tp2351 +a(g440 +VTField +p2352 +tp2353 +a(g826 +g1102 +tp2354 +a(g440 +Vptr +p2355 +tp2356 +a(g826 +g1102 +tp2357 +a(g681 +Veax +p2358 +tp2359 +a(g705 +g1485 +tp2360 +a(g440 +V.distance +p2361 +tp2362 +a(g705 +V], +p2363 +tp2364 +a(g826 +g1102 +tp2365 +a(g681 +Vecx +p2366 +tp2367 +a(g826 +V\u000a +p2368 +tp2369 +a(g826 +V +p2370 +tp2371 +a(g573 +Vmov +p2372 +tp2373 +a(g826 +g1102 +tp2374 +a(g681 +Vcl +p2375 +tp2376 +a(g705 +g1106 +tp2377 +a(g826 +g1102 +tp2378 +a(g705 +g1368 +tp2379 +a(g440 +VcurrentOpen.fromx +p2380 +tp2381 +a(g705 +g1372 +tp2382 +a(g826 +V\u000a +p2383 +tp2384 +a(g826 +V +p2385 +tp2386 +a(g573 +Vmov +p2387 +tp2388 +a(g826 +g1102 +tp2389 +a(g705 +V[( +p2390 +tp2391 +a(g440 +VTField +p2392 +tp2393 +a(g826 +g1102 +tp2394 +a(g440 +Vptr +p2395 +tp2396 +a(g826 +g1102 +tp2397 +a(g681 +Veax +p2398 +tp2399 +a(g705 +g1485 +tp2400 +a(g440 +V.x +p2401 +tp2402 +a(g705 +V], +p2403 +tp2404 +a(g826 +g1102 +tp2405 +a(g681 +Vcl +p2406 +tp2407 +a(g826 +V\u000a +p2408 +tp2409 +a(g826 +V +p2410 +tp2411 +a(g573 +Vmov +p2412 +tp2413 +a(g826 +g1102 +tp2414 +a(g681 +Vcl +p2415 +tp2416 +a(g705 +g1106 +tp2417 +a(g826 +g1102 +tp2418 +a(g705 +g1368 +tp2419 +a(g440 +VcurrentOpen.fromy +p2420 +tp2421 +a(g705 +g1372 +tp2422 +a(g826 +V\u000a +p2423 +tp2424 +a(g826 +V +p2425 +tp2426 +a(g573 +Vmov +p2427 +tp2428 +a(g826 +g1102 +tp2429 +a(g705 +V[( +p2430 +tp2431 +a(g440 +VTField +p2432 +tp2433 +a(g826 +g1102 +tp2434 +a(g440 +Vptr +p2435 +tp2436 +a(g826 +g1102 +tp2437 +a(g681 +Veax +p2438 +tp2439 +a(g705 +g1485 +tp2440 +a(g440 +V.y +p2441 +tp2442 +a(g705 +V], +p2443 +tp2444 +a(g826 +g1102 +tp2445 +a(g681 +Vcl +p2446 +tp2447 +a(g826 +V\u000a\u000a +p2448 +tp2449 +a(g596 +V@dontAdd: +p2450 +tp2451 +a(g826 +V\u000a +p2452 +tp2453 +a(g826 +V +p2454 +tp2455 +a(g573 +Vret +p2456 +tp2457 +a(g826 +V\u000a +p2458 +tp2459 +a(g744 +VENDP +p2460 +tp2461 +a(g826 +g1102 +tp2462 +a(g440 +VaddToMap +p2463 +tp2464 +a(g826 +V\u000a\u000a +p2465 +tp2466 +a(g8 +V; Is closed checks whether the field considered is "closed" for being added to the open list. +p2467 +tp2468 +a(g826 +V\u000a +p2469 +tp2470 +a(g8 +V; So, it also checks whether we can go on the selected field. +p2471 +tp2472 +a(g826 +V\u000a +p2473 +tp2474 +a(g744 +VPROC +p2475 +tp2476 +a(g826 +g1102 +tp2477 +a(g440 +VisClosed +p2478 +tp2479 +a(g826 +V\u000a +p2480 +tp2481 +a(g826 +V +p2482 +tp2483 +a(g744 +VUSES +p2484 +tp2485 +a(g826 +g1102 +tp2486 +a(g681 +Vebx +p2487 +tp2488 +a(g705 +g1106 +tp2489 +a(g826 +g1102 +tp2490 +a(g681 +Vecx +p2491 +tp2492 +a(g705 +g1106 +tp2493 +a(g826 +g1102 +tp2494 +a(g681 +Vedx +p2495 +tp2496 +a(g826 +V\u000a +p2497 +tp2498 +a(g826 +V +p2499 +tp2500 +a(g744 +VARG +p2501 +tp2502 +a(g826 +g1102 +tp2503 +a(g440 +V@@x +p2504 +tp2505 +a(g705 +g1118 +tp2506 +a(g748 +Vdword +p2507 +tp2508 +a(g705 +g1106 +tp2509 +a(g826 +g1102 +tp2510 +a(g826 +V\u005c\u000a +p2511 +tp2512 +a(g826 +V +p2513 +tp2514 +a(g440 +V@@y +p2515 +tp2516 +a(g705 +g1118 +tp2517 +a(g748 +Vdword +p2518 +tp2519 +a(g826 +g1102 +tp2520 +a(g440 +VRETURNS +p2521 +tp2522 +a(g826 +g1102 +tp2523 +a(g681 +Veax +p2524 +tp2525 +a(g826 +V\u000a\u000a +p2526 +tp2527 +a(g826 +V +p2528 +tp2529 +a(g8 +V; Check bounds first: +p2530 +tp2531 +a(g826 +V\u000a +p2532 +tp2533 +a(g826 +V\u000a +p2534 +tp2535 +a(g826 +V +p2536 +tp2537 +a(g573 +Vcall +p2538 +tp2539 +a(g826 +g1102 +tp2540 +a(g440 +VgetLevelWidth +p2541 +tp2542 +a(g826 +V\u000a +p2543 +tp2544 +a(g826 +V +p2545 +tp2546 +a(g573 +Vcmp +p2547 +tp2548 +a(g826 +g1102 +tp2549 +a(g705 +g1368 +tp2550 +a(g440 +V@@x +p2551 +tp2552 +a(g705 +V], +p2553 +tp2554 +a(g826 +g1102 +tp2555 +a(g681 +Veax +p2556 +tp2557 +a(g826 +V\u000a +p2558 +tp2559 +a(g826 +V +p2560 +tp2561 +a(g573 +Vja +p2562 +tp2563 +a(g826 +g1102 +tp2564 +a(g440 +VnotWithinBounds +p2565 +tp2566 +a(g826 +g1102 +tp2567 +a(g8 +V; ja considers -1 > 10 +p2568 +tp2569 +a(g826 +V\u000a\u000a +p2570 +tp2571 +a(g826 +V +p2572 +tp2573 +a(g573 +Vcall +p2574 +tp2575 +a(g826 +g1102 +tp2576 +a(g440 +VgetLevelHeight +p2577 +tp2578 +a(g826 +V\u000a +p2579 +tp2580 +a(g826 +V +p2581 +tp2582 +a(g573 +Vcmp +p2583 +tp2584 +a(g826 +g1102 +tp2585 +a(g705 +g1368 +tp2586 +a(g440 +V@@y +p2587 +tp2588 +a(g705 +V], +p2589 +tp2590 +a(g826 +g1102 +tp2591 +a(g681 +Veax +p2592 +tp2593 +a(g826 +V\u000a +p2594 +tp2595 +a(g826 +V +p2596 +tp2597 +a(g573 +Vja +p2598 +tp2599 +a(g826 +g1102 +tp2600 +a(g440 +VnotWithinBounds +p2601 +tp2602 +a(g826 +V\u000a\u000a +p2603 +tp2604 +a(g826 +V +p2605 +tp2606 +a(g8 +V; Check whether this field is "allowed" for +p2607 +tp2608 +a(g826 +V\u000a +p2609 +tp2610 +a(g826 +V +p2611 +tp2612 +a(g8 +V; the selected vehicle or rock raider +p2613 +tp2614 +a(g826 +V\u000a +p2615 +tp2616 +a(g826 +V +p2617 +tp2618 +a(g573 +Vcall +p2619 +tp2620 +a(g826 +g1102 +tp2621 +a(g440 +VgetField +p2622 +tp2623 +a(g705 +g1106 +tp2624 +a(g826 +g1102 +tp2625 +a(g705 +g1368 +tp2626 +a(g440 +V@@x +p2627 +tp2628 +a(g705 +V], +p2629 +tp2630 +a(g826 +g1102 +tp2631 +a(g705 +g1368 +tp2632 +a(g440 +V@@y +p2633 +tp2634 +a(g705 +g1372 +tp2635 +a(g826 +V\u000a +p2636 +tp2637 +a(g826 +V +p2638 +tp2639 +a(g573 +Vmov +p2640 +tp2641 +a(g826 +g1102 +tp2642 +a(g681 +Val +p2643 +tp2644 +a(g705 +g1106 +tp2645 +a(g826 +g1102 +tp2646 +a(g705 +g1368 +tp2647 +a(g748 +Vbyte +p2648 +tp2649 +a(g826 +g1102 +tp2650 +a(g440 +Vptr +p2651 +tp2652 +a(g826 +g1102 +tp2653 +a(g681 +Veax +p2654 +tp2655 +a(g705 +g1372 +tp2656 +a(g826 +V\u000a +p2657 +tp2658 +a(g826 +V +p2659 +tp2660 +a(g573 +Vand +p2661 +tp2662 +a(g826 +g1102 +tp2663 +a(g681 +Veax +p2664 +tp2665 +a(g705 +g1106 +tp2666 +a(g826 +g1102 +tp2667 +a(g297 +V0FFh +p2668 +tp2669 +a(g826 +V\u000a\u000a +p2670 +tp2671 +a(g826 +V +p2672 +tp2673 +a(g573 +Vadd +p2674 +tp2675 +a(g826 +g1102 +tp2676 +a(g681 +Veax +p2677 +tp2678 +a(g705 +g1106 +tp2679 +a(g826 +g1102 +tp2680 +a(g440 +Voffset +p2681 +tp2682 +a(g826 +g1102 +tp2683 +a(g440 +VactionTable +p2684 +tp2685 +a(g826 +V\u000a +p2686 +tp2687 +a(g826 +V +p2688 +tp2689 +a(g573 +Vmov +p2690 +tp2691 +a(g826 +g1102 +tp2692 +a(g681 +Veax +p2693 +tp2694 +a(g705 +g1106 +tp2695 +a(g826 +g1102 +tp2696 +a(g705 +g1368 +tp2697 +a(g681 +Veax +p2698 +tp2699 +a(g705 +g1372 +tp2700 +a(g826 +V\u000a +p2701 +tp2702 +a(g826 +V +p2703 +tp2704 +a(g573 +Vand +p2705 +tp2706 +a(g826 +g1102 +tp2707 +a(g681 +Veax +p2708 +tp2709 +a(g705 +g1106 +tp2710 +a(g826 +g1102 +tp2711 +a(g705 +g1368 +tp2712 +a(g440 +VcurrentType +p2713 +tp2714 +a(g705 +g1372 +tp2715 +a(g826 +V +p2716 +tp2717 +a(g8 +V; TODO: for now, rock raider is hard coded +p2718 +tp2719 +a(g826 +V\u000a +p2720 +tp2721 +a(g826 +V +p2722 +tp2723 +a(g573 +Vjnz +p2724 +tp2725 +a(g826 +g1102 +tp2726 +a(g440 +V@canGoHere +p2727 +tp2728 +a(g826 +V\u000a\u000a\u000a +p2729 +tp2730 +a(g826 +V +p2731 +tp2732 +a(g573 +Vinc +p2733 +tp2734 +a(g826 +g1102 +tp2735 +a(g681 +Veax +p2736 +tp2737 +a(g826 +g1102 +tp2738 +a(g8 +V; mov eax, 1 +p2739 +tp2740 +a(g826 +V\u000a +p2741 +tp2742 +a(g826 +V +p2743 +tp2744 +a(g573 +Vret +p2745 +tp2746 +a(g826 +V\u000a\u000a +p2747 +tp2748 +a(g596 +V@canGoHere: +p2749 +tp2750 +a(g826 +V\u000a +p2751 +tp2752 +a(g826 +V +p2753 +tp2754 +a(g826 +V\u000a +p2755 +tp2756 +a(g826 +V +p2757 +tp2758 +a(g8 +V; Getting here means the field is okay to walk/fly/whatever on +p2759 +tp2760 +a(g826 +V\u000a +p2761 +tp2762 +a(g826 +V\u000a +p2763 +tp2764 +a(g826 +V +p2765 +tp2766 +a(g573 +Vxor +p2767 +tp2768 +a(g826 +g1102 +tp2769 +a(g681 +Vecx +p2770 +tp2771 +a(g705 +g1106 +tp2772 +a(g826 +g1102 +tp2773 +a(g681 +Vecx +p2774 +tp2775 +a(g826 +V\u000a +p2776 +tp2777 +a(g826 +V +p2778 +tp2779 +a(g573 +Vmov +p2780 +tp2781 +a(g826 +g1102 +tp2782 +a(g681 +Vcx +p2783 +tp2784 +a(g705 +g1106 +tp2785 +a(g826 +g1102 +tp2786 +a(g705 +g1368 +tp2787 +a(g681 +Vcl +p2788 +tp2789 +a(g440 +VosedlistSize +p2790 +tp2791 +a(g705 +g1372 +tp2792 +a(g826 +V\u000a +p2793 +tp2794 +a(g826 +V +p2795 +tp2796 +a(g573 +Vcmp +p2797 +tp2798 +a(g826 +g1102 +tp2799 +a(g681 +Vcx +p2800 +tp2801 +a(g705 +g1106 +tp2802 +a(g826 +g1102 +tp2803 +a(g37 +g1750 +tp2804 +a(g826 +g1102 +tp2805 +a(g8 +V; If empty, return 0 +p2806 +tp2807 +a(g826 +V\u000a +p2808 +tp2809 +a(g826 +V +p2810 +tp2811 +a(g573 +Vjne +p2812 +tp2813 +a(g826 +g1102 +tp2814 +a(g440 +V@closedNotEmpty +p2815 +tp2816 +a(g826 +V\u000a\u000a +p2817 +tp2818 +a(g826 +V +p2819 +tp2820 +a(g573 +Vmov +p2821 +tp2822 +a(g826 +g1102 +tp2823 +a(g681 +Veax +p2824 +tp2825 +a(g705 +g1106 +tp2826 +a(g826 +g1102 +tp2827 +a(g37 +g1750 +tp2828 +a(g826 +V\u000a +p2829 +tp2830 +a(g826 +V +p2831 +tp2832 +a(g573 +Vret +p2833 +tp2834 +a(g826 +V\u000a\u000a +p2835 +tp2836 +a(g596 +V@closedNotEmpty: +p2837 +tp2838 +a(g826 +V\u000a +p2839 +tp2840 +a(g826 +V +p2841 +tp2842 +a(g573 +Vmov +p2843 +tp2844 +a(g826 +g1102 +tp2845 +a(g681 +Vebx +p2846 +tp2847 +a(g705 +g1106 +tp2848 +a(g826 +g1102 +tp2849 +a(g440 +Voffset +p2850 +tp2851 +a(g826 +g1102 +tp2852 +a(g681 +Vcl +p2853 +tp2854 +a(g440 +Vosedlist +p2855 +tp2856 +a(g826 +V\u000a\u000a +p2857 +tp2858 +a(g596 +V@loopClosed: +p2859 +tp2860 +a(g826 +V\u000a +p2861 +tp2862 +a(g826 +V +p2863 +tp2864 +a(g573 +Vmov +p2865 +tp2866 +a(g826 +g1102 +tp2867 +a(g681 +Vedx +p2868 +tp2869 +a(g705 +g1106 +tp2870 +a(g826 +g1102 +tp2871 +a(g705 +g1368 +tp2872 +a(g440 +V@@x +p2873 +tp2874 +a(g705 +g1372 +tp2875 +a(g826 +V\u000a +p2876 +tp2877 +a(g826 +V +p2878 +tp2879 +a(g573 +Vcmp +p2880 +tp2881 +a(g826 +g1102 +tp2882 +a(g705 +V[( +p2883 +tp2884 +a(g440 +VTField +p2885 +tp2886 +a(g826 +g1102 +tp2887 +a(g440 +Vptr +p2888 +tp2889 +a(g826 +g1102 +tp2890 +a(g681 +Vebx +p2891 +tp2892 +a(g705 +g1485 +tp2893 +a(g440 +V.x +p2894 +tp2895 +a(g705 +V], +p2896 +tp2897 +a(g826 +g1102 +tp2898 +a(g681 +Vdl +p2899 +tp2900 +a(g826 +V\u000a +p2901 +tp2902 +a(g826 +V +p2903 +tp2904 +a(g573 +Vjne +p2905 +tp2906 +a(g826 +g1102 +tp2907 +a(g440 +V@nextClosed +p2908 +tp2909 +a(g826 +V\u000a +p2910 +tp2911 +a(g826 +V +p2912 +tp2913 +a(g573 +Vmov +p2914 +tp2915 +a(g826 +g1102 +tp2916 +a(g681 +Vedx +p2917 +tp2918 +a(g705 +g1106 +tp2919 +a(g826 +g1102 +tp2920 +a(g705 +g1368 +tp2921 +a(g440 +V@@y +p2922 +tp2923 +a(g705 +g1372 +tp2924 +a(g826 +V\u000a +p2925 +tp2926 +a(g826 +V +p2927 +tp2928 +a(g573 +Vcmp +p2929 +tp2930 +a(g826 +g1102 +tp2931 +a(g705 +V[( +p2932 +tp2933 +a(g440 +VTField +p2934 +tp2935 +a(g826 +g1102 +tp2936 +a(g440 +Vptr +p2937 +tp2938 +a(g826 +g1102 +tp2939 +a(g681 +Vebx +p2940 +tp2941 +a(g705 +g1485 +tp2942 +a(g440 +V.y +p2943 +tp2944 +a(g705 +V], +p2945 +tp2946 +a(g826 +g1102 +tp2947 +a(g681 +Vdl +p2948 +tp2949 +a(g826 +V\u000a +p2950 +tp2951 +a(g826 +V +p2952 +tp2953 +a(g573 +Vjne +p2954 +tp2955 +a(g826 +g1102 +tp2956 +a(g440 +V@nextClosed +p2957 +tp2958 +a(g826 +V\u000a\u000a +p2959 +tp2960 +a(g826 +V +p2961 +tp2962 +a(g8 +V; If reached here, yep, contained in closed list +p2963 +tp2964 +a(g826 +V\u000a +p2965 +tp2966 +a(g826 +V +p2967 +tp2968 +a(g573 +Vmov +p2969 +tp2970 +a(g826 +g1102 +tp2971 +a(g681 +Veax +p2972 +tp2973 +a(g705 +g1106 +tp2974 +a(g826 +g1102 +tp2975 +a(g37 +g2147 +tp2976 +a(g826 +V\u000a +p2977 +tp2978 +a(g826 +V +p2979 +tp2980 +a(g573 +Vret +p2981 +tp2982 +a(g826 +V\u000a\u000a +p2983 +tp2984 +a(g826 +V +p2985 +tp2986 +a(g596 +V@nextClosed: +p2987 +tp2988 +a(g826 +V\u000a +p2989 +tp2990 +a(g826 +V +p2991 +tp2992 +a(g573 +Vadd +p2993 +tp2994 +a(g826 +g1102 +tp2995 +a(g681 +Vebx +p2996 +tp2997 +a(g705 +g1106 +tp2998 +a(g826 +g1102 +tp2999 +a(g681 +VSI +p3000 +tp3001 +a(g440 +VZE +p3002 +tp3003 +a(g826 +g1102 +tp3004 +a(g440 +VTField +p3005 +tp3006 +a(g826 +V\u000a +p3007 +tp3008 +a(g826 +V +p3009 +tp3010 +a(g573 +Vdec +p3011 +tp3012 +a(g826 +g1102 +tp3013 +a(g681 +Vecx +p3014 +tp3015 +a(g826 +V\u000a +p3016 +tp3017 +a(g826 +V +p3018 +tp3019 +a(g573 +Vjnz +p3020 +tp3021 +a(g826 +g1102 +tp3022 +a(g440 +V@loopClosed +p3023 +tp3024 +a(g826 +V\u000a\u000a +p3025 +tp3026 +a(g826 +V +p3027 +tp3028 +a(g573 +Vmov +p3029 +tp3030 +a(g826 +g1102 +tp3031 +a(g681 +Veax +p3032 +tp3033 +a(g705 +g1106 +tp3034 +a(g826 +g1102 +tp3035 +a(g37 +g1750 +tp3036 +a(g826 +V\u000a +p3037 +tp3038 +a(g826 +V +p3039 +tp3040 +a(g573 +Vret +p3041 +tp3042 +a(g826 +V\u000a\u000a +p3043 +tp3044 +a(g596 +VnotWithinBounds: +p3045 +tp3046 +a(g826 +V\u000a +p3047 +tp3048 +a(g826 +V +p3049 +tp3050 +a(g573 +Vmov +p3051 +tp3052 +a(g826 +g1102 +tp3053 +a(g681 +Veax +p3054 +tp3055 +a(g705 +g1106 +tp3056 +a(g826 +g1102 +tp3057 +a(g37 +g2147 +tp3058 +a(g826 +V\u000a +p3059 +tp3060 +a(g826 +V +p3061 +tp3062 +a(g573 +Vret +p3063 +tp3064 +a(g826 +V\u000a +p3065 +tp3066 +a(g744 +VENDP +p3067 +tp3068 +a(g826 +g1102 +tp3069 +a(g440 +VisClosed +p3070 +tp3071 +a(g826 +V\u000a\u000a +p3072 +tp3073 +a(g744 +VPROC +p3074 +tp3075 +a(g826 +g1102 +tp3076 +a(g440 +VaddNeighbours +p3077 +tp3078 +a(g826 +V\u000a +p3079 +tp3080 +a(g826 +V +p3081 +tp3082 +a(g744 +VUSES +p3083 +tp3084 +a(g826 +g1102 +tp3085 +a(g681 +Veax +p3086 +tp3087 +a(g705 +g1106 +tp3088 +a(g826 +g1102 +tp3089 +a(g681 +Vebx +p3090 +tp3091 +a(g705 +g1106 +tp3092 +a(g826 +g1102 +tp3093 +a(g681 +Vecx +p3094 +tp3095 +a(g705 +g1106 +tp3096 +a(g826 +g1102 +tp3097 +a(g681 +Vedx +p3098 +tp3099 +a(g826 +V\u000a +p3100 +tp3101 +a(g826 +V +p3102 +tp3103 +a(g744 +VARG +p3104 +tp3105 +a(g826 +g1102 +tp3106 +a(g440 +V@@tgtx +p3107 +tp3108 +a(g705 +g1118 +tp3109 +a(g748 +Vdword +p3110 +tp3111 +a(g705 +g1106 +tp3112 +a(g826 +g1102 +tp3113 +a(g826 +V\u005c\u000a +p3114 +tp3115 +a(g826 +V +p3116 +tp3117 +a(g440 +V@@tgty +p3118 +tp3119 +a(g705 +g1118 +tp3120 +a(g748 +Vdword +p3121 +tp3122 +a(g826 +V\u000a +p3123 +tp3124 +a(g826 +V +p3125 +tp3126 +a(g8 +V; Push all neighbours of currentOpen on openList +p3127 +tp3128 +a(g826 +V\u000a +p3129 +tp3130 +a(g826 +V\u000a +p3131 +tp3132 +a(g826 +V +p3133 +tp3134 +a(g573 +Vxor +p3135 +tp3136 +a(g826 +g1102 +tp3137 +a(g681 +Vebx +p3138 +tp3139 +a(g705 +g1106 +tp3140 +a(g826 +g1102 +tp3141 +a(g681 +Vebx +p3142 +tp3143 +a(g826 +V\u000a +p3144 +tp3145 +a(g826 +V +p3146 +tp3147 +a(g573 +Vxor +p3148 +tp3149 +a(g826 +g1102 +tp3150 +a(g681 +Vecx +p3151 +tp3152 +a(g705 +g1106 +tp3153 +a(g826 +g1102 +tp3154 +a(g681 +Vecx +p3155 +tp3156 +a(g826 +V\u000a +p3157 +tp3158 +a(g826 +V +p3159 +tp3160 +a(g826 +V\u000a +p3161 +tp3162 +a(g826 +V +p3163 +tp3164 +a(g573 +Vmov +p3165 +tp3166 +a(g826 +g1102 +tp3167 +a(g681 +Vbl +p3168 +tp3169 +a(g705 +g1106 +tp3170 +a(g826 +g1102 +tp3171 +a(g705 +g1368 +tp3172 +a(g440 +VcurrentOpen.x +p3173 +tp3174 +a(g705 +g1372 +tp3175 +a(g826 +V\u000a +p3176 +tp3177 +a(g826 +V +p3178 +tp3179 +a(g573 +Vmov +p3180 +tp3181 +a(g826 +g1102 +tp3182 +a(g681 +Vcl +p3183 +tp3184 +a(g705 +g1106 +tp3185 +a(g826 +g1102 +tp3186 +a(g705 +g1368 +tp3187 +a(g440 +VcurrentOpen.y +p3188 +tp3189 +a(g705 +g1372 +tp3190 +a(g826 +V\u000a +p3191 +tp3192 +a(g826 +V +p3193 +tp3194 +a(g573 +Vmov +p3195 +tp3196 +a(g826 +g1102 +tp3197 +a(g681 +Vedx +p3198 +tp3199 +a(g705 +g1106 +tp3200 +a(g826 +g1102 +tp3201 +a(g705 +g1368 +tp3202 +a(g440 +VcurrentOpen.distance +p3203 +tp3204 +a(g705 +g1372 +tp3205 +a(g826 +V\u000a +p3206 +tp3207 +a(g826 +V +p3208 +tp3209 +a(g573 +Vinc +p3210 +tp3211 +a(g826 +g1102 +tp3212 +a(g681 +Vedx +p3213 +tp3214 +a(g826 +g1102 +tp3215 +a(g8 +V; Next distance is one more. +p3216 +tp3217 +a(g826 +V\u000a\u000a +p3218 +tp3219 +a(g826 +V +p3220 +tp3221 +a(g8 +V; Up +p3222 +tp3223 +a(g826 +V\u000a +p3224 +tp3225 +a(g826 +V +p3226 +tp3227 +a(g573 +Vdec +p3228 +tp3229 +a(g826 +g1102 +tp3230 +a(g681 +Vecx +p3231 +tp3232 +a(g826 +V\u000a +p3233 +tp3234 +a(g826 +V +p3235 +tp3236 +a(g573 +Vcall +p3237 +tp3238 +a(g826 +g1102 +tp3239 +a(g440 +VisClosed +p3240 +tp3241 +a(g705 +g1106 +tp3242 +a(g826 +g1102 +tp3243 +a(g681 +Vebx +p3244 +tp3245 +a(g705 +g1106 +tp3246 +a(g826 +g1102 +tp3247 +a(g681 +Vecx +p3248 +tp3249 +a(g826 +V\u000a +p3250 +tp3251 +a(g826 +V +p3252 +tp3253 +a(g573 +Vcmp +p3254 +tp3255 +a(g826 +g1102 +tp3256 +a(g681 +Veax +p3257 +tp3258 +a(g705 +g1106 +tp3259 +a(g826 +g1102 +tp3260 +a(g37 +g1750 +tp3261 +a(g826 +V\u000a +p3262 +tp3263 +a(g826 +V +p3264 +tp3265 +a(g573 +Vjne +p3266 +tp3267 +a(g826 +g1102 +tp3268 +a(g440 +V@noUp +p3269 +tp3270 +a(g826 +V\u000a +p3271 +tp3272 +a(g826 +V +p3273 +tp3274 +a(g573 +Vcall +p3275 +tp3276 +a(g826 +g1102 +tp3277 +a(g681 +Vdi +p3278 +tp3279 +a(g440 +Vstance +p3280 +tp3281 +a(g705 +g1106 +tp3282 +a(g826 +g1102 +tp3283 +a(g681 +Vebx +p3284 +tp3285 +a(g705 +g1106 +tp3286 +a(g826 +g1102 +tp3287 +a(g681 +Vecx +p3288 +tp3289 +a(g705 +g1106 +tp3290 +a(g826 +g1102 +tp3291 +a(g705 +g1368 +tp3292 +a(g440 +V@@tgtx +p3293 +tp3294 +a(g705 +V], +p3295 +tp3296 +a(g826 +g1102 +tp3297 +a(g705 +g1368 +tp3298 +a(g440 +V@@tgty +p3299 +tp3300 +a(g705 +g1372 +tp3301 +a(g826 +V\u000a +p3302 +tp3303 +a(g826 +V +p3304 +tp3305 +a(g573 +Vadd +p3306 +tp3307 +a(g826 +g1102 +tp3308 +a(g681 +Veax +p3309 +tp3310 +a(g705 +g1106 +tp3311 +a(g826 +g1102 +tp3312 +a(g681 +Vedx +p3313 +tp3314 +a(g826 +V\u000a +p3315 +tp3316 +a(g826 +V +p3317 +tp3318 +a(g573 +Vcall +p3319 +tp3320 +a(g826 +g1102 +tp3321 +a(g440 +VaddOpen +p3322 +tp3323 +a(g705 +g1106 +tp3324 +a(g826 +g1102 +tp3325 +a(g681 +Vebx +p3326 +tp3327 +a(g705 +g1106 +tp3328 +a(g826 +g1102 +tp3329 +a(g681 +Vecx +p3330 +tp3331 +a(g705 +g1106 +tp3332 +a(g826 +g1102 +tp3333 +a(g681 +Veax +p3334 +tp3335 +a(g705 +g1106 +tp3336 +a(g826 +g1102 +tp3337 +a(g681 +Vedx +p3338 +tp3339 +a(g826 +V\u000a +p3340 +tp3341 +a(g826 +V +p3342 +tp3343 +a(g596 +V@noUp: +p3344 +tp3345 +a(g826 +V\u000a +p3346 +tp3347 +a(g826 +V +p3348 +tp3349 +a(g573 +Vinc +p3350 +tp3351 +a(g826 +g1102 +tp3352 +a(g681 +Vecx +p3353 +tp3354 +a(g826 +V\u000a\u000a +p3355 +tp3356 +a(g826 +V +p3357 +tp3358 +a(g8 +V; Right +p3359 +tp3360 +a(g826 +V\u000a +p3361 +tp3362 +a(g826 +V +p3363 +tp3364 +a(g573 +Vinc +p3365 +tp3366 +a(g826 +g1102 +tp3367 +a(g681 +Vebx +p3368 +tp3369 +a(g826 +V\u000a +p3370 +tp3371 +a(g826 +V +p3372 +tp3373 +a(g573 +Vcall +p3374 +tp3375 +a(g826 +g1102 +tp3376 +a(g440 +VisClosed +p3377 +tp3378 +a(g705 +g1106 +tp3379 +a(g826 +g1102 +tp3380 +a(g681 +Vebx +p3381 +tp3382 +a(g705 +g1106 +tp3383 +a(g826 +g1102 +tp3384 +a(g681 +Vecx +p3385 +tp3386 +a(g826 +V\u000a +p3387 +tp3388 +a(g826 +V +p3389 +tp3390 +a(g573 +Vcmp +p3391 +tp3392 +a(g826 +g1102 +tp3393 +a(g681 +Veax +p3394 +tp3395 +a(g705 +g1106 +tp3396 +a(g826 +g1102 +tp3397 +a(g37 +g1750 +tp3398 +a(g826 +V\u000a +p3399 +tp3400 +a(g826 +V +p3401 +tp3402 +a(g573 +Vjne +p3403 +tp3404 +a(g826 +g1102 +tp3405 +a(g440 +V@noRight +p3406 +tp3407 +a(g826 +V\u000a +p3408 +tp3409 +a(g826 +V +p3410 +tp3411 +a(g573 +Vcall +p3412 +tp3413 +a(g826 +g1102 +tp3414 +a(g681 +Vdi +p3415 +tp3416 +a(g440 +Vstance +p3417 +tp3418 +a(g705 +g1106 +tp3419 +a(g826 +g1102 +tp3420 +a(g681 +Vebx +p3421 +tp3422 +a(g705 +g1106 +tp3423 +a(g826 +g1102 +tp3424 +a(g681 +Vecx +p3425 +tp3426 +a(g705 +g1106 +tp3427 +a(g826 +g1102 +tp3428 +a(g705 +g1368 +tp3429 +a(g440 +V@@tgtx +p3430 +tp3431 +a(g705 +V], +p3432 +tp3433 +a(g826 +g1102 +tp3434 +a(g705 +g1368 +tp3435 +a(g440 +V@@tgty +p3436 +tp3437 +a(g705 +g1372 +tp3438 +a(g826 +V\u000a +p3439 +tp3440 +a(g826 +V +p3441 +tp3442 +a(g573 +Vadd +p3443 +tp3444 +a(g826 +g1102 +tp3445 +a(g681 +Veax +p3446 +tp3447 +a(g705 +g1106 +tp3448 +a(g826 +g1102 +tp3449 +a(g681 +Vedx +p3450 +tp3451 +a(g826 +V\u000a +p3452 +tp3453 +a(g826 +V +p3454 +tp3455 +a(g573 +Vcall +p3456 +tp3457 +a(g826 +g1102 +tp3458 +a(g440 +VaddOpen +p3459 +tp3460 +a(g705 +g1106 +tp3461 +a(g826 +g1102 +tp3462 +a(g681 +Vebx +p3463 +tp3464 +a(g705 +g1106 +tp3465 +a(g826 +g1102 +tp3466 +a(g681 +Vecx +p3467 +tp3468 +a(g705 +g1106 +tp3469 +a(g826 +g1102 +tp3470 +a(g681 +Veax +p3471 +tp3472 +a(g705 +g1106 +tp3473 +a(g826 +g1102 +tp3474 +a(g681 +Vedx +p3475 +tp3476 +a(g826 +V\u000a +p3477 +tp3478 +a(g826 +V +p3479 +tp3480 +a(g596 +V@noRight: +p3481 +tp3482 +a(g826 +V\u000a +p3483 +tp3484 +a(g826 +V +p3485 +tp3486 +a(g573 +Vdec +p3487 +tp3488 +a(g826 +g1102 +tp3489 +a(g681 +Vebx +p3490 +tp3491 +a(g826 +V\u000a\u000a +p3492 +tp3493 +a(g826 +V +p3494 +tp3495 +a(g8 +V; Left +p3496 +tp3497 +a(g826 +V\u000a +p3498 +tp3499 +a(g826 +V +p3500 +tp3501 +a(g573 +Vdec +p3502 +tp3503 +a(g826 +g1102 +tp3504 +a(g681 +Vebx +p3505 +tp3506 +a(g826 +V\u000a +p3507 +tp3508 +a(g826 +V +p3509 +tp3510 +a(g573 +Vcall +p3511 +tp3512 +a(g826 +g1102 +tp3513 +a(g440 +VisClosed +p3514 +tp3515 +a(g705 +g1106 +tp3516 +a(g826 +g1102 +tp3517 +a(g681 +Vebx +p3518 +tp3519 +a(g705 +g1106 +tp3520 +a(g826 +g1102 +tp3521 +a(g681 +Vecx +p3522 +tp3523 +a(g826 +V\u000a +p3524 +tp3525 +a(g826 +V +p3526 +tp3527 +a(g573 +Vcmp +p3528 +tp3529 +a(g826 +g1102 +tp3530 +a(g681 +Veax +p3531 +tp3532 +a(g705 +g1106 +tp3533 +a(g826 +g1102 +tp3534 +a(g37 +g1750 +tp3535 +a(g826 +V\u000a +p3536 +tp3537 +a(g826 +V +p3538 +tp3539 +a(g573 +Vjne +p3540 +tp3541 +a(g826 +g1102 +tp3542 +a(g440 +V@noLeft +p3543 +tp3544 +a(g826 +V\u000a +p3545 +tp3546 +a(g826 +V +p3547 +tp3548 +a(g573 +Vcall +p3549 +tp3550 +a(g826 +g1102 +tp3551 +a(g681 +Vdi +p3552 +tp3553 +a(g440 +Vstance +p3554 +tp3555 +a(g705 +g1106 +tp3556 +a(g826 +g1102 +tp3557 +a(g681 +Vebx +p3558 +tp3559 +a(g705 +g1106 +tp3560 +a(g826 +g1102 +tp3561 +a(g681 +Vecx +p3562 +tp3563 +a(g705 +g1106 +tp3564 +a(g826 +g1102 +tp3565 +a(g705 +g1368 +tp3566 +a(g440 +V@@tgtx +p3567 +tp3568 +a(g705 +V], +p3569 +tp3570 +a(g826 +g1102 +tp3571 +a(g705 +g1368 +tp3572 +a(g440 +V@@tgty +p3573 +tp3574 +a(g705 +g1372 +tp3575 +a(g826 +V\u000a +p3576 +tp3577 +a(g826 +V +p3578 +tp3579 +a(g573 +Vadd +p3580 +tp3581 +a(g826 +g1102 +tp3582 +a(g681 +Veax +p3583 +tp3584 +a(g705 +g1106 +tp3585 +a(g826 +g1102 +tp3586 +a(g681 +Vedx +p3587 +tp3588 +a(g826 +V\u000a +p3589 +tp3590 +a(g826 +V +p3591 +tp3592 +a(g573 +Vcall +p3593 +tp3594 +a(g826 +g1102 +tp3595 +a(g440 +VaddOpen +p3596 +tp3597 +a(g705 +g1106 +tp3598 +a(g826 +g1102 +tp3599 +a(g681 +Vebx +p3600 +tp3601 +a(g705 +g1106 +tp3602 +a(g826 +g1102 +tp3603 +a(g681 +Vecx +p3604 +tp3605 +a(g705 +g1106 +tp3606 +a(g826 +g1102 +tp3607 +a(g681 +Veax +p3608 +tp3609 +a(g705 +g1106 +tp3610 +a(g826 +g1102 +tp3611 +a(g681 +Vedx +p3612 +tp3613 +a(g826 +V\u000a +p3614 +tp3615 +a(g826 +V +p3616 +tp3617 +a(g596 +V@noLeft: +p3618 +tp3619 +a(g826 +V\u000a +p3620 +tp3621 +a(g826 +V +p3622 +tp3623 +a(g573 +Vinc +p3624 +tp3625 +a(g826 +g1102 +tp3626 +a(g681 +Vebx +p3627 +tp3628 +a(g826 +V\u000a\u000a +p3629 +tp3630 +a(g826 +V +p3631 +tp3632 +a(g8 +V; Down +p3633 +tp3634 +a(g826 +V\u000a +p3635 +tp3636 +a(g826 +V +p3637 +tp3638 +a(g573 +Vinc +p3639 +tp3640 +a(g826 +g1102 +tp3641 +a(g681 +Vecx +p3642 +tp3643 +a(g826 +V\u000a +p3644 +tp3645 +a(g826 +V +p3646 +tp3647 +a(g573 +Vcall +p3648 +tp3649 +a(g826 +g1102 +tp3650 +a(g440 +VisClosed +p3651 +tp3652 +a(g705 +g1106 +tp3653 +a(g826 +g1102 +tp3654 +a(g681 +Vebx +p3655 +tp3656 +a(g705 +g1106 +tp3657 +a(g826 +g1102 +tp3658 +a(g681 +Vecx +p3659 +tp3660 +a(g826 +V\u000a +p3661 +tp3662 +a(g826 +V +p3663 +tp3664 +a(g573 +Vcmp +p3665 +tp3666 +a(g826 +g1102 +tp3667 +a(g681 +Veax +p3668 +tp3669 +a(g705 +g1106 +tp3670 +a(g826 +g1102 +tp3671 +a(g37 +g1750 +tp3672 +a(g826 +V\u000a +p3673 +tp3674 +a(g826 +V +p3675 +tp3676 +a(g573 +Vjne +p3677 +tp3678 +a(g826 +g1102 +tp3679 +a(g440 +V@noDown +p3680 +tp3681 +a(g826 +V\u000a +p3682 +tp3683 +a(g826 +V +p3684 +tp3685 +a(g573 +Vcall +p3686 +tp3687 +a(g826 +g1102 +tp3688 +a(g681 +Vdi +p3689 +tp3690 +a(g440 +Vstance +p3691 +tp3692 +a(g705 +g1106 +tp3693 +a(g826 +g1102 +tp3694 +a(g681 +Vebx +p3695 +tp3696 +a(g705 +g1106 +tp3697 +a(g826 +g1102 +tp3698 +a(g681 +Vecx +p3699 +tp3700 +a(g705 +g1106 +tp3701 +a(g826 +g1102 +tp3702 +a(g705 +g1368 +tp3703 +a(g440 +V@@tgtx +p3704 +tp3705 +a(g705 +V], +p3706 +tp3707 +a(g826 +g1102 +tp3708 +a(g705 +g1368 +tp3709 +a(g440 +V@@tgty +p3710 +tp3711 +a(g705 +g1372 +tp3712 +a(g826 +V\u000a +p3713 +tp3714 +a(g826 +V +p3715 +tp3716 +a(g573 +Vadd +p3717 +tp3718 +a(g826 +g1102 +tp3719 +a(g681 +Veax +p3720 +tp3721 +a(g705 +g1106 +tp3722 +a(g826 +g1102 +tp3723 +a(g681 +Vedx +p3724 +tp3725 +a(g826 +V\u000a +p3726 +tp3727 +a(g826 +V +p3728 +tp3729 +a(g573 +Vcall +p3730 +tp3731 +a(g826 +g1102 +tp3732 +a(g440 +VaddOpen +p3733 +tp3734 +a(g705 +g1106 +tp3735 +a(g826 +g1102 +tp3736 +a(g681 +Vebx +p3737 +tp3738 +a(g705 +g1106 +tp3739 +a(g826 +g1102 +tp3740 +a(g681 +Vecx +p3741 +tp3742 +a(g705 +g1106 +tp3743 +a(g826 +g1102 +tp3744 +a(g681 +Veax +p3745 +tp3746 +a(g705 +g1106 +tp3747 +a(g826 +g1102 +tp3748 +a(g681 +Vedx +p3749 +tp3750 +a(g826 +V\u000a +p3751 +tp3752 +a(g826 +V +p3753 +tp3754 +a(g596 +V@noDown: +p3755 +tp3756 +a(g826 +V\u000a +p3757 +tp3758 +a(g826 +V +p3759 +tp3760 +a(g573 +Vdec +p3761 +tp3762 +a(g826 +g1102 +tp3763 +a(g681 +Vecx +p3764 +tp3765 +a(g826 +V\u000a\u000a +p3766 +tp3767 +a(g826 +V +p3768 +tp3769 +a(g573 +Vret +p3770 +tp3771 +a(g826 +V\u000a +p3772 +tp3773 +a(g744 +VENDP +p3774 +tp3775 +a(g826 +g1102 +tp3776 +a(g440 +VaddNeighbours +p3777 +tp3778 +a(g826 +V\u000a\u000a +p3779 +tp3780 +a(g744 +VPROC +p3781 +tp3782 +a(g826 +g1102 +tp3783 +a(g440 +VpopOpen +p3784 +tp3785 +a(g826 +V\u000a +p3786 +tp3787 +a(g826 +V +p3788 +tp3789 +a(g744 +VARG +p3790 +tp3791 +a(g826 +g1102 +tp3792 +a(g440 +VRETURNS +p3793 +tp3794 +a(g826 +g1102 +tp3795 +a(g681 +Veax +p3796 +tp3797 +a(g826 +V\u000a +p3798 +tp3799 +a(g826 +V +p3800 +tp3801 +a(g744 +VUSES +p3802 +tp3803 +a(g826 +g1102 +tp3804 +a(g681 +Vebx +p3805 +tp3806 +a(g705 +g1106 +tp3807 +a(g826 +g1102 +tp3808 +a(g681 +Vecx +p3809 +tp3810 +a(g705 +g1106 +tp3811 +a(g826 +g1102 +tp3812 +a(g681 +Vedx +p3813 +tp3814 +a(g705 +g1106 +tp3815 +a(g826 +g1102 +tp3816 +a(g681 +Vesi +p3817 +tp3818 +a(g705 +g1106 +tp3819 +a(g826 +g1102 +tp3820 +a(g681 +Vedi +p3821 +tp3822 +a(g826 +V\u000a +p3823 +tp3824 +a(g826 +V +p3825 +tp3826 +a(g8 +V; eax contains the smallest current heuristic +p3827 +tp3828 +a(g826 +V\u000a +p3829 +tp3830 +a(g826 +V +p3831 +tp3832 +a(g8 +V; ebx contains the index of that field +p3833 +tp3834 +a(g826 +V\u000a +p3835 +tp3836 +a(g826 +V\u000a +p3837 +tp3838 +a(g826 +V +p3839 +tp3840 +a(g573 +Vcmp +p3841 +tp3842 +a(g826 +g1102 +tp3843 +a(g705 +g1368 +tp3844 +a(g440 +VopenlistSize +p3845 +tp3846 +a(g705 +V], +p3847 +tp3848 +a(g826 +g1102 +tp3849 +a(g37 +g1750 +tp3850 +a(g826 +V +p3851 +tp3852 +a(g8 +V; If empty, return 0 +p3853 +tp3854 +a(g826 +V\u000a +p3855 +tp3856 +a(g826 +V +p3857 +tp3858 +a(g573 +Vjne +p3859 +tp3860 +a(g826 +g1102 +tp3861 +a(g440 +V@goForth +p3862 +tp3863 +a(g826 +V\u000a\u000a +p3864 +tp3865 +a(g826 +V +p3866 +tp3867 +a(g573 +Vmov +p3868 +tp3869 +a(g826 +g1102 +tp3870 +a(g681 +Veax +p3871 +tp3872 +a(g705 +g1106 +tp3873 +a(g826 +g1102 +tp3874 +a(g37 +g1750 +tp3875 +a(g826 +V\u000a +p3876 +tp3877 +a(g826 +V +p3878 +tp3879 +a(g573 +Vret +p3880 +tp3881 +a(g826 +V\u000a\u000a +p3882 +tp3883 +a(g596 +V@goForth: +p3884 +tp3885 +a(g826 +V\u000a +p3886 +tp3887 +a(g826 +V\u000a +p3888 +tp3889 +a(g826 +V +p3890 +tp3891 +a(g573 +Vmov +p3892 +tp3893 +a(g826 +g1102 +tp3894 +a(g681 +Veax +p3895 +tp3896 +a(g705 +g1106 +tp3897 +a(g826 +g1102 +tp3898 +a(g297 +V0FFFFFFFFh +p3899 +tp3900 +a(g826 +V +p3901 +tp3902 +a(g8 +V; Longest distance possible in 32 bits. +p3903 +tp3904 +a(g826 +V\u000a +p3905 +tp3906 +a(g826 +V +p3907 +tp3908 +a(g573 +Vxor +p3909 +tp3910 +a(g826 +g1102 +tp3911 +a(g681 +Vebx +p3912 +tp3913 +a(g705 +g1106 +tp3914 +a(g826 +g1102 +tp3915 +a(g681 +Vebx +p3916 +tp3917 +a(g826 +V\u000a +p3918 +tp3919 +a(g826 +V +p3920 +tp3921 +a(g573 +Vxor +p3922 +tp3923 +a(g826 +g1102 +tp3924 +a(g681 +Vecx +p3925 +tp3926 +a(g705 +g1106 +tp3927 +a(g826 +g1102 +tp3928 +a(g681 +Vecx +p3929 +tp3930 +a(g826 +V +p3931 +tp3932 +a(g8 +V; ecx contains the current index +p3933 +tp3934 +a(g826 +V\u000a\u000a +p3935 +tp3936 +a(g596 +V@searchFurther: +p3937 +tp3938 +a(g826 +V\u000a +p3939 +tp3940 +a(g826 +V +p3941 +tp3942 +a(g573 +Vmov +p3943 +tp3944 +a(g826 +g1102 +tp3945 +a(g681 +Vedx +p3946 +tp3947 +a(g705 +g1106 +tp3948 +a(g826 +g1102 +tp3949 +a(g681 +Vecx +p3950 +tp3951 +a(g826 +V\u000a +p3952 +tp3953 +a(g826 +V +p3954 +tp3955 +a(g573 +Vimul +p3956 +tp3957 +a(g826 +g1102 +tp3958 +a(g681 +Vedx +p3959 +tp3960 +a(g705 +g1106 +tp3961 +a(g826 +g1102 +tp3962 +a(g681 +VSI +p3963 +tp3964 +a(g440 +VZE +p3965 +tp3966 +a(g826 +g1102 +tp3967 +a(g440 +VTPriorityField +p3968 +tp3969 +a(g826 +V\u000a +p3970 +tp3971 +a(g826 +V +p3972 +tp3973 +a(g573 +Vcmp +p3974 +tp3975 +a(g826 +g1102 +tp3976 +a(g705 +V[( +p3977 +tp3978 +a(g440 +VTPriorityField +p3979 +tp3980 +a(g826 +g1102 +tp3981 +a(g440 +Vptr +p3982 +tp3983 +a(g826 +g1102 +tp3984 +a(g705 +V( +p3985 +tp3986 +a(g440 +Vopenlist +p3987 +tp3988 +a(g826 +g1102 +tp3989 +a(g412 +V+ +p3990 +tp3991 +a(g826 +g1102 +tp3992 +a(g681 +Vedx +p3993 +tp3994 +a(g705 +V)) +p3995 +tp3996 +a(g440 +V.heuristic +p3997 +tp3998 +a(g705 +V], +p3999 +tp4000 +a(g826 +g1102 +tp4001 +a(g681 +Veax +p4002 +tp4003 +a(g826 +V\u000a +p4004 +tp4005 +a(g826 +V +p4006 +tp4007 +a(g573 +Vja +p4008 +tp4009 +a(g826 +g1102 +tp4010 +a(g440 +V@notBetter +p4011 +tp4012 +a(g826 +V\u000a +p4013 +tp4014 +a(g826 +V +p4015 +tp4016 +a(g8 +V; Better guess found, put right values in eax and ebx +p4017 +tp4018 +a(g826 +V\u000a +p4019 +tp4020 +a(g826 +V +p4021 +tp4022 +a(g573 +Vmov +p4023 +tp4024 +a(g826 +g1102 +tp4025 +a(g681 +Veax +p4026 +tp4027 +a(g705 +g1106 +tp4028 +a(g826 +g1102 +tp4029 +a(g705 +V[( +p4030 +tp4031 +a(g440 +VTPriorityField +p4032 +tp4033 +a(g826 +g1102 +tp4034 +a(g440 +Vptr +p4035 +tp4036 +a(g826 +g1102 +tp4037 +a(g705 +g3985 +tp4038 +a(g440 +Vopenlist +p4039 +tp4040 +a(g826 +g1102 +tp4041 +a(g412 +g3990 +tp4042 +a(g826 +g1102 +tp4043 +a(g681 +Vedx +p4044 +tp4045 +a(g705 +V)) +p4046 +tp4047 +a(g440 +V.heuristic +p4048 +tp4049 +a(g705 +g1372 +tp4050 +a(g826 +V\u000a +p4051 +tp4052 +a(g826 +V +p4053 +tp4054 +a(g573 +Vmov +p4055 +tp4056 +a(g826 +g1102 +tp4057 +a(g681 +Vebx +p4058 +tp4059 +a(g705 +g1106 +tp4060 +a(g826 +g1102 +tp4061 +a(g681 +Vecx +p4062 +tp4063 +a(g826 +V\u000a\u000a +p4064 +tp4065 +a(g596 +V@notBetter: +p4066 +tp4067 +a(g826 +V\u000a +p4068 +tp4069 +a(g826 +V\u000a +p4070 +tp4071 +a(g826 +V +p4072 +tp4073 +a(g573 +Vinc +p4074 +tp4075 +a(g826 +g1102 +tp4076 +a(g681 +Vecx +p4077 +tp4078 +a(g826 +V\u000a +p4079 +tp4080 +a(g826 +V +p4081 +tp4082 +a(g573 +Vcmp +p4083 +tp4084 +a(g826 +g1102 +tp4085 +a(g681 +Vcx +p4086 +tp4087 +a(g705 +g1106 +tp4088 +a(g826 +g1102 +tp4089 +a(g705 +g1368 +tp4090 +a(g440 +VopenlistSize +p4091 +tp4092 +a(g705 +g1372 +tp4093 +a(g826 +V\u000a +p4094 +tp4095 +a(g826 +V +p4096 +tp4097 +a(g573 +Vjne +p4098 +tp4099 +a(g826 +g1102 +tp4100 +a(g440 +V@searchFurther +p4101 +tp4102 +a(g826 +V\u000a\u000a +p4103 +tp4104 +a(g826 +V +p4105 +tp4106 +a(g8 +V; By now, we have found the right item to pop from the priorityqueue. +p4107 +tp4108 +a(g826 +V\u000a +p4109 +tp4110 +a(g826 +V\u000a +p4111 +tp4112 +a(g826 +V +p4113 +tp4114 +a(g8 +V; Move the correct item in currentOpen +p4115 +tp4116 +a(g826 +V\u000a +p4117 +tp4118 +a(g826 +V +p4119 +tp4120 +a(g573 +Vmov +p4121 +tp4122 +a(g826 +g1102 +tp4123 +a(g681 +Vecx +p4124 +tp4125 +a(g705 +g1106 +tp4126 +a(g826 +g1102 +tp4127 +a(g681 +VSI +p4128 +tp4129 +a(g440 +VZE +p4130 +tp4131 +a(g826 +g1102 +tp4132 +a(g440 +VTPriorityField +p4133 +tp4134 +a(g826 +V\u000a +p4135 +tp4136 +a(g826 +V +p4137 +tp4138 +a(g573 +Vmov +p4139 +tp4140 +a(g826 +g1102 +tp4141 +a(g681 +Vesi +p4142 +tp4143 +a(g705 +g1106 +tp4144 +a(g826 +g1102 +tp4145 +a(g681 +Vebx +p4146 +tp4147 +a(g826 +V\u000a +p4148 +tp4149 +a(g826 +V +p4150 +tp4151 +a(g573 +Vimul +p4152 +tp4153 +a(g826 +g1102 +tp4154 +a(g681 +Vesi +p4155 +tp4156 +a(g705 +g1106 +tp4157 +a(g826 +g1102 +tp4158 +a(g681 +Vecx +p4159 +tp4160 +a(g826 +V\u000a +p4161 +tp4162 +a(g826 +V +p4163 +tp4164 +a(g573 +Vadd +p4165 +tp4166 +a(g826 +g1102 +tp4167 +a(g681 +Vesi +p4168 +tp4169 +a(g705 +g1106 +tp4170 +a(g826 +g1102 +tp4171 +a(g440 +Voffset +p4172 +tp4173 +a(g826 +g1102 +tp4174 +a(g440 +Vopenlist +p4175 +tp4176 +a(g826 +V\u000a\u000a +p4177 +tp4178 +a(g826 +V +p4179 +tp4180 +a(g573 +Vmov +p4181 +tp4182 +a(g826 +g1102 +tp4183 +a(g681 +Vedi +p4184 +tp4185 +a(g705 +g1106 +tp4186 +a(g826 +g1102 +tp4187 +a(g440 +Voffset +p4188 +tp4189 +a(g826 +g1102 +tp4190 +a(g440 +VcurrentOpen +p4191 +tp4192 +a(g826 +V\u000a +p4193 +tp4194 +a(g826 +V +p4195 +tp4196 +a(g573 +Vrep +p4197 +tp4198 +a(g826 +g1102 +tp4199 +a(g440 +Vmovsb +p4200 +tp4201 +a(g826 +V\u000a\u000a +p4202 +tp4203 +a(g826 +V +p4204 +tp4205 +a(g8 +V; Now make the remove the thing from the vector +p4206 +tp4207 +a(g826 +V\u000a +p4208 +tp4209 +a(g826 +V\u000a +p4210 +tp4211 +a(g826 +V +p4212 +tp4213 +a(g573 +Vxor +p4214 +tp4215 +a(g826 +g1102 +tp4216 +a(g681 +Vecx +p4217 +tp4218 +a(g705 +g1106 +tp4219 +a(g826 +g1102 +tp4220 +a(g681 +Vecx +p4221 +tp4222 +a(g826 +V\u000a +p4223 +tp4224 +a(g826 +V +p4225 +tp4226 +a(g573 +Vmov +p4227 +tp4228 +a(g826 +g1102 +tp4229 +a(g681 +Vcx +p4230 +tp4231 +a(g705 +g1106 +tp4232 +a(g826 +g1102 +tp4233 +a(g705 +g1368 +tp4234 +a(g440 +VopenlistSize +p4235 +tp4236 +a(g705 +g1372 +tp4237 +a(g826 +V\u000a +p4238 +tp4239 +a(g826 +V +p4240 +tp4241 +a(g573 +Vsub +p4242 +tp4243 +a(g826 +g1102 +tp4244 +a(g681 +Vecx +p4245 +tp4246 +a(g705 +g1106 +tp4247 +a(g826 +g1102 +tp4248 +a(g681 +Vebx +p4249 +tp4250 +a(g826 +V\u000a +p4251 +tp4252 +a(g826 +V +p4253 +tp4254 +a(g573 +Vdec +p4255 +tp4256 +a(g826 +g1102 +tp4257 +a(g681 +Vecx +p4258 +tp4259 +a(g826 +V\u000a +p4260 +tp4261 +a(g826 +V +p4262 +tp4263 +a(g573 +Vimul +p4264 +tp4265 +a(g826 +g1102 +tp4266 +a(g681 +Vecx +p4267 +tp4268 +a(g705 +g1106 +tp4269 +a(g826 +g1102 +tp4270 +a(g681 +VSI +p4271 +tp4272 +a(g440 +VZE +p4273 +tp4274 +a(g826 +g1102 +tp4275 +a(g440 +VTPriorityField +p4276 +tp4277 +a(g826 +V\u000a +p4278 +tp4279 +a(g826 +V +p4280 +tp4281 +a(g573 +Vmov +p4282 +tp4283 +a(g826 +g1102 +tp4284 +a(g681 +Vedi +p4285 +tp4286 +a(g705 +g1106 +tp4287 +a(g826 +g1102 +tp4288 +a(g681 +Vesi +p4289 +tp4290 +a(g826 +V\u000a +p4291 +tp4292 +a(g826 +V +p4293 +tp4294 +a(g573 +Vsub +p4295 +tp4296 +a(g826 +g1102 +tp4297 +a(g681 +Vedi +p4298 +tp4299 +a(g705 +g1106 +tp4300 +a(g826 +g1102 +tp4301 +a(g681 +VSI +p4302 +tp4303 +a(g440 +VZE +p4304 +tp4305 +a(g826 +g1102 +tp4306 +a(g440 +VTPriorityField +p4307 +tp4308 +a(g826 +V\u000a +p4309 +tp4310 +a(g826 +V +p4311 +tp4312 +a(g573 +Vrep +p4313 +tp4314 +a(g826 +g1102 +tp4315 +a(g440 +Vmovsb +p4316 +tp4317 +a(g826 +V\u000a\u000a +p4318 +tp4319 +a(g826 +V +p4320 +tp4321 +a(g573 +Vdec +p4322 +tp4323 +a(g826 +g1102 +tp4324 +a(g705 +g1368 +tp4325 +a(g440 +VopenlistSize +p4326 +tp4327 +a(g705 +g1372 +tp4328 +a(g826 +V\u000a +p4329 +tp4330 +a(g826 +V +p4331 +tp4332 +a(g573 +Vmov +p4333 +tp4334 +a(g826 +g1102 +tp4335 +a(g681 +Veax +p4336 +tp4337 +a(g705 +g1106 +tp4338 +a(g826 +g1102 +tp4339 +a(g37 +g2147 +tp4340 +a(g826 +V\u000a +p4341 +tp4342 +a(g826 +V +p4343 +tp4344 +a(g573 +Vret +p4345 +tp4346 +a(g826 +V\u000a +p4347 +tp4348 +a(g744 +VENDP +p4349 +tp4350 +a(g826 +g1102 +tp4351 +a(g440 +VpopOpen +p4352 +tp4353 +a(g826 +V\u000a\u000a +p4354 +tp4355 +a(g744 +VPROC +p4356 +tp4357 +a(g826 +g1102 +tp4358 +a(g440 +VaddClosed +p4359 +tp4360 +a(g826 +V\u000a +p4361 +tp4362 +a(g826 +V +p4363 +tp4364 +a(g744 +VUSES +p4365 +tp4366 +a(g826 +g1102 +tp4367 +a(g681 +Veax +p4368 +tp4369 +a(g705 +g1106 +tp4370 +a(g826 +g1102 +tp4371 +a(g681 +Vebx +p4372 +tp4373 +a(g826 +V\u000a\u000a +p4374 +tp4375 +a(g826 +V +p4376 +tp4377 +a(g573 +Vxor +p4378 +tp4379 +a(g826 +g1102 +tp4380 +a(g681 +Vebx +p4381 +tp4382 +a(g705 +g1106 +tp4383 +a(g826 +g1102 +tp4384 +a(g681 +Vebx +p4385 +tp4386 +a(g826 +V\u000a +p4387 +tp4388 +a(g826 +V +p4389 +tp4390 +a(g573 +Vxor +p4391 +tp4392 +a(g826 +g1102 +tp4393 +a(g681 +Veax +p4394 +tp4395 +a(g705 +g1106 +tp4396 +a(g826 +g1102 +tp4397 +a(g681 +Veax +p4398 +tp4399 +a(g826 +V\u000a\u000a +p4400 +tp4401 +a(g826 +V +p4402 +tp4403 +a(g573 +Vmov +p4404 +tp4405 +a(g826 +g1102 +tp4406 +a(g681 +Vbx +p4407 +tp4408 +a(g705 +g1106 +tp4409 +a(g826 +g1102 +tp4410 +a(g705 +g1368 +tp4411 +a(g681 +Vcl +p4412 +tp4413 +a(g440 +VosedlistSize +p4414 +tp4415 +a(g705 +g1372 +tp4416 +a(g826 +V\u000a +p4417 +tp4418 +a(g826 +V +p4419 +tp4420 +a(g573 +Vimul +p4421 +tp4422 +a(g826 +g1102 +tp4423 +a(g681 +Vebx +p4424 +tp4425 +a(g705 +g1106 +tp4426 +a(g826 +g1102 +tp4427 +a(g681 +VSI +p4428 +tp4429 +a(g440 +VZE +p4430 +tp4431 +a(g826 +g1102 +tp4432 +a(g440 +VTField +p4433 +tp4434 +a(g826 +V +p4435 +tp4436 +a(g826 +V\u000a +p4437 +tp4438 +a(g826 +V +p4439 +tp4440 +a(g573 +Vadd +p4441 +tp4442 +a(g826 +g1102 +tp4443 +a(g681 +Vebx +p4444 +tp4445 +a(g705 +g1106 +tp4446 +a(g826 +g1102 +tp4447 +a(g440 +Voffset +p4448 +tp4449 +a(g826 +g1102 +tp4450 +a(g681 +Vcl +p4451 +tp4452 +a(g440 +Vosedlist +p4453 +tp4454 +a(g826 +g1102 +tp4455 +a(g8 +V; ebx contains the target TField +p4456 +tp4457 +a(g826 +V\u000a\u000a +p4458 +tp4459 +a(g826 +V +p4460 +tp4461 +a(g573 +Vmov +p4462 +tp4463 +a(g826 +g1102 +tp4464 +a(g681 +Val +p4465 +tp4466 +a(g705 +g1106 +tp4467 +a(g826 +g1102 +tp4468 +a(g705 +g1368 +tp4469 +a(g440 +VcurrentOpen.x +p4470 +tp4471 +a(g705 +g1372 +tp4472 +a(g826 +V\u000a +p4473 +tp4474 +a(g826 +V +p4475 +tp4476 +a(g573 +Vmov +p4477 +tp4478 +a(g826 +g1102 +tp4479 +a(g705 +V[( +p4480 +tp4481 +a(g440 +VTField +p4482 +tp4483 +a(g826 +g1102 +tp4484 +a(g440 +Vptr +p4485 +tp4486 +a(g826 +g1102 +tp4487 +a(g681 +Vebx +p4488 +tp4489 +a(g705 +g1485 +tp4490 +a(g440 +V.x +p4491 +tp4492 +a(g705 +V], +p4493 +tp4494 +a(g826 +g1102 +tp4495 +a(g681 +Val +p4496 +tp4497 +a(g826 +V\u000a +p4498 +tp4499 +a(g826 +V +p4500 +tp4501 +a(g573 +Vmov +p4502 +tp4503 +a(g826 +g1102 +tp4504 +a(g681 +Val +p4505 +tp4506 +a(g705 +g1106 +tp4507 +a(g826 +g1102 +tp4508 +a(g705 +g1368 +tp4509 +a(g440 +VcurrentOpen.y +p4510 +tp4511 +a(g705 +g1372 +tp4512 +a(g826 +V\u000a +p4513 +tp4514 +a(g826 +V +p4515 +tp4516 +a(g573 +Vmov +p4517 +tp4518 +a(g826 +g1102 +tp4519 +a(g705 +V[( +p4520 +tp4521 +a(g440 +VTField +p4522 +tp4523 +a(g826 +g1102 +tp4524 +a(g440 +Vptr +p4525 +tp4526 +a(g826 +g1102 +tp4527 +a(g681 +Vebx +p4528 +tp4529 +a(g705 +g1485 +tp4530 +a(g440 +V.y +p4531 +tp4532 +a(g705 +V], +p4533 +tp4534 +a(g826 +g1102 +tp4535 +a(g681 +Val +p4536 +tp4537 +a(g826 +V\u000a +p4538 +tp4539 +a(g826 +V +p4540 +tp4541 +a(g573 +Vmov +p4542 +tp4543 +a(g826 +g1102 +tp4544 +a(g681 +Veax +p4545 +tp4546 +a(g705 +g1106 +tp4547 +a(g826 +g1102 +tp4548 +a(g705 +g1368 +tp4549 +a(g440 +VcurrentOpen.distance +p4550 +tp4551 +a(g705 +g1372 +tp4552 +a(g826 +V\u000a +p4553 +tp4554 +a(g826 +V +p4555 +tp4556 +a(g573 +Vmov +p4557 +tp4558 +a(g826 +g1102 +tp4559 +a(g705 +V[( +p4560 +tp4561 +a(g440 +VTField +p4562 +tp4563 +a(g826 +g1102 +tp4564 +a(g440 +Vptr +p4565 +tp4566 +a(g826 +g1102 +tp4567 +a(g681 +Vebx +p4568 +tp4569 +a(g705 +g1485 +tp4570 +a(g440 +V.distance +p4571 +tp4572 +a(g705 +V], +p4573 +tp4574 +a(g826 +g1102 +tp4575 +a(g681 +Veax +p4576 +tp4577 +a(g826 +V\u000a\u000a +p4578 +tp4579 +a(g826 +V +p4580 +tp4581 +a(g573 +Vinc +p4582 +tp4583 +a(g826 +g1102 +tp4584 +a(g705 +g1368 +tp4585 +a(g681 +Vcl +p4586 +tp4587 +a(g440 +VosedlistSize +p4588 +tp4589 +a(g705 +g1372 +tp4590 +a(g826 +V\u000a +p4591 +tp4592 +a(g826 +V +p4593 +tp4594 +a(g573 +Vcmp +p4595 +tp4596 +a(g826 +g1102 +tp4597 +a(g705 +g1368 +tp4598 +a(g681 +Vcl +p4599 +tp4600 +a(g440 +VosedlistSize +p4601 +tp4602 +a(g705 +V], +p4603 +tp4604 +a(g826 +g1102 +tp4605 +a(g681 +VCL +p4606 +tp4607 +a(g440 +VOSED_LIST_SIZE_MAX +p4608 +tp4609 +a(g826 +V\u000a +p4610 +tp4611 +a(g826 +V +p4612 +tp4613 +a(g573 +Vjne +p4614 +tp4615 +a(g826 +g1102 +tp4616 +a(g440 +V@noProblemWithClosedVector +p4617 +tp4618 +a(g826 +V\u000a\u000a +p4619 +tp4620 +a(g826 +V +p4621 +tp4622 +a(g573 +Vxor +p4623 +tp4624 +a(g826 +g1102 +tp4625 +a(g681 +Veax +p4626 +tp4627 +a(g705 +g1106 +tp4628 +a(g826 +g1102 +tp4629 +a(g681 +Veax +p4630 +tp4631 +a(g826 +V\u000a +p4632 +tp4633 +a(g826 +V +p4634 +tp4635 +a(g573 +Vmov +p4636 +tp4637 +a(g826 +g1102 +tp4638 +a(g681 +Vax +p4639 +tp4640 +a(g705 +g1106 +tp4641 +a(g826 +g1102 +tp4642 +a(g705 +g1368 +tp4643 +a(g681 +Vcl +p4644 +tp4645 +a(g440 +VosedlistSize +p4646 +tp4647 +a(g705 +g1372 +tp4648 +a(g826 +V\u000a +p4649 +tp4650 +a(g826 +V +p4651 +tp4652 +a(g573 +Vcall +p4653 +tp4654 +a(g826 +g1102 +tp4655 +a(g440 +Vcrash +p4656 +tp4657 +a(g705 +g1106 +tp4658 +a(g826 +g1102 +tp4659 +a(g440 +Voffset +p4660 +tp4661 +a(g826 +g1102 +tp4662 +a(g681 +Vcl +p4663 +tp4664 +a(g440 +VosedOutOfMemory +p4665 +tp4666 +a(g705 +g1106 +tp4667 +a(g826 +g1102 +tp4668 +a(g681 +Veax +p4669 +tp4670 +a(g826 +V\u000a\u000a +p4671 +tp4672 +a(g596 +V@noProblemWithClosedVector: +p4673 +tp4674 +a(g826 +V\u000a +p4675 +tp4676 +a(g826 +V +p4677 +tp4678 +a(g573 +Vret +p4679 +tp4680 +a(g826 +V\u000a +p4681 +tp4682 +a(g744 +VENDP +p4683 +tp4684 +a(g826 +g1102 +tp4685 +a(g440 +VaddClosed +p4686 +tp4687 +a(g826 +V\u000a\u000a +p4688 +tp4689 +a(g744 +VPROC +p4690 +tp4691 +a(g826 +g1102 +tp4692 +a(g440 +VaddOpen +p4693 +tp4694 +a(g826 +V\u000a +p4695 +tp4696 +a(g826 +V +p4697 +tp4698 +a(g744 +VUSES +p4699 +tp4700 +a(g826 +g1102 +tp4701 +a(g681 +Veax +p4702 +tp4703 +a(g705 +g1106 +tp4704 +a(g826 +g1102 +tp4705 +a(g681 +Vebx +p4706 +tp4707 +a(g826 +V\u000a +p4708 +tp4709 +a(g826 +V +p4710 +tp4711 +a(g744 +VARG +p4712 +tp4713 +a(g826 +g1102 +tp4714 +a(g440 +V@@x +p4715 +tp4716 +a(g705 +g1118 +tp4717 +a(g748 +Vdword +p4718 +tp4719 +a(g705 +g1106 +tp4720 +a(g826 +g1102 +tp4721 +a(g826 +V\u005c\u000a +p4722 +tp4723 +a(g826 +V +p4724 +tp4725 +a(g440 +V@@y +p4726 +tp4727 +a(g705 +g1118 +tp4728 +a(g748 +Vdword +p4729 +tp4730 +a(g705 +g1106 +tp4731 +a(g826 +g1102 +tp4732 +a(g826 +V\u005c\u000a +p4733 +tp4734 +a(g826 +V +p4735 +tp4736 +a(g440 +V@@priority +p4737 +tp4738 +a(g705 +g1118 +tp4739 +a(g748 +Vdword +p4740 +tp4741 +a(g705 +g1106 +tp4742 +a(g826 +g1102 +tp4743 +a(g826 +V\u005c\u000a +p4744 +tp4745 +a(g826 +V +p4746 +tp4747 +a(g440 +V@@distance +p4748 +tp4749 +a(g705 +g1118 +tp4750 +a(g748 +Vdword +p4751 +tp4752 +a(g826 +V\u000a\u000a +p4753 +tp4754 +a(g826 +V +p4755 +tp4756 +a(g573 +Vxor +p4757 +tp4758 +a(g826 +g1102 +tp4759 +a(g681 +Veax +p4760 +tp4761 +a(g705 +g1106 +tp4762 +a(g826 +g1102 +tp4763 +a(g681 +Veax +p4764 +tp4765 +a(g826 +V\u000a +p4766 +tp4767 +a(g826 +V +p4768 +tp4769 +a(g573 +Vmov +p4770 +tp4771 +a(g826 +g1102 +tp4772 +a(g681 +Vax +p4773 +tp4774 +a(g705 +g1106 +tp4775 +a(g826 +g1102 +tp4776 +a(g705 +g1368 +tp4777 +a(g440 +VopenlistSize +p4778 +tp4779 +a(g705 +g1372 +tp4780 +a(g826 +V\u000a +p4781 +tp4782 +a(g826 +V +p4783 +tp4784 +a(g573 +Vimul +p4785 +tp4786 +a(g826 +g1102 +tp4787 +a(g681 +Veax +p4788 +tp4789 +a(g705 +g1106 +tp4790 +a(g826 +g1102 +tp4791 +a(g681 +VSI +p4792 +tp4793 +a(g440 +VZE +p4794 +tp4795 +a(g826 +g1102 +tp4796 +a(g440 +VTPriorityField +p4797 +tp4798 +a(g826 +V\u000a +p4799 +tp4800 +a(g826 +V +p4801 +tp4802 +a(g573 +Vadd +p4803 +tp4804 +a(g826 +g1102 +tp4805 +a(g681 +Veax +p4806 +tp4807 +a(g705 +g1106 +tp4808 +a(g826 +g1102 +tp4809 +a(g440 +Voffset +p4810 +tp4811 +a(g826 +g1102 +tp4812 +a(g440 +Vopenlist +p4813 +tp4814 +a(g826 +V\u000a\u000a +p4815 +tp4816 +a(g826 +V +p4817 +tp4818 +a(g573 +Vmov +p4819 +tp4820 +a(g826 +g1102 +tp4821 +a(g681 +Vebx +p4822 +tp4823 +a(g705 +g1106 +tp4824 +a(g826 +g1102 +tp4825 +a(g705 +g1368 +tp4826 +a(g440 +V@@x +p4827 +tp4828 +a(g705 +g1372 +tp4829 +a(g826 +V\u000a +p4830 +tp4831 +a(g826 +V +p4832 +tp4833 +a(g573 +Vmov +p4834 +tp4835 +a(g826 +g1102 +tp4836 +a(g705 +V[( +p4837 +tp4838 +a(g440 +VTPriorityField +p4839 +tp4840 +a(g826 +g1102 +tp4841 +a(g440 +Vptr +p4842 +tp4843 +a(g826 +g1102 +tp4844 +a(g681 +Veax +p4845 +tp4846 +a(g705 +g1485 +tp4847 +a(g440 +V.x +p4848 +tp4849 +a(g705 +V], +p4850 +tp4851 +a(g826 +g1102 +tp4852 +a(g681 +Vbl +p4853 +tp4854 +a(g826 +V\u000a +p4855 +tp4856 +a(g826 +V +p4857 +tp4858 +a(g573 +Vmov +p4859 +tp4860 +a(g826 +g1102 +tp4861 +a(g681 +Vebx +p4862 +tp4863 +a(g705 +g1106 +tp4864 +a(g826 +g1102 +tp4865 +a(g705 +g1368 +tp4866 +a(g440 +V@@y +p4867 +tp4868 +a(g705 +g1372 +tp4869 +a(g826 +V\u000a +p4870 +tp4871 +a(g826 +V +p4872 +tp4873 +a(g573 +Vmov +p4874 +tp4875 +a(g826 +g1102 +tp4876 +a(g705 +V[( +p4877 +tp4878 +a(g440 +VTPriorityField +p4879 +tp4880 +a(g826 +g1102 +tp4881 +a(g440 +Vptr +p4882 +tp4883 +a(g826 +g1102 +tp4884 +a(g681 +Veax +p4885 +tp4886 +a(g705 +g1485 +tp4887 +a(g440 +V.y +p4888 +tp4889 +a(g705 +V], +p4890 +tp4891 +a(g826 +g1102 +tp4892 +a(g681 +Vbl +p4893 +tp4894 +a(g826 +V\u000a\u000a +p4895 +tp4896 +a(g826 +V +p4897 +tp4898 +a(g573 +Vmov +p4899 +tp4900 +a(g826 +g1102 +tp4901 +a(g681 +Vbl +p4902 +tp4903 +a(g705 +g1106 +tp4904 +a(g826 +g1102 +tp4905 +a(g705 +g1368 +tp4906 +a(g440 +VcurrentOpen.x +p4907 +tp4908 +a(g705 +g1372 +tp4909 +a(g826 +V\u000a +p4910 +tp4911 +a(g826 +V +p4912 +tp4913 +a(g573 +Vmov +p4914 +tp4915 +a(g826 +g1102 +tp4916 +a(g705 +V[( +p4917 +tp4918 +a(g440 +VTPriorityField +p4919 +tp4920 +a(g826 +g1102 +tp4921 +a(g440 +Vptr +p4922 +tp4923 +a(g826 +g1102 +tp4924 +a(g681 +Veax +p4925 +tp4926 +a(g705 +g1485 +tp4927 +a(g440 +V.fromx +p4928 +tp4929 +a(g705 +V], +p4930 +tp4931 +a(g826 +g1102 +tp4932 +a(g681 +Vbl +p4933 +tp4934 +a(g826 +V\u000a +p4935 +tp4936 +a(g826 +V +p4937 +tp4938 +a(g573 +Vmov +p4939 +tp4940 +a(g826 +g1102 +tp4941 +a(g681 +Vbl +p4942 +tp4943 +a(g705 +g1106 +tp4944 +a(g826 +g1102 +tp4945 +a(g705 +g1368 +tp4946 +a(g440 +VcurrentOpen.y +p4947 +tp4948 +a(g705 +g1372 +tp4949 +a(g826 +V\u000a +p4950 +tp4951 +a(g826 +V +p4952 +tp4953 +a(g573 +Vmov +p4954 +tp4955 +a(g826 +g1102 +tp4956 +a(g705 +V[( +p4957 +tp4958 +a(g440 +VTPriorityField +p4959 +tp4960 +a(g826 +g1102 +tp4961 +a(g440 +Vptr +p4962 +tp4963 +a(g826 +g1102 +tp4964 +a(g681 +Veax +p4965 +tp4966 +a(g705 +g1485 +tp4967 +a(g440 +V.fromy +p4968 +tp4969 +a(g705 +V], +p4970 +tp4971 +a(g826 +g1102 +tp4972 +a(g681 +Vbl +p4973 +tp4974 +a(g826 +V\u000a\u000a +p4975 +tp4976 +a(g826 +V +p4977 +tp4978 +a(g573 +Vmov +p4979 +tp4980 +a(g826 +g1102 +tp4981 +a(g681 +Vebx +p4982 +tp4983 +a(g705 +g1106 +tp4984 +a(g826 +g1102 +tp4985 +a(g705 +g1368 +tp4986 +a(g440 +V@@priority +p4987 +tp4988 +a(g705 +g1372 +tp4989 +a(g826 +V\u000a +p4990 +tp4991 +a(g826 +V +p4992 +tp4993 +a(g573 +Vmov +p4994 +tp4995 +a(g826 +g1102 +tp4996 +a(g705 +V[( +p4997 +tp4998 +a(g440 +VTPriorityField +p4999 +tp5000 +a(g826 +g1102 +tp5001 +a(g440 +Vptr +p5002 +tp5003 +a(g826 +g1102 +tp5004 +a(g681 +Veax +p5005 +tp5006 +a(g705 +g1485 +tp5007 +a(g440 +V.heuristic +p5008 +tp5009 +a(g705 +V], +p5010 +tp5011 +a(g826 +g1102 +tp5012 +a(g681 +Vebx +p5013 +tp5014 +a(g826 +V\u000a +p5015 +tp5016 +a(g826 +V +p5017 +tp5018 +a(g573 +Vmov +p5019 +tp5020 +a(g826 +g1102 +tp5021 +a(g681 +Vebx +p5022 +tp5023 +a(g705 +g1106 +tp5024 +a(g826 +g1102 +tp5025 +a(g705 +g1368 +tp5026 +a(g440 +V@@distance +p5027 +tp5028 +a(g705 +g1372 +tp5029 +a(g826 +V\u000a +p5030 +tp5031 +a(g826 +V +p5032 +tp5033 +a(g573 +Vmov +p5034 +tp5035 +a(g826 +g1102 +tp5036 +a(g705 +V[( +p5037 +tp5038 +a(g440 +VTPriorityField +p5039 +tp5040 +a(g826 +g1102 +tp5041 +a(g440 +Vptr +p5042 +tp5043 +a(g826 +g1102 +tp5044 +a(g681 +Veax +p5045 +tp5046 +a(g705 +g1485 +tp5047 +a(g440 +V.distance +p5048 +tp5049 +a(g705 +V], +p5050 +tp5051 +a(g826 +g1102 +tp5052 +a(g681 +Vebx +p5053 +tp5054 +a(g826 +V\u000a\u000a +p5055 +tp5056 +a(g826 +V +p5057 +tp5058 +a(g573 +Vinc +p5059 +tp5060 +a(g826 +g1102 +tp5061 +a(g705 +g1368 +tp5062 +a(g440 +VopenlistSize +p5063 +tp5064 +a(g705 +g1372 +tp5065 +a(g826 +V\u000a +p5066 +tp5067 +a(g826 +V +p5068 +tp5069 +a(g573 +Vcmp +p5070 +tp5071 +a(g826 +g1102 +tp5072 +a(g705 +g1368 +tp5073 +a(g440 +VopenlistSize +p5074 +tp5075 +a(g705 +V], +p5076 +tp5077 +a(g826 +g1102 +tp5078 +a(g440 +VOPEN_LIST_SIZE_MAX +p5079 +tp5080 +a(g826 +V\u000a +p5081 +tp5082 +a(g826 +V +p5083 +tp5084 +a(g573 +Vjne +p5085 +tp5086 +a(g826 +g1102 +tp5087 +a(g440 +V@noProblem +p5088 +tp5089 +a(g826 +V\u000a\u000a +p5090 +tp5091 +a(g826 +V +p5092 +tp5093 +a(g573 +Vxor +p5094 +tp5095 +a(g826 +g1102 +tp5096 +a(g681 +Veax +p5097 +tp5098 +a(g705 +g1106 +tp5099 +a(g826 +g1102 +tp5100 +a(g681 +Veax +p5101 +tp5102 +a(g826 +V\u000a +p5103 +tp5104 +a(g826 +V +p5105 +tp5106 +a(g573 +Vmov +p5107 +tp5108 +a(g826 +g1102 +tp5109 +a(g681 +Vax +p5110 +tp5111 +a(g705 +g1106 +tp5112 +a(g826 +g1102 +tp5113 +a(g705 +g1368 +tp5114 +a(g440 +VopenlistSize +p5115 +tp5116 +a(g705 +g1372 +tp5117 +a(g826 +V\u000a +p5118 +tp5119 +a(g826 +V +p5120 +tp5121 +a(g573 +Vcall +p5122 +tp5123 +a(g826 +g1102 +tp5124 +a(g440 +Vcrash +p5125 +tp5126 +a(g705 +g1106 +tp5127 +a(g826 +g1102 +tp5128 +a(g440 +Voffset +p5129 +tp5130 +a(g826 +g1102 +tp5131 +a(g440 +VopenOutOfMemory +p5132 +tp5133 +a(g705 +g1106 +tp5134 +a(g826 +g1102 +tp5135 +a(g681 +Veax +p5136 +tp5137 +a(g826 +V\u000a\u000a +p5138 +tp5139 +a(g596 +V@noProblem: +p5140 +tp5141 +a(g826 +V\u000a +p5142 +tp5143 +a(g826 +V +p5144 +tp5145 +a(g573 +Vret +p5146 +tp5147 +a(g826 +V\u000a +p5148 +tp5149 +a(g744 +VENDP +p5150 +tp5151 +a(g826 +V\u000a\u000a +p5152 +tp5153 +a(g744 +VPROC +p5154 +tp5155 +a(g826 +g1102 +tp5156 +a(g681 +Vdi +p5157 +tp5158 +a(g440 +Vstance +p5159 +tp5160 +a(g826 +V\u000a +p5161 +tp5162 +a(g826 +V +p5163 +tp5164 +a(g744 +VUSES +p5165 +tp5166 +a(g826 +g1102 +tp5167 +a(g681 +Vebx +p5168 +tp5169 +a(g826 +V\u000a +p5170 +tp5171 +a(g826 +V +p5172 +tp5173 +a(g744 +VARG +p5174 +tp5175 +a(g826 +g1102 +tp5176 +a(g440 +V@@srcx +p5177 +tp5178 +a(g705 +g1118 +tp5179 +a(g748 +Vdword +p5180 +tp5181 +a(g705 +g1106 +tp5182 +a(g826 +g1102 +tp5183 +a(g826 +V\u005c\u000a +p5184 +tp5185 +a(g826 +V +p5186 +tp5187 +a(g440 +V@@srcy +p5188 +tp5189 +a(g705 +g1118 +tp5190 +a(g748 +Vdword +p5191 +tp5192 +a(g705 +g1106 +tp5193 +a(g826 +g1102 +tp5194 +a(g826 +V\u005c\u000a +p5195 +tp5196 +a(g826 +V +p5197 +tp5198 +a(g440 +V@@tgtx +p5199 +tp5200 +a(g705 +g1118 +tp5201 +a(g748 +Vdword +p5202 +tp5203 +a(g705 +g1106 +tp5204 +a(g826 +g1102 +tp5205 +a(g826 +V\u005c\u000a +p5206 +tp5207 +a(g826 +V +p5208 +tp5209 +a(g440 +V@@tgty +p5210 +tp5211 +a(g705 +g1118 +tp5212 +a(g748 +Vdword +p5213 +tp5214 +a(g826 +g1102 +tp5215 +a(g826 +V\u005c\u000a +p5216 +tp5217 +a(g826 +V +p5218 +tp5219 +a(g440 +VRETURNS +p5220 +tp5221 +a(g826 +g1102 +tp5222 +a(g681 +Veax +p5223 +tp5224 +a(g826 +V\u000a\u000a +p5225 +tp5226 +a(g826 +V +p5227 +tp5228 +a(g573 +Vmov +p5229 +tp5230 +a(g826 +g1102 +tp5231 +a(g681 +Veax +p5232 +tp5233 +a(g705 +g1106 +tp5234 +a(g826 +g1102 +tp5235 +a(g705 +g1368 +tp5236 +a(g440 +V@@srcx +p5237 +tp5238 +a(g705 +g1372 +tp5239 +a(g826 +V\u000a +p5240 +tp5241 +a(g826 +V +p5242 +tp5243 +a(g573 +Vsub +p5244 +tp5245 +a(g826 +g1102 +tp5246 +a(g681 +Veax +p5247 +tp5248 +a(g705 +g1106 +tp5249 +a(g826 +g1102 +tp5250 +a(g705 +g1368 +tp5251 +a(g440 +V@@tgtx +p5252 +tp5253 +a(g705 +g1372 +tp5254 +a(g826 +V\u000a\u000a +p5255 +tp5256 +a(g826 +V +p5257 +tp5258 +a(g573 +Vjns +p5259 +tp5260 +a(g826 +g1102 +tp5261 +a(g440 +V@noSignChangex +p5262 +tp5263 +a(g826 +V\u000a +p5264 +tp5265 +a(g826 +V +p5266 +tp5267 +a(g573 +Vneg +p5268 +tp5269 +a(g826 +g1102 +tp5270 +a(g681 +Veax +p5271 +tp5272 +a(g826 +V\u000a\u000a +p5273 +tp5274 +a(g826 +V +p5275 +tp5276 +a(g596 +V@noSignChangex: +p5277 +tp5278 +a(g826 +V\u000a +p5279 +tp5280 +a(g826 +V\u000a +p5281 +tp5282 +a(g826 +V +p5283 +tp5284 +a(g573 +Vmov +p5285 +tp5286 +a(g826 +g1102 +tp5287 +a(g681 +Vebx +p5288 +tp5289 +a(g705 +g1106 +tp5290 +a(g826 +g1102 +tp5291 +a(g705 +g1368 +tp5292 +a(g440 +V@@srcy +p5293 +tp5294 +a(g705 +g1372 +tp5295 +a(g826 +V\u000a +p5296 +tp5297 +a(g826 +V +p5298 +tp5299 +a(g573 +Vsub +p5300 +tp5301 +a(g826 +g1102 +tp5302 +a(g681 +Vebx +p5303 +tp5304 +a(g705 +g1106 +tp5305 +a(g826 +g1102 +tp5306 +a(g705 +g1368 +tp5307 +a(g440 +V@@tgty +p5308 +tp5309 +a(g705 +g1372 +tp5310 +a(g826 +V\u000a\u000a +p5311 +tp5312 +a(g826 +V +p5313 +tp5314 +a(g573 +Vjns +p5315 +tp5316 +a(g826 +g1102 +tp5317 +a(g440 +V@noSignChangey +p5318 +tp5319 +a(g826 +V\u000a +p5320 +tp5321 +a(g826 +V +p5322 +tp5323 +a(g573 +Vneg +p5324 +tp5325 +a(g826 +g1102 +tp5326 +a(g681 +Vebx +p5327 +tp5328 +a(g826 +V\u000a\u000a +p5329 +tp5330 +a(g826 +V +p5331 +tp5332 +a(g596 +V@noSignChangey: +p5333 +tp5334 +a(g826 +V\u000a +p5335 +tp5336 +a(g826 +V +p5337 +tp5338 +a(g573 +Vadd +p5339 +tp5340 +a(g826 +g1102 +tp5341 +a(g681 +Veax +p5342 +tp5343 +a(g705 +g1106 +tp5344 +a(g826 +g1102 +tp5345 +a(g681 +Vebx +p5346 +tp5347 +a(g826 +V\u000a +p5348 +tp5349 +a(g826 +V +p5350 +tp5351 +a(g573 +Vret +p5352 +tp5353 +a(g826 +V\u000a +p5354 +tp5355 +a(g744 +VENDP +p5356 +tp5357 +a(g826 +g1102 +tp5358 +a(g681 +Vdi +p5359 +tp5360 +a(g440 +Vstance +p5361 +tp5362 +a(g826 +V\u000a\u000a +p5363 +tp5364 +a(g744 +VPROC +p5365 +tp5366 +a(g826 +g1102 +tp5367 +a(g681 +Vcl +p5368 +tp5369 +a(g440 +VeanData +p5370 +tp5371 +a(g826 +V\u000a +p5372 +tp5373 +a(g826 +V +p5374 +tp5375 +a(g744 +VUSES +p5376 +tp5377 +a(g826 +g1102 +tp5378 +a(g681 +Veax +p5379 +tp5380 +a(g705 +g1106 +tp5381 +a(g826 +g1102 +tp5382 +a(g681 +Vecx +p5383 +tp5384 +a(g826 +V\u000a +p5385 +tp5386 +a(g826 +V +p5387 +tp5388 +a(g573 +Vmov +p5389 +tp5390 +a(g826 +g1102 +tp5391 +a(g705 +g1368 +tp5392 +a(g440 +VopenlistSize +p5393 +tp5394 +a(g705 +V], +p5395 +tp5396 +a(g826 +g1102 +tp5397 +a(g37 +g1750 +tp5398 +a(g826 +V\u000a +p5399 +tp5400 +a(g826 +V +p5401 +tp5402 +a(g573 +Vmov +p5403 +tp5404 +a(g826 +g1102 +tp5405 +a(g705 +g1368 +tp5406 +a(g681 +Vcl +p5407 +tp5408 +a(g440 +VosedlistSize +p5409 +tp5410 +a(g705 +V], +p5411 +tp5412 +a(g826 +g1102 +tp5413 +a(g37 +g1750 +tp5414 +a(g826 +V\u000a\u000a +p5415 +tp5416 +a(g826 +V +p5417 +tp5418 +a(g573 +Vmov +p5419 +tp5420 +a(g826 +g1102 +tp5421 +a(g705 +g1368 +tp5422 +a(g440 +VcurrentOpen.x +p5423 +tp5424 +a(g705 +V], +p5425 +tp5426 +a(g826 +g1102 +tp5427 +a(g412 +V- +p5428 +tp5429 +a(g37 +g2147 +tp5430 +a(g826 +V\u000a +p5431 +tp5432 +a(g826 +V +p5433 +tp5434 +a(g573 +Vmov +p5435 +tp5436 +a(g826 +g1102 +tp5437 +a(g705 +g1368 +tp5438 +a(g440 +VcurrentOpen.y +p5439 +tp5440 +a(g705 +V], +p5441 +tp5442 +a(g826 +g1102 +tp5443 +a(g412 +g5428 +tp5444 +a(g37 +g2147 +tp5445 +a(g826 +V\u000a +p5446 +tp5447 +a(g826 +V +p5448 +tp5449 +a(g573 +Vmov +p5450 +tp5451 +a(g826 +g1102 +tp5452 +a(g705 +g1368 +tp5453 +a(g440 +VcurrentOpen.distance +p5454 +tp5455 +a(g705 +V], +p5456 +tp5457 +a(g826 +g1102 +tp5458 +a(g37 +g1750 +tp5459 +a(g826 +V\u000a\u000a +p5460 +tp5461 +a(g826 +V +p5462 +tp5463 +a(g573 +Vcall +p5464 +tp5465 +a(g826 +g1102 +tp5466 +a(g440 +VgetLevelWidth +p5467 +tp5468 +a(g826 +V\u000a +p5469 +tp5470 +a(g826 +V +p5471 +tp5472 +a(g573 +Vmov +p5473 +tp5474 +a(g826 +g1102 +tp5475 +a(g681 +Vecx +p5476 +tp5477 +a(g705 +g1106 +tp5478 +a(g826 +g1102 +tp5479 +a(g681 +Veax +p5480 +tp5481 +a(g826 +V\u000a +p5482 +tp5483 +a(g826 +V +p5484 +tp5485 +a(g573 +Vcall +p5486 +tp5487 +a(g826 +g1102 +tp5488 +a(g440 +VgetLevelHeight +p5489 +tp5490 +a(g826 +V\u000a +p5491 +tp5492 +a(g826 +V +p5493 +tp5494 +a(g573 +Vimul +p5495 +tp5496 +a(g826 +g1102 +tp5497 +a(g681 +Vecx +p5498 +tp5499 +a(g705 +g1106 +tp5500 +a(g826 +g1102 +tp5501 +a(g681 +Veax +p5502 +tp5503 +a(g826 +V\u000a\u000a +p5504 +tp5505 +a(g826 +V +p5506 +tp5507 +a(g573 +Vmov +p5508 +tp5509 +a(g826 +g1102 +tp5510 +a(g681 +Veax +p5511 +tp5512 +a(g705 +g1106 +tp5513 +a(g826 +g1102 +tp5514 +a(g440 +Voffset +p5515 +tp5516 +a(g826 +g1102 +tp5517 +a(g440 +VbacktraceGraph +p5518 +tp5519 +a(g826 +V\u000a +p5520 +tp5521 +a(g596 +V@fieldIter: +p5522 +tp5523 +a(g826 +V\u000a +p5524 +tp5525 +a(g826 +V +p5526 +tp5527 +a(g573 +Vmov +p5528 +tp5529 +a(g826 +g1102 +tp5530 +a(g705 +V[( +p5531 +tp5532 +a(g440 +VTField +p5533 +tp5534 +a(g826 +g1102 +tp5535 +a(g440 +Vptr +p5536 +tp5537 +a(g826 +g1102 +tp5538 +a(g681 +Veax +p5539 +tp5540 +a(g705 +g1485 +tp5541 +a(g440 +V.distance +p5542 +tp5543 +a(g705 +V], +p5544 +tp5545 +a(g826 +g1102 +tp5546 +a(g297 +V0ffffffffh +p5547 +tp5548 +a(g826 +g1102 +tp5549 +a(g8 +V; Set to approximately +inf +p5550 +tp5551 +a(g826 +V\u000a +p5552 +tp5553 +a(g826 +V +p5554 +tp5555 +a(g573 +Vmov +p5556 +tp5557 +a(g826 +g1102 +tp5558 +a(g705 +V[( +p5559 +tp5560 +a(g440 +VTField +p5561 +tp5562 +a(g826 +g1102 +tp5563 +a(g440 +Vptr +p5564 +tp5565 +a(g826 +g1102 +tp5566 +a(g681 +Veax +p5567 +tp5568 +a(g705 +g1485 +tp5569 +a(g440 +V.x +p5570 +tp5571 +a(g705 +V], +p5572 +tp5573 +a(g826 +g1102 +tp5574 +a(g37 +g1750 +tp5575 +a(g826 +V\u000a +p5576 +tp5577 +a(g826 +V +p5578 +tp5579 +a(g573 +Vmov +p5580 +tp5581 +a(g826 +g1102 +tp5582 +a(g705 +V[( +p5583 +tp5584 +a(g440 +VTField +p5585 +tp5586 +a(g826 +g1102 +tp5587 +a(g440 +Vptr +p5588 +tp5589 +a(g826 +g1102 +tp5590 +a(g681 +Veax +p5591 +tp5592 +a(g705 +g1485 +tp5593 +a(g440 +V.y +p5594 +tp5595 +a(g705 +V], +p5596 +tp5597 +a(g826 +g1102 +tp5598 +a(g37 +g1750 +tp5599 +a(g826 +V\u000a +p5600 +tp5601 +a(g826 +V +p5602 +tp5603 +a(g573 +Vadd +p5604 +tp5605 +a(g826 +g1102 +tp5606 +a(g681 +Veax +p5607 +tp5608 +a(g705 +g1106 +tp5609 +a(g826 +g1102 +tp5610 +a(g681 +VSI +p5611 +tp5612 +a(g440 +VZE +p5613 +tp5614 +a(g826 +g1102 +tp5615 +a(g440 +VTField +p5616 +tp5617 +a(g826 +V\u000a +p5618 +tp5619 +a(g826 +V +p5620 +tp5621 +a(g573 +Vdec +p5622 +tp5623 +a(g826 +g1102 +tp5624 +a(g681 +Vecx +p5625 +tp5626 +a(g826 +V\u000a +p5627 +tp5628 +a(g826 +V +p5629 +tp5630 +a(g573 +Vjnz +p5631 +tp5632 +a(g826 +g1102 +tp5633 +a(g440 +V@fieldIter +p5634 +tp5635 +a(g826 +V\u000a\u000a +p5636 +tp5637 +a(g826 +V +p5638 +tp5639 +a(g573 +Vret +p5640 +tp5641 +a(g826 +V\u000a +p5642 +tp5643 +a(g744 +VENDP +p5644 +tp5645 +a(g826 +g1102 +tp5646 +a(g681 +Vcl +p5647 +tp5648 +a(g440 +VeanData +p5649 +tp5650 +a(g826 +V\u000a\u000a +p5651 +tp5652 +a(g744 +VDATASEG +p5653 +tp5654 +a(g826 +V\u000a\u000a +p5655 +tp5656 +a(g565 +VopenOutOfMemory +p5657 +tp5658 +a(g809 +V +p5659 +tp5660 +a(g809 +Vdb +p5661 +tp5662 +a(g826 +g1102 +tp5663 +a(g89 +V"Out of openlistSize memory. Hi dev: Please increase$" +p5664 +tp5665 +a(g826 +V\u000a +p5666 +tp5667 +a(g565 +VclosedOutOfMemory +p5668 +tp5669 +a(g809 +g1102 +tp5670 +a(g809 +Vdb +p5671 +tp5672 +a(g826 +g1102 +tp5673 +a(g89 +V"Out of closedlistSize memory. Hi dev: Please increase$" +p5674 +tp5675 +a(g826 +V\u000a\u000a +p5676 +tp5677 +a(g8 +V; power | discover | walking | sailing | flying +p5678 +tp5679 +a(g826 +V\u000a +p5680 +tp5681 +a(g565 +VactionTable +p5682 +tp5683 +a(g809 +g1102 +tp5684 +a(g809 +Vdb +p5685 +tp5686 +a(g826 +V +p5687 +tp5688 +a(g265 +V00001101b +p5689 +tp5690 +a(g705 +g1106 +tp5691 +a(g826 +g1102 +tp5692 +a(g826 +V\u005c +p5693 +tp5694 +a(g8 +V;EMPTY +p5695 +tp5696 +a(g826 +V\u000a +p5697 +tp5698 +a(g826 +V +p5699 +tp5700 +a(g265 +V00001101b +p5701 +tp5702 +a(g705 +g1106 +tp5703 +a(g826 +g1102 +tp5704 +a(g826 +V\u005c +p5705 +tp5706 +a(g8 +V;RUBBLE +p5707 +tp5708 +a(g826 +V\u000a +p5709 +tp5710 +a(g826 +V +p5711 +tp5712 +a(g265 +V00000000b +p5713 +tp5714 +a(g705 +g1106 +tp5715 +a(g826 +g1102 +tp5716 +a(g826 +V\u005c +p5717 +tp5718 +a(g8 +V;GRAVEL +p5719 +tp5720 +a(g826 +V\u000a +p5721 +tp5722 +a(g826 +V +p5723 +tp5724 +a(g265 +V00000000b +p5725 +tp5726 +a(g705 +g1106 +tp5727 +a(g826 +g1102 +tp5728 +a(g826 +V\u005c +p5729 +tp5730 +a(g8 +V;LOOSE ROCK +p5731 +tp5732 +a(g826 +V\u000a +p5733 +tp5734 +a(g826 +V +p5735 +tp5736 +a(g265 +V00000000b +p5737 +tp5738 +a(g705 +g1106 +tp5739 +a(g826 +g1102 +tp5740 +a(g826 +V\u005c +p5741 +tp5742 +a(g8 +V;HARD ROCK +p5743 +tp5744 +a(g826 +V\u000a +p5745 +tp5746 +a(g826 +V +p5747 +tp5748 +a(g265 +V00000000b +p5749 +tp5750 +a(g705 +g1106 +tp5751 +a(g826 +g1102 +tp5752 +a(g826 +V\u005c +p5753 +tp5754 +a(g8 +V;MASSIVE ROCK +p5755 +tp5756 +a(g826 +V\u000a +p5757 +tp5758 +a(g826 +V +p5759 +tp5760 +a(g265 +V00000000b +p5761 +tp5762 +a(g705 +g1106 +tp5763 +a(g826 +g1102 +tp5764 +a(g826 +V\u005c +p5765 +tp5766 +a(g8 +V;KRISTAL SOURCE +p5767 +tp5768 +a(g826 +V\u000a +p5769 +tp5770 +a(g826 +V +p5771 +tp5772 +a(g265 +V00000000b +p5773 +tp5774 +a(g705 +g1106 +tp5775 +a(g826 +g1102 +tp5776 +a(g826 +V\u005c +p5777 +tp5778 +a(g8 +V;OREROCK +p5779 +tp5780 +a(g826 +V\u000a +p5781 +tp5782 +a(g826 +V +p5783 +tp5784 +a(g265 +V00001011b +p5785 +tp5786 +a(g705 +g1106 +tp5787 +a(g826 +g1102 +tp5788 +a(g826 +V\u005c +p5789 +tp5790 +a(g8 +V;WATER +p5791 +tp5792 +a(g826 +V\u000a +p5793 +tp5794 +a(g826 +V +p5795 +tp5796 +a(g265 +V00001001b +p5797 +tp5798 +a(g705 +g1106 +tp5799 +a(g826 +g1102 +tp5800 +a(g826 +V\u005c +p5801 +tp5802 +a(g8 +V;LAVA +p5803 +tp5804 +a(g826 +V\u000a +p5805 +tp5806 +a(g826 +V +p5807 +tp5808 +a(g265 +V00001101b +p5809 +tp5810 +a(g705 +g1106 +tp5811 +a(g826 +g1102 +tp5812 +a(g826 +V\u005c +p5813 +tp5814 +a(g8 +V;SNAIL HOLE +p5815 +tp5816 +a(g826 +V\u000a +p5817 +tp5818 +a(g826 +V +p5819 +tp5820 +a(g265 +V00001101b +p5821 +tp5822 +a(g705 +g1106 +tp5823 +a(g826 +g1102 +tp5824 +a(g826 +V\u005c +p5825 +tp5826 +a(g8 +V;EROSION +p5827 +tp5828 +a(g826 +V\u000a +p5829 +tp5830 +a(g826 +V +p5831 +tp5832 +a(g265 +V00011101b +p5833 +tp5834 +a(g705 +g1106 +tp5835 +a(g826 +g1102 +tp5836 +a(g826 +V\u005c +p5837 +tp5838 +a(g8 +V;POWER PATH +p5839 +tp5840 +a(g826 +V\u000a +p5841 +tp5842 +a(g826 +V +p5843 +tp5844 +a(g265 +V00011101b +p5845 +tp5846 +a(g705 +g1106 +tp5847 +a(g826 +g1102 +tp5848 +a(g826 +V\u005c +p5849 +tp5850 +a(g8 +V;BUILDING POWER PATH +p5851 +tp5852 +a(g826 +V\u000a +p5853 +tp5854 +a(g826 +V +p5855 +tp5856 +a(g265 +V00011000b +p5857 +tp5858 +a(g826 +V +p5859 +tp5860 +a(g826 +V\u005c +p5861 +tp5862 +a(g8 +V;BUILDING +p5863 +tp5864 +a(g826 +V\u000a +p5865 +tp5866 +a(g826 +V\u000a +p5867 +tp5868 +a(g744 +VUDATASEG +p5869 +tp5870 +a(g826 +V\u000a\u000a +p5871 +tp5872 +a(g565 +VcurrentType +p5873 +tp5874 +a(g809 +V +p5875 +tp5876 +a(g809 +Vdd +p5877 +tp5878 +a(g826 +g1102 +tp5879 +a(g440 +g1184 +tp5880 +a(g826 +V\u000a +p5881 +tp5882 +a(g565 +VcurrentOpen +p5883 +tp5884 +a(g809 +V +p5885 +tp5886 +a(g809 +VTPriorityField +p5887 +tp5888 +a(g826 +g1102 +tp5889 +a(g440 +g1184 +tp5890 +a(g826 +V\u000a\u000a +p5891 +tp5892 +a(g565 +Vopenlist +p5893 +tp5894 +a(g809 +V +p5895 +tp5896 +a(g809 +VTPriorityField +p5897 +tp5898 +a(g826 +g1102 +tp5899 +a(g440 +VOPEN_LIST_SIZE_MAX +p5900 +tp5901 +a(g826 +g1102 +tp5902 +a(g440 +Vdup +p5903 +tp5904 +a(g705 +g3985 +tp5905 +a(g440 +g1184 +tp5906 +a(g705 +g1485 +tp5907 +a(g826 +V\u000a +p5908 +tp5909 +a(g565 +VopenlistSize +p5910 +tp5911 +a(g809 +V +p5912 +tp5913 +a(g809 +Vdw +p5914 +tp5915 +a(g826 +g1102 +tp5916 +a(g440 +g1184 +tp5917 +a(g826 +V\u000a +p5918 +tp5919 +a(g565 +Vclosedlist +p5920 +tp5921 +a(g809 +V +p5922 +tp5923 +a(g809 +VTField +p5924 +tp5925 +a(g826 +g1102 +tp5926 +a(g681 +VCL +p5927 +tp5928 +a(g440 +VOSED_LIST_SIZE_MAX +p5929 +tp5930 +a(g826 +V +p5931 +tp5932 +a(g440 +Vdup +p5933 +tp5934 +a(g705 +g3985 +tp5935 +a(g440 +g1184 +tp5936 +a(g705 +g1485 +tp5937 +a(g826 +V\u000a +p5938 +tp5939 +a(g565 +VclosedlistSize +p5940 +tp5941 +a(g809 +V +p5942 +tp5943 +a(g809 +Vdw +p5944 +tp5945 +a(g826 +g1102 +tp5946 +a(g440 +g1184 +tp5947 +a(g826 +V\u000a +p5948 +tp5949 +a(g565 +VbacktraceGraph +p5950 +tp5951 +a(g809 +V +p5952 +tp5953 +a(g809 +VTField +p5954 +tp5955 +a(g826 +g1102 +tp5956 +a(g440 +VMAX_LEVEL_SIZE +p5957 +tp5958 +a(g826 +V +p5959 +tp5960 +a(g440 +Vdup +p5961 +tp5962 +a(g705 +g3985 +tp5963 +a(g440 +g1184 +tp5964 +a(g705 +g1485 +tp5965 +a(g826 +V\u000a\u000a +p5966 +tp5967 +a(g744 +VEND +p5968 +tp5969 +a(g826 +V\u000a +p5970 +tp5971 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.tea b/tests/examplefiles/output/example.tea new file mode 100644 index 0000000..70f3d03 --- /dev/null +++ b/tests/examplefiles/output/example.tea @@ -0,0 +1,3031 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g842 +V<% +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g907 +Vtemplate +p962 +tp963 +a(g7 +g960 +tp964 +a(g577 +Vexample +p965 +tp966 +a(g416 +V( +p967 +tp968 +a(g416 +V) +p969 +tp970 +a(g7 +g960 +tp971 +a(g416 +V{ +p972 +tp973 +a(g416 +V... +p974 +tp975 +a(g416 +V} +p976 +tp977 +a(g7 +V\u000a +p978 +tp979 +a(g439 +Va +p980 +tp981 +a(g7 +g960 +tp982 +a(g416 +V= +p983 +tp984 +a(g7 +g960 +tp985 +a(g40 +V123 +p986 +tp987 +a(g7 +V\u000a +p988 +tp989 +a(g439 +Vb +p990 +tp991 +a(g7 +g960 +tp992 +a(g416 +g983 +tp993 +a(g7 +g960 +tp994 +a(g92 +V"test" +p995 +tp996 +a(g416 +V; +p997 +tp998 +a(g7 +V\u000a +p999 +tp1000 +a(g439 +Vc +p1001 +tp1002 +a(g7 +g960 +tp1003 +a(g416 +g983 +tp1004 +a(g7 +g960 +tp1005 +a(g318 +V4.5 +p1006 +tp1007 +a(g7 +V\u000a +p1008 +tp1009 +a(g439 +Vd +p1010 +tp1011 +a(g7 +g960 +tp1012 +a(g416 +g983 +tp1013 +a(g7 +g960 +tp1014 +a(g907 +Vcall +p1015 +tp1016 +a(g7 +g960 +tp1017 +a(g439 +Vother +p1018 +tp1019 +a(g416 +g967 +tp1020 +a(g416 +g969 +tp1021 +a(g7 +V\u000a +p1022 +tp1023 +a(g439 +Vf +p1024 +tp1025 +a(g7 +g960 +tp1026 +a(g416 +g983 +tp1027 +a(g7 +g960 +tp1028 +a(g439 +Vother2 +p1029 +tp1030 +a(g416 +g967 +tp1031 +a(g416 +g969 +tp1032 +a(g7 +V\u000a +p1033 +tp1034 +a(g7 +V\u000a +p1035 +tp1036 +a(g907 +Vdefine +p1037 +tp1038 +a(g7 +g960 +tp1039 +a(g439 +Vg +p1040 +tp1041 +a(g7 +g960 +tp1042 +a(g907 +Vas +p1043 +tp1044 +a(g7 +g960 +tp1045 +a(g439 +VString +p1046 +tp1047 +a(g7 +V\u000a +p1048 +tp1049 +a(g7 +V\u000a +p1050 +tp1051 +a(g439 +Vh +p1052 +tp1053 +a(g7 +g960 +tp1054 +a(g416 +g983 +tp1055 +a(g7 +g960 +tp1056 +a(g861 +Vtrue +p1057 +tp1058 +a(g7 +V\u000a +p1059 +tp1060 +a(g439 +Vi +p1061 +tp1062 +a(g7 +g960 +tp1063 +a(g416 +g983 +tp1064 +a(g7 +g960 +tp1065 +a(g861 +Vfalse +p1066 +tp1067 +a(g7 +V\u000a +p1068 +tp1069 +a(g439 +Vj +p1070 +tp1071 +a(g7 +g960 +tp1072 +a(g416 +g983 +tp1073 +a(g7 +g960 +tp1074 +a(g861 +Vnull +p1075 +tp1076 +a(g7 +V\u000a +p1077 +tp1078 +a(g842 +V%> +p1079 +tp1080 +a(g7 +V\u000a +p1081 +tp1082 +a(g561 +V +p1085 +tp1086 +a(g7 +V\u000a +p1087 +tp1088 +a(g561 +V +p1119 +tp1120 +a(g7 +V\u000a +p1121 +tp1122 +a(g842 +V<% +p1123 +tp1124 +a(g7 +g960 +tp1125 +a(g761 +V// Second block\u000a +p1126 +tp1127 +a(g842 +Vif +p1128 +tp1129 +a(g416 +g967 +tp1130 +a(g439 +g980 +tp1131 +a(g7 +g960 +tp1132 +a(g416 +g983 +tp1133 +a(g416 +g983 +tp1134 +a(g7 +g960 +tp1135 +a(g40 +V123 +p1136 +tp1137 +a(g7 +g960 +tp1138 +a(g842 +Vand +p1139 +tp1140 +a(g7 +g960 +tp1141 +a(g439 +g990 +tp1142 +a(g7 +g960 +tp1143 +a(g416 +g983 +tp1144 +a(g416 +g983 +tp1145 +a(g7 +g960 +tp1146 +a(g92 +V"test" +p1147 +tp1148 +a(g416 +g969 +tp1149 +a(g7 +g960 +tp1150 +a(g416 +g972 +tp1151 +a(g7 +V\u000a +p1152 +tp1153 +a(g7 +V +p1154 +tp1155 +a(g92 +V'yes' +p1156 +tp1157 +a(g7 +V\u000a +p1158 +tp1159 +a(g416 +g976 +tp1160 +a(g7 +g960 +tp1161 +a(g842 +Velse +p1162 +tp1163 +a(g7 +g960 +tp1164 +a(g416 +g972 +tp1165 +a(g7 +V\u000a +p1166 +tp1167 +a(g7 +V +p1168 +tp1169 +a(g92 +V'no' +p1170 +tp1171 +a(g7 +V\u000a +p1172 +tp1173 +a(g416 +g976 +tp1174 +a(g7 +V\u000a +p1175 +tp1176 +a(g7 +V\u000a +p1177 +tp1178 +a(g842 +Vforeach +p1179 +tp1180 +a(g416 +g967 +tp1181 +a(g439 +g1061 +tp1182 +a(g7 +g960 +tp1183 +a(g842 +Vin +p1184 +tp1185 +a(g7 +g960 +tp1186 +a(g40 +V1 +p1187 +tp1188 +a(g416 +V.. +p1189 +tp1190 +a(g40 +V10 +p1191 +tp1192 +a(g416 +g969 +tp1193 +a(g7 +g960 +tp1194 +a(g416 +g972 +tp1195 +a(g7 +V\u000a +p1196 +tp1197 +a(g7 +V +p1198 +tp1199 +a(g439 +g1061 +tp1200 +a(g7 +g960 +tp1201 +a(g416 +V& +p1202 +tp1203 +a(g7 +g960 +tp1204 +a(g92 +V"," +p1205 +tp1206 +a(g7 +V\u000a +p1207 +tp1208 +a(g416 +g976 +tp1209 +a(g7 +V\u000a +p1210 +tp1211 +a(g7 +V\u000a +p1212 +tp1213 +a(g842 +Vforeach +p1214 +tp1215 +a(g416 +g967 +tp1216 +a(g439 +g1061 +tp1217 +a(g7 +g960 +tp1218 +a(g842 +Vin +p1219 +tp1220 +a(g7 +g960 +tp1221 +a(g416 +V# +p1222 +tp1223 +a(g416 +g967 +tp1224 +a(g40 +g1187 +tp1225 +a(g416 +V, +p1226 +tp1227 +a(g40 +V2 +p1228 +tp1229 +a(g416 +g1226 +tp1230 +a(g40 +V3 +p1231 +tp1232 +a(g416 +g969 +tp1233 +a(g7 +g960 +tp1234 +a(g842 +Vreverse +p1235 +tp1236 +a(g7 +g960 +tp1237 +a(g416 +g972 +tp1238 +a(g7 +V\u000a +p1239 +tp1240 +a(g7 +V +p1241 +tp1242 +a(g439 +g1061 +tp1243 +a(g7 +g960 +tp1244 +a(g416 +g1202 +tp1245 +a(g7 +g960 +tp1246 +a(g92 +V";" +p1247 +tp1248 +a(g7 +V\u000a +p1249 +tp1250 +a(g416 +g976 +tp1251 +a(g7 +V\u000a +p1252 +tp1253 +a(g7 +V\u000a +p1254 +tp1255 +a(g842 +V%> +p1256 +tp1257 +a(g7 +V\u000a +p1258 +tp1259 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.tf b/tests/examplefiles/output/example.tf new file mode 100644 index 0000000..bc0c532 --- /dev/null +++ b/tests/examplefiles/output/example.tf @@ -0,0 +1,4849 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVvariable +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g81 +V"key_name" +p960 +tp961 +a(g831 +g958 +tp962 +a(g866 +V{ +p963 +tp964 +a(g831 +V\u000a +p965 +tp966 +a(g659 +V description +p967 +tp968 +a(g831 +g958 +tp969 +a(g405 +V= +p970 +tp971 +a(g831 +g958 +tp972 +a(g149 +V"Name of the SSH keypair to use in AWS." +p973 +tp974 +a(g831 +V\u000a +p975 +tp976 +a(g866 +V} +p977 +tp978 +a(g831 +V\u000a +p979 +tp980 +a(g831 +V\u000a +p981 +tp982 +a(g8 +Vvariable +p983 +tp984 +a(g831 +g958 +tp985 +a(g81 +V"key_path" +p986 +tp987 +a(g831 +g958 +tp988 +a(g866 +g963 +tp989 +a(g831 +V\u000a +p990 +tp991 +a(g659 +V description +p992 +tp993 +a(g831 +g958 +tp994 +a(g405 +g970 +tp995 +a(g831 +g958 +tp996 +a(g149 +V"Path to the private portion of the SSH key specified." +p997 +tp998 +a(g831 +V\u000a +p999 +tp1000 +a(g866 +g977 +tp1001 +a(g831 +V\u000a +p1002 +tp1003 +a(g831 +V\u000a +p1004 +tp1005 +a(g8 +Vvariable +p1006 +tp1007 +a(g831 +g958 +tp1008 +a(g81 +V"aws_region" +p1009 +tp1010 +a(g831 +g958 +tp1011 +a(g866 +g963 +tp1012 +a(g831 +V\u000a +p1013 +tp1014 +a(g659 +V description +p1015 +tp1016 +a(g831 +g958 +tp1017 +a(g405 +g970 +tp1018 +a(g831 +g958 +tp1019 +a(g149 +V"AWS region to launch servers." +p1020 +tp1021 +a(g831 +V\u000a +p1022 +tp1023 +a(g659 +V default +p1024 +tp1025 +a(g831 +g958 +tp1026 +a(g405 +g970 +tp1027 +a(g831 +g958 +tp1028 +a(g149 +V"us-west-2" +p1029 +tp1030 +a(g831 +V\u000a +p1031 +tp1032 +a(g659 +V somevar +p1033 +tp1034 +a(g831 +g958 +tp1035 +a(g405 +g970 +tp1036 +a(g831 +g958 +tp1037 +a(g886 +Vtrue +p1038 +tp1039 +a(g831 +V\u000a +p1040 +tp1041 +a(g866 +g977 +tp1042 +a(g750 +V\u000a\u000a# Ubuntu Precise 12.04 LTS (x64)\u000a +p1043 +tp1044 +a(g8 +Vvariable +p1045 +tp1046 +a(g831 +g958 +tp1047 +a(g81 +V"aws_amis" +p1048 +tp1049 +a(g831 +g958 +tp1050 +a(g866 +g963 +tp1051 +a(g831 +V\u000a +p1052 +tp1053 +a(g659 +V default +p1054 +tp1055 +a(g831 +g958 +tp1056 +a(g405 +g970 +tp1057 +a(g831 +g958 +tp1058 +a(g866 +g963 +tp1059 +a(g831 +V\u000a +p1060 +tp1061 +a(g659 +V eu-west-1 +p1062 +tp1063 +a(g831 +g958 +tp1064 +a(g405 +g970 +tp1065 +a(g831 +g958 +tp1066 +a(g149 +V"ami-b1cf19c6" +p1067 +tp1068 +a(g831 +V\u000a +p1069 +tp1070 +a(g659 +V us-east-1 +p1071 +tp1072 +a(g831 +g958 +tp1073 +a(g405 +g970 +tp1074 +a(g831 +g958 +tp1075 +a(g149 +V"ami-de7ab6b6" +p1076 +tp1077 +a(g831 +V\u000a +p1078 +tp1079 +a(g659 +V us-west-1 +p1080 +tp1081 +a(g831 +g958 +tp1082 +a(g405 +g970 +tp1083 +a(g831 +g958 +tp1084 +a(g149 +V"ami-3f75767a" +p1085 +tp1086 +a(g831 +V\u000a +p1087 +tp1088 +a(g659 +V us-west-2 +p1089 +tp1090 +a(g831 +g958 +tp1091 +a(g405 +g970 +tp1092 +a(g831 +g958 +tp1093 +a(g149 +V"ami-21f78e11" +p1094 +tp1095 +a(g831 +V\u000a +p1096 +tp1097 +a(g831 +V +p1098 +tp1099 +a(g866 +g977 +tp1100 +a(g831 +V\u000a +p1101 +tp1102 +a(g866 +g977 +tp1103 +a(g831 +V\u000a +p1104 +tp1105 +a(g831 +V\u000a +p1106 +tp1107 +a(g831 +V\u000a +p1108 +tp1109 +a(g8 +Vresource +p1110 +tp1111 +a(g831 +g958 +tp1112 +a(g81 +V"aws_internet_gateway" "base_igw" +p1113 +tp1114 +a(g831 +g958 +tp1115 +a(g866 +g963 +tp1116 +a(g831 +V\u000a +p1117 +tp1118 +a(g659 +V vpc_id +p1119 +tp1120 +a(g831 +g958 +tp1121 +a(g405 +g970 +tp1122 +a(g831 +g958 +tp1123 +a(g149 +V"${aws_vpc.something.id}" +p1124 +tp1125 +a(g831 +V\u000a +p1126 +tp1127 +a(g831 +V +p1128 +tp1129 +a(g939 +Vtags +p1130 +tp1131 +a(g831 +g958 +tp1132 +a(g866 +g963 +tp1133 +a(g831 +V\u000a +p1134 +tp1135 +a(g659 +V Name +p1136 +tp1137 +a(g831 +g958 +tp1138 +a(g405 +g970 +tp1139 +a(g831 +g958 +tp1140 +a(g149 +V"igw-${var.something}-${var.something}" +p1141 +tp1142 +a(g831 +V\u000a +p1143 +tp1144 +a(g831 +V +p1145 +tp1146 +a(g866 +g977 +tp1147 +a(g831 +V\u000a +p1148 +tp1149 +a(g866 +g977 +tp1150 +a(g831 +V\u000a +p1151 +tp1152 +a(g831 +V\u000a +p1153 +tp1154 +a(g831 +V\u000a +p1155 +tp1156 +a(g831 +V\u000a +p1157 +tp1158 +a(g831 +V\u000a +p1159 +tp1160 +a(g831 +V\u000a +p1161 +tp1162 +a(g831 +V\u000a +p1163 +tp1164 +a(g8 +Vprovider +p1165 +tp1166 +a(g831 +g958 +tp1167 +a(g81 +V"aws" +p1168 +tp1169 +a(g831 +g958 +tp1170 +a(g866 +g963 +tp1171 +a(g831 +V\u000a +p1172 +tp1173 +a(g659 +V access_key +p1174 +tp1175 +a(g831 +g958 +tp1176 +a(g405 +g970 +tp1177 +a(g831 +g958 +tp1178 +a(g149 +V"${myvar}" +p1179 +tp1180 +a(g831 +V \u000a +p1181 +tp1182 +a(g659 +Vsecret_key +p1183 +tp1184 +a(g831 +g958 +tp1185 +a(g405 +g970 +tp1186 +a(g831 +g958 +tp1187 +a(g149 +V"your aws secret key" +p1188 +tp1189 +a(g831 +V\u000a +p1190 +tp1191 +a(g659 +V region +p1192 +tp1193 +a(g831 +g958 +tp1194 +a(g405 +g970 +tp1195 +a(g831 +g958 +tp1196 +a(g149 +V"us-east-1" +p1197 +tp1198 +a(g831 +V\u000a +p1199 +tp1200 +a(g866 +g977 +tp1201 +a(g781 +V\u000a/* +p1202 +tp1203 +a(g781 +g958 +tp1204 +a(g781 +Vm +p1205 +tp1206 +a(g781 +Vu +p1207 +tp1208 +a(g781 +Vl +p1209 +tp1210 +a(g781 +Vt +p1211 +tp1212 +a(g781 +Vi +p1213 +tp1214 +a(g781 +g1209 +tp1215 +a(g781 +g1213 +tp1216 +a(g781 +Vn +p1217 +tp1218 +a(g781 +Ve +p1219 +tp1220 +a(g781 +V\u000a +p1221 +tp1222 +a(g781 +V\u000a +p1223 +tp1224 +a(g781 +g958 +tp1225 +a(g781 +g958 +tp1226 +a(g781 +Vc +p1227 +tp1228 +a(g781 +Vo +p1229 +tp1230 +a(g781 +g1205 +tp1231 +a(g781 +g1205 +tp1232 +a(g781 +g1219 +tp1233 +a(g781 +g1217 +tp1234 +a(g781 +g1211 +tp1235 +a(g781 +V\u000a +p1236 +tp1237 +a(g781 +V\u000a +p1238 +tp1239 +a(g781 +V*/ +p1240 +tp1241 +a(g831 +V\u000a +p1242 +tp1243 +a(g831 +V\u000a +p1244 +tp1245 +a(g831 +V\u000a +p1246 +tp1247 +a(g8 +Vresource +p1248 +tp1249 +a(g831 +g958 +tp1250 +a(g81 +V"aws_route53_record" "test" +p1251 +tp1252 +a(g831 +g958 +tp1253 +a(g866 +g963 +tp1254 +a(g831 +V\u000a +p1255 +tp1256 +a(g659 +V zone_id +p1257 +tp1258 +a(g831 +V +p1259 +tp1260 +a(g405 +g970 +tp1261 +a(g831 +g958 +tp1262 +a(g149 +V"zone" +p1263 +tp1264 +a(g831 +V\u000a +p1265 +tp1266 +a(g659 +V name +p1267 +tp1268 +a(g831 +V +p1269 +tp1270 +a(g405 +g970 +tp1271 +a(g831 +g958 +tp1272 +a(g149 +V"name" +p1273 +tp1274 +a(g831 +V\u000a +p1275 +tp1276 +a(g659 +V type +p1277 +tp1278 +a(g831 +V +p1279 +tp1280 +a(g405 +g970 +tp1281 +a(g831 +g958 +tp1282 +a(g149 +V"A" +p1283 +tp1284 +a(g831 +V\u000a +p1285 +tp1286 +a(g831 +V +p1287 +tp1288 +a(g939 +Valias +p1289 +tp1290 +a(g831 +g958 +tp1291 +a(g866 +g963 +tp1292 +a(g831 +V\u000a +p1293 +tp1294 +a(g659 +V name +p1295 +tp1296 +a(g831 +V +p1297 +tp1298 +a(g405 +g970 +tp1299 +a(g831 +g958 +tp1300 +a(g149 +V"alias name" +p1301 +tp1302 +a(g831 +V\u000a +p1303 +tp1304 +a(g831 +V +p1305 +tp1306 +a(g866 +g977 +tp1307 +a(g831 +V\u000a +p1308 +tp1309 +a(g866 +g977 +tp1310 +a(g750 +V\u000a\u000a\u000a# Single line comment\u000a +p1311 +tp1312 +a(g8 +Vresource +p1313 +tp1314 +a(g831 +g958 +tp1315 +a(g81 +V"aws_instance" "example" +p1316 +tp1317 +a(g831 +g958 +tp1318 +a(g866 +g963 +tp1319 +a(g831 +V\u000a +p1320 +tp1321 +a(g659 +V ami +p1322 +tp1323 +a(g831 +g958 +tp1324 +a(g405 +g970 +tp1325 +a(g831 +g958 +tp1326 +a(g149 +V"ami-408c7f28" +p1327 +tp1328 +a(g831 +V\u000a +p1329 +tp1330 +a(g659 +V instance_type +p1331 +tp1332 +a(g831 +g958 +tp1333 +a(g405 +g970 +tp1334 +a(g831 +g958 +tp1335 +a(g149 +V"t1.micro" +p1336 +tp1337 +a(g831 +V\u000a +p1338 +tp1339 +a(g659 +V key_name +p1340 +tp1341 +a(g831 +V +p1342 +tp1343 +a(g405 +g970 +tp1344 +a(g831 +g958 +tp1345 +a(g149 +V"your-aws-key-name" +p1346 +tp1347 +a(g831 +V\u000a +p1348 +tp1349 +a(g866 +g977 +tp1350 +a(g750 +V\u000a\u000a# Create our Heroku application. Heroku will\u000a +p1351 +tp1352 +a(g750 +V# automatically assign a name.\u000a +p1353 +tp1354 +a(g8 +Vresource +p1355 +tp1356 +a(g831 +g958 +tp1357 +a(g81 +V"heroku_app" "web" +p1358 +tp1359 +a(g831 +g958 +tp1360 +a(g866 +g963 +tp1361 +a(g866 +g977 +tp1362 +a(g831 +V\u000a +p1363 +tp1364 +a(g750 +V\u000a# Create our DNSimple record to point to the\u000a +p1365 +tp1366 +a(g750 +V# heroku application.\u000a +p1367 +tp1368 +a(g8 +Vresource +p1369 +tp1370 +a(g831 +g958 +tp1371 +a(g81 +V"dnsimple_record" "web" +p1372 +tp1373 +a(g831 +g958 +tp1374 +a(g866 +g963 +tp1375 +a(g831 +V\u000a +p1376 +tp1377 +a(g659 +V domain +p1378 +tp1379 +a(g831 +g958 +tp1380 +a(g405 +g970 +tp1381 +a(g831 +g958 +tp1382 +a(g149 +V"${var.dnsimple_domain}" +p1383 +tp1384 +a(g750 +V\u000a\u000a\u000a # heroku_hostname is a computed attribute on the heroku\u000a +p1385 +tp1386 +a(g750 +V # application we can use to determine the hostname\u000a +p1387 +tp1388 +a(g659 +V value +p1389 +tp1390 +a(g831 +g958 +tp1391 +a(g405 +g970 +tp1392 +a(g831 +g958 +tp1393 +a(g149 +V"${heroku_app.web.heroku_hostname}" +p1394 +tp1395 +a(g831 +V\u000a +p1396 +tp1397 +a(g831 +V\u000a +p1398 +tp1399 +a(g659 +V type +p1400 +tp1401 +a(g831 +g958 +tp1402 +a(g405 +g970 +tp1403 +a(g831 +g958 +tp1404 +a(g149 +V"CNAME" +p1405 +tp1406 +a(g831 +V\u000a +p1407 +tp1408 +a(g659 +V ttl +p1409 +tp1410 +a(g831 +g958 +tp1411 +a(g405 +g970 +tp1412 +a(g831 +g958 +tp1413 +a(g22 +V3600 +p1414 +tp1415 +a(g831 +V\u000a +p1416 +tp1417 +a(g866 +g977 +tp1418 +a(g750 +V\u000a\u000a# The Heroku domain, which will be created and added\u000a +p1419 +tp1420 +a(g750 +V# to the heroku application after we have assigned the domain\u000a +p1421 +tp1422 +a(g750 +V# in DNSimple\u000a +p1423 +tp1424 +a(g8 +Vresource +p1425 +tp1426 +a(g831 +g958 +tp1427 +a(g81 +V"heroku_domain" "foobar" +p1428 +tp1429 +a(g831 +g958 +tp1430 +a(g866 +g963 +tp1431 +a(g831 +V\u000a +p1432 +tp1433 +a(g659 +V app +p1434 +tp1435 +a(g831 +g958 +tp1436 +a(g405 +g970 +tp1437 +a(g831 +g958 +tp1438 +a(g149 +V"${heroku_app.web.name}" +p1439 +tp1440 +a(g831 +V\u000a +p1441 +tp1442 +a(g659 +V hostname +p1443 +tp1444 +a(g831 +g958 +tp1445 +a(g405 +g970 +tp1446 +a(g831 +g958 +tp1447 +a(g149 +V"${dnsimple_record.web.hostname}" +p1448 +tp1449 +a(g831 +V \u000a +p1450 +tp1451 +a(g866 +g977 +tp1452 +a(g750 +V\u000a\u000a\u000a# Specify the provider and access details\u000a +p1453 +tp1454 +a(g8 +Vprovider +p1455 +tp1456 +a(g831 +g958 +tp1457 +a(g81 +V"aws" +p1458 +tp1459 +a(g831 +g958 +tp1460 +a(g866 +g963 +tp1461 +a(g831 +V\u000a +p1462 +tp1463 +a(g659 +V region +p1464 +tp1465 +a(g831 +g958 +tp1466 +a(g405 +g970 +tp1467 +a(g831 +g958 +tp1468 +a(g149 +V"${var.aws_region}" +p1469 +tp1470 +a(g831 +V \u000a +p1471 +tp1472 +a(g659 +Vvalue +p1473 +tp1474 +a(g831 +g958 +tp1475 +a(g405 +g970 +tp1476 +a(g831 +g958 +tp1477 +a(g117 +V${ +p1478 +tp1479 +a(g674 +Vfile +p1480 +tp1481 +a(g698 +V( +p1482 +tp1483 +a(g149 +V"path.txt" +p1484 +tp1485 +a(g698 +V) +p1486 +tp1487 +a(g117 +g977 +tp1488 +a(g831 +V \u000a +p1489 +tp1490 +a(g866 +g977 +tp1491 +a(g750 +V\u000a\u000a# Our default security group to access\u000a +p1492 +tp1493 +a(g750 +V# the instances over SSH and HTTP\u000a +p1494 +tp1495 +a(g8 +Vresource +p1496 +tp1497 +a(g831 +g958 +tp1498 +a(g81 +V"aws_security_group" "default" +p1499 +tp1500 +a(g831 +g958 +tp1501 +a(g866 +g963 +tp1502 +a(g831 +V\u000a +p1503 +tp1504 +a(g659 +V name +p1505 +tp1506 +a(g831 +g958 +tp1507 +a(g405 +g970 +tp1508 +a(g831 +g958 +tp1509 +a(g149 +V"terraform_example" +p1510 +tp1511 +a(g831 +V\u000a +p1512 +tp1513 +a(g659 +V description +p1514 +tp1515 +a(g831 +g958 +tp1516 +a(g405 +g970 +tp1517 +a(g831 +g958 +tp1518 +a(g149 +V"Used in the terraform" +p1519 +tp1520 +a(g750 +V\u000a\u000a # SSH access from anywhere\u000a +p1521 +tp1522 +a(g831 +V +p1523 +tp1524 +a(g939 +Vingress +p1525 +tp1526 +a(g831 +g958 +tp1527 +a(g866 +g963 +tp1528 +a(g831 +V\u000a +p1529 +tp1530 +a(g659 +V from_port +p1531 +tp1532 +a(g831 +g958 +tp1533 +a(g405 +g970 +tp1534 +a(g831 +g958 +tp1535 +a(g22 +V22 +p1536 +tp1537 +a(g831 +V\u000a +p1538 +tp1539 +a(g659 +V to_port +p1540 +tp1541 +a(g831 +g958 +tp1542 +a(g405 +g970 +tp1543 +a(g831 +g958 +tp1544 +a(g22 +V22 +p1545 +tp1546 +a(g831 +V\u000a +p1547 +tp1548 +a(g659 +V protocol +p1549 +tp1550 +a(g831 +g958 +tp1551 +a(g405 +g970 +tp1552 +a(g831 +g958 +tp1553 +a(g149 +V"tcp" +p1554 +tp1555 +a(g831 +V\u000a +p1556 +tp1557 +a(g659 +V cidr_blocks +p1558 +tp1559 +a(g831 +g958 +tp1560 +a(g405 +g970 +tp1561 +a(g831 +g958 +tp1562 +a(g698 +V[ +p1563 +tp1564 +a(g149 +V"0.0.0.0/0" +p1565 +tp1566 +a(g698 +V] +p1567 +tp1568 +a(g831 +V\u000a +p1569 +tp1570 +a(g831 +V +p1571 +tp1572 +a(g866 +g977 +tp1573 +a(g750 +V\u000a\u000a # HTTP access from anywhere\u000a +p1574 +tp1575 +a(g831 +V +p1576 +tp1577 +a(g939 +Vingress +p1578 +tp1579 +a(g831 +g958 +tp1580 +a(g866 +g963 +tp1581 +a(g831 +V\u000a +p1582 +tp1583 +a(g659 +V from_port +p1584 +tp1585 +a(g831 +g958 +tp1586 +a(g405 +g970 +tp1587 +a(g831 +g958 +tp1588 +a(g22 +V80 +p1589 +tp1590 +a(g831 +V\u000a +p1591 +tp1592 +a(g659 +V to_port +p1593 +tp1594 +a(g831 +g958 +tp1595 +a(g405 +g970 +tp1596 +a(g831 +g958 +tp1597 +a(g22 +V80 +p1598 +tp1599 +a(g831 +V\u000a +p1600 +tp1601 +a(g659 +V protocol +p1602 +tp1603 +a(g831 +g958 +tp1604 +a(g405 +g970 +tp1605 +a(g831 +g958 +tp1606 +a(g149 +V"tcp" +p1607 +tp1608 +a(g831 +V\u000a +p1609 +tp1610 +a(g659 +V cidr_blocks +p1611 +tp1612 +a(g831 +g958 +tp1613 +a(g405 +g970 +tp1614 +a(g831 +g958 +tp1615 +a(g698 +g1563 +tp1616 +a(g149 +V"0.0.0.0/0" +p1617 +tp1618 +a(g698 +g1567 +tp1619 +a(g831 +V\u000a +p1620 +tp1621 +a(g831 +V +p1622 +tp1623 +a(g866 +g977 +tp1624 +a(g831 +V\u000a +p1625 +tp1626 +a(g866 +g977 +tp1627 +a(g831 +V\u000a +p1628 +tp1629 +a(g831 +V\u000a +p1630 +tp1631 +a(g831 +V\u000a +p1632 +tp1633 +a(g8 +Vresource +p1634 +tp1635 +a(g831 +g958 +tp1636 +a(g81 +V"aws_elb" "web" +p1637 +tp1638 +a(g831 +g958 +tp1639 +a(g866 +g963 +tp1640 +a(g831 +V\u000a +p1641 +tp1642 +a(g659 +V name +p1643 +tp1644 +a(g831 +g958 +tp1645 +a(g405 +g970 +tp1646 +a(g831 +g958 +tp1647 +a(g149 +V"terraform-example-elb" +p1648 +tp1649 +a(g750 +V\u000a\u000a # The same availability zone as our instance\u000a +p1650 +tp1651 +a(g659 +V availability_zones +p1652 +tp1653 +a(g831 +g958 +tp1654 +a(g405 +g970 +tp1655 +a(g831 +g958 +tp1656 +a(g698 +g1563 +tp1657 +a(g149 +V"${aws_instance.web.availability_zone}" +p1658 +tp1659 +a(g698 +g1567 +tp1660 +a(g831 +V\u000a +p1661 +tp1662 +a(g831 +V\u000a +p1663 +tp1664 +a(g831 +V +p1665 +tp1666 +a(g939 +Vlistener +p1667 +tp1668 +a(g831 +g958 +tp1669 +a(g866 +g963 +tp1670 +a(g831 +V\u000a +p1671 +tp1672 +a(g659 +V instance_port +p1673 +tp1674 +a(g831 +g958 +tp1675 +a(g405 +g970 +tp1676 +a(g831 +g958 +tp1677 +a(g22 +V80 +p1678 +tp1679 +a(g831 +V\u000a +p1680 +tp1681 +a(g659 +V instance_protocol +p1682 +tp1683 +a(g831 +g958 +tp1684 +a(g405 +g970 +tp1685 +a(g831 +g958 +tp1686 +a(g149 +V"http" +p1687 +tp1688 +a(g831 +V\u000a +p1689 +tp1690 +a(g659 +V lb_port +p1691 +tp1692 +a(g831 +g958 +tp1693 +a(g405 +g970 +tp1694 +a(g831 +g958 +tp1695 +a(g22 +V80 +p1696 +tp1697 +a(g831 +V\u000a +p1698 +tp1699 +a(g659 +V lb_protocol +p1700 +tp1701 +a(g831 +g958 +tp1702 +a(g405 +g970 +tp1703 +a(g831 +g958 +tp1704 +a(g149 +V"http" +p1705 +tp1706 +a(g831 +V\u000a +p1707 +tp1708 +a(g831 +V +p1709 +tp1710 +a(g866 +g977 +tp1711 +a(g750 +V\u000a\u000a # The instance is registered automatically\u000a +p1712 +tp1713 +a(g659 +V instances +p1714 +tp1715 +a(g831 +g958 +tp1716 +a(g405 +g970 +tp1717 +a(g831 +g958 +tp1718 +a(g698 +g1563 +tp1719 +a(g149 +V"${aws_instance.web.id}" +p1720 +tp1721 +a(g698 +g1567 +tp1722 +a(g831 +V\u000a +p1723 +tp1724 +a(g866 +g977 +tp1725 +a(g831 +V\u000a +p1726 +tp1727 +a(g831 +V\u000a +p1728 +tp1729 +a(g831 +V\u000a +p1730 +tp1731 +a(g8 +Vresource +p1732 +tp1733 +a(g831 +g958 +tp1734 +a(g81 +V"aws_instance" "web" +p1735 +tp1736 +a(g831 +g958 +tp1737 +a(g866 +g963 +tp1738 +a(g831 +V\u000a +p1739 +tp1740 +a(g750 +V # The connection block tells our provisioner how to\u000a +p1741 +tp1742 +a(g750 +V # communicate with the resource (instance)\u000a +p1743 +tp1744 +a(g831 +V +p1745 +tp1746 +a(g939 +Vconnection +p1747 +tp1748 +a(g831 +g958 +tp1749 +a(g866 +g963 +tp1750 +a(g750 +V\u000a # The default username for our AMI\u000a +p1751 +tp1752 +a(g659 +V user +p1753 +tp1754 +a(g831 +g958 +tp1755 +a(g405 +g970 +tp1756 +a(g831 +g958 +tp1757 +a(g149 +V"ubuntu" +p1758 +tp1759 +a(g750 +V\u000a\u000a # The path to your keyfile\u000a +p1760 +tp1761 +a(g659 +V key_file +p1762 +tp1763 +a(g831 +g958 +tp1764 +a(g405 +g970 +tp1765 +a(g831 +g958 +tp1766 +a(g149 +V"${var.key_path}" +p1767 +tp1768 +a(g831 +V\u000a +p1769 +tp1770 +a(g831 +V +p1771 +tp1772 +a(g866 +g977 +tp1773 +a(g831 +V\u000a +p1774 +tp1775 +a(g831 +V\u000a +p1776 +tp1777 +a(g659 +V instance_type +p1778 +tp1779 +a(g831 +g958 +tp1780 +a(g405 +g970 +tp1781 +a(g831 +g958 +tp1782 +a(g149 +V"m1.small" +p1783 +tp1784 +a(g750 +V\u000a\u000a # Lookup the correct AMI based on the region\u000a +p1785 +tp1786 +a(g750 +V # we specified\u000a +p1787 +tp1788 +a(g659 +V ami +p1789 +tp1790 +a(g831 +g958 +tp1791 +a(g405 +g970 +tp1792 +a(g831 +g958 +tp1793 +a(g149 +V"${lookup(var.aws_amis, var.aws_region)}" +p1794 +tp1795 +a(g750 +V\u000a\u000a # The name of our SSH keypair you've created and downloaded\u000a +p1796 +tp1797 +a(g750 +V # from the AWS console.\u000a +p1798 +tp1799 +a(g750 +V #\u000a +p1800 +tp1801 +a(g750 +V # https://console.aws.amazon.com/ec2/v2/home?region=us-west-2#KeyPairs:\u000a +p1802 +tp1803 +a(g750 +V #\u000a +p1804 +tp1805 +a(g659 +V key_name +p1806 +tp1807 +a(g831 +g958 +tp1808 +a(g405 +g970 +tp1809 +a(g831 +g958 +tp1810 +a(g149 +V"${var.key_name}" +p1811 +tp1812 +a(g750 +V\u000a\u000a # Our Security group to allow HTTP and SSH access\u000a +p1813 +tp1814 +a(g659 +V security_groups +p1815 +tp1816 +a(g831 +g958 +tp1817 +a(g405 +g970 +tp1818 +a(g831 +g958 +tp1819 +a(g698 +g1563 +tp1820 +a(g149 +V"${aws_security_group.default.name}" +p1821 +tp1822 +a(g698 +g1567 +tp1823 +a(g750 +V\u000a\u000a # We run a remote provisioner on the instance after creating it.\u000a +p1824 +tp1825 +a(g750 +V # In this case, we just install nginx and start it. By default,\u000a +p1826 +tp1827 +a(g750 +V # this should be on port 80\u000a +p1828 +tp1829 +a(g831 +V +p1830 +tp1831 +a(g8 +Vprovisioner +p1832 +tp1833 +a(g831 +g958 +tp1834 +a(g81 +V"remote-exec" +p1835 +tp1836 +a(g831 +g958 +tp1837 +a(g866 +g963 +tp1838 +a(g831 +V\u000a +p1839 +tp1840 +a(g659 +V inline +p1841 +tp1842 +a(g831 +g958 +tp1843 +a(g405 +g970 +tp1844 +a(g831 +g958 +tp1845 +a(g698 +g1563 +tp1846 +a(g831 +V\u000a +p1847 +tp1848 +a(g831 +V +p1849 +tp1850 +a(g149 +V"sudo apt-get -y update" +p1851 +tp1852 +a(g698 +V, +p1853 +tp1854 +a(g831 +V\u000a +p1855 +tp1856 +a(g831 +V +p1857 +tp1858 +a(g149 +V"sudo apt-get -y install nginx" +p1859 +tp1860 +a(g698 +g1853 +tp1861 +a(g831 +V\u000a +p1862 +tp1863 +a(g831 +V +p1864 +tp1865 +a(g149 +V"sudo service nginx start" +p1866 +tp1867 +a(g831 +V\u000a +p1868 +tp1869 +a(g831 +V +p1870 +tp1871 +a(g698 +g1567 +tp1872 +a(g831 +V\u000a +p1873 +tp1874 +a(g831 +V +p1875 +tp1876 +a(g866 +g977 +tp1877 +a(g831 +V\u000a +p1878 +tp1879 +a(g866 +g977 +tp1880 +a(g831 +V\u000a +p1881 +tp1882 +a(g831 +V\u000a +p1883 +tp1884 +a(g831 +V\u000a +p1885 +tp1886 +a(g831 +V\u000a +p1887 +tp1888 +a(g8 +Vresource +p1889 +tp1890 +a(g831 +g958 +tp1891 +a(g81 +V"aws_autoscaling_group" "bar" +p1892 +tp1893 +a(g831 +g958 +tp1894 +a(g866 +g963 +tp1895 +a(g831 +V\u000a +p1896 +tp1897 +a(g659 +V name +p1898 +tp1899 +a(g831 +V +p1900 +tp1901 +a(g405 +g970 +tp1902 +a(g831 +g958 +tp1903 +a(g149 +V"terraform-asg-example" +p1904 +tp1905 +a(g831 +V\u000a +p1906 +tp1907 +a(g659 +V launch_configuration +p1908 +tp1909 +a(g831 +g958 +tp1910 +a(g405 +g970 +tp1911 +a(g831 +g958 +tp1912 +a(g149 +V"${aws_launch_configuration.as_conf.name}" +p1913 +tp1914 +a(g831 +V\u000a +p1915 +tp1916 +a(g659 +V min_size +p1917 +tp1918 +a(g831 +V +p1919 +tp1920 +a(g405 +g970 +tp1921 +a(g831 +g958 +tp1922 +a(g22 +V1 +p1923 +tp1924 +a(g831 +V\u000a +p1925 +tp1926 +a(g659 +V max_size +p1927 +tp1928 +a(g831 +V +p1929 +tp1930 +a(g405 +g970 +tp1931 +a(g831 +g958 +tp1932 +a(g22 +V2 +p1933 +tp1934 +a(g831 +V\u000a +p1935 +tp1936 +a(g831 +V\u000a +p1937 +tp1938 +a(g831 +V +p1939 +tp1940 +a(g939 +Vlifecycle +p1941 +tp1942 +a(g831 +g958 +tp1943 +a(g866 +g963 +tp1944 +a(g831 +V\u000a +p1945 +tp1946 +a(g659 +V create_before_destroy +p1947 +tp1948 +a(g831 +g958 +tp1949 +a(g405 +g970 +tp1950 +a(g831 +g958 +tp1951 +a(g886 +Vtrue +p1952 +tp1953 +a(g831 +V\u000a +p1954 +tp1955 +a(g831 +V +p1956 +tp1957 +a(g866 +g977 +tp1958 +a(g831 +V\u000a +p1959 +tp1960 +a(g866 +g977 +tp1961 +a(g831 +V\u000a +p1962 +tp1963 +a(g831 +V\u000a +p1964 +tp1965 +a(g831 +V\u000a +p1966 +tp1967 +a(g8 +Vresource +p1968 +tp1969 +a(g831 +g958 +tp1970 +a(g81 +V"aws_db_instance" "timeout_example" +p1971 +tp1972 +a(g831 +g958 +tp1973 +a(g866 +g963 +tp1974 +a(g831 +V\u000a +p1975 +tp1976 +a(g659 +V allocated_storage +p1977 +tp1978 +a(g831 +g958 +tp1979 +a(g405 +g970 +tp1980 +a(g831 +g958 +tp1981 +a(g22 +V10 +p1982 +tp1983 +a(g831 +V\u000a +p1984 +tp1985 +a(g659 +V engine +p1986 +tp1987 +a(g831 +V +p1988 +tp1989 +a(g405 +g970 +tp1990 +a(g831 +g958 +tp1991 +a(g149 +V"mysql" +p1992 +tp1993 +a(g831 +V\u000a +p1994 +tp1995 +a(g659 +V engine_version +p1996 +tp1997 +a(g831 +V +p1998 +tp1999 +a(g405 +g970 +tp2000 +a(g831 +g958 +tp2001 +a(g149 +V"5.6.17" +p2002 +tp2003 +a(g831 +V\u000a +p2004 +tp2005 +a(g659 +V instance_class +p2006 +tp2007 +a(g831 +V +p2008 +tp2009 +a(g405 +g970 +tp2010 +a(g831 +g958 +tp2011 +a(g149 +V"db.t1.micro" +p2012 +tp2013 +a(g831 +V\u000a +p2014 +tp2015 +a(g659 +V name +p2016 +tp2017 +a(g831 +V +p2018 +tp2019 +a(g405 +g970 +tp2020 +a(g831 +g958 +tp2021 +a(g149 +V"mydb" +p2022 +tp2023 +a(g831 +V\u000a +p2024 +tp2025 +a(g831 +V\u000a +p2026 +tp2027 +a(g831 +V +p2028 +tp2029 +a(g939 +Vtimeouts +p2030 +tp2031 +a(g831 +g958 +tp2032 +a(g866 +g963 +tp2033 +a(g831 +V\u000a +p2034 +tp2035 +a(g659 +V create +p2036 +tp2037 +a(g831 +g958 +tp2038 +a(g405 +g970 +tp2039 +a(g831 +g958 +tp2040 +a(g149 +V"60m" +p2041 +tp2042 +a(g831 +V\u000a +p2043 +tp2044 +a(g659 +V delete +p2045 +tp2046 +a(g831 +g958 +tp2047 +a(g405 +g970 +tp2048 +a(g831 +g958 +tp2049 +a(g149 +V"2h" +p2050 +tp2051 +a(g831 +V\u000a +p2052 +tp2053 +a(g831 +V +p2054 +tp2055 +a(g866 +g977 +tp2056 +a(g831 +V\u000a +p2057 +tp2058 +a(g866 +g977 +tp2059 +a(g831 +V\u000a +p2060 +tp2061 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.thy b/tests/examplefiles/output/example.thy new file mode 100644 index 0000000..1aa969c --- /dev/null +++ b/tests/examplefiles/output/example.thy @@ -0,0 +1,20664 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV(* +p956 +tp957 +a(g7 +V from Isabelle2013-2 src/HOL/Power.thy; BSD license +p958 +tp959 +a(g7 +V*) +p960 +tp961 +a(g822 +V\u000a\u000a +p962 +tp963 +a(g7 +V(* +p964 +tp965 +a(g7 +V Title: HOL/Power.thy\u000a Author: Lawrence C Paulson, Cambridge University Computer Laboratory\u000a Copyright 1997 University of Cambridge\u000a +p966 +tp967 +a(g7 +V*) +p968 +tp969 +a(g822 +V\u000a\u000a +p970 +tp971 +a(g341 +Vheader +p972 +tp973 +a(g822 +V +p974 +tp975 +a(g7 +V{* +p976 +tp977 +a(g7 +V Exponentiation +p978 +tp979 +a(g7 +V*} +p980 +tp981 +a(g822 +V\u000a\u000a +p982 +tp983 +a(g740 +Vtheory +p984 +tp985 +a(g822 +g974 +tp986 +a(g431 +VPower +p987 +tp988 +a(g822 +V\u000a +p989 +tp990 +a(g781 +Vimports +p991 +tp992 +a(g822 +g974 +tp993 +a(g431 +VNum +p994 +tp995 +a(g822 +V\u000a +p996 +tp997 +a(g740 +Vbegin +p998 +tp999 +a(g822 +V\u000a\u000a +p1000 +tp1001 +a(g333 +Vsubsection +p1002 +tp1003 +a(g822 +g974 +tp1004 +a(g7 +V{* +p1005 +tp1006 +a(g7 +V Powers for Arbitrary Monoids +p1007 +tp1008 +a(g7 +V*} +p1009 +tp1010 +a(g822 +V\u000a\u000a +p1011 +tp1012 +a(g740 +Vclass +p1013 +tp1014 +a(g822 +g974 +tp1015 +a(g431 +Vpower +p1016 +tp1017 +a(g822 +g974 +tp1018 +a(g408 +V= +p1019 +tp1020 +a(g822 +g974 +tp1021 +a(g431 +Vone +p1022 +tp1023 +a(g822 +g974 +tp1024 +a(g408 +V+ +p1025 +tp1026 +a(g822 +g974 +tp1027 +a(g431 +Vtimes +p1028 +tp1029 +a(g822 +V\u000a +p1030 +tp1031 +a(g740 +Vbegin +p1032 +tp1033 +a(g822 +V\u000a\u000a +p1034 +tp1035 +a(g740 +Vprimrec +p1036 +tp1037 +a(g822 +g974 +tp1038 +a(g431 +Vpower +p1039 +tp1040 +a(g822 +g974 +tp1041 +a(g408 +V:: +p1042 +tp1043 +a(g822 +g974 +tp1044 +a(g84 +V" +p1045 +tp1046 +a(g84 +V'a +p1047 +tp1048 +a(g112 +V\u005c +p1049 +tp1050 +a(g84 +V nat +p1051 +tp1052 +a(g112 +V\u005c +p1053 +tp1054 +a(g84 +V 'a +p1055 +tp1056 +a(g84 +g1045 +tp1057 +a(g822 +g974 +tp1058 +a(g408 +V( +p1059 +tp1060 +a(g781 +Vinfixr +p1061 +tp1062 +a(g822 +g974 +tp1063 +a(g84 +g1045 +tp1064 +a(g84 +V^ +p1065 +tp1066 +a(g84 +g1045 +tp1067 +a(g822 +g974 +tp1068 +a(g431 +V80 +p1069 +tp1070 +a(g408 +V) +p1071 +tp1072 +a(g822 +g974 +tp1073 +a(g781 +Vwhere +p1074 +tp1075 +a(g822 +V\u000a +p1076 +tp1077 +a(g431 +Vpower_0 +p1078 +tp1079 +a(g408 +V: +p1080 +tp1081 +a(g822 +g974 +tp1082 +a(g84 +g1045 +tp1083 +a(g84 +Va ^ 0 = 1 +p1084 +tp1085 +a(g84 +g1045 +tp1086 +a(g822 +V\u000a +p1087 +tp1088 +a(g408 +V| +p1089 +tp1090 +a(g822 +g974 +tp1091 +a(g431 +Vpower_Suc +p1092 +tp1093 +a(g408 +g1080 +tp1094 +a(g822 +g974 +tp1095 +a(g84 +g1045 +tp1096 +a(g84 +Va ^ Suc n = a * a ^ n +p1097 +tp1098 +a(g84 +g1045 +tp1099 +a(g822 +V\u000a\u000a +p1100 +tp1101 +a(g740 +Vnotation +p1102 +tp1103 +a(g822 +g974 +tp1104 +a(g408 +g1059 +tp1105 +a(g431 +Vlatex +p1106 +tp1107 +a(g822 +g974 +tp1108 +a(g781 +Voutput +p1109 +tp1110 +a(g408 +g1071 +tp1111 +a(g822 +V\u000a +p1112 +tp1113 +a(g431 +Vpower +p1114 +tp1115 +a(g822 +g974 +tp1116 +a(g408 +g1059 +tp1117 +a(g84 +g1045 +tp1118 +a(g84 +V(_ +p1119 +tp1120 +a(g84 +V\u005c +p1121 +tp1122 +a(g84 +V<^bsup>_ +p1123 +tp1124 +a(g84 +V\u005c +p1125 +tp1126 +a(g84 +V<^esup>) +p1127 +tp1128 +a(g84 +g1045 +tp1129 +a(g822 +g974 +tp1130 +a(g408 +V[ +p1131 +tp1132 +a(g431 +V1000 +p1133 +tp1134 +a(g408 +V] +p1135 +tp1136 +a(g822 +g974 +tp1137 +a(g431 +V1000 +p1138 +tp1139 +a(g408 +g1071 +tp1140 +a(g822 +V\u000a\u000a +p1141 +tp1142 +a(g740 +Vnotation +p1143 +tp1144 +a(g822 +g974 +tp1145 +a(g408 +g1059 +tp1146 +a(g431 +VHTML +p1147 +tp1148 +a(g822 +g974 +tp1149 +a(g781 +Voutput +p1150 +tp1151 +a(g408 +g1071 +tp1152 +a(g822 +V\u000a +p1153 +tp1154 +a(g431 +Vpower +p1155 +tp1156 +a(g822 +g974 +tp1157 +a(g408 +g1059 +tp1158 +a(g84 +g1045 +tp1159 +a(g84 +V(_ +p1160 +tp1161 +a(g84 +V\u005c +p1162 +tp1163 +a(g84 +V<^bsup>_ +p1164 +tp1165 +a(g84 +V\u005c +p1166 +tp1167 +a(g84 +V<^esup>) +p1168 +tp1169 +a(g84 +g1045 +tp1170 +a(g822 +g974 +tp1171 +a(g408 +g1131 +tp1172 +a(g431 +V1000 +p1173 +tp1174 +a(g408 +g1135 +tp1175 +a(g822 +g974 +tp1176 +a(g431 +V1000 +p1177 +tp1178 +a(g408 +g1071 +tp1179 +a(g822 +V\u000a\u000a +p1180 +tp1181 +a(g740 +Vtext +p1182 +tp1183 +a(g822 +g974 +tp1184 +a(g7 +V{* +p1185 +tp1186 +a(g7 +V Special syntax for squares. +p1187 +tp1188 +a(g7 +V*} +p1189 +tp1190 +a(g822 +V\u000a\u000a +p1191 +tp1192 +a(g740 +Vabbreviation +p1193 +tp1194 +a(g822 +g974 +tp1195 +a(g408 +g1059 +tp1196 +a(g431 +Vxsymbols +p1197 +tp1198 +a(g408 +g1071 +tp1199 +a(g822 +V\u000a +p1200 +tp1201 +a(g431 +Vpower2 +p1202 +tp1203 +a(g822 +g974 +tp1204 +a(g408 +V:: +p1205 +tp1206 +a(g822 +g974 +tp1207 +a(g84 +g1045 +tp1208 +a(g84 +V'a +p1209 +tp1210 +a(g112 +V\u005c +p1211 +tp1212 +a(g84 +V 'a +p1213 +tp1214 +a(g84 +g1045 +tp1215 +a(g822 +V +p1216 +tp1217 +a(g408 +g1059 +tp1218 +a(g84 +g1045 +tp1219 +a(g84 +V(_ +p1220 +tp1221 +a(g84 +V\u005c +p1222 +tp1223 +a(g84 +V<^sup>2) +p1224 +tp1225 +a(g84 +g1045 +tp1226 +a(g822 +g974 +tp1227 +a(g408 +g1131 +tp1228 +a(g431 +V1000 +p1229 +tp1230 +a(g408 +g1135 +tp1231 +a(g822 +g974 +tp1232 +a(g431 +V999 +p1233 +tp1234 +a(g408 +g1071 +tp1235 +a(g822 +g974 +tp1236 +a(g781 +Vwhere +p1237 +tp1238 +a(g822 +V\u000a +p1239 +tp1240 +a(g84 +g1045 +tp1241 +a(g84 +Vx +p1242 +tp1243 +a(g84 +V\u005c +p1244 +tp1245 +a(g84 +V<^sup>2 +p1246 +tp1247 +a(g112 +V\u005c +p1248 +tp1249 +a(g84 +V x ^ 2 +p1250 +tp1251 +a(g84 +g1045 +tp1252 +a(g822 +V\u000a\u000a +p1253 +tp1254 +a(g740 +Vnotation +p1255 +tp1256 +a(g822 +g974 +tp1257 +a(g408 +g1059 +tp1258 +a(g431 +Vlatex +p1259 +tp1260 +a(g822 +g974 +tp1261 +a(g781 +Voutput +p1262 +tp1263 +a(g408 +g1071 +tp1264 +a(g822 +V\u000a +p1265 +tp1266 +a(g431 +Vpower2 +p1267 +tp1268 +a(g822 +V +p1269 +tp1270 +a(g408 +g1059 +tp1271 +a(g84 +g1045 +tp1272 +a(g84 +V(_ +p1273 +tp1274 +a(g84 +V\u005c +p1275 +tp1276 +a(g84 +V<^sup>2) +p1277 +tp1278 +a(g84 +g1045 +tp1279 +a(g822 +g974 +tp1280 +a(g408 +g1131 +tp1281 +a(g431 +V1000 +p1282 +tp1283 +a(g408 +g1135 +tp1284 +a(g822 +g974 +tp1285 +a(g431 +V999 +p1286 +tp1287 +a(g408 +g1071 +tp1288 +a(g822 +V\u000a\u000a +p1289 +tp1290 +a(g740 +Vnotation +p1291 +tp1292 +a(g822 +g974 +tp1293 +a(g408 +g1059 +tp1294 +a(g431 +VHTML +p1295 +tp1296 +a(g822 +g974 +tp1297 +a(g781 +Voutput +p1298 +tp1299 +a(g408 +g1071 +tp1300 +a(g822 +V\u000a +p1301 +tp1302 +a(g431 +Vpower2 +p1303 +tp1304 +a(g822 +V +p1305 +tp1306 +a(g408 +g1059 +tp1307 +a(g84 +g1045 +tp1308 +a(g84 +V(_ +p1309 +tp1310 +a(g84 +V\u005c +p1311 +tp1312 +a(g84 +V<^sup>2) +p1313 +tp1314 +a(g84 +g1045 +tp1315 +a(g822 +g974 +tp1316 +a(g408 +g1131 +tp1317 +a(g431 +V1000 +p1318 +tp1319 +a(g408 +g1135 +tp1320 +a(g822 +g974 +tp1321 +a(g431 +V999 +p1322 +tp1323 +a(g408 +g1071 +tp1324 +a(g822 +V\u000a\u000a +p1325 +tp1326 +a(g740 +Vend +p1327 +tp1328 +a(g822 +V\u000a\u000a +p1329 +tp1330 +a(g740 +Vcontext +p1331 +tp1332 +a(g822 +g974 +tp1333 +a(g431 +Vmonoid_mult +p1334 +tp1335 +a(g822 +V\u000a +p1336 +tp1337 +a(g740 +Vbegin +p1338 +tp1339 +a(g822 +V\u000a\u000a +p1340 +tp1341 +a(g766 +Vsubclass +p1342 +tp1343 +a(g822 +g974 +tp1344 +a(g431 +Vpower +p1345 +tp1346 +a(g822 +g974 +tp1347 +a(g422 +V. +p1348 +tp1349 +a(g822 +V\u000a\u000a +p1350 +tp1351 +a(g766 +Vlemma +p1352 +tp1353 +a(g822 +g974 +tp1354 +a(g431 +Vpower_one +p1355 +tp1356 +a(g822 +g974 +tp1357 +a(g408 +g1131 +tp1358 +a(g431 +Vsimp +p1359 +tp1360 +a(g408 +g1135 +tp1361 +a(g408 +g1080 +tp1362 +a(g822 +V\u000a +p1363 +tp1364 +a(g84 +g1045 +tp1365 +a(g84 +V1 ^ n = 1 +p1366 +tp1367 +a(g84 +g1045 +tp1368 +a(g822 +V\u000a +p1369 +tp1370 +a(g740 +Vby +p1371 +tp1372 +a(g822 +g974 +tp1373 +a(g408 +g1059 +tp1374 +a(g431 +Vinduct +p1375 +tp1376 +a(g822 +g974 +tp1377 +a(g431 +Vn +p1378 +tp1379 +a(g408 +g1071 +tp1380 +a(g822 +g974 +tp1381 +a(g431 +Vsimp_all +p1382 +tp1383 +a(g822 +V\u000a\u000a +p1384 +tp1385 +a(g766 +Vlemma +p1386 +tp1387 +a(g822 +g974 +tp1388 +a(g431 +Vpower_one_right +p1389 +tp1390 +a(g822 +g974 +tp1391 +a(g408 +g1131 +tp1392 +a(g431 +Vsimp +p1393 +tp1394 +a(g408 +g1135 +tp1395 +a(g408 +g1080 +tp1396 +a(g822 +V\u000a +p1397 +tp1398 +a(g84 +g1045 +tp1399 +a(g84 +Va ^ 1 = a +p1400 +tp1401 +a(g84 +g1045 +tp1402 +a(g822 +V\u000a +p1403 +tp1404 +a(g740 +Vby +p1405 +tp1406 +a(g822 +g974 +tp1407 +a(g431 +Vsimp +p1408 +tp1409 +a(g822 +V\u000a\u000a +p1410 +tp1411 +a(g766 +Vlemma +p1412 +tp1413 +a(g822 +g974 +tp1414 +a(g431 +Vpower_commutes +p1415 +tp1416 +a(g408 +g1080 +tp1417 +a(g822 +V\u000a +p1418 +tp1419 +a(g84 +g1045 +tp1420 +a(g84 +Va ^ n * a = a * a ^ n +p1421 +tp1422 +a(g84 +g1045 +tp1423 +a(g822 +V\u000a +p1424 +tp1425 +a(g740 +Vby +p1426 +tp1427 +a(g822 +g974 +tp1428 +a(g408 +g1059 +tp1429 +a(g431 +Vinduct +p1430 +tp1431 +a(g822 +g974 +tp1432 +a(g431 +g1378 +tp1433 +a(g408 +g1071 +tp1434 +a(g822 +g974 +tp1435 +a(g408 +g1059 +tp1436 +a(g431 +Vsimp_all +p1437 +tp1438 +a(g822 +g974 +tp1439 +a(g431 +Vadd +p1440 +tp1441 +a(g408 +g1080 +tp1442 +a(g822 +g974 +tp1443 +a(g431 +Vmult_assoc +p1444 +tp1445 +a(g408 +g1071 +tp1446 +a(g822 +V\u000a\u000a +p1447 +tp1448 +a(g766 +Vlemma +p1449 +tp1450 +a(g822 +g974 +tp1451 +a(g431 +Vpower_Suc2 +p1452 +tp1453 +a(g408 +g1080 +tp1454 +a(g822 +V\u000a +p1455 +tp1456 +a(g84 +g1045 +tp1457 +a(g84 +Va ^ Suc n = a ^ n * a +p1458 +tp1459 +a(g84 +g1045 +tp1460 +a(g822 +V\u000a +p1461 +tp1462 +a(g740 +Vby +p1463 +tp1464 +a(g822 +g974 +tp1465 +a(g408 +g1059 +tp1466 +a(g431 +Vsimp +p1467 +tp1468 +a(g822 +g974 +tp1469 +a(g431 +Vadd +p1470 +tp1471 +a(g408 +g1080 +tp1472 +a(g822 +g974 +tp1473 +a(g431 +Vpower_commutes +p1474 +tp1475 +a(g408 +g1071 +tp1476 +a(g822 +V\u000a\u000a +p1477 +tp1478 +a(g766 +Vlemma +p1479 +tp1480 +a(g822 +g974 +tp1481 +a(g431 +Vpower_add +p1482 +tp1483 +a(g408 +g1080 +tp1484 +a(g822 +V\u000a +p1485 +tp1486 +a(g84 +g1045 +tp1487 +a(g84 +Va ^ (m + n) = a ^ m * a ^ n +p1488 +tp1489 +a(g84 +g1045 +tp1490 +a(g822 +V\u000a +p1491 +tp1492 +a(g740 +Vby +p1493 +tp1494 +a(g822 +g974 +tp1495 +a(g408 +g1059 +tp1496 +a(g431 +Vinduct +p1497 +tp1498 +a(g822 +g974 +tp1499 +a(g431 +Vm +p1500 +tp1501 +a(g408 +g1071 +tp1502 +a(g822 +g974 +tp1503 +a(g408 +g1059 +tp1504 +a(g431 +Vsimp_all +p1505 +tp1506 +a(g822 +g974 +tp1507 +a(g431 +Vadd +p1508 +tp1509 +a(g408 +g1080 +tp1510 +a(g822 +g974 +tp1511 +a(g431 +Valgebra_simps +p1512 +tp1513 +a(g408 +g1071 +tp1514 +a(g822 +V\u000a\u000a +p1515 +tp1516 +a(g766 +Vlemma +p1517 +tp1518 +a(g822 +g974 +tp1519 +a(g431 +Vpower_mult +p1520 +tp1521 +a(g408 +g1080 +tp1522 +a(g822 +V\u000a +p1523 +tp1524 +a(g84 +g1045 +tp1525 +a(g84 +Va ^ (m * n) = (a ^ m) ^ n +p1526 +tp1527 +a(g84 +g1045 +tp1528 +a(g822 +V\u000a +p1529 +tp1530 +a(g740 +Vby +p1531 +tp1532 +a(g822 +g974 +tp1533 +a(g408 +g1059 +tp1534 +a(g431 +Vinduct +p1535 +tp1536 +a(g822 +g974 +tp1537 +a(g431 +g1378 +tp1538 +a(g408 +g1071 +tp1539 +a(g822 +g974 +tp1540 +a(g408 +g1059 +tp1541 +a(g431 +Vsimp_all +p1542 +tp1543 +a(g822 +g974 +tp1544 +a(g431 +Vadd +p1545 +tp1546 +a(g408 +g1080 +tp1547 +a(g822 +g974 +tp1548 +a(g431 +Vpower_add +p1549 +tp1550 +a(g408 +g1071 +tp1551 +a(g822 +V\u000a\u000a +p1552 +tp1553 +a(g766 +Vlemma +p1554 +tp1555 +a(g822 +g974 +tp1556 +a(g431 +Vpower2_eq_square +p1557 +tp1558 +a(g408 +g1080 +tp1559 +a(g822 +g974 +tp1560 +a(g84 +g1045 +tp1561 +a(g84 +Va +p1562 +tp1563 +a(g84 +V\u005c +p1564 +tp1565 +a(g84 +V<^sup>2 = a * a +p1566 +tp1567 +a(g84 +g1045 +tp1568 +a(g822 +V\u000a +p1569 +tp1570 +a(g740 +Vby +p1571 +tp1572 +a(g822 +g974 +tp1573 +a(g408 +g1059 +tp1574 +a(g431 +Vsimp +p1575 +tp1576 +a(g822 +g974 +tp1577 +a(g431 +Vadd +p1578 +tp1579 +a(g408 +g1080 +tp1580 +a(g822 +g974 +tp1581 +a(g431 +Vnumeral_2_eq_2 +p1582 +tp1583 +a(g408 +g1071 +tp1584 +a(g822 +V\u000a\u000a +p1585 +tp1586 +a(g766 +Vlemma +p1587 +tp1588 +a(g822 +g974 +tp1589 +a(g431 +Vpower3_eq_cube +p1590 +tp1591 +a(g408 +g1080 +tp1592 +a(g822 +g974 +tp1593 +a(g84 +g1045 +tp1594 +a(g84 +Va ^ 3 = a * a * a +p1595 +tp1596 +a(g84 +g1045 +tp1597 +a(g822 +V\u000a +p1598 +tp1599 +a(g740 +Vby +p1600 +tp1601 +a(g822 +g974 +tp1602 +a(g408 +g1059 +tp1603 +a(g431 +Vsimp +p1604 +tp1605 +a(g822 +g974 +tp1606 +a(g431 +Vadd +p1607 +tp1608 +a(g408 +g1080 +tp1609 +a(g822 +g974 +tp1610 +a(g431 +Vnumeral_3_eq_3 +p1611 +tp1612 +a(g822 +g974 +tp1613 +a(g431 +Vmult_assoc +p1614 +tp1615 +a(g408 +g1071 +tp1616 +a(g822 +V\u000a\u000a +p1617 +tp1618 +a(g766 +Vlemma +p1619 +tp1620 +a(g822 +g974 +tp1621 +a(g431 +Vpower_even_eq +p1622 +tp1623 +a(g408 +g1080 +tp1624 +a(g822 +V\u000a +p1625 +tp1626 +a(g84 +g1045 +tp1627 +a(g84 +Va ^ (2 * n) = (a ^ n) +p1628 +tp1629 +a(g84 +V\u005c +p1630 +tp1631 +a(g84 +V<^sup>2 +p1632 +tp1633 +a(g84 +g1045 +tp1634 +a(g822 +V\u000a +p1635 +tp1636 +a(g740 +Vby +p1637 +tp1638 +a(g822 +g974 +tp1639 +a(g408 +g1059 +tp1640 +a(g431 +Vsubst +p1641 +tp1642 +a(g822 +g974 +tp1643 +a(g431 +Vmult_commute +p1644 +tp1645 +a(g408 +g1071 +tp1646 +a(g822 +g974 +tp1647 +a(g408 +g1059 +tp1648 +a(g431 +Vsimp +p1649 +tp1650 +a(g822 +g974 +tp1651 +a(g431 +Vadd +p1652 +tp1653 +a(g408 +g1080 +tp1654 +a(g822 +g974 +tp1655 +a(g431 +Vpower_mult +p1656 +tp1657 +a(g408 +g1071 +tp1658 +a(g822 +V\u000a\u000a +p1659 +tp1660 +a(g766 +Vlemma +p1661 +tp1662 +a(g822 +g974 +tp1663 +a(g431 +Vpower_odd_eq +p1664 +tp1665 +a(g408 +g1080 +tp1666 +a(g822 +V\u000a +p1667 +tp1668 +a(g84 +g1045 +tp1669 +a(g84 +Va ^ Suc (2*n) = a * (a ^ n) +p1670 +tp1671 +a(g84 +V\u005c +p1672 +tp1673 +a(g84 +V<^sup>2 +p1674 +tp1675 +a(g84 +g1045 +tp1676 +a(g822 +V\u000a +p1677 +tp1678 +a(g740 +Vby +p1679 +tp1680 +a(g822 +g974 +tp1681 +a(g408 +g1059 +tp1682 +a(g431 +Vsimp +p1683 +tp1684 +a(g822 +g974 +tp1685 +a(g431 +Vadd +p1686 +tp1687 +a(g408 +g1080 +tp1688 +a(g822 +g974 +tp1689 +a(g431 +Vpower_even_eq +p1690 +tp1691 +a(g408 +g1071 +tp1692 +a(g822 +V\u000a\u000a +p1693 +tp1694 +a(g766 +Vlemma +p1695 +tp1696 +a(g822 +g974 +tp1697 +a(g431 +Vpower_numeral_even +p1698 +tp1699 +a(g408 +g1080 +tp1700 +a(g822 +V\u000a +p1701 +tp1702 +a(g84 +g1045 +tp1703 +a(g84 +Vz ^ numeral (Num.Bit0 w) = (let w = z ^ (numeral w) in w * w) +p1704 +tp1705 +a(g84 +g1045 +tp1706 +a(g822 +V\u000a +p1707 +tp1708 +a(g740 +Vunfolding +p1709 +tp1710 +a(g822 +g974 +tp1711 +a(g431 +Vnumeral_Bit0 +p1712 +tp1713 +a(g822 +g974 +tp1714 +a(g431 +Vpower_add +p1715 +tp1716 +a(g822 +g974 +tp1717 +a(g431 +VLet_def +p1718 +tp1719 +a(g822 +g974 +tp1720 +a(g422 +V.. +p1721 +tp1722 +a(g822 +V\u000a\u000a +p1723 +tp1724 +a(g766 +Vlemma +p1725 +tp1726 +a(g822 +g974 +tp1727 +a(g431 +Vpower_numeral_odd +p1728 +tp1729 +a(g408 +g1080 +tp1730 +a(g822 +V\u000a +p1731 +tp1732 +a(g84 +g1045 +tp1733 +a(g84 +Vz ^ numeral (Num.Bit1 w) = (let w = z ^ (numeral w) in z * w * w) +p1734 +tp1735 +a(g84 +g1045 +tp1736 +a(g822 +V\u000a +p1737 +tp1738 +a(g740 +Vunfolding +p1739 +tp1740 +a(g822 +g974 +tp1741 +a(g431 +Vnumeral_Bit1 +p1742 +tp1743 +a(g822 +g974 +tp1744 +a(g431 +VOne_nat_def +p1745 +tp1746 +a(g822 +g974 +tp1747 +a(g431 +Vadd_Suc_right +p1748 +tp1749 +a(g822 +g974 +tp1750 +a(g431 +Vadd_0_right +p1751 +tp1752 +a(g822 +V\u000a +p1753 +tp1754 +a(g740 +Vunfolding +p1755 +tp1756 +a(g822 +g974 +tp1757 +a(g431 +Vpower_Suc +p1758 +tp1759 +a(g822 +g974 +tp1760 +a(g431 +Vpower_add +p1761 +tp1762 +a(g822 +g974 +tp1763 +a(g431 +VLet_def +p1764 +tp1765 +a(g822 +g974 +tp1766 +a(g431 +Vmult_assoc +p1767 +tp1768 +a(g822 +g974 +tp1769 +a(g422 +V.. +p1770 +tp1771 +a(g822 +V\u000a\u000a +p1772 +tp1773 +a(g766 +Vlemma +p1774 +tp1775 +a(g822 +g974 +tp1776 +a(g431 +Vfunpow_times_power +p1777 +tp1778 +a(g408 +g1080 +tp1779 +a(g822 +V\u000a +p1780 +tp1781 +a(g84 +g1045 +tp1782 +a(g84 +V(times x ^^ f x) = times (x ^ f x) +p1783 +tp1784 +a(g84 +g1045 +tp1785 +a(g822 +V\u000a +p1786 +tp1787 +a(g740 +Vproof +p1788 +tp1789 +a(g822 +g974 +tp1790 +a(g408 +g1059 +tp1791 +a(g431 +Vinduct +p1792 +tp1793 +a(g822 +g974 +tp1794 +a(g84 +g1045 +tp1795 +a(g84 +Vf x +p1796 +tp1797 +a(g84 +g1045 +tp1798 +a(g822 +g974 +tp1799 +a(g431 +Varbitrary +p1800 +tp1801 +a(g408 +g1080 +tp1802 +a(g822 +g974 +tp1803 +a(g431 +Vf +p1804 +tp1805 +a(g408 +g1071 +tp1806 +a(g822 +V\u000a +p1807 +tp1808 +a(g740 +Vcase +p1809 +tp1810 +a(g822 +g974 +tp1811 +a(g431 +V0 +p1812 +tp1813 +a(g822 +g974 +tp1814 +a(g740 +Vthen +p1815 +tp1816 +a(g822 +g974 +tp1817 +a(g740 +Vshow +p1818 +tp1819 +a(g822 +g974 +tp1820 +a(g408 +V? +p1821 +tp1822 +a(g740 +Vcase +p1823 +tp1824 +a(g822 +g974 +tp1825 +a(g740 +Vby +p1826 +tp1827 +a(g822 +g974 +tp1828 +a(g408 +g1059 +tp1829 +a(g431 +Vsimp +p1830 +tp1831 +a(g822 +g974 +tp1832 +a(g431 +Vadd +p1833 +tp1834 +a(g408 +g1080 +tp1835 +a(g822 +g974 +tp1836 +a(g431 +Vfun_eq_iff +p1837 +tp1838 +a(g408 +g1071 +tp1839 +a(g822 +V\u000a +p1840 +tp1841 +a(g740 +Vnext +p1842 +tp1843 +a(g822 +V\u000a +p1844 +tp1845 +a(g740 +Vcase +p1846 +tp1847 +a(g822 +g974 +tp1848 +a(g408 +g1059 +tp1849 +a(g431 +VSuc +p1850 +tp1851 +a(g822 +g974 +tp1852 +a(g431 +g1378 +tp1853 +a(g408 +g1071 +tp1854 +a(g822 +V\u000a +p1855 +tp1856 +a(g740 +Vdef +p1857 +tp1858 +a(g822 +g974 +tp1859 +a(g431 +Vg +p1860 +tp1861 +a(g822 +g974 +tp1862 +a(g850 +V\u005c +p1863 +tp1864 +a(g822 +g974 +tp1865 +a(g84 +g1045 +tp1866 +a(g112 +V\u005c +p1867 +tp1868 +a(g84 +Vx. f x - 1 +p1869 +tp1870 +a(g84 +g1045 +tp1871 +a(g822 +V\u000a +p1872 +tp1873 +a(g740 +Vwith +p1874 +tp1875 +a(g822 +g974 +tp1876 +a(g431 +VSuc +p1877 +tp1878 +a(g822 +g974 +tp1879 +a(g740 +Vhave +p1880 +tp1881 +a(g822 +g974 +tp1882 +a(g84 +g1045 +tp1883 +a(g84 +Vn = g x +p1884 +tp1885 +a(g84 +g1045 +tp1886 +a(g822 +g974 +tp1887 +a(g740 +Vby +p1888 +tp1889 +a(g822 +g974 +tp1890 +a(g431 +Vsimp +p1891 +tp1892 +a(g822 +V\u000a +p1893 +tp1894 +a(g740 +Vwith +p1895 +tp1896 +a(g822 +g974 +tp1897 +a(g431 +VSuc +p1898 +tp1899 +a(g822 +g974 +tp1900 +a(g740 +Vhave +p1901 +tp1902 +a(g822 +g974 +tp1903 +a(g84 +g1045 +tp1904 +a(g84 +Vtimes x ^^ g x = times (x ^ g x) +p1905 +tp1906 +a(g84 +g1045 +tp1907 +a(g822 +g974 +tp1908 +a(g740 +Vby +p1909 +tp1910 +a(g822 +g974 +tp1911 +a(g431 +Vsimp +p1912 +tp1913 +a(g822 +V\u000a +p1914 +tp1915 +a(g740 +Vmoreover +p1916 +tp1917 +a(g822 +g974 +tp1918 +a(g740 +Vfrom +p1919 +tp1920 +a(g822 +g974 +tp1921 +a(g431 +VSuc +p1922 +tp1923 +a(g822 +g974 +tp1924 +a(g431 +Vg_def +p1925 +tp1926 +a(g822 +g974 +tp1927 +a(g740 +Vhave +p1928 +tp1929 +a(g822 +g974 +tp1930 +a(g84 +g1045 +tp1931 +a(g84 +Vf x = g x + 1 +p1932 +tp1933 +a(g84 +g1045 +tp1934 +a(g822 +g974 +tp1935 +a(g740 +Vby +p1936 +tp1937 +a(g822 +g974 +tp1938 +a(g431 +Vsimp +p1939 +tp1940 +a(g822 +V\u000a +p1941 +tp1942 +a(g740 +Vultimately +p1943 +tp1944 +a(g822 +g974 +tp1945 +a(g740 +Vshow +p1946 +tp1947 +a(g822 +g974 +tp1948 +a(g408 +g1821 +tp1949 +a(g740 +Vcase +p1950 +tp1951 +a(g822 +g974 +tp1952 +a(g740 +Vby +p1953 +tp1954 +a(g822 +g974 +tp1955 +a(g408 +g1059 +tp1956 +a(g431 +Vsimp +p1957 +tp1958 +a(g822 +g974 +tp1959 +a(g431 +Vadd +p1960 +tp1961 +a(g408 +g1080 +tp1962 +a(g822 +g974 +tp1963 +a(g431 +Vpower_add +p1964 +tp1965 +a(g822 +g974 +tp1966 +a(g431 +Vfunpow_add +p1967 +tp1968 +a(g822 +g974 +tp1969 +a(g431 +Vfun_eq_iff +p1970 +tp1971 +a(g822 +g974 +tp1972 +a(g431 +Vmult_assoc +p1973 +tp1974 +a(g408 +g1071 +tp1975 +a(g822 +V\u000a +p1976 +tp1977 +a(g740 +Vqed +p1978 +tp1979 +a(g822 +V\u000a\u000a +p1980 +tp1981 +a(g740 +Vend +p1982 +tp1983 +a(g822 +V\u000a\u000a +p1984 +tp1985 +a(g740 +Vcontext +p1986 +tp1987 +a(g822 +g974 +tp1988 +a(g431 +Vcomm_monoid_mult +p1989 +tp1990 +a(g822 +V\u000a +p1991 +tp1992 +a(g740 +Vbegin +p1993 +tp1994 +a(g822 +V\u000a\u000a +p1995 +tp1996 +a(g766 +Vlemma +p1997 +tp1998 +a(g822 +g974 +tp1999 +a(g431 +Vpower_mult_distrib +p2000 +tp2001 +a(g408 +g1080 +tp2002 +a(g822 +V\u000a +p2003 +tp2004 +a(g84 +g1045 +tp2005 +a(g84 +V(a * b) ^ n = (a ^ n) * (b ^ n) +p2006 +tp2007 +a(g84 +g1045 +tp2008 +a(g822 +V\u000a +p2009 +tp2010 +a(g740 +Vby +p2011 +tp2012 +a(g822 +g974 +tp2013 +a(g408 +g1059 +tp2014 +a(g431 +Vinduct +p2015 +tp2016 +a(g822 +g974 +tp2017 +a(g431 +g1378 +tp2018 +a(g408 +g1071 +tp2019 +a(g822 +g974 +tp2020 +a(g408 +g1059 +tp2021 +a(g431 +Vsimp_all +p2022 +tp2023 +a(g822 +g974 +tp2024 +a(g431 +Vadd +p2025 +tp2026 +a(g408 +g1080 +tp2027 +a(g822 +g974 +tp2028 +a(g431 +Vmult_ac +p2029 +tp2030 +a(g408 +g1071 +tp2031 +a(g822 +V\u000a\u000a +p2032 +tp2033 +a(g740 +Vend +p2034 +tp2035 +a(g822 +V\u000a\u000a +p2036 +tp2037 +a(g740 +Vcontext +p2038 +tp2039 +a(g822 +g974 +tp2040 +a(g431 +Vsemiring_numeral +p2041 +tp2042 +a(g822 +V\u000a +p2043 +tp2044 +a(g740 +Vbegin +p2045 +tp2046 +a(g822 +V\u000a\u000a +p2047 +tp2048 +a(g766 +Vlemma +p2049 +tp2050 +a(g822 +g974 +tp2051 +a(g431 +Vnumeral_sqr +p2052 +tp2053 +a(g408 +g1080 +tp2054 +a(g822 +g974 +tp2055 +a(g84 +g1045 +tp2056 +a(g84 +Vnumeral (Num.sqr k) = numeral k * numeral k +p2057 +tp2058 +a(g84 +g1045 +tp2059 +a(g822 +V\u000a +p2060 +tp2061 +a(g740 +Vby +p2062 +tp2063 +a(g822 +g974 +tp2064 +a(g408 +g1059 +tp2065 +a(g431 +Vsimp +p2066 +tp2067 +a(g822 +g974 +tp2068 +a(g431 +Vonly +p2069 +tp2070 +a(g408 +g1080 +tp2071 +a(g822 +g974 +tp2072 +a(g431 +Vsqr_conv_mult +p2073 +tp2074 +a(g822 +g974 +tp2075 +a(g431 +Vnumeral_mult +p2076 +tp2077 +a(g408 +g1071 +tp2078 +a(g822 +V\u000a\u000a +p2079 +tp2080 +a(g766 +Vlemma +p2081 +tp2082 +a(g822 +g974 +tp2083 +a(g431 +Vnumeral_pow +p2084 +tp2085 +a(g408 +g1080 +tp2086 +a(g822 +g974 +tp2087 +a(g84 +g1045 +tp2088 +a(g84 +Vnumeral (Num.pow k l) = numeral k ^ numeral l +p2089 +tp2090 +a(g84 +g1045 +tp2091 +a(g822 +V\u000a +p2092 +tp2093 +a(g740 +Vby +p2094 +tp2095 +a(g822 +g974 +tp2096 +a(g408 +g1059 +tp2097 +a(g431 +Vinduct +p2098 +tp2099 +a(g822 +g974 +tp2100 +a(g431 +Vl +p2101 +tp2102 +a(g408 +V, +p2103 +tp2104 +a(g822 +g974 +tp2105 +a(g431 +Vsimp_all +p2106 +tp2107 +a(g822 +g974 +tp2108 +a(g431 +Vonly +p2109 +tp2110 +a(g408 +g1080 +tp2111 +a(g822 +g974 +tp2112 +a(g431 +Vnumeral_class.numeral.simps +p2113 +tp2114 +a(g822 +g974 +tp2115 +a(g431 +Vpow.simps +p2116 +tp2117 +a(g822 +V\u000a +p2118 +tp2119 +a(g431 +Vnumeral_sqr +p2120 +tp2121 +a(g822 +g974 +tp2122 +a(g431 +Vnumeral_mult +p2123 +tp2124 +a(g822 +g974 +tp2125 +a(g431 +Vpower_add +p2126 +tp2127 +a(g822 +g974 +tp2128 +a(g431 +Vpower_one_right +p2129 +tp2130 +a(g408 +g1071 +tp2131 +a(g822 +V\u000a\u000a +p2132 +tp2133 +a(g766 +Vlemma +p2134 +tp2135 +a(g822 +g974 +tp2136 +a(g431 +Vpower_numeral +p2137 +tp2138 +a(g822 +g974 +tp2139 +a(g408 +g1131 +tp2140 +a(g431 +Vsimp +p2141 +tp2142 +a(g408 +g1135 +tp2143 +a(g408 +g1080 +tp2144 +a(g822 +g974 +tp2145 +a(g84 +g1045 +tp2146 +a(g84 +Vnumeral k ^ numeral l = numeral (Num.pow k l) +p2147 +tp2148 +a(g84 +g1045 +tp2149 +a(g822 +V\u000a +p2150 +tp2151 +a(g740 +Vby +p2152 +tp2153 +a(g822 +g974 +tp2154 +a(g408 +g1059 +tp2155 +a(g431 +Vrule +p2156 +tp2157 +a(g822 +g974 +tp2158 +a(g431 +Vnumeral_pow +p2159 +tp2160 +a(g822 +g974 +tp2161 +a(g408 +g1131 +tp2162 +a(g431 +Vsymmetric +p2163 +tp2164 +a(g408 +g1135 +tp2165 +a(g408 +g1071 +tp2166 +a(g822 +V\u000a\u000a +p2167 +tp2168 +a(g740 +Vend +p2169 +tp2170 +a(g822 +V\u000a\u000a +p2171 +tp2172 +a(g740 +Vcontext +p2173 +tp2174 +a(g822 +g974 +tp2175 +a(g431 +Vsemiring_1 +p2176 +tp2177 +a(g822 +V\u000a +p2178 +tp2179 +a(g740 +Vbegin +p2180 +tp2181 +a(g822 +V\u000a\u000a +p2182 +tp2183 +a(g766 +Vlemma +p2184 +tp2185 +a(g822 +g974 +tp2186 +a(g431 +Vof_nat_power +p2187 +tp2188 +a(g408 +g1080 +tp2189 +a(g822 +V\u000a +p2190 +tp2191 +a(g84 +g1045 +tp2192 +a(g84 +Vof_nat (m ^ n) = of_nat m ^ n +p2193 +tp2194 +a(g84 +g1045 +tp2195 +a(g822 +V\u000a +p2196 +tp2197 +a(g740 +Vby +p2198 +tp2199 +a(g822 +g974 +tp2200 +a(g408 +g1059 +tp2201 +a(g431 +Vinduct +p2202 +tp2203 +a(g822 +g974 +tp2204 +a(g431 +g1378 +tp2205 +a(g408 +g1071 +tp2206 +a(g822 +g974 +tp2207 +a(g408 +g1059 +tp2208 +a(g431 +Vsimp_all +p2209 +tp2210 +a(g822 +g974 +tp2211 +a(g431 +Vadd +p2212 +tp2213 +a(g408 +g1080 +tp2214 +a(g822 +g974 +tp2215 +a(g431 +Vof_nat_mult +p2216 +tp2217 +a(g408 +g1071 +tp2218 +a(g822 +V\u000a\u000a +p2219 +tp2220 +a(g766 +Vlemma +p2221 +tp2222 +a(g822 +g974 +tp2223 +a(g431 +Vpower_zero_numeral +p2224 +tp2225 +a(g822 +g974 +tp2226 +a(g408 +g1131 +tp2227 +a(g431 +Vsimp +p2228 +tp2229 +a(g408 +g1135 +tp2230 +a(g408 +g1080 +tp2231 +a(g822 +g974 +tp2232 +a(g84 +g1045 +tp2233 +a(g84 +V(0::'a) ^ numeral k = 0 +p2234 +tp2235 +a(g84 +g1045 +tp2236 +a(g822 +V\u000a +p2237 +tp2238 +a(g740 +Vby +p2239 +tp2240 +a(g822 +g974 +tp2241 +a(g408 +g1059 +tp2242 +a(g431 +Vsimp +p2243 +tp2244 +a(g822 +g974 +tp2245 +a(g431 +Vadd +p2246 +tp2247 +a(g408 +g1080 +tp2248 +a(g822 +g974 +tp2249 +a(g431 +Vnumeral_eq_Suc +p2250 +tp2251 +a(g408 +g1071 +tp2252 +a(g822 +V\u000a\u000a +p2253 +tp2254 +a(g766 +Vlemma +p2255 +tp2256 +a(g822 +g974 +tp2257 +a(g431 +Vzero_power2 +p2258 +tp2259 +a(g408 +g1080 +tp2260 +a(g822 +g974 +tp2261 +a(g84 +g1045 +tp2262 +a(g84 +g1812 +tp2263 +a(g84 +V\u005c +p2264 +tp2265 +a(g84 +V<^sup>2 = 0 +p2266 +tp2267 +a(g84 +g1045 +tp2268 +a(g822 +g974 +tp2269 +a(g7 +V(* +p2270 +tp2271 +a(g7 +V delete? +p2272 +tp2273 +a(g7 +V*) +p2274 +tp2275 +a(g822 +V\u000a +p2276 +tp2277 +a(g740 +Vby +p2278 +tp2279 +a(g822 +g974 +tp2280 +a(g408 +g1059 +tp2281 +a(g431 +Vrule +p2282 +tp2283 +a(g822 +g974 +tp2284 +a(g431 +Vpower_zero_numeral +p2285 +tp2286 +a(g408 +g1071 +tp2287 +a(g822 +V\u000a\u000a +p2288 +tp2289 +a(g766 +Vlemma +p2290 +tp2291 +a(g822 +g974 +tp2292 +a(g431 +Vone_power2 +p2293 +tp2294 +a(g408 +g1080 +tp2295 +a(g822 +g974 +tp2296 +a(g84 +g1045 +tp2297 +a(g84 +V1 +p2298 +tp2299 +a(g84 +V\u005c +p2300 +tp2301 +a(g84 +V<^sup>2 = 1 +p2302 +tp2303 +a(g84 +g1045 +tp2304 +a(g822 +g974 +tp2305 +a(g7 +V(* +p2306 +tp2307 +a(g7 +V delete? +p2308 +tp2309 +a(g7 +V*) +p2310 +tp2311 +a(g822 +V\u000a +p2312 +tp2313 +a(g740 +Vby +p2314 +tp2315 +a(g822 +g974 +tp2316 +a(g408 +g1059 +tp2317 +a(g431 +Vrule +p2318 +tp2319 +a(g822 +g974 +tp2320 +a(g431 +Vpower_one +p2321 +tp2322 +a(g408 +g1071 +tp2323 +a(g822 +V\u000a\u000a +p2324 +tp2325 +a(g740 +Vend +p2326 +tp2327 +a(g822 +V\u000a\u000a +p2328 +tp2329 +a(g740 +Vcontext +p2330 +tp2331 +a(g822 +g974 +tp2332 +a(g431 +Vcomm_semiring_1 +p2333 +tp2334 +a(g822 +V\u000a +p2335 +tp2336 +a(g740 +Vbegin +p2337 +tp2338 +a(g822 +V\u000a\u000a +p2339 +tp2340 +a(g740 +Vtext +p2341 +tp2342 +a(g822 +g974 +tp2343 +a(g7 +V{* +p2344 +tp2345 +a(g7 +V The divides relation +p2346 +tp2347 +a(g7 +V*} +p2348 +tp2349 +a(g822 +V\u000a\u000a +p2350 +tp2351 +a(g766 +Vlemma +p2352 +tp2353 +a(g822 +g974 +tp2354 +a(g431 +Vle_imp_power_dvd +p2355 +tp2356 +a(g408 +g1080 +tp2357 +a(g822 +V\u000a +p2358 +tp2359 +a(g781 +Vassumes +p2360 +tp2361 +a(g822 +g974 +tp2362 +a(g84 +g1045 +tp2363 +a(g84 +Vm +p2364 +tp2365 +a(g112 +V\u005c +p2366 +tp2367 +a(g84 +V n +p2368 +tp2369 +a(g84 +g1045 +tp2370 +a(g822 +g974 +tp2371 +a(g781 +Vshows +p2372 +tp2373 +a(g822 +g974 +tp2374 +a(g84 +g1045 +tp2375 +a(g84 +Va ^ m dvd a ^ n +p2376 +tp2377 +a(g84 +g1045 +tp2378 +a(g822 +V\u000a +p2379 +tp2380 +a(g740 +Vproof +p2381 +tp2382 +a(g822 +V\u000a +p2383 +tp2384 +a(g740 +Vhave +p2385 +tp2386 +a(g822 +g974 +tp2387 +a(g84 +g1045 +tp2388 +a(g84 +Va ^ n = a ^ (m + (n - m)) +p2389 +tp2390 +a(g84 +g1045 +tp2391 +a(g822 +V\u000a +p2392 +tp2393 +a(g740 +Vusing +p2394 +tp2395 +a(g822 +g974 +tp2396 +a(g232 +V` +p2397 +tp2398 +a(g232 +Vm +p2399 +tp2400 +a(g112 +V\u005c +p2401 +tp2402 +a(g232 +V n +p2403 +tp2404 +a(g232 +g2397 +tp2405 +a(g822 +g974 +tp2406 +a(g740 +Vby +p2407 +tp2408 +a(g822 +g974 +tp2409 +a(g431 +Vsimp +p2410 +tp2411 +a(g822 +V\u000a +p2412 +tp2413 +a(g740 +Valso +p2414 +tp2415 +a(g822 +g974 +tp2416 +a(g740 +Vhave +p2417 +tp2418 +a(g822 +g974 +tp2419 +a(g84 +g1045 +tp2420 +a(g112 +V\u005c +p2421 +tp2422 +a(g84 +V = a ^ m * a ^ (n - m) +p2423 +tp2424 +a(g84 +g1045 +tp2425 +a(g822 +V\u000a +p2426 +tp2427 +a(g740 +Vby +p2428 +tp2429 +a(g822 +g974 +tp2430 +a(g408 +g1059 +tp2431 +a(g431 +Vrule +p2432 +tp2433 +a(g822 +g974 +tp2434 +a(g431 +Vpower_add +p2435 +tp2436 +a(g408 +g1071 +tp2437 +a(g822 +V\u000a +p2438 +tp2439 +a(g740 +Vfinally +p2440 +tp2441 +a(g822 +g974 +tp2442 +a(g740 +Vshow +p2443 +tp2444 +a(g822 +g974 +tp2445 +a(g84 +g1045 +tp2446 +a(g84 +Va ^ n = a ^ m * a ^ (n - m) +p2447 +tp2448 +a(g84 +g1045 +tp2449 +a(g822 +g974 +tp2450 +a(g422 +g1348 +tp2451 +a(g822 +V\u000a +p2452 +tp2453 +a(g740 +Vqed +p2454 +tp2455 +a(g822 +V\u000a\u000a +p2456 +tp2457 +a(g766 +Vlemma +p2458 +tp2459 +a(g822 +g974 +tp2460 +a(g431 +Vpower_le_dvd +p2461 +tp2462 +a(g408 +g1080 +tp2463 +a(g822 +V\u000a +p2464 +tp2465 +a(g84 +g1045 +tp2466 +a(g84 +Va ^ n dvd b +p2467 +tp2468 +a(g112 +V\u005c +p2469 +tp2470 +a(g84 +V m +p2471 +tp2472 +a(g112 +V\u005c +p2473 +tp2474 +a(g84 +V n +p2475 +tp2476 +a(g112 +V\u005c +p2477 +tp2478 +a(g84 +V a ^ m dvd b +p2479 +tp2480 +a(g84 +g1045 +tp2481 +a(g822 +V\u000a +p2482 +tp2483 +a(g740 +Vby +p2484 +tp2485 +a(g822 +g974 +tp2486 +a(g408 +g1059 +tp2487 +a(g431 +Vrule +p2488 +tp2489 +a(g822 +g974 +tp2490 +a(g431 +Vdvd_trans +p2491 +tp2492 +a(g822 +g974 +tp2493 +a(g408 +g1131 +tp2494 +a(g431 +VOF +p2495 +tp2496 +a(g822 +g974 +tp2497 +a(g431 +Vle_imp_power_dvd +p2498 +tp2499 +a(g408 +g1135 +tp2500 +a(g408 +g1071 +tp2501 +a(g822 +V\u000a\u000a +p2502 +tp2503 +a(g766 +Vlemma +p2504 +tp2505 +a(g822 +g974 +tp2506 +a(g431 +Vdvd_power_same +p2507 +tp2508 +a(g408 +g1080 +tp2509 +a(g822 +V\u000a +p2510 +tp2511 +a(g84 +g1045 +tp2512 +a(g84 +Vx dvd y +p2513 +tp2514 +a(g112 +V\u005c +p2515 +tp2516 +a(g84 +V x ^ n dvd y ^ n +p2517 +tp2518 +a(g84 +g1045 +tp2519 +a(g822 +V\u000a +p2520 +tp2521 +a(g740 +Vby +p2522 +tp2523 +a(g822 +g974 +tp2524 +a(g408 +g1059 +tp2525 +a(g431 +Vinduct +p2526 +tp2527 +a(g822 +g974 +tp2528 +a(g431 +g1378 +tp2529 +a(g408 +g1071 +tp2530 +a(g822 +g974 +tp2531 +a(g408 +g1059 +tp2532 +a(g431 +Vauto +p2533 +tp2534 +a(g822 +g974 +tp2535 +a(g431 +Vsimp +p2536 +tp2537 +a(g822 +g974 +tp2538 +a(g431 +Vadd +p2539 +tp2540 +a(g408 +g1080 +tp2541 +a(g822 +g974 +tp2542 +a(g431 +Vmult_dvd_mono +p2543 +tp2544 +a(g408 +g1071 +tp2545 +a(g822 +V\u000a\u000a +p2546 +tp2547 +a(g766 +Vlemma +p2548 +tp2549 +a(g822 +g974 +tp2550 +a(g431 +Vdvd_power_le +p2551 +tp2552 +a(g408 +g1080 +tp2553 +a(g822 +V\u000a +p2554 +tp2555 +a(g84 +g1045 +tp2556 +a(g84 +Vx dvd y +p2557 +tp2558 +a(g112 +V\u005c +p2559 +tp2560 +a(g84 +V m +p2561 +tp2562 +a(g112 +V\u005c +p2563 +tp2564 +a(g84 +V n +p2565 +tp2566 +a(g112 +V\u005c +p2567 +tp2568 +a(g84 +V x ^ n dvd y ^ m +p2569 +tp2570 +a(g84 +g1045 +tp2571 +a(g822 +V\u000a +p2572 +tp2573 +a(g740 +Vby +p2574 +tp2575 +a(g822 +g974 +tp2576 +a(g408 +g1059 +tp2577 +a(g431 +Vrule +p2578 +tp2579 +a(g822 +g974 +tp2580 +a(g431 +Vpower_le_dvd +p2581 +tp2582 +a(g822 +g974 +tp2583 +a(g408 +g1131 +tp2584 +a(g431 +VOF +p2585 +tp2586 +a(g822 +g974 +tp2587 +a(g431 +Vdvd_power_same +p2588 +tp2589 +a(g408 +g1135 +tp2590 +a(g408 +g1071 +tp2591 +a(g822 +V\u000a\u000a +p2592 +tp2593 +a(g766 +Vlemma +p2594 +tp2595 +a(g822 +g974 +tp2596 +a(g431 +Vdvd_power +p2597 +tp2598 +a(g822 +g974 +tp2599 +a(g408 +g1131 +tp2600 +a(g431 +Vsimp +p2601 +tp2602 +a(g408 +g1135 +tp2603 +a(g408 +g1080 +tp2604 +a(g822 +V\u000a +p2605 +tp2606 +a(g781 +Vassumes +p2607 +tp2608 +a(g822 +g974 +tp2609 +a(g84 +g1045 +tp2610 +a(g84 +Vn > (0::nat) +p2611 +tp2612 +a(g112 +V\u005c +p2613 +tp2614 +a(g84 +V x = 1 +p2615 +tp2616 +a(g84 +g1045 +tp2617 +a(g822 +V\u000a +p2618 +tp2619 +a(g781 +Vshows +p2620 +tp2621 +a(g822 +g974 +tp2622 +a(g84 +g1045 +tp2623 +a(g84 +Vx dvd (x ^ n) +p2624 +tp2625 +a(g84 +g1045 +tp2626 +a(g822 +V\u000a +p2627 +tp2628 +a(g740 +Vusing +p2629 +tp2630 +a(g822 +g974 +tp2631 +a(g431 +Vassms +p2632 +tp2633 +a(g822 +g974 +tp2634 +a(g740 +Vproof +p2635 +tp2636 +a(g822 +V\u000a +p2637 +tp2638 +a(g740 +Vassume +p2639 +tp2640 +a(g822 +g974 +tp2641 +a(g84 +g1045 +tp2642 +a(g84 +V0 < n +p2643 +tp2644 +a(g84 +g1045 +tp2645 +a(g822 +V\u000a +p2646 +tp2647 +a(g740 +Vthen +p2648 +tp2649 +a(g822 +g974 +tp2650 +a(g740 +Vhave +p2651 +tp2652 +a(g822 +g974 +tp2653 +a(g84 +g1045 +tp2654 +a(g84 +Vx ^ n = x ^ Suc (n - 1) +p2655 +tp2656 +a(g84 +g1045 +tp2657 +a(g822 +g974 +tp2658 +a(g740 +Vby +p2659 +tp2660 +a(g822 +g974 +tp2661 +a(g431 +Vsimp +p2662 +tp2663 +a(g822 +V\u000a +p2664 +tp2665 +a(g740 +Vthen +p2666 +tp2667 +a(g822 +g974 +tp2668 +a(g740 +Vshow +p2669 +tp2670 +a(g822 +g974 +tp2671 +a(g84 +g1045 +tp2672 +a(g84 +Vx dvd (x ^ n) +p2673 +tp2674 +a(g84 +g1045 +tp2675 +a(g822 +g974 +tp2676 +a(g740 +Vby +p2677 +tp2678 +a(g822 +g974 +tp2679 +a(g431 +Vsimp +p2680 +tp2681 +a(g822 +V\u000a +p2682 +tp2683 +a(g740 +Vnext +p2684 +tp2685 +a(g822 +V\u000a +p2686 +tp2687 +a(g740 +Vassume +p2688 +tp2689 +a(g822 +g974 +tp2690 +a(g84 +g1045 +tp2691 +a(g84 +Vx = 1 +p2692 +tp2693 +a(g84 +g1045 +tp2694 +a(g822 +V\u000a +p2695 +tp2696 +a(g740 +Vthen +p2697 +tp2698 +a(g822 +g974 +tp2699 +a(g740 +Vshow +p2700 +tp2701 +a(g822 +g974 +tp2702 +a(g84 +g1045 +tp2703 +a(g84 +Vx dvd (x ^ n) +p2704 +tp2705 +a(g84 +g1045 +tp2706 +a(g822 +g974 +tp2707 +a(g740 +Vby +p2708 +tp2709 +a(g822 +g974 +tp2710 +a(g431 +Vsimp +p2711 +tp2712 +a(g822 +V\u000a +p2713 +tp2714 +a(g740 +Vqed +p2715 +tp2716 +a(g822 +V\u000a\u000a +p2717 +tp2718 +a(g740 +Vend +p2719 +tp2720 +a(g822 +V\u000a\u000a +p2721 +tp2722 +a(g740 +Vcontext +p2723 +tp2724 +a(g822 +g974 +tp2725 +a(g431 +Vring_1 +p2726 +tp2727 +a(g822 +V\u000a +p2728 +tp2729 +a(g740 +Vbegin +p2730 +tp2731 +a(g822 +V\u000a\u000a +p2732 +tp2733 +a(g766 +Vlemma +p2734 +tp2735 +a(g822 +g974 +tp2736 +a(g431 +Vpower_minus +p2737 +tp2738 +a(g408 +g1080 +tp2739 +a(g822 +V\u000a +p2740 +tp2741 +a(g84 +g1045 +tp2742 +a(g84 +V(- a) ^ n = (- 1) ^ n * a ^ n +p2743 +tp2744 +a(g84 +g1045 +tp2745 +a(g822 +V\u000a +p2746 +tp2747 +a(g740 +Vproof +p2748 +tp2749 +a(g822 +g974 +tp2750 +a(g408 +g1059 +tp2751 +a(g431 +Vinduct +p2752 +tp2753 +a(g822 +g974 +tp2754 +a(g431 +g1378 +tp2755 +a(g408 +g1071 +tp2756 +a(g822 +V\u000a +p2757 +tp2758 +a(g740 +Vcase +p2759 +tp2760 +a(g822 +g974 +tp2761 +a(g431 +g1812 +tp2762 +a(g822 +g974 +tp2763 +a(g740 +Vshow +p2764 +tp2765 +a(g822 +g974 +tp2766 +a(g408 +g1821 +tp2767 +a(g740 +Vcase +p2768 +tp2769 +a(g822 +g974 +tp2770 +a(g740 +Vby +p2771 +tp2772 +a(g822 +g974 +tp2773 +a(g431 +Vsimp +p2774 +tp2775 +a(g822 +V\u000a +p2776 +tp2777 +a(g740 +Vnext +p2778 +tp2779 +a(g822 +V\u000a +p2780 +tp2781 +a(g740 +Vcase +p2782 +tp2783 +a(g822 +g974 +tp2784 +a(g408 +g1059 +tp2785 +a(g431 +VSuc +p2786 +tp2787 +a(g822 +g974 +tp2788 +a(g431 +g1378 +tp2789 +a(g408 +g1071 +tp2790 +a(g822 +g974 +tp2791 +a(g740 +Vthen +p2792 +tp2793 +a(g822 +g974 +tp2794 +a(g740 +Vshow +p2795 +tp2796 +a(g822 +g974 +tp2797 +a(g408 +g1821 +tp2798 +a(g740 +Vcase +p2799 +tp2800 +a(g822 +V\u000a +p2801 +tp2802 +a(g740 +Vby +p2803 +tp2804 +a(g822 +g974 +tp2805 +a(g408 +g1059 +tp2806 +a(g431 +Vsimp +p2807 +tp2808 +a(g822 +g974 +tp2809 +a(g431 +Vdel +p2810 +tp2811 +a(g408 +g1080 +tp2812 +a(g822 +g974 +tp2813 +a(g431 +Vpower_Suc +p2814 +tp2815 +a(g822 +g974 +tp2816 +a(g431 +Vadd +p2817 +tp2818 +a(g408 +g1080 +tp2819 +a(g822 +g974 +tp2820 +a(g431 +Vpower_Suc2 +p2821 +tp2822 +a(g822 +g974 +tp2823 +a(g431 +Vmult_assoc +p2824 +tp2825 +a(g408 +g1071 +tp2826 +a(g822 +V\u000a +p2827 +tp2828 +a(g740 +Vqed +p2829 +tp2830 +a(g822 +V\u000a\u000a +p2831 +tp2832 +a(g766 +Vlemma +p2833 +tp2834 +a(g822 +g974 +tp2835 +a(g431 +Vpower_minus_Bit0 +p2836 +tp2837 +a(g408 +g1080 +tp2838 +a(g822 +V\u000a +p2839 +tp2840 +a(g84 +g1045 +tp2841 +a(g84 +V(- x) ^ numeral (Num.Bit0 k) = x ^ numeral (Num.Bit0 k) +p2842 +tp2843 +a(g84 +g1045 +tp2844 +a(g822 +V\u000a +p2845 +tp2846 +a(g740 +Vby +p2847 +tp2848 +a(g822 +g974 +tp2849 +a(g408 +g1059 +tp2850 +a(g431 +Vinduct +p2851 +tp2852 +a(g822 +g974 +tp2853 +a(g431 +Vk +p2854 +tp2855 +a(g408 +g2103 +tp2856 +a(g822 +g974 +tp2857 +a(g431 +Vsimp_all +p2858 +tp2859 +a(g822 +g974 +tp2860 +a(g431 +Vonly +p2861 +tp2862 +a(g408 +g1080 +tp2863 +a(g822 +g974 +tp2864 +a(g431 +Vnumeral_class.numeral.simps +p2865 +tp2866 +a(g822 +g974 +tp2867 +a(g431 +Vpower_add +p2868 +tp2869 +a(g822 +V\u000a +p2870 +tp2871 +a(g431 +Vpower_one_right +p2872 +tp2873 +a(g822 +g974 +tp2874 +a(g431 +Vmult_minus_left +p2875 +tp2876 +a(g822 +g974 +tp2877 +a(g431 +Vmult_minus_right +p2878 +tp2879 +a(g822 +g974 +tp2880 +a(g431 +Vminus_minus +p2881 +tp2882 +a(g408 +g1071 +tp2883 +a(g822 +V\u000a\u000a +p2884 +tp2885 +a(g766 +Vlemma +p2886 +tp2887 +a(g822 +g974 +tp2888 +a(g431 +Vpower_minus_Bit1 +p2889 +tp2890 +a(g408 +g1080 +tp2891 +a(g822 +V\u000a +p2892 +tp2893 +a(g84 +g1045 +tp2894 +a(g84 +V(- x) ^ numeral (Num.Bit1 k) = - (x ^ numeral (Num.Bit1 k)) +p2895 +tp2896 +a(g84 +g1045 +tp2897 +a(g822 +V\u000a +p2898 +tp2899 +a(g740 +Vby +p2900 +tp2901 +a(g822 +g974 +tp2902 +a(g408 +g1059 +tp2903 +a(g431 +Vsimp +p2904 +tp2905 +a(g822 +g974 +tp2906 +a(g431 +Vonly +p2907 +tp2908 +a(g408 +g1080 +tp2909 +a(g822 +g974 +tp2910 +a(g431 +Veval_nat_numeral +p2911 +tp2912 +a(g408 +g1059 +tp2913 +a(g431 +V3 +p2914 +tp2915 +a(g408 +g1071 +tp2916 +a(g822 +g974 +tp2917 +a(g431 +Vpower_Suc +p2918 +tp2919 +a(g822 +g974 +tp2920 +a(g431 +Vpower_minus_Bit0 +p2921 +tp2922 +a(g822 +g974 +tp2923 +a(g431 +Vmult_minus_left +p2924 +tp2925 +a(g408 +g1071 +tp2926 +a(g822 +V\u000a\u000a +p2927 +tp2928 +a(g766 +Vlemma +p2929 +tp2930 +a(g822 +g974 +tp2931 +a(g431 +Vpower_neg_numeral_Bit0 +p2932 +tp2933 +a(g822 +g974 +tp2934 +a(g408 +g1131 +tp2935 +a(g431 +Vsimp +p2936 +tp2937 +a(g408 +g1135 +tp2938 +a(g408 +g1080 +tp2939 +a(g822 +V\u000a +p2940 +tp2941 +a(g84 +g1045 +tp2942 +a(g84 +Vneg_numeral k ^ numeral (Num.Bit0 l) = numeral (Num.pow k (Num.Bit0 l)) +p2943 +tp2944 +a(g84 +g1045 +tp2945 +a(g822 +V\u000a +p2946 +tp2947 +a(g740 +Vby +p2948 +tp2949 +a(g822 +g974 +tp2950 +a(g408 +g1059 +tp2951 +a(g431 +Vsimp +p2952 +tp2953 +a(g822 +g974 +tp2954 +a(g431 +Vonly +p2955 +tp2956 +a(g408 +g1080 +tp2957 +a(g822 +g974 +tp2958 +a(g431 +Vneg_numeral_def +p2959 +tp2960 +a(g822 +g974 +tp2961 +a(g431 +Vpower_minus_Bit0 +p2962 +tp2963 +a(g822 +g974 +tp2964 +a(g431 +Vpower_numeral +p2965 +tp2966 +a(g408 +g1071 +tp2967 +a(g822 +V\u000a\u000a +p2968 +tp2969 +a(g766 +Vlemma +p2970 +tp2971 +a(g822 +g974 +tp2972 +a(g431 +Vpower_neg_numeral_Bit1 +p2973 +tp2974 +a(g822 +g974 +tp2975 +a(g408 +g1131 +tp2976 +a(g431 +Vsimp +p2977 +tp2978 +a(g408 +g1135 +tp2979 +a(g408 +g1080 +tp2980 +a(g822 +V\u000a +p2981 +tp2982 +a(g84 +g1045 +tp2983 +a(g84 +Vneg_numeral k ^ numeral (Num.Bit1 l) = neg_numeral (Num.pow k (Num.Bit1 l)) +p2984 +tp2985 +a(g84 +g1045 +tp2986 +a(g822 +V\u000a +p2987 +tp2988 +a(g740 +Vby +p2989 +tp2990 +a(g822 +g974 +tp2991 +a(g408 +g1059 +tp2992 +a(g431 +Vsimp +p2993 +tp2994 +a(g822 +g974 +tp2995 +a(g431 +Vonly +p2996 +tp2997 +a(g408 +g1080 +tp2998 +a(g822 +g974 +tp2999 +a(g431 +Vneg_numeral_def +p3000 +tp3001 +a(g822 +g974 +tp3002 +a(g431 +Vpower_minus_Bit1 +p3003 +tp3004 +a(g822 +g974 +tp3005 +a(g431 +Vpower_numeral +p3006 +tp3007 +a(g822 +g974 +tp3008 +a(g431 +Vpow.simps +p3009 +tp3010 +a(g408 +g1071 +tp3011 +a(g822 +V\u000a\u000a +p3012 +tp3013 +a(g766 +Vlemma +p3014 +tp3015 +a(g822 +g974 +tp3016 +a(g431 +Vpower2_minus +p3017 +tp3018 +a(g822 +g974 +tp3019 +a(g408 +g1131 +tp3020 +a(g431 +Vsimp +p3021 +tp3022 +a(g408 +g1135 +tp3023 +a(g408 +g1080 +tp3024 +a(g822 +V\u000a +p3025 +tp3026 +a(g84 +g1045 +tp3027 +a(g84 +V(- a) +p3028 +tp3029 +a(g84 +V\u005c +p3030 +tp3031 +a(g84 +V<^sup>2 = a +p3032 +tp3033 +a(g84 +V\u005c +p3034 +tp3035 +a(g84 +V<^sup>2 +p3036 +tp3037 +a(g84 +g1045 +tp3038 +a(g822 +V\u000a +p3039 +tp3040 +a(g740 +Vby +p3041 +tp3042 +a(g822 +g974 +tp3043 +a(g408 +g1059 +tp3044 +a(g431 +Vrule +p3045 +tp3046 +a(g822 +g974 +tp3047 +a(g431 +Vpower_minus_Bit0 +p3048 +tp3049 +a(g408 +g1071 +tp3050 +a(g822 +V\u000a\u000a +p3051 +tp3052 +a(g766 +Vlemma +p3053 +tp3054 +a(g822 +g974 +tp3055 +a(g431 +Vpower_minus1_even +p3056 +tp3057 +a(g822 +g974 +tp3058 +a(g408 +g1131 +tp3059 +a(g431 +Vsimp +p3060 +tp3061 +a(g408 +g1135 +tp3062 +a(g408 +g1080 +tp3063 +a(g822 +V\u000a +p3064 +tp3065 +a(g84 +g1045 +tp3066 +a(g84 +V-1 ^ (2*n) = 1 +p3067 +tp3068 +a(g84 +g1045 +tp3069 +a(g822 +V\u000a +p3070 +tp3071 +a(g740 +Vproof +p3072 +tp3073 +a(g822 +g974 +tp3074 +a(g408 +g1059 +tp3075 +a(g431 +Vinduct +p3076 +tp3077 +a(g822 +g974 +tp3078 +a(g431 +g1378 +tp3079 +a(g408 +g1071 +tp3080 +a(g822 +V\u000a +p3081 +tp3082 +a(g740 +Vcase +p3083 +tp3084 +a(g822 +g974 +tp3085 +a(g431 +g1812 +tp3086 +a(g822 +g974 +tp3087 +a(g740 +Vshow +p3088 +tp3089 +a(g822 +g974 +tp3090 +a(g408 +g1821 +tp3091 +a(g740 +Vcase +p3092 +tp3093 +a(g822 +g974 +tp3094 +a(g740 +Vby +p3095 +tp3096 +a(g822 +g974 +tp3097 +a(g431 +Vsimp +p3098 +tp3099 +a(g822 +V\u000a +p3100 +tp3101 +a(g740 +Vnext +p3102 +tp3103 +a(g822 +V\u000a +p3104 +tp3105 +a(g740 +Vcase +p3106 +tp3107 +a(g822 +g974 +tp3108 +a(g408 +g1059 +tp3109 +a(g431 +VSuc +p3110 +tp3111 +a(g822 +g974 +tp3112 +a(g431 +g1378 +tp3113 +a(g408 +g1071 +tp3114 +a(g822 +g974 +tp3115 +a(g740 +Vthen +p3116 +tp3117 +a(g822 +g974 +tp3118 +a(g740 +Vshow +p3119 +tp3120 +a(g822 +g974 +tp3121 +a(g408 +g1821 +tp3122 +a(g740 +Vcase +p3123 +tp3124 +a(g822 +g974 +tp3125 +a(g740 +Vby +p3126 +tp3127 +a(g822 +g974 +tp3128 +a(g408 +g1059 +tp3129 +a(g431 +Vsimp +p3130 +tp3131 +a(g822 +g974 +tp3132 +a(g431 +Vadd +p3133 +tp3134 +a(g408 +g1080 +tp3135 +a(g822 +g974 +tp3136 +a(g431 +Vpower_add +p3137 +tp3138 +a(g822 +g974 +tp3139 +a(g431 +Vpower2_eq_square +p3140 +tp3141 +a(g408 +g1071 +tp3142 +a(g822 +V\u000a +p3143 +tp3144 +a(g740 +Vqed +p3145 +tp3146 +a(g822 +V\u000a\u000a +p3147 +tp3148 +a(g766 +Vlemma +p3149 +tp3150 +a(g822 +g974 +tp3151 +a(g431 +Vpower_minus1_odd +p3152 +tp3153 +a(g408 +g1080 +tp3154 +a(g822 +V\u000a +p3155 +tp3156 +a(g84 +g1045 +tp3157 +a(g84 +V-1 ^ Suc (2*n) = -1 +p3158 +tp3159 +a(g84 +g1045 +tp3160 +a(g822 +V\u000a +p3161 +tp3162 +a(g740 +Vby +p3163 +tp3164 +a(g822 +g974 +tp3165 +a(g431 +Vsimp +p3166 +tp3167 +a(g822 +V\u000a\u000a +p3168 +tp3169 +a(g766 +Vlemma +p3170 +tp3171 +a(g822 +g974 +tp3172 +a(g431 +Vpower_minus_even +p3173 +tp3174 +a(g822 +g974 +tp3175 +a(g408 +g1131 +tp3176 +a(g431 +Vsimp +p3177 +tp3178 +a(g408 +g1135 +tp3179 +a(g408 +g1080 +tp3180 +a(g822 +V\u000a +p3181 +tp3182 +a(g84 +g1045 +tp3183 +a(g84 +V(-a) ^ (2*n) = a ^ (2*n) +p3184 +tp3185 +a(g84 +g1045 +tp3186 +a(g822 +V\u000a +p3187 +tp3188 +a(g740 +Vby +p3189 +tp3190 +a(g822 +g974 +tp3191 +a(g408 +g1059 +tp3192 +a(g431 +Vsimp +p3193 +tp3194 +a(g822 +g974 +tp3195 +a(g431 +Vadd +p3196 +tp3197 +a(g408 +g1080 +tp3198 +a(g822 +g974 +tp3199 +a(g431 +Vpower_minus +p3200 +tp3201 +a(g822 +g974 +tp3202 +a(g408 +g1131 +tp3203 +a(g431 +Vof +p3204 +tp3205 +a(g822 +g974 +tp3206 +a(g431 +g1562 +tp3207 +a(g408 +g1135 +tp3208 +a(g408 +g1071 +tp3209 +a(g822 +V\u000a\u000a +p3210 +tp3211 +a(g740 +Vend +p3212 +tp3213 +a(g822 +V\u000a\u000a +p3214 +tp3215 +a(g740 +Vcontext +p3216 +tp3217 +a(g822 +g974 +tp3218 +a(g431 +Vring_1_no_zero_divisors +p3219 +tp3220 +a(g822 +V\u000a +p3221 +tp3222 +a(g740 +Vbegin +p3223 +tp3224 +a(g822 +V\u000a\u000a +p3225 +tp3226 +a(g766 +Vlemma +p3227 +tp3228 +a(g822 +g974 +tp3229 +a(g431 +Vfield_power_not_zero +p3230 +tp3231 +a(g408 +g1080 +tp3232 +a(g822 +V\u000a +p3233 +tp3234 +a(g84 +g1045 +tp3235 +a(g84 +Va +p3236 +tp3237 +a(g112 +V\u005c +p3238 +tp3239 +a(g84 +V 0 +p3240 +tp3241 +a(g112 +V\u005c +p3242 +tp3243 +a(g84 +V a ^ n +p3244 +tp3245 +a(g112 +V\u005c +p3246 +tp3247 +a(g84 +V 0 +p3248 +tp3249 +a(g84 +g1045 +tp3250 +a(g822 +V\u000a +p3251 +tp3252 +a(g740 +Vby +p3253 +tp3254 +a(g822 +g974 +tp3255 +a(g408 +g1059 +tp3256 +a(g431 +Vinduct +p3257 +tp3258 +a(g822 +g974 +tp3259 +a(g431 +g1378 +tp3260 +a(g408 +g1071 +tp3261 +a(g822 +g974 +tp3262 +a(g431 +Vauto +p3263 +tp3264 +a(g822 +V\u000a\u000a +p3265 +tp3266 +a(g766 +Vlemma +p3267 +tp3268 +a(g822 +g974 +tp3269 +a(g431 +Vzero_eq_power2 +p3270 +tp3271 +a(g822 +g974 +tp3272 +a(g408 +g1131 +tp3273 +a(g431 +Vsimp +p3274 +tp3275 +a(g408 +g1135 +tp3276 +a(g408 +g1080 +tp3277 +a(g822 +V\u000a +p3278 +tp3279 +a(g84 +g1045 +tp3280 +a(g84 +g1562 +tp3281 +a(g84 +V\u005c +p3282 +tp3283 +a(g84 +V<^sup>2 = 0 +p3284 +tp3285 +a(g112 +V\u005c +p3286 +tp3287 +a(g84 +V a = 0 +p3288 +tp3289 +a(g84 +g1045 +tp3290 +a(g822 +V\u000a +p3291 +tp3292 +a(g740 +Vunfolding +p3293 +tp3294 +a(g822 +g974 +tp3295 +a(g431 +Vpower2_eq_square +p3296 +tp3297 +a(g822 +g974 +tp3298 +a(g740 +Vby +p3299 +tp3300 +a(g822 +g974 +tp3301 +a(g431 +Vsimp +p3302 +tp3303 +a(g822 +V\u000a\u000a +p3304 +tp3305 +a(g766 +Vlemma +p3306 +tp3307 +a(g822 +g974 +tp3308 +a(g431 +Vpower2_eq_1_iff +p3309 +tp3310 +a(g408 +g1080 +tp3311 +a(g822 +V\u000a +p3312 +tp3313 +a(g84 +g1045 +tp3314 +a(g84 +g1562 +tp3315 +a(g84 +V\u005c +p3316 +tp3317 +a(g84 +V<^sup>2 = 1 +p3318 +tp3319 +a(g112 +V\u005c +p3320 +tp3321 +a(g84 +V a = 1 +p3322 +tp3323 +a(g112 +V\u005c +p3324 +tp3325 +a(g84 +V a = - 1 +p3326 +tp3327 +a(g84 +g1045 +tp3328 +a(g822 +V\u000a +p3329 +tp3330 +a(g740 +Vunfolding +p3331 +tp3332 +a(g822 +g974 +tp3333 +a(g431 +Vpower2_eq_square +p3334 +tp3335 +a(g822 +g974 +tp3336 +a(g740 +Vby +p3337 +tp3338 +a(g822 +g974 +tp3339 +a(g408 +g1059 +tp3340 +a(g431 +Vrule +p3341 +tp3342 +a(g822 +g974 +tp3343 +a(g431 +Vsquare_eq_1_iff +p3344 +tp3345 +a(g408 +g1071 +tp3346 +a(g822 +V\u000a\u000a +p3347 +tp3348 +a(g740 +Vend +p3349 +tp3350 +a(g822 +V\u000a\u000a +p3351 +tp3352 +a(g740 +Vcontext +p3353 +tp3354 +a(g822 +g974 +tp3355 +a(g431 +Vidom +p3356 +tp3357 +a(g822 +V\u000a +p3358 +tp3359 +a(g740 +Vbegin +p3360 +tp3361 +a(g822 +V\u000a\u000a +p3362 +tp3363 +a(g766 +Vlemma +p3364 +tp3365 +a(g822 +g974 +tp3366 +a(g431 +Vpower2_eq_iff +p3367 +tp3368 +a(g408 +g1080 +tp3369 +a(g822 +g974 +tp3370 +a(g84 +g1045 +tp3371 +a(g84 +g1242 +tp3372 +a(g84 +V\u005c +p3373 +tp3374 +a(g84 +V<^sup>2 = y +p3375 +tp3376 +a(g84 +V\u005c +p3377 +tp3378 +a(g84 +V<^sup>2 +p3379 +tp3380 +a(g112 +V\u005c +p3381 +tp3382 +a(g84 +V x = y +p3383 +tp3384 +a(g112 +V\u005c +p3385 +tp3386 +a(g84 +V x = - y +p3387 +tp3388 +a(g84 +g1045 +tp3389 +a(g822 +V\u000a +p3390 +tp3391 +a(g740 +Vunfolding +p3392 +tp3393 +a(g822 +g974 +tp3394 +a(g431 +Vpower2_eq_square +p3395 +tp3396 +a(g822 +g974 +tp3397 +a(g740 +Vby +p3398 +tp3399 +a(g822 +g974 +tp3400 +a(g408 +g1059 +tp3401 +a(g431 +Vrule +p3402 +tp3403 +a(g822 +g974 +tp3404 +a(g431 +Vsquare_eq_iff +p3405 +tp3406 +a(g408 +g1071 +tp3407 +a(g822 +V\u000a\u000a +p3408 +tp3409 +a(g740 +Vend +p3410 +tp3411 +a(g822 +V\u000a\u000a +p3412 +tp3413 +a(g740 +Vcontext +p3414 +tp3415 +a(g822 +g974 +tp3416 +a(g431 +Vdivision_ring +p3417 +tp3418 +a(g822 +V\u000a +p3419 +tp3420 +a(g740 +Vbegin +p3421 +tp3422 +a(g822 +V\u000a\u000a +p3423 +tp3424 +a(g740 +Vtext +p3425 +tp3426 +a(g822 +g974 +tp3427 +a(g7 +V{* +p3428 +tp3429 +a(g7 +V FIXME reorient or rename to @{text nonzero_inverse_power +p3430 +tp3431 +a(g7 +V} +p3432 +tp3433 +a(g7 +g974 +tp3434 +a(g7 +V*} +p3435 +tp3436 +a(g822 +V\u000a +p3437 +tp3438 +a(g766 +Vlemma +p3439 +tp3440 +a(g822 +g974 +tp3441 +a(g431 +Vnonzero_power_inverse +p3442 +tp3443 +a(g408 +g1080 +tp3444 +a(g822 +V\u000a +p3445 +tp3446 +a(g84 +g1045 +tp3447 +a(g84 +Va +p3448 +tp3449 +a(g112 +V\u005c +p3450 +tp3451 +a(g84 +V 0 +p3452 +tp3453 +a(g112 +V\u005c +p3454 +tp3455 +a(g84 +V inverse (a ^ n) = (inverse a) ^ n +p3456 +tp3457 +a(g84 +g1045 +tp3458 +a(g822 +V\u000a +p3459 +tp3460 +a(g740 +Vby +p3461 +tp3462 +a(g822 +g974 +tp3463 +a(g408 +g1059 +tp3464 +a(g431 +Vinduct +p3465 +tp3466 +a(g822 +g974 +tp3467 +a(g431 +g1378 +tp3468 +a(g408 +g1071 +tp3469 +a(g822 +V\u000a +p3470 +tp3471 +a(g408 +g1059 +tp3472 +a(g431 +Vsimp_all +p3473 +tp3474 +a(g822 +g974 +tp3475 +a(g431 +Vadd +p3476 +tp3477 +a(g408 +g1080 +tp3478 +a(g822 +g974 +tp3479 +a(g431 +Vnonzero_inverse_mult_distrib +p3480 +tp3481 +a(g822 +g974 +tp3482 +a(g431 +Vpower_commutes +p3483 +tp3484 +a(g822 +g974 +tp3485 +a(g431 +Vfield_power_not_zero +p3486 +tp3487 +a(g408 +g1071 +tp3488 +a(g822 +V\u000a\u000a +p3489 +tp3490 +a(g740 +Vend +p3491 +tp3492 +a(g822 +V\u000a\u000a +p3493 +tp3494 +a(g740 +Vcontext +p3495 +tp3496 +a(g822 +g974 +tp3497 +a(g431 +Vfield +p3498 +tp3499 +a(g822 +V\u000a +p3500 +tp3501 +a(g740 +Vbegin +p3502 +tp3503 +a(g822 +V\u000a\u000a +p3504 +tp3505 +a(g766 +Vlemma +p3506 +tp3507 +a(g822 +g974 +tp3508 +a(g431 +Vnonzero_power_divide +p3509 +tp3510 +a(g408 +g1080 +tp3511 +a(g822 +V\u000a +p3512 +tp3513 +a(g84 +g1045 +tp3514 +a(g84 +Vb +p3515 +tp3516 +a(g112 +V\u005c +p3517 +tp3518 +a(g84 +V 0 +p3519 +tp3520 +a(g112 +V\u005c +p3521 +tp3522 +a(g84 +V (a / b) ^ n = a ^ n / b ^ n +p3523 +tp3524 +a(g84 +g1045 +tp3525 +a(g822 +V\u000a +p3526 +tp3527 +a(g740 +Vby +p3528 +tp3529 +a(g822 +g974 +tp3530 +a(g408 +g1059 +tp3531 +a(g431 +Vsimp +p3532 +tp3533 +a(g822 +g974 +tp3534 +a(g431 +Vadd +p3535 +tp3536 +a(g408 +g1080 +tp3537 +a(g822 +g974 +tp3538 +a(g431 +Vdivide_inverse +p3539 +tp3540 +a(g822 +g974 +tp3541 +a(g431 +Vpower_mult_distrib +p3542 +tp3543 +a(g822 +g974 +tp3544 +a(g431 +Vnonzero_power_inverse +p3545 +tp3546 +a(g408 +g1071 +tp3547 +a(g822 +V\u000a\u000a +p3548 +tp3549 +a(g740 +Vend +p3550 +tp3551 +a(g822 +V\u000a\u000a\u000a +p3552 +tp3553 +a(g333 +Vsubsection +p3554 +tp3555 +a(g822 +g974 +tp3556 +a(g7 +V{* +p3557 +tp3558 +a(g7 +V Exponentiation on ordered types +p3559 +tp3560 +a(g7 +V*} +p3561 +tp3562 +a(g822 +V\u000a\u000a +p3563 +tp3564 +a(g740 +Vcontext +p3565 +tp3566 +a(g822 +g974 +tp3567 +a(g431 +Vlinordered_ring +p3568 +tp3569 +a(g822 +g974 +tp3570 +a(g7 +V(* +p3571 +tp3572 +a(g7 +V TODO: move +p3573 +tp3574 +a(g7 +V*) +p3575 +tp3576 +a(g822 +V\u000a +p3577 +tp3578 +a(g740 +Vbegin +p3579 +tp3580 +a(g822 +V\u000a\u000a +p3581 +tp3582 +a(g766 +Vlemma +p3583 +tp3584 +a(g822 +g974 +tp3585 +a(g431 +Vsum_squares_ge_zero +p3586 +tp3587 +a(g408 +g1080 +tp3588 +a(g822 +V\u000a +p3589 +tp3590 +a(g84 +g1045 +tp3591 +a(g84 +V0 +p3592 +tp3593 +a(g112 +V\u005c +p3594 +tp3595 +a(g84 +V x * x + y * y +p3596 +tp3597 +a(g84 +g1045 +tp3598 +a(g822 +V\u000a +p3599 +tp3600 +a(g740 +Vby +p3601 +tp3602 +a(g822 +g974 +tp3603 +a(g408 +g1059 +tp3604 +a(g431 +Vintro +p3605 +tp3606 +a(g822 +g974 +tp3607 +a(g431 +Vadd_nonneg_nonneg +p3608 +tp3609 +a(g822 +g974 +tp3610 +a(g431 +Vzero_le_square +p3611 +tp3612 +a(g408 +g1071 +tp3613 +a(g822 +V\u000a\u000a +p3614 +tp3615 +a(g766 +Vlemma +p3616 +tp3617 +a(g822 +g974 +tp3618 +a(g431 +Vnot_sum_squares_lt_zero +p3619 +tp3620 +a(g408 +g1080 +tp3621 +a(g822 +V\u000a +p3622 +tp3623 +a(g84 +g1045 +tp3624 +a(g112 +V\u005c +p3625 +tp3626 +a(g84 +V x * x + y * y < 0 +p3627 +tp3628 +a(g84 +g1045 +tp3629 +a(g822 +V\u000a +p3630 +tp3631 +a(g740 +Vby +p3632 +tp3633 +a(g822 +g974 +tp3634 +a(g408 +g1059 +tp3635 +a(g431 +Vsimp +p3636 +tp3637 +a(g822 +g974 +tp3638 +a(g431 +Vadd +p3639 +tp3640 +a(g408 +g1080 +tp3641 +a(g822 +g974 +tp3642 +a(g431 +Vnot_less +p3643 +tp3644 +a(g822 +g974 +tp3645 +a(g431 +Vsum_squares_ge_zero +p3646 +tp3647 +a(g408 +g1071 +tp3648 +a(g822 +V\u000a\u000a +p3649 +tp3650 +a(g740 +Vend +p3651 +tp3652 +a(g822 +V\u000a\u000a +p3653 +tp3654 +a(g740 +Vcontext +p3655 +tp3656 +a(g822 +g974 +tp3657 +a(g431 +Vlinordered_semidom +p3658 +tp3659 +a(g822 +V\u000a +p3660 +tp3661 +a(g740 +Vbegin +p3662 +tp3663 +a(g822 +V\u000a\u000a +p3664 +tp3665 +a(g766 +Vlemma +p3666 +tp3667 +a(g822 +g974 +tp3668 +a(g431 +Vzero_less_power +p3669 +tp3670 +a(g822 +g974 +tp3671 +a(g408 +g1131 +tp3672 +a(g431 +Vsimp +p3673 +tp3674 +a(g408 +g1135 +tp3675 +a(g408 +g1080 +tp3676 +a(g822 +V\u000a +p3677 +tp3678 +a(g84 +g1045 +tp3679 +a(g84 +V0 < a +p3680 +tp3681 +a(g112 +V\u005c +p3682 +tp3683 +a(g84 +V 0 < a ^ n +p3684 +tp3685 +a(g84 +g1045 +tp3686 +a(g822 +V\u000a +p3687 +tp3688 +a(g740 +Vby +p3689 +tp3690 +a(g822 +g974 +tp3691 +a(g408 +g1059 +tp3692 +a(g431 +Vinduct +p3693 +tp3694 +a(g822 +g974 +tp3695 +a(g431 +g1378 +tp3696 +a(g408 +g1071 +tp3697 +a(g822 +g974 +tp3698 +a(g408 +g1059 +tp3699 +a(g431 +Vsimp_all +p3700 +tp3701 +a(g822 +g974 +tp3702 +a(g431 +Vadd +p3703 +tp3704 +a(g408 +g1080 +tp3705 +a(g822 +g974 +tp3706 +a(g431 +Vmult_pos_pos +p3707 +tp3708 +a(g408 +g1071 +tp3709 +a(g822 +V\u000a\u000a +p3710 +tp3711 +a(g766 +Vlemma +p3712 +tp3713 +a(g822 +g974 +tp3714 +a(g431 +Vzero_le_power +p3715 +tp3716 +a(g822 +g974 +tp3717 +a(g408 +g1131 +tp3718 +a(g431 +Vsimp +p3719 +tp3720 +a(g408 +g1135 +tp3721 +a(g408 +g1080 +tp3722 +a(g822 +V\u000a +p3723 +tp3724 +a(g84 +g1045 +tp3725 +a(g84 +V0 +p3726 +tp3727 +a(g112 +V\u005c +p3728 +tp3729 +a(g84 +V a +p3730 +tp3731 +a(g112 +V\u005c +p3732 +tp3733 +a(g84 +V 0 +p3734 +tp3735 +a(g112 +V\u005c +p3736 +tp3737 +a(g84 +V a ^ n +p3738 +tp3739 +a(g84 +g1045 +tp3740 +a(g822 +V\u000a +p3741 +tp3742 +a(g740 +Vby +p3743 +tp3744 +a(g822 +g974 +tp3745 +a(g408 +g1059 +tp3746 +a(g431 +Vinduct +p3747 +tp3748 +a(g822 +g974 +tp3749 +a(g431 +g1378 +tp3750 +a(g408 +g1071 +tp3751 +a(g822 +g974 +tp3752 +a(g408 +g1059 +tp3753 +a(g431 +Vsimp_all +p3754 +tp3755 +a(g822 +g974 +tp3756 +a(g431 +Vadd +p3757 +tp3758 +a(g408 +g1080 +tp3759 +a(g822 +g974 +tp3760 +a(g431 +Vmult_nonneg_nonneg +p3761 +tp3762 +a(g408 +g1071 +tp3763 +a(g822 +V\u000a\u000a +p3764 +tp3765 +a(g766 +Vlemma +p3766 +tp3767 +a(g822 +g974 +tp3768 +a(g431 +Vpower_mono +p3769 +tp3770 +a(g408 +g1080 +tp3771 +a(g822 +V\u000a +p3772 +tp3773 +a(g84 +g1045 +tp3774 +a(g84 +Va +p3775 +tp3776 +a(g112 +V\u005c +p3777 +tp3778 +a(g84 +V b +p3779 +tp3780 +a(g112 +V\u005c +p3781 +tp3782 +a(g84 +V 0 +p3783 +tp3784 +a(g112 +V\u005c +p3785 +tp3786 +a(g84 +V a +p3787 +tp3788 +a(g112 +V\u005c +p3789 +tp3790 +a(g84 +V a ^ n +p3791 +tp3792 +a(g112 +V\u005c +p3793 +tp3794 +a(g84 +V b ^ n +p3795 +tp3796 +a(g84 +g1045 +tp3797 +a(g822 +V\u000a +p3798 +tp3799 +a(g740 +Vby +p3800 +tp3801 +a(g822 +g974 +tp3802 +a(g408 +g1059 +tp3803 +a(g431 +Vinduct +p3804 +tp3805 +a(g822 +g974 +tp3806 +a(g431 +g1378 +tp3807 +a(g408 +g1071 +tp3808 +a(g822 +g974 +tp3809 +a(g408 +g1059 +tp3810 +a(g431 +Vauto +p3811 +tp3812 +a(g822 +g974 +tp3813 +a(g431 +Vintro +p3814 +tp3815 +a(g408 +g1080 +tp3816 +a(g822 +g974 +tp3817 +a(g431 +Vmult_mono +p3818 +tp3819 +a(g822 +g974 +tp3820 +a(g431 +Vorder_trans +p3821 +tp3822 +a(g822 +g974 +tp3823 +a(g408 +g1131 +tp3824 +a(g431 +Vof +p3825 +tp3826 +a(g822 +g974 +tp3827 +a(g431 +g1812 +tp3828 +a(g822 +g974 +tp3829 +a(g431 +g1562 +tp3830 +a(g822 +g974 +tp3831 +a(g431 +Vb +p3832 +tp3833 +a(g408 +g1135 +tp3834 +a(g408 +g1071 +tp3835 +a(g822 +V\u000a\u000a +p3836 +tp3837 +a(g766 +Vlemma +p3838 +tp3839 +a(g822 +g974 +tp3840 +a(g431 +Vone_le_power +p3841 +tp3842 +a(g822 +g974 +tp3843 +a(g408 +g1131 +tp3844 +a(g431 +Vsimp +p3845 +tp3846 +a(g408 +g1135 +tp3847 +a(g408 +g1080 +tp3848 +a(g822 +g974 +tp3849 +a(g84 +g1045 +tp3850 +a(g84 +V1 +p3851 +tp3852 +a(g112 +V\u005c +p3853 +tp3854 +a(g84 +V a +p3855 +tp3856 +a(g112 +V\u005c +p3857 +tp3858 +a(g84 +V 1 +p3859 +tp3860 +a(g112 +V\u005c +p3861 +tp3862 +a(g84 +V a ^ n +p3863 +tp3864 +a(g84 +g1045 +tp3865 +a(g822 +V\u000a +p3866 +tp3867 +a(g740 +Vusing +p3868 +tp3869 +a(g822 +g974 +tp3870 +a(g431 +Vpower_mono +p3871 +tp3872 +a(g822 +g974 +tp3873 +a(g408 +g1131 +tp3874 +a(g431 +Vof +p3875 +tp3876 +a(g822 +g974 +tp3877 +a(g431 +g2298 +tp3878 +a(g822 +g974 +tp3879 +a(g431 +g1562 +tp3880 +a(g822 +g974 +tp3881 +a(g431 +g1378 +tp3882 +a(g408 +g1135 +tp3883 +a(g822 +g974 +tp3884 +a(g740 +Vby +p3885 +tp3886 +a(g822 +g974 +tp3887 +a(g431 +Vsimp +p3888 +tp3889 +a(g822 +V\u000a\u000a +p3890 +tp3891 +a(g766 +Vlemma +p3892 +tp3893 +a(g822 +g974 +tp3894 +a(g431 +Vpower_le_one +p3895 +tp3896 +a(g408 +g1080 +tp3897 +a(g822 +g974 +tp3898 +a(g84 +g1045 +tp3899 +a(g112 +V\u005c +p3900 +tp3901 +a(g84 +V0 +p3902 +tp3903 +a(g112 +V\u005c +p3904 +tp3905 +a(g84 +V a; a +p3906 +tp3907 +a(g112 +V\u005c +p3908 +tp3909 +a(g84 +V 1 +p3910 +tp3911 +a(g112 +V\u005c +p3912 +tp3913 +a(g84 +g974 +tp3914 +a(g112 +V\u005c +p3915 +tp3916 +a(g84 +V a ^ n +p3917 +tp3918 +a(g112 +V\u005c +p3919 +tp3920 +a(g84 +V 1 +p3921 +tp3922 +a(g84 +g1045 +tp3923 +a(g822 +V\u000a +p3924 +tp3925 +a(g740 +Vusing +p3926 +tp3927 +a(g822 +g974 +tp3928 +a(g431 +Vpower_mono +p3929 +tp3930 +a(g822 +g974 +tp3931 +a(g408 +g1131 +tp3932 +a(g431 +Vof +p3933 +tp3934 +a(g822 +g974 +tp3935 +a(g431 +g1562 +tp3936 +a(g822 +g974 +tp3937 +a(g431 +g2298 +tp3938 +a(g822 +g974 +tp3939 +a(g431 +g1378 +tp3940 +a(g408 +g1135 +tp3941 +a(g822 +g974 +tp3942 +a(g740 +Vby +p3943 +tp3944 +a(g822 +g974 +tp3945 +a(g431 +Vsimp +p3946 +tp3947 +a(g822 +V\u000a\u000a +p3948 +tp3949 +a(g766 +Vlemma +p3950 +tp3951 +a(g822 +g974 +tp3952 +a(g431 +Vpower_gt1_lemma +p3953 +tp3954 +a(g408 +g1080 +tp3955 +a(g822 +V\u000a +p3956 +tp3957 +a(g781 +Vassumes +p3958 +tp3959 +a(g822 +g974 +tp3960 +a(g431 +Vgt1 +p3961 +tp3962 +a(g408 +g1080 +tp3963 +a(g822 +g974 +tp3964 +a(g84 +g1045 +tp3965 +a(g84 +V1 < a +p3966 +tp3967 +a(g84 +g1045 +tp3968 +a(g822 +V\u000a +p3969 +tp3970 +a(g781 +Vshows +p3971 +tp3972 +a(g822 +g974 +tp3973 +a(g84 +g1045 +tp3974 +a(g84 +V1 < a * a ^ n +p3975 +tp3976 +a(g84 +g1045 +tp3977 +a(g822 +V\u000a +p3978 +tp3979 +a(g740 +Vproof +p3980 +tp3981 +a(g822 +g974 +tp3982 +a(g408 +V- +p3983 +tp3984 +a(g822 +V\u000a +p3985 +tp3986 +a(g740 +Vfrom +p3987 +tp3988 +a(g822 +g974 +tp3989 +a(g431 +Vgt1 +p3990 +tp3991 +a(g822 +g974 +tp3992 +a(g740 +Vhave +p3993 +tp3994 +a(g822 +g974 +tp3995 +a(g84 +g1045 +tp3996 +a(g84 +V0 +p3997 +tp3998 +a(g112 +V\u005c +p3999 +tp4000 +a(g84 +V a +p4001 +tp4002 +a(g84 +g1045 +tp4003 +a(g822 +V\u000a +p4004 +tp4005 +a(g740 +Vby +p4006 +tp4007 +a(g822 +g974 +tp4008 +a(g408 +g1059 +tp4009 +a(g431 +Vfact +p4010 +tp4011 +a(g822 +g974 +tp4012 +a(g431 +Vorder_trans +p4013 +tp4014 +a(g822 +g974 +tp4015 +a(g408 +g1131 +tp4016 +a(g431 +VOF +p4017 +tp4018 +a(g822 +g974 +tp4019 +a(g431 +Vzero_le_one +p4020 +tp4021 +a(g822 +g974 +tp4022 +a(g431 +Vless_imp_le +p4023 +tp4024 +a(g408 +g1135 +tp4025 +a(g408 +g1071 +tp4026 +a(g822 +V\u000a +p4027 +tp4028 +a(g740 +Vhave +p4029 +tp4030 +a(g822 +g974 +tp4031 +a(g84 +g1045 +tp4032 +a(g84 +V1 * 1 < a * 1 +p4033 +tp4034 +a(g84 +g1045 +tp4035 +a(g822 +g974 +tp4036 +a(g740 +Vusing +p4037 +tp4038 +a(g822 +g974 +tp4039 +a(g431 +Vgt1 +p4040 +tp4041 +a(g822 +g974 +tp4042 +a(g740 +Vby +p4043 +tp4044 +a(g822 +g974 +tp4045 +a(g431 +Vsimp +p4046 +tp4047 +a(g822 +V\u000a +p4048 +tp4049 +a(g740 +Valso +p4050 +tp4051 +a(g822 +g974 +tp4052 +a(g740 +Vhave +p4053 +tp4054 +a(g822 +g974 +tp4055 +a(g84 +g1045 +tp4056 +a(g112 +V\u005c +p4057 +tp4058 +a(g84 +g974 +tp4059 +a(g112 +V\u005c +p4060 +tp4061 +a(g84 +V a * a ^ n +p4062 +tp4063 +a(g84 +g1045 +tp4064 +a(g822 +g974 +tp4065 +a(g740 +Vusing +p4066 +tp4067 +a(g822 +g974 +tp4068 +a(g431 +Vgt1 +p4069 +tp4070 +a(g822 +V\u000a +p4071 +tp4072 +a(g740 +Vby +p4073 +tp4074 +a(g822 +g974 +tp4075 +a(g408 +g1059 +tp4076 +a(g431 +Vsimp +p4077 +tp4078 +a(g822 +g974 +tp4079 +a(g431 +Vonly +p4080 +tp4081 +a(g408 +g1080 +tp4082 +a(g822 +g974 +tp4083 +a(g431 +Vmult_mono +p4084 +tp4085 +a(g822 +g974 +tp4086 +a(g232 +g2397 +tp4087 +a(g232 +V0 +p4088 +tp4089 +a(g112 +V\u005c +p4090 +tp4091 +a(g232 +V a +p4092 +tp4093 +a(g232 +g2397 +tp4094 +a(g822 +g974 +tp4095 +a(g431 +Vone_le_power +p4096 +tp4097 +a(g822 +g974 +tp4098 +a(g431 +Vorder_less_imp_le +p4099 +tp4100 +a(g822 +V\u000a +p4101 +tp4102 +a(g431 +Vzero_le_one +p4103 +tp4104 +a(g822 +g974 +tp4105 +a(g431 +Vorder_refl +p4106 +tp4107 +a(g408 +g1071 +tp4108 +a(g822 +V\u000a +p4109 +tp4110 +a(g740 +Vfinally +p4111 +tp4112 +a(g822 +g974 +tp4113 +a(g740 +Vshow +p4114 +tp4115 +a(g822 +g974 +tp4116 +a(g408 +g1821 +tp4117 +a(g431 +Vthesis +p4118 +tp4119 +a(g822 +g974 +tp4120 +a(g740 +Vby +p4121 +tp4122 +a(g822 +g974 +tp4123 +a(g431 +Vsimp +p4124 +tp4125 +a(g822 +V\u000a +p4126 +tp4127 +a(g740 +Vqed +p4128 +tp4129 +a(g822 +V\u000a\u000a +p4130 +tp4131 +a(g766 +Vlemma +p4132 +tp4133 +a(g822 +g974 +tp4134 +a(g431 +Vpower_gt1 +p4135 +tp4136 +a(g408 +g1080 +tp4137 +a(g822 +V\u000a +p4138 +tp4139 +a(g84 +g1045 +tp4140 +a(g84 +V1 < a +p4141 +tp4142 +a(g112 +V\u005c +p4143 +tp4144 +a(g84 +V 1 < a ^ Suc n +p4145 +tp4146 +a(g84 +g1045 +tp4147 +a(g822 +V\u000a +p4148 +tp4149 +a(g740 +Vby +p4150 +tp4151 +a(g822 +g974 +tp4152 +a(g408 +g1059 +tp4153 +a(g431 +Vsimp +p4154 +tp4155 +a(g822 +g974 +tp4156 +a(g431 +Vadd +p4157 +tp4158 +a(g408 +g1080 +tp4159 +a(g822 +g974 +tp4160 +a(g431 +Vpower_gt1_lemma +p4161 +tp4162 +a(g408 +g1071 +tp4163 +a(g822 +V\u000a\u000a +p4164 +tp4165 +a(g766 +Vlemma +p4166 +tp4167 +a(g822 +g974 +tp4168 +a(g431 +Vone_less_power +p4169 +tp4170 +a(g822 +g974 +tp4171 +a(g408 +g1131 +tp4172 +a(g431 +Vsimp +p4173 +tp4174 +a(g408 +g1135 +tp4175 +a(g408 +g1080 +tp4176 +a(g822 +V\u000a +p4177 +tp4178 +a(g84 +g1045 +tp4179 +a(g84 +V1 < a +p4180 +tp4181 +a(g112 +V\u005c +p4182 +tp4183 +a(g84 +V 0 < n +p4184 +tp4185 +a(g112 +V\u005c +p4186 +tp4187 +a(g84 +V 1 < a ^ n +p4188 +tp4189 +a(g84 +g1045 +tp4190 +a(g822 +V\u000a +p4191 +tp4192 +a(g740 +Vby +p4193 +tp4194 +a(g822 +g974 +tp4195 +a(g408 +g1059 +tp4196 +a(g431 +Vcases +p4197 +tp4198 +a(g822 +g974 +tp4199 +a(g431 +g1378 +tp4200 +a(g408 +g1071 +tp4201 +a(g822 +g974 +tp4202 +a(g408 +g1059 +tp4203 +a(g431 +Vsimp_all +p4204 +tp4205 +a(g822 +g974 +tp4206 +a(g431 +Vadd +p4207 +tp4208 +a(g408 +g1080 +tp4209 +a(g822 +g974 +tp4210 +a(g431 +Vpower_gt1_lemma +p4211 +tp4212 +a(g408 +g1071 +tp4213 +a(g822 +V\u000a\u000a +p4214 +tp4215 +a(g766 +Vlemma +p4216 +tp4217 +a(g822 +g974 +tp4218 +a(g431 +Vpower_le_imp_le_exp +p4219 +tp4220 +a(g408 +g1080 +tp4221 +a(g822 +V\u000a +p4222 +tp4223 +a(g781 +Vassumes +p4224 +tp4225 +a(g822 +g974 +tp4226 +a(g431 +Vgt1 +p4227 +tp4228 +a(g408 +g1080 +tp4229 +a(g822 +g974 +tp4230 +a(g84 +g1045 +tp4231 +a(g84 +V1 < a +p4232 +tp4233 +a(g84 +g1045 +tp4234 +a(g822 +V\u000a +p4235 +tp4236 +a(g781 +Vshows +p4237 +tp4238 +a(g822 +g974 +tp4239 +a(g84 +g1045 +tp4240 +a(g84 +Va ^ m +p4241 +tp4242 +a(g112 +V\u005c +p4243 +tp4244 +a(g84 +V a ^ n +p4245 +tp4246 +a(g112 +V\u005c +p4247 +tp4248 +a(g84 +V m +p4249 +tp4250 +a(g112 +V\u005c +p4251 +tp4252 +a(g84 +V n +p4253 +tp4254 +a(g84 +g1045 +tp4255 +a(g822 +V\u000a +p4256 +tp4257 +a(g740 +Vproof +p4258 +tp4259 +a(g822 +g974 +tp4260 +a(g408 +g1059 +tp4261 +a(g431 +Vinduct +p4262 +tp4263 +a(g822 +g974 +tp4264 +a(g431 +g1500 +tp4265 +a(g822 +g974 +tp4266 +a(g431 +Varbitrary +p4267 +tp4268 +a(g408 +g1080 +tp4269 +a(g822 +g974 +tp4270 +a(g431 +g1378 +tp4271 +a(g408 +g1071 +tp4272 +a(g822 +V\u000a +p4273 +tp4274 +a(g740 +Vcase +p4275 +tp4276 +a(g822 +g974 +tp4277 +a(g431 +g1812 +tp4278 +a(g822 +V\u000a +p4279 +tp4280 +a(g740 +Vshow +p4281 +tp4282 +a(g822 +g974 +tp4283 +a(g408 +g1821 +tp4284 +a(g740 +Vcase +p4285 +tp4286 +a(g822 +g974 +tp4287 +a(g740 +Vby +p4288 +tp4289 +a(g822 +g974 +tp4290 +a(g431 +Vsimp +p4291 +tp4292 +a(g822 +V\u000a +p4293 +tp4294 +a(g740 +Vnext +p4295 +tp4296 +a(g822 +V\u000a +p4297 +tp4298 +a(g740 +Vcase +p4299 +tp4300 +a(g822 +g974 +tp4301 +a(g408 +g1059 +tp4302 +a(g431 +VSuc +p4303 +tp4304 +a(g822 +g974 +tp4305 +a(g431 +g1500 +tp4306 +a(g408 +g1071 +tp4307 +a(g822 +V\u000a +p4308 +tp4309 +a(g740 +Vshow +p4310 +tp4311 +a(g822 +g974 +tp4312 +a(g408 +g1821 +tp4313 +a(g740 +Vcase +p4314 +tp4315 +a(g822 +V\u000a +p4316 +tp4317 +a(g740 +Vproof +p4318 +tp4319 +a(g822 +g974 +tp4320 +a(g408 +g1059 +tp4321 +a(g431 +Vcases +p4322 +tp4323 +a(g822 +g974 +tp4324 +a(g431 +g1378 +tp4325 +a(g408 +g1071 +tp4326 +a(g822 +V\u000a +p4327 +tp4328 +a(g740 +Vcase +p4329 +tp4330 +a(g822 +g974 +tp4331 +a(g431 +g1812 +tp4332 +a(g822 +V\u000a +p4333 +tp4334 +a(g740 +Vwith +p4335 +tp4336 +a(g822 +g974 +tp4337 +a(g431 +VSuc.prems +p4338 +tp4339 +a(g822 +g974 +tp4340 +a(g431 +VSuc.hyps +p4341 +tp4342 +a(g822 +g974 +tp4343 +a(g740 +Vhave +p4344 +tp4345 +a(g822 +g974 +tp4346 +a(g84 +g1045 +tp4347 +a(g84 +Va * a ^ m +p4348 +tp4349 +a(g112 +V\u005c +p4350 +tp4351 +a(g84 +V 1 +p4352 +tp4353 +a(g84 +g1045 +tp4354 +a(g822 +g974 +tp4355 +a(g740 +Vby +p4356 +tp4357 +a(g822 +g974 +tp4358 +a(g431 +Vsimp +p4359 +tp4360 +a(g822 +V\u000a +p4361 +tp4362 +a(g740 +Vwith +p4363 +tp4364 +a(g822 +g974 +tp4365 +a(g431 +Vgt1 +p4366 +tp4367 +a(g822 +g974 +tp4368 +a(g740 +Vshow +p4369 +tp4370 +a(g822 +g974 +tp4371 +a(g408 +g1821 +tp4372 +a(g431 +Vthesis +p4373 +tp4374 +a(g822 +V\u000a +p4375 +tp4376 +a(g740 +Vby +p4377 +tp4378 +a(g822 +g974 +tp4379 +a(g408 +g1059 +tp4380 +a(g431 +Vforce +p4381 +tp4382 +a(g822 +g974 +tp4383 +a(g431 +Vsimp +p4384 +tp4385 +a(g822 +g974 +tp4386 +a(g431 +Vonly +p4387 +tp4388 +a(g408 +g1080 +tp4389 +a(g822 +g974 +tp4390 +a(g431 +Vpower_gt1_lemma +p4391 +tp4392 +a(g822 +V\u000a +p4393 +tp4394 +a(g431 +Vnot_less +p4395 +tp4396 +a(g822 +g974 +tp4397 +a(g408 +g1131 +tp4398 +a(g431 +Vsymmetric +p4399 +tp4400 +a(g408 +g1135 +tp4401 +a(g408 +g1071 +tp4402 +a(g822 +V\u000a +p4403 +tp4404 +a(g740 +Vnext +p4405 +tp4406 +a(g822 +V\u000a +p4407 +tp4408 +a(g740 +Vcase +p4409 +tp4410 +a(g822 +g974 +tp4411 +a(g408 +g1059 +tp4412 +a(g431 +VSuc +p4413 +tp4414 +a(g822 +g974 +tp4415 +a(g431 +g1378 +tp4416 +a(g408 +g1071 +tp4417 +a(g822 +V\u000a +p4418 +tp4419 +a(g740 +Vwith +p4420 +tp4421 +a(g822 +g974 +tp4422 +a(g431 +VSuc.prems +p4423 +tp4424 +a(g822 +g974 +tp4425 +a(g431 +VSuc.hyps +p4426 +tp4427 +a(g822 +g974 +tp4428 +a(g740 +Vshow +p4429 +tp4430 +a(g822 +g974 +tp4431 +a(g408 +g1821 +tp4432 +a(g431 +Vthesis +p4433 +tp4434 +a(g822 +V\u000a +p4435 +tp4436 +a(g740 +Vby +p4437 +tp4438 +a(g822 +g974 +tp4439 +a(g408 +g1059 +tp4440 +a(g431 +Vforce +p4441 +tp4442 +a(g822 +g974 +tp4443 +a(g431 +Vdest +p4444 +tp4445 +a(g408 +g1080 +tp4446 +a(g822 +g974 +tp4447 +a(g431 +Vmult_left_le_imp_le +p4448 +tp4449 +a(g822 +V\u000a +p4450 +tp4451 +a(g431 +Vsimp +p4452 +tp4453 +a(g822 +g974 +tp4454 +a(g431 +Vadd +p4455 +tp4456 +a(g408 +g1080 +tp4457 +a(g822 +g974 +tp4458 +a(g431 +Vless_trans +p4459 +tp4460 +a(g822 +g974 +tp4461 +a(g408 +g1131 +tp4462 +a(g431 +VOF +p4463 +tp4464 +a(g822 +g974 +tp4465 +a(g431 +Vzero_less_one +p4466 +tp4467 +a(g822 +g974 +tp4468 +a(g431 +Vgt1 +p4469 +tp4470 +a(g408 +g1135 +tp4471 +a(g408 +g1071 +tp4472 +a(g822 +V\u000a +p4473 +tp4474 +a(g740 +Vqed +p4475 +tp4476 +a(g822 +V\u000a +p4477 +tp4478 +a(g740 +Vqed +p4479 +tp4480 +a(g822 +V\u000a\u000a +p4481 +tp4482 +a(g740 +Vtext +p4483 +tp4484 +a(g7 +V{* +p4485 +tp4486 +a(g7 +VSurely we can strengthen this? It holds for @{text "0 +p4512 +tp4513 +a(g84 +V a ^ m = a ^ n +p4514 +tp4515 +a(g112 +V\u005c +p4516 +tp4517 +a(g84 +V m = n +p4518 +tp4519 +a(g84 +g1045 +tp4520 +a(g822 +V\u000a +p4521 +tp4522 +a(g740 +Vby +p4523 +tp4524 +a(g822 +g974 +tp4525 +a(g408 +g1059 +tp4526 +a(g431 +Vforce +p4527 +tp4528 +a(g822 +g974 +tp4529 +a(g431 +Vsimp +p4530 +tp4531 +a(g822 +g974 +tp4532 +a(g431 +Vadd +p4533 +tp4534 +a(g408 +g1080 +tp4535 +a(g822 +g974 +tp4536 +a(g431 +Vorder_antisym +p4537 +tp4538 +a(g822 +g974 +tp4539 +a(g431 +Vpower_le_imp_le_exp +p4540 +tp4541 +a(g408 +g1071 +tp4542 +a(g822 +V\u000a\u000a +p4543 +tp4544 +a(g740 +Vtext +p4545 +tp4546 +a(g7 +V{* +p4547 +tp4548 +a(g7 +VCan relax the first premise to @{term "0 +p4569 +tp4570 +a(g84 +V a ^ m < a ^ n +p4571 +tp4572 +a(g112 +V\u005c +p4573 +tp4574 +a(g84 +V m < n +p4575 +tp4576 +a(g84 +g1045 +tp4577 +a(g822 +V\u000a +p4578 +tp4579 +a(g740 +Vby +p4580 +tp4581 +a(g822 +g974 +tp4582 +a(g408 +g1059 +tp4583 +a(g431 +Vsimp +p4584 +tp4585 +a(g822 +g974 +tp4586 +a(g431 +Vadd +p4587 +tp4588 +a(g408 +g1080 +tp4589 +a(g822 +g974 +tp4590 +a(g431 +Vorder_less_le +p4591 +tp4592 +a(g822 +g974 +tp4593 +a(g408 +g1131 +tp4594 +a(g431 +Vof +p4595 +tp4596 +a(g822 +g974 +tp4597 +a(g431 +g1500 +tp4598 +a(g822 +g974 +tp4599 +a(g431 +g1378 +tp4600 +a(g408 +g1135 +tp4601 +a(g822 +g974 +tp4602 +a(g431 +Vless_le +p4603 +tp4604 +a(g822 +g974 +tp4605 +a(g408 +g1131 +tp4606 +a(g431 +Vof +p4607 +tp4608 +a(g822 +g974 +tp4609 +a(g84 +g1045 +tp4610 +a(g84 +Va^m +p4611 +tp4612 +a(g84 +g1045 +tp4613 +a(g822 +g974 +tp4614 +a(g84 +g1045 +tp4615 +a(g84 +Va^n +p4616 +tp4617 +a(g84 +g1045 +tp4618 +a(g408 +g1135 +tp4619 +a(g822 +V\u000a +p4620 +tp4621 +a(g431 +Vpower_le_imp_le_exp +p4622 +tp4623 +a(g408 +g1071 +tp4624 +a(g822 +V\u000a\u000a +p4625 +tp4626 +a(g766 +Vlemma +p4627 +tp4628 +a(g822 +g974 +tp4629 +a(g431 +Vpower_strict_mono +p4630 +tp4631 +a(g822 +g974 +tp4632 +a(g408 +g1131 +tp4633 +a(g431 +Vrule_format +p4634 +tp4635 +a(g408 +g1135 +tp4636 +a(g408 +g1080 +tp4637 +a(g822 +V\u000a +p4638 +tp4639 +a(g84 +g1045 +tp4640 +a(g84 +Va < b +p4641 +tp4642 +a(g112 +V\u005c +p4643 +tp4644 +a(g84 +V 0 +p4645 +tp4646 +a(g112 +V\u005c +p4647 +tp4648 +a(g84 +V a +p4649 +tp4650 +a(g112 +V\u005c +p4651 +tp4652 +a(g84 +V 0 < n +p4653 +tp4654 +a(g112 +V\u005c +p4655 +tp4656 +a(g84 +V a ^ n < b ^ n +p4657 +tp4658 +a(g84 +g1045 +tp4659 +a(g822 +V\u000a +p4660 +tp4661 +a(g740 +Vby +p4662 +tp4663 +a(g822 +g974 +tp4664 +a(g408 +g1059 +tp4665 +a(g431 +Vinduct +p4666 +tp4667 +a(g822 +g974 +tp4668 +a(g431 +g1378 +tp4669 +a(g408 +g1071 +tp4670 +a(g822 +V\u000a +p4671 +tp4672 +a(g408 +g1059 +tp4673 +a(g431 +Vauto +p4674 +tp4675 +a(g822 +g974 +tp4676 +a(g431 +Vsimp +p4677 +tp4678 +a(g822 +g974 +tp4679 +a(g431 +Vadd +p4680 +tp4681 +a(g408 +g1080 +tp4682 +a(g822 +g974 +tp4683 +a(g431 +Vmult_strict_mono +p4684 +tp4685 +a(g822 +g974 +tp4686 +a(g431 +Vle_less_trans +p4687 +tp4688 +a(g822 +g974 +tp4689 +a(g408 +g1131 +tp4690 +a(g431 +Vof +p4691 +tp4692 +a(g822 +g974 +tp4693 +a(g431 +g1812 +tp4694 +a(g822 +g974 +tp4695 +a(g431 +g1562 +tp4696 +a(g822 +g974 +tp4697 +a(g431 +g3832 +tp4698 +a(g408 +g1135 +tp4699 +a(g408 +g1071 +tp4700 +a(g822 +V\u000a\u000a +p4701 +tp4702 +a(g740 +Vtext +p4703 +tp4704 +a(g7 +V{* +p4705 +tp4706 +a(g7 +VLemma for @{text power_strict_decreasing +p4707 +tp4708 +a(g7 +g3432 +tp4709 +a(g7 +V*} +p4710 +tp4711 +a(g822 +V\u000a +p4712 +tp4713 +a(g766 +Vlemma +p4714 +tp4715 +a(g822 +g974 +tp4716 +a(g431 +Vpower_Suc_less +p4717 +tp4718 +a(g408 +g1080 +tp4719 +a(g822 +V\u000a +p4720 +tp4721 +a(g84 +g1045 +tp4722 +a(g84 +V0 < a +p4723 +tp4724 +a(g112 +V\u005c +p4725 +tp4726 +a(g84 +V a < 1 +p4727 +tp4728 +a(g112 +V\u005c +p4729 +tp4730 +a(g84 +V a * a ^ n < a ^ n +p4731 +tp4732 +a(g84 +g1045 +tp4733 +a(g822 +V\u000a +p4734 +tp4735 +a(g740 +Vby +p4736 +tp4737 +a(g822 +g974 +tp4738 +a(g408 +g1059 +tp4739 +a(g431 +Vinduct +p4740 +tp4741 +a(g822 +g974 +tp4742 +a(g431 +g1378 +tp4743 +a(g408 +g1071 +tp4744 +a(g822 +V\u000a +p4745 +tp4746 +a(g408 +g1059 +tp4747 +a(g431 +Vauto +p4748 +tp4749 +a(g822 +g974 +tp4750 +a(g431 +Vsimp +p4751 +tp4752 +a(g822 +g974 +tp4753 +a(g431 +Vadd +p4754 +tp4755 +a(g408 +g1080 +tp4756 +a(g822 +g974 +tp4757 +a(g431 +Vmult_strict_left_mono +p4758 +tp4759 +a(g408 +g1071 +tp4760 +a(g822 +V\u000a\u000a +p4761 +tp4762 +a(g766 +Vlemma +p4763 +tp4764 +a(g822 +g974 +tp4765 +a(g431 +Vpower_strict_decreasing +p4766 +tp4767 +a(g822 +g974 +tp4768 +a(g408 +g1131 +tp4769 +a(g431 +Vrule_format +p4770 +tp4771 +a(g408 +g1135 +tp4772 +a(g408 +g1080 +tp4773 +a(g822 +V\u000a +p4774 +tp4775 +a(g84 +g1045 +tp4776 +a(g84 +Vn < N +p4777 +tp4778 +a(g112 +V\u005c +p4779 +tp4780 +a(g84 +V 0 < a +p4781 +tp4782 +a(g112 +V\u005c +p4783 +tp4784 +a(g84 +V a < 1 +p4785 +tp4786 +a(g112 +V\u005c +p4787 +tp4788 +a(g84 +V a ^ N < a ^ n +p4789 +tp4790 +a(g84 +g1045 +tp4791 +a(g822 +V\u000a +p4792 +tp4793 +a(g740 +Vproof +p4794 +tp4795 +a(g822 +g974 +tp4796 +a(g408 +g1059 +tp4797 +a(g431 +Vinduct +p4798 +tp4799 +a(g822 +g974 +tp4800 +a(g431 +VN +p4801 +tp4802 +a(g408 +g1071 +tp4803 +a(g822 +V\u000a +p4804 +tp4805 +a(g740 +Vcase +p4806 +tp4807 +a(g822 +g974 +tp4808 +a(g431 +g1812 +tp4809 +a(g822 +g974 +tp4810 +a(g740 +Vthen +p4811 +tp4812 +a(g822 +g974 +tp4813 +a(g740 +Vshow +p4814 +tp4815 +a(g822 +g974 +tp4816 +a(g408 +g1821 +tp4817 +a(g740 +Vcase +p4818 +tp4819 +a(g822 +g974 +tp4820 +a(g740 +Vby +p4821 +tp4822 +a(g822 +g974 +tp4823 +a(g431 +Vsimp +p4824 +tp4825 +a(g822 +V\u000a +p4826 +tp4827 +a(g740 +Vnext +p4828 +tp4829 +a(g822 +V\u000a +p4830 +tp4831 +a(g740 +Vcase +p4832 +tp4833 +a(g822 +g974 +tp4834 +a(g408 +g1059 +tp4835 +a(g431 +VSuc +p4836 +tp4837 +a(g822 +g974 +tp4838 +a(g431 +g4801 +tp4839 +a(g408 +g1071 +tp4840 +a(g822 +g974 +tp4841 +a(g740 +Vthen +p4842 +tp4843 +a(g822 +g974 +tp4844 +a(g740 +Vshow +p4845 +tp4846 +a(g822 +g974 +tp4847 +a(g408 +g1821 +tp4848 +a(g740 +Vcase +p4849 +tp4850 +a(g822 +V \u000a +p4851 +tp4852 +a(g781 +Vapply +p4853 +tp4854 +a(g822 +g974 +tp4855 +a(g408 +g1059 +tp4856 +a(g431 +Vauto +p4857 +tp4858 +a(g822 +g974 +tp4859 +a(g431 +Vsimp +p4860 +tp4861 +a(g822 +g974 +tp4862 +a(g431 +Vadd +p4863 +tp4864 +a(g408 +g1080 +tp4865 +a(g822 +g974 +tp4866 +a(g431 +Vpower_Suc_less +p4867 +tp4868 +a(g822 +g974 +tp4869 +a(g431 +Vless_Suc_eq +p4870 +tp4871 +a(g408 +g1071 +tp4872 +a(g822 +V\u000a +p4873 +tp4874 +a(g781 +Vapply +p4875 +tp4876 +a(g822 +g974 +tp4877 +a(g408 +g1059 +tp4878 +a(g431 +Vsubgoal_tac +p4879 +tp4880 +a(g822 +g974 +tp4881 +a(g84 +g1045 +tp4882 +a(g84 +Va * a^N < 1 * a^n +p4883 +tp4884 +a(g84 +g1045 +tp4885 +a(g408 +g1071 +tp4886 +a(g822 +V\u000a +p4887 +tp4888 +a(g781 +Vapply +p4889 +tp4890 +a(g822 +g974 +tp4891 +a(g431 +Vsimp +p4892 +tp4893 +a(g822 +V\u000a +p4894 +tp4895 +a(g781 +Vapply +p4896 +tp4897 +a(g822 +g974 +tp4898 +a(g408 +g1059 +tp4899 +a(g431 +Vrule +p4900 +tp4901 +a(g822 +g974 +tp4902 +a(g431 +Vmult_strict_mono +p4903 +tp4904 +a(g408 +g1071 +tp4905 +a(g822 +g974 +tp4906 +a(g781 +Vapply +p4907 +tp4908 +a(g822 +g974 +tp4909 +a(g431 +Vauto +p4910 +tp4911 +a(g822 +V\u000a +p4912 +tp4913 +a(g740 +Vdone +p4914 +tp4915 +a(g822 +V\u000a +p4916 +tp4917 +a(g740 +Vqed +p4918 +tp4919 +a(g822 +V\u000a\u000a +p4920 +tp4921 +a(g740 +Vtext +p4922 +tp4923 +a(g7 +V{* +p4924 +tp4925 +a(g7 +VProof resembles that of @{text power_strict_decreasing +p4926 +tp4927 +a(g7 +g3432 +tp4928 +a(g7 +V*} +p4929 +tp4930 +a(g822 +V\u000a +p4931 +tp4932 +a(g766 +Vlemma +p4933 +tp4934 +a(g822 +g974 +tp4935 +a(g431 +Vpower_decreasing +p4936 +tp4937 +a(g822 +g974 +tp4938 +a(g408 +g1131 +tp4939 +a(g431 +Vrule_format +p4940 +tp4941 +a(g408 +g1135 +tp4942 +a(g408 +g1080 +tp4943 +a(g822 +V\u000a +p4944 +tp4945 +a(g84 +g1045 +tp4946 +a(g84 +Vn +p4947 +tp4948 +a(g112 +V\u005c +p4949 +tp4950 +a(g84 +V N +p4951 +tp4952 +a(g112 +V\u005c +p4953 +tp4954 +a(g84 +V 0 +p4955 +tp4956 +a(g112 +V\u005c +p4957 +tp4958 +a(g84 +V a +p4959 +tp4960 +a(g112 +V\u005c +p4961 +tp4962 +a(g84 +V a +p4963 +tp4964 +a(g112 +V\u005c +p4965 +tp4966 +a(g84 +V 1 +p4967 +tp4968 +a(g112 +V\u005c +p4969 +tp4970 +a(g84 +V a ^ N +p4971 +tp4972 +a(g112 +V\u005c +p4973 +tp4974 +a(g84 +V a ^ n +p4975 +tp4976 +a(g84 +g1045 +tp4977 +a(g822 +V\u000a +p4978 +tp4979 +a(g740 +Vproof +p4980 +tp4981 +a(g822 +g974 +tp4982 +a(g408 +g1059 +tp4983 +a(g431 +Vinduct +p4984 +tp4985 +a(g822 +g974 +tp4986 +a(g431 +g4801 +tp4987 +a(g408 +g1071 +tp4988 +a(g822 +V\u000a +p4989 +tp4990 +a(g740 +Vcase +p4991 +tp4992 +a(g822 +g974 +tp4993 +a(g431 +g1812 +tp4994 +a(g822 +g974 +tp4995 +a(g740 +Vthen +p4996 +tp4997 +a(g822 +g974 +tp4998 +a(g740 +Vshow +p4999 +tp5000 +a(g822 +g974 +tp5001 +a(g408 +g1821 +tp5002 +a(g740 +Vcase +p5003 +tp5004 +a(g822 +g974 +tp5005 +a(g740 +Vby +p5006 +tp5007 +a(g822 +g974 +tp5008 +a(g431 +Vsimp +p5009 +tp5010 +a(g822 +V\u000a +p5011 +tp5012 +a(g740 +Vnext +p5013 +tp5014 +a(g822 +V\u000a +p5015 +tp5016 +a(g740 +Vcase +p5017 +tp5018 +a(g822 +g974 +tp5019 +a(g408 +g1059 +tp5020 +a(g431 +VSuc +p5021 +tp5022 +a(g822 +g974 +tp5023 +a(g431 +g4801 +tp5024 +a(g408 +g1071 +tp5025 +a(g822 +g974 +tp5026 +a(g740 +Vthen +p5027 +tp5028 +a(g822 +g974 +tp5029 +a(g740 +Vshow +p5030 +tp5031 +a(g822 +g974 +tp5032 +a(g408 +g1821 +tp5033 +a(g740 +Vcase +p5034 +tp5035 +a(g822 +V \u000a +p5036 +tp5037 +a(g781 +Vapply +p5038 +tp5039 +a(g822 +g974 +tp5040 +a(g408 +g1059 +tp5041 +a(g431 +Vauto +p5042 +tp5043 +a(g822 +g974 +tp5044 +a(g431 +Vsimp +p5045 +tp5046 +a(g822 +g974 +tp5047 +a(g431 +Vadd +p5048 +tp5049 +a(g408 +g1080 +tp5050 +a(g822 +g974 +tp5051 +a(g431 +Vle_Suc_eq +p5052 +tp5053 +a(g408 +g1071 +tp5054 +a(g822 +V\u000a +p5055 +tp5056 +a(g781 +Vapply +p5057 +tp5058 +a(g822 +g974 +tp5059 +a(g408 +g1059 +tp5060 +a(g431 +Vsubgoal_tac +p5061 +tp5062 +a(g822 +g974 +tp5063 +a(g84 +g1045 +tp5064 +a(g84 +Va * a^N +p5065 +tp5066 +a(g112 +V\u005c +p5067 +tp5068 +a(g84 +V 1 * a^n +p5069 +tp5070 +a(g84 +g1045 +tp5071 +a(g408 +g2103 +tp5072 +a(g822 +g974 +tp5073 +a(g431 +Vsimp +p5074 +tp5075 +a(g408 +g1071 +tp5076 +a(g822 +V\u000a +p5077 +tp5078 +a(g781 +Vapply +p5079 +tp5080 +a(g822 +g974 +tp5081 +a(g408 +g1059 +tp5082 +a(g431 +Vrule +p5083 +tp5084 +a(g822 +g974 +tp5085 +a(g431 +Vmult_mono +p5086 +tp5087 +a(g408 +g1071 +tp5088 +a(g822 +g974 +tp5089 +a(g781 +Vapply +p5090 +tp5091 +a(g822 +g974 +tp5092 +a(g431 +Vauto +p5093 +tp5094 +a(g822 +V\u000a +p5095 +tp5096 +a(g740 +Vdone +p5097 +tp5098 +a(g822 +V\u000a +p5099 +tp5100 +a(g740 +Vqed +p5101 +tp5102 +a(g822 +V\u000a\u000a +p5103 +tp5104 +a(g766 +Vlemma +p5105 +tp5106 +a(g822 +g974 +tp5107 +a(g431 +Vpower_Suc_less_one +p5108 +tp5109 +a(g408 +g1080 +tp5110 +a(g822 +V\u000a +p5111 +tp5112 +a(g84 +g1045 +tp5113 +a(g84 +V0 < a +p5114 +tp5115 +a(g112 +V\u005c +p5116 +tp5117 +a(g84 +V a < 1 +p5118 +tp5119 +a(g112 +V\u005c +p5120 +tp5121 +a(g84 +V a ^ Suc n < 1 +p5122 +tp5123 +a(g84 +g1045 +tp5124 +a(g822 +V\u000a +p5125 +tp5126 +a(g740 +Vusing +p5127 +tp5128 +a(g822 +g974 +tp5129 +a(g431 +Vpower_strict_decreasing +p5130 +tp5131 +a(g822 +g974 +tp5132 +a(g408 +g1131 +tp5133 +a(g431 +Vof +p5134 +tp5135 +a(g822 +g974 +tp5136 +a(g431 +g1812 +tp5137 +a(g822 +g974 +tp5138 +a(g84 +g1045 +tp5139 +a(g84 +VSuc n +p5140 +tp5141 +a(g84 +g1045 +tp5142 +a(g822 +g974 +tp5143 +a(g431 +g1562 +tp5144 +a(g408 +g1135 +tp5145 +a(g822 +g974 +tp5146 +a(g740 +Vby +p5147 +tp5148 +a(g822 +g974 +tp5149 +a(g431 +Vsimp +p5150 +tp5151 +a(g822 +V\u000a\u000a +p5152 +tp5153 +a(g740 +Vtext +p5154 +tp5155 +a(g7 +V{* +p5156 +tp5157 +a(g7 +VProof again resembles that of @{text power_strict_decreasing +p5158 +tp5159 +a(g7 +g3432 +tp5160 +a(g7 +V*} +p5161 +tp5162 +a(g822 +V\u000a +p5163 +tp5164 +a(g766 +Vlemma +p5165 +tp5166 +a(g822 +g974 +tp5167 +a(g431 +Vpower_increasing +p5168 +tp5169 +a(g822 +g974 +tp5170 +a(g408 +g1131 +tp5171 +a(g431 +Vrule_format +p5172 +tp5173 +a(g408 +g1135 +tp5174 +a(g408 +g1080 +tp5175 +a(g822 +V\u000a +p5176 +tp5177 +a(g84 +g1045 +tp5178 +a(g84 +Vn +p5179 +tp5180 +a(g112 +V\u005c +p5181 +tp5182 +a(g84 +V N +p5183 +tp5184 +a(g112 +V\u005c +p5185 +tp5186 +a(g84 +V 1 +p5187 +tp5188 +a(g112 +V\u005c +p5189 +tp5190 +a(g84 +V a +p5191 +tp5192 +a(g112 +V\u005c +p5193 +tp5194 +a(g84 +V a ^ n +p5195 +tp5196 +a(g112 +V\u005c +p5197 +tp5198 +a(g84 +V a ^ N +p5199 +tp5200 +a(g84 +g1045 +tp5201 +a(g822 +V\u000a +p5202 +tp5203 +a(g740 +Vproof +p5204 +tp5205 +a(g822 +g974 +tp5206 +a(g408 +g1059 +tp5207 +a(g431 +Vinduct +p5208 +tp5209 +a(g822 +g974 +tp5210 +a(g431 +g4801 +tp5211 +a(g408 +g1071 +tp5212 +a(g822 +V\u000a +p5213 +tp5214 +a(g740 +Vcase +p5215 +tp5216 +a(g822 +g974 +tp5217 +a(g431 +g1812 +tp5218 +a(g822 +g974 +tp5219 +a(g740 +Vthen +p5220 +tp5221 +a(g822 +g974 +tp5222 +a(g740 +Vshow +p5223 +tp5224 +a(g822 +g974 +tp5225 +a(g408 +g1821 +tp5226 +a(g740 +Vcase +p5227 +tp5228 +a(g822 +g974 +tp5229 +a(g740 +Vby +p5230 +tp5231 +a(g822 +g974 +tp5232 +a(g431 +Vsimp +p5233 +tp5234 +a(g822 +V\u000a +p5235 +tp5236 +a(g740 +Vnext +p5237 +tp5238 +a(g822 +V\u000a +p5239 +tp5240 +a(g740 +Vcase +p5241 +tp5242 +a(g822 +g974 +tp5243 +a(g408 +g1059 +tp5244 +a(g431 +VSuc +p5245 +tp5246 +a(g822 +g974 +tp5247 +a(g431 +g4801 +tp5248 +a(g408 +g1071 +tp5249 +a(g822 +g974 +tp5250 +a(g740 +Vthen +p5251 +tp5252 +a(g822 +g974 +tp5253 +a(g740 +Vshow +p5254 +tp5255 +a(g822 +g974 +tp5256 +a(g408 +g1821 +tp5257 +a(g740 +Vcase +p5258 +tp5259 +a(g822 +V \u000a +p5260 +tp5261 +a(g781 +Vapply +p5262 +tp5263 +a(g822 +g974 +tp5264 +a(g408 +g1059 +tp5265 +a(g431 +Vauto +p5266 +tp5267 +a(g822 +g974 +tp5268 +a(g431 +Vsimp +p5269 +tp5270 +a(g822 +g974 +tp5271 +a(g431 +Vadd +p5272 +tp5273 +a(g408 +g1080 +tp5274 +a(g822 +g974 +tp5275 +a(g431 +Vle_Suc_eq +p5276 +tp5277 +a(g408 +g1071 +tp5278 +a(g822 +V\u000a +p5279 +tp5280 +a(g781 +Vapply +p5281 +tp5282 +a(g822 +g974 +tp5283 +a(g408 +g1059 +tp5284 +a(g431 +Vsubgoal_tac +p5285 +tp5286 +a(g822 +g974 +tp5287 +a(g84 +g1045 +tp5288 +a(g84 +V1 * a^n +p5289 +tp5290 +a(g112 +V\u005c +p5291 +tp5292 +a(g84 +V a * a^N +p5293 +tp5294 +a(g84 +g1045 +tp5295 +a(g408 +g2103 +tp5296 +a(g822 +g974 +tp5297 +a(g431 +Vsimp +p5298 +tp5299 +a(g408 +g1071 +tp5300 +a(g822 +V\u000a +p5301 +tp5302 +a(g781 +Vapply +p5303 +tp5304 +a(g822 +g974 +tp5305 +a(g408 +g1059 +tp5306 +a(g431 +Vrule +p5307 +tp5308 +a(g822 +g974 +tp5309 +a(g431 +Vmult_mono +p5310 +tp5311 +a(g408 +g1071 +tp5312 +a(g822 +g974 +tp5313 +a(g781 +Vapply +p5314 +tp5315 +a(g822 +g974 +tp5316 +a(g408 +g1059 +tp5317 +a(g431 +Vauto +p5318 +tp5319 +a(g822 +g974 +tp5320 +a(g431 +Vsimp +p5321 +tp5322 +a(g822 +g974 +tp5323 +a(g431 +Vadd +p5324 +tp5325 +a(g408 +g1080 +tp5326 +a(g822 +g974 +tp5327 +a(g431 +Vorder_trans +p5328 +tp5329 +a(g822 +g974 +tp5330 +a(g408 +g1131 +tp5331 +a(g431 +VOF +p5332 +tp5333 +a(g822 +g974 +tp5334 +a(g431 +Vzero_le_one +p5335 +tp5336 +a(g408 +g1135 +tp5337 +a(g408 +g1071 +tp5338 +a(g822 +V\u000a +p5339 +tp5340 +a(g740 +Vdone +p5341 +tp5342 +a(g822 +V\u000a +p5343 +tp5344 +a(g740 +Vqed +p5345 +tp5346 +a(g822 +V\u000a\u000a +p5347 +tp5348 +a(g740 +Vtext +p5349 +tp5350 +a(g7 +V{* +p5351 +tp5352 +a(g7 +VLemma for @{text power_strict_increasing +p5353 +tp5354 +a(g7 +g3432 +tp5355 +a(g7 +V*} +p5356 +tp5357 +a(g822 +V\u000a +p5358 +tp5359 +a(g766 +Vlemma +p5360 +tp5361 +a(g822 +g974 +tp5362 +a(g431 +Vpower_less_power_Suc +p5363 +tp5364 +a(g408 +g1080 +tp5365 +a(g822 +V\u000a +p5366 +tp5367 +a(g84 +g1045 +tp5368 +a(g84 +V1 < a +p5369 +tp5370 +a(g112 +V\u005c +p5371 +tp5372 +a(g84 +V a ^ n < a * a ^ n +p5373 +tp5374 +a(g84 +g1045 +tp5375 +a(g822 +V\u000a +p5376 +tp5377 +a(g740 +Vby +p5378 +tp5379 +a(g822 +g974 +tp5380 +a(g408 +g1059 +tp5381 +a(g431 +Vinduct +p5382 +tp5383 +a(g822 +g974 +tp5384 +a(g431 +g1378 +tp5385 +a(g408 +g1071 +tp5386 +a(g822 +g974 +tp5387 +a(g408 +g1059 +tp5388 +a(g431 +Vauto +p5389 +tp5390 +a(g822 +g974 +tp5391 +a(g431 +Vsimp +p5392 +tp5393 +a(g822 +g974 +tp5394 +a(g431 +Vadd +p5395 +tp5396 +a(g408 +g1080 +tp5397 +a(g822 +g974 +tp5398 +a(g431 +Vmult_strict_left_mono +p5399 +tp5400 +a(g822 +g974 +tp5401 +a(g431 +Vless_trans +p5402 +tp5403 +a(g822 +g974 +tp5404 +a(g408 +g1131 +tp5405 +a(g431 +VOF +p5406 +tp5407 +a(g822 +g974 +tp5408 +a(g431 +Vzero_less_one +p5409 +tp5410 +a(g408 +g1135 +tp5411 +a(g408 +g1071 +tp5412 +a(g822 +V\u000a\u000a +p5413 +tp5414 +a(g766 +Vlemma +p5415 +tp5416 +a(g822 +g974 +tp5417 +a(g431 +Vpower_strict_increasing +p5418 +tp5419 +a(g822 +g974 +tp5420 +a(g408 +g1131 +tp5421 +a(g431 +Vrule_format +p5422 +tp5423 +a(g408 +g1135 +tp5424 +a(g408 +g1080 +tp5425 +a(g822 +V\u000a +p5426 +tp5427 +a(g84 +g1045 +tp5428 +a(g84 +Vn < N +p5429 +tp5430 +a(g112 +V\u005c +p5431 +tp5432 +a(g84 +V 1 < a +p5433 +tp5434 +a(g112 +V\u005c +p5435 +tp5436 +a(g84 +V a ^ n < a ^ N +p5437 +tp5438 +a(g84 +g1045 +tp5439 +a(g822 +V\u000a +p5440 +tp5441 +a(g740 +Vproof +p5442 +tp5443 +a(g822 +g974 +tp5444 +a(g408 +g1059 +tp5445 +a(g431 +Vinduct +p5446 +tp5447 +a(g822 +g974 +tp5448 +a(g431 +g4801 +tp5449 +a(g408 +g1071 +tp5450 +a(g822 +V\u000a +p5451 +tp5452 +a(g740 +Vcase +p5453 +tp5454 +a(g822 +g974 +tp5455 +a(g431 +g1812 +tp5456 +a(g822 +g974 +tp5457 +a(g740 +Vthen +p5458 +tp5459 +a(g822 +g974 +tp5460 +a(g740 +Vshow +p5461 +tp5462 +a(g822 +g974 +tp5463 +a(g408 +g1821 +tp5464 +a(g740 +Vcase +p5465 +tp5466 +a(g822 +g974 +tp5467 +a(g740 +Vby +p5468 +tp5469 +a(g822 +g974 +tp5470 +a(g431 +Vsimp +p5471 +tp5472 +a(g822 +V\u000a +p5473 +tp5474 +a(g740 +Vnext +p5475 +tp5476 +a(g822 +V\u000a +p5477 +tp5478 +a(g740 +Vcase +p5479 +tp5480 +a(g822 +g974 +tp5481 +a(g408 +g1059 +tp5482 +a(g431 +VSuc +p5483 +tp5484 +a(g822 +g974 +tp5485 +a(g431 +g4801 +tp5486 +a(g408 +g1071 +tp5487 +a(g822 +g974 +tp5488 +a(g740 +Vthen +p5489 +tp5490 +a(g822 +g974 +tp5491 +a(g740 +Vshow +p5492 +tp5493 +a(g822 +g974 +tp5494 +a(g408 +g1821 +tp5495 +a(g740 +Vcase +p5496 +tp5497 +a(g822 +V \u000a +p5498 +tp5499 +a(g781 +Vapply +p5500 +tp5501 +a(g822 +g974 +tp5502 +a(g408 +g1059 +tp5503 +a(g431 +Vauto +p5504 +tp5505 +a(g822 +g974 +tp5506 +a(g431 +Vsimp +p5507 +tp5508 +a(g822 +g974 +tp5509 +a(g431 +Vadd +p5510 +tp5511 +a(g408 +g1080 +tp5512 +a(g822 +g974 +tp5513 +a(g431 +Vpower_less_power_Suc +p5514 +tp5515 +a(g822 +g974 +tp5516 +a(g431 +Vless_Suc_eq +p5517 +tp5518 +a(g408 +g1071 +tp5519 +a(g822 +V\u000a +p5520 +tp5521 +a(g781 +Vapply +p5522 +tp5523 +a(g822 +g974 +tp5524 +a(g408 +g1059 +tp5525 +a(g431 +Vsubgoal_tac +p5526 +tp5527 +a(g822 +g974 +tp5528 +a(g84 +g1045 +tp5529 +a(g84 +V1 * a^n < a * a^N +p5530 +tp5531 +a(g84 +g1045 +tp5532 +a(g408 +g2103 +tp5533 +a(g822 +g974 +tp5534 +a(g431 +Vsimp +p5535 +tp5536 +a(g408 +g1071 +tp5537 +a(g822 +V\u000a +p5538 +tp5539 +a(g781 +Vapply +p5540 +tp5541 +a(g822 +g974 +tp5542 +a(g408 +g1059 +tp5543 +a(g431 +Vrule +p5544 +tp5545 +a(g822 +g974 +tp5546 +a(g431 +Vmult_strict_mono +p5547 +tp5548 +a(g408 +g1071 +tp5549 +a(g822 +g974 +tp5550 +a(g781 +Vapply +p5551 +tp5552 +a(g822 +g974 +tp5553 +a(g408 +g1059 +tp5554 +a(g431 +Vauto +p5555 +tp5556 +a(g822 +g974 +tp5557 +a(g431 +Vsimp +p5558 +tp5559 +a(g822 +g974 +tp5560 +a(g431 +Vadd +p5561 +tp5562 +a(g408 +g1080 +tp5563 +a(g822 +g974 +tp5564 +a(g431 +Vless_trans +p5565 +tp5566 +a(g822 +g974 +tp5567 +a(g408 +g1131 +tp5568 +a(g431 +VOF +p5569 +tp5570 +a(g822 +g974 +tp5571 +a(g431 +Vzero_less_one +p5572 +tp5573 +a(g408 +g1135 +tp5574 +a(g822 +g974 +tp5575 +a(g431 +Vless_imp_le +p5576 +tp5577 +a(g408 +g1071 +tp5578 +a(g822 +V\u000a +p5579 +tp5580 +a(g740 +Vdone +p5581 +tp5582 +a(g822 +V\u000a +p5583 +tp5584 +a(g740 +Vqed +p5585 +tp5586 +a(g822 +V\u000a\u000a +p5587 +tp5588 +a(g766 +Vlemma +p5589 +tp5590 +a(g822 +g974 +tp5591 +a(g431 +Vpower_increasing_iff +p5592 +tp5593 +a(g822 +g974 +tp5594 +a(g408 +g1131 +tp5595 +a(g431 +Vsimp +p5596 +tp5597 +a(g408 +g1135 +tp5598 +a(g408 +g1080 +tp5599 +a(g822 +V\u000a +p5600 +tp5601 +a(g84 +g1045 +tp5602 +a(g84 +V1 < b +p5603 +tp5604 +a(g112 +V\u005c +p5605 +tp5606 +a(g84 +V b ^ x +p5607 +tp5608 +a(g112 +V\u005c +p5609 +tp5610 +a(g84 +V b ^ y +p5611 +tp5612 +a(g112 +V\u005c +p5613 +tp5614 +a(g84 +V x +p5615 +tp5616 +a(g112 +V\u005c +p5617 +tp5618 +a(g84 +V y +p5619 +tp5620 +a(g84 +g1045 +tp5621 +a(g822 +V\u000a +p5622 +tp5623 +a(g740 +Vby +p5624 +tp5625 +a(g822 +g974 +tp5626 +a(g408 +g1059 +tp5627 +a(g431 +Vblast +p5628 +tp5629 +a(g822 +g974 +tp5630 +a(g431 +Vintro +p5631 +tp5632 +a(g408 +g1080 +tp5633 +a(g822 +g974 +tp5634 +a(g431 +Vpower_le_imp_le_exp +p5635 +tp5636 +a(g822 +g974 +tp5637 +a(g431 +Vpower_increasing +p5638 +tp5639 +a(g822 +g974 +tp5640 +a(g431 +Vless_imp_le +p5641 +tp5642 +a(g408 +g1071 +tp5643 +a(g822 +V\u000a\u000a +p5644 +tp5645 +a(g766 +Vlemma +p5646 +tp5647 +a(g822 +g974 +tp5648 +a(g431 +Vpower_strict_increasing_iff +p5649 +tp5650 +a(g822 +g974 +tp5651 +a(g408 +g1131 +tp5652 +a(g431 +Vsimp +p5653 +tp5654 +a(g408 +g1135 +tp5655 +a(g408 +g1080 +tp5656 +a(g822 +V\u000a +p5657 +tp5658 +a(g84 +g1045 +tp5659 +a(g84 +V1 < b +p5660 +tp5661 +a(g112 +V\u005c +p5662 +tp5663 +a(g84 +V b ^ x < b ^ y +p5664 +tp5665 +a(g112 +V\u005c +p5666 +tp5667 +a(g84 +V x < y +p5668 +tp5669 +a(g84 +g1045 +tp5670 +a(g822 +V\u000a +p5671 +tp5672 +a(g740 +Vby +p5673 +tp5674 +a(g822 +g974 +tp5675 +a(g408 +g1059 +tp5676 +a(g431 +Vblast +p5677 +tp5678 +a(g822 +g974 +tp5679 +a(g431 +Vintro +p5680 +tp5681 +a(g408 +g1080 +tp5682 +a(g822 +g974 +tp5683 +a(g431 +Vpower_less_imp_less_exp +p5684 +tp5685 +a(g822 +g974 +tp5686 +a(g431 +Vpower_strict_increasing +p5687 +tp5688 +a(g408 +g1071 +tp5689 +a(g822 +V \u000a\u000a +p5690 +tp5691 +a(g766 +Vlemma +p5692 +tp5693 +a(g822 +g974 +tp5694 +a(g431 +Vpower_le_imp_le_base +p5695 +tp5696 +a(g408 +g1080 +tp5697 +a(g822 +V\u000a +p5698 +tp5699 +a(g781 +Vassumes +p5700 +tp5701 +a(g822 +g974 +tp5702 +a(g431 +Vle +p5703 +tp5704 +a(g408 +g1080 +tp5705 +a(g822 +g974 +tp5706 +a(g84 +g1045 +tp5707 +a(g84 +Va ^ Suc n +p5708 +tp5709 +a(g112 +V\u005c +p5710 +tp5711 +a(g84 +V b ^ Suc n +p5712 +tp5713 +a(g84 +g1045 +tp5714 +a(g822 +V\u000a +p5715 +tp5716 +a(g781 +Vand +p5717 +tp5718 +a(g822 +g974 +tp5719 +a(g431 +Vynonneg +p5720 +tp5721 +a(g408 +g1080 +tp5722 +a(g822 +g974 +tp5723 +a(g84 +g1045 +tp5724 +a(g84 +V0 +p5725 +tp5726 +a(g112 +V\u005c +p5727 +tp5728 +a(g84 +V b +p5729 +tp5730 +a(g84 +g1045 +tp5731 +a(g822 +V\u000a +p5732 +tp5733 +a(g781 +Vshows +p5734 +tp5735 +a(g822 +g974 +tp5736 +a(g84 +g1045 +tp5737 +a(g84 +Va +p5738 +tp5739 +a(g112 +V\u005c +p5740 +tp5741 +a(g84 +V b +p5742 +tp5743 +a(g84 +g1045 +tp5744 +a(g822 +V\u000a +p5745 +tp5746 +a(g740 +Vproof +p5747 +tp5748 +a(g822 +g974 +tp5749 +a(g408 +g1059 +tp5750 +a(g431 +Vrule +p5751 +tp5752 +a(g822 +g974 +tp5753 +a(g431 +Vccontr +p5754 +tp5755 +a(g408 +g1071 +tp5756 +a(g822 +V\u000a +p5757 +tp5758 +a(g740 +Vassume +p5759 +tp5760 +a(g822 +g974 +tp5761 +a(g84 +g1045 +tp5762 +a(g84 +V~ a +p5763 +tp5764 +a(g112 +V\u005c +p5765 +tp5766 +a(g84 +V b +p5767 +tp5768 +a(g84 +g1045 +tp5769 +a(g822 +V\u000a +p5770 +tp5771 +a(g740 +Vthen +p5772 +tp5773 +a(g822 +g974 +tp5774 +a(g740 +Vhave +p5775 +tp5776 +a(g822 +g974 +tp5777 +a(g84 +g1045 +tp5778 +a(g84 +Vb < a +p5779 +tp5780 +a(g84 +g1045 +tp5781 +a(g822 +g974 +tp5782 +a(g740 +Vby +p5783 +tp5784 +a(g822 +g974 +tp5785 +a(g408 +g1059 +tp5786 +a(g431 +Vsimp +p5787 +tp5788 +a(g822 +g974 +tp5789 +a(g431 +Vonly +p5790 +tp5791 +a(g408 +g1080 +tp5792 +a(g822 +g974 +tp5793 +a(g431 +Vlinorder_not_le +p5794 +tp5795 +a(g408 +g1071 +tp5796 +a(g822 +V\u000a +p5797 +tp5798 +a(g740 +Vthen +p5799 +tp5800 +a(g822 +g974 +tp5801 +a(g740 +Vhave +p5802 +tp5803 +a(g822 +g974 +tp5804 +a(g84 +g1045 +tp5805 +a(g84 +Vb ^ Suc n < a ^ Suc n +p5806 +tp5807 +a(g84 +g1045 +tp5808 +a(g822 +V\u000a +p5809 +tp5810 +a(g740 +Vby +p5811 +tp5812 +a(g822 +g974 +tp5813 +a(g408 +g1059 +tp5814 +a(g431 +Vsimp +p5815 +tp5816 +a(g822 +g974 +tp5817 +a(g431 +Vonly +p5818 +tp5819 +a(g408 +g1080 +tp5820 +a(g822 +g974 +tp5821 +a(g431 +Vassms +p5822 +tp5823 +a(g822 +g974 +tp5824 +a(g431 +Vpower_strict_mono +p5825 +tp5826 +a(g408 +g1071 +tp5827 +a(g822 +V\u000a +p5828 +tp5829 +a(g740 +Vfrom +p5830 +tp5831 +a(g822 +g974 +tp5832 +a(g431 +Vle +p5833 +tp5834 +a(g822 +g974 +tp5835 +a(g781 +Vand +p5836 +tp5837 +a(g822 +g974 +tp5838 +a(g431 +Vthis +p5839 +tp5840 +a(g822 +g974 +tp5841 +a(g740 +Vshow +p5842 +tp5843 +a(g822 +g974 +tp5844 +a(g431 +VFalse +p5845 +tp5846 +a(g822 +V\u000a +p5847 +tp5848 +a(g740 +Vby +p5849 +tp5850 +a(g822 +g974 +tp5851 +a(g408 +g1059 +tp5852 +a(g431 +Vsimp +p5853 +tp5854 +a(g822 +g974 +tp5855 +a(g431 +Vadd +p5856 +tp5857 +a(g408 +g1080 +tp5858 +a(g822 +g974 +tp5859 +a(g431 +Vlinorder_not_less +p5860 +tp5861 +a(g822 +g974 +tp5862 +a(g408 +g1131 +tp5863 +a(g431 +Vsymmetric +p5864 +tp5865 +a(g408 +g1135 +tp5866 +a(g408 +g1071 +tp5867 +a(g822 +V\u000a +p5868 +tp5869 +a(g740 +Vqed +p5870 +tp5871 +a(g822 +V\u000a\u000a +p5872 +tp5873 +a(g766 +Vlemma +p5874 +tp5875 +a(g822 +g974 +tp5876 +a(g431 +Vpower_less_imp_less_base +p5877 +tp5878 +a(g408 +g1080 +tp5879 +a(g822 +V\u000a +p5880 +tp5881 +a(g781 +Vassumes +p5882 +tp5883 +a(g822 +g974 +tp5884 +a(g431 +Vless +p5885 +tp5886 +a(g408 +g1080 +tp5887 +a(g822 +g974 +tp5888 +a(g84 +g1045 +tp5889 +a(g84 +Va ^ n < b ^ n +p5890 +tp5891 +a(g84 +g1045 +tp5892 +a(g822 +V\u000a +p5893 +tp5894 +a(g781 +Vassumes +p5895 +tp5896 +a(g822 +g974 +tp5897 +a(g431 +Vnonneg +p5898 +tp5899 +a(g408 +g1080 +tp5900 +a(g822 +g974 +tp5901 +a(g84 +g1045 +tp5902 +a(g84 +V0 +p5903 +tp5904 +a(g112 +V\u005c +p5905 +tp5906 +a(g84 +V b +p5907 +tp5908 +a(g84 +g1045 +tp5909 +a(g822 +V\u000a +p5910 +tp5911 +a(g781 +Vshows +p5912 +tp5913 +a(g822 +g974 +tp5914 +a(g84 +g1045 +tp5915 +a(g84 +Va < b +p5916 +tp5917 +a(g84 +g1045 +tp5918 +a(g822 +V\u000a +p5919 +tp5920 +a(g740 +Vproof +p5921 +tp5922 +a(g822 +g974 +tp5923 +a(g408 +g1059 +tp5924 +a(g431 +Vrule +p5925 +tp5926 +a(g822 +g974 +tp5927 +a(g431 +Vcontrapos_pp +p5928 +tp5929 +a(g822 +g974 +tp5930 +a(g408 +g1131 +tp5931 +a(g431 +VOF +p5932 +tp5933 +a(g822 +g974 +tp5934 +a(g431 +Vless +p5935 +tp5936 +a(g408 +g1135 +tp5937 +a(g408 +g1071 +tp5938 +a(g822 +V\u000a +p5939 +tp5940 +a(g740 +Vassume +p5941 +tp5942 +a(g822 +g974 +tp5943 +a(g84 +g1045 +tp5944 +a(g84 +V~ a < b +p5945 +tp5946 +a(g84 +g1045 +tp5947 +a(g822 +V\u000a +p5948 +tp5949 +a(g740 +Vhence +p5950 +tp5951 +a(g822 +g974 +tp5952 +a(g84 +g1045 +tp5953 +a(g84 +Vb +p5954 +tp5955 +a(g112 +V\u005c +p5956 +tp5957 +a(g84 +V a +p5958 +tp5959 +a(g84 +g1045 +tp5960 +a(g822 +g974 +tp5961 +a(g740 +Vby +p5962 +tp5963 +a(g822 +g974 +tp5964 +a(g408 +g1059 +tp5965 +a(g431 +Vsimp +p5966 +tp5967 +a(g822 +g974 +tp5968 +a(g431 +Vonly +p5969 +tp5970 +a(g408 +g1080 +tp5971 +a(g822 +g974 +tp5972 +a(g431 +Vlinorder_not_less +p5973 +tp5974 +a(g408 +g1071 +tp5975 +a(g822 +V\u000a +p5976 +tp5977 +a(g740 +Vhence +p5978 +tp5979 +a(g822 +g974 +tp5980 +a(g84 +g1045 +tp5981 +a(g84 +Vb ^ n +p5982 +tp5983 +a(g112 +V\u005c +p5984 +tp5985 +a(g84 +V a ^ n +p5986 +tp5987 +a(g84 +g1045 +tp5988 +a(g822 +g974 +tp5989 +a(g740 +Vusing +p5990 +tp5991 +a(g822 +g974 +tp5992 +a(g431 +Vnonneg +p5993 +tp5994 +a(g822 +g974 +tp5995 +a(g740 +Vby +p5996 +tp5997 +a(g822 +g974 +tp5998 +a(g408 +g1059 +tp5999 +a(g431 +Vrule +p6000 +tp6001 +a(g822 +g974 +tp6002 +a(g431 +Vpower_mono +p6003 +tp6004 +a(g408 +g1071 +tp6005 +a(g822 +V\u000a +p6006 +tp6007 +a(g740 +Vthus +p6008 +tp6009 +a(g822 +g974 +tp6010 +a(g84 +g1045 +tp6011 +a(g112 +V\u005c +p6012 +tp6013 +a(g84 +V a ^ n < b ^ n +p6014 +tp6015 +a(g84 +g1045 +tp6016 +a(g822 +g974 +tp6017 +a(g740 +Vby +p6018 +tp6019 +a(g822 +g974 +tp6020 +a(g408 +g1059 +tp6021 +a(g431 +Vsimp +p6022 +tp6023 +a(g822 +g974 +tp6024 +a(g431 +Vonly +p6025 +tp6026 +a(g408 +g1080 +tp6027 +a(g822 +g974 +tp6028 +a(g431 +Vlinorder_not_less +p6029 +tp6030 +a(g408 +g1071 +tp6031 +a(g822 +V\u000a +p6032 +tp6033 +a(g740 +Vqed +p6034 +tp6035 +a(g822 +V\u000a\u000a +p6036 +tp6037 +a(g766 +Vlemma +p6038 +tp6039 +a(g822 +g974 +tp6040 +a(g431 +Vpower_inject_base +p6041 +tp6042 +a(g408 +g1080 +tp6043 +a(g822 +V\u000a +p6044 +tp6045 +a(g84 +g1045 +tp6046 +a(g84 +Va ^ Suc n = b ^ Suc n +p6047 +tp6048 +a(g112 +V\u005c +p6049 +tp6050 +a(g84 +V 0 +p6051 +tp6052 +a(g112 +V\u005c +p6053 +tp6054 +a(g84 +V a +p6055 +tp6056 +a(g112 +V\u005c +p6057 +tp6058 +a(g84 +V 0 +p6059 +tp6060 +a(g112 +V\u005c +p6061 +tp6062 +a(g84 +V b +p6063 +tp6064 +a(g112 +V\u005c +p6065 +tp6066 +a(g84 +V a = b +p6067 +tp6068 +a(g84 +g1045 +tp6069 +a(g822 +V\u000a +p6070 +tp6071 +a(g740 +Vby +p6072 +tp6073 +a(g822 +g974 +tp6074 +a(g408 +g1059 +tp6075 +a(g431 +Vblast +p6076 +tp6077 +a(g822 +g974 +tp6078 +a(g431 +Vintro +p6079 +tp6080 +a(g408 +g1080 +tp6081 +a(g822 +g974 +tp6082 +a(g431 +Vpower_le_imp_le_base +p6083 +tp6084 +a(g822 +g974 +tp6085 +a(g431 +Vantisym +p6086 +tp6087 +a(g822 +g974 +tp6088 +a(g431 +Veq_refl +p6089 +tp6090 +a(g822 +g974 +tp6091 +a(g431 +Vsym +p6092 +tp6093 +a(g408 +g1071 +tp6094 +a(g822 +V\u000a\u000a +p6095 +tp6096 +a(g766 +Vlemma +p6097 +tp6098 +a(g822 +g974 +tp6099 +a(g431 +Vpower_eq_imp_eq_base +p6100 +tp6101 +a(g408 +g1080 +tp6102 +a(g822 +V\u000a +p6103 +tp6104 +a(g84 +g1045 +tp6105 +a(g84 +Va ^ n = b ^ n +p6106 +tp6107 +a(g112 +V\u005c +p6108 +tp6109 +a(g84 +V 0 +p6110 +tp6111 +a(g112 +V\u005c +p6112 +tp6113 +a(g84 +V a +p6114 +tp6115 +a(g112 +V\u005c +p6116 +tp6117 +a(g84 +V 0 +p6118 +tp6119 +a(g112 +V\u005c +p6120 +tp6121 +a(g84 +V b +p6122 +tp6123 +a(g112 +V\u005c +p6124 +tp6125 +a(g84 +V 0 < n +p6126 +tp6127 +a(g112 +V\u005c +p6128 +tp6129 +a(g84 +V a = b +p6130 +tp6131 +a(g84 +g1045 +tp6132 +a(g822 +V\u000a +p6133 +tp6134 +a(g740 +Vby +p6135 +tp6136 +a(g822 +g974 +tp6137 +a(g408 +g1059 +tp6138 +a(g431 +Vcases +p6139 +tp6140 +a(g822 +g974 +tp6141 +a(g431 +g1378 +tp6142 +a(g408 +g1071 +tp6143 +a(g822 +g974 +tp6144 +a(g408 +g1059 +tp6145 +a(g431 +Vsimp_all +p6146 +tp6147 +a(g822 +g974 +tp6148 +a(g431 +Vdel +p6149 +tp6150 +a(g408 +g1080 +tp6151 +a(g822 +g974 +tp6152 +a(g431 +Vpower_Suc +p6153 +tp6154 +a(g408 +g2103 +tp6155 +a(g822 +g974 +tp6156 +a(g431 +Vrule +p6157 +tp6158 +a(g822 +g974 +tp6159 +a(g431 +Vpower_inject_base +p6160 +tp6161 +a(g408 +g1071 +tp6162 +a(g822 +V\u000a\u000a +p6163 +tp6164 +a(g766 +Vlemma +p6165 +tp6166 +a(g822 +g974 +tp6167 +a(g431 +Vpower2_le_imp_le +p6168 +tp6169 +a(g408 +g1080 +tp6170 +a(g822 +V\u000a +p6171 +tp6172 +a(g84 +g1045 +tp6173 +a(g84 +g1242 +tp6174 +a(g84 +V\u005c +p6175 +tp6176 +a(g84 +V<^sup>2 +p6177 +tp6178 +a(g112 +V\u005c +p6179 +tp6180 +a(g84 +V y +p6181 +tp6182 +a(g84 +V\u005c +p6183 +tp6184 +a(g84 +V<^sup>2 +p6185 +tp6186 +a(g112 +V\u005c +p6187 +tp6188 +a(g84 +V 0 +p6189 +tp6190 +a(g112 +V\u005c +p6191 +tp6192 +a(g84 +V y +p6193 +tp6194 +a(g112 +V\u005c +p6195 +tp6196 +a(g84 +V x +p6197 +tp6198 +a(g112 +V\u005c +p6199 +tp6200 +a(g84 +V y +p6201 +tp6202 +a(g84 +g1045 +tp6203 +a(g822 +V\u000a +p6204 +tp6205 +a(g740 +Vunfolding +p6206 +tp6207 +a(g822 +g974 +tp6208 +a(g431 +Vnumeral_2_eq_2 +p6209 +tp6210 +a(g822 +g974 +tp6211 +a(g740 +Vby +p6212 +tp6213 +a(g822 +g974 +tp6214 +a(g408 +g1059 +tp6215 +a(g431 +Vrule +p6216 +tp6217 +a(g822 +g974 +tp6218 +a(g431 +Vpower_le_imp_le_base +p6219 +tp6220 +a(g408 +g1071 +tp6221 +a(g822 +V\u000a\u000a +p6222 +tp6223 +a(g766 +Vlemma +p6224 +tp6225 +a(g822 +g974 +tp6226 +a(g431 +Vpower2_less_imp_less +p6227 +tp6228 +a(g408 +g1080 +tp6229 +a(g822 +V\u000a +p6230 +tp6231 +a(g84 +g1045 +tp6232 +a(g84 +g1242 +tp6233 +a(g84 +V\u005c +p6234 +tp6235 +a(g84 +V<^sup>2 < y +p6236 +tp6237 +a(g84 +V\u005c +p6238 +tp6239 +a(g84 +V<^sup>2 +p6240 +tp6241 +a(g112 +V\u005c +p6242 +tp6243 +a(g84 +V 0 +p6244 +tp6245 +a(g112 +V\u005c +p6246 +tp6247 +a(g84 +V y +p6248 +tp6249 +a(g112 +V\u005c +p6250 +tp6251 +a(g84 +V x < y +p6252 +tp6253 +a(g84 +g1045 +tp6254 +a(g822 +V\u000a +p6255 +tp6256 +a(g740 +Vby +p6257 +tp6258 +a(g822 +g974 +tp6259 +a(g408 +g1059 +tp6260 +a(g431 +Vrule +p6261 +tp6262 +a(g822 +g974 +tp6263 +a(g431 +Vpower_less_imp_less_base +p6264 +tp6265 +a(g408 +g1071 +tp6266 +a(g822 +V\u000a\u000a +p6267 +tp6268 +a(g766 +Vlemma +p6269 +tp6270 +a(g822 +g974 +tp6271 +a(g431 +Vpower2_eq_imp_eq +p6272 +tp6273 +a(g408 +g1080 +tp6274 +a(g822 +V\u000a +p6275 +tp6276 +a(g84 +g1045 +tp6277 +a(g84 +g1242 +tp6278 +a(g84 +V\u005c +p6279 +tp6280 +a(g84 +V<^sup>2 = y +p6281 +tp6282 +a(g84 +V\u005c +p6283 +tp6284 +a(g84 +V<^sup>2 +p6285 +tp6286 +a(g112 +V\u005c +p6287 +tp6288 +a(g84 +V 0 +p6289 +tp6290 +a(g112 +V\u005c +p6291 +tp6292 +a(g84 +V x +p6293 +tp6294 +a(g112 +V\u005c +p6295 +tp6296 +a(g84 +V 0 +p6297 +tp6298 +a(g112 +V\u005c +p6299 +tp6300 +a(g84 +V y +p6301 +tp6302 +a(g112 +V\u005c +p6303 +tp6304 +a(g84 +V x = y +p6305 +tp6306 +a(g84 +g1045 +tp6307 +a(g822 +V\u000a +p6308 +tp6309 +a(g740 +Vunfolding +p6310 +tp6311 +a(g822 +g974 +tp6312 +a(g431 +Vnumeral_2_eq_2 +p6313 +tp6314 +a(g822 +g974 +tp6315 +a(g740 +Vby +p6316 +tp6317 +a(g822 +g974 +tp6318 +a(g408 +g1059 +tp6319 +a(g431 +Verule +p6320 +tp6321 +a(g822 +g974 +tp6322 +a(g408 +g1059 +tp6323 +a(g431 +V2 +p6324 +tp6325 +a(g408 +g1071 +tp6326 +a(g822 +g974 +tp6327 +a(g431 +Vpower_eq_imp_eq_base +p6328 +tp6329 +a(g408 +g1071 +tp6330 +a(g822 +g974 +tp6331 +a(g431 +Vsimp +p6332 +tp6333 +a(g822 +V\u000a\u000a +p6334 +tp6335 +a(g740 +Vend +p6336 +tp6337 +a(g822 +V\u000a\u000a +p6338 +tp6339 +a(g740 +Vcontext +p6340 +tp6341 +a(g822 +g974 +tp6342 +a(g431 +Vlinordered_ring_strict +p6343 +tp6344 +a(g822 +V\u000a +p6345 +tp6346 +a(g740 +Vbegin +p6347 +tp6348 +a(g822 +V\u000a\u000a +p6349 +tp6350 +a(g766 +Vlemma +p6351 +tp6352 +a(g822 +g974 +tp6353 +a(g431 +Vsum_squares_eq_zero_iff +p6354 +tp6355 +a(g408 +g1080 +tp6356 +a(g822 +V\u000a +p6357 +tp6358 +a(g84 +g1045 +tp6359 +a(g84 +Vx * x + y * y = 0 +p6360 +tp6361 +a(g112 +V\u005c +p6362 +tp6363 +a(g84 +V x = 0 +p6364 +tp6365 +a(g112 +V\u005c +p6366 +tp6367 +a(g84 +V y = 0 +p6368 +tp6369 +a(g84 +g1045 +tp6370 +a(g822 +V\u000a +p6371 +tp6372 +a(g740 +Vby +p6373 +tp6374 +a(g822 +g974 +tp6375 +a(g408 +g1059 +tp6376 +a(g431 +Vsimp +p6377 +tp6378 +a(g822 +g974 +tp6379 +a(g431 +Vadd +p6380 +tp6381 +a(g408 +g1080 +tp6382 +a(g822 +g974 +tp6383 +a(g431 +Vadd_nonneg_eq_0_iff +p6384 +tp6385 +a(g408 +g1071 +tp6386 +a(g822 +V\u000a\u000a +p6387 +tp6388 +a(g766 +Vlemma +p6389 +tp6390 +a(g822 +g974 +tp6391 +a(g431 +Vsum_squares_le_zero_iff +p6392 +tp6393 +a(g408 +g1080 +tp6394 +a(g822 +V\u000a +p6395 +tp6396 +a(g84 +g1045 +tp6397 +a(g84 +Vx * x + y * y +p6398 +tp6399 +a(g112 +V\u005c +p6400 +tp6401 +a(g84 +V 0 +p6402 +tp6403 +a(g112 +V\u005c +p6404 +tp6405 +a(g84 +V x = 0 +p6406 +tp6407 +a(g112 +V\u005c +p6408 +tp6409 +a(g84 +V y = 0 +p6410 +tp6411 +a(g84 +g1045 +tp6412 +a(g822 +V\u000a +p6413 +tp6414 +a(g740 +Vby +p6415 +tp6416 +a(g822 +g974 +tp6417 +a(g408 +g1059 +tp6418 +a(g431 +Vsimp +p6419 +tp6420 +a(g822 +g974 +tp6421 +a(g431 +Vadd +p6422 +tp6423 +a(g408 +g1080 +tp6424 +a(g822 +g974 +tp6425 +a(g431 +Vle_less +p6426 +tp6427 +a(g822 +g974 +tp6428 +a(g431 +Vnot_sum_squares_lt_zero +p6429 +tp6430 +a(g822 +g974 +tp6431 +a(g431 +Vsum_squares_eq_zero_iff +p6432 +tp6433 +a(g408 +g1071 +tp6434 +a(g822 +V\u000a\u000a +p6435 +tp6436 +a(g766 +Vlemma +p6437 +tp6438 +a(g822 +g974 +tp6439 +a(g431 +Vsum_squares_gt_zero_iff +p6440 +tp6441 +a(g408 +g1080 +tp6442 +a(g822 +V\u000a +p6443 +tp6444 +a(g84 +g1045 +tp6445 +a(g84 +V0 < x * x + y * y +p6446 +tp6447 +a(g112 +V\u005c +p6448 +tp6449 +a(g84 +V x +p6450 +tp6451 +a(g112 +V\u005c +p6452 +tp6453 +a(g84 +V 0 +p6454 +tp6455 +a(g112 +V\u005c +p6456 +tp6457 +a(g84 +V y +p6458 +tp6459 +a(g112 +V\u005c +p6460 +tp6461 +a(g84 +V 0 +p6462 +tp6463 +a(g84 +g1045 +tp6464 +a(g822 +V\u000a +p6465 +tp6466 +a(g740 +Vby +p6467 +tp6468 +a(g822 +g974 +tp6469 +a(g408 +g1059 +tp6470 +a(g431 +Vsimp +p6471 +tp6472 +a(g822 +g974 +tp6473 +a(g431 +Vadd +p6474 +tp6475 +a(g408 +g1080 +tp6476 +a(g822 +g974 +tp6477 +a(g431 +Vnot_le +p6478 +tp6479 +a(g822 +g974 +tp6480 +a(g408 +g1131 +tp6481 +a(g431 +Vsymmetric +p6482 +tp6483 +a(g408 +g1135 +tp6484 +a(g822 +g974 +tp6485 +a(g431 +Vsum_squares_le_zero_iff +p6486 +tp6487 +a(g408 +g1071 +tp6488 +a(g822 +V\u000a\u000a +p6489 +tp6490 +a(g740 +Vend +p6491 +tp6492 +a(g822 +V\u000a\u000a +p6493 +tp6494 +a(g740 +Vcontext +p6495 +tp6496 +a(g822 +g974 +tp6497 +a(g431 +Vlinordered_idom +p6498 +tp6499 +a(g822 +V\u000a +p6500 +tp6501 +a(g740 +Vbegin +p6502 +tp6503 +a(g822 +V\u000a\u000a +p6504 +tp6505 +a(g766 +Vlemma +p6506 +tp6507 +a(g822 +g974 +tp6508 +a(g431 +Vpower_abs +p6509 +tp6510 +a(g408 +g1080 +tp6511 +a(g822 +V\u000a +p6512 +tp6513 +a(g84 +g1045 +tp6514 +a(g84 +Vabs (a ^ n) = abs a ^ n +p6515 +tp6516 +a(g84 +g1045 +tp6517 +a(g822 +V\u000a +p6518 +tp6519 +a(g740 +Vby +p6520 +tp6521 +a(g822 +g974 +tp6522 +a(g408 +g1059 +tp6523 +a(g431 +Vinduct +p6524 +tp6525 +a(g822 +g974 +tp6526 +a(g431 +g1378 +tp6527 +a(g408 +g1071 +tp6528 +a(g822 +g974 +tp6529 +a(g408 +g1059 +tp6530 +a(g431 +Vauto +p6531 +tp6532 +a(g822 +g974 +tp6533 +a(g431 +Vsimp +p6534 +tp6535 +a(g822 +g974 +tp6536 +a(g431 +Vadd +p6537 +tp6538 +a(g408 +g1080 +tp6539 +a(g822 +g974 +tp6540 +a(g431 +Vabs_mult +p6541 +tp6542 +a(g408 +g1071 +tp6543 +a(g822 +V\u000a\u000a +p6544 +tp6545 +a(g766 +Vlemma +p6546 +tp6547 +a(g822 +g974 +tp6548 +a(g431 +Vabs_power_minus +p6549 +tp6550 +a(g822 +g974 +tp6551 +a(g408 +g1131 +tp6552 +a(g431 +Vsimp +p6553 +tp6554 +a(g408 +g1135 +tp6555 +a(g408 +g1080 +tp6556 +a(g822 +V\u000a +p6557 +tp6558 +a(g84 +g1045 +tp6559 +a(g84 +Vabs ((-a) ^ n) = abs (a ^ n) +p6560 +tp6561 +a(g84 +g1045 +tp6562 +a(g822 +V\u000a +p6563 +tp6564 +a(g740 +Vby +p6565 +tp6566 +a(g822 +g974 +tp6567 +a(g408 +g1059 +tp6568 +a(g431 +Vsimp +p6569 +tp6570 +a(g822 +g974 +tp6571 +a(g431 +Vadd +p6572 +tp6573 +a(g408 +g1080 +tp6574 +a(g822 +g974 +tp6575 +a(g431 +Vpower_abs +p6576 +tp6577 +a(g408 +g1071 +tp6578 +a(g822 +V\u000a\u000a +p6579 +tp6580 +a(g766 +Vlemma +p6581 +tp6582 +a(g822 +g974 +tp6583 +a(g431 +Vzero_less_power_abs_iff +p6584 +tp6585 +a(g822 +g974 +tp6586 +a(g408 +g1131 +tp6587 +a(g431 +Vsimp +p6588 +tp6589 +a(g408 +g2103 +tp6590 +a(g822 +g974 +tp6591 +a(g431 +Vno_atp +p6592 +tp6593 +a(g408 +g1135 +tp6594 +a(g408 +g1080 +tp6595 +a(g822 +V\u000a +p6596 +tp6597 +a(g84 +g1045 +tp6598 +a(g84 +V0 < abs a ^ n +p6599 +tp6600 +a(g112 +V\u005c +p6601 +tp6602 +a(g84 +V a +p6603 +tp6604 +a(g112 +V\u005c +p6605 +tp6606 +a(g84 +V 0 +p6607 +tp6608 +a(g112 +V\u005c +p6609 +tp6610 +a(g84 +V n = 0 +p6611 +tp6612 +a(g84 +g1045 +tp6613 +a(g822 +V\u000a +p6614 +tp6615 +a(g740 +Vproof +p6616 +tp6617 +a(g822 +g974 +tp6618 +a(g408 +g1059 +tp6619 +a(g431 +Vinduct +p6620 +tp6621 +a(g822 +g974 +tp6622 +a(g431 +g1378 +tp6623 +a(g408 +g1071 +tp6624 +a(g822 +V\u000a +p6625 +tp6626 +a(g740 +Vcase +p6627 +tp6628 +a(g822 +g974 +tp6629 +a(g431 +g1812 +tp6630 +a(g822 +g974 +tp6631 +a(g740 +Vshow +p6632 +tp6633 +a(g822 +g974 +tp6634 +a(g408 +g1821 +tp6635 +a(g740 +Vcase +p6636 +tp6637 +a(g822 +g974 +tp6638 +a(g740 +Vby +p6639 +tp6640 +a(g822 +g974 +tp6641 +a(g431 +Vsimp +p6642 +tp6643 +a(g822 +V\u000a +p6644 +tp6645 +a(g740 +Vnext +p6646 +tp6647 +a(g822 +V\u000a +p6648 +tp6649 +a(g740 +Vcase +p6650 +tp6651 +a(g822 +g974 +tp6652 +a(g408 +g1059 +tp6653 +a(g431 +VSuc +p6654 +tp6655 +a(g822 +g974 +tp6656 +a(g431 +g1378 +tp6657 +a(g408 +g1071 +tp6658 +a(g822 +g974 +tp6659 +a(g740 +Vshow +p6660 +tp6661 +a(g822 +g974 +tp6662 +a(g408 +g1821 +tp6663 +a(g740 +Vcase +p6664 +tp6665 +a(g822 +g974 +tp6666 +a(g740 +Vby +p6667 +tp6668 +a(g822 +g974 +tp6669 +a(g408 +g1059 +tp6670 +a(g431 +Vauto +p6671 +tp6672 +a(g822 +g974 +tp6673 +a(g431 +Vsimp +p6674 +tp6675 +a(g822 +g974 +tp6676 +a(g431 +Vadd +p6677 +tp6678 +a(g408 +g1080 +tp6679 +a(g822 +g974 +tp6680 +a(g431 +VSuc +p6681 +tp6682 +a(g822 +g974 +tp6683 +a(g431 +Vzero_less_mult_iff +p6684 +tp6685 +a(g408 +g1071 +tp6686 +a(g822 +V\u000a +p6687 +tp6688 +a(g740 +Vqed +p6689 +tp6690 +a(g822 +V\u000a\u000a +p6691 +tp6692 +a(g766 +Vlemma +p6693 +tp6694 +a(g822 +g974 +tp6695 +a(g431 +Vzero_le_power_abs +p6696 +tp6697 +a(g822 +g974 +tp6698 +a(g408 +g1131 +tp6699 +a(g431 +Vsimp +p6700 +tp6701 +a(g408 +g1135 +tp6702 +a(g408 +g1080 +tp6703 +a(g822 +V\u000a +p6704 +tp6705 +a(g84 +g1045 +tp6706 +a(g84 +V0 +p6707 +tp6708 +a(g112 +V\u005c +p6709 +tp6710 +a(g84 +V abs a ^ n +p6711 +tp6712 +a(g84 +g1045 +tp6713 +a(g822 +V\u000a +p6714 +tp6715 +a(g740 +Vby +p6716 +tp6717 +a(g822 +g974 +tp6718 +a(g408 +g1059 +tp6719 +a(g431 +Vrule +p6720 +tp6721 +a(g822 +g974 +tp6722 +a(g431 +Vzero_le_power +p6723 +tp6724 +a(g822 +g974 +tp6725 +a(g408 +g1131 +tp6726 +a(g431 +VOF +p6727 +tp6728 +a(g822 +g974 +tp6729 +a(g431 +Vabs_ge_zero +p6730 +tp6731 +a(g408 +g1135 +tp6732 +a(g408 +g1071 +tp6733 +a(g822 +V\u000a\u000a +p6734 +tp6735 +a(g766 +Vlemma +p6736 +tp6737 +a(g822 +g974 +tp6738 +a(g431 +Vzero_le_power2 +p6739 +tp6740 +a(g822 +g974 +tp6741 +a(g408 +g1131 +tp6742 +a(g431 +Vsimp +p6743 +tp6744 +a(g408 +g1135 +tp6745 +a(g408 +g1080 +tp6746 +a(g822 +V\u000a +p6747 +tp6748 +a(g84 +g1045 +tp6749 +a(g84 +V0 +p6750 +tp6751 +a(g112 +V\u005c +p6752 +tp6753 +a(g84 +V a +p6754 +tp6755 +a(g84 +V\u005c +p6756 +tp6757 +a(g84 +V<^sup>2 +p6758 +tp6759 +a(g84 +g1045 +tp6760 +a(g822 +V\u000a +p6761 +tp6762 +a(g740 +Vby +p6763 +tp6764 +a(g822 +g974 +tp6765 +a(g408 +g1059 +tp6766 +a(g431 +Vsimp +p6767 +tp6768 +a(g822 +g974 +tp6769 +a(g431 +Vadd +p6770 +tp6771 +a(g408 +g1080 +tp6772 +a(g822 +g974 +tp6773 +a(g431 +Vpower2_eq_square +p6774 +tp6775 +a(g408 +g1071 +tp6776 +a(g822 +V\u000a\u000a +p6777 +tp6778 +a(g766 +Vlemma +p6779 +tp6780 +a(g822 +g974 +tp6781 +a(g431 +Vzero_less_power2 +p6782 +tp6783 +a(g822 +g974 +tp6784 +a(g408 +g1131 +tp6785 +a(g431 +Vsimp +p6786 +tp6787 +a(g408 +g1135 +tp6788 +a(g408 +g1080 +tp6789 +a(g822 +V\u000a +p6790 +tp6791 +a(g84 +g1045 +tp6792 +a(g84 +V0 < a +p6793 +tp6794 +a(g84 +V\u005c +p6795 +tp6796 +a(g84 +V<^sup>2 +p6797 +tp6798 +a(g112 +V\u005c +p6799 +tp6800 +a(g84 +V a +p6801 +tp6802 +a(g112 +V\u005c +p6803 +tp6804 +a(g84 +V 0 +p6805 +tp6806 +a(g84 +g1045 +tp6807 +a(g822 +V\u000a +p6808 +tp6809 +a(g740 +Vby +p6810 +tp6811 +a(g822 +g974 +tp6812 +a(g408 +g1059 +tp6813 +a(g431 +Vforce +p6814 +tp6815 +a(g822 +g974 +tp6816 +a(g431 +Vsimp +p6817 +tp6818 +a(g822 +g974 +tp6819 +a(g431 +Vadd +p6820 +tp6821 +a(g408 +g1080 +tp6822 +a(g822 +g974 +tp6823 +a(g431 +Vpower2_eq_square +p6824 +tp6825 +a(g822 +g974 +tp6826 +a(g431 +Vzero_less_mult_iff +p6827 +tp6828 +a(g822 +g974 +tp6829 +a(g431 +Vlinorder_neq_iff +p6830 +tp6831 +a(g408 +g1071 +tp6832 +a(g822 +V\u000a\u000a +p6833 +tp6834 +a(g766 +Vlemma +p6835 +tp6836 +a(g822 +g974 +tp6837 +a(g431 +Vpower2_less_0 +p6838 +tp6839 +a(g822 +g974 +tp6840 +a(g408 +g1131 +tp6841 +a(g431 +Vsimp +p6842 +tp6843 +a(g408 +g1135 +tp6844 +a(g408 +g1080 +tp6845 +a(g822 +V\u000a +p6846 +tp6847 +a(g84 +g1045 +tp6848 +a(g112 +V\u005c +p6849 +tp6850 +a(g84 +V a +p6851 +tp6852 +a(g84 +V\u005c +p6853 +tp6854 +a(g84 +V<^sup>2 < 0 +p6855 +tp6856 +a(g84 +g1045 +tp6857 +a(g822 +V\u000a +p6858 +tp6859 +a(g740 +Vby +p6860 +tp6861 +a(g822 +g974 +tp6862 +a(g408 +g1059 +tp6863 +a(g431 +Vforce +p6864 +tp6865 +a(g822 +g974 +tp6866 +a(g431 +Vsimp +p6867 +tp6868 +a(g822 +g974 +tp6869 +a(g431 +Vadd +p6870 +tp6871 +a(g408 +g1080 +tp6872 +a(g822 +g974 +tp6873 +a(g431 +Vpower2_eq_square +p6874 +tp6875 +a(g822 +g974 +tp6876 +a(g431 +Vmult_less_0_iff +p6877 +tp6878 +a(g408 +g1071 +tp6879 +a(g822 +V\u000a\u000a +p6880 +tp6881 +a(g766 +Vlemma +p6882 +tp6883 +a(g822 +g974 +tp6884 +a(g431 +Vabs_power2 +p6885 +tp6886 +a(g822 +g974 +tp6887 +a(g408 +g1131 +tp6888 +a(g431 +Vsimp +p6889 +tp6890 +a(g408 +g1135 +tp6891 +a(g408 +g1080 +tp6892 +a(g822 +V\u000a +p6893 +tp6894 +a(g84 +g1045 +tp6895 +a(g84 +Vabs (a +p6896 +tp6897 +a(g84 +V\u005c +p6898 +tp6899 +a(g84 +V<^sup>2) = a +p6900 +tp6901 +a(g84 +V\u005c +p6902 +tp6903 +a(g84 +V<^sup>2 +p6904 +tp6905 +a(g84 +g1045 +tp6906 +a(g822 +V\u000a +p6907 +tp6908 +a(g740 +Vby +p6909 +tp6910 +a(g822 +g974 +tp6911 +a(g408 +g1059 +tp6912 +a(g431 +Vsimp +p6913 +tp6914 +a(g822 +g974 +tp6915 +a(g431 +Vadd +p6916 +tp6917 +a(g408 +g1080 +tp6918 +a(g822 +g974 +tp6919 +a(g431 +Vpower2_eq_square +p6920 +tp6921 +a(g822 +g974 +tp6922 +a(g431 +Vabs_mult +p6923 +tp6924 +a(g822 +g974 +tp6925 +a(g431 +Vabs_mult_self +p6926 +tp6927 +a(g408 +g1071 +tp6928 +a(g822 +V\u000a\u000a +p6929 +tp6930 +a(g766 +Vlemma +p6931 +tp6932 +a(g822 +g974 +tp6933 +a(g431 +Vpower2_abs +p6934 +tp6935 +a(g822 +g974 +tp6936 +a(g408 +g1131 +tp6937 +a(g431 +Vsimp +p6938 +tp6939 +a(g408 +g1135 +tp6940 +a(g408 +g1080 +tp6941 +a(g822 +V\u000a +p6942 +tp6943 +a(g84 +g1045 +tp6944 +a(g84 +V(abs a) +p6945 +tp6946 +a(g84 +V\u005c +p6947 +tp6948 +a(g84 +V<^sup>2 = a +p6949 +tp6950 +a(g84 +V\u005c +p6951 +tp6952 +a(g84 +V<^sup>2 +p6953 +tp6954 +a(g84 +g1045 +tp6955 +a(g822 +V\u000a +p6956 +tp6957 +a(g740 +Vby +p6958 +tp6959 +a(g822 +g974 +tp6960 +a(g408 +g1059 +tp6961 +a(g431 +Vsimp +p6962 +tp6963 +a(g822 +g974 +tp6964 +a(g431 +Vadd +p6965 +tp6966 +a(g408 +g1080 +tp6967 +a(g822 +g974 +tp6968 +a(g431 +Vpower2_eq_square +p6969 +tp6970 +a(g822 +g974 +tp6971 +a(g431 +Vabs_mult_self +p6972 +tp6973 +a(g408 +g1071 +tp6974 +a(g822 +V\u000a\u000a +p6975 +tp6976 +a(g766 +Vlemma +p6977 +tp6978 +a(g822 +g974 +tp6979 +a(g431 +Vodd_power_less_zero +p6980 +tp6981 +a(g408 +g1080 +tp6982 +a(g822 +V\u000a +p6983 +tp6984 +a(g84 +g1045 +tp6985 +a(g84 +Va < 0 +p6986 +tp6987 +a(g112 +V\u005c +p6988 +tp6989 +a(g84 +V a ^ Suc (2*n) < 0 +p6990 +tp6991 +a(g84 +g1045 +tp6992 +a(g822 +V\u000a +p6993 +tp6994 +a(g740 +Vproof +p6995 +tp6996 +a(g822 +g974 +tp6997 +a(g408 +g1059 +tp6998 +a(g431 +Vinduct +p6999 +tp7000 +a(g822 +g974 +tp7001 +a(g431 +g1378 +tp7002 +a(g408 +g1071 +tp7003 +a(g822 +V\u000a +p7004 +tp7005 +a(g740 +Vcase +p7006 +tp7007 +a(g822 +g974 +tp7008 +a(g431 +g1812 +tp7009 +a(g822 +V\u000a +p7010 +tp7011 +a(g740 +Vthen +p7012 +tp7013 +a(g822 +g974 +tp7014 +a(g740 +Vshow +p7015 +tp7016 +a(g822 +g974 +tp7017 +a(g408 +g1821 +tp7018 +a(g740 +Vcase +p7019 +tp7020 +a(g822 +g974 +tp7021 +a(g740 +Vby +p7022 +tp7023 +a(g822 +g974 +tp7024 +a(g431 +Vsimp +p7025 +tp7026 +a(g822 +V\u000a +p7027 +tp7028 +a(g740 +Vnext +p7029 +tp7030 +a(g822 +V\u000a +p7031 +tp7032 +a(g740 +Vcase +p7033 +tp7034 +a(g822 +g974 +tp7035 +a(g408 +g1059 +tp7036 +a(g431 +VSuc +p7037 +tp7038 +a(g822 +g974 +tp7039 +a(g431 +g1378 +tp7040 +a(g408 +g1071 +tp7041 +a(g822 +V\u000a +p7042 +tp7043 +a(g740 +Vhave +p7044 +tp7045 +a(g822 +g974 +tp7046 +a(g84 +g1045 +tp7047 +a(g84 +Va ^ Suc (2 * Suc n) = (a*a) * a ^ Suc(2*n) +p7048 +tp7049 +a(g84 +g1045 +tp7050 +a(g822 +V\u000a +p7051 +tp7052 +a(g740 +Vby +p7053 +tp7054 +a(g822 +g974 +tp7055 +a(g408 +g1059 +tp7056 +a(g431 +Vsimp +p7057 +tp7058 +a(g822 +g974 +tp7059 +a(g431 +Vadd +p7060 +tp7061 +a(g408 +g1080 +tp7062 +a(g822 +g974 +tp7063 +a(g431 +Vmult_ac +p7064 +tp7065 +a(g822 +g974 +tp7066 +a(g431 +Vpower_add +p7067 +tp7068 +a(g822 +g974 +tp7069 +a(g431 +Vpower2_eq_square +p7070 +tp7071 +a(g408 +g1071 +tp7072 +a(g822 +V\u000a +p7073 +tp7074 +a(g740 +Vthus +p7075 +tp7076 +a(g822 +g974 +tp7077 +a(g408 +g1821 +tp7078 +a(g740 +Vcase +p7079 +tp7080 +a(g822 +V\u000a +p7081 +tp7082 +a(g740 +Vby +p7083 +tp7084 +a(g822 +g974 +tp7085 +a(g408 +g1059 +tp7086 +a(g431 +Vsimp +p7087 +tp7088 +a(g822 +g974 +tp7089 +a(g431 +Vdel +p7090 +tp7091 +a(g408 +g1080 +tp7092 +a(g822 +g974 +tp7093 +a(g431 +Vpower_Suc +p7094 +tp7095 +a(g822 +g974 +tp7096 +a(g431 +Vadd +p7097 +tp7098 +a(g408 +g1080 +tp7099 +a(g822 +g974 +tp7100 +a(g431 +VSuc +p7101 +tp7102 +a(g822 +g974 +tp7103 +a(g431 +Vmult_less_0_iff +p7104 +tp7105 +a(g822 +g974 +tp7106 +a(g431 +Vmult_neg_neg +p7107 +tp7108 +a(g408 +g1071 +tp7109 +a(g822 +V\u000a +p7110 +tp7111 +a(g740 +Vqed +p7112 +tp7113 +a(g822 +V\u000a\u000a +p7114 +tp7115 +a(g766 +Vlemma +p7116 +tp7117 +a(g822 +g974 +tp7118 +a(g431 +Vodd_0_le_power_imp_0_le +p7119 +tp7120 +a(g408 +g1080 +tp7121 +a(g822 +V\u000a +p7122 +tp7123 +a(g84 +g1045 +tp7124 +a(g84 +V0 +p7125 +tp7126 +a(g112 +V\u005c +p7127 +tp7128 +a(g84 +V a ^ Suc (2*n) +p7129 +tp7130 +a(g112 +V\u005c +p7131 +tp7132 +a(g84 +V 0 +p7133 +tp7134 +a(g112 +V\u005c +p7135 +tp7136 +a(g84 +V a +p7137 +tp7138 +a(g84 +g1045 +tp7139 +a(g822 +V\u000a +p7140 +tp7141 +a(g740 +Vusing +p7142 +tp7143 +a(g822 +g974 +tp7144 +a(g431 +Vodd_power_less_zero +p7145 +tp7146 +a(g822 +g974 +tp7147 +a(g408 +g1131 +tp7148 +a(g431 +Vof +p7149 +tp7150 +a(g822 +g974 +tp7151 +a(g431 +g1562 +tp7152 +a(g822 +g974 +tp7153 +a(g431 +g1378 +tp7154 +a(g408 +g1135 +tp7155 +a(g822 +V\u000a +p7156 +tp7157 +a(g740 +Vby +p7158 +tp7159 +a(g822 +g974 +tp7160 +a(g408 +g1059 +tp7161 +a(g431 +Vforce +p7162 +tp7163 +a(g822 +g974 +tp7164 +a(g431 +Vsimp +p7165 +tp7166 +a(g822 +g974 +tp7167 +a(g431 +Vadd +p7168 +tp7169 +a(g408 +g1080 +tp7170 +a(g822 +g974 +tp7171 +a(g431 +Vlinorder_not_less +p7172 +tp7173 +a(g822 +g974 +tp7174 +a(g408 +g1131 +tp7175 +a(g431 +Vsymmetric +p7176 +tp7177 +a(g408 +g1135 +tp7178 +a(g408 +g1071 +tp7179 +a(g822 +V \u000a\u000a +p7180 +tp7181 +a(g766 +Vlemma +p7182 +tp7183 +a(g822 +g974 +tp7184 +a(g431 +Vzero_le_even_power' +p7185 +tp7186 +a(g408 +g1131 +tp7187 +a(g431 +Vsimp +p7188 +tp7189 +a(g408 +g1135 +tp7190 +a(g408 +g1080 +tp7191 +a(g822 +V\u000a +p7192 +tp7193 +a(g84 +g1045 +tp7194 +a(g84 +V0 +p7195 +tp7196 +a(g112 +V\u005c +p7197 +tp7198 +a(g84 +V a ^ (2*n) +p7199 +tp7200 +a(g84 +g1045 +tp7201 +a(g822 +V\u000a +p7202 +tp7203 +a(g740 +Vproof +p7204 +tp7205 +a(g822 +g974 +tp7206 +a(g408 +g1059 +tp7207 +a(g431 +Vinduct +p7208 +tp7209 +a(g822 +g974 +tp7210 +a(g431 +g1378 +tp7211 +a(g408 +g1071 +tp7212 +a(g822 +V\u000a +p7213 +tp7214 +a(g740 +Vcase +p7215 +tp7216 +a(g822 +g974 +tp7217 +a(g431 +g1812 +tp7218 +a(g822 +V\u000a +p7219 +tp7220 +a(g740 +Vshow +p7221 +tp7222 +a(g822 +g974 +tp7223 +a(g408 +g1821 +tp7224 +a(g740 +Vcase +p7225 +tp7226 +a(g822 +g974 +tp7227 +a(g740 +Vby +p7228 +tp7229 +a(g822 +g974 +tp7230 +a(g431 +Vsimp +p7231 +tp7232 +a(g822 +V\u000a +p7233 +tp7234 +a(g740 +Vnext +p7235 +tp7236 +a(g822 +V\u000a +p7237 +tp7238 +a(g740 +Vcase +p7239 +tp7240 +a(g822 +g974 +tp7241 +a(g408 +g1059 +tp7242 +a(g431 +VSuc +p7243 +tp7244 +a(g822 +g974 +tp7245 +a(g431 +g1378 +tp7246 +a(g408 +g1071 +tp7247 +a(g822 +V\u000a +p7248 +tp7249 +a(g740 +Vhave +p7250 +tp7251 +a(g822 +g974 +tp7252 +a(g84 +g1045 +tp7253 +a(g84 +Va ^ (2 * Suc n) = (a*a) * a ^ (2*n) +p7254 +tp7255 +a(g84 +g1045 +tp7256 +a(g822 +V \u000a +p7257 +tp7258 +a(g740 +Vby +p7259 +tp7260 +a(g822 +g974 +tp7261 +a(g408 +g1059 +tp7262 +a(g431 +Vsimp +p7263 +tp7264 +a(g822 +g974 +tp7265 +a(g431 +Vadd +p7266 +tp7267 +a(g408 +g1080 +tp7268 +a(g822 +g974 +tp7269 +a(g431 +Vmult_ac +p7270 +tp7271 +a(g822 +g974 +tp7272 +a(g431 +Vpower_add +p7273 +tp7274 +a(g822 +g974 +tp7275 +a(g431 +Vpower2_eq_square +p7276 +tp7277 +a(g408 +g1071 +tp7278 +a(g822 +V\u000a +p7279 +tp7280 +a(g740 +Vthus +p7281 +tp7282 +a(g822 +g974 +tp7283 +a(g408 +g1821 +tp7284 +a(g740 +Vcase +p7285 +tp7286 +a(g822 +V\u000a +p7287 +tp7288 +a(g740 +Vby +p7289 +tp7290 +a(g822 +g974 +tp7291 +a(g408 +g1059 +tp7292 +a(g431 +Vsimp +p7293 +tp7294 +a(g822 +g974 +tp7295 +a(g431 +Vadd +p7296 +tp7297 +a(g408 +g1080 +tp7298 +a(g822 +g974 +tp7299 +a(g431 +VSuc +p7300 +tp7301 +a(g822 +g974 +tp7302 +a(g431 +Vzero_le_mult_iff +p7303 +tp7304 +a(g408 +g1071 +tp7305 +a(g822 +V\u000a +p7306 +tp7307 +a(g740 +Vqed +p7308 +tp7309 +a(g822 +V\u000a\u000a +p7310 +tp7311 +a(g766 +Vlemma +p7312 +tp7313 +a(g822 +g974 +tp7314 +a(g431 +Vsum_power2_ge_zero +p7315 +tp7316 +a(g408 +g1080 +tp7317 +a(g822 +V\u000a +p7318 +tp7319 +a(g84 +g1045 +tp7320 +a(g84 +V0 +p7321 +tp7322 +a(g112 +V\u005c +p7323 +tp7324 +a(g84 +V x +p7325 +tp7326 +a(g84 +V\u005c +p7327 +tp7328 +a(g84 +V<^sup>2 + y +p7329 +tp7330 +a(g84 +V\u005c +p7331 +tp7332 +a(g84 +V<^sup>2 +p7333 +tp7334 +a(g84 +g1045 +tp7335 +a(g822 +V\u000a +p7336 +tp7337 +a(g740 +Vby +p7338 +tp7339 +a(g822 +g974 +tp7340 +a(g408 +g1059 +tp7341 +a(g431 +Vintro +p7342 +tp7343 +a(g822 +g974 +tp7344 +a(g431 +Vadd_nonneg_nonneg +p7345 +tp7346 +a(g822 +g974 +tp7347 +a(g431 +Vzero_le_power2 +p7348 +tp7349 +a(g408 +g1071 +tp7350 +a(g822 +V\u000a\u000a +p7351 +tp7352 +a(g766 +Vlemma +p7353 +tp7354 +a(g822 +g974 +tp7355 +a(g431 +Vnot_sum_power2_lt_zero +p7356 +tp7357 +a(g408 +g1080 +tp7358 +a(g822 +V\u000a +p7359 +tp7360 +a(g84 +g1045 +tp7361 +a(g112 +V\u005c +p7362 +tp7363 +a(g84 +V x +p7364 +tp7365 +a(g84 +V\u005c +p7366 +tp7367 +a(g84 +V<^sup>2 + y +p7368 +tp7369 +a(g84 +V\u005c +p7370 +tp7371 +a(g84 +V<^sup>2 < 0 +p7372 +tp7373 +a(g84 +g1045 +tp7374 +a(g822 +V\u000a +p7375 +tp7376 +a(g740 +Vunfolding +p7377 +tp7378 +a(g822 +g974 +tp7379 +a(g431 +Vnot_less +p7380 +tp7381 +a(g822 +g974 +tp7382 +a(g740 +Vby +p7383 +tp7384 +a(g822 +g974 +tp7385 +a(g408 +g1059 +tp7386 +a(g431 +Vrule +p7387 +tp7388 +a(g822 +g974 +tp7389 +a(g431 +Vsum_power2_ge_zero +p7390 +tp7391 +a(g408 +g1071 +tp7392 +a(g822 +V\u000a\u000a +p7393 +tp7394 +a(g766 +Vlemma +p7395 +tp7396 +a(g822 +g974 +tp7397 +a(g431 +Vsum_power2_eq_zero_iff +p7398 +tp7399 +a(g408 +g1080 +tp7400 +a(g822 +V\u000a +p7401 +tp7402 +a(g84 +g1045 +tp7403 +a(g84 +g1242 +tp7404 +a(g84 +V\u005c +p7405 +tp7406 +a(g84 +V<^sup>2 + y +p7407 +tp7408 +a(g84 +V\u005c +p7409 +tp7410 +a(g84 +V<^sup>2 = 0 +p7411 +tp7412 +a(g112 +V\u005c +p7413 +tp7414 +a(g84 +V x = 0 +p7415 +tp7416 +a(g112 +V\u005c +p7417 +tp7418 +a(g84 +V y = 0 +p7419 +tp7420 +a(g84 +g1045 +tp7421 +a(g822 +V\u000a +p7422 +tp7423 +a(g740 +Vunfolding +p7424 +tp7425 +a(g822 +g974 +tp7426 +a(g431 +Vpower2_eq_square +p7427 +tp7428 +a(g822 +g974 +tp7429 +a(g740 +Vby +p7430 +tp7431 +a(g822 +g974 +tp7432 +a(g408 +g1059 +tp7433 +a(g431 +Vsimp +p7434 +tp7435 +a(g822 +g974 +tp7436 +a(g431 +Vadd +p7437 +tp7438 +a(g408 +g1080 +tp7439 +a(g822 +g974 +tp7440 +a(g431 +Vadd_nonneg_eq_0_iff +p7441 +tp7442 +a(g408 +g1071 +tp7443 +a(g822 +V\u000a\u000a +p7444 +tp7445 +a(g766 +Vlemma +p7446 +tp7447 +a(g822 +g974 +tp7448 +a(g431 +Vsum_power2_le_zero_iff +p7449 +tp7450 +a(g408 +g1080 +tp7451 +a(g822 +V\u000a +p7452 +tp7453 +a(g84 +g1045 +tp7454 +a(g84 +g1242 +tp7455 +a(g84 +V\u005c +p7456 +tp7457 +a(g84 +V<^sup>2 + y +p7458 +tp7459 +a(g84 +V\u005c +p7460 +tp7461 +a(g84 +V<^sup>2 +p7462 +tp7463 +a(g112 +V\u005c +p7464 +tp7465 +a(g84 +V 0 +p7466 +tp7467 +a(g112 +V\u005c +p7468 +tp7469 +a(g84 +V x = 0 +p7470 +tp7471 +a(g112 +V\u005c +p7472 +tp7473 +a(g84 +V y = 0 +p7474 +tp7475 +a(g84 +g1045 +tp7476 +a(g822 +V\u000a +p7477 +tp7478 +a(g740 +Vby +p7479 +tp7480 +a(g822 +g974 +tp7481 +a(g408 +g1059 +tp7482 +a(g431 +Vsimp +p7483 +tp7484 +a(g822 +g974 +tp7485 +a(g431 +Vadd +p7486 +tp7487 +a(g408 +g1080 +tp7488 +a(g822 +g974 +tp7489 +a(g431 +Vle_less +p7490 +tp7491 +a(g822 +g974 +tp7492 +a(g431 +Vsum_power2_eq_zero_iff +p7493 +tp7494 +a(g822 +g974 +tp7495 +a(g431 +Vnot_sum_power2_lt_zero +p7496 +tp7497 +a(g408 +g1071 +tp7498 +a(g822 +V\u000a\u000a +p7499 +tp7500 +a(g766 +Vlemma +p7501 +tp7502 +a(g822 +g974 +tp7503 +a(g431 +Vsum_power2_gt_zero_iff +p7504 +tp7505 +a(g408 +g1080 +tp7506 +a(g822 +V\u000a +p7507 +tp7508 +a(g84 +g1045 +tp7509 +a(g84 +V0 < x +p7510 +tp7511 +a(g84 +V\u005c +p7512 +tp7513 +a(g84 +V<^sup>2 + y +p7514 +tp7515 +a(g84 +V\u005c +p7516 +tp7517 +a(g84 +V<^sup>2 +p7518 +tp7519 +a(g112 +V\u005c +p7520 +tp7521 +a(g84 +V x +p7522 +tp7523 +a(g112 +V\u005c +p7524 +tp7525 +a(g84 +V 0 +p7526 +tp7527 +a(g112 +V\u005c +p7528 +tp7529 +a(g84 +V y +p7530 +tp7531 +a(g112 +V\u005c +p7532 +tp7533 +a(g84 +V 0 +p7534 +tp7535 +a(g84 +g1045 +tp7536 +a(g822 +V\u000a +p7537 +tp7538 +a(g740 +Vunfolding +p7539 +tp7540 +a(g822 +g974 +tp7541 +a(g431 +Vnot_le +p7542 +tp7543 +a(g822 +g974 +tp7544 +a(g408 +g1131 +tp7545 +a(g431 +Vsymmetric +p7546 +tp7547 +a(g408 +g1135 +tp7548 +a(g822 +g974 +tp7549 +a(g740 +Vby +p7550 +tp7551 +a(g822 +g974 +tp7552 +a(g408 +g1059 +tp7553 +a(g431 +Vsimp +p7554 +tp7555 +a(g822 +g974 +tp7556 +a(g431 +Vadd +p7557 +tp7558 +a(g408 +g1080 +tp7559 +a(g822 +g974 +tp7560 +a(g431 +Vsum_power2_le_zero_iff +p7561 +tp7562 +a(g408 +g1071 +tp7563 +a(g822 +V\u000a\u000a +p7564 +tp7565 +a(g740 +Vend +p7566 +tp7567 +a(g822 +V\u000a\u000a\u000a +p7568 +tp7569 +a(g333 +Vsubsection +p7570 +tp7571 +a(g822 +g974 +tp7572 +a(g7 +V{* +p7573 +tp7574 +a(g7 +V Miscellaneous rules +p7575 +tp7576 +a(g7 +V*} +p7577 +tp7578 +a(g822 +V\u000a\u000a +p7579 +tp7580 +a(g766 +Vlemma +p7581 +tp7582 +a(g822 +g974 +tp7583 +a(g431 +Vpower_eq_if +p7584 +tp7585 +a(g408 +g1080 +tp7586 +a(g822 +g974 +tp7587 +a(g84 +g1045 +tp7588 +a(g84 +Vp ^ m = (if m=0 then 1 else p * (p ^ (m - 1))) +p7589 +tp7590 +a(g84 +g1045 +tp7591 +a(g822 +V\u000a +p7592 +tp7593 +a(g740 +Vunfolding +p7594 +tp7595 +a(g822 +g974 +tp7596 +a(g431 +VOne_nat_def +p7597 +tp7598 +a(g822 +g974 +tp7599 +a(g740 +Vby +p7600 +tp7601 +a(g822 +g974 +tp7602 +a(g408 +g1059 +tp7603 +a(g431 +Vcases +p7604 +tp7605 +a(g822 +g974 +tp7606 +a(g431 +g1500 +tp7607 +a(g408 +g1071 +tp7608 +a(g822 +g974 +tp7609 +a(g431 +Vsimp_all +p7610 +tp7611 +a(g822 +V\u000a\u000a +p7612 +tp7613 +a(g766 +Vlemma +p7614 +tp7615 +a(g822 +g974 +tp7616 +a(g431 +Vpower2_sum +p7617 +tp7618 +a(g408 +g1080 +tp7619 +a(g822 +V\u000a +p7620 +tp7621 +a(g781 +Vfixes +p7622 +tp7623 +a(g822 +g974 +tp7624 +a(g431 +g1242 +tp7625 +a(g822 +g974 +tp7626 +a(g431 +Vy +p7627 +tp7628 +a(g822 +g974 +tp7629 +a(g408 +V:: +p7630 +tp7631 +a(g822 +g974 +tp7632 +a(g84 +g1045 +tp7633 +a(g84 +V'a::comm_semiring_1 +p7634 +tp7635 +a(g84 +g1045 +tp7636 +a(g822 +V\u000a +p7637 +tp7638 +a(g781 +Vshows +p7639 +tp7640 +a(g822 +g974 +tp7641 +a(g84 +g1045 +tp7642 +a(g84 +V(x + y) +p7643 +tp7644 +a(g84 +V\u005c +p7645 +tp7646 +a(g84 +V<^sup>2 = x +p7647 +tp7648 +a(g84 +V\u005c +p7649 +tp7650 +a(g84 +V<^sup>2 + y +p7651 +tp7652 +a(g84 +V\u005c +p7653 +tp7654 +a(g84 +V<^sup>2 + 2 * x * y +p7655 +tp7656 +a(g84 +g1045 +tp7657 +a(g822 +V\u000a +p7658 +tp7659 +a(g740 +Vby +p7660 +tp7661 +a(g822 +g974 +tp7662 +a(g408 +g1059 +tp7663 +a(g431 +Vsimp +p7664 +tp7665 +a(g822 +g974 +tp7666 +a(g431 +Vadd +p7667 +tp7668 +a(g408 +g1080 +tp7669 +a(g822 +g974 +tp7670 +a(g431 +Valgebra_simps +p7671 +tp7672 +a(g822 +g974 +tp7673 +a(g431 +Vpower2_eq_square +p7674 +tp7675 +a(g822 +g974 +tp7676 +a(g431 +Vmult_2_right +p7677 +tp7678 +a(g408 +g1071 +tp7679 +a(g822 +V\u000a\u000a +p7680 +tp7681 +a(g766 +Vlemma +p7682 +tp7683 +a(g822 +g974 +tp7684 +a(g431 +Vpower2_diff +p7685 +tp7686 +a(g408 +g1080 +tp7687 +a(g822 +V\u000a +p7688 +tp7689 +a(g781 +Vfixes +p7690 +tp7691 +a(g822 +g974 +tp7692 +a(g431 +g1242 +tp7693 +a(g822 +g974 +tp7694 +a(g431 +g7627 +tp7695 +a(g822 +g974 +tp7696 +a(g408 +V:: +p7697 +tp7698 +a(g822 +g974 +tp7699 +a(g84 +g1045 +tp7700 +a(g84 +V'a::comm_ring_1 +p7701 +tp7702 +a(g84 +g1045 +tp7703 +a(g822 +V\u000a +p7704 +tp7705 +a(g781 +Vshows +p7706 +tp7707 +a(g822 +g974 +tp7708 +a(g84 +g1045 +tp7709 +a(g84 +V(x - y) +p7710 +tp7711 +a(g84 +V\u005c +p7712 +tp7713 +a(g84 +V<^sup>2 = x +p7714 +tp7715 +a(g84 +V\u005c +p7716 +tp7717 +a(g84 +V<^sup>2 + y +p7718 +tp7719 +a(g84 +V\u005c +p7720 +tp7721 +a(g84 +V<^sup>2 - 2 * x * y +p7722 +tp7723 +a(g84 +g1045 +tp7724 +a(g822 +V\u000a +p7725 +tp7726 +a(g740 +Vby +p7727 +tp7728 +a(g822 +g974 +tp7729 +a(g408 +g1059 +tp7730 +a(g431 +Vsimp +p7731 +tp7732 +a(g822 +g974 +tp7733 +a(g431 +Vadd +p7734 +tp7735 +a(g408 +g1080 +tp7736 +a(g822 +g974 +tp7737 +a(g431 +Vring_distribs +p7738 +tp7739 +a(g822 +g974 +tp7740 +a(g431 +Vpower2_eq_square +p7741 +tp7742 +a(g822 +g974 +tp7743 +a(g431 +Vmult_2 +p7744 +tp7745 +a(g408 +g1071 +tp7746 +a(g822 +g974 +tp7747 +a(g408 +g1059 +tp7748 +a(g431 +Vrule +p7749 +tp7750 +a(g822 +g974 +tp7751 +a(g431 +Vmult_commute +p7752 +tp7753 +a(g408 +g1071 +tp7754 +a(g822 +V\u000a\u000a +p7755 +tp7756 +a(g766 +Vlemma +p7757 +tp7758 +a(g822 +g974 +tp7759 +a(g431 +Vpower_0_Suc +p7760 +tp7761 +a(g822 +g974 +tp7762 +a(g408 +g1131 +tp7763 +a(g431 +Vsimp +p7764 +tp7765 +a(g408 +g1135 +tp7766 +a(g408 +g1080 +tp7767 +a(g822 +V\u000a +p7768 +tp7769 +a(g84 +g1045 +tp7770 +a(g84 +V(0::'a::{power, semiring_0}) ^ Suc n = 0 +p7771 +tp7772 +a(g84 +g1045 +tp7773 +a(g822 +V\u000a +p7774 +tp7775 +a(g740 +Vby +p7776 +tp7777 +a(g822 +g974 +tp7778 +a(g431 +Vsimp +p7779 +tp7780 +a(g822 +V\u000a\u000a +p7781 +tp7782 +a(g740 +Vtext +p7783 +tp7784 +a(g7 +V{* +p7785 +tp7786 +a(g7 +VIt looks plausible as a simprule, but its effect can be strange. +p7787 +tp7788 +a(g7 +V*} +p7789 +tp7790 +a(g822 +V\u000a +p7791 +tp7792 +a(g766 +Vlemma +p7793 +tp7794 +a(g822 +g974 +tp7795 +a(g431 +Vpower_0_left +p7796 +tp7797 +a(g408 +g1080 +tp7798 +a(g822 +V\u000a +p7799 +tp7800 +a(g84 +g1045 +tp7801 +a(g84 +V0 ^ n = (if n = 0 then 1 else (0::'a::{power, semiring_0})) +p7802 +tp7803 +a(g84 +g1045 +tp7804 +a(g822 +V\u000a +p7805 +tp7806 +a(g740 +Vby +p7807 +tp7808 +a(g822 +g974 +tp7809 +a(g408 +g1059 +tp7810 +a(g431 +Vinduct +p7811 +tp7812 +a(g822 +g974 +tp7813 +a(g431 +g1378 +tp7814 +a(g408 +g1071 +tp7815 +a(g822 +g974 +tp7816 +a(g431 +Vsimp_all +p7817 +tp7818 +a(g822 +V\u000a\u000a +p7819 +tp7820 +a(g766 +Vlemma +p7821 +tp7822 +a(g822 +g974 +tp7823 +a(g431 +Vpower_eq_0_iff +p7824 +tp7825 +a(g822 +g974 +tp7826 +a(g408 +g1131 +tp7827 +a(g431 +Vsimp +p7828 +tp7829 +a(g408 +g1135 +tp7830 +a(g408 +g1080 +tp7831 +a(g822 +V\u000a +p7832 +tp7833 +a(g84 +g1045 +tp7834 +a(g84 +Va ^ n = 0 +p7835 +tp7836 +a(g112 +V\u005c +p7837 +tp7838 +a(g84 +V\u000a a = (0::'a::{mult_zero,zero_neq_one,no_zero_divisors,power}) +p7839 +tp7840 +a(g112 +V\u005c +p7841 +tp7842 +a(g84 +V n +p7843 +tp7844 +a(g112 +V\u005c +p7845 +tp7846 +a(g84 +V 0 +p7847 +tp7848 +a(g84 +g1045 +tp7849 +a(g822 +V\u000a +p7850 +tp7851 +a(g740 +Vby +p7852 +tp7853 +a(g822 +g974 +tp7854 +a(g408 +g1059 +tp7855 +a(g431 +Vinduct +p7856 +tp7857 +a(g822 +g974 +tp7858 +a(g431 +g1378 +tp7859 +a(g408 +g1071 +tp7860 +a(g822 +V\u000a +p7861 +tp7862 +a(g408 +g1059 +tp7863 +a(g431 +Vauto +p7864 +tp7865 +a(g822 +g974 +tp7866 +a(g431 +Vsimp +p7867 +tp7868 +a(g822 +g974 +tp7869 +a(g431 +Vadd +p7870 +tp7871 +a(g408 +g1080 +tp7872 +a(g822 +g974 +tp7873 +a(g431 +Vno_zero_divisors +p7874 +tp7875 +a(g822 +g974 +tp7876 +a(g431 +Velim +p7877 +tp7878 +a(g408 +g1080 +tp7879 +a(g822 +g974 +tp7880 +a(g431 +Vcontrapos_pp +p7881 +tp7882 +a(g408 +g1071 +tp7883 +a(g822 +V\u000a\u000a +p7884 +tp7885 +a(g766 +Vlemma +p7886 +tp7887 +a(g822 +g974 +tp7888 +a(g408 +g1059 +tp7889 +a(g781 +Vin +p7890 +tp7891 +a(g822 +g974 +tp7892 +a(g431 +Vfield +p7893 +tp7894 +a(g408 +g1071 +tp7895 +a(g822 +g974 +tp7896 +a(g431 +Vpower_diff +p7897 +tp7898 +a(g408 +g1080 +tp7899 +a(g822 +V\u000a +p7900 +tp7901 +a(g781 +Vassumes +p7902 +tp7903 +a(g822 +g974 +tp7904 +a(g431 +Vnz +p7905 +tp7906 +a(g408 +g1080 +tp7907 +a(g822 +g974 +tp7908 +a(g84 +g1045 +tp7909 +a(g84 +Va +p7910 +tp7911 +a(g112 +V\u005c +p7912 +tp7913 +a(g84 +V 0 +p7914 +tp7915 +a(g84 +g1045 +tp7916 +a(g822 +V\u000a +p7917 +tp7918 +a(g781 +Vshows +p7919 +tp7920 +a(g822 +g974 +tp7921 +a(g84 +g1045 +tp7922 +a(g84 +Vn +p7923 +tp7924 +a(g112 +V\u005c +p7925 +tp7926 +a(g84 +V m +p7927 +tp7928 +a(g112 +V\u005c +p7929 +tp7930 +a(g84 +V a ^ (m - n) = a ^ m / a ^ n +p7931 +tp7932 +a(g84 +g1045 +tp7933 +a(g822 +V\u000a +p7934 +tp7935 +a(g740 +Vby +p7936 +tp7937 +a(g822 +g974 +tp7938 +a(g408 +g1059 +tp7939 +a(g431 +Vinduct +p7940 +tp7941 +a(g822 +g974 +tp7942 +a(g431 +g1500 +tp7943 +a(g822 +g974 +tp7944 +a(g431 +g1378 +tp7945 +a(g822 +g974 +tp7946 +a(g431 +Vrule +p7947 +tp7948 +a(g408 +g1080 +tp7949 +a(g822 +g974 +tp7950 +a(g431 +Vdiff_induct +p7951 +tp7952 +a(g408 +g1071 +tp7953 +a(g822 +g974 +tp7954 +a(g408 +g1059 +tp7955 +a(g431 +Vsimp_all +p7956 +tp7957 +a(g822 +g974 +tp7958 +a(g431 +Vadd +p7959 +tp7960 +a(g408 +g1080 +tp7961 +a(g822 +g974 +tp7962 +a(g431 +Vnz +p7963 +tp7964 +a(g822 +g974 +tp7965 +a(g431 +Vfield_power_not_zero +p7966 +tp7967 +a(g408 +g1071 +tp7968 +a(g822 +V\u000a\u000a +p7969 +tp7970 +a(g740 +Vtext +p7971 +tp7972 +a(g7 +V{* +p7973 +tp7974 +a(g7 +VPerhaps these should be simprules. +p7975 +tp7976 +a(g7 +V*} +p7977 +tp7978 +a(g822 +V\u000a +p7979 +tp7980 +a(g766 +Vlemma +p7981 +tp7982 +a(g822 +g974 +tp7983 +a(g431 +Vpower_inverse +p7984 +tp7985 +a(g408 +g1080 +tp7986 +a(g822 +V\u000a +p7987 +tp7988 +a(g781 +Vfixes +p7989 +tp7990 +a(g822 +g974 +tp7991 +a(g431 +g1562 +tp7992 +a(g822 +g974 +tp7993 +a(g408 +V:: +p7994 +tp7995 +a(g822 +g974 +tp7996 +a(g84 +g1045 +tp7997 +a(g84 +V'a::division_ring_inverse_zero +p7998 +tp7999 +a(g84 +g1045 +tp8000 +a(g822 +V\u000a +p8001 +tp8002 +a(g781 +Vshows +p8003 +tp8004 +a(g822 +g974 +tp8005 +a(g84 +g1045 +tp8006 +a(g84 +Vinverse (a ^ n) = inverse a ^ n +p8007 +tp8008 +a(g84 +g1045 +tp8009 +a(g822 +V\u000a +p8010 +tp8011 +a(g781 +Vapply +p8012 +tp8013 +a(g822 +g974 +tp8014 +a(g408 +g1059 +tp8015 +a(g431 +Vcases +p8016 +tp8017 +a(g822 +g974 +tp8018 +a(g84 +g1045 +tp8019 +a(g84 +Va = 0 +p8020 +tp8021 +a(g84 +g1045 +tp8022 +a(g408 +g1071 +tp8023 +a(g822 +V\u000a +p8024 +tp8025 +a(g781 +Vapply +p8026 +tp8027 +a(g822 +g974 +tp8028 +a(g408 +g1059 +tp8029 +a(g431 +Vsimp +p8030 +tp8031 +a(g822 +g974 +tp8032 +a(g431 +Vadd +p8033 +tp8034 +a(g408 +g1080 +tp8035 +a(g822 +g974 +tp8036 +a(g431 +Vpower_0_left +p8037 +tp8038 +a(g408 +g1071 +tp8039 +a(g822 +V\u000a +p8040 +tp8041 +a(g781 +Vapply +p8042 +tp8043 +a(g822 +g974 +tp8044 +a(g408 +g1059 +tp8045 +a(g431 +Vsimp +p8046 +tp8047 +a(g822 +g974 +tp8048 +a(g431 +Vadd +p8049 +tp8050 +a(g408 +g1080 +tp8051 +a(g822 +g974 +tp8052 +a(g431 +Vnonzero_power_inverse +p8053 +tp8054 +a(g408 +g1071 +tp8055 +a(g822 +V\u000a +p8056 +tp8057 +a(g740 +Vdone +p8058 +tp8059 +a(g822 +g974 +tp8060 +a(g7 +V(* +p8061 +tp8062 +a(g7 +V TODO: reorient or rename to inverse_power +p8063 +tp8064 +a(g7 +V*) +p8065 +tp8066 +a(g822 +V\u000a\u000a +p8067 +tp8068 +a(g766 +Vlemma +p8069 +tp8070 +a(g822 +g974 +tp8071 +a(g431 +Vpower_one_over +p8072 +tp8073 +a(g408 +g1080 +tp8074 +a(g822 +V\u000a +p8075 +tp8076 +a(g84 +g1045 +tp8077 +a(g84 +V1 / (a::'a::{field_inverse_zero, power}) ^ n = (1 / a) ^ n +p8078 +tp8079 +a(g84 +g1045 +tp8080 +a(g822 +V\u000a +p8081 +tp8082 +a(g740 +Vby +p8083 +tp8084 +a(g822 +g974 +tp8085 +a(g408 +g1059 +tp8086 +a(g431 +Vsimp +p8087 +tp8088 +a(g822 +g974 +tp8089 +a(g431 +Vadd +p8090 +tp8091 +a(g408 +g1080 +tp8092 +a(g822 +g974 +tp8093 +a(g431 +Vdivide_inverse +p8094 +tp8095 +a(g408 +g1071 +tp8096 +a(g822 +g974 +tp8097 +a(g408 +g1059 +tp8098 +a(g431 +Vrule +p8099 +tp8100 +a(g822 +g974 +tp8101 +a(g431 +Vpower_inverse +p8102 +tp8103 +a(g408 +g1071 +tp8104 +a(g822 +V\u000a\u000a +p8105 +tp8106 +a(g766 +Vlemma +p8107 +tp8108 +a(g822 +g974 +tp8109 +a(g431 +Vpower_divide +p8110 +tp8111 +a(g408 +g1080 +tp8112 +a(g822 +V\u000a +p8113 +tp8114 +a(g84 +g1045 +tp8115 +a(g84 +V(a / b) ^ n = (a::'a::field_inverse_zero) ^ n / b ^ n +p8116 +tp8117 +a(g84 +g1045 +tp8118 +a(g822 +V\u000a +p8119 +tp8120 +a(g781 +Vapply +p8121 +tp8122 +a(g822 +g974 +tp8123 +a(g408 +g1059 +tp8124 +a(g431 +Vcases +p8125 +tp8126 +a(g822 +g974 +tp8127 +a(g84 +g1045 +tp8128 +a(g84 +Vb = 0 +p8129 +tp8130 +a(g84 +g1045 +tp8131 +a(g408 +g1071 +tp8132 +a(g822 +V\u000a +p8133 +tp8134 +a(g781 +Vapply +p8135 +tp8136 +a(g822 +g974 +tp8137 +a(g408 +g1059 +tp8138 +a(g431 +Vsimp +p8139 +tp8140 +a(g822 +g974 +tp8141 +a(g431 +Vadd +p8142 +tp8143 +a(g408 +g1080 +tp8144 +a(g822 +g974 +tp8145 +a(g431 +Vpower_0_left +p8146 +tp8147 +a(g408 +g1071 +tp8148 +a(g822 +V\u000a +p8149 +tp8150 +a(g781 +Vapply +p8151 +tp8152 +a(g822 +g974 +tp8153 +a(g408 +g1059 +tp8154 +a(g431 +Vrule +p8155 +tp8156 +a(g822 +g974 +tp8157 +a(g431 +Vnonzero_power_divide +p8158 +tp8159 +a(g408 +g1071 +tp8160 +a(g822 +V\u000a +p8161 +tp8162 +a(g781 +Vapply +p8163 +tp8164 +a(g822 +g974 +tp8165 +a(g431 +Vassumption +p8166 +tp8167 +a(g822 +V\u000a +p8168 +tp8169 +a(g740 +Vdone +p8170 +tp8171 +a(g822 +V\u000a\u000a +p8172 +tp8173 +a(g740 +Vtext +p8174 +tp8175 +a(g822 +g974 +tp8176 +a(g7 +V{* +p8177 +tp8178 +a(g7 +V Simprules for comparisons where common factors can be cancelled. +p8179 +tp8180 +a(g7 +V*} +p8181 +tp8182 +a(g822 +V\u000a\u000a +p8183 +tp8184 +a(g740 +Vlemmas +p8185 +tp8186 +a(g822 +g974 +tp8187 +a(g431 +Vzero_compare_simps +p8188 +tp8189 +a(g822 +g974 +tp8190 +a(g408 +g1019 +tp8191 +a(g822 +V\u000a +p8192 +tp8193 +a(g431 +Vadd_strict_increasing +p8194 +tp8195 +a(g822 +g974 +tp8196 +a(g431 +Vadd_strict_increasing2 +p8197 +tp8198 +a(g822 +g974 +tp8199 +a(g431 +Vadd_increasing +p8200 +tp8201 +a(g822 +V\u000a +p8202 +tp8203 +a(g431 +Vzero_le_mult_iff +p8204 +tp8205 +a(g822 +g974 +tp8206 +a(g431 +Vzero_le_divide_iff +p8207 +tp8208 +a(g822 +V \u000a +p8209 +tp8210 +a(g431 +Vzero_less_mult_iff +p8211 +tp8212 +a(g822 +g974 +tp8213 +a(g431 +Vzero_less_divide_iff +p8214 +tp8215 +a(g822 +V \u000a +p8216 +tp8217 +a(g431 +Vmult_le_0_iff +p8218 +tp8219 +a(g822 +g974 +tp8220 +a(g431 +Vdivide_le_0_iff +p8221 +tp8222 +a(g822 +V \u000a +p8223 +tp8224 +a(g431 +Vmult_less_0_iff +p8225 +tp8226 +a(g822 +g974 +tp8227 +a(g431 +Vdivide_less_0_iff +p8228 +tp8229 +a(g822 +V \u000a +p8230 +tp8231 +a(g431 +Vzero_le_power2 +p8232 +tp8233 +a(g822 +g974 +tp8234 +a(g431 +Vpower2_less_0 +p8235 +tp8236 +a(g822 +V\u000a\u000a\u000a +p8237 +tp8238 +a(g333 +Vsubsection +p8239 +tp8240 +a(g822 +g974 +tp8241 +a(g7 +V{* +p8242 +tp8243 +a(g7 +V Exponentiation for the Natural Numbers +p8244 +tp8245 +a(g7 +V*} +p8246 +tp8247 +a(g822 +V\u000a\u000a +p8248 +tp8249 +a(g766 +Vlemma +p8250 +tp8251 +a(g822 +g974 +tp8252 +a(g431 +Vnat_one_le_power +p8253 +tp8254 +a(g822 +g974 +tp8255 +a(g408 +g1131 +tp8256 +a(g431 +Vsimp +p8257 +tp8258 +a(g408 +g1135 +tp8259 +a(g408 +g1080 +tp8260 +a(g822 +V\u000a +p8261 +tp8262 +a(g84 +g1045 +tp8263 +a(g84 +VSuc 0 +p8264 +tp8265 +a(g112 +V\u005c +p8266 +tp8267 +a(g84 +V i +p8268 +tp8269 +a(g112 +V\u005c +p8270 +tp8271 +a(g84 +V Suc 0 +p8272 +tp8273 +a(g112 +V\u005c +p8274 +tp8275 +a(g84 +V i ^ n +p8276 +tp8277 +a(g84 +g1045 +tp8278 +a(g822 +V\u000a +p8279 +tp8280 +a(g740 +Vby +p8281 +tp8282 +a(g822 +g974 +tp8283 +a(g408 +g1059 +tp8284 +a(g431 +Vrule +p8285 +tp8286 +a(g822 +g974 +tp8287 +a(g431 +Vone_le_power +p8288 +tp8289 +a(g822 +g974 +tp8290 +a(g408 +g1131 +tp8291 +a(g431 +Vof +p8292 +tp8293 +a(g822 +g974 +tp8294 +a(g431 +Vi +p8295 +tp8296 +a(g822 +g974 +tp8297 +a(g431 +g1378 +tp8298 +a(g408 +g2103 +tp8299 +a(g822 +g974 +tp8300 +a(g431 +Vunfolded +p8301 +tp8302 +a(g822 +g974 +tp8303 +a(g431 +VOne_nat_def +p8304 +tp8305 +a(g408 +g1135 +tp8306 +a(g408 +g1071 +tp8307 +a(g822 +V\u000a\u000a +p8308 +tp8309 +a(g766 +Vlemma +p8310 +tp8311 +a(g822 +g974 +tp8312 +a(g431 +Vnat_zero_less_power_iff +p8313 +tp8314 +a(g822 +g974 +tp8315 +a(g408 +g1131 +tp8316 +a(g431 +Vsimp +p8317 +tp8318 +a(g408 +g1135 +tp8319 +a(g408 +g1080 +tp8320 +a(g822 +V\u000a +p8321 +tp8322 +a(g84 +g1045 +tp8323 +a(g84 +Vx ^ n > 0 +p8324 +tp8325 +a(g112 +V\u005c +p8326 +tp8327 +a(g84 +V x > (0::nat) +p8328 +tp8329 +a(g112 +V\u005c +p8330 +tp8331 +a(g84 +V n = 0 +p8332 +tp8333 +a(g84 +g1045 +tp8334 +a(g822 +V\u000a +p8335 +tp8336 +a(g740 +Vby +p8337 +tp8338 +a(g822 +g974 +tp8339 +a(g408 +g1059 +tp8340 +a(g431 +Vinduct +p8341 +tp8342 +a(g822 +g974 +tp8343 +a(g431 +g1378 +tp8344 +a(g408 +g1071 +tp8345 +a(g822 +g974 +tp8346 +a(g431 +Vauto +p8347 +tp8348 +a(g822 +V\u000a\u000a +p8349 +tp8350 +a(g766 +Vlemma +p8351 +tp8352 +a(g822 +g974 +tp8353 +a(g431 +Vnat_power_eq_Suc_0_iff +p8354 +tp8355 +a(g822 +g974 +tp8356 +a(g408 +g1131 +tp8357 +a(g431 +Vsimp +p8358 +tp8359 +a(g408 +g1135 +tp8360 +a(g408 +g1080 +tp8361 +a(g822 +V \u000a +p8362 +tp8363 +a(g84 +g1045 +tp8364 +a(g84 +Vx ^ m = Suc 0 +p8365 +tp8366 +a(g112 +V\u005c +p8367 +tp8368 +a(g84 +V m = 0 +p8369 +tp8370 +a(g112 +V\u005c +p8371 +tp8372 +a(g84 +V x = Suc 0 +p8373 +tp8374 +a(g84 +g1045 +tp8375 +a(g822 +V\u000a +p8376 +tp8377 +a(g740 +Vby +p8378 +tp8379 +a(g822 +g974 +tp8380 +a(g408 +g1059 +tp8381 +a(g431 +Vinduct +p8382 +tp8383 +a(g822 +g974 +tp8384 +a(g431 +g1500 +tp8385 +a(g408 +g1071 +tp8386 +a(g822 +g974 +tp8387 +a(g431 +Vauto +p8388 +tp8389 +a(g822 +V\u000a\u000a +p8390 +tp8391 +a(g766 +Vlemma +p8392 +tp8393 +a(g822 +g974 +tp8394 +a(g431 +Vpower_Suc_0 +p8395 +tp8396 +a(g822 +g974 +tp8397 +a(g408 +g1131 +tp8398 +a(g431 +Vsimp +p8399 +tp8400 +a(g408 +g1135 +tp8401 +a(g408 +g1080 +tp8402 +a(g822 +V\u000a +p8403 +tp8404 +a(g84 +g1045 +tp8405 +a(g84 +VSuc 0 ^ n = Suc 0 +p8406 +tp8407 +a(g84 +g1045 +tp8408 +a(g822 +V\u000a +p8409 +tp8410 +a(g740 +Vby +p8411 +tp8412 +a(g822 +g974 +tp8413 +a(g431 +Vsimp +p8414 +tp8415 +a(g822 +V\u000a\u000a +p8416 +tp8417 +a(g740 +Vtext +p8418 +tp8419 +a(g7 +V{* +p8420 +tp8421 +a(g7 +VValid for the naturals, but what if @{text"0 +p8457 +tp8458 +a(g84 +Vnat) +p8459 +tp8460 +a(g84 +g1045 +tp8461 +a(g822 +V\u000a +p8462 +tp8463 +a(g781 +Vassumes +p8464 +tp8465 +a(g822 +g974 +tp8466 +a(g431 +Vless +p8467 +tp8468 +a(g408 +g1080 +tp8469 +a(g822 +g974 +tp8470 +a(g84 +g1045 +tp8471 +a(g84 +Vi ^ m < i ^ n +p8472 +tp8473 +a(g84 +g1045 +tp8474 +a(g822 +V\u000a +p8475 +tp8476 +a(g781 +Vshows +p8477 +tp8478 +a(g822 +g974 +tp8479 +a(g84 +g1045 +tp8480 +a(g84 +Vm < n +p8481 +tp8482 +a(g84 +g1045 +tp8483 +a(g822 +V\u000a +p8484 +tp8485 +a(g740 +Vproof +p8486 +tp8487 +a(g822 +g974 +tp8488 +a(g408 +g1059 +tp8489 +a(g431 +Vcases +p8490 +tp8491 +a(g822 +g974 +tp8492 +a(g84 +g1045 +tp8493 +a(g84 +Vi = 1 +p8494 +tp8495 +a(g84 +g1045 +tp8496 +a(g408 +g1071 +tp8497 +a(g822 +V\u000a +p8498 +tp8499 +a(g740 +Vcase +p8500 +tp8501 +a(g822 +g974 +tp8502 +a(g431 +VTrue +p8503 +tp8504 +a(g822 +g974 +tp8505 +a(g740 +Vwith +p8506 +tp8507 +a(g822 +g974 +tp8508 +a(g431 +Vless +p8509 +tp8510 +a(g822 +g974 +tp8511 +a(g431 +Vpower_one +p8512 +tp8513 +a(g822 +g974 +tp8514 +a(g408 +g1131 +tp8515 +a(g781 +Vwhere +p8516 +tp8517 +a(g822 +g974 +tp8518 +a(g537 +V'a +p8519 +tp8520 +a(g822 +g974 +tp8521 +a(g408 +g1019 +tp8522 +a(g822 +g974 +tp8523 +a(g431 +Vnat +p8524 +tp8525 +a(g408 +g1135 +tp8526 +a(g822 +g974 +tp8527 +a(g740 +Vshow +p8528 +tp8529 +a(g822 +g974 +tp8530 +a(g408 +g1821 +tp8531 +a(g431 +Vthesis +p8532 +tp8533 +a(g822 +g974 +tp8534 +a(g740 +Vby +p8535 +tp8536 +a(g822 +g974 +tp8537 +a(g431 +Vsimp +p8538 +tp8539 +a(g822 +V\u000a +p8540 +tp8541 +a(g740 +Vnext +p8542 +tp8543 +a(g822 +V\u000a +p8544 +tp8545 +a(g740 +Vcase +p8546 +tp8547 +a(g822 +g974 +tp8548 +a(g431 +VFalse +p8549 +tp8550 +a(g822 +g974 +tp8551 +a(g740 +Vwith +p8552 +tp8553 +a(g822 +g974 +tp8554 +a(g431 +Vnonneg +p8555 +tp8556 +a(g822 +g974 +tp8557 +a(g740 +Vhave +p8558 +tp8559 +a(g822 +g974 +tp8560 +a(g84 +g1045 +tp8561 +a(g84 +V1 < i +p8562 +tp8563 +a(g84 +g1045 +tp8564 +a(g822 +g974 +tp8565 +a(g740 +Vby +p8566 +tp8567 +a(g822 +g974 +tp8568 +a(g431 +Vauto +p8569 +tp8570 +a(g822 +V\u000a +p8571 +tp8572 +a(g740 +Vfrom +p8573 +tp8574 +a(g822 +g974 +tp8575 +a(g431 +Vpower_strict_increasing_iff +p8576 +tp8577 +a(g822 +g974 +tp8578 +a(g408 +g1131 +tp8579 +a(g431 +VOF +p8580 +tp8581 +a(g822 +g974 +tp8582 +a(g431 +Vthis +p8583 +tp8584 +a(g408 +g1135 +tp8585 +a(g822 +g974 +tp8586 +a(g431 +Vless +p8587 +tp8588 +a(g822 +g974 +tp8589 +a(g740 +Vshow +p8590 +tp8591 +a(g822 +g974 +tp8592 +a(g408 +g1821 +tp8593 +a(g431 +Vthesis +p8594 +tp8595 +a(g822 +g974 +tp8596 +a(g422 +V.. +p8597 +tp8598 +a(g822 +V\u000a +p8599 +tp8600 +a(g740 +Vqed +p8601 +tp8602 +a(g822 +V\u000a\u000a +p8603 +tp8604 +a(g766 +Vlemma +p8605 +tp8606 +a(g822 +g974 +tp8607 +a(g431 +Vpower_dvd_imp_le +p8608 +tp8609 +a(g408 +g1080 +tp8610 +a(g822 +V\u000a +p8611 +tp8612 +a(g84 +g1045 +tp8613 +a(g84 +Vi ^ m dvd i ^ n +p8614 +tp8615 +a(g112 +V\u005c +p8616 +tp8617 +a(g84 +V (1::nat) < i +p8618 +tp8619 +a(g112 +V\u005c +p8620 +tp8621 +a(g84 +V m +p8622 +tp8623 +a(g112 +V\u005c +p8624 +tp8625 +a(g84 +V n +p8626 +tp8627 +a(g84 +g1045 +tp8628 +a(g822 +V\u000a +p8629 +tp8630 +a(g781 +Vapply +p8631 +tp8632 +a(g822 +g974 +tp8633 +a(g408 +g1059 +tp8634 +a(g431 +Vrule +p8635 +tp8636 +a(g822 +g974 +tp8637 +a(g431 +Vpower_le_imp_le_exp +p8638 +tp8639 +a(g408 +g2103 +tp8640 +a(g822 +g974 +tp8641 +a(g431 +Vassumption +p8642 +tp8643 +a(g408 +g1071 +tp8644 +a(g822 +V\u000a +p8645 +tp8646 +a(g781 +Vapply +p8647 +tp8648 +a(g822 +g974 +tp8649 +a(g408 +g1059 +tp8650 +a(g431 +Verule +p8651 +tp8652 +a(g822 +g974 +tp8653 +a(g431 +Vdvd_imp_le +p8654 +tp8655 +a(g408 +g2103 +tp8656 +a(g822 +g974 +tp8657 +a(g431 +Vsimp +p8658 +tp8659 +a(g408 +g1071 +tp8660 +a(g822 +V\u000a +p8661 +tp8662 +a(g740 +Vdone +p8663 +tp8664 +a(g822 +V\u000a\u000a +p8665 +tp8666 +a(g766 +Vlemma +p8667 +tp8668 +a(g822 +g974 +tp8669 +a(g431 +Vpower2_nat_le_eq_le +p8670 +tp8671 +a(g408 +g1080 +tp8672 +a(g822 +V\u000a +p8673 +tp8674 +a(g781 +Vfixes +p8675 +tp8676 +a(g822 +g974 +tp8677 +a(g431 +g1500 +tp8678 +a(g822 +g974 +tp8679 +a(g431 +g1378 +tp8680 +a(g822 +g974 +tp8681 +a(g408 +V:: +p8682 +tp8683 +a(g822 +g974 +tp8684 +a(g431 +Vnat +p8685 +tp8686 +a(g822 +V\u000a +p8687 +tp8688 +a(g781 +Vshows +p8689 +tp8690 +a(g822 +g974 +tp8691 +a(g84 +g1045 +tp8692 +a(g84 +g1500 +tp8693 +a(g84 +V\u005c +p8694 +tp8695 +a(g84 +V<^sup>2 +p8696 +tp8697 +a(g112 +V\u005c +p8698 +tp8699 +a(g84 +V n +p8700 +tp8701 +a(g84 +V\u005c +p8702 +tp8703 +a(g84 +V<^sup>2 +p8704 +tp8705 +a(g112 +V\u005c +p8706 +tp8707 +a(g84 +V m +p8708 +tp8709 +a(g112 +V\u005c +p8710 +tp8711 +a(g84 +V n +p8712 +tp8713 +a(g84 +g1045 +tp8714 +a(g822 +V\u000a +p8715 +tp8716 +a(g740 +Vby +p8717 +tp8718 +a(g822 +g974 +tp8719 +a(g408 +g1059 +tp8720 +a(g431 +Vauto +p8721 +tp8722 +a(g822 +g974 +tp8723 +a(g431 +Vintro +p8724 +tp8725 +a(g408 +g1080 +tp8726 +a(g822 +g974 +tp8727 +a(g431 +Vpower2_le_imp_le +p8728 +tp8729 +a(g822 +g974 +tp8730 +a(g431 +Vpower_mono +p8731 +tp8732 +a(g408 +g1071 +tp8733 +a(g822 +V\u000a\u000a +p8734 +tp8735 +a(g766 +Vlemma +p8736 +tp8737 +a(g822 +g974 +tp8738 +a(g431 +Vpower2_nat_le_imp_le +p8739 +tp8740 +a(g408 +g1080 +tp8741 +a(g822 +V\u000a +p8742 +tp8743 +a(g781 +Vfixes +p8744 +tp8745 +a(g822 +g974 +tp8746 +a(g431 +g1500 +tp8747 +a(g822 +g974 +tp8748 +a(g431 +g1378 +tp8749 +a(g822 +g974 +tp8750 +a(g408 +V:: +p8751 +tp8752 +a(g822 +g974 +tp8753 +a(g431 +Vnat +p8754 +tp8755 +a(g822 +V\u000a +p8756 +tp8757 +a(g781 +Vassumes +p8758 +tp8759 +a(g822 +g974 +tp8760 +a(g84 +g1045 +tp8761 +a(g84 +g1500 +tp8762 +a(g84 +V\u005c +p8763 +tp8764 +a(g84 +V<^sup>2 +p8765 +tp8766 +a(g112 +V\u005c +p8767 +tp8768 +a(g84 +V n +p8769 +tp8770 +a(g84 +g1045 +tp8771 +a(g822 +V\u000a +p8772 +tp8773 +a(g781 +Vshows +p8774 +tp8775 +a(g822 +g974 +tp8776 +a(g84 +g1045 +tp8777 +a(g84 +Vm +p8778 +tp8779 +a(g112 +V\u005c +p8780 +tp8781 +a(g84 +V n +p8782 +tp8783 +a(g84 +g1045 +tp8784 +a(g822 +V\u000a +p8785 +tp8786 +a(g740 +Vusing +p8787 +tp8788 +a(g822 +g974 +tp8789 +a(g431 +Vassms +p8790 +tp8791 +a(g822 +g974 +tp8792 +a(g740 +Vby +p8793 +tp8794 +a(g822 +g974 +tp8795 +a(g408 +g1059 +tp8796 +a(g431 +Vcases +p8797 +tp8798 +a(g822 +g974 +tp8799 +a(g431 +g1500 +tp8800 +a(g408 +g1071 +tp8801 +a(g822 +g974 +tp8802 +a(g408 +g1059 +tp8803 +a(g431 +Vsimp_all +p8804 +tp8805 +a(g822 +g974 +tp8806 +a(g431 +Vadd +p8807 +tp8808 +a(g408 +g1080 +tp8809 +a(g822 +g974 +tp8810 +a(g431 +Vpower2_eq_square +p8811 +tp8812 +a(g408 +g1071 +tp8813 +a(g822 +V\u000a\u000a\u000a\u000a +p8814 +tp8815 +a(g333 +Vsubsection +p8816 +tp8817 +a(g822 +g974 +tp8818 +a(g7 +V{* +p8819 +tp8820 +a(g7 +V Code generator tweak +p8821 +tp8822 +a(g7 +V*} +p8823 +tp8824 +a(g822 +V\u000a\u000a +p8825 +tp8826 +a(g766 +Vlemma +p8827 +tp8828 +a(g822 +g974 +tp8829 +a(g431 +Vpower_power_power +p8830 +tp8831 +a(g822 +g974 +tp8832 +a(g408 +g1131 +tp8833 +a(g431 +Vcode +p8834 +tp8835 +a(g408 +g1135 +tp8836 +a(g408 +g1080 +tp8837 +a(g822 +V\u000a +p8838 +tp8839 +a(g84 +g1045 +tp8840 +a(g84 +Vpower = power.power (1::'a::{power}) (op *) +p8841 +tp8842 +a(g84 +g1045 +tp8843 +a(g822 +V\u000a +p8844 +tp8845 +a(g740 +Vunfolding +p8846 +tp8847 +a(g822 +g974 +tp8848 +a(g431 +Vpower_def +p8849 +tp8850 +a(g822 +g974 +tp8851 +a(g431 +Vpower.power_def +p8852 +tp8853 +a(g822 +g974 +tp8854 +a(g422 +V.. +p8855 +tp8856 +a(g822 +V\u000a\u000a +p8857 +tp8858 +a(g740 +Vdeclare +p8859 +tp8860 +a(g822 +g974 +tp8861 +a(g431 +Vpower.power.simps +p8862 +tp8863 +a(g822 +g974 +tp8864 +a(g408 +g1131 +tp8865 +a(g431 +Vcode +p8866 +tp8867 +a(g408 +g1135 +tp8868 +a(g822 +V\u000a\u000a +p8869 +tp8870 +a(g740 +Vcode_identifier +p8871 +tp8872 +a(g822 +V\u000a +p8873 +tp8874 +a(g781 +Vcode_module +p8875 +tp8876 +a(g822 +g974 +tp8877 +a(g431 +VPower +p8878 +tp8879 +a(g822 +g974 +tp8880 +a(g850 +V\u005c +p8881 +tp8882 +a(g822 +g974 +tp8883 +a(g408 +g1059 +tp8884 +a(g431 +VSML +p8885 +tp8886 +a(g408 +g1071 +tp8887 +a(g822 +g974 +tp8888 +a(g431 +VArith +p8889 +tp8890 +a(g822 +g974 +tp8891 +a(g781 +Vand +p8892 +tp8893 +a(g822 +g974 +tp8894 +a(g408 +g1059 +tp8895 +a(g431 +VOCaml +p8896 +tp8897 +a(g408 +g1071 +tp8898 +a(g822 +g974 +tp8899 +a(g431 +VArith +p8900 +tp8901 +a(g822 +g974 +tp8902 +a(g781 +Vand +p8903 +tp8904 +a(g822 +g974 +tp8905 +a(g408 +g1059 +tp8906 +a(g431 +VHaskell +p8907 +tp8908 +a(g408 +g1071 +tp8909 +a(g822 +g974 +tp8910 +a(g431 +VArith +p8911 +tp8912 +a(g822 +V\u000a\u000a +p8913 +tp8914 +a(g740 +Vend +p8915 +tp8916 +a(g822 +V\u000a +p8917 +tp8918 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.todotxt b/tests/examplefiles/output/example.todotxt new file mode 100644 index 0000000..42865cd --- /dev/null +++ b/tests/examplefiles/output/example.todotxt @@ -0,0 +1,2696 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Heading' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsg5 +g8 +sS'Emph' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Prompt' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Inserted' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Strong' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg34 +g35 +((lp931 +tp932 +Rp933 +sbsS'Traceback' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg34 +g35 +((lp942 +g8 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag922 +ag898 +ag929 +ag937 +ag914 +ag906 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV(A) +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g826 +VCall +p960 +tp961 +a(g826 +g958 +tp962 +a(g826 +VMom +p963 +tp964 +a(g826 +g958 +tp965 +a(g81 +V@Phone +p966 +tp967 +a(g826 +g958 +tp968 +a(g929 +V+Family +p969 +tp970 +a(g826 +V\u000a +p971 +tp972 +a(g8 +V(A) +p973 +tp974 +a(g826 +g958 +tp975 +a(g890 +V2014-01-08 +p976 +tp977 +a(g826 +g958 +tp978 +a(g826 +VSchedule +p979 +tp980 +a(g826 +g958 +tp981 +a(g826 +Vannual +p982 +tp983 +a(g826 +g958 +tp984 +a(g826 +Vcheckup +p985 +tp986 +a(g826 +g958 +tp987 +a(g929 +V+Health +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g8 +V(B) +p992 +tp993 +a(g826 +g958 +tp994 +a(g826 +VOutline +p995 +tp996 +a(g826 +g958 +tp997 +a(g826 +Vchapter +p998 +tp999 +a(g826 +g958 +tp1000 +a(g826 +V5 +p1001 +tp1002 +a(g826 +g958 +tp1003 +a(g929 +V+Novel +p1004 +tp1005 +a(g826 +g958 +tp1006 +a(g81 +V@Computer +p1007 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g8 +V(C) +p1011 +tp1012 +a(g826 +g958 +tp1013 +a(g826 +VAdd +p1014 +tp1015 +a(g826 +g958 +tp1016 +a(g826 +Vcover +p1017 +tp1018 +a(g826 +g958 +tp1019 +a(g826 +Vsheets +p1020 +tp1021 +a(g826 +g958 +tp1022 +a(g81 +V@Office +p1023 +tp1024 +a(g826 +g958 +tp1025 +a(g929 +V+TPSReports +p1026 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g826 +VPlan +p1030 +tp1031 +a(g826 +g958 +tp1032 +a(g826 +Vbackyard +p1033 +tp1034 +a(g826 +g958 +tp1035 +a(g826 +Vherb +p1036 +tp1037 +a(g826 +g958 +tp1038 +a(g826 +Vgarden +p1039 +tp1040 +a(g826 +g958 +tp1041 +a(g81 +V@Home +p1042 +tp1043 +a(g826 +V\u000a +p1044 +tp1045 +a(g826 +VPick +p1046 +tp1047 +a(g826 +g958 +tp1048 +a(g826 +Vup +p1049 +tp1050 +a(g826 +g958 +tp1051 +a(g826 +Vmilk +p1052 +tp1053 +a(g826 +g958 +tp1054 +a(g81 +V@GroceryStore +p1055 +tp1056 +a(g826 +V\u000a +p1057 +tp1058 +a(g826 +VResearch +p1059 +tp1060 +a(g826 +g958 +tp1061 +a(g826 +Vself-publishing +p1062 +tp1063 +a(g826 +g958 +tp1064 +a(g826 +Vservices +p1065 +tp1066 +a(g826 +g958 +tp1067 +a(g929 +V+Novel +p1068 +tp1069 +a(g826 +g958 +tp1070 +a(g81 +V@Computer +p1071 +tp1072 +a(g826 +V\u000a +p1073 +tp1074 +a(g317 +Vx +p1075 +tp1076 +a(g890 +V2014-01-10 +p1077 +tp1078 +a(g317 +g958 +tp1079 +a(g317 +VDownload +p1080 +tp1081 +a(g317 +g958 +tp1082 +a(g317 +VTodo.txt +p1083 +tp1084 +a(g317 +g958 +tp1085 +a(g317 +Vmobile +p1086 +tp1087 +a(g317 +g958 +tp1088 +a(g317 +Vapp +p1089 +tp1090 +a(g317 +g958 +tp1091 +a(g81 +V@Phone +p1092 +tp1093 +a(g317 +V\u000a +p1094 +tp1095 +a(g317 +Vx +p1096 +tp1097 +a(g890 +V2014-01-10 +p1098 +tp1099 +a(g317 +g958 +tp1100 +a(g890 +V2014-01-07 +p1101 +tp1102 +a(g317 +g958 +tp1103 +a(g317 +VDownload +p1104 +tp1105 +a(g317 +g958 +tp1106 +a(g317 +VTodo.txt +p1107 +tp1108 +a(g317 +g958 +tp1109 +a(g317 +VCLI +p1110 +tp1111 +a(g317 +g958 +tp1112 +a(g81 +V@Computer +p1113 +tp1114 +a(g317 +V\u000a +p1115 +tp1116 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.toml b/tests/examplefiles/output/example.toml new file mode 100644 index 0000000..1b46958 --- /dev/null +++ b/tests/examplefiles/output/example.toml @@ -0,0 +1,5381 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# This is a TOML document comment +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g435 +Vtitle +p960 +tp961 +a(g826 +V +p962 +tp963 +a(g412 +V= +p964 +tp965 +a(g826 +g962 +tp966 +a(g89 +V"TOML example file" +p967 +tp968 +a(g826 +g962 +tp969 +a(g8 +V# This is an inline comment +p970 +tp971 +a(g826 +V\u000a\u000a +p972 +tp973 +a(g744 +V[examples] +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g8 +V# Examples taken from https://github.com/toml-lang/toml/blob/master/README.md +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g435 +Vkey +p982 +tp983 +a(g826 +g962 +tp984 +a(g412 +g964 +tp985 +a(g826 +g962 +tp986 +a(g89 +V"value" +p987 +tp988 +a(g826 +V\u000a +p989 +tp990 +a(g435 +Vbare_key +p991 +tp992 +a(g826 +g962 +tp993 +a(g412 +g964 +tp994 +a(g826 +g962 +tp995 +a(g89 +V"value" +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g435 +Vbare-key +p1000 +tp1001 +a(g826 +g962 +tp1002 +a(g412 +g964 +tp1003 +a(g826 +g962 +tp1004 +a(g89 +V"value" +p1005 +tp1006 +a(g826 +V\u000a +p1007 +tp1008 +a(g37 +V1234 +p1009 +tp1010 +a(g826 +g962 +tp1011 +a(g412 +g964 +tp1012 +a(g826 +g962 +tp1013 +a(g89 +V"value" +p1014 +tp1015 +a(g826 +V\u000a +p1016 +tp1017 +a(g89 +V"127.0.0.1" +p1018 +tp1019 +a(g826 +g962 +tp1020 +a(g412 +g964 +tp1021 +a(g826 +g962 +tp1022 +a(g89 +V"value" +p1023 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g89 +V"character encoding" +p1027 +tp1028 +a(g826 +g962 +tp1029 +a(g412 +g964 +tp1030 +a(g826 +g962 +tp1031 +a(g89 +V"value" +p1032 +tp1033 +a(g826 +V\u000a +p1034 +tp1035 +a(g89 +V"\u028e\u01dd\u029e" +p1036 +tp1037 +a(g826 +g962 +tp1038 +a(g412 +g964 +tp1039 +a(g826 +g962 +tp1040 +a(g89 +V"value" +p1041 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g89 +V'key2' +p1045 +tp1046 +a(g826 +g962 +tp1047 +a(g412 +g964 +tp1048 +a(g826 +g962 +tp1049 +a(g89 +V"value" +p1050 +tp1051 +a(g826 +V\u000a +p1052 +tp1053 +a(g89 +V'quoted "value"' +p1054 +tp1055 +a(g826 +g962 +tp1056 +a(g412 +g964 +tp1057 +a(g826 +g962 +tp1058 +a(g89 +V"value" +p1059 +tp1060 +a(g826 +V\u000a +p1061 +tp1062 +a(g435 +Vname +p1063 +tp1064 +a(g826 +g962 +tp1065 +a(g412 +g964 +tp1066 +a(g826 +g962 +tp1067 +a(g89 +V"Orange" +p1068 +tp1069 +a(g826 +V\u000a +p1070 +tp1071 +a(g435 +Vphysical +p1072 +tp1073 +a(g705 +V. +p1074 +tp1075 +a(g435 +Vcolor +p1076 +tp1077 +a(g826 +g962 +tp1078 +a(g412 +g964 +tp1079 +a(g826 +g962 +tp1080 +a(g89 +V"orange" +p1081 +tp1082 +a(g826 +V\u000a +p1083 +tp1084 +a(g435 +Vphysical +p1085 +tp1086 +a(g705 +g1074 +tp1087 +a(g435 +Vshape +p1088 +tp1089 +a(g826 +g962 +tp1090 +a(g412 +g964 +tp1091 +a(g826 +g962 +tp1092 +a(g89 +V"round" +p1093 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g435 +Vsite +p1097 +tp1098 +a(g705 +g1074 +tp1099 +a(g89 +V"google.com" +p1100 +tp1101 +a(g826 +g962 +tp1102 +a(g412 +g964 +tp1103 +a(g826 +g962 +tp1104 +a(g763 +Vtrue +p1105 +tp1106 +a(g826 +V\u000a +p1107 +tp1108 +a(g435 +Va +p1109 +tp1110 +a(g705 +g1074 +tp1111 +a(g435 +Vb +p1112 +tp1113 +a(g705 +g1074 +tp1114 +a(g435 +Vc +p1115 +tp1116 +a(g826 +g962 +tp1117 +a(g412 +g964 +tp1118 +a(g826 +g962 +tp1119 +a(g37 +V1 +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g435 +g1109 +tp1124 +a(g705 +g1074 +tp1125 +a(g435 +Vd +p1126 +tp1127 +a(g826 +g962 +tp1128 +a(g412 +g964 +tp1129 +a(g826 +g962 +tp1130 +a(g37 +V2 +p1131 +tp1132 +a(g826 +V\u000a\u000a +p1133 +tp1134 +a(g744 +V[strings] +p1135 +tp1136 +a(g826 +V\u000a +p1137 +tp1138 +a(g435 +Vstr +p1139 +tp1140 +a(g826 +g962 +tp1141 +a(g412 +g964 +tp1142 +a(g826 +g962 +tp1143 +a(g89 +V"I'm a string. \u005c"You can quote me\u005c". Name\u005ctJos\u005cu00E9\u005cnLocation\u005ctSF." +p1144 +tp1145 +a(g826 +V\u000a +p1146 +tp1147 +a(g435 +Vstr1 +p1148 +tp1149 +a(g826 +g962 +tp1150 +a(g412 +g964 +tp1151 +a(g826 +g962 +tp1152 +a(g89 +V"" +p1153 +tp1154 +a(g89 +V"\u000aRoses are red\u000aViolets are blue" +p1155 +tp1156 +a(g89 +V"" +p1157 +tp1158 +a(g826 +V\u000a +p1159 +tp1160 +a(g435 +Vstr2 +p1161 +tp1162 +a(g826 +g962 +tp1163 +a(g412 +g964 +tp1164 +a(g826 +g962 +tp1165 +a(g89 +V"Roses are red\u005cnViolets are blue" +p1166 +tp1167 +a(g826 +V\u000a +p1168 +tp1169 +a(g435 +Vstr3 +p1170 +tp1171 +a(g826 +g962 +tp1172 +a(g412 +g964 +tp1173 +a(g826 +g962 +tp1174 +a(g89 +V"Roses are red\u005cr\u005cnViolets are blue" +p1175 +tp1176 +a(g826 +V\u000a\u000a +p1177 +tp1178 +a(g744 +V[strings.equivalents] +p1179 +tp1180 +a(g826 +V\u000a +p1181 +tp1182 +a(g435 +Vstr1 +p1183 +tp1184 +a(g826 +g962 +tp1185 +a(g412 +g964 +tp1186 +a(g826 +g962 +tp1187 +a(g89 +V"The quick brown fox jumps over the lazy dog." +p1188 +tp1189 +a(g826 +V\u000a +p1190 +tp1191 +a(g435 +Vstr2 +p1192 +tp1193 +a(g826 +g962 +tp1194 +a(g412 +g964 +tp1195 +a(g826 +g962 +tp1196 +a(g89 +V"" +p1197 +tp1198 +a(g89 +V"\u000aThe quick brown \u005c\u000a\u000a\u000a fox jumps over \u005c\u000a the lazy dog." +p1199 +tp1200 +a(g89 +V"" +p1201 +tp1202 +a(g826 +V\u000a +p1203 +tp1204 +a(g435 +Vstr3 +p1205 +tp1206 +a(g826 +g962 +tp1207 +a(g412 +g964 +tp1208 +a(g826 +g962 +tp1209 +a(g89 +V"" +p1210 +tp1211 +a(g89 +V"\u005c\u000a The quick brown \u005c\u000a fox jumps over \u005c\u000a the lazy dog.\u005c\u000a " +p1212 +tp1213 +a(g89 +V"" +p1214 +tp1215 +a(g826 +V\u000a\u000a +p1216 +tp1217 +a(g744 +V[strings.literal] +p1218 +tp1219 +a(g826 +V\u000a +p1220 +tp1221 +a(g435 +Vwinpath +p1222 +tp1223 +a(g826 +V +p1224 +tp1225 +a(g412 +g964 +tp1226 +a(g826 +g962 +tp1227 +a(g89 +V'C:\u005cUsers\u005cnodejs\u005ctemplates' +p1228 +tp1229 +a(g826 +V\u000a +p1230 +tp1231 +a(g435 +Vwinpath2 +p1232 +tp1233 +a(g826 +g962 +tp1234 +a(g412 +g964 +tp1235 +a(g826 +g962 +tp1236 +a(g89 +V'\u005c\u005cServerX\u005cadmin$\u005csystem32\u005c' +p1237 +tp1238 +a(g826 +V\u000a +p1239 +tp1240 +a(g435 +Vquoted +p1241 +tp1242 +a(g826 +V +p1243 +tp1244 +a(g412 +g964 +tp1245 +a(g826 +g962 +tp1246 +a(g89 +V'Tom "Dubs" Preston-Werner' +p1247 +tp1248 +a(g826 +V\u000a +p1249 +tp1250 +a(g435 +Vregex +p1251 +tp1252 +a(g826 +V +p1253 +tp1254 +a(g412 +g964 +tp1255 +a(g826 +g962 +tp1256 +a(g89 +V'<\u005ci\u005cc*\u005cs*>' +p1257 +tp1258 +a(g826 +V\u000a\u000a +p1259 +tp1260 +a(g744 +V[strings.multiline] +p1261 +tp1262 +a(g826 +V\u000a +p1263 +tp1264 +a(g435 +Vregex2 +p1265 +tp1266 +a(g826 +g962 +tp1267 +a(g412 +g964 +tp1268 +a(g826 +g962 +tp1269 +a(g89 +V'''I [dw]on't need \u005cd{2} apples''' +p1270 +tp1271 +a(g826 +V\u000a +p1272 +tp1273 +a(g435 +Vlines +p1274 +tp1275 +a(g826 +V +p1276 +tp1277 +a(g412 +g964 +tp1278 +a(g826 +g962 +tp1279 +a(g89 +V'' +p1280 +tp1281 +a(g89 +V'\u000aThe first newline is\u000atrimmed in raw strings.\u000a All other whitespace\u000a is preserved.\u000a' +p1282 +tp1283 +a(g89 +V'' +p1284 +tp1285 +a(g826 +V\u000a\u000a +p1286 +tp1287 +a(g744 +V[integers] +p1288 +tp1289 +a(g826 +V\u000a +p1290 +tp1291 +a(g435 +Vint1 +p1292 +tp1293 +a(g826 +g962 +tp1294 +a(g412 +g964 +tp1295 +a(g826 +g962 +tp1296 +a(g37 +V+99 +p1297 +tp1298 +a(g826 +V\u000a +p1299 +tp1300 +a(g435 +Vint2 +p1301 +tp1302 +a(g826 +g962 +tp1303 +a(g412 +g964 +tp1304 +a(g826 +g962 +tp1305 +a(g37 +V42 +p1306 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g435 +Vint3 +p1310 +tp1311 +a(g826 +g962 +tp1312 +a(g412 +g964 +tp1313 +a(g826 +g962 +tp1314 +a(g37 +V0 +p1315 +tp1316 +a(g826 +V\u000a +p1317 +tp1318 +a(g435 +Vint4 +p1319 +tp1320 +a(g826 +g962 +tp1321 +a(g412 +g964 +tp1322 +a(g826 +g962 +tp1323 +a(g37 +V-17 +p1324 +tp1325 +a(g826 +V\u000a +p1326 +tp1327 +a(g435 +Vint5 +p1328 +tp1329 +a(g826 +g962 +tp1330 +a(g412 +g964 +tp1331 +a(g826 +g962 +tp1332 +a(g37 +g1120 +tp1333 +a(g435 +V_000 +p1334 +tp1335 +a(g826 +V\u000a +p1336 +tp1337 +a(g435 +Vint6 +p1338 +tp1339 +a(g826 +g962 +tp1340 +a(g412 +g964 +tp1341 +a(g826 +g962 +tp1342 +a(g37 +V5 +p1343 +tp1344 +a(g435 +V_349_221 +p1345 +tp1346 +a(g826 +V\u000a +p1347 +tp1348 +a(g435 +Vint7 +p1349 +tp1350 +a(g826 +g962 +tp1351 +a(g412 +g964 +tp1352 +a(g826 +g962 +tp1353 +a(g37 +g1120 +tp1354 +a(g435 +V_2_3_4_5 +p1355 +tp1356 +a(g826 +g962 +tp1357 +a(g8 +V# discouraged format +p1358 +tp1359 +a(g826 +V\u000a +p1360 +tp1361 +a(g8 +V# hexadecimal with prefix `0x` +p1362 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g435 +Vhex1 +p1366 +tp1367 +a(g826 +g962 +tp1368 +a(g412 +g964 +tp1369 +a(g826 +g962 +tp1370 +a(g37 +g1315 +tp1371 +a(g435 +VxDEADBEEF +p1372 +tp1373 +a(g826 +V\u000a +p1374 +tp1375 +a(g435 +Vhex2 +p1376 +tp1377 +a(g826 +g962 +tp1378 +a(g412 +g964 +tp1379 +a(g826 +g962 +tp1380 +a(g37 +g1315 +tp1381 +a(g435 +Vxdeadbeef +p1382 +tp1383 +a(g826 +V\u000a +p1384 +tp1385 +a(g435 +Vhex3 +p1386 +tp1387 +a(g826 +g962 +tp1388 +a(g412 +g964 +tp1389 +a(g826 +g962 +tp1390 +a(g37 +g1315 +tp1391 +a(g435 +Vxdead_beef +p1392 +tp1393 +a(g826 +V\u000a +p1394 +tp1395 +a(g8 +V# octal with prefix `0o` +p1396 +tp1397 +a(g826 +V\u000a +p1398 +tp1399 +a(g435 +Voct1 +p1400 +tp1401 +a(g826 +g962 +tp1402 +a(g412 +g964 +tp1403 +a(g826 +g962 +tp1404 +a(g37 +g1315 +tp1405 +a(g435 +Vo01234567 +p1406 +tp1407 +a(g826 +V\u000a +p1408 +tp1409 +a(g435 +Voct2 +p1410 +tp1411 +a(g826 +g962 +tp1412 +a(g412 +g964 +tp1413 +a(g826 +g962 +tp1414 +a(g37 +g1315 +tp1415 +a(g435 +Vo755 +p1416 +tp1417 +a(g826 +g962 +tp1418 +a(g8 +V# useful for Unix file permissions +p1419 +tp1420 +a(g826 +V\u000a +p1421 +tp1422 +a(g8 +V# binary with prefix `0b` +p1423 +tp1424 +a(g826 +V\u000a +p1425 +tp1426 +a(g435 +Vbin1 +p1427 +tp1428 +a(g826 +g962 +tp1429 +a(g412 +g964 +tp1430 +a(g826 +g962 +tp1431 +a(g37 +g1315 +tp1432 +a(g435 +Vb11010110 +p1433 +tp1434 +a(g826 +V\u000a\u000a +p1435 +tp1436 +a(g744 +V[floats] +p1437 +tp1438 +a(g826 +V\u000a +p1439 +tp1440 +a(g8 +V# fractional +p1441 +tp1442 +a(g826 +V\u000a +p1443 +tp1444 +a(g435 +Vflt1 +p1445 +tp1446 +a(g826 +g962 +tp1447 +a(g412 +g964 +tp1448 +a(g826 +g962 +tp1449 +a(g37 +V+1 +p1450 +tp1451 +a(g314 +V.0 +p1452 +tp1453 +a(g826 +V\u000a +p1454 +tp1455 +a(g435 +Vflt2 +p1456 +tp1457 +a(g826 +g962 +tp1458 +a(g412 +g964 +tp1459 +a(g826 +g962 +tp1460 +a(g314 +V3.1415 +p1461 +tp1462 +a(g826 +V\u000a +p1463 +tp1464 +a(g435 +Vflt3 +p1465 +tp1466 +a(g826 +g962 +tp1467 +a(g412 +g964 +tp1468 +a(g826 +g962 +tp1469 +a(g37 +V-0 +p1470 +tp1471 +a(g314 +V.01 +p1472 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g8 +V# exponent +p1476 +tp1477 +a(g826 +V\u000a +p1478 +tp1479 +a(g435 +Vflt4 +p1480 +tp1481 +a(g826 +g962 +tp1482 +a(g412 +g964 +tp1483 +a(g826 +g962 +tp1484 +a(g314 +V5e+22 +p1485 +tp1486 +a(g826 +V\u000a +p1487 +tp1488 +a(g435 +Vflt5 +p1489 +tp1490 +a(g826 +g962 +tp1491 +a(g412 +g964 +tp1492 +a(g826 +g962 +tp1493 +a(g314 +V1e6 +p1494 +tp1495 +a(g826 +V\u000a +p1496 +tp1497 +a(g435 +Vflt6 +p1498 +tp1499 +a(g826 +g962 +tp1500 +a(g412 +g964 +tp1501 +a(g826 +g962 +tp1502 +a(g37 +V-2 +p1503 +tp1504 +a(g435 +VE-2 +p1505 +tp1506 +a(g826 +V\u000a +p1507 +tp1508 +a(g8 +V# both +p1509 +tp1510 +a(g826 +V\u000a +p1511 +tp1512 +a(g435 +Vflt7 +p1513 +tp1514 +a(g826 +g962 +tp1515 +a(g412 +g964 +tp1516 +a(g826 +g962 +tp1517 +a(g314 +V6.626e-34 +p1518 +tp1519 +a(g826 +V\u000a +p1520 +tp1521 +a(g8 +V# with underscores, for readability +p1522 +tp1523 +a(g826 +V\u000a +p1524 +tp1525 +a(g435 +Vflt8 +p1526 +tp1527 +a(g826 +g962 +tp1528 +a(g412 +g964 +tp1529 +a(g826 +g962 +tp1530 +a(g37 +V224 +p1531 +tp1532 +a(g435 +V_617 +p1533 +tp1534 +a(g314 +V.445 +p1535 +tp1536 +a(g435 +V_991_228 +p1537 +tp1538 +a(g826 +V\u000a +p1539 +tp1540 +a(g8 +V# infinity +p1541 +tp1542 +a(g826 +V\u000a +p1543 +tp1544 +a(g435 +Vsf1 +p1545 +tp1546 +a(g826 +g962 +tp1547 +a(g412 +g964 +tp1548 +a(g826 +g962 +tp1549 +a(g435 +Vinf +p1550 +tp1551 +a(g826 +V +p1552 +tp1553 +a(g8 +V# positive infinity +p1554 +tp1555 +a(g826 +V\u000a +p1556 +tp1557 +a(g435 +Vsf2 +p1558 +tp1559 +a(g826 +g962 +tp1560 +a(g412 +g964 +tp1561 +a(g826 +g962 +tp1562 +a(g314 +V+inf +p1563 +tp1564 +a(g826 +g962 +tp1565 +a(g8 +V# positive infinity +p1566 +tp1567 +a(g826 +V\u000a +p1568 +tp1569 +a(g435 +Vsf3 +p1570 +tp1571 +a(g826 +g962 +tp1572 +a(g412 +g964 +tp1573 +a(g826 +g962 +tp1574 +a(g314 +V-inf +p1575 +tp1576 +a(g826 +g962 +tp1577 +a(g8 +V# negative infinity +p1578 +tp1579 +a(g826 +V\u000a +p1580 +tp1581 +a(g8 +V# not a number +p1582 +tp1583 +a(g826 +V\u000a +p1584 +tp1585 +a(g435 +Vsf4 +p1586 +tp1587 +a(g826 +g962 +tp1588 +a(g412 +g964 +tp1589 +a(g826 +g962 +tp1590 +a(g435 +Vnan +p1591 +tp1592 +a(g826 +V +p1593 +tp1594 +a(g8 +V# actual sNaN/qNaN encoding is implementation specific +p1595 +tp1596 +a(g826 +V\u000a +p1597 +tp1598 +a(g435 +Vsf5 +p1599 +tp1600 +a(g826 +g962 +tp1601 +a(g412 +g964 +tp1602 +a(g826 +g962 +tp1603 +a(g314 +V+nan +p1604 +tp1605 +a(g826 +g962 +tp1606 +a(g8 +V# same as `nan` +p1607 +tp1608 +a(g826 +V\u000a +p1609 +tp1610 +a(g435 +Vsf6 +p1611 +tp1612 +a(g826 +g962 +tp1613 +a(g412 +g964 +tp1614 +a(g826 +g962 +tp1615 +a(g314 +V-nan +p1616 +tp1617 +a(g826 +g962 +tp1618 +a(g8 +V# valid, actual encoding is implementation specific +p1619 +tp1620 +a(g826 +V\u000a +p1621 +tp1622 +a(g8 +V# plus/minus zero +p1623 +tp1624 +a(g826 +V\u000a +p1625 +tp1626 +a(g435 +Vsf0_1 +p1627 +tp1628 +a(g826 +g962 +tp1629 +a(g412 +g964 +tp1630 +a(g826 +g962 +tp1631 +a(g37 +V+0 +p1632 +tp1633 +a(g314 +V.0 +p1634 +tp1635 +a(g826 +V\u000a +p1636 +tp1637 +a(g435 +Vsf0_2 +p1638 +tp1639 +a(g826 +g962 +tp1640 +a(g412 +g964 +tp1641 +a(g826 +g962 +tp1642 +a(g37 +V-0 +p1643 +tp1644 +a(g314 +V.0 +p1645 +tp1646 +a(g826 +V\u000a\u000a +p1647 +tp1648 +a(g744 +V[booleans] +p1649 +tp1650 +a(g826 +V\u000a +p1651 +tp1652 +a(g435 +Vbool1 +p1653 +tp1654 +a(g826 +g962 +tp1655 +a(g412 +g964 +tp1656 +a(g826 +g962 +tp1657 +a(g763 +Vtrue +p1658 +tp1659 +a(g826 +V\u000a +p1660 +tp1661 +a(g435 +Vbool2 +p1662 +tp1663 +a(g826 +g962 +tp1664 +a(g412 +g964 +tp1665 +a(g826 +g962 +tp1666 +a(g763 +Vfalse +p1667 +tp1668 +a(g826 +V\u000a\u000a +p1669 +tp1670 +a(g744 +V[datetime.offset] +p1671 +tp1672 +a(g826 +V\u000a +p1673 +tp1674 +a(g435 +Vodt1 +p1675 +tp1676 +a(g826 +g962 +tp1677 +a(g412 +g964 +tp1678 +a(g826 +g962 +tp1679 +a(g37 +V1979-05-27T07:32:00Z +p1680 +tp1681 +a(g826 +V\u000a +p1682 +tp1683 +a(g435 +Vodt2 +p1684 +tp1685 +a(g826 +g962 +tp1686 +a(g412 +g964 +tp1687 +a(g826 +g962 +tp1688 +a(g37 +V1979-05-27T00:32:00-07:00 +p1689 +tp1690 +a(g826 +V\u000a +p1691 +tp1692 +a(g435 +Vodt3 +p1693 +tp1694 +a(g826 +g962 +tp1695 +a(g412 +g964 +tp1696 +a(g826 +g962 +tp1697 +a(g37 +V1979 +p1698 +tp1699 +a(g37 +V-05 +p1700 +tp1701 +a(g37 +V-27 +p1702 +tp1703 +a(g435 +VT00 +p1704 +tp1705 +a(g705 +V: +p1706 +tp1707 +a(g37 +V32 +p1708 +tp1709 +a(g705 +g1706 +tp1710 +a(g314 +V00.999999 +p1711 +tp1712 +a(g37 +V-07 +p1713 +tp1714 +a(g705 +g1706 +tp1715 +a(g37 +V00 +p1716 +tp1717 +a(g826 +V\u000a +p1718 +tp1719 +a(g435 +Vodt4 +p1720 +tp1721 +a(g826 +g962 +tp1722 +a(g412 +g964 +tp1723 +a(g826 +g962 +tp1724 +a(g37 +V1979-05-27 07:32:00Z +p1725 +tp1726 +a(g826 +V\u000a\u000a +p1727 +tp1728 +a(g744 +V[datetime.local] +p1729 +tp1730 +a(g826 +V\u000a +p1731 +tp1732 +a(g435 +Vldt1 +p1733 +tp1734 +a(g826 +g962 +tp1735 +a(g412 +g964 +tp1736 +a(g826 +g962 +tp1737 +a(g37 +V1979 +p1738 +tp1739 +a(g37 +V-05 +p1740 +tp1741 +a(g37 +V-27 +p1742 +tp1743 +a(g435 +VT07 +p1744 +tp1745 +a(g705 +g1706 +tp1746 +a(g37 +V32 +p1747 +tp1748 +a(g705 +g1706 +tp1749 +a(g37 +V00 +p1750 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g435 +Vldt2 +p1754 +tp1755 +a(g826 +g962 +tp1756 +a(g412 +g964 +tp1757 +a(g826 +g962 +tp1758 +a(g37 +V1979 +p1759 +tp1760 +a(g37 +V-05 +p1761 +tp1762 +a(g37 +V-27 +p1763 +tp1764 +a(g435 +VT00 +p1765 +tp1766 +a(g705 +g1706 +tp1767 +a(g37 +V32 +p1768 +tp1769 +a(g705 +g1706 +tp1770 +a(g314 +V00.999999 +p1771 +tp1772 +a(g826 +V\u000a\u000a +p1773 +tp1774 +a(g744 +V[date.local] +p1775 +tp1776 +a(g826 +V\u000a +p1777 +tp1778 +a(g435 +Vld1 +p1779 +tp1780 +a(g826 +g962 +tp1781 +a(g412 +g964 +tp1782 +a(g826 +g962 +tp1783 +a(g37 +V1979 +p1784 +tp1785 +a(g37 +V-05 +p1786 +tp1787 +a(g37 +V-27 +p1788 +tp1789 +a(g826 +V\u000a\u000a +p1790 +tp1791 +a(g744 +V[time.local] +p1792 +tp1793 +a(g826 +V\u000a +p1794 +tp1795 +a(g435 +Vlt1 +p1796 +tp1797 +a(g826 +g962 +tp1798 +a(g412 +g964 +tp1799 +a(g826 +g962 +tp1800 +a(g37 +V07 +p1801 +tp1802 +a(g705 +g1706 +tp1803 +a(g37 +V32 +p1804 +tp1805 +a(g705 +g1706 +tp1806 +a(g37 +V00 +p1807 +tp1808 +a(g826 +V\u000a +p1809 +tp1810 +a(g435 +Vlt2 +p1811 +tp1812 +a(g826 +g962 +tp1813 +a(g412 +g964 +tp1814 +a(g826 +g962 +tp1815 +a(g37 +V00 +p1816 +tp1817 +a(g705 +g1706 +tp1818 +a(g37 +V32 +p1819 +tp1820 +a(g705 +g1706 +tp1821 +a(g314 +V00.999999 +p1822 +tp1823 +a(g826 +V\u000a\u000a +p1824 +tp1825 +a(g744 +V[arrays] +p1826 +tp1827 +a(g826 +V\u000a +p1828 +tp1829 +a(g435 +Varr1 +p1830 +tp1831 +a(g826 +g962 +tp1832 +a(g412 +g964 +tp1833 +a(g826 +g962 +tp1834 +a(g744 +V[ 1, 2, 3 ] +p1835 +tp1836 +a(g826 +V\u000a +p1837 +tp1838 +a(g435 +Varr2 +p1839 +tp1840 +a(g826 +g962 +tp1841 +a(g412 +g964 +tp1842 +a(g826 +g962 +tp1843 +a(g744 +V[ "red", "yellow", "green" ] +p1844 +tp1845 +a(g826 +V\u000a +p1846 +tp1847 +a(g435 +Varr3 +p1848 +tp1849 +a(g826 +g962 +tp1850 +a(g412 +g964 +tp1851 +a(g826 +g962 +tp1852 +a(g744 +V[ [ 1, 2 ], [3, 4, 5] ] +p1853 +tp1854 +a(g826 +V\u000a +p1855 +tp1856 +a(g435 +Varr4 +p1857 +tp1858 +a(g826 +g962 +tp1859 +a(g412 +g964 +tp1860 +a(g826 +g962 +tp1861 +a(g744 +V[ "all", 'strings', """are the same""", '''type'''] +p1862 +tp1863 +a(g826 +V\u000a +p1864 +tp1865 +a(g435 +Varr5 +p1866 +tp1867 +a(g826 +g962 +tp1868 +a(g412 +g964 +tp1869 +a(g826 +g962 +tp1870 +a(g744 +V[ [ 1, 2 ], ["a", "b", "c"] ] +p1871 +tp1872 +a(g826 +V\u000a +p1873 +tp1874 +a(g435 +Varr6 +p1875 +tp1876 +a(g826 +g962 +tp1877 +a(g412 +g964 +tp1878 +a(g826 +g962 +tp1879 +a(g705 +V[ +p1880 +tp1881 +a(g826 +g962 +tp1882 +a(g37 +g1120 +tp1883 +a(g705 +V, +p1884 +tp1885 +a(g826 +g962 +tp1886 +a(g314 +V2.0 +p1887 +tp1888 +a(g826 +g962 +tp1889 +a(g705 +V] +p1890 +tp1891 +a(g826 +g962 +tp1892 +a(g8 +V# INVALID +p1893 +tp1894 +a(g826 +V\u000a +p1895 +tp1896 +a(g435 +Varr7 +p1897 +tp1898 +a(g826 +g962 +tp1899 +a(g412 +g964 +tp1900 +a(g826 +g962 +tp1901 +a(g705 +g1880 +tp1902 +a(g826 +V\u000a +p1903 +tp1904 +a(g37 +g1120 +tp1905 +a(g705 +g1884 +tp1906 +a(g826 +g962 +tp1907 +a(g37 +g1131 +tp1908 +a(g705 +g1884 +tp1909 +a(g826 +g962 +tp1910 +a(g37 +V3 +p1911 +tp1912 +a(g826 +V\u000a +p1913 +tp1914 +a(g705 +g1890 +tp1915 +a(g826 +V\u000a +p1916 +tp1917 +a(g435 +Varr8 +p1918 +tp1919 +a(g826 +g962 +tp1920 +a(g412 +g964 +tp1921 +a(g826 +g962 +tp1922 +a(g705 +g1880 +tp1923 +a(g826 +V\u000a +p1924 +tp1925 +a(g37 +g1120 +tp1926 +a(g705 +g1884 +tp1927 +a(g826 +V\u000a +p1928 +tp1929 +a(g37 +g1131 +tp1930 +a(g705 +g1884 +tp1931 +a(g826 +g962 +tp1932 +a(g8 +V# this is ok +p1933 +tp1934 +a(g826 +V\u000a +p1935 +tp1936 +a(g705 +g1890 +tp1937 +a(g826 +V\u000a\u000a +p1938 +tp1939 +a(g744 +V["inline tables"] +p1940 +tp1941 +a(g826 +V\u000a +p1942 +tp1943 +a(g435 +Vname +p1944 +tp1945 +a(g826 +g962 +tp1946 +a(g412 +g964 +tp1947 +a(g826 +g962 +tp1948 +a(g705 +V{ +p1949 +tp1950 +a(g826 +g962 +tp1951 +a(g435 +Vfirst +p1952 +tp1953 +a(g826 +g962 +tp1954 +a(g412 +g964 +tp1955 +a(g826 +g962 +tp1956 +a(g89 +V"Tom" +p1957 +tp1958 +a(g705 +g1884 +tp1959 +a(g826 +g962 +tp1960 +a(g435 +Vlast +p1961 +tp1962 +a(g826 +g962 +tp1963 +a(g412 +g964 +tp1964 +a(g826 +g962 +tp1965 +a(g89 +V"Preston-Werner" +p1966 +tp1967 +a(g826 +g962 +tp1968 +a(g705 +V} +p1969 +tp1970 +a(g826 +V\u000a +p1971 +tp1972 +a(g435 +Vpoint +p1973 +tp1974 +a(g826 +g962 +tp1975 +a(g412 +g964 +tp1976 +a(g826 +g962 +tp1977 +a(g705 +g1949 +tp1978 +a(g826 +g962 +tp1979 +a(g435 +Vx +p1980 +tp1981 +a(g826 +g962 +tp1982 +a(g412 +g964 +tp1983 +a(g826 +g962 +tp1984 +a(g37 +g1120 +tp1985 +a(g705 +g1884 +tp1986 +a(g826 +g962 +tp1987 +a(g435 +Vy +p1988 +tp1989 +a(g826 +g962 +tp1990 +a(g412 +g964 +tp1991 +a(g826 +g962 +tp1992 +a(g37 +g1131 +tp1993 +a(g826 +g962 +tp1994 +a(g705 +g1969 +tp1995 +a(g826 +V\u000a +p1996 +tp1997 +a(g435 +Vanimal +p1998 +tp1999 +a(g826 +g962 +tp2000 +a(g412 +g964 +tp2001 +a(g826 +g962 +tp2002 +a(g705 +g1949 +tp2003 +a(g826 +g962 +tp2004 +a(g435 +Vtype +p2005 +tp2006 +a(g705 +g1074 +tp2007 +a(g435 +Vname +p2008 +tp2009 +a(g826 +g962 +tp2010 +a(g412 +g964 +tp2011 +a(g826 +g962 +tp2012 +a(g89 +V"pug" +p2013 +tp2014 +a(g826 +g962 +tp2015 +a(g705 +g1969 +tp2016 +a(g826 +V\u000a\u000a +p2017 +tp2018 +a(g744 +V["arrays of tables"] +p2019 +tp2020 +a(g826 +V\u000a +p2021 +tp2022 +a(g435 +Vpoints +p2023 +tp2024 +a(g826 +g962 +tp2025 +a(g412 +g964 +tp2026 +a(g826 +g962 +tp2027 +a(g705 +g1880 +tp2028 +a(g826 +g962 +tp2029 +a(g705 +g1949 +tp2030 +a(g826 +g962 +tp2031 +a(g435 +g1980 +tp2032 +a(g826 +g962 +tp2033 +a(g412 +g964 +tp2034 +a(g826 +g962 +tp2035 +a(g37 +g1120 +tp2036 +a(g705 +g1884 +tp2037 +a(g826 +g962 +tp2038 +a(g435 +g1988 +tp2039 +a(g826 +g962 +tp2040 +a(g412 +g964 +tp2041 +a(g826 +g962 +tp2042 +a(g37 +g1131 +tp2043 +a(g705 +g1884 +tp2044 +a(g826 +g962 +tp2045 +a(g435 +Vz +p2046 +tp2047 +a(g826 +g962 +tp2048 +a(g412 +g964 +tp2049 +a(g826 +g962 +tp2050 +a(g37 +g1911 +tp2051 +a(g826 +g962 +tp2052 +a(g705 +g1969 +tp2053 +a(g705 +g1884 +tp2054 +a(g826 +V\u000a +p2055 +tp2056 +a(g705 +g1949 +tp2057 +a(g826 +g962 +tp2058 +a(g435 +g1980 +tp2059 +a(g826 +g962 +tp2060 +a(g412 +g964 +tp2061 +a(g826 +g962 +tp2062 +a(g37 +V7 +p2063 +tp2064 +a(g705 +g1884 +tp2065 +a(g826 +g962 +tp2066 +a(g435 +g1988 +tp2067 +a(g826 +g962 +tp2068 +a(g412 +g964 +tp2069 +a(g826 +g962 +tp2070 +a(g37 +V8 +p2071 +tp2072 +a(g705 +g1884 +tp2073 +a(g826 +g962 +tp2074 +a(g435 +g2046 +tp2075 +a(g826 +g962 +tp2076 +a(g412 +g964 +tp2077 +a(g826 +g962 +tp2078 +a(g37 +V9 +p2079 +tp2080 +a(g826 +g962 +tp2081 +a(g705 +g1969 +tp2082 +a(g705 +g1884 +tp2083 +a(g826 +V\u000a +p2084 +tp2085 +a(g705 +g1949 +tp2086 +a(g826 +g962 +tp2087 +a(g435 +g1980 +tp2088 +a(g826 +g962 +tp2089 +a(g412 +g964 +tp2090 +a(g826 +g962 +tp2091 +a(g37 +g1131 +tp2092 +a(g705 +g1884 +tp2093 +a(g826 +g962 +tp2094 +a(g435 +g1988 +tp2095 +a(g826 +g962 +tp2096 +a(g412 +g964 +tp2097 +a(g826 +g962 +tp2098 +a(g37 +V4 +p2099 +tp2100 +a(g705 +g1884 +tp2101 +a(g826 +g962 +tp2102 +a(g435 +g2046 +tp2103 +a(g826 +g962 +tp2104 +a(g412 +g964 +tp2105 +a(g826 +g962 +tp2106 +a(g37 +g2071 +tp2107 +a(g826 +g962 +tp2108 +a(g705 +g1969 +tp2109 +a(g826 +g962 +tp2110 +a(g705 +g1890 +tp2111 +a(g826 +V\u000a\u000a +p2112 +tp2113 +a(g744 +V[products] +p2114 +tp2115 +a(g826 +V\u000a\u000a +p2116 +tp2117 +a(g744 +V[[products]] +p2118 +tp2119 +a(g826 +V\u000a +p2120 +tp2121 +a(g435 +Vname +p2122 +tp2123 +a(g826 +g962 +tp2124 +a(g412 +g964 +tp2125 +a(g826 +g962 +tp2126 +a(g89 +V"Hammer" +p2127 +tp2128 +a(g826 +V\u000a +p2129 +tp2130 +a(g435 +Vsku +p2131 +tp2132 +a(g826 +g962 +tp2133 +a(g412 +g964 +tp2134 +a(g826 +g962 +tp2135 +a(g37 +V738594937 +p2136 +tp2137 +a(g826 +V\u000a\u000a +p2138 +tp2139 +a(g744 +V[[products]] +p2140 +tp2141 +a(g826 +V\u000a\u000a +p2142 +tp2143 +a(g744 +V[[products]] +p2144 +tp2145 +a(g826 +V\u000a +p2146 +tp2147 +a(g435 +Vname +p2148 +tp2149 +a(g826 +g962 +tp2150 +a(g412 +g964 +tp2151 +a(g826 +g962 +tp2152 +a(g89 +V"Nail" +p2153 +tp2154 +a(g826 +V\u000a +p2155 +tp2156 +a(g435 +Vsku +p2157 +tp2158 +a(g826 +g962 +tp2159 +a(g412 +g964 +tp2160 +a(g826 +g962 +tp2161 +a(g37 +V284758393 +p2162 +tp2163 +a(g826 +V\u000a +p2164 +tp2165 +a(g435 +Vcolor +p2166 +tp2167 +a(g826 +g962 +tp2168 +a(g412 +g964 +tp2169 +a(g826 +g962 +tp2170 +a(g89 +V"gray" +p2171 +tp2172 +a(g826 +V\u000a\u000a +p2173 +tp2174 +a(g744 +V[fruits] +p2175 +tp2176 +a(g826 +V\u000a\u000a +p2177 +tp2178 +a(g744 +V[[fruit]] +p2179 +tp2180 +a(g826 +V\u000a +p2181 +tp2182 +a(g435 +Vname +p2183 +tp2184 +a(g826 +g962 +tp2185 +a(g412 +g964 +tp2186 +a(g826 +g962 +tp2187 +a(g89 +V"apple" +p2188 +tp2189 +a(g826 +V\u000a\u000a +p2190 +tp2191 +a(g744 +V[fruit.physical] +p2192 +tp2193 +a(g826 +V\u000a +p2194 +tp2195 +a(g435 +Vcolor +p2196 +tp2197 +a(g826 +g962 +tp2198 +a(g412 +g964 +tp2199 +a(g826 +g962 +tp2200 +a(g89 +V"red" +p2201 +tp2202 +a(g826 +V\u000a +p2203 +tp2204 +a(g435 +Vshape +p2205 +tp2206 +a(g826 +g962 +tp2207 +a(g412 +g964 +tp2208 +a(g826 +g962 +tp2209 +a(g89 +V"round" +p2210 +tp2211 +a(g826 +V\u000a\u000a +p2212 +tp2213 +a(g744 +V[[fruit.variety]] +p2214 +tp2215 +a(g826 +V\u000a +p2216 +tp2217 +a(g435 +Vname +p2218 +tp2219 +a(g826 +g962 +tp2220 +a(g412 +g964 +tp2221 +a(g826 +g962 +tp2222 +a(g89 +V"red delicious" +p2223 +tp2224 +a(g826 +V\u000a\u000a +p2225 +tp2226 +a(g744 +V[[fruit.variety]] +p2227 +tp2228 +a(g826 +V\u000a +p2229 +tp2230 +a(g435 +Vname +p2231 +tp2232 +a(g826 +g962 +tp2233 +a(g412 +g964 +tp2234 +a(g826 +g962 +tp2235 +a(g89 +V"granny smith" +p2236 +tp2237 +a(g826 +V\u000a\u000a +p2238 +tp2239 +a(g744 +V[[fruit]] +p2240 +tp2241 +a(g826 +V\u000a +p2242 +tp2243 +a(g435 +Vname +p2244 +tp2245 +a(g826 +g962 +tp2246 +a(g412 +g964 +tp2247 +a(g826 +g962 +tp2248 +a(g89 +V"banana" +p2249 +tp2250 +a(g826 +V\u000a\u000a +p2251 +tp2252 +a(g744 +V[[fruit.variety]] +p2253 +tp2254 +a(g826 +V\u000a +p2255 +tp2256 +a(g435 +Vname +p2257 +tp2258 +a(g826 +g962 +tp2259 +a(g412 +g964 +tp2260 +a(g826 +g962 +tp2261 +a(g89 +V"plantain" +p2262 +tp2263 +a(g826 +V\u000a +p2264 +tp2265 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.ttl b/tests/examplefiles/output/example.ttl new file mode 100644 index 0000000..eb209e3 --- /dev/null +++ b/tests/examplefiles/output/example.ttl @@ -0,0 +1,3435 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbV@base +p956 +tp957 +a(g839 +V +p958 +tp959 +a(g428 +V +p960 +tp961 +a(g839 +V +p962 +tp963 +a(g693 +V. +p964 +tp965 +a(g839 +V\u000a +p966 +tp967 +a(g7 +V@prefix +p968 +tp969 +a(g839 +g962 +tp970 +a(g608 +Vdcterms: +p971 +tp972 +a(g839 +g962 +tp973 +a(g428 +V +p974 +tp975 +a(g693 +g964 +tp976 +a(g839 +g962 +tp977 +a(g7 +V@prefix +p978 +tp979 +a(g839 +g962 +tp980 +a(g608 +Vxs: +p981 +tp982 +a(g839 +g962 +tp983 +a(g428 +V +p984 +tp985 +a(g839 +g962 +tp986 +a(g693 +g964 +tp987 +a(g839 +V\u000a +p988 +tp989 +a(g7 +V@prefix +p990 +tp991 +a(g839 +g962 +tp992 +a(g608 +Vmads: +p993 +tp994 +a(g839 +g962 +tp995 +a(g428 +V +p996 +tp997 +a(g839 +g962 +tp998 +a(g693 +g964 +tp999 +a(g839 +V\u000a +p1000 +tp1001 +a(g7 +V@prefix +p1002 +tp1003 +a(g839 +g962 +tp1004 +a(g608 +Vskos: +p1005 +tp1006 +a(g839 +g962 +tp1007 +a(g428 +V +p1008 +tp1009 +a(g839 +g962 +tp1010 +a(g693 +g964 +tp1011 +a(g839 +V\u000a +p1012 +tp1013 +a(g7 +V@PREFIX +p1014 +tp1015 +a(g839 +g962 +tp1016 +a(g608 +Vdc: +p1017 +tp1018 +a(g839 +g962 +tp1019 +a(g428 +V +p1020 +tp1021 +a(g839 +V +p1022 +tp1023 +a(g709 +V# SPARQL-like syntax is OK +p1024 +tp1025 +a(g839 +V\u000a +p1026 +tp1027 +a(g7 +V@prefix +p1028 +tp1029 +a(g839 +g962 +tp1030 +a(g608 +V: +p1031 +tp1032 +a(g839 +g962 +tp1033 +a(g428 +V +p1034 +tp1035 +a(g839 +g962 +tp1036 +a(g693 +g964 +tp1037 +a(g839 +V +p1038 +tp1039 +a(g709 +V# empty prefix is OK +p1040 +tp1041 +a(g839 +V\u000a\u000a +p1042 +tp1043 +a(g428 +V +p1044 +tp1045 +a(g839 +g962 +tp1046 +a(g428 +V +p1047 +tp1048 +a(g839 +g962 +tp1049 +a(g428 +V +p1050 +tp1051 +a(g839 +g962 +tp1052 +a(g693 +g964 +tp1053 +a(g839 +V\u000a\u000a +p1054 +tp1055 +a(g428 +V<#doc1> +p1056 +tp1057 +a(g839 +g962 +tp1058 +a(g881 +Va +p1059 +tp1060 +a(g839 +g962 +tp1061 +a(g428 +V<#document> +p1062 +tp1063 +a(g839 +V\u000a +p1064 +tp1065 +a(g608 +Vdc: +p1066 +tp1067 +a(g545 +Vcreator +p1068 +tp1069 +a(g839 +g962 +tp1070 +a(g76 +V" +p1071 +tp1072 +a(g76 +VSmith +p1073 +tp1074 +a(g76 +g1071 +tp1075 +a(g693 +V, +p1076 +tp1077 +a(g839 +g962 +tp1078 +a(g76 +g1071 +tp1079 +a(g76 +VJones +p1080 +tp1081 +a(g76 +g1071 +tp1082 +a(g693 +V; +p1083 +tp1084 +a(g839 +V \u000a +p1085 +tp1086 +a(g608 +g1031 +tp1087 +a(g545 +Vknows +p1088 +tp1089 +a(g839 +g962 +tp1090 +a(g428 +V +p1091 +tp1092 +a(g839 +V\u000a +p1093 +tp1094 +a(g608 +Vdcterms: +p1095 +tp1096 +a(g545 +VhasPart +p1097 +tp1098 +a(g839 +g962 +tp1099 +a(g693 +V[ +p1100 +tp1101 +a(g839 +g962 +tp1102 +a(g709 +V# A comment +p1103 +tp1104 +a(g839 +V\u000a +p1105 +tp1106 +a(g608 +Vdc: +p1107 +tp1108 +a(g545 +Vtitle +p1109 +tp1110 +a(g839 +g962 +tp1111 +a(g76 +g1071 +tp1112 +a(g76 +VSome title +p1113 +tp1114 +a(g76 +g1071 +tp1115 +a(g693 +g1076 +tp1116 +a(g839 +g962 +tp1117 +a(g76 +g1071 +tp1118 +a(g76 +VSome other title +p1119 +tp1120 +a(g76 +g1071 +tp1121 +a(g693 +g1083 +tp1122 +a(g839 +V\u000a +p1123 +tp1124 +a(g608 +Vdc: +p1125 +tp1126 +a(g545 +Vcreator +p1127 +tp1128 +a(g839 +g962 +tp1129 +a(g76 +g1071 +tp1130 +a(g76 +V\u0628\u0631\u0634\u062a\u060c \u0628\u0631\u062a\u0648\u0644\u062f +p1131 +tp1132 +a(g76 +g1071 +tp1133 +a(g400 +V@ +p1134 +tp1135 +a(g341 +Var +p1136 +tp1137 +a(g693 +g1083 +tp1138 +a(g839 +V\u000a +p1139 +tp1140 +a(g608 +Vdc: +p1141 +tp1142 +a(g545 +Vdate +p1143 +tp1144 +a(g839 +g962 +tp1145 +a(g76 +g1071 +tp1146 +a(g76 +V2009 +p1147 +tp1148 +a(g76 +g1071 +tp1149 +a(g400 +V^^ +p1150 +tp1151 +a(g341 +Vxs: +p1152 +tp1153 +a(g341 +Vdate +p1154 +tp1155 +a(g839 +V\u000a +p1156 +tp1157 +a(g693 +V] +p1158 +tp1159 +a(g693 +g1083 +tp1160 +a(g839 +V\u000a +p1161 +tp1162 +a(g608 +Vdc: +p1163 +tp1164 +a(g545 +Vtitle +p1165 +tp1166 +a(g839 +g962 +tp1167 +a(g76 +g1071 +tp1168 +a(g76 +VA sample title +p1169 +tp1170 +a(g76 +g1071 +tp1171 +a(g693 +g1076 +tp1172 +a(g839 +g962 +tp1173 +a(g302 +V23.0 +p1174 +tp1175 +a(g693 +g1083 +tp1176 +a(g839 +V\u000a +p1177 +tp1178 +a(g608 +Vdcterms: +p1179 +tp1180 +a(g545 +VisPartOf +p1181 +tp1182 +a(g839 +g962 +tp1183 +a(g693 +g1100 +tp1184 +a(g839 +V\u000a +p1185 +tp1186 +a(g608 +Vdc: +p1187 +tp1188 +a(g545 +Vtitle +p1189 +tp1190 +a(g839 +g962 +tp1191 +a(g76 +g1071 +tp1192 +a(g76 +Vanother +p1193 +tp1194 +a(g76 +g1071 +tp1195 +a(g693 +g1076 +tp1196 +a(g839 +g962 +tp1197 +a(g76 +g1071 +tp1198 +a(g76 +Vtitle +p1199 +tp1200 +a(g76 +g1071 +tp1201 +a(g839 +V\u000a +p1202 +tp1203 +a(g693 +g1158 +tp1204 +a(g839 +g962 +tp1205 +a(g693 +g1083 +tp1206 +a(g839 +V\u000a +p1207 +tp1208 +a(g608 +g1031 +tp1209 +a(g545 +Vexists +p1210 +tp1211 +a(g839 +g962 +tp1212 +a(g39 +Vtrue +p1213 +tp1214 +a(g839 +g962 +tp1215 +a(g693 +g964 +tp1216 +a(g839 +V\u000a\u000a +p1217 +tp1218 +a(g428 +V +p1219 +tp1220 +a(g839 +g962 +tp1221 +a(g881 +g1059 +tp1222 +a(g839 +g962 +tp1223 +a(g608 +Vmads: +p1224 +tp1225 +a(g545 +VTopic +p1226 +tp1227 +a(g693 +g1076 +tp1228 +a(g839 +V\u000a +p1229 +tp1230 +a(g608 +Vskos: +p1231 +tp1232 +a(g545 +VConcept +p1233 +tp1234 +a(g839 +g962 +tp1235 +a(g693 +g1083 +tp1236 +a(g839 +V\u000a +p1237 +tp1238 +a(g608 +Vdcterms: +p1239 +tp1240 +a(g545 +Vcreated +p1241 +tp1242 +a(g839 +g962 +tp1243 +a(g76 +g1071 +tp1244 +a(g76 +V2014-08-25 +p1245 +tp1246 +a(g76 +g1071 +tp1247 +a(g400 +V^^ +p1248 +tp1249 +a(g341 +Vxsd: +p1250 +tp1251 +a(g341 +Vdate +p1252 +tp1253 +a(g839 +g962 +tp1254 +a(g693 +g1083 +tp1255 +a(g839 +V\u000a +p1256 +tp1257 +a(g608 +Vdcterms: +p1258 +tp1259 +a(g545 +Vmodified +p1260 +tp1261 +a(g839 +g962 +tp1262 +a(g76 +g1071 +tp1263 +a(g76 +V2014-11-12 +p1264 +tp1265 +a(g76 +g1071 +tp1266 +a(g400 +V^^ +p1267 +tp1268 +a(g341 +Vxsd: +p1269 +tp1270 +a(g341 +Vdate +p1271 +tp1272 +a(g839 +g962 +tp1273 +a(g693 +g1083 +tp1274 +a(g839 +V\u000a +p1275 +tp1276 +a(g608 +Vdcterms: +p1277 +tp1278 +a(g545 +Videntifier +p1279 +tp1280 +a(g839 +g962 +tp1281 +a(g76 +g1071 +tp1282 +a(g76 +VREAL006839 +p1283 +tp1284 +a(g76 +g1071 +tp1285 +a(g839 +g962 +tp1286 +a(g693 +g1083 +tp1287 +a(g839 +V\u000a +p1288 +tp1289 +a(g608 +Vskos: +p1290 +tp1291 +a(g545 +VprefLabel +p1292 +tp1293 +a(g839 +g962 +tp1294 +a(g76 +g1071 +tp1295 +a(g76 +VFlerbørstemarker +p1296 +tp1297 +a(g76 +g1071 +tp1298 +a(g400 +g1134 +tp1299 +a(g341 +Vnb +p1300 +tp1301 +a(g693 +g1076 +tp1302 +a(g839 +V\u000a +p1303 +tp1304 +a(g76 +g1071 +tp1305 +a(g76 +VPolychaeta +p1306 +tp1307 +a(g76 +g1071 +tp1308 +a(g400 +g1134 +tp1309 +a(g341 +Vla +p1310 +tp1311 +a(g839 +g962 +tp1312 +a(g693 +g1083 +tp1313 +a(g839 +V\u000a +p1314 +tp1315 +a(g608 +Vskos: +p1316 +tp1317 +a(g545 +ValtLabel +p1318 +tp1319 +a(g839 +g962 +tp1320 +a(g76 +g1071 +tp1321 +a(g76 +VFlerbørsteormer +p1322 +tp1323 +a(g76 +g1071 +tp1324 +a(g400 +g1134 +tp1325 +a(g341 +Vnb +p1326 +tp1327 +a(g693 +g1076 +tp1328 +a(g839 +V\u000a +p1329 +tp1330 +a(g76 +g1071 +tp1331 +a(g76 +VMangebørstemark +p1332 +tp1333 +a(g76 +g1071 +tp1334 +a(g400 +g1134 +tp1335 +a(g341 +Vnb +p1336 +tp1337 +a(g693 +g1076 +tp1338 +a(g839 +V\u000a +p1339 +tp1340 +a(g76 +g1071 +tp1341 +a(g76 +VMangebørsteormer +p1342 +tp1343 +a(g76 +g1071 +tp1344 +a(g400 +g1134 +tp1345 +a(g341 +Vnb +p1346 +tp1347 +a(g693 +g1076 +tp1348 +a(g839 +V\u000a +p1349 +tp1350 +a(g76 +g1071 +tp1351 +a(g76 +VHavbørsteormer +p1352 +tp1353 +a(g76 +g1071 +tp1354 +a(g400 +g1134 +tp1355 +a(g341 +Vnb +p1356 +tp1357 +a(g693 +g1076 +tp1358 +a(g839 +V\u000a +p1359 +tp1360 +a(g76 +g1071 +tp1361 +a(g76 +VHavbørstemarker +p1362 +tp1363 +a(g76 +g1071 +tp1364 +a(g400 +g1134 +tp1365 +a(g341 +Vnb +p1366 +tp1367 +a(g693 +g1076 +tp1368 +a(g839 +V\u000a +p1369 +tp1370 +a(g76 +g1071 +tp1371 +a(g76 +VPolycheter +p1372 +tp1373 +a(g76 +g1071 +tp1374 +a(g400 +g1134 +tp1375 +a(g341 +Vnb +p1376 +tp1377 +a(g693 +g964 +tp1378 +a(g839 +V\u000a +p1379 +tp1380 +a(g608 +Vskos: +p1381 +tp1382 +a(g545 +VinScheme +p1383 +tp1384 +a(g839 +g962 +tp1385 +a(g428 +V +p1386 +tp1387 +a(g839 +g962 +tp1388 +a(g693 +g1083 +tp1389 +a(g839 +V\u000a +p1390 +tp1391 +a(g608 +Vskos: +p1392 +tp1393 +a(g545 +Vnarrower +p1394 +tp1395 +a(g839 +g962 +tp1396 +a(g428 +V +p1397 +tp1398 +a(g693 +g1076 +tp1399 +a(g839 +V\u000a +p1400 +tp1401 +a(g428 +V +p1402 +tp1403 +a(g693 +g1076 +tp1404 +a(g839 +V\u000a +p1405 +tp1406 +a(g428 +V +p1407 +tp1408 +a(g839 +g962 +tp1409 +a(g693 +g1083 +tp1410 +a(g839 +V\u000a +p1411 +tp1412 +a(g608 +Vskos: +p1413 +tp1414 +a(g545 +VexactMatch +p1415 +tp1416 +a(g839 +g962 +tp1417 +a(g428 +V +p1418 +tp1419 +a(g693 +g1076 +tp1420 +a(g839 +V\u000a +p1421 +tp1422 +a(g428 +V +p1423 +tp1424 +a(g693 +g1076 +tp1425 +a(g839 +V\u000a +p1426 +tp1427 +a(g428 +V +p1428 +tp1429 +a(g839 +g962 +tp1430 +a(g693 +g964 +tp1431 +a(g839 +V\u000a +p1432 +tp1433 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.u b/tests/examplefiles/output/example.u new file mode 100644 index 0000000..5436718 --- /dev/null +++ b/tests/examplefiles/output/example.u @@ -0,0 +1,13444 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g725 +V// This is a one line comment. \u000a +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g792 +V/* +p962 +tp963 +a(g792 +g956 +tp964 +a(g792 +Va +p965 +tp966 +a(g792 +Vn +p967 +tp968 +a(g792 +g956 +tp969 +a(g792 +Vi +p970 +tp971 +a(g792 +g967 +tp972 +a(g792 +g967 +tp973 +a(g792 +Ve +p974 +tp975 +a(g792 +Vr +p976 +tp977 +a(g792 +g956 +tp978 +a(g792 +Vc +p979 +tp980 +a(g792 +Vo +p981 +tp982 +a(g792 +Vm +p983 +tp984 +a(g792 +g983 +tp985 +a(g792 +g974 +tp986 +a(g792 +g967 +tp987 +a(g792 +Vt +p988 +tp989 +a(g792 +g956 +tp990 +a(g792 +V*/ +p991 +tp992 +a(g7 +V \u000a \u000a +p993 +tp994 +a(g792 +V/* +p995 +tp996 +a(g792 +g956 +tp997 +a(g792 +g967 +tp998 +a(g792 +g974 +tp999 +a(g792 +Vs +p1000 +tp1001 +a(g792 +g988 +tp1002 +a(g792 +g974 +tp1003 +a(g792 +Vd +p1004 +tp1005 +a(g792 +g956 +tp1006 +a(g792 +V/* +p1007 +tp1008 +a(g792 +g956 +tp1009 +a(g792 +g979 +tp1010 +a(g792 +g981 +tp1011 +a(g792 +g983 +tp1012 +a(g792 +g983 +tp1013 +a(g792 +g974 +tp1014 +a(g792 +g967 +tp1015 +a(g792 +g988 +tp1016 +a(g792 +g1000 +tp1017 +a(g792 +g956 +tp1018 +a(g792 +V*/ +p1019 +tp1020 +a(g792 +g956 +tp1021 +a(g792 +V*/ +p1022 +tp1023 +a(g7 +V \u000a \u000a +p1024 +tp1025 +a(g792 +V/* +p1026 +tp1027 +a(g792 +g956 +tp1028 +a(g792 +V\u000a +p1029 +tp1030 +a(g792 +g956 +tp1031 +a(g792 +g956 +tp1032 +a(g792 +g956 +tp1033 +a(g792 +g956 +tp1034 +a(g792 +V/* +p1035 +tp1036 +a(g792 +g956 +tp1037 +a(g792 +V\u000a +p1038 +tp1039 +a(g792 +g956 +tp1040 +a(g792 +g956 +tp1041 +a(g792 +g956 +tp1042 +a(g792 +g956 +tp1043 +a(g792 +g956 +tp1044 +a(g792 +g956 +tp1045 +a(g792 +g956 +tp1046 +a(g792 +VM +p1047 +tp1048 +a(g792 +Vu +p1049 +tp1050 +a(g792 +Vl +p1051 +tp1052 +a(g792 +g988 +tp1053 +a(g792 +g970 +tp1054 +a(g792 +V- +p1055 +tp1056 +a(g792 +g1051 +tp1057 +a(g792 +g970 +tp1058 +a(g792 +g967 +tp1059 +a(g792 +g974 +tp1060 +a(g792 +V. +p1061 +tp1062 +a(g792 +g956 +tp1063 +a(g792 +V\u000a +p1064 +tp1065 +a(g792 +g956 +tp1066 +a(g792 +g956 +tp1067 +a(g792 +g956 +tp1068 +a(g792 +g956 +tp1069 +a(g792 +V*/ +p1070 +tp1071 +a(g792 +g956 +tp1072 +a(g792 +V\u000a +p1073 +tp1074 +a(g792 +g956 +tp1075 +a(g792 +g956 +tp1076 +a(g792 +V*/ +p1077 +tp1078 +a(g7 +V \u000a\u000a +p1079 +tp1080 +a(g725 +V// Binary blob escape. \u000a +p1081 +tp1082 +a(g725 +V//"some text \u005cB(3)("\u005c") ouhyeah" == "\u005c"\u005c\u005c\u005c"";\u000a +p1083 +tp1084 +a(g160 +V" +p1085 +tp1086 +a(g92 +Vsome text +p1087 +tp1088 +a(g216 +V\u005cB(3)("\u005c") +p1089 +tp1090 +a(g160 +V ouhyeah" +p1091 +tp1092 +a(g7 +g956 +tp1093 +a(g416 +V== +p1094 +tp1095 +a(g7 +g956 +tp1096 +a(g160 +g1085 +tp1097 +a(g160 +V\u005c"\u005c\u005c\u005c"" +p1098 +tp1099 +a(g709 +V; +p1100 +tp1101 +a(g7 +V\u000a +p1102 +tp1103 +a(g233 +V' +p1104 +tp1105 +a(g92 +Vsome text +p1106 +tp1107 +a(g216 +V\u005cB(3)('\u005c') +p1108 +tp1109 +a(g233 +V ouhyeah' +p1110 +tp1111 +a(g7 +g956 +tp1112 +a(g416 +V== +p1113 +tp1114 +a(g7 +g956 +tp1115 +a(g233 +g1104 +tp1116 +a(g233 +V\u005c'\u005c\u005c\u005c'' +p1117 +tp1118 +a(g709 +g1100 +tp1119 +a(g7 +V\u000a\u000a +p1120 +tp1121 +a(g725 +V//"\u005cB(4)()"'()";\u000a +p1122 +tp1123 +a(g160 +g1085 +tp1124 +a(g92 +V +p1125 +tp1126 +a(g216 +V\u005cB(4)()"'() +p1127 +tp1128 +a(g160 +g1085 +tp1129 +a(g709 +g1100 +tp1130 +a(g7 +V\u000a +p1131 +tp1132 +a(g233 +g1104 +tp1133 +a(g92 +g1125 +tp1134 +a(g216 +V\u005cB(4)()'"() +p1135 +tp1136 +a(g233 +g1104 +tp1137 +a(g709 +g1100 +tp1138 +a(g7 +V\u000a\u000a +p1139 +tp1140 +a(g725 +V//blob size limits\u000a +p1141 +tp1142 +a(g160 +g1085 +tp1143 +a(g92 +Vhey ! +p1144 +tp1145 +a(g216 +V\u005cB(0)() +p1146 +tp1147 +a(g160 +V oh !" +p1148 +tp1149 +a(g7 +V\u000a\u000a +p1150 +tp1151 +a(g725 +V//blob format is wrong\u000a +p1152 +tp1153 +a(g160 +g1085 +tp1154 +a(g92 +Vhey ! +p1155 +tp1156 +a(g92 +V\u005cB(2)( +p1157 +tp1158 +a(g160 +Vaaa) oh !" +p1159 +tp1160 +a(g7 +V\u000a +p1161 +tp1162 +a(g160 +g1085 +tp1163 +a(g92 +Vhey ! +p1164 +tp1165 +a(g92 +V\u005cB(100)( +p1166 +tp1167 +a(g160 +Vaaa) oh !" +p1168 +tp1169 +a(g7 +V\u000a\u000a +p1170 +tp1171 +a(g725 +V//multiple blob in a string\u000a +p1172 +tp1173 +a(g160 +g1085 +tp1174 +a(g92 +Vhey ! +p1175 +tp1176 +a(g216 +V\u005cB(3)(aaa) +p1177 +tp1178 +a(g92 +V hey ! +p1179 +tp1180 +a(g216 +V\u005cB(3)(aaa) +p1181 +tp1182 +a(g160 +V oh !" +p1183 +tp1184 +a(g7 +V\u000a\u000a +p1185 +tp1186 +a(g725 +V// multiple digits blob size \u000a +p1187 +tp1188 +a(g160 +g1085 +tp1189 +a(g92 +Vhey ! +p1190 +tp1191 +a(g216 +V\u005cB(10)(aaaaaaaaaa) +p1192 +tp1193 +a(g160 +V !" +p1194 +tp1195 +a(g7 +V\u000a +p1196 +tp1197 +a(g160 +g1085 +tp1198 +a(g92 +Vhey ! +p1199 +tp1200 +a(g92 +V\u005cB(10)( +p1201 +tp1202 +a(g160 +Vaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa) !" +p1203 +tp1204 +a(g7 +V\u000a +p1205 +tp1206 +a(g160 +g1085 +tp1207 +a(g92 +Vhey ! +p1208 +tp1209 +a(g92 +V\u005cB(100)( +p1210 +tp1211 +a(g160 +Va) !" +p1212 +tp1213 +a(g7 +V\u000a\u000a +p1214 +tp1215 +a(g725 +V// multiple digits blob size \u000a +p1216 +tp1217 +a(g160 +g1085 +tp1218 +a(g92 +Vhey ! +p1219 +tp1220 +a(g216 +V\u005cB(007)(aaaaaaa) +p1221 +tp1222 +a(g160 +V !" +p1223 +tp1224 +a(g7 +V\u000a +p1225 +tp1226 +a(g160 +g1085 +tp1227 +a(g92 +Vhey ! +p1228 +tp1229 +a(g92 +V\u005cB(007)( +p1230 +tp1231 +a(g160 +Vaa) !" +p1232 +tp1233 +a(g7 +V\u000a +p1234 +tp1235 +a(g160 +g1085 +tp1236 +a(g92 +Vhey ! +p1237 +tp1238 +a(g92 +V\u005cB(007)( +p1239 +tp1240 +a(g160 +Vaaaaaaaaaaaaaaaaaa) !" +p1241 +tp1242 +a(g7 +V\u000a\u000a +p1243 +tp1244 +a(g725 +V// deprecated and restricted keyworks \u000a +p1245 +tp1246 +a(g842 +Vemit +p1247 +tp1248 +a(g7 +g956 +tp1249 +a(g685 +VEvent +p1250 +tp1251 +a(g416 +g1061 +tp1252 +a(g842 +Vnew +p1253 +tp1254 +a(g709 +g1100 +tp1255 +a(g7 +V\u000a +p1256 +tp1257 +a(g842 +Vstatic +p1258 +tp1259 +a(g7 +g956 +tp1260 +a(g891 +Vint +p1261 +tp1262 +a(g7 +g956 +tp1263 +a(g654 +Vmain +p1264 +tp1265 +a(g709 +V() +p1266 +tp1267 +a(g709 +g1100 +tp1268 +a(g7 +V\u000a\u000a +p1269 +tp1270 +a(g842 +Vloopn +p1271 +tp1272 +a(g7 +g956 +tp1273 +a(g709 +V( +p1274 +tp1275 +a(g318 +V2 +p1276 +tp1277 +a(g709 +V) +p1278 +tp1279 +a(g7 +g956 +tp1280 +a(g709 +V{ +p1281 +tp1282 +a(g654 +Vecho +p1283 +tp1284 +a(g709 +g1274 +tp1285 +a(g160 +g1085 +tp1286 +a(g160 +Va" +p1287 +tp1288 +a(g709 +g1278 +tp1289 +a(g709 +g1100 +tp1290 +a(g709 +V} +p1291 +tp1292 +a(g709 +g1100 +tp1293 +a(g7 +V\u000a\u000a +p1294 +tp1295 +a(g842 +Vforeach +p1296 +tp1297 +a(g7 +g956 +tp1298 +a(g709 +g1274 +tp1299 +a(g907 +Vvar +p1300 +tp1301 +a(g7 +g956 +tp1302 +a(g654 +g970 +tp1303 +a(g7 +g956 +tp1304 +a(g416 +V: +p1305 +tp1306 +a(g7 +g956 +tp1307 +a(g709 +V[ +p1308 +tp1309 +a(g318 +V1 +p1310 +tp1311 +a(g709 +V, +p1312 +tp1313 +a(g318 +g1276 +tp1314 +a(g709 +g1312 +tp1315 +a(g318 +V3 +p1316 +tp1317 +a(g709 +g1312 +tp1318 +a(g318 +V4 +p1319 +tp1320 +a(g709 +V]) +p1321 +tp1322 +a(g7 +g956 +tp1323 +a(g709 +g1281 +tp1324 +a(g7 +V\u000a +p1325 +tp1326 +a(g654 +Vecho +p1327 +tp1328 +a(g709 +g1274 +tp1329 +a(g654 +g970 +tp1330 +a(g709 +g1278 +tp1331 +a(g709 +g1100 +tp1332 +a(g7 +V\u000a +p1333 +tp1334 +a(g709 +g1291 +tp1335 +a(g709 +g1100 +tp1336 +a(g7 +V\u000a\u000a +p1337 +tp1338 +a(g907 +Vfunction +p1339 +tp1340 +a(g709 +V() +p1341 +tp1342 +a(g7 +g956 +tp1343 +a(g709 +V{} +p1344 +tp1345 +a(g709 +g1100 +tp1346 +a(g7 +V\u000a \u000a +p1347 +tp1348 +a(g907 +Vvar +p1349 +tp1350 +a(g7 +g956 +tp1351 +a(g233 +g1104 +tp1352 +a(g233 +Vif' +p1353 +tp1354 +a(g709 +g1100 +tp1355 +a(g7 +V \u000a +p1356 +tp1357 +a(g907 +Vvar +p1358 +tp1359 +a(g7 +g956 +tp1360 +a(g842 +Vthis +p1361 +tp1362 +a(g416 +g1061 +tp1363 +a(g233 +g1104 +tp1364 +a(g233 +Velse' +p1365 +tp1366 +a(g709 +g1100 +tp1367 +a(g7 +V \u000a \u000a +p1368 +tp1369 +a(g907 +Vvar +p1370 +tp1371 +a(g7 +g956 +tp1372 +a(g233 +g1104 +tp1373 +a(g233 +V%x' +p1374 +tp1375 +a(g709 +g1100 +tp1376 +a(g7 +V \u000a +p1377 +tp1378 +a(g907 +Vvar +p1379 +tp1380 +a(g7 +g956 +tp1381 +a(g233 +g1104 +tp1382 +a(g233 +V1 2 3' +p1383 +tp1384 +a(g709 +g1100 +tp1385 +a(g7 +V \u000a +p1386 +tp1387 +a(g907 +Vvar +p1388 +tp1389 +a(g7 +g956 +tp1390 +a(g842 +Vthis +p1391 +tp1392 +a(g416 +g1061 +tp1393 +a(g233 +g1104 +tp1394 +a(g233 +V[]' +p1395 +tp1396 +a(g709 +g1100 +tp1397 +a(g7 +V\u000a \u000a +p1398 +tp1399 +a(g725 +V// angles \u000a +p1400 +tp1401 +a(g654 +Vpi +p1402 +tp1403 +a(g7 +g956 +tp1404 +a(g416 +V== +p1405 +tp1406 +a(g7 +g956 +tp1407 +a(g318 +V180deg +p1408 +tp1409 +a(g709 +g1100 +tp1410 +a(g7 +V \u000a +p1411 +tp1412 +a(g654 +Vpi +p1413 +tp1414 +a(g7 +g956 +tp1415 +a(g416 +V== +p1416 +tp1417 +a(g7 +g956 +tp1418 +a(g318 +V200grad +p1419 +tp1420 +a(g709 +g1100 +tp1421 +a(g7 +V\u000a \u000a +p1422 +tp1423 +a(g725 +V// Dictionary\u000a +p1424 +tp1425 +a(g709 +g1308 +tp1426 +a(g7 +g956 +tp1427 +a(g416 +V=> +p1428 +tp1429 +a(g7 +g956 +tp1430 +a(g709 +V] +p1431 +tp1432 +a(g709 +g1100 +tp1433 +a(g7 +g956 +tp1434 +a(g725 +V// The empty dictionary \u000a +p1435 +tp1436 +a(g7 +V\u000a +p1437 +tp1438 +a(g725 +V// duration \u000a +p1439 +tp1440 +a(g318 +V1d +p1441 +tp1442 +a(g7 +V +p1443 +tp1444 +a(g416 +V== +p1445 +tp1446 +a(g7 +g956 +tp1447 +a(g318 +V24h +p1448 +tp1449 +a(g709 +g1100 +tp1450 +a(g7 +V \u000a +p1451 +tp1452 +a(g318 +V0.5d +p1453 +tp1454 +a(g7 +g956 +tp1455 +a(g416 +V== +p1456 +tp1457 +a(g7 +g956 +tp1458 +a(g318 +V12h +p1459 +tp1460 +a(g709 +g1100 +tp1461 +a(g7 +V \u000a +p1462 +tp1463 +a(g318 +V1h +p1464 +tp1465 +a(g7 +V +p1466 +tp1467 +a(g416 +V== +p1468 +tp1469 +a(g7 +g956 +tp1470 +a(g318 +V60min +p1471 +tp1472 +a(g709 +g1100 +tp1473 +a(g7 +V \u000a +p1474 +tp1475 +a(g318 +V1min +p1476 +tp1477 +a(g7 +g956 +tp1478 +a(g416 +V== +p1479 +tp1480 +a(g7 +g956 +tp1481 +a(g318 +V60s +p1482 +tp1483 +a(g709 +g1100 +tp1484 +a(g7 +V \u000a +p1485 +tp1486 +a(g318 +V1s +p1487 +tp1488 +a(g7 +V +p1489 +tp1490 +a(g416 +V== +p1491 +tp1492 +a(g7 +g956 +tp1493 +a(g318 +V1000ms +p1494 +tp1495 +a(g709 +g1100 +tp1496 +a(g7 +V \u000a \u000a +p1497 +tp1498 +a(g318 +V1s +p1499 +tp1500 +a(g7 +g956 +tp1501 +a(g416 +V== +p1502 +tp1503 +a(g7 +g956 +tp1504 +a(g318 +g1310 +tp1505 +a(g709 +g1100 +tp1506 +a(g7 +V \u000a +p1507 +tp1508 +a(g318 +V1s +p1509 +tp1510 +a(g7 +g956 +tp1511 +a(g318 +V2s +p1512 +tp1513 +a(g7 +g956 +tp1514 +a(g318 +V3s +p1515 +tp1516 +a(g7 +g956 +tp1517 +a(g416 +V== +p1518 +tp1519 +a(g7 +g956 +tp1520 +a(g318 +V6 +p1521 +tp1522 +a(g709 +g1100 +tp1523 +a(g7 +V \u000a +p1524 +tp1525 +a(g318 +V1s +p1526 +tp1527 +a(g7 +g956 +tp1528 +a(g318 +V1ms +p1529 +tp1530 +a(g7 +g956 +tp1531 +a(g416 +V== +p1532 +tp1533 +a(g7 +g956 +tp1534 +a(g318 +V1.001 +p1535 +tp1536 +a(g709 +g1100 +tp1537 +a(g7 +V \u000a +p1538 +tp1539 +a(g318 +V1ms +p1540 +tp1541 +a(g7 +g956 +tp1542 +a(g318 +V1s +p1543 +tp1544 +a(g7 +g956 +tp1545 +a(g416 +V== +p1546 +tp1547 +a(g7 +g956 +tp1548 +a(g318 +V1.001 +p1549 +tp1550 +a(g709 +g1100 +tp1551 +a(g7 +V\u000a \u000a \u000a +p1552 +tp1553 +a(g318 +g1310 +tp1554 +a(g7 +g956 +tp1555 +a(g416 +V== +p1556 +tp1557 +a(g7 +g956 +tp1558 +a(g318 +g1310 +tp1559 +a(g709 +g1100 +tp1560 +a(g7 +V \u000a +p1561 +tp1562 +a(g318 +g1310 +tp1563 +a(g7 +g956 +tp1564 +a(g416 +V== +p1565 +tp1566 +a(g7 +g956 +tp1567 +a(g318 +V1.0 +p1568 +tp1569 +a(g709 +g1100 +tp1570 +a(g7 +V \u000a +p1571 +tp1572 +a(g318 +V1.2 +p1573 +tp1574 +a(g7 +g956 +tp1575 +a(g416 +V== +p1576 +tp1577 +a(g7 +g956 +tp1578 +a(g318 +V1.2000 +p1579 +tp1580 +a(g709 +g1100 +tp1581 +a(g7 +V \u000a +p1582 +tp1583 +a(g318 +V1.234e6 +p1584 +tp1585 +a(g7 +g956 +tp1586 +a(g416 +V== +p1587 +tp1588 +a(g7 +g956 +tp1589 +a(g318 +V1234000 +p1590 +tp1591 +a(g709 +g1100 +tp1592 +a(g7 +V \u000a +p1593 +tp1594 +a(g318 +V1e+11 +p1595 +tp1596 +a(g7 +g956 +tp1597 +a(g416 +V== +p1598 +tp1599 +a(g7 +g956 +tp1600 +a(g318 +V1E+11 +p1601 +tp1602 +a(g709 +g1100 +tp1603 +a(g7 +V \u000a +p1604 +tp1605 +a(g318 +V1e10 +p1606 +tp1607 +a(g7 +g956 +tp1608 +a(g416 +V== +p1609 +tp1610 +a(g7 +g956 +tp1611 +a(g318 +V10000000000 +p1612 +tp1613 +a(g709 +g1100 +tp1614 +a(g7 +V \u000a +p1615 +tp1616 +a(g318 +V1e30 +p1617 +tp1618 +a(g7 +g956 +tp1619 +a(g416 +V== +p1620 +tp1621 +a(g7 +g956 +tp1622 +a(g318 +V1e10 +p1623 +tp1624 +a(g7 +g956 +tp1625 +a(g416 +V* +p1626 +tp1627 +a(g7 +g956 +tp1628 +a(g318 +V1e10 +p1629 +tp1630 +a(g7 +g956 +tp1631 +a(g416 +g1626 +tp1632 +a(g7 +g956 +tp1633 +a(g318 +V1e10 +p1634 +tp1635 +a(g709 +g1100 +tp1636 +a(g7 +V\u000a \u000a \u000a +p1637 +tp1638 +a(g318 +V0.000001 +p1639 +tp1640 +a(g709 +g1100 +tp1641 +a(g7 +V \u000a \u000a +p1642 +tp1643 +a(g318 +V0.0000001 +p1644 +tp1645 +a(g709 +g1100 +tp1646 +a(g7 +V \u000a \u000a +p1647 +tp1648 +a(g318 +V0.00000000001 +p1649 +tp1650 +a(g709 +g1100 +tp1651 +a(g7 +V \u000a \u000a +p1652 +tp1653 +a(g318 +V1e+3 +p1654 +tp1655 +a(g709 +g1100 +tp1656 +a(g7 +V \u000a \u000a +p1657 +tp1658 +a(g318 +V1E-5 +p1659 +tp1660 +a(g709 +g1100 +tp1661 +a(g7 +V \u000a \u000a\u000a +p1662 +tp1663 +a(g318 +g1310 +tp1664 +a(g416 +g1061 +tp1665 +a(g709 +g1100 +tp1666 +a(g7 +V \u000a +p1667 +tp1668 +a(g725 +V// [00004701:error] !!! syntax error: unexpected ;\u000a +p1669 +tp1670 +a(g7 +V \u000a +p1671 +tp1672 +a(g301 +V0x2a +p1673 +tp1674 +a(g7 +g956 +tp1675 +a(g416 +V== +p1676 +tp1677 +a(g7 +g956 +tp1678 +a(g318 +V42 +p1679 +tp1680 +a(g709 +g1100 +tp1681 +a(g7 +V \u000a +p1682 +tp1683 +a(g301 +V0x2A +p1684 +tp1685 +a(g7 +g956 +tp1686 +a(g416 +V== +p1687 +tp1688 +a(g7 +g956 +tp1689 +a(g318 +V42 +p1690 +tp1691 +a(g709 +g1100 +tp1692 +a(g7 +V \u000a +p1693 +tp1694 +a(g301 +V0xabcdef +p1695 +tp1696 +a(g7 +g956 +tp1697 +a(g416 +V== +p1698 +tp1699 +a(g7 +g956 +tp1700 +a(g318 +V11259375 +p1701 +tp1702 +a(g709 +g1100 +tp1703 +a(g7 +V \u000a +p1704 +tp1705 +a(g301 +V0xABCDEF +p1706 +tp1707 +a(g7 +g956 +tp1708 +a(g416 +V== +p1709 +tp1710 +a(g7 +g956 +tp1711 +a(g318 +V11259375 +p1712 +tp1713 +a(g709 +g1100 +tp1714 +a(g7 +V \u000a +p1715 +tp1716 +a(g301 +V0xFFFFFFFF +p1717 +tp1718 +a(g7 +g956 +tp1719 +a(g416 +V== +p1720 +tp1721 +a(g7 +g956 +tp1722 +a(g318 +V4294967295 +p1723 +tp1724 +a(g709 +g1100 +tp1725 +a(g7 +V\u000a \u000a \u000a +p1726 +tp1727 +a(g725 +V//123foo; \u000a +p1728 +tp1729 +a(g725 +V//[00005658:error] !!! syntax error: invalid token: '123foo' \u000a +p1730 +tp1731 +a(g725 +V//12.3foo; \u000a +p1732 +tp1733 +a(g725 +V//[00018827:error] !!! syntax error: invalid token: '12.3foo' \u000a +p1734 +tp1735 +a(g301 +V0xabcdef +p1736 +tp1737 +a(g709 +g1100 +tp1738 +a(g7 +V \u000a +p1739 +tp1740 +a(g725 +V//[00060432] 11259375 \u000a +p1741 +tp1742 +a(g725 +V//0xabcdefg; \u000a +p1743 +tp1744 +a(g725 +V//[00061848:error] !!! syntax error: invalid token: '0xabcdefg'\u000a +p1745 +tp1746 +a(g7 +V \u000a \u000a +p1747 +tp1748 +a(g709 +V[] +p1749 +tp1750 +a(g709 +g1100 +tp1751 +a(g7 +g956 +tp1752 +a(g725 +V// The empty list \u000a +p1753 +tp1754 +a(g709 +g1308 +tp1755 +a(g318 +g1310 +tp1756 +a(g709 +g1312 +tp1757 +a(g7 +g956 +tp1758 +a(g318 +g1276 +tp1759 +a(g709 +g1312 +tp1760 +a(g7 +g956 +tp1761 +a(g318 +g1316 +tp1762 +a(g709 +g1431 +tp1763 +a(g709 +g1100 +tp1764 +a(g7 +V \u000a \u000a +p1765 +tp1766 +a(g725 +V// Special characters. \u000a +p1767 +tp1768 +a(g160 +g1085 +tp1769 +a(g160 +V\u005c"" +p1770 +tp1771 +a(g7 +g956 +tp1772 +a(g416 +V== +p1773 +tp1774 +a(g7 +g956 +tp1775 +a(g160 +g1085 +tp1776 +a(g160 +V\u005c"" +p1777 +tp1778 +a(g709 +g1100 +tp1779 +a(g7 +V \u000a +p1780 +tp1781 +a(g160 +g1085 +tp1782 +a(g160 +V\u005c\u005c" +p1783 +tp1784 +a(g7 +g956 +tp1785 +a(g416 +V== +p1786 +tp1787 +a(g7 +g956 +tp1788 +a(g160 +g1085 +tp1789 +a(g160 +V\u005c\u005c" +p1790 +tp1791 +a(g709 +g1100 +tp1792 +a(g7 +V \u000a \u000a +p1793 +tp1794 +a(g725 +V// ASCII characters. \u000a +p1795 +tp1796 +a(g160 +g1085 +tp1797 +a(g160 +V\u005ca" +p1798 +tp1799 +a(g7 +g956 +tp1800 +a(g416 +V== +p1801 +tp1802 +a(g7 +g956 +tp1803 +a(g160 +g1085 +tp1804 +a(g160 +V\u005c007" +p1805 +tp1806 +a(g709 +g1100 +tp1807 +a(g7 +g956 +tp1808 +a(g160 +g1085 +tp1809 +a(g160 +V\u005ca" +p1810 +tp1811 +a(g7 +g956 +tp1812 +a(g416 +V== +p1813 +tp1814 +a(g7 +g956 +tp1815 +a(g160 +g1085 +tp1816 +a(g160 +V\u005cx07" +p1817 +tp1818 +a(g709 +g1100 +tp1819 +a(g7 +V \u000a +p1820 +tp1821 +a(g160 +g1085 +tp1822 +a(g160 +V\u005cb" +p1823 +tp1824 +a(g7 +g956 +tp1825 +a(g416 +V== +p1826 +tp1827 +a(g7 +g956 +tp1828 +a(g160 +g1085 +tp1829 +a(g160 +V\u005c010" +p1830 +tp1831 +a(g709 +g1100 +tp1832 +a(g7 +g956 +tp1833 +a(g160 +g1085 +tp1834 +a(g160 +V\u005cb" +p1835 +tp1836 +a(g7 +g956 +tp1837 +a(g416 +V== +p1838 +tp1839 +a(g7 +g956 +tp1840 +a(g160 +g1085 +tp1841 +a(g160 +V\u005cx08" +p1842 +tp1843 +a(g709 +g1100 +tp1844 +a(g7 +V \u000a +p1845 +tp1846 +a(g160 +g1085 +tp1847 +a(g160 +V\u005cf" +p1848 +tp1849 +a(g7 +g956 +tp1850 +a(g416 +V== +p1851 +tp1852 +a(g7 +g956 +tp1853 +a(g160 +g1085 +tp1854 +a(g160 +V\u005c014" +p1855 +tp1856 +a(g709 +g1100 +tp1857 +a(g7 +g956 +tp1858 +a(g160 +g1085 +tp1859 +a(g160 +V\u005cf" +p1860 +tp1861 +a(g7 +g956 +tp1862 +a(g416 +V== +p1863 +tp1864 +a(g7 +g956 +tp1865 +a(g160 +g1085 +tp1866 +a(g160 +V\u005cx0c" +p1867 +tp1868 +a(g709 +g1100 +tp1869 +a(g7 +V \u000a +p1870 +tp1871 +a(g160 +g1085 +tp1872 +a(g160 +V\u005cn" +p1873 +tp1874 +a(g7 +g956 +tp1875 +a(g416 +V== +p1876 +tp1877 +a(g7 +g956 +tp1878 +a(g160 +g1085 +tp1879 +a(g160 +V\u005c012" +p1880 +tp1881 +a(g709 +g1100 +tp1882 +a(g7 +g956 +tp1883 +a(g160 +g1085 +tp1884 +a(g160 +V\u005cn" +p1885 +tp1886 +a(g7 +g956 +tp1887 +a(g416 +V== +p1888 +tp1889 +a(g7 +g956 +tp1890 +a(g160 +g1085 +tp1891 +a(g160 +V\u005cx0a" +p1892 +tp1893 +a(g709 +g1100 +tp1894 +a(g7 +V \u000a +p1895 +tp1896 +a(g160 +g1085 +tp1897 +a(g160 +V\u005cr" +p1898 +tp1899 +a(g7 +g956 +tp1900 +a(g416 +V== +p1901 +tp1902 +a(g7 +g956 +tp1903 +a(g160 +g1085 +tp1904 +a(g160 +V\u005c015" +p1905 +tp1906 +a(g709 +g1100 +tp1907 +a(g7 +g956 +tp1908 +a(g160 +g1085 +tp1909 +a(g160 +V\u005cr" +p1910 +tp1911 +a(g7 +g956 +tp1912 +a(g416 +V== +p1913 +tp1914 +a(g7 +g956 +tp1915 +a(g160 +g1085 +tp1916 +a(g160 +V\u005cx0d" +p1917 +tp1918 +a(g709 +g1100 +tp1919 +a(g7 +V \u000a +p1920 +tp1921 +a(g160 +g1085 +tp1922 +a(g160 +V\u005ct" +p1923 +tp1924 +a(g7 +g956 +tp1925 +a(g416 +V== +p1926 +tp1927 +a(g7 +g956 +tp1928 +a(g160 +g1085 +tp1929 +a(g160 +V\u005c011" +p1930 +tp1931 +a(g709 +g1100 +tp1932 +a(g7 +g956 +tp1933 +a(g160 +g1085 +tp1934 +a(g160 +V\u005ct" +p1935 +tp1936 +a(g7 +g956 +tp1937 +a(g416 +V== +p1938 +tp1939 +a(g7 +g956 +tp1940 +a(g160 +g1085 +tp1941 +a(g160 +V\u005cx09" +p1942 +tp1943 +a(g709 +g1100 +tp1944 +a(g7 +V \u000a +p1945 +tp1946 +a(g160 +g1085 +tp1947 +a(g160 +V\u005cv" +p1948 +tp1949 +a(g7 +g956 +tp1950 +a(g416 +V== +p1951 +tp1952 +a(g7 +g956 +tp1953 +a(g160 +g1085 +tp1954 +a(g160 +V\u005c013" +p1955 +tp1956 +a(g709 +g1100 +tp1957 +a(g7 +g956 +tp1958 +a(g160 +g1085 +tp1959 +a(g160 +V\u005cv" +p1960 +tp1961 +a(g7 +g956 +tp1962 +a(g416 +V== +p1963 +tp1964 +a(g7 +g956 +tp1965 +a(g160 +g1085 +tp1966 +a(g160 +V\u005cx0b" +p1967 +tp1968 +a(g709 +g1100 +tp1969 +a(g7 +V \u000a \u000a +p1970 +tp1971 +a(g725 +V// Octal escapes. \u000a +p1972 +tp1973 +a(g160 +g1085 +tp1974 +a(g160 +V\u005c0" +p1975 +tp1976 +a(g7 +g956 +tp1977 +a(g416 +V== +p1978 +tp1979 +a(g7 +g956 +tp1980 +a(g160 +g1085 +tp1981 +a(g160 +V\u005c00" +p1982 +tp1983 +a(g709 +g1100 +tp1984 +a(g7 +g956 +tp1985 +a(g160 +g1085 +tp1986 +a(g160 +V\u005c0" +p1987 +tp1988 +a(g7 +g956 +tp1989 +a(g416 +V== +p1990 +tp1991 +a(g7 +g956 +tp1992 +a(g160 +g1085 +tp1993 +a(g160 +V\u005c000" +p1994 +tp1995 +a(g709 +g1100 +tp1996 +a(g7 +V \u000a +p1997 +tp1998 +a(g160 +g1085 +tp1999 +a(g160 +V\u005c0000" +p2000 +tp2001 +a(g7 +g956 +tp2002 +a(g416 +V== +p2003 +tp2004 +a(g7 +g956 +tp2005 +a(g160 +g1085 +tp2006 +a(g160 +V\u005c0" +p2007 +tp2008 +a(g160 +g1085 +tp2009 +a(g160 +V0" +p2010 +tp2011 +a(g709 +g1100 +tp2012 +a(g7 +V \u000a +p2013 +tp2014 +a(g160 +g1085 +tp2015 +a(g160 +V\u005c062\u005c063" +p2016 +tp2017 +a(g7 +g956 +tp2018 +a(g416 +V== +p2019 +tp2020 +a(g7 +g956 +tp2021 +a(g160 +g1085 +tp2022 +a(g160 +V23" +p2023 +tp2024 +a(g709 +g1100 +tp2025 +a(g7 +V \u000a \u000a +p2026 +tp2027 +a(g725 +V// Hexadecimal escapes. \u000a +p2028 +tp2029 +a(g160 +g1085 +tp2030 +a(g160 +V\u005cx00" +p2031 +tp2032 +a(g7 +g956 +tp2033 +a(g416 +V== +p2034 +tp2035 +a(g7 +g956 +tp2036 +a(g160 +g1085 +tp2037 +a(g160 +V\u005c0" +p2038 +tp2039 +a(g709 +g1100 +tp2040 +a(g7 +V \u000a +p2041 +tp2042 +a(g160 +g1085 +tp2043 +a(g160 +V\u005cx32\u005cx33" +p2044 +tp2045 +a(g7 +g956 +tp2046 +a(g416 +V== +p2047 +tp2048 +a(g7 +g956 +tp2049 +a(g160 +g1085 +tp2050 +a(g160 +V23" +p2051 +tp2052 +a(g709 +g1100 +tp2053 +a(g7 +V \u000a \u000a \u000a \u000a +p2054 +tp2055 +a(g160 +g1085 +tp2056 +a(g160 +Vfoo" +p2057 +tp2058 +a(g7 +g956 +tp2059 +a(g160 +g1085 +tp2060 +a(g160 +Vbar" +p2061 +tp2062 +a(g7 +g956 +tp2063 +a(g160 +g1085 +tp2064 +a(g160 +Vbaz" +p2065 +tp2066 +a(g7 +g956 +tp2067 +a(g416 +V== +p2068 +tp2069 +a(g7 +g956 +tp2070 +a(g160 +g1085 +tp2071 +a(g160 +Vfoobarbaz" +p2072 +tp2073 +a(g709 +g1100 +tp2074 +a(g7 +V\u000a \u000a +p2075 +tp2076 +a(g725 +V// Tuples \u000a +p2077 +tp2078 +a(g709 +V() +p2079 +tp2080 +a(g709 +g1100 +tp2081 +a(g7 +V \u000a +p2082 +tp2083 +a(g709 +g1308 +tp2084 +a(g318 +V00000000 +p2085 +tp2086 +a(g709 +g1431 +tp2087 +a(g7 +g956 +tp2088 +a(g709 +V() +p2089 +tp2090 +a(g7 +V \u000a +p2091 +tp2092 +a(g709 +g1274 +tp2093 +a(g318 +g1310 +tp2094 +a(g709 +g1312 +tp2095 +a(g709 +g1278 +tp2096 +a(g709 +g1100 +tp2097 +a(g7 +V \u000a +p2098 +tp2099 +a(g709 +g1308 +tp2100 +a(g318 +V00000000 +p2101 +tp2102 +a(g709 +g1431 +tp2103 +a(g7 +g956 +tp2104 +a(g709 +g1274 +tp2105 +a(g318 +g1310 +tp2106 +a(g709 +g1312 +tp2107 +a(g709 +g1278 +tp2108 +a(g7 +V \u000a +p2109 +tp2110 +a(g709 +g1274 +tp2111 +a(g318 +g1310 +tp2112 +a(g709 +g1312 +tp2113 +a(g7 +g956 +tp2114 +a(g318 +g1276 +tp2115 +a(g709 +g1278 +tp2116 +a(g709 +g1100 +tp2117 +a(g7 +V \u000a +p2118 +tp2119 +a(g709 +g1308 +tp2120 +a(g318 +V00000000 +p2121 +tp2122 +a(g709 +g1431 +tp2123 +a(g7 +g956 +tp2124 +a(g709 +g1274 +tp2125 +a(g318 +g1310 +tp2126 +a(g709 +g1312 +tp2127 +a(g7 +g956 +tp2128 +a(g318 +g1276 +tp2129 +a(g709 +g1278 +tp2130 +a(g7 +V \u000a +p2131 +tp2132 +a(g709 +g1274 +tp2133 +a(g318 +g1310 +tp2134 +a(g709 +g1312 +tp2135 +a(g7 +g956 +tp2136 +a(g318 +g1276 +tp2137 +a(g709 +g1312 +tp2138 +a(g7 +g956 +tp2139 +a(g318 +g1316 +tp2140 +a(g709 +g1312 +tp2141 +a(g7 +g956 +tp2142 +a(g318 +g1319 +tp2143 +a(g709 +g1312 +tp2144 +a(g709 +g1278 +tp2145 +a(g709 +g1100 +tp2146 +a(g7 +V \u000a +p2147 +tp2148 +a(g709 +g1308 +tp2149 +a(g318 +V00000000 +p2150 +tp2151 +a(g709 +g1431 +tp2152 +a(g7 +g956 +tp2153 +a(g709 +g1274 +tp2154 +a(g318 +g1310 +tp2155 +a(g709 +g1312 +tp2156 +a(g7 +g956 +tp2157 +a(g318 +g1276 +tp2158 +a(g709 +g1312 +tp2159 +a(g7 +g956 +tp2160 +a(g318 +g1316 +tp2161 +a(g709 +g1312 +tp2162 +a(g7 +g956 +tp2163 +a(g318 +g1319 +tp2164 +a(g709 +g1278 +tp2165 +a(g7 +V\u000a \u000a +p2166 +tp2167 +a(g907 +Vfunction +p2168 +tp2169 +a(g7 +g956 +tp2170 +a(g685 +VGlobal +p2171 +tp2172 +a(g416 +g1061 +tp2173 +a(g654 +VverboseId +p2174 +tp2175 +a(g709 +g1274 +tp2176 +a(g907 +Vvar +p2177 +tp2178 +a(g7 +g956 +tp2179 +a(g654 +Vx +p2180 +tp2181 +a(g709 +g1278 +tp2182 +a(g7 +V \u000a +p2183 +tp2184 +a(g709 +g1281 +tp2185 +a(g7 +V \u000a +p2186 +tp2187 +a(g654 +Vecho +p2188 +tp2189 +a(g709 +g1274 +tp2190 +a(g654 +g2180 +tp2191 +a(g709 +g1278 +tp2192 +a(g7 +g956 +tp2193 +a(g709 +V| +p2194 +tp2195 +a(g7 +g956 +tp2196 +a(g654 +g2180 +tp2197 +a(g7 +V \u000a +p2198 +tp2199 +a(g709 +g1291 +tp2200 +a(g709 +V|; +p2201 +tp2202 +a(g7 +V \u000a +p2203 +tp2204 +a(g907 +Vclass +p2205 +tp2206 +a(g7 +g956 +tp2207 +a(g654 +VverboseId +p2208 +tp2209 +a(g709 +g1274 +tp2210 +a(g685 +VGlobal +p2211 +tp2212 +a(g709 +g1278 +tp2213 +a(g416 +g1061 +tp2214 +a(g654 +Vmath +p2215 +tp2216 +a(g7 +g956 +tp2217 +a(g416 +g1305 +tp2218 +a(g7 +g956 +tp2219 +a(g654 +VverboseId +p2220 +tp2221 +a(g709 +g1274 +tp2222 +a(g685 +VMath +p2223 +tp2224 +a(g709 +g1278 +tp2225 +a(g7 +V \u000a +p2226 +tp2227 +a(g709 +g1281 +tp2228 +a(g7 +V \u000a +p2229 +tp2230 +a(g709 +g1291 +tp2231 +a(g709 +g1100 +tp2232 +a(g7 +V \u000a \u000a +p2233 +tp2234 +a(g709 +g1281 +tp2235 +a(g7 +V \u000a +p2236 +tp2237 +a(g842 +Vfor +p2238 +tp2239 +a(g7 +g956 +tp2240 +a(g709 +g1274 +tp2241 +a(g318 +g1316 +tp2242 +a(g709 +g1278 +tp2243 +a(g7 +V \u000a +p2244 +tp2245 +a(g709 +g1281 +tp2246 +a(g7 +V \u000a +p2247 +tp2248 +a(g654 +Vsleep +p2249 +tp2250 +a(g709 +g1274 +tp2251 +a(g318 +V1s +p2252 +tp2253 +a(g709 +g1278 +tp2254 +a(g709 +g1100 +tp2255 +a(g7 +V \u000a +p2256 +tp2257 +a(g654 +Vecho +p2258 +tp2259 +a(g709 +g1274 +tp2260 +a(g160 +g1085 +tp2261 +a(g160 +Vping" +p2262 +tp2263 +a(g709 +g1278 +tp2264 +a(g709 +g1100 +tp2265 +a(g7 +V \u000a +p2266 +tp2267 +a(g709 +g1291 +tp2268 +a(g709 +g1312 +tp2269 +a(g7 +V \u000a +p2270 +tp2271 +a(g654 +Vsleep +p2272 +tp2273 +a(g709 +g1274 +tp2274 +a(g318 +V0.5s +p2275 +tp2276 +a(g709 +g1278 +tp2277 +a(g709 +g1100 +tp2278 +a(g7 +V \u000a +p2279 +tp2280 +a(g842 +Vfor +p2281 +tp2282 +a(g7 +g956 +tp2283 +a(g709 +g1274 +tp2284 +a(g318 +g1316 +tp2285 +a(g709 +g1278 +tp2286 +a(g7 +V \u000a +p2287 +tp2288 +a(g709 +g1281 +tp2289 +a(g7 +V \u000a +p2290 +tp2291 +a(g654 +Vsleep +p2292 +tp2293 +a(g709 +g1274 +tp2294 +a(g318 +V1s +p2295 +tp2296 +a(g709 +g1278 +tp2297 +a(g709 +g1100 +tp2298 +a(g7 +V \u000a +p2299 +tp2300 +a(g654 +Vecho +p2301 +tp2302 +a(g709 +g1274 +tp2303 +a(g160 +g1085 +tp2304 +a(g160 +Vpong" +p2305 +tp2306 +a(g709 +g1278 +tp2307 +a(g709 +g1100 +tp2308 +a(g7 +V \u000a +p2309 +tp2310 +a(g709 +g1291 +tp2311 +a(g709 +g1312 +tp2312 +a(g7 +V \u000a +p2313 +tp2314 +a(g709 +g1291 +tp2315 +a(g709 +g1100 +tp2316 +a(g7 +V \u000a \u000a +p2317 +tp2318 +a(g318 +g1310 +tp2319 +a(g7 +g956 +tp2320 +a(g416 +V+ +p2321 +tp2322 +a(g7 +g956 +tp2323 +a(g318 +g1310 +tp2324 +a(g7 +g956 +tp2325 +a(g416 +V== +p2326 +tp2327 +a(g7 +V +p2328 +tp2329 +a(g318 +g1276 +tp2330 +a(g709 +g1100 +tp2331 +a(g7 +V \u000a +p2332 +tp2333 +a(g318 +g1310 +tp2334 +a(g7 +g956 +tp2335 +a(g416 +g1055 +tp2336 +a(g7 +g956 +tp2337 +a(g318 +g1276 +tp2338 +a(g7 +g956 +tp2339 +a(g416 +V== +p2340 +tp2341 +a(g7 +V +p2342 +tp2343 +a(g416 +g1055 +tp2344 +a(g318 +g1310 +tp2345 +a(g709 +g1100 +tp2346 +a(g7 +V \u000a +p2347 +tp2348 +a(g318 +g1276 +tp2349 +a(g7 +g956 +tp2350 +a(g416 +g1626 +tp2351 +a(g7 +g956 +tp2352 +a(g318 +g1316 +tp2353 +a(g7 +g956 +tp2354 +a(g416 +V== +p2355 +tp2356 +a(g7 +V +p2357 +tp2358 +a(g318 +g1521 +tp2359 +a(g709 +g1100 +tp2360 +a(g7 +V \u000a +p2361 +tp2362 +a(g318 +V10 +p2363 +tp2364 +a(g7 +g956 +tp2365 +a(g416 +V/ +p2366 +tp2367 +a(g7 +g956 +tp2368 +a(g318 +g1276 +tp2369 +a(g7 +g956 +tp2370 +a(g416 +V== +p2371 +tp2372 +a(g7 +V +p2373 +tp2374 +a(g318 +V5 +p2375 +tp2376 +a(g709 +g1100 +tp2377 +a(g7 +V \u000a +p2378 +tp2379 +a(g318 +g1276 +tp2380 +a(g7 +g956 +tp2381 +a(g416 +V** +p2382 +tp2383 +a(g7 +g956 +tp2384 +a(g318 +V10 +p2385 +tp2386 +a(g7 +g956 +tp2387 +a(g416 +V== +p2388 +tp2389 +a(g7 +g956 +tp2390 +a(g318 +V1024 +p2391 +tp2392 +a(g709 +g1100 +tp2393 +a(g7 +V \u000a +p2394 +tp2395 +a(g416 +g1055 +tp2396 +a(g709 +g1274 +tp2397 +a(g318 +g1310 +tp2398 +a(g7 +g956 +tp2399 +a(g416 +g2321 +tp2400 +a(g7 +g956 +tp2401 +a(g318 +g1276 +tp2402 +a(g709 +g1278 +tp2403 +a(g7 +g956 +tp2404 +a(g416 +V== +p2405 +tp2406 +a(g7 +V +p2407 +tp2408 +a(g416 +g1055 +tp2409 +a(g318 +g1316 +tp2410 +a(g709 +g1100 +tp2411 +a(g7 +V \u000a +p2412 +tp2413 +a(g318 +g1310 +tp2414 +a(g7 +g956 +tp2415 +a(g416 +g2321 +tp2416 +a(g7 +g956 +tp2417 +a(g318 +g1276 +tp2418 +a(g7 +g956 +tp2419 +a(g416 +g1626 +tp2420 +a(g7 +g956 +tp2421 +a(g318 +g1316 +tp2422 +a(g7 +g956 +tp2423 +a(g416 +V== +p2424 +tp2425 +a(g7 +V +p2426 +tp2427 +a(g318 +V7 +p2428 +tp2429 +a(g709 +g1100 +tp2430 +a(g7 +V \u000a +p2431 +tp2432 +a(g709 +g1274 +tp2433 +a(g318 +g1310 +tp2434 +a(g7 +g956 +tp2435 +a(g416 +g2321 +tp2436 +a(g7 +g956 +tp2437 +a(g318 +g1276 +tp2438 +a(g709 +g1278 +tp2439 +a(g7 +g956 +tp2440 +a(g416 +g1626 +tp2441 +a(g7 +g956 +tp2442 +a(g318 +g1316 +tp2443 +a(g7 +g956 +tp2444 +a(g416 +V== +p2445 +tp2446 +a(g7 +V +p2447 +tp2448 +a(g318 +V9 +p2449 +tp2450 +a(g709 +g1100 +tp2451 +a(g7 +V \u000a +p2452 +tp2453 +a(g416 +g1055 +tp2454 +a(g318 +g1276 +tp2455 +a(g7 +g956 +tp2456 +a(g416 +V** +p2457 +tp2458 +a(g7 +g956 +tp2459 +a(g318 +g1276 +tp2460 +a(g7 +g956 +tp2461 +a(g416 +V== +p2462 +tp2463 +a(g7 +V +p2464 +tp2465 +a(g416 +g1055 +tp2466 +a(g318 +g1319 +tp2467 +a(g709 +g1100 +tp2468 +a(g7 +V \u000a +p2469 +tp2470 +a(g416 +g1055 +tp2471 +a(g7 +g956 +tp2472 +a(g416 +g1055 +tp2473 +a(g7 +g956 +tp2474 +a(g416 +g1055 +tp2475 +a(g7 +g956 +tp2476 +a(g416 +g1055 +tp2477 +a(g7 +g956 +tp2478 +a(g318 +g1310 +tp2479 +a(g7 +g956 +tp2480 +a(g416 +V== +p2481 +tp2482 +a(g7 +V +p2483 +tp2484 +a(g318 +g1310 +tp2485 +a(g709 +g1100 +tp2486 +a(g7 +V\u000a\u000a +p2487 +tp2488 +a(g654 +g965 +tp2489 +a(g7 +g956 +tp2490 +a(g416 +V= +p2491 +tp2492 +a(g7 +g956 +tp2493 +a(g654 +Vb +p2494 +tp2495 +a(g7 +V\u000a +p2496 +tp2497 +a(g654 +g965 +tp2498 +a(g7 +g956 +tp2499 +a(g416 +V+= +p2500 +tp2501 +a(g7 +g956 +tp2502 +a(g654 +g2494 +tp2503 +a(g7 +V\u000a +p2504 +tp2505 +a(g654 +g965 +tp2506 +a(g7 +g956 +tp2507 +a(g416 +V-= +p2508 +tp2509 +a(g7 +g956 +tp2510 +a(g654 +g2494 +tp2511 +a(g7 +V\u000a +p2512 +tp2513 +a(g654 +g965 +tp2514 +a(g7 +g956 +tp2515 +a(g416 +V*= +p2516 +tp2517 +a(g7 +g956 +tp2518 +a(g654 +g2494 +tp2519 +a(g7 +V\u000a +p2520 +tp2521 +a(g654 +g965 +tp2522 +a(g7 +g956 +tp2523 +a(g416 +V/= +p2524 +tp2525 +a(g7 +g956 +tp2526 +a(g654 +g2494 +tp2527 +a(g7 +V\u000a +p2528 +tp2529 +a(g654 +g965 +tp2530 +a(g7 +g956 +tp2531 +a(g416 +V%= +p2532 +tp2533 +a(g7 +g956 +tp2534 +a(g654 +g2494 +tp2535 +a(g7 +V\u000a +p2536 +tp2537 +a(g654 +g965 +tp2538 +a(g7 +g956 +tp2539 +a(g416 +V^= +p2540 +tp2541 +a(g7 +g956 +tp2542 +a(g654 +g2494 +tp2543 +a(g7 +V\u000a\u000a \u000a +p2544 +tp2545 +a(g907 +Vvar +p2546 +tp2547 +a(g7 +g956 +tp2548 +a(g654 +Vvalue +p2549 +tp2550 +a(g7 +g956 +tp2551 +a(g416 +g2491 +tp2552 +a(g7 +g956 +tp2553 +a(g318 +V0 +p2554 +tp2555 +a(g709 +V|; +p2556 +tp2557 +a(g7 +V \u000a +p2558 +tp2559 +a(g907 +Vvar +p2560 +tp2561 +a(g7 +g956 +tp2562 +a(g654 +VvalueAlias +p2563 +tp2564 +a(g7 +g956 +tp2565 +a(g416 +g2491 +tp2566 +a(g7 +g956 +tp2567 +a(g654 +Vvalue +p2568 +tp2569 +a(g709 +V|; +p2570 +tp2571 +a(g7 +V \u000a +p2572 +tp2573 +a(g654 +Vvalue +p2574 +tp2575 +a(g7 +g956 +tp2576 +a(g416 +V+= +p2577 +tp2578 +a(g7 +g956 +tp2579 +a(g318 +V10 +p2580 +tp2581 +a(g709 +g1100 +tp2582 +a(g7 +V \u000a +p2583 +tp2584 +a(g654 +VvalueAlias +p2585 +tp2586 +a(g709 +g1100 +tp2587 +a(g7 +V \u000a +p2588 +tp2589 +a(g907 +Vvar +p2590 +tp2591 +a(g7 +g956 +tp2592 +a(g654 +VmyList +p2593 +tp2594 +a(g7 +g956 +tp2595 +a(g416 +g2491 +tp2596 +a(g7 +g956 +tp2597 +a(g709 +V[] +p2598 +tp2599 +a(g709 +V|; +p2600 +tp2601 +a(g7 +V \u000a +p2602 +tp2603 +a(g907 +Vvar +p2604 +tp2605 +a(g7 +g956 +tp2606 +a(g654 +VmyList +p2607 +tp2608 +a(g416 +g1061 +tp2609 +a(g654 +VspecialFeature +p2610 +tp2611 +a(g7 +g956 +tp2612 +a(g416 +g2491 +tp2613 +a(g7 +g956 +tp2614 +a(g318 +V42 +p2615 +tp2616 +a(g709 +V|; +p2617 +tp2618 +a(g7 +V \u000a +p2619 +tp2620 +a(g654 +VmyList +p2621 +tp2622 +a(g7 +g956 +tp2623 +a(g416 +V+= +p2624 +tp2625 +a(g7 +g956 +tp2626 +a(g709 +g1308 +tp2627 +a(g318 +g1310 +tp2628 +a(g709 +g1312 +tp2629 +a(g7 +g956 +tp2630 +a(g318 +g1276 +tp2631 +a(g709 +g1312 +tp2632 +a(g7 +g956 +tp2633 +a(g318 +g1316 +tp2634 +a(g709 +g1431 +tp2635 +a(g709 +g1100 +tp2636 +a(g7 +V \u000a +p2637 +tp2638 +a(g654 +VmyList +p2639 +tp2640 +a(g416 +g1061 +tp2641 +a(g654 +VspecialFeature +p2642 +tp2643 +a(g709 +g1100 +tp2644 +a(g7 +V \u000a +p2645 +tp2646 +a(g907 +Vvar +p2647 +tp2648 +a(g7 +g956 +tp2649 +a(g654 +VmyOtherList +p2650 +tp2651 +a(g7 +g956 +tp2652 +a(g416 +g2491 +tp2653 +a(g7 +g956 +tp2654 +a(g654 +VmyList +p2655 +tp2656 +a(g7 +g956 +tp2657 +a(g416 +g2321 +tp2658 +a(g7 +g956 +tp2659 +a(g709 +g1308 +tp2660 +a(g318 +g1319 +tp2661 +a(g709 +g1312 +tp2662 +a(g7 +g956 +tp2663 +a(g318 +g2375 +tp2664 +a(g709 +g1431 +tp2665 +a(g709 +g1100 +tp2666 +a(g7 +V \u000a +p2667 +tp2668 +a(g654 +VmyOtherList +p2669 +tp2670 +a(g416 +g1061 +tp2671 +a(g654 +VspecialFeature +p2672 +tp2673 +a(g709 +g1100 +tp2674 +a(g7 +V \u000a +p2675 +tp2676 +a(g907 +Vvar +p2677 +tp2678 +a(g7 +g956 +tp2679 +a(g654 +Vsomething +p2680 +tp2681 +a(g7 +g956 +tp2682 +a(g416 +g2491 +tp2683 +a(g7 +g956 +tp2684 +a(g709 +V[] +p2685 +tp2686 +a(g709 +V|; +p2687 +tp2688 +a(g7 +V \u000a +p2689 +tp2690 +a(g907 +Vvar +p2691 +tp2692 +a(g7 +g956 +tp2693 +a(g654 +VsomethingElse +p2694 +tp2695 +a(g7 +g956 +tp2696 +a(g416 +g2491 +tp2697 +a(g7 +g956 +tp2698 +a(g654 +Vsomething +p2699 +tp2700 +a(g709 +V|; +p2701 +tp2702 +a(g7 +V \u000a +p2703 +tp2704 +a(g654 +Vsomething +p2705 +tp2706 +a(g7 +g956 +tp2707 +a(g416 +V+= +p2708 +tp2709 +a(g7 +g956 +tp2710 +a(g709 +g1308 +tp2711 +a(g318 +g1310 +tp2712 +a(g709 +g1312 +tp2713 +a(g7 +g956 +tp2714 +a(g318 +g1276 +tp2715 +a(g709 +g1431 +tp2716 +a(g709 +g1100 +tp2717 +a(g7 +V \u000a +p2718 +tp2719 +a(g654 +VsomethingElse +p2720 +tp2721 +a(g7 +g956 +tp2722 +a(g416 +V+= +p2723 +tp2724 +a(g7 +g956 +tp2725 +a(g709 +g1308 +tp2726 +a(g318 +g1316 +tp2727 +a(g709 +g1312 +tp2728 +a(g7 +g956 +tp2729 +a(g318 +g1319 +tp2730 +a(g709 +g1431 +tp2731 +a(g709 +g1100 +tp2732 +a(g7 +V \u000a +p2733 +tp2734 +a(g654 +Vsomething +p2735 +tp2736 +a(g709 +g1100 +tp2737 +a(g7 +V \u000a \u000a \u000a +p2738 +tp2739 +a(g907 +Vclass +p2740 +tp2741 +a(g7 +g956 +tp2742 +a(g654 +VCounter +p2743 +tp2744 +a(g7 +V \u000a +p2745 +tp2746 +a(g709 +g1281 +tp2747 +a(g7 +V \u000a +p2748 +tp2749 +a(g907 +Vvar +p2750 +tp2751 +a(g7 +g956 +tp2752 +a(g654 +Vcount +p2753 +tp2754 +a(g7 +g956 +tp2755 +a(g416 +g2491 +tp2756 +a(g7 +g956 +tp2757 +a(g318 +g2554 +tp2758 +a(g709 +g1100 +tp2759 +a(g7 +V \u000a +p2760 +tp2761 +a(g907 +Vfunction +p2762 +tp2763 +a(g7 +g956 +tp2764 +a(g654 +Vinit +p2765 +tp2766 +a(g7 +g956 +tp2767 +a(g709 +g1274 +tp2768 +a(g654 +g967 +tp2769 +a(g709 +g1278 +tp2770 +a(g7 +V +p2771 +tp2772 +a(g709 +g1281 +tp2773 +a(g7 +g956 +tp2774 +a(g907 +Vvar +p2775 +tp2776 +a(g7 +g956 +tp2777 +a(g842 +Vthis +p2778 +tp2779 +a(g416 +g1061 +tp2780 +a(g654 +Vcount +p2781 +tp2782 +a(g7 +g956 +tp2783 +a(g416 +g2491 +tp2784 +a(g7 +g956 +tp2785 +a(g654 +g967 +tp2786 +a(g7 +g956 +tp2787 +a(g709 +g1291 +tp2788 +a(g709 +g1100 +tp2789 +a(g7 +V \u000a +p2790 +tp2791 +a(g725 +V// Display the value, and the identity. \u000a +p2792 +tp2793 +a(g7 +V +p2794 +tp2795 +a(g907 +Vfunction +p2796 +tp2797 +a(g7 +g956 +tp2798 +a(g654 +VasString +p2799 +tp2800 +a(g709 +V() +p2801 +tp2802 +a(g7 +g956 +tp2803 +a(g709 +g1281 +tp2804 +a(g7 +g956 +tp2805 +a(g160 +g1085 +tp2806 +a(g160 +V%s @ %s" +p2807 +tp2808 +a(g7 +g956 +tp2809 +a(g416 +V% +p2810 +tp2811 +a(g7 +g956 +tp2812 +a(g709 +g1308 +tp2813 +a(g654 +Vcount +p2814 +tp2815 +a(g709 +g1312 +tp2816 +a(g7 +g956 +tp2817 +a(g654 +Vuid +p2818 +tp2819 +a(g7 +g956 +tp2820 +a(g709 +g1431 +tp2821 +a(g7 +g956 +tp2822 +a(g709 +g1291 +tp2823 +a(g709 +g1100 +tp2824 +a(g7 +V \u000a +p2825 +tp2826 +a(g907 +Vfunction +p2827 +tp2828 +a(g7 +g956 +tp2829 +a(g233 +g1104 +tp2830 +a(g233 +V+' +p2831 +tp2832 +a(g709 +g1274 +tp2833 +a(g907 +Vvar +p2834 +tp2835 +a(g7 +g956 +tp2836 +a(g654 +g967 +tp2837 +a(g709 +g1278 +tp2838 +a(g7 +g956 +tp2839 +a(g709 +g1281 +tp2840 +a(g7 +g956 +tp2841 +a(g842 +Vnew +p2842 +tp2843 +a(g709 +g1274 +tp2844 +a(g654 +Vcount +p2845 +tp2846 +a(g7 +g956 +tp2847 +a(g416 +g2321 +tp2848 +a(g7 +g956 +tp2849 +a(g654 +g967 +tp2850 +a(g709 +g1278 +tp2851 +a(g7 +g956 +tp2852 +a(g709 +g1291 +tp2853 +a(g709 +g1100 +tp2854 +a(g7 +V \u000a +p2855 +tp2856 +a(g907 +Vfunction +p2857 +tp2858 +a(g7 +g956 +tp2859 +a(g233 +g1104 +tp2860 +a(g233 +V-' +p2861 +tp2862 +a(g709 +g1274 +tp2863 +a(g907 +Vvar +p2864 +tp2865 +a(g7 +g956 +tp2866 +a(g654 +g967 +tp2867 +a(g709 +g1278 +tp2868 +a(g7 +g956 +tp2869 +a(g709 +g1281 +tp2870 +a(g7 +g956 +tp2871 +a(g842 +Vnew +p2872 +tp2873 +a(g709 +g1274 +tp2874 +a(g654 +Vcount +p2875 +tp2876 +a(g7 +g956 +tp2877 +a(g416 +g1055 +tp2878 +a(g7 +g956 +tp2879 +a(g654 +g967 +tp2880 +a(g709 +g1278 +tp2881 +a(g7 +g956 +tp2882 +a(g709 +g1291 +tp2883 +a(g709 +g1100 +tp2884 +a(g7 +V \u000a +p2885 +tp2886 +a(g709 +g1291 +tp2887 +a(g709 +V|; +p2888 +tp2889 +a(g7 +V\u000a \u000a \u000a +p2890 +tp2891 +a(g907 +Vclass +p2892 +tp2893 +a(g7 +g956 +tp2894 +a(g654 +VImmutableCounter +p2895 +tp2896 +a(g7 +g956 +tp2897 +a(g416 +g1305 +tp2898 +a(g7 +g956 +tp2899 +a(g654 +VCounter +p2900 +tp2901 +a(g7 +V \u000a +p2902 +tp2903 +a(g709 +g1281 +tp2904 +a(g7 +V \u000a +p2905 +tp2906 +a(g907 +Vfunction +p2907 +tp2908 +a(g7 +g956 +tp2909 +a(g233 +g1104 +tp2910 +a(g233 +V+=' +p2911 +tp2912 +a(g709 +g1274 +tp2913 +a(g907 +Vvar +p2914 +tp2915 +a(g7 +g956 +tp2916 +a(g654 +g967 +tp2917 +a(g709 +g1278 +tp2918 +a(g7 +g956 +tp2919 +a(g709 +g1281 +tp2920 +a(g7 +g956 +tp2921 +a(g842 +Vthis +p2922 +tp2923 +a(g7 +g956 +tp2924 +a(g416 +g2321 +tp2925 +a(g7 +g956 +tp2926 +a(g654 +g967 +tp2927 +a(g7 +g956 +tp2928 +a(g709 +g1291 +tp2929 +a(g709 +g1100 +tp2930 +a(g7 +V \u000a +p2931 +tp2932 +a(g907 +Vfunction +p2933 +tp2934 +a(g7 +g956 +tp2935 +a(g233 +g1104 +tp2936 +a(g233 +V-=' +p2937 +tp2938 +a(g709 +g1274 +tp2939 +a(g907 +Vvar +p2940 +tp2941 +a(g7 +g956 +tp2942 +a(g654 +g967 +tp2943 +a(g709 +g1278 +tp2944 +a(g7 +g956 +tp2945 +a(g709 +g1281 +tp2946 +a(g7 +g956 +tp2947 +a(g842 +Vthis +p2948 +tp2949 +a(g7 +g956 +tp2950 +a(g416 +g1055 +tp2951 +a(g7 +g956 +tp2952 +a(g654 +g967 +tp2953 +a(g7 +g956 +tp2954 +a(g709 +g1291 +tp2955 +a(g709 +g1100 +tp2956 +a(g7 +V \u000a +p2957 +tp2958 +a(g709 +g1291 +tp2959 +a(g709 +V|; +p2960 +tp2961 +a(g7 +V \u000a \u000a +p2962 +tp2963 +a(g907 +Vvar +p2964 +tp2965 +a(g7 +g956 +tp2966 +a(g654 +Vic1 +p2967 +tp2968 +a(g7 +g956 +tp2969 +a(g416 +g2491 +tp2970 +a(g7 +g956 +tp2971 +a(g654 +VImmutableCounter +p2972 +tp2973 +a(g416 +g1061 +tp2974 +a(g842 +Vnew +p2975 +tp2976 +a(g709 +g1274 +tp2977 +a(g318 +g2554 +tp2978 +a(g709 +g1278 +tp2979 +a(g709 +g1100 +tp2980 +a(g7 +V \u000a +p2981 +tp2982 +a(g907 +Vvar +p2983 +tp2984 +a(g7 +g956 +tp2985 +a(g654 +Vic2 +p2986 +tp2987 +a(g7 +g956 +tp2988 +a(g416 +g2491 +tp2989 +a(g7 +g956 +tp2990 +a(g654 +Vic1 +p2991 +tp2992 +a(g709 +g1100 +tp2993 +a(g7 +V \u000a \u000a +p2994 +tp2995 +a(g654 +Vic1 +p2996 +tp2997 +a(g7 +g956 +tp2998 +a(g416 +V+= +p2999 +tp3000 +a(g7 +g956 +tp3001 +a(g318 +g1310 +tp3002 +a(g709 +g1100 +tp3003 +a(g7 +V \u000a +p3004 +tp3005 +a(g654 +Vic1 +p3006 +tp3007 +a(g709 +g1100 +tp3008 +a(g7 +V \u000a +p3009 +tp3010 +a(g654 +Vic2 +p3011 +tp3012 +a(g709 +g1100 +tp3013 +a(g7 +V \u000a \u000a\u000a +p3014 +tp3015 +a(g654 +g965 +tp3016 +a(g7 +g956 +tp3017 +a(g416 +V<< +p3018 +tp3019 +a(g7 +g956 +tp3020 +a(g654 +g2494 +tp3021 +a(g7 +V\u000a +p3022 +tp3023 +a(g654 +g965 +tp3024 +a(g7 +g956 +tp3025 +a(g416 +V>> +p3026 +tp3027 +a(g7 +g956 +tp3028 +a(g654 +g2494 +tp3029 +a(g7 +V\u000a +p3030 +tp3031 +a(g654 +g965 +tp3032 +a(g7 +g956 +tp3033 +a(g416 +V^ +p3034 +tp3035 +a(g7 +g956 +tp3036 +a(g654 +g2494 +tp3037 +a(g7 +V\u000a \u000a +p3038 +tp3039 +a(g318 +g1319 +tp3040 +a(g7 +g956 +tp3041 +a(g416 +V<< +p3042 +tp3043 +a(g7 +g956 +tp3044 +a(g318 +g1276 +tp3045 +a(g7 +g956 +tp3046 +a(g416 +V== +p3047 +tp3048 +a(g7 +g956 +tp3049 +a(g318 +V16 +p3050 +tp3051 +a(g709 +g1100 +tp3052 +a(g7 +V \u000a +p3053 +tp3054 +a(g318 +g1319 +tp3055 +a(g7 +g956 +tp3056 +a(g416 +V>> +p3057 +tp3058 +a(g7 +g956 +tp3059 +a(g318 +g1276 +tp3060 +a(g7 +g956 +tp3061 +a(g416 +V== +p3062 +tp3063 +a(g7 +V +p3064 +tp3065 +a(g318 +g1310 +tp3066 +a(g709 +g1100 +tp3067 +a(g7 +V\u000a \u000a +p3068 +tp3069 +a(g709 +V! +p3070 +tp3071 +a(g654 +g965 +tp3072 +a(g7 +V\u000a +p3073 +tp3074 +a(g654 +g965 +tp3075 +a(g7 +g956 +tp3076 +a(g416 +V&& +p3077 +tp3078 +a(g7 +g956 +tp3079 +a(g654 +g2494 +tp3080 +a(g7 +V\u000a +p3081 +tp3082 +a(g654 +g965 +tp3083 +a(g7 +g956 +tp3084 +a(g416 +V|| +p3085 +tp3086 +a(g7 +g956 +tp3087 +a(g654 +g2494 +tp3088 +a(g7 +V\u000a \u000a +p3089 +tp3090 +a(g861 +Vtrue +p3091 +tp3092 +a(g7 +g956 +tp3093 +a(g416 +V&& +p3094 +tp3095 +a(g7 +g956 +tp3096 +a(g861 +Vtrue +p3097 +tp3098 +a(g709 +g1100 +tp3099 +a(g7 +V \u000a +p3100 +tp3101 +a(g861 +Vtrue +p3102 +tp3103 +a(g7 +g956 +tp3104 +a(g416 +V|| +p3105 +tp3106 +a(g7 +g956 +tp3107 +a(g861 +Vfalse +p3108 +tp3109 +a(g709 +g1100 +tp3110 +a(g7 +V \u000a +p3111 +tp3112 +a(g709 +g3070 +tp3113 +a(g861 +Vtrue +p3114 +tp3115 +a(g7 +g956 +tp3116 +a(g416 +V== +p3117 +tp3118 +a(g7 +g956 +tp3119 +a(g861 +Vfalse +p3120 +tp3121 +a(g709 +g1100 +tp3122 +a(g7 +V \u000a +p3123 +tp3124 +a(g861 +Vtrue +p3125 +tp3126 +a(g7 +g956 +tp3127 +a(g416 +V|| +p3128 +tp3129 +a(g7 +g956 +tp3130 +a(g709 +g1274 +tp3131 +a(g318 +g1310 +tp3132 +a(g7 +g956 +tp3133 +a(g416 +g2366 +tp3134 +a(g7 +g956 +tp3135 +a(g318 +g2554 +tp3136 +a(g709 +g1278 +tp3137 +a(g709 +g1100 +tp3138 +a(g7 +V \u000a +p3139 +tp3140 +a(g709 +g1274 +tp3141 +a(g861 +Vfalse +p3142 +tp3143 +a(g7 +g956 +tp3144 +a(g416 +V&& +p3145 +tp3146 +a(g7 +g956 +tp3147 +a(g709 +g1274 +tp3148 +a(g318 +g1310 +tp3149 +a(g7 +g956 +tp3150 +a(g416 +g2366 +tp3151 +a(g7 +g956 +tp3152 +a(g318 +g2554 +tp3153 +a(g709 +V)) +p3154 +tp3155 +a(g7 +g956 +tp3156 +a(g416 +V== +p3157 +tp3158 +a(g7 +g956 +tp3159 +a(g861 +Vfalse +p3160 +tp3161 +a(g709 +g1100 +tp3162 +a(g7 +V\u000a \u000a +p3163 +tp3164 +a(g654 +g965 +tp3165 +a(g7 +g956 +tp3166 +a(g416 +V== +p3167 +tp3168 +a(g7 +g956 +tp3169 +a(g654 +g2494 +tp3170 +a(g7 +V\u000a +p3171 +tp3172 +a(g654 +g965 +tp3173 +a(g7 +g956 +tp3174 +a(g709 +g3070 +tp3175 +a(g416 +g2491 +tp3176 +a(g7 +g956 +tp3177 +a(g654 +g2494 +tp3178 +a(g7 +V\u000a +p3179 +tp3180 +a(g654 +g965 +tp3181 +a(g7 +g956 +tp3182 +a(g416 +V=== +p3183 +tp3184 +a(g7 +g956 +tp3185 +a(g654 +g2494 +tp3186 +a(g7 +V\u000a +p3187 +tp3188 +a(g654 +g965 +tp3189 +a(g7 +g956 +tp3190 +a(g709 +g3070 +tp3191 +a(g416 +V== +p3192 +tp3193 +a(g7 +g956 +tp3194 +a(g654 +g2494 +tp3195 +a(g7 +V\u000a +p3196 +tp3197 +a(g654 +g965 +tp3198 +a(g7 +g956 +tp3199 +a(g416 +V~= +p3200 +tp3201 +a(g7 +g956 +tp3202 +a(g654 +g2494 +tp3203 +a(g7 +V\u000a +p3204 +tp3205 +a(g654 +g965 +tp3206 +a(g7 +g956 +tp3207 +a(g416 +V=~= +p3208 +tp3209 +a(g7 +g956 +tp3210 +a(g654 +g2494 +tp3211 +a(g7 +V\u000a +p3212 +tp3213 +a(g654 +g965 +tp3214 +a(g7 +g956 +tp3215 +a(g416 +V< +p3216 +tp3217 +a(g7 +g956 +tp3218 +a(g654 +g2494 +tp3219 +a(g7 +V\u000a +p3220 +tp3221 +a(g654 +g965 +tp3222 +a(g7 +g956 +tp3223 +a(g416 +V<= +p3224 +tp3225 +a(g7 +g956 +tp3226 +a(g654 +g2494 +tp3227 +a(g7 +V\u000a +p3228 +tp3229 +a(g654 +g965 +tp3230 +a(g7 +g956 +tp3231 +a(g416 +V> +p3232 +tp3233 +a(g7 +g956 +tp3234 +a(g654 +g2494 +tp3235 +a(g7 +V\u000a +p3236 +tp3237 +a(g654 +g965 +tp3238 +a(g7 +g956 +tp3239 +a(g416 +V>= +p3240 +tp3241 +a(g7 +g956 +tp3242 +a(g654 +g2494 +tp3243 +a(g7 +V\u000a \u000a +p3244 +tp3245 +a(g842 +Vassert +p3246 +tp3247 +a(g709 +g1281 +tp3248 +a(g7 +V \u000a +p3249 +tp3250 +a(g709 +g3070 +tp3251 +a(g7 +g956 +tp3252 +a(g709 +g1274 +tp3253 +a(g318 +g2554 +tp3254 +a(g7 +g956 +tp3255 +a(g416 +g3216 +tp3256 +a(g7 +g956 +tp3257 +a(g318 +g2554 +tp3258 +a(g709 +g1278 +tp3259 +a(g709 +g1100 +tp3260 +a(g7 +V \u000a +p3261 +tp3262 +a(g318 +g2554 +tp3263 +a(g7 +g956 +tp3264 +a(g416 +V<= +p3265 +tp3266 +a(g7 +g956 +tp3267 +a(g318 +g2554 +tp3268 +a(g709 +g1100 +tp3269 +a(g7 +V \u000a +p3270 +tp3271 +a(g318 +g2554 +tp3272 +a(g7 +g956 +tp3273 +a(g416 +V== +p3274 +tp3275 +a(g7 +g956 +tp3276 +a(g318 +g2554 +tp3277 +a(g709 +g1100 +tp3278 +a(g7 +V \u000a +p3279 +tp3280 +a(g318 +g2554 +tp3281 +a(g7 +g956 +tp3282 +a(g709 +g3070 +tp3283 +a(g416 +V== +p3284 +tp3285 +a(g7 +g956 +tp3286 +a(g318 +g2554 +tp3287 +a(g709 +g1100 +tp3288 +a(g7 +V \u000a +p3289 +tp3290 +a(g709 +g1291 +tp3291 +a(g709 +g1100 +tp3292 +a(g7 +V \u000a\u000a +p3293 +tp3294 +a(g654 +g965 +tp3295 +a(g7 +g956 +tp3296 +a(g430 +Vin +p3297 +tp3298 +a(g7 +g956 +tp3299 +a(g654 +g2494 +tp3300 +a(g7 +V\u000a +p3301 +tp3302 +a(g654 +g965 +tp3303 +a(g7 +g956 +tp3304 +a(g430 +Vnot +p3305 +tp3306 +a(g7 +g956 +tp3307 +a(g430 +Vin +p3308 +tp3309 +a(g7 +g956 +tp3310 +a(g654 +g2494 +tp3311 +a(g7 +V\u000a +p3312 +tp3313 +a(g654 +g965 +tp3314 +a(g709 +g1308 +tp3315 +a(g654 +Vargs +p3316 +tp3317 +a(g709 +g1431 +tp3318 +a(g7 +V\u000a +p3319 +tp3320 +a(g654 +g965 +tp3321 +a(g709 +g1308 +tp3322 +a(g654 +Vargs +p3323 +tp3324 +a(g709 +g1431 +tp3325 +a(g7 +g956 +tp3326 +a(g416 +g2491 +tp3327 +a(g7 +g956 +tp3328 +a(g654 +Vv +p3329 +tp3330 +a(g7 +V\u000a \u000a +p3331 +tp3332 +a(g318 +g1310 +tp3333 +a(g7 +V +p3334 +tp3335 +a(g430 +Vin +p3336 +tp3337 +a(g7 +g956 +tp3338 +a(g709 +g1308 +tp3339 +a(g318 +g2554 +tp3340 +a(g709 +g1312 +tp3341 +a(g7 +g956 +tp3342 +a(g318 +g1310 +tp3343 +a(g709 +g1312 +tp3344 +a(g7 +g956 +tp3345 +a(g318 +g1276 +tp3346 +a(g709 +g1431 +tp3347 +a(g709 +g1100 +tp3348 +a(g7 +V \u000a +p3349 +tp3350 +a(g318 +g1316 +tp3351 +a(g7 +g956 +tp3352 +a(g430 +Vnot +p3353 +tp3354 +a(g7 +g956 +tp3355 +a(g430 +Vin +p3356 +tp3357 +a(g7 +g956 +tp3358 +a(g709 +g1308 +tp3359 +a(g318 +g2554 +tp3360 +a(g709 +g1312 +tp3361 +a(g7 +g956 +tp3362 +a(g318 +g1310 +tp3363 +a(g709 +g1312 +tp3364 +a(g7 +g956 +tp3365 +a(g318 +g1276 +tp3366 +a(g709 +g1431 +tp3367 +a(g709 +g1100 +tp3368 +a(g7 +V \u000a \u000a +p3369 +tp3370 +a(g160 +g1085 +tp3371 +a(g160 +Vone" +p3372 +tp3373 +a(g7 +V +p3374 +tp3375 +a(g430 +Vin +p3376 +tp3377 +a(g7 +V +p3378 +tp3379 +a(g709 +g1308 +tp3380 +a(g160 +g1085 +tp3381 +a(g160 +Vzero" +p3382 +tp3383 +a(g7 +g956 +tp3384 +a(g416 +V=> +p3385 +tp3386 +a(g7 +g956 +tp3387 +a(g318 +g2554 +tp3388 +a(g709 +g1312 +tp3389 +a(g7 +g956 +tp3390 +a(g160 +g1085 +tp3391 +a(g160 +Vone" +p3392 +tp3393 +a(g7 +g956 +tp3394 +a(g416 +V=> +p3395 +tp3396 +a(g7 +g956 +tp3397 +a(g318 +g1310 +tp3398 +a(g709 +g1312 +tp3399 +a(g7 +g956 +tp3400 +a(g160 +g1085 +tp3401 +a(g160 +Vtwo" +p3402 +tp3403 +a(g7 +g956 +tp3404 +a(g416 +V=> +p3405 +tp3406 +a(g7 +g956 +tp3407 +a(g318 +g1276 +tp3408 +a(g709 +g1431 +tp3409 +a(g709 +g1100 +tp3410 +a(g7 +V \u000a +p3411 +tp3412 +a(g160 +g1085 +tp3413 +a(g160 +Vthree" +p3414 +tp3415 +a(g7 +g956 +tp3416 +a(g430 +Vnot +p3417 +tp3418 +a(g7 +g956 +tp3419 +a(g430 +Vin +p3420 +tp3421 +a(g7 +g956 +tp3422 +a(g709 +g1308 +tp3423 +a(g160 +g1085 +tp3424 +a(g160 +Vzero" +p3425 +tp3426 +a(g7 +g956 +tp3427 +a(g416 +V=> +p3428 +tp3429 +a(g7 +g956 +tp3430 +a(g318 +g2554 +tp3431 +a(g709 +g1312 +tp3432 +a(g7 +g956 +tp3433 +a(g160 +g1085 +tp3434 +a(g160 +Vone" +p3435 +tp3436 +a(g7 +g956 +tp3437 +a(g416 +V=> +p3438 +tp3439 +a(g7 +g956 +tp3440 +a(g318 +g1310 +tp3441 +a(g709 +g1312 +tp3442 +a(g7 +g956 +tp3443 +a(g160 +g1085 +tp3444 +a(g160 +Vtwo" +p3445 +tp3446 +a(g7 +g956 +tp3447 +a(g416 +V=> +p3448 +tp3449 +a(g7 +g956 +tp3450 +a(g318 +g1276 +tp3451 +a(g709 +g1431 +tp3452 +a(g709 +g1100 +tp3453 +a(g7 +V\u000a \u000a +p3454 +tp3455 +a(g654 +g965 +tp3456 +a(g416 +g1061 +tp3457 +a(g654 +g2494 +tp3458 +a(g7 +V\u000a +p3459 +tp3460 +a(g654 +g965 +tp3461 +a(g416 +g1061 +tp3462 +a(g654 +g2494 +tp3463 +a(g709 +g1274 +tp3464 +a(g654 +Vargs +p3465 +tp3466 +a(g709 +g1278 +tp3467 +a(g7 +V\u000a +p3468 +tp3469 +a(g654 +g965 +tp3470 +a(g416 +V-> +p3471 +tp3472 +a(g654 +g2494 +tp3473 +a(g7 +V\u000a +p3474 +tp3475 +a(g654 +g965 +tp3476 +a(g416 +V-> +p3477 +tp3478 +a(g654 +g2494 +tp3479 +a(g7 +g956 +tp3480 +a(g416 +g2491 +tp3481 +a(g7 +g956 +tp3482 +a(g654 +g3329 +tp3483 +a(g7 +V\u000a +p3484 +tp3485 +a(g654 +g965 +tp3486 +a(g416 +V.& +p3487 +tp3488 +a(g654 +g2494 +tp3489 +a(g7 +V\u000a \u000a +p3490 +tp3491 +a(g907 +Vvar +p3492 +tp3493 +a(g7 +g956 +tp3494 +a(g654 +Vobj +p3495 +tp3496 +a(g7 +g956 +tp3497 +a(g416 +g2491 +tp3498 +a(g7 +g956 +tp3499 +a(g685 +VObject +p3500 +tp3501 +a(g416 +g1061 +tp3502 +a(g842 +Vnew +p3503 +tp3504 +a(g709 +V|; +p3505 +tp3506 +a(g7 +V \u000a +p3507 +tp3508 +a(g907 +Vfunction +p3509 +tp3510 +a(g7 +g956 +tp3511 +a(g654 +Vobj +p3512 +tp3513 +a(g416 +g1061 +tp3514 +a(g654 +Vf +p3515 +tp3516 +a(g709 +V() +p3517 +tp3518 +a(g7 +g956 +tp3519 +a(g709 +g1281 +tp3520 +a(g7 +g956 +tp3521 +a(g318 +V24 +p3522 +tp3523 +a(g7 +g956 +tp3524 +a(g709 +g1291 +tp3525 +a(g709 +V|; +p3526 +tp3527 +a(g7 +V \u000a \u000a \u000a +p3528 +tp3529 +a(g907 +Vvar +p3530 +tp3531 +a(g7 +g956 +tp3532 +a(g654 +g3515 +tp3533 +a(g7 +g956 +tp3534 +a(g416 +g2491 +tp3535 +a(g7 +g956 +tp3536 +a(g907 +Vfunction +p3537 +tp3538 +a(g709 +g1274 +tp3539 +a(g654 +g965 +tp3540 +a(g709 +g1312 +tp3541 +a(g7 +g956 +tp3542 +a(g654 +g2494 +tp3543 +a(g709 +g1278 +tp3544 +a(g7 +g956 +tp3545 +a(g709 +g1281 +tp3546 +a(g7 +V \u000a +p3547 +tp3548 +a(g654 +Vecho +p3549 +tp3550 +a(g709 +g1274 +tp3551 +a(g654 +g2494 +tp3552 +a(g7 +g956 +tp3553 +a(g416 +g2321 +tp3554 +a(g7 +g956 +tp3555 +a(g654 +g965 +tp3556 +a(g709 +g1278 +tp3557 +a(g709 +g1100 +tp3558 +a(g7 +V \u000a +p3559 +tp3560 +a(g709 +g1291 +tp3561 +a(g709 +g2194 +tp3562 +a(g7 +V \u000a +p3563 +tp3564 +a(g654 +g3515 +tp3565 +a(g709 +g1274 +tp3566 +a(g318 +g1310 +tp3567 +a(g709 +g1312 +tp3568 +a(g7 +g956 +tp3569 +a(g318 +g2554 +tp3570 +a(g709 +g1278 +tp3571 +a(g709 +g1100 +tp3572 +a(g7 +V \u000a \u000a\u000a +p3573 +tp3574 +a(g907 +Vfunction +p3575 +tp3576 +a(g7 +g956 +tp3577 +a(g654 +Vg3 +p3578 +tp3579 +a(g709 +V() +p3580 +tp3581 +a(g7 +V \u000a +p3582 +tp3583 +a(g709 +g1281 +tp3584 +a(g7 +V \u000a +p3585 +tp3586 +a(g842 +Vreturn +p3587 +tp3588 +a(g709 +g1100 +tp3589 +a(g7 +g956 +tp3590 +a(g725 +V// Stop execution at this point and return void \u000a +p3591 +tp3592 +a(g7 +V +p3593 +tp3594 +a(g654 +Vecho +p3595 +tp3596 +a(g709 +g1274 +tp3597 +a(g318 +g2554 +tp3598 +a(g709 +g1278 +tp3599 +a(g709 +g1100 +tp3600 +a(g7 +g956 +tp3601 +a(g725 +V// This is not executed \u000a +p3602 +tp3603 +a(g709 +g1291 +tp3604 +a(g709 +g2194 +tp3605 +a(g7 +V \u000a \u000a +p3606 +tp3607 +a(g685 +VObject +p3608 +tp3609 +a(g416 +g1061 +tp3610 +a(g654 +VsetProperty +p3611 +tp3612 +a(g709 +g1312 +tp3613 +a(g7 +g956 +tp3614 +a(g654 +Vto +p3615 +tp3616 +a(g7 +g956 +tp3617 +a(g654 +Vdefine +p3618 +tp3619 +a(g416 +g2366 +tp3620 +a(g654 +Vset +p3621 +tp3622 +a(g7 +g956 +tp3623 +a(g654 +g965 +tp3624 +a(g7 +g956 +tp3625 +a(g654 +Vproperty +p3626 +tp3627 +a(g416 +g1061 +tp3628 +a(g7 +V\u000a +p3629 +tp3630 +a(g685 +VObject +p3631 +tp3632 +a(g416 +g1061 +tp3633 +a(g654 +VgetProperty +p3634 +tp3635 +a(g709 +g1312 +tp3636 +a(g7 +g956 +tp3637 +a(g654 +Vto +p3638 +tp3639 +a(g7 +g956 +tp3640 +a(g654 +Vget +p3641 +tp3642 +a(g7 +g956 +tp3643 +a(g654 +g965 +tp3644 +a(g7 +g956 +tp3645 +a(g654 +Vproperty +p3646 +tp3647 +a(g416 +g1061 +tp3648 +a(g7 +V\u000a +p3649 +tp3650 +a(g685 +VObject +p3651 +tp3652 +a(g416 +g1061 +tp3653 +a(g654 +VremoveProperty +p3654 +tp3655 +a(g709 +g1312 +tp3656 +a(g7 +g956 +tp3657 +a(g654 +Vto +p3658 +tp3659 +a(g7 +g956 +tp3660 +a(g891 +Vdelete +p3661 +tp3662 +a(g7 +g956 +tp3663 +a(g654 +g965 +tp3664 +a(g7 +g956 +tp3665 +a(g654 +Vproperty +p3666 +tp3667 +a(g416 +g1061 +tp3668 +a(g7 +V\u000a +p3669 +tp3670 +a(g685 +VObject +p3671 +tp3672 +a(g416 +g1061 +tp3673 +a(g654 +VhasProperty +p3674 +tp3675 +a(g709 +g1312 +tp3676 +a(g7 +g956 +tp3677 +a(g654 +Vto +p3678 +tp3679 +a(g7 +g956 +tp3680 +a(g654 +Vtest +p3681 +tp3682 +a(g7 +g956 +tp3683 +a(g842 +Vfor +p3684 +tp3685 +a(g7 +g956 +tp3686 +a(g654 +Vthe +p3687 +tp3688 +a(g7 +g956 +tp3689 +a(g654 +Vexistence +p3690 +tp3691 +a(g7 +g956 +tp3692 +a(g654 +Vof +p3693 +tp3694 +a(g7 +g956 +tp3695 +a(g654 +g965 +tp3696 +a(g7 +g956 +tp3697 +a(g654 +Vproperty +p3698 +tp3699 +a(g416 +g1061 +tp3700 +a(g7 +V\u000a +p3701 +tp3702 +a(g685 +VObject +p3703 +tp3704 +a(g416 +g1061 +tp3705 +a(g654 +Vproperties +p3706 +tp3707 +a(g709 +g1312 +tp3708 +a(g7 +g956 +tp3709 +a(g654 +Vto +p3710 +tp3711 +a(g7 +g956 +tp3712 +a(g654 +Vget +p3713 +tp3714 +a(g7 +g956 +tp3715 +a(g654 +Vall +p3716 +tp3717 +a(g7 +g956 +tp3718 +a(g654 +Vthe +p3719 +tp3720 +a(g7 +g956 +tp3721 +a(g654 +Vproperties +p3722 +tp3723 +a(g7 +g956 +tp3724 +a(g654 +Vof +p3725 +tp3726 +a(g7 +g956 +tp3727 +a(g654 +g965 +tp3728 +a(g7 +g956 +tp3729 +a(g654 +Vslot +p3730 +tp3731 +a(g416 +g1061 +tp3732 +a(g7 +V\u000a\u000a +p3733 +tp3734 +a(g842 +Venum +p3735 +tp3736 +a(g7 +g956 +tp3737 +a(g654 +VSuit +p3738 +tp3739 +a(g7 +V \u000a +p3740 +tp3741 +a(g709 +g1281 +tp3742 +a(g7 +V \u000a +p3743 +tp3744 +a(g654 +Vhearts +p3745 +tp3746 +a(g709 +g1312 +tp3747 +a(g7 +V \u000a +p3748 +tp3749 +a(g654 +Vdiamonds +p3750 +tp3751 +a(g709 +g1312 +tp3752 +a(g7 +V \u000a +p3753 +tp3754 +a(g654 +Vclubs +p3755 +tp3756 +a(g709 +g1312 +tp3757 +a(g7 +V \u000a +p3758 +tp3759 +a(g654 +Vspades +p3760 +tp3761 +a(g709 +g1312 +tp3762 +a(g7 +g956 +tp3763 +a(g725 +V// Last comma is optional \u000a +p3764 +tp3765 +a(g709 +g1291 +tp3766 +a(g709 +g1100 +tp3767 +a(g7 +V \u000a \u000a +p3768 +tp3769 +a(g842 +Vfor +p3770 +tp3771 +a(g7 +g956 +tp3772 +a(g709 +g1274 +tp3773 +a(g907 +Vvar +p3774 +tp3775 +a(g7 +g956 +tp3776 +a(g654 +Vsuit +p3777 +tp3778 +a(g7 +g956 +tp3779 +a(g430 +Vin +p3780 +tp3781 +a(g7 +g956 +tp3782 +a(g654 +VSuit +p3783 +tp3784 +a(g709 +g1278 +tp3785 +a(g7 +V \u000a +p3786 +tp3787 +a(g654 +Vecho +p3788 +tp3789 +a(g709 +g1274 +tp3790 +a(g160 +g1085 +tp3791 +a(g160 +V%s the ace of %s." +p3792 +tp3793 +a(g7 +g956 +tp3794 +a(g416 +g2810 +tp3795 +a(g7 +g956 +tp3796 +a(g709 +g1308 +tp3797 +a(g654 +Vfind_ace +p3798 +tp3799 +a(g709 +g1274 +tp3800 +a(g654 +Vsuit +p3801 +tp3802 +a(g709 +g1278 +tp3803 +a(g709 +g1312 +tp3804 +a(g7 +g956 +tp3805 +a(g654 +Vsuit +p3806 +tp3807 +a(g709 +V]) +p3808 +tp3809 +a(g709 +g1100 +tp3810 +a(g7 +V \u000a \u000a +p3811 +tp3812 +a(g842 +Vswitch +p3813 +tp3814 +a(g7 +g956 +tp3815 +a(g709 +g1274 +tp3816 +a(g7 +g956 +tp3817 +a(g709 +g1274 +tp3818 +a(g160 +g1085 +tp3819 +a(g160 +Vfoo" +p3820 +tp3821 +a(g709 +g1312 +tp3822 +a(g7 +g956 +tp3823 +a(g709 +g1308 +tp3824 +a(g318 +g1310 +tp3825 +a(g709 +g1312 +tp3826 +a(g7 +g956 +tp3827 +a(g318 +g1276 +tp3828 +a(g709 +V]) +p3829 +tp3830 +a(g7 +g956 +tp3831 +a(g709 +g1278 +tp3832 +a(g7 +V \u000a +p3833 +tp3834 +a(g709 +g1281 +tp3835 +a(g7 +V \u000a +p3836 +tp3837 +a(g725 +V// The pattern does not match the values of the list. \u000a +p3838 +tp3839 +a(g7 +V +p3840 +tp3841 +a(g842 +Vcase +p3842 +tp3843 +a(g7 +g956 +tp3844 +a(g709 +g1274 +tp3845 +a(g160 +g1085 +tp3846 +a(g160 +Vfoo" +p3847 +tp3848 +a(g709 +g1312 +tp3849 +a(g7 +g956 +tp3850 +a(g709 +g1308 +tp3851 +a(g318 +g1276 +tp3852 +a(g709 +g1312 +tp3853 +a(g7 +g956 +tp3854 +a(g318 +g1310 +tp3855 +a(g709 +V]) +p3856 +tp3857 +a(g416 +g1305 +tp3858 +a(g7 +V \u000a +p3859 +tp3860 +a(g654 +Vecho +p3861 +tp3862 +a(g709 +g1274 +tp3863 +a(g160 +g1085 +tp3864 +a(g160 +Vfail" +p3865 +tp3866 +a(g709 +g1278 +tp3867 +a(g709 +g1100 +tp3868 +a(g7 +V \u000a \u000a +p3869 +tp3870 +a(g725 +V// The pattern does not match the tuple. \u000a +p3871 +tp3872 +a(g7 +V +p3873 +tp3874 +a(g842 +Vcase +p3875 +tp3876 +a(g7 +g956 +tp3877 +a(g709 +g1308 +tp3878 +a(g160 +g1085 +tp3879 +a(g160 +Vfoo" +p3880 +tp3881 +a(g709 +g1312 +tp3882 +a(g7 +g956 +tp3883 +a(g709 +g1308 +tp3884 +a(g318 +g1310 +tp3885 +a(g709 +g1312 +tp3886 +a(g7 +g956 +tp3887 +a(g318 +g1276 +tp3888 +a(g709 +V]] +p3889 +tp3890 +a(g416 +g1305 +tp3891 +a(g7 +V \u000a +p3892 +tp3893 +a(g654 +Vecho +p3894 +tp3895 +a(g709 +g1274 +tp3896 +a(g160 +g1085 +tp3897 +a(g160 +Vfail" +p3898 +tp3899 +a(g709 +g1278 +tp3900 +a(g709 +g1100 +tp3901 +a(g7 +V \u000a \u000a +p3902 +tp3903 +a(g725 +V// The pattern matches and binds the variable "l" \u000a +p3904 +tp3905 +a(g7 +V +p3906 +tp3907 +a(g725 +V// but the condition is not verified. \u000a +p3908 +tp3909 +a(g7 +V +p3910 +tp3911 +a(g842 +Vcase +p3912 +tp3913 +a(g7 +g956 +tp3914 +a(g709 +g1274 +tp3915 +a(g160 +g1085 +tp3916 +a(g160 +Vfoo" +p3917 +tp3918 +a(g709 +g1312 +tp3919 +a(g7 +g956 +tp3920 +a(g907 +Vvar +p3921 +tp3922 +a(g7 +g956 +tp3923 +a(g654 +g1051 +tp3924 +a(g709 +g1278 +tp3925 +a(g7 +g956 +tp3926 +a(g842 +Vif +p3927 +tp3928 +a(g7 +g956 +tp3929 +a(g654 +g1051 +tp3930 +a(g416 +g1061 +tp3931 +a(g654 +Vsize +p3932 +tp3933 +a(g7 +g956 +tp3934 +a(g416 +V== +p3935 +tp3936 +a(g7 +g956 +tp3937 +a(g318 +g2554 +tp3938 +a(g416 +g1305 +tp3939 +a(g7 +V \u000a +p3940 +tp3941 +a(g654 +Vecho +p3942 +tp3943 +a(g709 +g1274 +tp3944 +a(g160 +g1085 +tp3945 +a(g160 +Vfail" +p3946 +tp3947 +a(g709 +g1278 +tp3948 +a(g709 +g1100 +tp3949 +a(g7 +V \u000a \u000a +p3950 +tp3951 +a(g725 +V// The pattern matches. \u000a +p3952 +tp3953 +a(g7 +V +p3954 +tp3955 +a(g842 +Vcase +p3956 +tp3957 +a(g7 +g956 +tp3958 +a(g709 +g1274 +tp3959 +a(g160 +g1085 +tp3960 +a(g160 +Vfoo" +p3961 +tp3962 +a(g709 +g1312 +tp3963 +a(g7 +g956 +tp3964 +a(g709 +g1308 +tp3965 +a(g907 +Vvar +p3966 +tp3967 +a(g7 +g956 +tp3968 +a(g654 +g965 +tp3969 +a(g709 +g1312 +tp3970 +a(g7 +g956 +tp3971 +a(g907 +Vvar +p3972 +tp3973 +a(g7 +g956 +tp3974 +a(g654 +g2494 +tp3975 +a(g709 +V]) +p3976 +tp3977 +a(g416 +g1305 +tp3978 +a(g7 +V \u000a +p3979 +tp3980 +a(g654 +Vecho +p3981 +tp3982 +a(g709 +g1274 +tp3983 +a(g160 +g1085 +tp3984 +a(g160 +Vfoo(%s, %s)" +p3985 +tp3986 +a(g7 +g956 +tp3987 +a(g416 +g2810 +tp3988 +a(g7 +g956 +tp3989 +a(g709 +g1308 +tp3990 +a(g654 +g965 +tp3991 +a(g709 +g1312 +tp3992 +a(g7 +g956 +tp3993 +a(g654 +g2494 +tp3994 +a(g709 +V]) +p3995 +tp3996 +a(g709 +g1100 +tp3997 +a(g7 +V \u000a +p3998 +tp3999 +a(g709 +g1291 +tp4000 +a(g709 +g1100 +tp4001 +a(g7 +V \u000a +p4002 +tp4003 +a(g725 +V//[00000000] *** foo(1, 2)\u000a +p4004 +tp4005 +a(g7 +V \u000a +p4006 +tp4007 +a(g709 +g1281 +tp4008 +a(g7 +V \u000a +p4009 +tp4010 +a(g709 +g1308 +tp4011 +a(g160 +g1085 +tp4012 +a(g160 +Vb" +p4013 +tp4014 +a(g7 +g956 +tp4015 +a(g416 +V=> +p4016 +tp4017 +a(g7 +g956 +tp4018 +a(g907 +Vvar +p4019 +tp4020 +a(g7 +g956 +tp4021 +a(g654 +g2494 +tp4022 +a(g709 +g1312 +tp4023 +a(g7 +g956 +tp4024 +a(g160 +g1085 +tp4025 +a(g160 +Va" +p4026 +tp4027 +a(g7 +g956 +tp4028 +a(g416 +V=> +p4029 +tp4030 +a(g7 +g956 +tp4031 +a(g907 +Vvar +p4032 +tp4033 +a(g7 +g956 +tp4034 +a(g654 +g965 +tp4035 +a(g709 +g1431 +tp4036 +a(g7 +g956 +tp4037 +a(g416 +g2491 +tp4038 +a(g7 +g956 +tp4039 +a(g709 +g1308 +tp4040 +a(g160 +g1085 +tp4041 +a(g160 +Va" +p4042 +tp4043 +a(g7 +g956 +tp4044 +a(g416 +V=> +p4045 +tp4046 +a(g7 +g956 +tp4047 +a(g318 +g1310 +tp4048 +a(g709 +g1312 +tp4049 +a(g7 +g956 +tp4050 +a(g160 +g1085 +tp4051 +a(g160 +Vb" +p4052 +tp4053 +a(g7 +g956 +tp4054 +a(g416 +V=> +p4055 +tp4056 +a(g7 +g956 +tp4057 +a(g318 +g1276 +tp4058 +a(g709 +g1312 +tp4059 +a(g7 +g956 +tp4060 +a(g160 +g1085 +tp4061 +a(g160 +Vc" +p4062 +tp4063 +a(g7 +g956 +tp4064 +a(g416 +V=> +p4065 +tp4066 +a(g7 +g956 +tp4067 +a(g318 +g1316 +tp4068 +a(g709 +g1431 +tp4069 +a(g709 +g1100 +tp4070 +a(g7 +V \u000a +p4071 +tp4072 +a(g654 +Vecho +p4073 +tp4074 +a(g709 +g1274 +tp4075 +a(g160 +g1085 +tp4076 +a(g160 +Va = %d, b = %d" +p4077 +tp4078 +a(g7 +g956 +tp4079 +a(g416 +g2810 +tp4080 +a(g7 +g956 +tp4081 +a(g709 +g1308 +tp4082 +a(g654 +g965 +tp4083 +a(g709 +g1312 +tp4084 +a(g7 +g956 +tp4085 +a(g654 +g2494 +tp4086 +a(g709 +V]) +p4087 +tp4088 +a(g709 +g1100 +tp4089 +a(g7 +V \u000a +p4090 +tp4091 +a(g709 +g1291 +tp4092 +a(g709 +g1100 +tp4093 +a(g7 +V \u000a +p4094 +tp4095 +a(g725 +V//[00000000] *** a = 1, b = 2\u000a +p4096 +tp4097 +a(g7 +V \u000a \u000a +p4098 +tp4099 +a(g842 +Vswitch +p4100 +tp4101 +a(g7 +g956 +tp4102 +a(g709 +V([ +p4103 +tp4104 +a(g160 +g1085 +tp4105 +a(g160 +Vspeed" +p4106 +tp4107 +a(g7 +g956 +tp4108 +a(g416 +V=> +p4109 +tp4110 +a(g7 +g956 +tp4111 +a(g318 +g1276 +tp4112 +a(g709 +g1312 +tp4113 +a(g7 +g956 +tp4114 +a(g160 +g1085 +tp4115 +a(g160 +Vtime" +p4116 +tp4117 +a(g7 +g956 +tp4118 +a(g416 +V=> +p4119 +tp4120 +a(g7 +g956 +tp4121 +a(g318 +V6s +p4122 +tp4123 +a(g709 +V]) +p4124 +tp4125 +a(g7 +V \u000a +p4126 +tp4127 +a(g709 +g1281 +tp4128 +a(g7 +V \u000a +p4129 +tp4130 +a(g842 +Vcase +p4131 +tp4132 +a(g7 +g956 +tp4133 +a(g709 +g1308 +tp4134 +a(g160 +g1085 +tp4135 +a(g160 +Vspeed" +p4136 +tp4137 +a(g7 +g956 +tp4138 +a(g416 +V=> +p4139 +tp4140 +a(g7 +g956 +tp4141 +a(g907 +Vvar +p4142 +tp4143 +a(g7 +g956 +tp4144 +a(g654 +g1000 +tp4145 +a(g709 +g1431 +tp4146 +a(g7 +g956 +tp4147 +a(g842 +Vif +p4148 +tp4149 +a(g7 +g956 +tp4150 +a(g654 +g1000 +tp4151 +a(g7 +g956 +tp4152 +a(g416 +g3232 +tp4153 +a(g7 +g956 +tp4154 +a(g318 +g1316 +tp4155 +a(g416 +g1305 +tp4156 +a(g7 +V \u000a +p4157 +tp4158 +a(g654 +Vecho +p4159 +tp4160 +a(g709 +g1274 +tp4161 +a(g160 +g1085 +tp4162 +a(g160 +VToo fast" +p4163 +tp4164 +a(g709 +g1278 +tp4165 +a(g709 +g1100 +tp4166 +a(g7 +V \u000a +p4167 +tp4168 +a(g842 +Vcase +p4169 +tp4170 +a(g7 +g956 +tp4171 +a(g709 +g1308 +tp4172 +a(g160 +g1085 +tp4173 +a(g160 +Vspeed" +p4174 +tp4175 +a(g7 +g956 +tp4176 +a(g416 +V=> +p4177 +tp4178 +a(g7 +g956 +tp4179 +a(g907 +Vvar +p4180 +tp4181 +a(g7 +g956 +tp4182 +a(g654 +g1000 +tp4183 +a(g709 +g1312 +tp4184 +a(g7 +g956 +tp4185 +a(g160 +g1085 +tp4186 +a(g160 +Vtime" +p4187 +tp4188 +a(g7 +g956 +tp4189 +a(g416 +V=> +p4190 +tp4191 +a(g7 +g956 +tp4192 +a(g907 +Vvar +p4193 +tp4194 +a(g7 +g956 +tp4195 +a(g654 +g988 +tp4196 +a(g709 +g1431 +tp4197 +a(g7 +g956 +tp4198 +a(g842 +Vif +p4199 +tp4200 +a(g7 +g956 +tp4201 +a(g654 +g1000 +tp4202 +a(g7 +g956 +tp4203 +a(g416 +g1626 +tp4204 +a(g7 +g956 +tp4205 +a(g654 +g988 +tp4206 +a(g7 +g956 +tp4207 +a(g416 +g3232 +tp4208 +a(g7 +g956 +tp4209 +a(g318 +V10 +p4210 +tp4211 +a(g416 +g1305 +tp4212 +a(g7 +V \u000a +p4213 +tp4214 +a(g654 +Vecho +p4215 +tp4216 +a(g709 +g1274 +tp4217 +a(g160 +g1085 +tp4218 +a(g160 +VToo far" +p4219 +tp4220 +a(g709 +g1278 +tp4221 +a(g709 +g1100 +tp4222 +a(g7 +V \u000a +p4223 +tp4224 +a(g709 +g1291 +tp4225 +a(g709 +g1100 +tp4226 +a(g7 +V \u000a +p4227 +tp4228 +a(g725 +V//[00000000] *** Too far\u000a +p4229 +tp4230 +a(g7 +V \u000a \u000a +p4231 +tp4232 +a(g842 +Vtry +p4233 +tp4234 +a(g7 +V \u000a +p4235 +tp4236 +a(g709 +g1281 +tp4237 +a(g7 +V \u000a +p4238 +tp4239 +a(g842 +Vthrow +p4240 +tp4241 +a(g7 +g956 +tp4242 +a(g709 +g1274 +tp4243 +a(g160 +g1085 +tp4244 +a(g160 +Vmessage" +p4245 +tp4246 +a(g709 +g1312 +tp4247 +a(g7 +g956 +tp4248 +a(g318 +g2554 +tp4249 +a(g709 +g1278 +tp4250 +a(g7 +V \u000a +p4251 +tp4252 +a(g709 +g1291 +tp4253 +a(g7 +V \u000a +p4254 +tp4255 +a(g842 +Vcatch +p4256 +tp4257 +a(g7 +g956 +tp4258 +a(g709 +g1274 +tp4259 +a(g907 +Vvar +p4260 +tp4261 +a(g7 +g956 +tp4262 +a(g654 +g974 +tp4263 +a(g7 +g956 +tp4264 +a(g842 +Vif +p4265 +tp4266 +a(g7 +g956 +tp4267 +a(g654 +g974 +tp4268 +a(g416 +g1061 +tp4269 +a(g654 +VisA +p4270 +tp4271 +a(g709 +g1274 +tp4272 +a(g685 +VException +p4273 +tp4274 +a(g709 +V)) +p4275 +tp4276 +a(g7 +V \u000a +p4277 +tp4278 +a(g709 +g1281 +tp4279 +a(g7 +V \u000a +p4280 +tp4281 +a(g654 +Vecho +p4282 +tp4283 +a(g709 +g1274 +tp4284 +a(g654 +g974 +tp4285 +a(g416 +g1061 +tp4286 +a(g654 +Vmessage +p4287 +tp4288 +a(g709 +g1278 +tp4289 +a(g7 +V \u000a +p4290 +tp4291 +a(g709 +g1291 +tp4292 +a(g7 +V \u000a +p4293 +tp4294 +a(g842 +Vcatch +p4295 +tp4296 +a(g7 +g956 +tp4297 +a(g709 +V(( +p4298 +tp4299 +a(g907 +Vvar +p4300 +tp4301 +a(g7 +g956 +tp4302 +a(g654 +Vmsg +p4303 +tp4304 +a(g709 +g1312 +tp4305 +a(g7 +g956 +tp4306 +a(g907 +Vvar +p4307 +tp4308 +a(g7 +g956 +tp4309 +a(g654 +Vvalue +p4310 +tp4311 +a(g709 +g1278 +tp4312 +a(g7 +g956 +tp4313 +a(g842 +Vif +p4314 +tp4315 +a(g7 +g956 +tp4316 +a(g654 +Vvalue +p4317 +tp4318 +a(g416 +g1061 +tp4319 +a(g654 +VisA +p4320 +tp4321 +a(g709 +g1274 +tp4322 +a(g685 +VFloat +p4323 +tp4324 +a(g709 +V)) +p4325 +tp4326 +a(g7 +V \u000a +p4327 +tp4328 +a(g709 +g1281 +tp4329 +a(g7 +V \u000a +p4330 +tp4331 +a(g654 +Vecho +p4332 +tp4333 +a(g709 +g1274 +tp4334 +a(g160 +g1085 +tp4335 +a(g160 +V%s: %d" +p4336 +tp4337 +a(g7 +g956 +tp4338 +a(g416 +g2810 +tp4339 +a(g7 +g956 +tp4340 +a(g709 +g1308 +tp4341 +a(g654 +Vmsg +p4342 +tp4343 +a(g709 +g1312 +tp4344 +a(g7 +g956 +tp4345 +a(g654 +Vvalue +p4346 +tp4347 +a(g709 +V]) +p4348 +tp4349 +a(g7 +V \u000a +p4350 +tp4351 +a(g709 +g1291 +tp4352 +a(g709 +g1100 +tp4353 +a(g7 +V \u000a +p4354 +tp4355 +a(g725 +V//[00000000] *** message: 0\u000a +p4356 +tp4357 +a(g7 +V \u000a \u000a +p4358 +tp4359 +a(g709 +g1281 +tp4360 +a(g7 +V \u000a +p4361 +tp4362 +a(g907 +Vvar +p4363 +tp4364 +a(g7 +g956 +tp4365 +a(g654 +g974 +tp4366 +a(g7 +g956 +tp4367 +a(g416 +g2491 +tp4368 +a(g7 +g956 +tp4369 +a(g685 +VEvent +p4370 +tp4371 +a(g416 +g1061 +tp4372 +a(g842 +Vnew +p4373 +tp4374 +a(g709 +g1100 +tp4375 +a(g7 +V \u000a +p4376 +tp4377 +a(g842 +Vat +p4378 +tp4379 +a(g7 +g956 +tp4380 +a(g709 +g1274 +tp4381 +a(g654 +g974 +tp4382 +a(g709 +V? +p4383 +tp4384 +a(g709 +g1274 +tp4385 +a(g907 +Vvar +p4386 +tp4387 +a(g7 +g956 +tp4388 +a(g654 +Vmsg +p4389 +tp4390 +a(g709 +g1312 +tp4391 +a(g7 +g956 +tp4392 +a(g907 +Vvar +p4393 +tp4394 +a(g7 +g956 +tp4395 +a(g654 +Vvalue +p4396 +tp4397 +a(g709 +g1278 +tp4398 +a(g7 +g956 +tp4399 +a(g842 +Vif +p4400 +tp4401 +a(g7 +g956 +tp4402 +a(g654 +Vvalue +p4403 +tp4404 +a(g7 +g956 +tp4405 +a(g416 +g2810 +tp4406 +a(g7 +g956 +tp4407 +a(g318 +g1276 +tp4408 +a(g7 +g956 +tp4409 +a(g416 +V== +p4410 +tp4411 +a(g7 +g956 +tp4412 +a(g318 +g2554 +tp4413 +a(g709 +g1278 +tp4414 +a(g7 +V \u000a +p4415 +tp4416 +a(g654 +Vecho +p4417 +tp4418 +a(g709 +g1274 +tp4419 +a(g160 +g1085 +tp4420 +a(g160 +V%s: %d" +p4421 +tp4422 +a(g7 +g956 +tp4423 +a(g416 +g2810 +tp4424 +a(g7 +g956 +tp4425 +a(g709 +g1308 +tp4426 +a(g654 +Vmsg +p4427 +tp4428 +a(g709 +g1312 +tp4429 +a(g7 +g956 +tp4430 +a(g654 +Vvalue +p4431 +tp4432 +a(g709 +V]) +p4433 +tp4434 +a(g709 +g1100 +tp4435 +a(g7 +V \u000a \u000a +p4436 +tp4437 +a(g725 +V// Does not trigger the "at" because the guard is not verified. \u000a +p4438 +tp4439 +a(g7 +V +p4440 +tp4441 +a(g654 +g974 +tp4442 +a(g709 +g3070 +tp4443 +a(g709 +g1274 +tp4444 +a(g160 +g1085 +tp4445 +a(g160 +Vmessage" +p4446 +tp4447 +a(g709 +g1312 +tp4448 +a(g7 +g956 +tp4449 +a(g318 +g1310 +tp4450 +a(g709 +g1278 +tp4451 +a(g709 +g1100 +tp4452 +a(g7 +V \u000a \u000a +p4453 +tp4454 +a(g725 +V// Trigger the "at". \u000a +p4455 +tp4456 +a(g7 +V +p4457 +tp4458 +a(g654 +g974 +tp4459 +a(g709 +g3070 +tp4460 +a(g709 +g1274 +tp4461 +a(g160 +g1085 +tp4462 +a(g160 +Vmessage" +p4463 +tp4464 +a(g709 +g1312 +tp4465 +a(g7 +g956 +tp4466 +a(g318 +g1276 +tp4467 +a(g709 +g1278 +tp4468 +a(g709 +g1100 +tp4469 +a(g7 +V \u000a +p4470 +tp4471 +a(g709 +g1291 +tp4472 +a(g709 +g1100 +tp4473 +a(g7 +V \u000a +p4474 +tp4475 +a(g725 +V//[00000000] *** message: 2\u000a +p4476 +tp4477 +a(g7 +V \u000a +p4478 +tp4479 +a(g842 +Vfor +p4480 +tp4481 +a(g7 +g956 +tp4482 +a(g709 +g1274 +tp4483 +a(g907 +Vvar +p4484 +tp4485 +a(g7 +g956 +tp4486 +a(g654 +g970 +tp4487 +a(g7 +g956 +tp4488 +a(g416 +g2491 +tp4489 +a(g7 +g956 +tp4490 +a(g318 +g2554 +tp4491 +a(g709 +g1100 +tp4492 +a(g7 +g956 +tp4493 +a(g654 +g970 +tp4494 +a(g7 +g956 +tp4495 +a(g416 +g3216 +tp4496 +a(g7 +g956 +tp4497 +a(g318 +V8 +p4498 +tp4499 +a(g709 +g1100 +tp4500 +a(g7 +g956 +tp4501 +a(g654 +g970 +tp4502 +a(g416 +V++ +p4503 +tp4504 +a(g709 +g1278 +tp4505 +a(g7 +V \u000a +p4506 +tp4507 +a(g709 +g1281 +tp4508 +a(g7 +V \u000a +p4509 +tp4510 +a(g842 +Vif +p4511 +tp4512 +a(g7 +g956 +tp4513 +a(g709 +g1274 +tp4514 +a(g654 +g970 +tp4515 +a(g7 +g956 +tp4516 +a(g416 +g2810 +tp4517 +a(g7 +g956 +tp4518 +a(g318 +g1276 +tp4519 +a(g7 +g956 +tp4520 +a(g709 +g3070 +tp4521 +a(g416 +g2491 +tp4522 +a(g7 +g956 +tp4523 +a(g318 +g2554 +tp4524 +a(g709 +g1278 +tp4525 +a(g7 +V \u000a +p4526 +tp4527 +a(g842 +Vcontinue +p4528 +tp4529 +a(g709 +g1100 +tp4530 +a(g7 +V \u000a +p4531 +tp4532 +a(g654 +Vecho +p4533 +tp4534 +a(g709 +g1274 +tp4535 +a(g654 +g970 +tp4536 +a(g709 +g1278 +tp4537 +a(g709 +g1100 +tp4538 +a(g7 +V \u000a +p4539 +tp4540 +a(g709 +g1291 +tp4541 +a(g709 +g1100 +tp4542 +a(g7 +V \u000a\u000a +p4543 +tp4544 +a(g907 +Vdo +p4545 +tp4546 +a(g7 +g956 +tp4547 +a(g709 +g1274 +tp4548 +a(g318 +V1024 +p4549 +tp4550 +a(g709 +g1278 +tp4551 +a(g7 +V \u000a +p4552 +tp4553 +a(g709 +g1281 +tp4554 +a(g7 +V \u000a +p4555 +tp4556 +a(g842 +Vassert +p4557 +tp4558 +a(g709 +g1274 +tp4559 +a(g842 +Vthis +p4560 +tp4561 +a(g7 +g956 +tp4562 +a(g416 +V== +p4563 +tp4564 +a(g7 +g956 +tp4565 +a(g318 +V1024 +p4566 +tp4567 +a(g709 +g1278 +tp4568 +a(g709 +g1100 +tp4569 +a(g7 +V \u000a +p4570 +tp4571 +a(g842 +Vassert +p4572 +tp4573 +a(g709 +g1274 +tp4574 +a(g654 +Vsqrt +p4575 +tp4576 +a(g7 +g956 +tp4577 +a(g416 +V== +p4578 +tp4579 +a(g7 +g956 +tp4580 +a(g318 +V32 +p4581 +tp4582 +a(g709 +g1278 +tp4583 +a(g709 +g1100 +tp4584 +a(g7 +V \u000a +p4585 +tp4586 +a(g654 +VsetSlot +p4587 +tp4588 +a(g709 +g1274 +tp4589 +a(g160 +g1085 +tp4590 +a(g160 +Vy" +p4591 +tp4592 +a(g709 +g1312 +tp4593 +a(g7 +g956 +tp4594 +a(g318 +V23 +p4595 +tp4596 +a(g709 +g1278 +tp4597 +a(g709 +g1100 +tp4598 +a(g7 +V \u000a +p4599 +tp4600 +a(g709 +g1291 +tp4601 +a(g416 +g1061 +tp4602 +a(g654 +Vy +p4603 +tp4604 +a(g709 +g1100 +tp4605 +a(g7 +V \u000a \u000a +p4606 +tp4607 +a(g709 +g1281 +tp4608 +a(g7 +V \u000a +p4609 +tp4610 +a(g907 +Vvar +p4611 +tp4612 +a(g7 +g956 +tp4613 +a(g654 +g967 +tp4614 +a(g7 +g956 +tp4615 +a(g416 +g2491 +tp4616 +a(g7 +g956 +tp4617 +a(g318 +V10 +p4618 +tp4619 +a(g709 +V|; +p4620 +tp4621 +a(g7 +V \u000a +p4622 +tp4623 +a(g907 +Vvar +p4624 +tp4625 +a(g7 +g956 +tp4626 +a(g654 +Vres +p4627 +tp4628 +a(g7 +g956 +tp4629 +a(g416 +g2491 +tp4630 +a(g7 +g956 +tp4631 +a(g709 +V[] +p4632 +tp4633 +a(g709 +V|; +p4634 +tp4635 +a(g7 +V \u000a +p4636 +tp4637 +a(g842 +Vloop; +p4638 +tp4639 +a(g709 +g1281 +tp4640 +a(g7 +V \u000a +p4641 +tp4642 +a(g654 +g967 +tp4643 +a(g416 +V-- +p4644 +tp4645 +a(g709 +g1100 +tp4646 +a(g7 +V \u000a +p4647 +tp4648 +a(g654 +Vres +p4649 +tp4650 +a(g7 +g956 +tp4651 +a(g416 +V<< +p4652 +tp4653 +a(g7 +g956 +tp4654 +a(g654 +g967 +tp4655 +a(g709 +g1100 +tp4656 +a(g7 +V \u000a +p4657 +tp4658 +a(g842 +Vif +p4659 +tp4660 +a(g7 +g956 +tp4661 +a(g709 +g1274 +tp4662 +a(g654 +g967 +tp4663 +a(g7 +g956 +tp4664 +a(g416 +V== +p4665 +tp4666 +a(g7 +g956 +tp4667 +a(g318 +g2554 +tp4668 +a(g709 +g1278 +tp4669 +a(g7 +V \u000a +p4670 +tp4671 +a(g842 +Vbreak +p4672 +tp4673 +a(g7 +V \u000a +p4674 +tp4675 +a(g709 +g1291 +tp4676 +a(g709 +g1100 +tp4677 +a(g7 +V \u000a +p4678 +tp4679 +a(g654 +Vres +p4680 +tp4681 +a(g7 +V \u000a +p4682 +tp4683 +a(g709 +g1291 +tp4684 +a(g7 +V \u000a \u000a \u000a +p4685 +tp4686 +a(g709 +g1281 +tp4687 +a(g7 +V \u000a +p4688 +tp4689 +a(g907 +Vvar +p4690 +tp4691 +a(g7 +g956 +tp4692 +a(g654 +g967 +tp4693 +a(g7 +g956 +tp4694 +a(g416 +g2491 +tp4695 +a(g7 +g956 +tp4696 +a(g318 +V10 +p4697 +tp4698 +a(g709 +V|; +p4699 +tp4700 +a(g7 +V \u000a +p4701 +tp4702 +a(g907 +Vvar +p4703 +tp4704 +a(g7 +g956 +tp4705 +a(g654 +Vres +p4706 +tp4707 +a(g7 +g956 +tp4708 +a(g416 +g2491 +tp4709 +a(g7 +g956 +tp4710 +a(g709 +V[] +p4711 +tp4712 +a(g709 +V|; +p4713 +tp4714 +a(g7 +V \u000a +p4715 +tp4716 +a(g842 +Vloop| +p4717 +tp4718 +a(g709 +g1281 +tp4719 +a(g7 +V \u000a +p4720 +tp4721 +a(g654 +g967 +tp4722 +a(g416 +V-- +p4723 +tp4724 +a(g709 +g1100 +tp4725 +a(g7 +V \u000a +p4726 +tp4727 +a(g654 +Vres +p4728 +tp4729 +a(g7 +g956 +tp4730 +a(g416 +V<< +p4731 +tp4732 +a(g7 +g956 +tp4733 +a(g654 +g967 +tp4734 +a(g709 +g1100 +tp4735 +a(g7 +V \u000a +p4736 +tp4737 +a(g842 +Vif +p4738 +tp4739 +a(g7 +g956 +tp4740 +a(g709 +g1274 +tp4741 +a(g654 +g967 +tp4742 +a(g7 +g956 +tp4743 +a(g416 +V== +p4744 +tp4745 +a(g7 +g956 +tp4746 +a(g318 +g2554 +tp4747 +a(g709 +g1278 +tp4748 +a(g7 +V \u000a +p4749 +tp4750 +a(g842 +Vbreak +p4751 +tp4752 +a(g7 +V \u000a +p4753 +tp4754 +a(g709 +g1291 +tp4755 +a(g709 +g1100 +tp4756 +a(g7 +V \u000a +p4757 +tp4758 +a(g654 +Vres +p4759 +tp4760 +a(g7 +V \u000a +p4761 +tp4762 +a(g709 +g1291 +tp4763 +a(g7 +V \u000a\u000a \u000a +p4764 +tp4765 +a(g907 +Vvar +p4766 +tp4767 +a(g7 +g956 +tp4768 +a(g654 +Vj +p4769 +tp4770 +a(g7 +g956 +tp4771 +a(g416 +g2491 +tp4772 +a(g7 +g956 +tp4773 +a(g318 +g1316 +tp4774 +a(g709 +g2194 +tp4775 +a(g7 +V \u000a +p4776 +tp4777 +a(g842 +Vwhile +p4778 +tp4779 +a(g7 +g956 +tp4780 +a(g709 +g1274 +tp4781 +a(g318 +g2554 +tp4782 +a(g7 +g956 +tp4783 +a(g416 +g3216 +tp4784 +a(g7 +g956 +tp4785 +a(g654 +g4769 +tp4786 +a(g709 +g1278 +tp4787 +a(g7 +V \u000a +p4788 +tp4789 +a(g709 +g1281 +tp4790 +a(g7 +V \u000a +p4791 +tp4792 +a(g654 +Vecho +p4793 +tp4794 +a(g709 +g1274 +tp4795 +a(g654 +g4769 +tp4796 +a(g709 +g1278 +tp4797 +a(g709 +g1100 +tp4798 +a(g7 +V \u000a +p4799 +tp4800 +a(g654 +g4769 +tp4801 +a(g416 +V-- +p4802 +tp4803 +a(g709 +g1100 +tp4804 +a(g7 +V \u000a +p4805 +tp4806 +a(g709 +g1291 +tp4807 +a(g709 +g1100 +tp4808 +a(g7 +V \u000a\u000a \u000a +p4809 +tp4810 +a(g709 +g1281 +tp4811 +a(g7 +V \u000a +p4812 +tp4813 +a(g907 +Vvar +p4814 +tp4815 +a(g7 +g956 +tp4816 +a(g654 +g970 +tp4817 +a(g7 +g956 +tp4818 +a(g416 +g2491 +tp4819 +a(g7 +g956 +tp4820 +a(g318 +g1319 +tp4821 +a(g709 +g2194 +tp4822 +a(g7 +V \u000a +p4823 +tp4824 +a(g842 +Vwhile| +p4825 +tp4826 +a(g7 +g956 +tp4827 +a(g709 +g1274 +tp4828 +a(g861 +Vtrue +p4829 +tp4830 +a(g709 +g1278 +tp4831 +a(g7 +V \u000a +p4832 +tp4833 +a(g709 +g1281 +tp4834 +a(g7 +V \u000a +p4835 +tp4836 +a(g654 +g970 +tp4837 +a(g7 +g956 +tp4838 +a(g416 +V-= +p4839 +tp4840 +a(g7 +g956 +tp4841 +a(g318 +g1310 +tp4842 +a(g709 +g1100 +tp4843 +a(g7 +V \u000a +p4844 +tp4845 +a(g654 +Vecho +p4846 +tp4847 +a(g7 +g956 +tp4848 +a(g709 +g1274 +tp4849 +a(g160 +g1085 +tp4850 +a(g160 +Vin: " +p4851 +tp4852 +a(g7 +g956 +tp4853 +a(g416 +g2321 +tp4854 +a(g7 +g956 +tp4855 +a(g654 +g970 +tp4856 +a(g709 +g1278 +tp4857 +a(g709 +g1100 +tp4858 +a(g7 +V \u000a +p4859 +tp4860 +a(g842 +Vif +p4861 +tp4862 +a(g7 +g956 +tp4863 +a(g709 +g1274 +tp4864 +a(g654 +g970 +tp4865 +a(g7 +g956 +tp4866 +a(g416 +V== +p4867 +tp4868 +a(g7 +g956 +tp4869 +a(g318 +g1310 +tp4870 +a(g709 +g1278 +tp4871 +a(g7 +V \u000a +p4872 +tp4873 +a(g842 +Vbreak +p4874 +tp4875 +a(g7 +V \u000a +p4876 +tp4877 +a(g842 +Velse +p4878 +tp4879 +a(g7 +g956 +tp4880 +a(g842 +Vif +p4881 +tp4882 +a(g7 +g956 +tp4883 +a(g709 +g1274 +tp4884 +a(g654 +g970 +tp4885 +a(g7 +g956 +tp4886 +a(g416 +V== +p4887 +tp4888 +a(g7 +g956 +tp4889 +a(g318 +g1276 +tp4890 +a(g709 +g1278 +tp4891 +a(g7 +V \u000a +p4892 +tp4893 +a(g842 +Vcontinue +p4894 +tp4895 +a(g709 +g1100 +tp4896 +a(g7 +V \u000a +p4897 +tp4898 +a(g654 +Vecho +p4899 +tp4900 +a(g7 +g956 +tp4901 +a(g709 +g1274 +tp4902 +a(g160 +g1085 +tp4903 +a(g160 +Vout: " +p4904 +tp4905 +a(g7 +g956 +tp4906 +a(g416 +g2321 +tp4907 +a(g7 +g956 +tp4908 +a(g654 +g970 +tp4909 +a(g709 +g1278 +tp4910 +a(g709 +g1100 +tp4911 +a(g7 +V \u000a +p4912 +tp4913 +a(g709 +g1291 +tp4914 +a(g709 +g1100 +tp4915 +a(g7 +V \u000a +p4916 +tp4917 +a(g709 +g1291 +tp4918 +a(g709 +g1100 +tp4919 +a(g7 +V \u000a\u000a \u000a \u000a +p4920 +tp4921 +a(g907 +Vfunction +p4922 +tp4923 +a(g7 +g956 +tp4924 +a(g654 +Vtest +p4925 +tp4926 +a(g709 +g1274 +tp4927 +a(g654 +g974 +tp4928 +a(g709 +g1278 +tp4929 +a(g7 +V \u000a +p4930 +tp4931 +a(g709 +g1281 +tp4932 +a(g7 +V \u000a +p4933 +tp4934 +a(g842 +Vtry +p4935 +tp4936 +a(g7 +V \u000a +p4937 +tp4938 +a(g709 +g1281 +tp4939 +a(g7 +g956 +tp4940 +a(g842 +Vthrow +p4941 +tp4942 +a(g7 +g956 +tp4943 +a(g654 +g974 +tp4944 +a(g709 +g1100 +tp4945 +a(g7 +V +p4946 +tp4947 +a(g709 +g1291 +tp4948 +a(g7 +V \u000a +p4949 +tp4950 +a(g842 +Vcatch +p4951 +tp4952 +a(g7 +g956 +tp4953 +a(g709 +g1274 +tp4954 +a(g318 +g2554 +tp4955 +a(g709 +g1278 +tp4956 +a(g7 +V \u000a +p4957 +tp4958 +a(g709 +g1281 +tp4959 +a(g7 +g956 +tp4960 +a(g654 +Vecho +p4961 +tp4962 +a(g709 +g1274 +tp4963 +a(g160 +g1085 +tp4964 +a(g160 +Vzero" +p4965 +tp4966 +a(g709 +g1278 +tp4967 +a(g7 +g956 +tp4968 +a(g709 +g1291 +tp4969 +a(g7 +V \u000a +p4970 +tp4971 +a(g842 +Vcatch +p4972 +tp4973 +a(g7 +g956 +tp4974 +a(g709 +V([ +p4975 +tp4976 +a(g907 +Vvar +p4977 +tp4978 +a(g7 +g956 +tp4979 +a(g654 +g2180 +tp4980 +a(g709 +g1312 +tp4981 +a(g7 +g956 +tp4982 +a(g907 +Vvar +p4983 +tp4984 +a(g7 +g956 +tp4985 +a(g654 +g4603 +tp4986 +a(g709 +V]) +p4987 +tp4988 +a(g7 +V \u000a +p4989 +tp4990 +a(g709 +g1281 +tp4991 +a(g7 +g956 +tp4992 +a(g654 +Vecho +p4993 +tp4994 +a(g709 +g1274 +tp4995 +a(g654 +g2180 +tp4996 +a(g7 +g956 +tp4997 +a(g416 +g2321 +tp4998 +a(g7 +g956 +tp4999 +a(g654 +g4603 +tp5000 +a(g709 +g1278 +tp5001 +a(g7 +g956 +tp5002 +a(g709 +g1291 +tp5003 +a(g7 +V \u000a +p5004 +tp5005 +a(g709 +g1291 +tp5006 +a(g7 +g956 +tp5007 +a(g709 +g2194 +tp5008 +a(g7 +g956 +tp5009 +a(g709 +V{} +p5010 +tp5011 +a(g709 +g1100 +tp5012 +a(g7 +V \u000a \u000a +p5013 +tp5014 +a(g842 +Vtry +p5015 +tp5016 +a(g7 +V +p5017 +tp5018 +a(g709 +g1281 +tp5019 +a(g7 +g956 +tp5020 +a(g654 +Vecho +p5021 +tp5022 +a(g709 +g1274 +tp5023 +a(g160 +g1085 +tp5024 +a(g160 +Vtry" +p5025 +tp5026 +a(g709 +g1278 +tp5027 +a(g7 +g956 +tp5028 +a(g709 +g1291 +tp5029 +a(g7 +V \u000a +p5030 +tp5031 +a(g842 +Vcatch +p5032 +tp5033 +a(g7 +g956 +tp5034 +a(g709 +g1281 +tp5035 +a(g7 +g956 +tp5036 +a(g654 +Vecho +p5037 +tp5038 +a(g709 +g1274 +tp5039 +a(g160 +g1085 +tp5040 +a(g160 +Vcatch" +p5041 +tp5042 +a(g709 +V)} +p5043 +tp5044 +a(g7 +V \u000a +p5045 +tp5046 +a(g842 +Velse +p5047 +tp5048 +a(g7 +V +p5049 +tp5050 +a(g709 +g1281 +tp5051 +a(g7 +g956 +tp5052 +a(g654 +Vecho +p5053 +tp5054 +a(g709 +g1274 +tp5055 +a(g160 +g1085 +tp5056 +a(g160 +Velse" +p5057 +tp5058 +a(g709 +V)} +p5059 +tp5060 +a(g709 +g1100 +tp5061 +a(g7 +V \u000a \u000a \u000a +p5062 +tp5063 +a(g842 +Vtry +p5064 +tp5065 +a(g7 +V \u000a +p5066 +tp5067 +a(g709 +g1281 +tp5068 +a(g7 +V \u000a +p5069 +tp5070 +a(g654 +Vecho +p5071 +tp5072 +a(g709 +g1274 +tp5073 +a(g160 +g1085 +tp5074 +a(g160 +Vinside" +p5075 +tp5076 +a(g709 +g1278 +tp5077 +a(g709 +g1100 +tp5078 +a(g7 +V \u000a +p5079 +tp5080 +a(g709 +g1291 +tp5081 +a(g7 +V \u000a +p5082 +tp5083 +a(g842 +Vfinally +p5084 +tp5085 +a(g7 +V \u000a +p5086 +tp5087 +a(g709 +g1281 +tp5088 +a(g7 +V \u000a +p5089 +tp5090 +a(g654 +Vecho +p5091 +tp5092 +a(g709 +g1274 +tp5093 +a(g160 +g1085 +tp5094 +a(g160 +Vfinally" +p5095 +tp5096 +a(g709 +g1278 +tp5097 +a(g709 +g1100 +tp5098 +a(g7 +V \u000a +p5099 +tp5100 +a(g709 +g1291 +tp5101 +a(g709 +g1100 +tp5102 +a(g7 +V \u000a +p5103 +tp5104 +a(g725 +V//[00000001] *** inside \u000a +p5105 +tp5106 +a(g725 +V//[00000002] *** finally\u000a +p5107 +tp5108 +a(g7 +V \u000a +p5109 +tp5110 +a(g842 +Vat +p5111 +tp5112 +a(g7 +g956 +tp5113 +a(g709 +g1274 +tp5114 +a(g654 +g974 +tp5115 +a(g709 +g4383 +tp5116 +a(g709 +g1274 +tp5117 +a(g907 +Vvar +p5118 +tp5119 +a(g7 +g956 +tp5120 +a(g654 +Vstart +p5121 +tp5122 +a(g709 +g1278 +tp5123 +a(g7 +g956 +tp5124 +a(g416 +V~ +p5125 +tp5126 +a(g7 +g956 +tp5127 +a(g318 +V1s +p5128 +tp5129 +a(g709 +g1278 +tp5130 +a(g7 +V \u000a +p5131 +tp5132 +a(g654 +Vecho +p5133 +tp5134 +a(g709 +g1274 +tp5135 +a(g160 +g1085 +tp5136 +a(g160 +Vin : %s" +p5137 +tp5138 +a(g7 +g956 +tp5139 +a(g416 +g2810 +tp5140 +a(g7 +g956 +tp5141 +a(g709 +g1274 +tp5142 +a(g654 +Vtime +p5143 +tp5144 +a(g7 +g956 +tp5145 +a(g416 +g1055 +tp5146 +a(g7 +g956 +tp5147 +a(g654 +Vstart +p5148 +tp5149 +a(g709 +g1278 +tp5150 +a(g416 +g1061 +tp5151 +a(g654 +Vround +p5152 +tp5153 +a(g709 +g1278 +tp5154 +a(g7 +V \u000a +p5155 +tp5156 +a(g842 +Vonleave +p5157 +tp5158 +a(g7 +V \u000a +p5159 +tp5160 +a(g654 +Vecho +p5161 +tp5162 +a(g709 +g1274 +tp5163 +a(g160 +g1085 +tp5164 +a(g160 +Vout: %s" +p5165 +tp5166 +a(g7 +g956 +tp5167 +a(g416 +g2810 +tp5168 +a(g7 +g956 +tp5169 +a(g709 +g1274 +tp5170 +a(g654 +Vtime +p5171 +tp5172 +a(g7 +g956 +tp5173 +a(g416 +g1055 +tp5174 +a(g7 +g956 +tp5175 +a(g654 +Vstart +p5176 +tp5177 +a(g709 +g1278 +tp5178 +a(g416 +g1061 +tp5179 +a(g654 +Vround +p5180 +tp5181 +a(g709 +g1278 +tp5182 +a(g709 +g1100 +tp5183 +a(g7 +V \u000a \u000a +p5184 +tp5185 +a(g725 +V// This emission is too short to trigger the at. \u000a +p5186 +tp5187 +a(g654 +g974 +tp5188 +a(g709 +g3070 +tp5189 +a(g709 +g1274 +tp5190 +a(g654 +Vtime +p5191 +tp5192 +a(g709 +g1278 +tp5193 +a(g709 +g1100 +tp5194 +a(g7 +V \u000a \u000a +p5195 +tp5196 +a(g725 +V// This one is long enough. \u000a +p5197 +tp5198 +a(g725 +V// The body triggers 1s after the emission started. \u000a +p5199 +tp5200 +a(g654 +g974 +tp5201 +a(g709 +g3070 +tp5202 +a(g709 +g1274 +tp5203 +a(g654 +Vtime +p5204 +tp5205 +a(g709 +g1278 +tp5206 +a(g7 +g956 +tp5207 +a(g416 +g5125 +tp5208 +a(g7 +g956 +tp5209 +a(g318 +V2s +p5210 +tp5211 +a(g709 +g1100 +tp5212 +a(g7 +V \u000a +p5213 +tp5214 +a(g725 +V//[00001000] *** in : 1 \u000a +p5215 +tp5216 +a(g725 +V//[00002000] *** out: 2\u000a +p5217 +tp5218 +a(g7 +V \u000a\u000a +p5219 +tp5220 +a(g842 +Vtimeout +p5221 +tp5222 +a(g7 +g956 +tp5223 +a(g709 +g1274 +tp5224 +a(g318 +V2.1s +p5225 +tp5226 +a(g709 +g1278 +tp5227 +a(g7 +V \u000a +p5228 +tp5229 +a(g842 +Vevery +p5230 +tp5231 +a(g7 +g956 +tp5232 +a(g709 +g1274 +tp5233 +a(g318 +V1s +p5234 +tp5235 +a(g709 +g1278 +tp5236 +a(g7 +V \u000a +p5237 +tp5238 +a(g654 +Vecho +p5239 +tp5240 +a(g709 +g1274 +tp5241 +a(g160 +g1085 +tp5242 +a(g160 +VAre you still there?" +p5243 +tp5244 +a(g709 +g1278 +tp5245 +a(g709 +g1100 +tp5246 +a(g7 +V \u000a +p5247 +tp5248 +a(g725 +V//[00000000] *** Are you still there? \u000a +p5249 +tp5250 +a(g725 +V//[00001000] *** Are you still there? \u000a +p5251 +tp5252 +a(g725 +V//[00002000] *** Are you still there?\u000a +p5253 +tp5254 +a(g7 +V \u000a +p5255 +tp5256 +a(g842 +Vevery| +p5257 +tp5258 +a(g7 +g956 +tp5259 +a(g709 +g1274 +tp5260 +a(g318 +V1s +p5261 +tp5262 +a(g709 +g1278 +tp5263 +a(g7 +V \u000a +p5264 +tp5265 +a(g709 +g1281 +tp5266 +a(g7 +V \u000a +p5267 +tp5268 +a(g654 +Vecho +p5269 +tp5270 +a(g709 +g1274 +tp5271 +a(g160 +g1085 +tp5272 +a(g160 +Vaba" +p5273 +tp5274 +a(g709 +g1278 +tp5275 +a(g709 +g1100 +tp5276 +a(g7 +V \u000a +p5277 +tp5278 +a(g709 +g1291 +tp5279 +a(g709 +g1100 +tp5280 +a(g7 +V \u000a\u000a +p5281 +tp5282 +a(g842 +Vfor, +p5283 +tp5284 +a(g7 +g956 +tp5285 +a(g709 +g1274 +tp5286 +a(g907 +Vvar +p5287 +tp5288 +a(g7 +g956 +tp5289 +a(g654 +g970 +tp5290 +a(g7 +g956 +tp5291 +a(g416 +g2491 +tp5292 +a(g7 +g956 +tp5293 +a(g318 +g1316 +tp5294 +a(g709 +g1100 +tp5295 +a(g7 +g956 +tp5296 +a(g318 +g2554 +tp5297 +a(g7 +g956 +tp5298 +a(g416 +g3216 +tp5299 +a(g7 +g956 +tp5300 +a(g654 +g970 +tp5301 +a(g709 +g1100 +tp5302 +a(g7 +g956 +tp5303 +a(g654 +g970 +tp5304 +a(g7 +g956 +tp5305 +a(g416 +V-= +p5306 +tp5307 +a(g7 +g956 +tp5308 +a(g318 +g1310 +tp5309 +a(g709 +g1278 +tp5310 +a(g7 +V \u000a +p5311 +tp5312 +a(g709 +g1281 +tp5313 +a(g7 +V \u000a +p5314 +tp5315 +a(g654 +Vecho +p5316 +tp5317 +a(g7 +g956 +tp5318 +a(g709 +g1274 +tp5319 +a(g654 +g970 +tp5320 +a(g709 +g1278 +tp5321 +a(g709 +g1100 +tp5322 +a(g7 +V \u000a +p5323 +tp5324 +a(g709 +g1291 +tp5325 +a(g709 +g1100 +tp5326 +a(g7 +V \u000a \u000a \u000a +p5327 +tp5328 +a(g842 +Vfor& +p5329 +tp5330 +a(g7 +g956 +tp5331 +a(g709 +g1274 +tp5332 +a(g907 +Vvar +p5333 +tp5334 +a(g7 +g956 +tp5335 +a(g654 +g970 +tp5336 +a(g416 +g1305 +tp5337 +a(g7 +g956 +tp5338 +a(g709 +g1308 +tp5339 +a(g318 +g2554 +tp5340 +a(g709 +g1312 +tp5341 +a(g7 +g956 +tp5342 +a(g318 +g1310 +tp5343 +a(g709 +g1312 +tp5344 +a(g7 +g956 +tp5345 +a(g318 +g1276 +tp5346 +a(g709 +V]) +p5347 +tp5348 +a(g7 +V \u000a +p5349 +tp5350 +a(g709 +g1281 +tp5351 +a(g7 +V \u000a +p5352 +tp5353 +a(g654 +Vecho +p5354 +tp5355 +a(g7 +g956 +tp5356 +a(g709 +g1274 +tp5357 +a(g654 +g970 +tp5358 +a(g7 +g956 +tp5359 +a(g416 +g1626 +tp5360 +a(g7 +g956 +tp5361 +a(g654 +g970 +tp5362 +a(g709 +g1278 +tp5363 +a(g709 +g1100 +tp5364 +a(g7 +V \u000a +p5365 +tp5366 +a(g709 +g1291 +tp5367 +a(g709 +g1100 +tp5368 +a(g7 +V \u000a \u000a +p5369 +tp5370 +a(g842 +Vloop, +p5371 +tp5372 +a(g709 +g1281 +tp5373 +a(g7 +V \u000a +p5374 +tp5375 +a(g709 +g1291 +tp5376 +a(g709 +g1100 +tp5377 +a(g7 +V \u000a\u000a\u000a +p5378 +tp5379 +a(g842 +Vwaituntil +p5380 +tp5381 +a(g7 +g956 +tp5382 +a(g709 +g1274 +tp5383 +a(g654 +g974 +tp5384 +a(g709 +g4383 +tp5385 +a(g709 +g1274 +tp5386 +a(g318 +g1310 +tp5387 +a(g709 +g1312 +tp5388 +a(g7 +g956 +tp5389 +a(g907 +Vvar +p5390 +tp5391 +a(g7 +g956 +tp5392 +a(g654 +g2494 +tp5393 +a(g709 +V)) +p5394 +tp5395 +a(g709 +g1100 +tp5396 +a(g7 +V \u000a\u000a +p5397 +tp5398 +a(g842 +Vwhenever +p5399 +tp5400 +a(g7 +g956 +tp5401 +a(g709 +g1274 +tp5402 +a(g654 +g974 +tp5403 +a(g709 +g4383 +tp5404 +a(g709 +g1274 +tp5405 +a(g160 +g1085 +tp5406 +a(g160 +Varg" +p5407 +tp5408 +a(g709 +g1312 +tp5409 +a(g7 +g956 +tp5410 +a(g907 +Vvar +p5411 +tp5412 +a(g7 +g956 +tp5413 +a(g654 +Varg +p5414 +tp5415 +a(g709 +g1278 +tp5416 +a(g7 +g956 +tp5417 +a(g842 +Vif +p5418 +tp5419 +a(g7 +g956 +tp5420 +a(g654 +Varg +p5421 +tp5422 +a(g7 +g956 +tp5423 +a(g416 +g2810 +tp5424 +a(g7 +g956 +tp5425 +a(g318 +g1276 +tp5426 +a(g709 +g1278 +tp5427 +a(g7 +V \u000a +p5428 +tp5429 +a(g654 +Vecho +p5430 +tp5431 +a(g709 +g1274 +tp5432 +a(g160 +g1085 +tp5433 +a(g160 +Ve (%s) on" +p5434 +tp5435 +a(g7 +g956 +tp5436 +a(g416 +g2810 +tp5437 +a(g7 +g956 +tp5438 +a(g654 +Varg +p5439 +tp5440 +a(g709 +g1278 +tp5441 +a(g7 +V \u000a +p5442 +tp5443 +a(g842 +Velse +p5444 +tp5445 +a(g7 +V \u000a +p5446 +tp5447 +a(g654 +Vecho +p5448 +tp5449 +a(g709 +g1274 +tp5450 +a(g160 +g1085 +tp5451 +a(g160 +Ve off" +p5452 +tp5453 +a(g709 +g1278 +tp5454 +a(g709 +g1100 +tp5455 +a(g7 +V \u000a\u000a\u000a +p5456 +tp5457 +a(g842 +Vwhile, +p5458 +tp5459 +a(g7 +g956 +tp5460 +a(g709 +g1274 +tp5461 +a(g654 +g970 +tp5462 +a(g709 +g1278 +tp5463 +a(g7 +V \u000a +p5464 +tp5465 +a(g709 +g1281 +tp5466 +a(g7 +V \u000a +p5467 +tp5468 +a(g907 +Vvar +p5469 +tp5470 +a(g7 +g956 +tp5471 +a(g654 +g4769 +tp5472 +a(g7 +g956 +tp5473 +a(g416 +g2491 +tp5474 +a(g7 +g956 +tp5475 +a(g654 +g970 +tp5476 +a(g7 +g956 +tp5477 +a(g416 +V-= +p5478 +tp5479 +a(g7 +g956 +tp5480 +a(g318 +g1310 +tp5481 +a(g709 +g1100 +tp5482 +a(g7 +V \u000a +p5483 +tp5484 +a(g709 +g1291 +tp5485 +a(g709 +g2194 +tp5486 +a(g7 +V \u000a \u000a \u000a +p5487 +tp5488 +a(g907 +Vvar +p5489 +tp5490 +a(g7 +g956 +tp5491 +a(g654 +g4603 +tp5492 +a(g7 +g956 +tp5493 +a(g416 +g2491 +tp5494 +a(g7 +g956 +tp5495 +a(g318 +g2554 +tp5496 +a(g709 +g1100 +tp5497 +a(g7 +V \u000a +p5498 +tp5499 +a(g709 +g1281 +tp5500 +a(g7 +V \u000a +p5501 +tp5502 +a(g654 +Vsleep +p5503 +tp5504 +a(g709 +g1274 +tp5505 +a(g318 +V0.5s +p5506 +tp5507 +a(g709 +g1278 +tp5508 +a(g709 +g1100 +tp5509 +a(g7 +V \u000a +p5510 +tp5511 +a(g654 +g4603 +tp5512 +a(g7 +g956 +tp5513 +a(g416 +g2491 +tp5514 +a(g7 +g956 +tp5515 +a(g318 +V100 +p5516 +tp5517 +a(g7 +g956 +tp5518 +a(g654 +Vsmooth +p5519 +tp5520 +a(g416 +g1305 +tp5521 +a(g318 +V3s +p5522 +tp5523 +a(g709 +g1312 +tp5524 +a(g7 +V \u000a +p5525 +tp5526 +a(g709 +g1291 +tp5527 +a(g709 +g1312 +tp5528 +a(g7 +V\u000a\u000a \u000a \u000a +p5529 +tp5530 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.u1 b/tests/examplefiles/output/example.u1 new file mode 100644 index 0000000..6129506 --- /dev/null +++ b/tests/examplefiles/output/example.u1 @@ -0,0 +1,3989 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Function' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsg5 +g8 +sS'Blubb' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +tp159 +Rp160 +sbsS'Label' +p161 +g1 +(g2 +g3 +(g4 +g161 +tp162 +tp163 +Rp164 +(dp165 +g10 +g13 +sg25 +g26 +((lp166 +tp167 +Rp168 +sbsS'Field' +p169 +g1 +(g2 +g3 +(g4 +g169 +tp170 +tp171 +Rp172 +(dp173 +g10 +g13 +sg25 +g26 +((lp174 +tp175 +Rp176 +sbsS'Exception' +p177 +g1 +(g2 +g3 +(g4 +g177 +tp178 +tp179 +Rp180 +(dp181 +g10 +g13 +sg25 +g26 +((lp182 +tp183 +Rp184 +sbsS'Namespace' +p185 +g1 +(g2 +g3 +(g4 +g185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g13 +sg25 +g26 +((lp190 +tp191 +Rp192 +sbsg25 +g26 +((lp193 +g76 +ag156 +ag116 +ag84 +ag18 +ag180 +ag108 +ag140 +ag8 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp194 +tp195 +Rp196 +(dp197 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp198 +tp199 +Rp200 +(dp201 +g10 +g196 +sg25 +g26 +((lp202 +tp203 +Rp204 +sbsg25 +g26 +((lp205 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p206 +tp207 +tp208 +Rp209 +(dp210 +g10 +g196 +sg25 +g26 +((lp211 +tp212 +Rp213 +sbag200 +atp214 +Rp215 +sg206 +g209 +sbag1 +(g2 +g3 +(g4 +S'Other' +p216 +tp217 +tp218 +Rp219 +(dp220 +g10 +g13 +sS'Member' +p221 +g1 +(g2 +g3 +(g4 +g216 +g221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g219 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbsg25 +g26 +((lp229 +g224 +atp230 +Rp231 +sbag164 +ag68 +ag188 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p232 +tp233 +tp234 +Rp235 +(dp236 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g232 +g15 +tp237 +tp238 +Rp239 +(dp240 +g10 +g235 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g247 +g121 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g1 +(g2 +g3 +(g4 +g247 +g113 +tp260 +tp261 +Rp262 +(dp263 +g10 +g250 +sg25 +g26 +((lp264 +tp265 +Rp266 +sbag254 +atp267 +Rp268 +sg113 +g262 +sbag172 +ag124 +ag100 +atp269 +Rp270 +sg10 +g1 +(g2 +g3 +(ttp271 +Rp272 +(dp273 +S'Number' +p274 +g1 +(g2 +g3 +(S'Literal' +p275 +g274 +tp276 +tp277 +Rp278 +(dp279 +S'Integer' +p280 +g1 +(g2 +g3 +(g275 +g274 +g280 +tp281 +tp282 +Rp283 +(dp284 +g10 +g278 +sS'Long' +p285 +g1 +(g2 +g3 +(g275 +g274 +g280 +g285 +tp286 +tp287 +Rp288 +(dp289 +g10 +g283 +sg25 +g26 +((lp290 +tp291 +Rp292 +sbsg25 +g26 +((lp293 +g288 +atp294 +Rp295 +sbsg10 +g1 +(g2 +g3 +(g275 +tp296 +tp297 +Rp298 +(dp299 +S'Scalar' +p300 +g1 +(g2 +g3 +(g275 +g300 +tp301 +tp302 +Rp303 +(dp304 +g10 +g298 +sg25 +g26 +((lp305 +g1 +(g2 +g3 +(g275 +g300 +S'Plain' +p306 +tp307 +tp308 +Rp309 +(dp310 +g10 +g303 +sg25 +g26 +((lp311 +tp312 +Rp313 +sbatp314 +Rp315 +sg306 +g309 +sbsg274 +g278 +sg10 +g272 +sg216 +g1 +(g2 +g3 +(g275 +g216 +tp316 +tp317 +Rp318 +(dp319 +g10 +g298 +sg25 +g26 +((lp320 +tp321 +Rp322 +sbsS'Char' +p323 +g1 +(g2 +g3 +(g275 +g323 +tp324 +tp325 +Rp326 +(dp327 +g10 +g298 +sg25 +g26 +((lp328 +tp329 +Rp330 +sbsS'String' +p331 +g1 +(g2 +g3 +(g275 +g331 +tp332 +tp333 +Rp334 +(dp335 +g323 +g1 +(g2 +g3 +(g275 +g331 +g323 +tp336 +tp337 +Rp338 +(dp339 +g10 +g334 +sg25 +g26 +((lp340 +tp341 +Rp342 +sbsS'Backtick' +p343 +g1 +(g2 +g3 +(g275 +g331 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g334 +sg25 +g26 +((lp348 +tp349 +Rp350 +sbsS'Heredoc' +p351 +g1 +(g2 +g3 +(g275 +g331 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g334 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsg97 +g1 +(g2 +g3 +(g275 +g331 +g97 +tp359 +tp360 +Rp361 +(dp362 +g10 +g334 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsS'Interpol' +p366 +g1 +(g2 +g3 +(g275 +g331 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g334 +sg25 +g26 +((lp371 +tp372 +Rp373 +sbsS'Delimiter' +p374 +g1 +(g2 +g3 +(g275 +g331 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g334 +sg25 +g26 +((lp379 +tp380 +Rp381 +sbsS'Boolean' +p382 +g1 +(g2 +g3 +(g275 +g331 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g334 +sg25 +g26 +((lp387 +tp388 +Rp389 +sbsS'Character' +p390 +g1 +(g2 +g3 +(g275 +g331 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g334 +sg25 +g26 +((lp395 +tp396 +Rp397 +sbsS'Double' +p398 +g1 +(g2 +g3 +(g275 +g331 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g334 +sg25 +g26 +((lp403 +tp404 +Rp405 +sbsS'Delimeter' +p406 +g1 +(g2 +g3 +(g275 +g331 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g334 +sg25 +g26 +((lp411 +tp412 +Rp413 +sbsS'Atom' +p414 +g1 +(g2 +g3 +(g275 +g331 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g334 +sg25 +g26 +((lp419 +tp420 +Rp421 +sbsS'Affix' +p422 +g1 +(g2 +g3 +(g275 +g331 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g334 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsg4 +g1 +(g2 +g3 +(g275 +g331 +g4 +tp430 +tp431 +Rp432 +(dp433 +g10 +g334 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsS'Regex' +p437 +g1 +(g2 +g3 +(g275 +g331 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g334 +sg25 +g26 +((lp442 +tp443 +Rp444 +sbsS'Interp' +p445 +g1 +(g2 +g3 +(g275 +g331 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g334 +sg25 +g26 +((lp450 +tp451 +Rp452 +sbsS'Escape' +p453 +g1 +(g2 +g3 +(g275 +g331 +g453 +tp454 +tp455 +Rp456 +(dp457 +g10 +g334 +sg25 +g26 +((lp458 +tp459 +Rp460 +sbsg25 +g26 +((lp461 +g377 +ag361 +ag440 +ag1 +(g2 +g3 +(g275 +g331 +S'Doc' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g334 +sg25 +g26 +((lp467 +tp468 +Rp469 +sbag393 +ag385 +ag401 +ag369 +ag417 +ag409 +ag432 +ag456 +ag1 +(g2 +g3 +(g275 +g331 +S'Single' +p470 +tp471 +tp472 +Rp473 +(dp474 +g10 +g334 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag1 +(g2 +g3 +(g275 +g331 +g216 +tp478 +tp479 +Rp480 +(dp481 +g10 +g334 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag448 +ag346 +ag425 +ag1 +(g2 +g3 +(g275 +g331 +S'Moment' +p485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g334 +sg25 +g26 +((lp490 +tp491 +Rp492 +sbag338 +ag354 +atp493 +Rp494 +sg470 +g473 +sg485 +g488 +sg10 +g298 +sg216 +g480 +sg462 +g465 +sbsg25 +g26 +((lp495 +g334 +ag326 +ag318 +ag1 +(g2 +g3 +(g275 +S'Date' +p496 +tp497 +tp498 +Rp499 +(dp500 +g10 +g298 +sg25 +g26 +((lp501 +tp502 +Rp503 +sbag303 +ag278 +atp504 +Rp505 +sg496 +g499 +sbsS'Bin' +p506 +g1 +(g2 +g3 +(g275 +g274 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g278 +sg25 +g26 +((lp511 +tp512 +Rp513 +sbsS'Radix' +p514 +g1 +(g2 +g3 +(g275 +g274 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g278 +sg25 +g26 +((lp519 +tp520 +Rp521 +sbsS'Oct' +p522 +g1 +(g2 +g3 +(g275 +g274 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g278 +sg25 +g26 +((lp527 +tp528 +Rp529 +sbsS'Dec' +p530 +g1 +(g2 +g3 +(g275 +g274 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g278 +sg25 +g26 +((lp535 +tp536 +Rp537 +sbsS'Hex' +p538 +g1 +(g2 +g3 +(g275 +g274 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g278 +sg25 +g26 +((lp543 +tp544 +Rp545 +sbsg25 +g26 +((lp546 +g283 +ag517 +ag533 +ag1 +(g2 +g3 +(g275 +g274 +S'Decimal' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g278 +sg25 +g26 +((lp552 +tp553 +Rp554 +sbag509 +ag1 +(g2 +g3 +(g275 +g274 +S'Float' +p555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g278 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbag525 +ag541 +atp563 +Rp564 +sg547 +g550 +sg555 +g558 +sbsS'Generic' +p565 +g1 +(g2 +g3 +(g565 +tp566 +tp567 +Rp568 +(dp569 +g10 +g272 +sS'Deleted' +p570 +g1 +(g2 +g3 +(g565 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g568 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsS'Subheading' +p578 +g1 +(g2 +g3 +(g565 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g568 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbsS'Heading' +p586 +g1 +(g2 +g3 +(g565 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g568 +sg25 +g26 +((lp591 +tp592 +Rp593 +sbsS'Emph' +p594 +g1 +(g2 +g3 +(g565 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g568 +sg25 +g26 +((lp599 +tp600 +Rp601 +sbsS'Prompt' +p602 +g1 +(g2 +g3 +(g565 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g568 +sg25 +g26 +((lp607 +tp608 +Rp609 +sbsS'Inserted' +p610 +g1 +(g2 +g3 +(g565 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g568 +sg25 +g26 +((lp615 +tp616 +Rp617 +sbsS'Strong' +p618 +g1 +(g2 +g3 +(g565 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g568 +sg25 +g26 +((lp623 +tp624 +Rp625 +sbsS'Error' +p626 +g1 +(g2 +g3 +(g565 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g568 +sg25 +g26 +((lp631 +tp632 +Rp633 +sbsS'Traceback' +p634 +g1 +(g2 +g3 +(g565 +g634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g568 +sg25 +g26 +((lp639 +tp640 +Rp641 +sbsg25 +g26 +((lp642 +g589 +ag581 +ag1 +(g2 +g3 +(g565 +S'Output' +p643 +tp644 +tp645 +Rp646 +(dp647 +g10 +g568 +sg25 +g26 +((lp648 +tp649 +Rp650 +sbag621 +ag597 +ag629 +ag637 +ag613 +ag605 +ag573 +atp651 +Rp652 +sg643 +g646 +sbsg65 +g1 +(g2 +g3 +(g65 +tp653 +tp654 +Rp655 +(dp656 +g10 +g272 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp657 +tp658 +Rp659 +(dp660 +g10 +g655 +sg25 +g26 +((lp661 +tp662 +Rp663 +sbsg25 +g26 +((lp664 +g659 +ag1 +(g2 +g3 +(g65 +S'Word' +p665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g655 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbatp673 +Rp674 +sg665 +g668 +sbsg331 +g334 +sg4 +g13 +sS'Punctuation' +p675 +g1 +(g2 +g3 +(g675 +tp676 +tp677 +Rp678 +(dp679 +g10 +g272 +sg25 +g26 +((lp680 +g1 +(g2 +g3 +(g675 +S'Indicator' +p681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g678 +sg25 +g26 +((lp686 +tp687 +Rp688 +sbatp689 +Rp690 +sg681 +g684 +sbsS'Comment' +p691 +g1 +(g2 +g3 +(g691 +tp692 +tp693 +Rp694 +(dp695 +S'Multi' +p696 +g1 +(g2 +g3 +(g691 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g694 +sg25 +g26 +((lp701 +tp702 +Rp703 +sbsg10 +g272 +sS'Special' +p704 +g1 +(g2 +g3 +(g691 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g694 +sg25 +g26 +((lp709 +tp710 +Rp711 +sbsS'Hashbang' +p712 +g1 +(g2 +g3 +(g691 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g694 +sg25 +g26 +((lp717 +tp718 +Rp719 +sbsS'Preproc' +p720 +g1 +(g2 +g3 +(g691 +g720 +tp721 +tp722 +Rp723 +(dp724 +g10 +g694 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsg470 +g1 +(g2 +g3 +(g691 +g470 +tp728 +tp729 +Rp730 +(dp731 +g10 +g694 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Directive' +p735 +g1 +(g2 +g3 +(g691 +g735 +tp736 +tp737 +Rp738 +(dp739 +g10 +g694 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg462 +g1 +(g2 +g3 +(g691 +g462 +tp743 +tp744 +Rp745 +(dp746 +g10 +g694 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsS'Singleline' +p750 +g1 +(g2 +g3 +(g691 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g694 +sg25 +g26 +((lp755 +tp756 +Rp757 +sbsS'Multiline' +p758 +g1 +(g2 +g3 +(g691 +g758 +tp759 +tp760 +Rp761 +(dp762 +g10 +g694 +sg25 +g26 +((lp763 +tp764 +Rp765 +sbsg25 +g26 +((lp766 +g745 +ag738 +ag715 +ag699 +ag753 +ag723 +ag761 +ag730 +ag1 +(g2 +g3 +(g691 +S'PreprocFile' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g694 +sg25 +g26 +((lp772 +tp773 +Rp774 +sbag1 +(g2 +g3 +(g691 +S'SingleLine' +p775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g694 +sg25 +g26 +((lp780 +tp781 +Rp782 +sbag707 +atp783 +Rp784 +sg767 +g770 +sg775 +g778 +sbsg275 +g298 +sg216 +g1 +(g2 +g3 +(g216 +tp785 +tp786 +Rp787 +(dp788 +g10 +g272 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsg626 +g1 +(g2 +g3 +(g626 +tp792 +tp793 +Rp794 +(dp795 +g10 +g272 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsS'Token' +p799 +g272 +sg453 +g1 +(g2 +g3 +(g453 +tp800 +tp801 +Rp802 +(dp803 +g10 +g272 +sg25 +g26 +((lp804 +tp805 +Rp806 +sbsg25 +g26 +((lp807 +g13 +ag787 +ag1 +(g2 +g3 +(S'Keyword' +p808 +tp809 +tp810 +Rp811 +(dp812 +g10 +g272 +sg121 +g1 +(g2 +g3 +(g808 +g121 +tp813 +tp814 +Rp815 +(dp816 +g10 +g811 +sg25 +g26 +((lp817 +tp818 +Rp819 +sbsS'Control' +p820 +g1 +(g2 +g3 +(g808 +g820 +tp821 +tp822 +Rp823 +(dp824 +g10 +g811 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg145 +g1 +(g2 +g3 +(g808 +g145 +tp828 +tp829 +Rp830 +(dp831 +g10 +g811 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg185 +g1 +(g2 +g3 +(g808 +g185 +tp835 +tp836 +Rp837 +(dp838 +g10 +g811 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsS'PreProc' +p842 +g1 +(g2 +g3 +(g808 +g842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g811 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsg113 +g1 +(g2 +g3 +(g808 +g113 +tp850 +tp851 +Rp852 +(dp853 +g10 +g811 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsS'Reserved' +p857 +g1 +(g2 +g3 +(g808 +g857 +tp858 +tp859 +Rp860 +(dp861 +g10 +g811 +sg25 +g26 +((lp862 +tp863 +Rp864 +sbsg25 +g26 +((lp865 +g837 +ag1 +(g2 +g3 +(g808 +g665 +tp866 +tp867 +Rp868 +(dp869 +g10 +g811 +sg25 +g26 +((lp870 +tp871 +Rp872 +sbag823 +ag1 +(g2 +g3 +(g808 +S'Declaration' +p873 +tp874 +tp875 +Rp876 +(dp877 +g10 +g811 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag1 +(g2 +g3 +(g808 +g808 +tp881 +tp882 +Rp883 +(dp884 +g10 +g811 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag852 +ag830 +ag815 +ag860 +ag845 +atp888 +Rp889 +sg808 +g883 +sg873 +g876 +sg665 +g868 +sbag568 +ag1 +(g2 +g3 +(S'Text' +p890 +tp891 +tp892 +Rp893 +(dp894 +S'Beer' +p895 +g1 +(g2 +g3 +(g890 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g893 +sg25 +g26 +((lp900 +tp901 +Rp902 +sbsS'Whitespace' +p903 +g1 +(g2 +g3 +(g890 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g893 +sg25 +g26 +((lp908 +tp909 +Rp910 +sbsg10 +g272 +sS'Root' +p911 +g1 +(g2 +g3 +(g890 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g893 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg97 +g1 +(g2 +g3 +(g890 +g97 +tp919 +tp920 +Rp921 +(dp922 +g10 +g893 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg675 +g1 +(g2 +g3 +(g890 +g675 +tp926 +tp927 +Rp928 +(dp929 +g10 +g893 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg25 +g26 +((lp933 +g914 +ag921 +ag928 +ag906 +ag898 +ag1 +(g2 +g3 +(g890 +S'Rag' +p934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g893 +sg25 +g26 +((lp939 +tp940 +Rp941 +sbatp942 +Rp943 +sg934 +g937 +sbag655 +ag802 +ag678 +ag694 +ag794 +ag298 +atp944 +Rp945 +sg808 +g811 +sg890 +g893 +sbsg20 +g196 +sg247 +g250 +sg232 +g235 +sg216 +g219 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g55 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg55 +g949 +sbVversion +p956 +tp957 +a(g893 +V +p958 +tp959 +a(g893 +VU12 +p960 +tp961 +a(g558 +V.1 +p962 +tp963 +a(g558 +V.00 +p964 +tp965 +a(g893 +V\u000a +p966 +tp967 +a(g8 +Vuid +p968 +tp969 +a(g893 +V +p970 +tp971 +a(g8 +Vversion +p972 +tp973 +a(g678 +V. +p974 +tp975 +a(g893 +Vu1-1494453463-0 +p976 +tp977 +a(g893 +V\u000a +p978 +tp979 +a(g8 +Vimpl +p980 +tp981 +a(g893 +V +p982 +tp983 +a(g8 +Vlocal +p984 +tp985 +a(g893 +V\u000a +p986 +tp987 +a(g8 +Vglobal +p988 +tp989 +a(g893 +V +p990 +tp991 +a(g283 +V1\u000a +p992 +tp993 +a(g283 +V0 +p994 +tp995 +a(g678 +V, +p996 +tp997 +a(g283 +V000005 +p998 +tp999 +a(g678 +g996 +tp1000 +a(g8 +Vversion +p1001 +tp1002 +a(g678 +g996 +tp1003 +a(g283 +V0\u000a\u000a\u000a +p1004 +tp1005 +a(g876 +Vproc +p1006 +tp1007 +a(g893 +g958 +tp1008 +a(g8 +Vversion +p1009 +tp1010 +a(g893 +V\u000a +p1011 +tp1012 +a(g8 +Vlocal +p1013 +tp1014 +a(g893 +V +p1015 +tp1016 +a(g283 +g994 +tp1017 +a(g678 +g996 +tp1018 +a(g283 +V000000 +p1019 +tp1020 +a(g678 +g996 +tp1021 +a(g893 +Vtab +p1022 +tp1023 +a(g893 +V\u000a +p1024 +tp1025 +a(g8 +Vlocal +p1026 +tp1027 +a(g893 +V +p1028 +tp1029 +a(g283 +V1 +p1030 +tp1031 +a(g678 +g996 +tp1032 +a(g283 +V000000 +p1033 +tp1034 +a(g678 +g996 +tp1035 +a(g893 +Vfind +p1036 +tp1037 +a(g893 +V\u000a +p1038 +tp1039 +a(g8 +Vlocal +p1040 +tp1041 +a(g893 +V +p1042 +tp1043 +a(g283 +V2 +p1044 +tp1045 +a(g678 +g996 +tp1046 +a(g283 +V000000 +p1047 +tp1048 +a(g678 +g996 +tp1049 +a(g893 +Vmany +p1050 +tp1051 +a(g893 +V\u000a +p1052 +tp1053 +a(g8 +Vcon +p1054 +tp1055 +a(g893 +V +p1056 +tp1057 +a(g283 +g994 +tp1058 +a(g678 +g996 +tp1059 +a(g283 +V010000 +p1060 +tp1061 +a(g678 +g996 +tp1062 +a(g283 +V8 +p1063 +tp1064 +a(g678 +g996 +tp1065 +a(g283 +V126 +p1066 +tp1067 +a(g678 +g996 +tp1068 +a(g283 +V145 +p1069 +tp1070 +a(g678 +g996 +tp1071 +a(g283 +V162 +p1072 +tp1073 +a(g678 +g996 +tp1074 +a(g283 +V163 +p1075 +tp1076 +a(g678 +g996 +tp1077 +a(g283 +V151 +p1078 +tp1079 +a(g678 +g996 +tp1080 +a(g283 +V157 +p1081 +tp1082 +a(g678 +g996 +tp1083 +a(g283 +V156 +p1084 +tp1085 +a(g678 +g996 +tp1086 +a(g283 +V040\u000a +p1087 +tp1088 +a(g8 +Vcon +p1089 +tp1090 +a(g893 +V +p1091 +tp1092 +a(g283 +g1030 +tp1093 +a(g678 +g996 +tp1094 +a(g283 +V002000 +p1095 +tp1096 +a(g678 +g996 +tp1097 +a(g283 +g1030 +tp1098 +a(g678 +g996 +tp1099 +a(g283 +V8\u000a +p1100 +tp1101 +a(g8 +Vcon +p1102 +tp1103 +a(g893 +V +p1104 +tp1105 +a(g283 +g1044 +tp1106 +a(g678 +g996 +tp1107 +a(g283 +V020000 +p1108 +tp1109 +a(g678 +g996 +tp1110 +a(g283 +V11 +p1111 +tp1112 +a(g678 +g996 +tp1113 +a(g283 +V060 +p1114 +tp1115 +a(g678 +g996 +tp1116 +a(g283 +V061 +p1117 +tp1118 +a(g678 +g996 +tp1119 +a(g283 +V062 +p1120 +tp1121 +a(g678 +g996 +tp1122 +a(g283 +V063 +p1123 +tp1124 +a(g678 +g996 +tp1125 +a(g283 +V064 +p1126 +tp1127 +a(g678 +g996 +tp1128 +a(g283 +V065 +p1129 +tp1130 +a(g678 +g996 +tp1131 +a(g283 +V066 +p1132 +tp1133 +a(g678 +g996 +tp1134 +a(g283 +V067 +p1135 +tp1136 +a(g678 +g996 +tp1137 +a(g283 +V070 +p1138 +tp1139 +a(g678 +g996 +tp1140 +a(g283 +V071 +p1141 +tp1142 +a(g678 +g996 +tp1143 +a(g283 +V056\u000a +p1144 +tp1145 +a(g8 +Vcon +p1146 +tp1147 +a(g893 +V +p1148 +tp1149 +a(g283 +V3 +p1150 +tp1151 +a(g678 +g996 +tp1152 +a(g283 +V002000 +p1153 +tp1154 +a(g678 +g996 +tp1155 +a(g283 +g1030 +tp1156 +a(g678 +g996 +tp1157 +a(g283 +V1\u000a +p1158 +tp1159 +a(g8 +Vdeclend +p1160 +tp1161 +a(g893 +V\u000a +p1162 +tp1163 +a(g694 +Vfilen +p1164 +tp1165 +a(g893 +V +p1166 +tp1167 +a(g8 +Vversion +p1168 +tp1169 +a(g678 +g974 +tp1170 +a(g893 +Vicn +p1171 +tp1172 +a(g893 +V\u000a +p1173 +tp1174 +a(g694 +Vline +p1175 +tp1176 +a(g893 +V +p1177 +tp1178 +a(g283 +V23\u000a +p1179 +tp1180 +a(g694 +Vcolm +p1181 +tp1182 +a(g893 +V +p1183 +tp1184 +a(g283 +V11\u000a +p1185 +tp1186 +a(g694 +Vsynt +p1187 +tp1188 +a(g893 +V +p1189 +tp1190 +a(g148 +Vany +p1191 +tp1192 +a(g893 +V\u000a +p1193 +tp1194 +a(g876 +Vmark +p1195 +tp1196 +a(g893 +V +p1197 +tp1198 +a(g893 +VL1 +p1199 +tp1200 +a(g893 +V\u000a +p1201 +tp1202 +a(g694 +Vline +p1203 +tp1204 +a(g893 +V +p1205 +tp1206 +a(g283 +V25\u000a +p1207 +tp1208 +a(g694 +Vcolm +p1209 +tp1210 +a(g893 +V +p1211 +tp1212 +a(g283 +V4\u000a +p1213 +tp1214 +a(g694 +Vsynt +p1215 +tp1216 +a(g893 +V +p1217 +tp1218 +a(g148 +Vany +p1219 +tp1220 +a(g893 +V\u000a +p1221 +tp1222 +a(g876 +Vkeywd +p1223 +tp1224 +a(g893 +V +p1225 +tp1226 +a(g8 +Vversion +p1227 +tp1228 +a(g893 +V\u000a +p1229 +tp1230 +a(g694 +Vline +p1231 +tp1232 +a(g893 +V +p1233 +tp1234 +a(g283 +V25\u000a +p1235 +tp1236 +a(g694 +Vcolm +p1237 +tp1238 +a(g893 +V +p1239 +tp1240 +a(g283 +V13\u000a +p1241 +tp1242 +a(g694 +Vsynt +p1243 +tp1244 +a(g893 +V +p1245 +tp1246 +a(g148 +Vany +p1247 +tp1248 +a(g893 +V\u000a +p1249 +tp1250 +a(g876 +Vbscan +p1251 +tp1252 +a(g893 +V\u000a +p1253 +tp1254 +a(g876 +Vmark +p1255 +tp1256 +a(g893 +V +p1257 +tp1258 +a(g893 +VL2 +p1259 +tp1260 +a(g893 +V\u000a +p1261 +tp1262 +a(g876 +Vmark +p1263 +tp1264 +a(g893 +V +p1265 +tp1266 +a(g893 +VL3 +p1267 +tp1268 +a(g893 +V\u000a +p1269 +tp1270 +a(g876 +Vvar +p1271 +tp1272 +a(g893 +V +p1273 +tp1274 +a(g283 +V0\u000a +p1275 +tp1276 +a(g876 +Vpnull +p1277 +tp1278 +a(g893 +V\u000a +p1279 +tp1280 +a(g876 +Vvar +p1281 +tp1282 +a(g893 +V +p1283 +tp1284 +a(g283 +V1\u000a +p1285 +tp1286 +a(g876 +Vstr +p1287 +tp1288 +a(g893 +V +p1289 +tp1290 +a(g283 +V0\u000a +p1291 +tp1292 +a(g694 +Vline +p1293 +tp1294 +a(g893 +V +p1295 +tp1296 +a(g283 +V26\u000a +p1297 +tp1298 +a(g694 +Vcolm +p1299 +tp1300 +a(g893 +V +p1301 +tp1302 +a(g283 +V15\u000a +p1303 +tp1304 +a(g694 +Vsynt +p1305 +tp1306 +a(g893 +V +p1307 +tp1308 +a(g148 +Vany +p1309 +tp1310 +a(g893 +V\u000a +p1311 +tp1312 +a(g876 +Vinvoke +p1313 +tp1314 +a(g893 +V +p1315 +tp1316 +a(g283 +V1\u000a +p1317 +tp1318 +a(g876 +Vint +p1319 +tp1320 +a(g893 +V +p1321 +tp1322 +a(g283 +V1\u000a +p1323 +tp1324 +a(g694 +Vline +p1325 +tp1326 +a(g893 +V +p1327 +tp1328 +a(g283 +V26\u000a +p1329 +tp1330 +a(g694 +Vcolm +p1331 +tp1332 +a(g893 +V +p1333 +tp1334 +a(g283 +V28\u000a +p1335 +tp1336 +a(g694 +Vsynt +p1337 +tp1338 +a(g893 +V +p1339 +tp1340 +a(g148 +Vany +p1341 +tp1342 +a(g893 +V\u000a +p1343 +tp1344 +a(g876 +Vplus +p1345 +tp1346 +a(g893 +V\u000a +p1347 +tp1348 +a(g694 +Vline +p1349 +tp1350 +a(g893 +V +p1351 +tp1352 +a(g283 +V26\u000a +p1353 +tp1354 +a(g694 +Vcolm +p1355 +tp1356 +a(g893 +V +p1357 +tp1358 +a(g283 +V10\u000a +p1359 +tp1360 +a(g694 +Vsynt +p1361 +tp1362 +a(g893 +V +p1363 +tp1364 +a(g148 +Vany +p1365 +tp1366 +a(g893 +V\u000a +p1367 +tp1368 +a(g876 +Vinvoke +p1369 +tp1370 +a(g893 +V +p1371 +tp1372 +a(g283 +V1\u000a +p1373 +tp1374 +a(g694 +Vline +p1375 +tp1376 +a(g893 +V +p1377 +tp1378 +a(g283 +V26\u000a +p1379 +tp1380 +a(g694 +Vcolm +p1381 +tp1382 +a(g893 +V +p1383 +tp1384 +a(g283 +V33\u000a +p1385 +tp1386 +a(g694 +Vsynt +p1387 +tp1388 +a(g893 +V +p1389 +tp1390 +a(g148 +Vany +p1391 +tp1392 +a(g893 +V\u000a +p1393 +tp1394 +a(g876 +Vesusp +p1395 +tp1396 +a(g893 +V\u000a +p1397 +tp1398 +a(g876 +Vgoto +p1399 +tp1400 +a(g893 +V +p1401 +tp1402 +a(g893 +VL4 +p1403 +tp1404 +a(g893 +V\u000a +p1405 +tp1406 +a(g8 +Vlab +p1407 +tp1408 +a(g893 +g958 +tp1409 +a(g893 +VL3 +p1410 +tp1411 +a(g893 +V\u000a +p1412 +tp1413 +a(g694 +Vline +p1414 +tp1415 +a(g893 +V +p1416 +tp1417 +a(g283 +V26\u000a +p1418 +tp1419 +a(g694 +Vcolm +p1420 +tp1421 +a(g893 +V +p1422 +tp1423 +a(g283 +V35\u000a +p1424 +tp1425 +a(g694 +Vsynt +p1426 +tp1427 +a(g893 +V +p1428 +tp1429 +a(g148 +Vany +p1430 +tp1431 +a(g893 +V\u000a +p1432 +tp1433 +a(g876 +Vpfail +p1434 +tp1435 +a(g893 +V\u000a +p1436 +tp1437 +a(g8 +Vlab +p1438 +tp1439 +a(g893 +g958 +tp1440 +a(g893 +VL4 +p1441 +tp1442 +a(g893 +V\u000a +p1443 +tp1444 +a(g876 +Vunmark +p1445 +tp1446 +a(g893 +V\u000a +p1447 +tp1448 +a(g8 +Vlab +p1449 +tp1450 +a(g893 +g958 +tp1451 +a(g893 +VL2 +p1452 +tp1453 +a(g893 +V\u000a +p1454 +tp1455 +a(g876 +Vvar +p1456 +tp1457 +a(g893 +V +p1458 +tp1459 +a(g283 +V0\u000a +p1460 +tp1461 +a(g876 +Vvar +p1462 +tp1463 +a(g893 +V +p1464 +tp1465 +a(g283 +V2\u000a +p1466 +tp1467 +a(g876 +Vcset +p1468 +tp1469 +a(g893 +V +p1470 +tp1471 +a(g283 +V2\u000a +p1472 +tp1473 +a(g694 +Vline +p1474 +tp1475 +a(g893 +V +p1476 +tp1477 +a(g283 +V27\u000a +p1478 +tp1479 +a(g694 +Vcolm +p1480 +tp1481 +a(g893 +V +p1482 +tp1483 +a(g283 +V15\u000a +p1484 +tp1485 +a(g694 +Vsynt +p1486 +tp1487 +a(g893 +V +p1488 +tp1489 +a(g148 +Vany +p1490 +tp1491 +a(g893 +V\u000a +p1492 +tp1493 +a(g876 +Vinvoke +p1494 +tp1495 +a(g893 +V +p1496 +tp1497 +a(g283 +V1\u000a +p1498 +tp1499 +a(g694 +Vline +p1500 +tp1501 +a(g893 +V +p1502 +tp1503 +a(g283 +V27\u000a +p1504 +tp1505 +a(g694 +Vcolm +p1506 +tp1507 +a(g893 +V +p1508 +tp1509 +a(g283 +V10\u000a +p1510 +tp1511 +a(g694 +Vsynt +p1512 +tp1513 +a(g893 +V +p1514 +tp1515 +a(g148 +Vany +p1516 +tp1517 +a(g893 +V\u000a +p1518 +tp1519 +a(g876 +Vinvoke +p1520 +tp1521 +a(g893 +V +p1522 +tp1523 +a(g283 +V1\u000a +p1524 +tp1525 +a(g694 +Vline +p1526 +tp1527 +a(g893 +V +p1528 +tp1529 +a(g283 +V27\u000a +p1530 +tp1531 +a(g694 +Vcolm +p1532 +tp1533 +a(g893 +V +p1534 +tp1535 +a(g283 +V32\u000a +p1536 +tp1537 +a(g694 +Vsynt +p1538 +tp1539 +a(g893 +V +p1540 +tp1541 +a(g148 +Vany +p1542 +tp1543 +a(g893 +V\u000a +p1544 +tp1545 +a(g876 +Vbscan +p1546 +tp1547 +a(g893 +V\u000a +p1548 +tp1549 +a(g876 +Vmark +p1550 +tp1551 +a(g893 +V +p1552 +tp1553 +a(g893 +VL5 +p1554 +tp1555 +a(g893 +V\u000a +p1556 +tp1557 +a(g876 +Vvar +p1558 +tp1559 +a(g893 +V +p1560 +tp1561 +a(g283 +V0\u000a +p1562 +tp1563 +a(g876 +Vpnull +p1564 +tp1565 +a(g893 +V\u000a +p1566 +tp1567 +a(g876 +Vint +p1568 +tp1569 +a(g893 +V +p1570 +tp1571 +a(g283 +V3\u000a +p1572 +tp1573 +a(g694 +Vline +p1574 +tp1575 +a(g893 +V +p1576 +tp1577 +a(g283 +V27\u000a +p1578 +tp1579 +a(g694 +Vcolm +p1580 +tp1581 +a(g893 +V +p1582 +tp1583 +a(g283 +V45\u000a +p1584 +tp1585 +a(g694 +Vsynt +p1586 +tp1587 +a(g893 +V +p1588 +tp1589 +a(g148 +Vany +p1590 +tp1591 +a(g893 +V\u000a +p1592 +tp1593 +a(g876 +Vneg +p1594 +tp1595 +a(g893 +V\u000a +p1596 +tp1597 +a(g694 +Vline +p1598 +tp1599 +a(g893 +V +p1600 +tp1601 +a(g283 +V27\u000a +p1602 +tp1603 +a(g694 +Vcolm +p1604 +tp1605 +a(g893 +V +p1606 +tp1607 +a(g283 +V44\u000a +p1608 +tp1609 +a(g694 +Vsynt +p1610 +tp1611 +a(g893 +V +p1612 +tp1613 +a(g148 +Vany +p1614 +tp1615 +a(g893 +V\u000a +p1616 +tp1617 +a(g876 +Vinvoke +p1618 +tp1619 +a(g893 +V +p1620 +tp1621 +a(g283 +V1\u000a +p1622 +tp1623 +a(g694 +Vline +p1624 +tp1625 +a(g893 +V +p1626 +tp1627 +a(g283 +V27\u000a +p1628 +tp1629 +a(g694 +Vcolm +p1630 +tp1631 +a(g893 +V +p1632 +tp1633 +a(g283 +V34\u000a +p1634 +tp1635 +a(g694 +Vsynt +p1636 +tp1637 +a(g893 +V +p1638 +tp1639 +a(g148 +Vany +p1640 +tp1641 +a(g893 +V\u000a +p1642 +tp1643 +a(g876 +Vpret +p1644 +tp1645 +a(g893 +V\u000a +p1646 +tp1647 +a(g8 +Vlab +p1648 +tp1649 +a(g893 +g958 +tp1650 +a(g893 +VL5 +p1651 +tp1652 +a(g893 +V\u000a +p1653 +tp1654 +a(g694 +Vsynt +p1655 +tp1656 +a(g893 +V +p1657 +tp1658 +a(g148 +Vany +p1659 +tp1660 +a(g893 +V\u000a +p1661 +tp1662 +a(g876 +Vpfail +p1663 +tp1664 +a(g893 +V\u000a +p1665 +tp1666 +a(g694 +Vline +p1667 +tp1668 +a(g893 +V +p1669 +tp1670 +a(g283 +V27\u000a +p1671 +tp1672 +a(g694 +Vcolm +p1673 +tp1674 +a(g893 +V +p1675 +tp1676 +a(g283 +V32\u000a +p1677 +tp1678 +a(g694 +Vsynt +p1679 +tp1680 +a(g893 +V +p1681 +tp1682 +a(g148 +Vany +p1683 +tp1684 +a(g893 +V\u000a +p1685 +tp1686 +a(g876 +Vescan +p1687 +tp1688 +a(g893 +V\u000a +p1689 +tp1690 +a(g694 +Vline +p1691 +tp1692 +a(g893 +V +p1693 +tp1694 +a(g283 +V25\u000a +p1695 +tp1696 +a(g694 +Vcolm +p1697 +tp1698 +a(g893 +V +p1699 +tp1700 +a(g283 +V13\u000a +p1701 +tp1702 +a(g694 +Vsynt +p1703 +tp1704 +a(g893 +V +p1705 +tp1706 +a(g148 +Vany +p1707 +tp1708 +a(g893 +V\u000a +p1709 +tp1710 +a(g876 +Vescan +p1711 +tp1712 +a(g893 +V\u000a +p1713 +tp1714 +a(g876 +Vunmark +p1715 +tp1716 +a(g893 +V\u000a +p1717 +tp1718 +a(g8 +Vlab +p1719 +tp1720 +a(g893 +g958 +tp1721 +a(g893 +VL1 +p1722 +tp1723 +a(g893 +V\u000a +p1724 +tp1725 +a(g876 +Vpnull +p1726 +tp1727 +a(g893 +V\u000a +p1728 +tp1729 +a(g694 +Vline +p1730 +tp1731 +a(g893 +V +p1732 +tp1733 +a(g283 +V30\u000a +p1734 +tp1735 +a(g694 +Vcolm +p1736 +tp1737 +a(g893 +V +p1738 +tp1739 +a(g283 +V1\u000a +p1740 +tp1741 +a(g694 +Vsynt +p1742 +tp1743 +a(g893 +V +p1744 +tp1745 +a(g148 +Vany +p1746 +tp1747 +a(g893 +V\u000a +p1748 +tp1749 +a(g876 +Vpfail +p1750 +tp1751 +a(g893 +V\u000a +p1752 +tp1753 +a(g8 +Vend +p1754 +tp1755 +a(g893 +V\u000a +p1756 +tp1757 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.vbs b/tests/examplefiles/output/example.vbs new file mode 100644 index 0000000..c895f4e --- /dev/null +++ b/tests/examplefiles/output/example.vbs @@ -0,0 +1,3513 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbVrem VBScript examples +p956 +tp957 +a(g839 +V\u000a\u000a +p958 +tp959 +a(g8 +V' Various constants of different types +p960 +tp961 +a(g839 +V\u000a +p962 +tp963 +a(g809 +Vconst +p964 +tp965 +a(g839 +V +p966 +tp967 +a(g565 +VsomeText +p968 +tp969 +a(g839 +g966 +tp970 +a(g412 +V= +p971 +tp972 +a(g839 +g966 +tp973 +a(g157 +V" +p974 +tp975 +a(g157 +Vsome +p976 +tp977 +a(g157 +g974 +tp978 +a(g839 +g966 +tp979 +a(g412 +V& +p980 +tp981 +a(g839 +g966 +tp982 +a(g157 +g974 +tp983 +a(g157 +V"" +p984 +tp985 +a(g157 +Vtext +p986 +tp987 +a(g157 +V"" +p988 +tp989 +a(g157 +g974 +tp990 +a(g839 +V\u000a +p991 +tp992 +a(g809 +Vconst +p993 +tp994 +a(g839 +g966 +tp995 +a(g565 +VsomeInt +p996 +tp997 +a(g839 +g966 +tp998 +a(g412 +g971 +tp999 +a(g839 +g966 +tp1000 +a(g37 +V123 +p1001 +tp1002 +a(g839 +V\u000a +p1003 +tp1004 +a(g809 +Vconst +p1005 +tp1006 +a(g839 +g966 +tp1007 +a(g565 +VsomeHex +p1008 +tp1009 +a(g839 +g966 +tp1010 +a(g412 +g971 +tp1011 +a(g839 +g966 +tp1012 +a(g297 +V&h3110c0d3 +p1013 +tp1014 +a(g839 +V\u000a +p1015 +tp1016 +a(g809 +Vconst +p1017 +tp1018 +a(g839 +g966 +tp1019 +a(g565 +VsomeFloat +p1020 +tp1021 +a(g839 +g966 +tp1022 +a(g412 +g971 +tp1023 +a(g839 +g966 +tp1024 +a(g314 +V123.45e-67 +p1025 +tp1026 +a(g839 +V\u000a +p1027 +tp1028 +a(g809 +Vconst +p1029 +tp1030 +a(g839 +g966 +tp1031 +a(g565 +VsomeDate +p1032 +tp1033 +a(g839 +g966 +tp1034 +a(g412 +g971 +tp1035 +a(g839 +g966 +tp1036 +a(g89 +V#1/2/2016# +p1037 +tp1038 +a(g839 +V\u000a +p1039 +tp1040 +a(g809 +Vconst +p1041 +tp1042 +a(g839 +g966 +tp1043 +a(g565 +VsomeTime +p1044 +tp1045 +a(g839 +g966 +tp1046 +a(g412 +g971 +tp1047 +a(g839 +g966 +tp1048 +a(g89 +V#12:34:56 AM# +p1049 +tp1050 +a(g839 +V\u000a +p1051 +tp1052 +a(g809 +Vconst +p1053 +tp1054 +a(g839 +g966 +tp1055 +a(g565 +VsomeBool +p1056 +tp1057 +a(g839 +g966 +tp1058 +a(g412 +g971 +tp1059 +a(g839 +g966 +tp1060 +a(g565 +VvbTrue +p1061 +tp1062 +a(g839 +V +p1063 +tp1064 +a(g8 +V' -1 +p1065 +tp1066 +a(g839 +V\u000a\u000a +p1067 +tp1068 +a(g8 +V' Do some math. +p1069 +tp1070 +a(g839 +V\u000a +p1071 +tp1072 +a(g435 +Vradius +p1073 +tp1074 +a(g839 +g966 +tp1075 +a(g412 +g971 +tp1076 +a(g839 +g966 +tp1077 +a(g314 +V1.e2 +p1078 +tp1079 +a(g839 +V\u000a +p1080 +tp1081 +a(g435 +Varea +p1082 +tp1083 +a(g839 +g966 +tp1084 +a(g412 +g971 +tp1085 +a(g839 +g966 +tp1086 +a(g435 +Vradius +p1087 +tp1088 +a(g839 +g966 +tp1089 +a(g412 +V^ +p1090 +tp1091 +a(g839 +g966 +tp1092 +a(g37 +V2 +p1093 +tp1094 +a(g839 +g966 +tp1095 +a(g412 +V* +p1096 +tp1097 +a(g839 +g966 +tp1098 +a(g314 +V3.1315 +p1099 +tp1100 +a(g839 +V\u000a +p1101 +tp1102 +a(g435 +Va +p1103 +tp1104 +a(g839 +g966 +tp1105 +a(g412 +g971 +tp1106 +a(g839 +g966 +tp1107 +a(g37 +V17 +p1108 +tp1109 +a(g839 +g966 +tp1110 +a(g705 +V: +p1111 +tp1112 +a(g839 +g966 +tp1113 +a(g435 +Vb +p1114 +tp1115 +a(g839 +g966 +tp1116 +a(g412 +g971 +tp1117 +a(g839 +g966 +tp1118 +a(g37 +V23 +p1119 +tp1120 +a(g839 +V\u000a +p1121 +tp1122 +a(g435 +Vc +p1123 +tp1124 +a(g839 +g966 +tp1125 +a(g412 +g971 +tp1126 +a(g839 +g966 +tp1127 +a(g681 +Vsqr +p1128 +tp1129 +a(g705 +V( +p1130 +tp1131 +a(g435 +g1103 +tp1132 +a(g839 +g966 +tp1133 +a(g412 +g1090 +tp1134 +a(g37 +g1093 +tp1135 +a(g839 +g966 +tp1136 +a(g412 +V+ +p1137 +tp1138 +a(g839 +g966 +tp1139 +a(g435 +g1114 +tp1140 +a(g839 +g966 +tp1141 +a(g412 +g1090 +tp1142 +a(g839 +g966 +tp1143 +a(g37 +g1093 +tp1144 +a(g705 +V) +p1145 +tp1146 +a(g839 +V\u000a\u000a +p1147 +tp1148 +a(g8 +V' Write 10 files. +p1149 +tp1150 +a(g839 +V\u000a +p1151 +tp1152 +a(g744 +VFor +p1153 +tp1154 +a(g839 +g966 +tp1155 +a(g435 +Vi +p1156 +tp1157 +a(g839 +g966 +tp1158 +a(g412 +g971 +tp1159 +a(g839 +g966 +tp1160 +a(g37 +V1 +p1161 +tp1162 +a(g839 +g966 +tp1163 +a(g435 +Vto +p1164 +tp1165 +a(g839 +g966 +tp1166 +a(g37 +V10 +p1167 +tp1168 +a(g839 +V\u000a +p1169 +tp1170 +a(g435 +VcreateFile +p1171 +tp1172 +a(g705 +g1130 +tp1173 +a(g839 +g966 +tp1174 +a(g435 +g1156 +tp1175 +a(g839 +g966 +tp1176 +a(g705 +g1145 +tp1177 +a(g839 +V\u000a +p1178 +tp1179 +a(g744 +VNext +p1180 +tp1181 +a(g839 +V\u000a\u000a +p1182 +tp1183 +a(g744 +VPublic +p1184 +tp1185 +a(g839 +g966 +tp1186 +a(g809 +VSub +p1187 +tp1188 +a(g839 +g966 +tp1189 +a(g573 +VcreateFile +p1190 +tp1191 +a(g705 +g1130 +tp1192 +a(g435 +g1103 +tp1193 +a(g705 +g1145 +tp1194 +a(g839 +V\u000a +p1195 +tp1196 +a(g809 +VDim +p1197 +tp1198 +a(g839 +g966 +tp1199 +a(g440 +Vfso +p1200 +tp1201 +a(g705 +V, +p1202 +tp1203 +a(g839 +g966 +tp1204 +a(g440 +VTargetFile +p1205 +tp1206 +a(g839 +V\u000a +p1207 +tp1208 +a(g435 +VTargetPath +p1209 +tp1210 +a(g839 +g966 +tp1211 +a(g412 +g971 +tp1212 +a(g839 +g966 +tp1213 +a(g157 +g974 +tp1214 +a(g157 +VC:\u005csome_ +p1215 +tp1216 +a(g157 +g974 +tp1217 +a(g839 +g966 +tp1218 +a(g412 +g980 +tp1219 +a(g839 +g966 +tp1220 +a(g435 +g1103 +tp1221 +a(g839 +g966 +tp1222 +a(g412 +g980 +tp1223 +a(g839 +g966 +tp1224 +a(g157 +g974 +tp1225 +a(g157 +V.tmp +p1226 +tp1227 +a(g157 +g974 +tp1228 +a(g839 +V\u000a +p1229 +tp1230 +a(g744 +VSet +p1231 +tp1232 +a(g839 +g966 +tp1233 +a(g435 +Vfso +p1234 +tp1235 +a(g839 +g966 +tp1236 +a(g412 +g971 +tp1237 +a(g839 +g966 +tp1238 +a(g681 +VCreateObject +p1239 +tp1240 +a(g705 +g1130 +tp1241 +a(g157 +g974 +tp1242 +a(g157 +VScripting.FileSystemObject +p1243 +tp1244 +a(g157 +g974 +tp1245 +a(g705 +g1145 +tp1246 +a(g839 +V\u000a +p1247 +tp1248 +a(g744 +VSet +p1249 +tp1250 +a(g839 +g966 +tp1251 +a(g435 +VTargetFile +p1252 +tp1253 +a(g839 +g966 +tp1254 +a(g412 +g971 +tp1255 +a(g839 +g966 +tp1256 +a(g435 +Vfso +p1257 +tp1258 +a(g705 +V. +p1259 +tp1260 +a(g435 +VCreateTextFile +p1261 +tp1262 +a(g705 +g1130 +tp1263 +a(g435 +VTargetPath +p1264 +tp1265 +a(g705 +g1145 +tp1266 +a(g839 +V\u000a +p1267 +tp1268 +a(g435 +VTargetFile +p1269 +tp1270 +a(g705 +g1259 +tp1271 +a(g435 +VWriteLine +p1272 +tp1273 +a(g705 +g1130 +tp1274 +a(g157 +g974 +tp1275 +a(g157 +VHello +p1276 +tp1277 +a(g157 +g974 +tp1278 +a(g839 +g966 +tp1279 +a(g412 +g980 +tp1280 +a(g839 +g966 +tp1281 +a(g565 +VvbCrLf +p1282 +tp1283 +a(g839 +g966 +tp1284 +a(g412 +g980 +tp1285 +a(g839 +g966 +tp1286 +a(g157 +g974 +tp1287 +a(g157 +Vworld! +p1288 +tp1289 +a(g157 +g974 +tp1290 +a(g705 +g1145 +tp1291 +a(g839 +V\u000a +p1292 +tp1293 +a(g435 +VTargetFile +p1294 +tp1295 +a(g705 +g1259 +tp1296 +a(g435 +VClose +p1297 +tp1298 +a(g839 +V\u000a +p1299 +tp1300 +a(g744 +VEnd +p1301 +tp1302 +a(g839 +g966 +tp1303 +a(g744 +VSub +p1304 +tp1305 +a(g839 +V\u000a\u000a +p1306 +tp1307 +a(g8 +V' Define a class with a property. +p1308 +tp1309 +a(g839 +V\u000a +p1310 +tp1311 +a(g809 +VClass +p1312 +tp1313 +a(g839 +g966 +tp1314 +a(g628 +VCustomer +p1315 +tp1316 +a(g839 +V\u000a +p1317 +tp1318 +a(g744 +VPrivate +p1319 +tp1320 +a(g839 +g966 +tp1321 +a(g435 +Vm_CustomerName +p1322 +tp1323 +a(g839 +V\u000a\u000a +p1324 +tp1325 +a(g744 +VPrivate +p1326 +tp1327 +a(g839 +g966 +tp1328 +a(g809 +VSub +p1329 +tp1330 +a(g839 +g966 +tp1331 +a(g573 +VClass_Initialize +p1332 +tp1333 +a(g839 +V\u000a +p1334 +tp1335 +a(g435 +Vm_CustomerName +p1336 +tp1337 +a(g839 +g966 +tp1338 +a(g412 +g971 +tp1339 +a(g839 +g966 +tp1340 +a(g157 +g974 +tp1341 +a(g157 +g974 +tp1342 +a(g839 +V\u000a +p1343 +tp1344 +a(g744 +VEnd +p1345 +tp1346 +a(g839 +g966 +tp1347 +a(g744 +VSub +p1348 +tp1349 +a(g839 +V\u000a\u000a +p1350 +tp1351 +a(g8 +V' CustomerName property. +p1352 +tp1353 +a(g839 +V\u000a +p1354 +tp1355 +a(g744 +VPublic +p1356 +tp1357 +a(g839 +g966 +tp1358 +a(g809 +VProperty +p1359 +tp1360 +a(g839 +g966 +tp1361 +a(g809 +VGet +p1362 +tp1363 +a(g839 +g966 +tp1364 +a(g525 +VCustomerName +p1365 +tp1366 +a(g839 +V\u000a +p1367 +tp1368 +a(g435 +VCustomerName +p1369 +tp1370 +a(g839 +g966 +tp1371 +a(g412 +g971 +tp1372 +a(g839 +g966 +tp1373 +a(g435 +Vm_CustomerName +p1374 +tp1375 +a(g839 +V\u000a +p1376 +tp1377 +a(g744 +VEnd +p1378 +tp1379 +a(g839 +g966 +tp1380 +a(g744 +VProperty +p1381 +tp1382 +a(g839 +V\u000a\u000a +p1383 +tp1384 +a(g744 +VPublic +p1385 +tp1386 +a(g839 +g966 +tp1387 +a(g809 +VProperty +p1388 +tp1389 +a(g839 +g966 +tp1390 +a(g809 +VLet +p1391 +tp1392 +a(g839 +g966 +tp1393 +a(g525 +VCustomerName +p1394 +tp1395 +a(g705 +g1130 +tp1396 +a(g435 +Vcustname +p1397 +tp1398 +a(g705 +g1145 +tp1399 +a(g839 +V\u000a +p1400 +tp1401 +a(g435 +Vm_CustomerName +p1402 +tp1403 +a(g839 +g966 +tp1404 +a(g412 +g971 +tp1405 +a(g839 +g966 +tp1406 +a(g435 +Vcustname +p1407 +tp1408 +a(g839 +V\u000a +p1409 +tp1410 +a(g744 +VEnd +p1411 +tp1412 +a(g839 +g966 +tp1413 +a(g744 +VProperty +p1414 +tp1415 +a(g839 +V\u000a +p1416 +tp1417 +a(g744 +VEnd +p1418 +tp1419 +a(g839 +g966 +tp1420 +a(g744 +VClass +p1421 +tp1422 +a(g839 +V\u000a\u000a +p1423 +tp1424 +a(g8 +V' Special constructs +p1425 +tp1426 +a(g839 +V\u000a +p1427 +tp1428 +a(g744 +VOption +p1429 +tp1430 +a(g839 +g966 +tp1431 +a(g744 +VExplicit +p1432 +tp1433 +a(g839 +V\u000a +p1434 +tp1435 +a(g744 +VOn +p1436 +tp1437 +a(g839 +g966 +tp1438 +a(g744 +VError +p1439 +tp1440 +a(g839 +g966 +tp1441 +a(g744 +VResume +p1442 +tp1443 +a(g839 +g966 +tp1444 +a(g744 +VNext +p1445 +tp1446 +a(g839 +V\u000a +p1447 +tp1448 +a(g744 +VOn +p1449 +tp1450 +a(g839 +g966 +tp1451 +a(g744 +VError +p1452 +tp1453 +a(g839 +g966 +tp1454 +a(g744 +VGoto +p1455 +tp1456 +a(g839 +g966 +tp1457 +a(g37 +V0 +p1458 +tp1459 +a(g839 +V\u000a\u000a +p1460 +tp1461 +a(g8 +V' Comment without terminating CR/LF. +p1462 +tp1463 +a(g839 +V\u000a +p1464 +tp1465 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.weechatlog b/tests/examplefiles/output/example.weechatlog new file mode 100644 index 0000000..a43963e --- /dev/null +++ b/tests/examplefiles/output/example.weechatlog @@ -0,0 +1,2467 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV**** Beginning of log 2007 Sep 01 00:23:55 **** +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g894 +V2007 Sep 01 00:23:55 +p960 +tp961 +a(g740 +V--> +p962 +tp963 +a(g84 +Vweechat_user +p964 +tp965 +a(g7 +V(weechat@localhost.) ist in den Channel &bitlbee gekommen\u000a +p966 +tp967 +a(g894 +V2007 Sep 01 00:23:55 +p968 +tp969 +a(g740 +V-=- +p970 +tp971 +a(g84 +VModus +p972 +tp973 +a(g7 +V&bitlbee [+t] durch localhost.\u000a +p974 +tp975 +a(g894 +V2007 Sep 01 00:23:55 +p976 +tp977 +a(g740 +V-@- +p978 +tp979 +a(g84 +VNicks +p980 +tp981 +a(g7 +V&bitlbee: [@root @weechat_user]\u000a +p982 +tp983 +a(g894 +V2007 Sep 01 00:23:55 +p984 +tp985 +a(g740 +V-=- +p986 +tp987 +a(g84 +VChannel +p988 +tp989 +a(g7 +V&bitlbee: 2 Nicks (2 Operatoren, 0 Halb-Operator, 0 Gevoiceter, 0 normal)\u000a +p990 +tp991 +a(g894 +V2007 Sep 01 00:23:55 +p992 +tp993 +a(g740 +V-=- +p994 +tp995 +a(g84 +VDas +p996 +tp997 +a(g7 +VTopic von &bitlbee lautet: "Welcome to the control channel. Type help for help information."\u000a +p998 +tp999 +a(g894 +V2007 Sep 01 00:23:55 +p1000 +tp1001 +a(g553 +V +p1002 +tp1003 +a(g822 +VWelcome to the BitlBee gateway!\u000a +p1004 +tp1005 +a(g894 +V2007 Sep 01 00:23:55 +p1006 +tp1007 +a(g553 +V +p1008 +tp1009 +a(g822 +V\u000a +p1010 +tp1011 +a(g894 +V2007 Sep 01 00:23:55 +p1012 +tp1013 +a(g553 +V +p1014 +tp1015 +a(g822 +VIf you've never used BitlBee before, please do read the help information using the help command. Lots of FAQ's are answered there.\u000a +p1016 +tp1017 +a(g822 +V# check for fixed pathological matching behavior\u000a +p1018 +tp1019 +a(g822 +V1111111111111111111111111111111\u000a +p1020 +tp1021 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.whiley b/tests/examplefiles/output/example.whiley new file mode 100644 index 0000000..30c9185 --- /dev/null +++ b/tests/examplefiles/output/example.whiley @@ -0,0 +1,11609 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Literal' +p4 +S'String' +p5 +S'Doc' +p6 +tp7 +tp8 +Rp9 +(dp10 +S'parent' +p11 +g1 +(g2 +g3 +(g4 +g5 +tp12 +tp13 +Rp14 +(dp15 +S'Char' +p16 +g1 +(g2 +g3 +(g4 +g5 +g16 +tp17 +tp18 +Rp19 +(dp20 +g11 +g14 +sS'subtypes' +p21 +c__builtin__ +set +p22 +((lp23 +tp24 +Rp25 +sbsS'Backtick' +p26 +g1 +(g2 +g3 +(g4 +g5 +g26 +tp27 +tp28 +Rp29 +(dp30 +g11 +g14 +sg21 +g22 +((lp31 +tp32 +Rp33 +sbsS'Heredoc' +p34 +g1 +(g2 +g3 +(g4 +g5 +g34 +tp35 +tp36 +Rp37 +(dp38 +g11 +g14 +sg21 +g22 +((lp39 +tp40 +Rp41 +sbsS'Symbol' +p42 +g1 +(g2 +g3 +(g4 +g5 +g42 +tp43 +tp44 +Rp45 +(dp46 +g11 +g14 +sg21 +g22 +((lp47 +tp48 +Rp49 +sbsS'Interpol' +p50 +g1 +(g2 +g3 +(g4 +g5 +g50 +tp51 +tp52 +Rp53 +(dp54 +g11 +g14 +sg21 +g22 +((lp55 +tp56 +Rp57 +sbsS'Delimiter' +p58 +g1 +(g2 +g3 +(g4 +g5 +g58 +tp59 +tp60 +Rp61 +(dp62 +g11 +g14 +sg21 +g22 +((lp63 +tp64 +Rp65 +sbsS'Boolean' +p66 +g1 +(g2 +g3 +(g4 +g5 +g66 +tp67 +tp68 +Rp69 +(dp70 +g11 +g14 +sg21 +g22 +((lp71 +tp72 +Rp73 +sbsS'Character' +p74 +g1 +(g2 +g3 +(g4 +g5 +g74 +tp75 +tp76 +Rp77 +(dp78 +g11 +g14 +sg21 +g22 +((lp79 +tp80 +Rp81 +sbsS'Double' +p82 +g1 +(g2 +g3 +(g4 +g5 +g82 +tp83 +tp84 +Rp85 +(dp86 +g11 +g14 +sg21 +g22 +((lp87 +tp88 +Rp89 +sbsS'Delimeter' +p90 +g1 +(g2 +g3 +(g4 +g5 +g90 +tp91 +tp92 +Rp93 +(dp94 +g11 +g14 +sg21 +g22 +((lp95 +tp96 +Rp97 +sbsS'Atom' +p98 +g1 +(g2 +g3 +(g4 +g5 +g98 +tp99 +tp100 +Rp101 +(dp102 +g11 +g14 +sg21 +g22 +((lp103 +tp104 +Rp105 +sbsS'Affix' +p106 +g1 +(g2 +g3 +(g4 +g5 +g106 +tp107 +tp108 +Rp109 +(dp110 +g11 +g14 +sg21 +g22 +((lp111 +tp112 +Rp113 +sbsS'Name' +p114 +g1 +(g2 +g3 +(g4 +g5 +g114 +tp115 +tp116 +Rp117 +(dp118 +g11 +g14 +sg21 +g22 +((lp119 +tp120 +Rp121 +sbsS'Regex' +p122 +g1 +(g2 +g3 +(g4 +g5 +g122 +tp123 +tp124 +Rp125 +(dp126 +g11 +g14 +sg21 +g22 +((lp127 +tp128 +Rp129 +sbsS'Interp' +p130 +g1 +(g2 +g3 +(g4 +g5 +g130 +tp131 +tp132 +Rp133 +(dp134 +g11 +g14 +sg21 +g22 +((lp135 +tp136 +Rp137 +sbsS'Escape' +p138 +g1 +(g2 +g3 +(g4 +g5 +g138 +tp139 +tp140 +Rp141 +(dp142 +g11 +g14 +sg21 +g22 +((lp143 +tp144 +Rp145 +sbsg21 +g22 +((lp146 +g61 +ag45 +ag125 +ag9 +ag77 +ag69 +ag85 +ag53 +ag101 +ag93 +ag117 +ag141 +ag1 +(g2 +g3 +(g4 +g5 +S'Single' +p147 +tp148 +tp149 +Rp150 +(dp151 +g11 +g14 +sg21 +g22 +((lp152 +tp153 +Rp154 +sbag1 +(g2 +g3 +(g4 +g5 +S'Other' +p155 +tp156 +tp157 +Rp158 +(dp159 +g11 +g14 +sg21 +g22 +((lp160 +tp161 +Rp162 +sbag133 +ag29 +ag109 +ag1 +(g2 +g3 +(g4 +g5 +S'Moment' +p163 +tp164 +tp165 +Rp166 +(dp167 +g11 +g14 +sg21 +g22 +((lp168 +tp169 +Rp170 +sbag19 +ag37 +atp171 +Rp172 +sg147 +g150 +sg163 +g166 +sg11 +g1 +(g2 +g3 +(g4 +tp173 +tp174 +Rp175 +(dp176 +S'Scalar' +p177 +g1 +(g2 +g3 +(g4 +g177 +tp178 +tp179 +Rp180 +(dp181 +g11 +g175 +sg21 +g22 +((lp182 +g1 +(g2 +g3 +(g4 +g177 +S'Plain' +p183 +tp184 +tp185 +Rp186 +(dp187 +g11 +g180 +sg21 +g22 +((lp188 +tp189 +Rp190 +sbatp191 +Rp192 +sg183 +g186 +sbsS'Number' +p193 +g1 +(g2 +g3 +(g4 +g193 +tp194 +tp195 +Rp196 +(dp197 +S'Integer' +p198 +g1 +(g2 +g3 +(g4 +g193 +g198 +tp199 +tp200 +Rp201 +(dp202 +g11 +g196 +sS'Long' +p203 +g1 +(g2 +g3 +(g4 +g193 +g198 +g203 +tp204 +tp205 +Rp206 +(dp207 +g11 +g201 +sg21 +g22 +((lp208 +tp209 +Rp210 +sbsg21 +g22 +((lp211 +g206 +atp212 +Rp213 +sbsg11 +g175 +sS'Bin' +p214 +g1 +(g2 +g3 +(g4 +g193 +g214 +tp215 +tp216 +Rp217 +(dp218 +g11 +g196 +sg21 +g22 +((lp219 +tp220 +Rp221 +sbsS'Radix' +p222 +g1 +(g2 +g3 +(g4 +g193 +g222 +tp223 +tp224 +Rp225 +(dp226 +g11 +g196 +sg21 +g22 +((lp227 +tp228 +Rp229 +sbsS'Oct' +p230 +g1 +(g2 +g3 +(g4 +g193 +g230 +tp231 +tp232 +Rp233 +(dp234 +g11 +g196 +sg21 +g22 +((lp235 +tp236 +Rp237 +sbsS'Dec' +p238 +g1 +(g2 +g3 +(g4 +g193 +g238 +tp239 +tp240 +Rp241 +(dp242 +g11 +g196 +sg21 +g22 +((lp243 +tp244 +Rp245 +sbsS'Hex' +p246 +g1 +(g2 +g3 +(g4 +g193 +g246 +tp247 +tp248 +Rp249 +(dp250 +g11 +g196 +sg21 +g22 +((lp251 +tp252 +Rp253 +sbsg21 +g22 +((lp254 +g201 +ag225 +ag241 +ag1 +(g2 +g3 +(g4 +g193 +S'Decimal' +p255 +tp256 +tp257 +Rp258 +(dp259 +g11 +g196 +sg21 +g22 +((lp260 +tp261 +Rp262 +sbag217 +ag1 +(g2 +g3 +(g4 +g193 +S'Float' +p263 +tp264 +tp265 +Rp266 +(dp267 +g11 +g196 +sg21 +g22 +((lp268 +tp269 +Rp270 +sbag233 +ag249 +atp271 +Rp272 +sg255 +g258 +sg263 +g266 +sbsg11 +g1 +(g2 +g3 +(ttp273 +Rp274 +(dp275 +g193 +g196 +sS'Generic' +p276 +g1 +(g2 +g3 +(g276 +tp277 +tp278 +Rp279 +(dp280 +g11 +g274 +sS'Deleted' +p281 +g1 +(g2 +g3 +(g276 +g281 +tp282 +tp283 +Rp284 +(dp285 +g11 +g279 +sg21 +g22 +((lp286 +tp287 +Rp288 +sbsS'Subheading' +p289 +g1 +(g2 +g3 +(g276 +g289 +tp290 +tp291 +Rp292 +(dp293 +g11 +g279 +sg21 +g22 +((lp294 +tp295 +Rp296 +sbsS'Heading' +p297 +g1 +(g2 +g3 +(g276 +g297 +tp298 +tp299 +Rp300 +(dp301 +g11 +g279 +sg21 +g22 +((lp302 +tp303 +Rp304 +sbsS'Emph' +p305 +g1 +(g2 +g3 +(g276 +g305 +tp306 +tp307 +Rp308 +(dp309 +g11 +g279 +sg21 +g22 +((lp310 +tp311 +Rp312 +sbsS'Prompt' +p313 +g1 +(g2 +g3 +(g276 +g313 +tp314 +tp315 +Rp316 +(dp317 +g11 +g279 +sg21 +g22 +((lp318 +tp319 +Rp320 +sbsS'Inserted' +p321 +g1 +(g2 +g3 +(g276 +g321 +tp322 +tp323 +Rp324 +(dp325 +g11 +g279 +sg21 +g22 +((lp326 +tp327 +Rp328 +sbsS'Strong' +p329 +g1 +(g2 +g3 +(g276 +g329 +tp330 +tp331 +Rp332 +(dp333 +g11 +g279 +sg21 +g22 +((lp334 +tp335 +Rp336 +sbsS'Error' +p337 +g1 +(g2 +g3 +(g276 +g337 +tp338 +tp339 +Rp340 +(dp341 +g11 +g279 +sg21 +g22 +((lp342 +tp343 +Rp344 +sbsS'Traceback' +p345 +g1 +(g2 +g3 +(g276 +g345 +tp346 +tp347 +Rp348 +(dp349 +g11 +g279 +sg21 +g22 +((lp350 +tp351 +Rp352 +sbsg21 +g22 +((lp353 +g300 +ag292 +ag1 +(g2 +g3 +(g276 +S'Output' +p354 +tp355 +tp356 +Rp357 +(dp358 +g11 +g279 +sg21 +g22 +((lp359 +tp360 +Rp361 +sbag332 +ag308 +ag340 +ag348 +ag324 +ag316 +ag284 +atp362 +Rp363 +sg354 +g357 +sbsS'Operator' +p364 +g1 +(g2 +g3 +(g364 +tp365 +tp366 +Rp367 +(dp368 +g11 +g274 +sS'DBS' +p369 +g1 +(g2 +g3 +(g364 +g369 +tp370 +tp371 +Rp372 +(dp373 +g11 +g367 +sg21 +g22 +((lp374 +tp375 +Rp376 +sbsg21 +g22 +((lp377 +g372 +ag1 +(g2 +g3 +(g364 +S'Word' +p378 +tp379 +tp380 +Rp381 +(dp382 +g11 +g367 +sg21 +g22 +((lp383 +tp384 +Rp385 +sbatp386 +Rp387 +sg378 +g381 +sbsg5 +g14 +sg114 +g1 +(g2 +g3 +(g114 +tp388 +tp389 +Rp390 +(dp391 +S'Variable' +p392 +g1 +(g2 +g3 +(g114 +g392 +tp393 +tp394 +Rp395 +(dp396 +g11 +g390 +sS'Class' +p397 +g1 +(g2 +g3 +(g114 +g392 +g397 +tp398 +tp399 +Rp400 +(dp401 +g11 +g395 +sg21 +g22 +((lp402 +tp403 +Rp404 +sbsS'Anonymous' +p405 +g1 +(g2 +g3 +(g114 +g392 +g405 +tp406 +tp407 +Rp408 +(dp409 +g11 +g395 +sg21 +g22 +((lp410 +tp411 +Rp412 +sbsS'Instance' +p413 +g1 +(g2 +g3 +(g114 +g392 +g413 +tp414 +tp415 +Rp416 +(dp417 +g11 +g395 +sg21 +g22 +((lp418 +tp419 +Rp420 +sbsS'Global' +p421 +g1 +(g2 +g3 +(g114 +g392 +g421 +tp422 +tp423 +Rp424 +(dp425 +g11 +g395 +sg21 +g22 +((lp426 +tp427 +Rp428 +sbsg21 +g22 +((lp429 +g408 +ag416 +ag1 +(g2 +g3 +(g114 +g392 +S'Magic' +p430 +tp431 +tp432 +Rp433 +(dp434 +g11 +g395 +sg21 +g22 +((lp435 +tp436 +Rp437 +sbag424 +ag400 +atp438 +Rp439 +sg430 +g433 +sbsg364 +g1 +(g2 +g3 +(g114 +g364 +tp440 +tp441 +Rp442 +(dp443 +g11 +g390 +sg21 +g22 +((lp444 +tp445 +Rp446 +sbsS'Decorator' +p447 +g1 +(g2 +g3 +(g114 +g447 +tp448 +tp449 +Rp450 +(dp451 +g11 +g390 +sg21 +g22 +((lp452 +tp453 +Rp454 +sbsS'Entity' +p455 +g1 +(g2 +g3 +(g114 +g455 +tp456 +tp457 +Rp458 +(dp459 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g114 +g455 +g369 +tp460 +tp461 +Rp462 +(dp463 +g11 +g458 +sg21 +g22 +((lp464 +tp465 +Rp466 +sbsg21 +g22 +((lp467 +g462 +atp468 +Rp469 +sbsg42 +g1 +(g2 +g3 +(g114 +g42 +tp470 +tp471 +Rp472 +(dp473 +g11 +g390 +sg21 +g22 +((lp474 +tp475 +Rp476 +sbsS'Property' +p477 +g1 +(g2 +g3 +(g114 +g477 +tp478 +tp479 +Rp480 +(dp481 +g11 +g390 +sg21 +g22 +((lp482 +tp483 +Rp484 +sbsS'Pseudo' +p485 +g1 +(g2 +g3 +(g114 +g485 +tp486 +tp487 +Rp488 +(dp489 +g11 +g390 +sg21 +g22 +((lp490 +tp491 +Rp492 +sbsS'Type' +p493 +g1 +(g2 +g3 +(g114 +g493 +tp494 +tp495 +Rp496 +(dp497 +g11 +g390 +sg21 +g22 +((lp498 +tp499 +Rp500 +sbsS'Classes' +p501 +g1 +(g2 +g3 +(g114 +g501 +tp502 +tp503 +Rp504 +(dp505 +g11 +g390 +sg21 +g22 +((lp506 +tp507 +Rp508 +sbsS'Tag' +p509 +g1 +(g2 +g3 +(g114 +g509 +tp510 +tp511 +Rp512 +(dp513 +g11 +g390 +sg21 +g22 +((lp514 +tp515 +Rp516 +sbsS'Constant' +p517 +g1 +(g2 +g3 +(g114 +g517 +tp518 +tp519 +Rp520 +(dp521 +g11 +g390 +sg21 +g22 +((lp522 +tp523 +Rp524 +sbsS'Function' +p525 +g1 +(g2 +g3 +(g114 +g525 +tp526 +tp527 +Rp528 +(dp529 +g11 +g390 +sg21 +g22 +((lp530 +g1 +(g2 +g3 +(g114 +g525 +g430 +tp531 +tp532 +Rp533 +(dp534 +g11 +g528 +sg21 +g22 +((lp535 +tp536 +Rp537 +sbatp538 +Rp539 +sg430 +g533 +sbsS'Blubb' +p540 +g1 +(g2 +g3 +(g114 +g540 +tp541 +tp542 +Rp543 +(dp544 +g11 +g390 +sg21 +g22 +((lp545 +tp546 +Rp547 +sbsS'Label' +p548 +g1 +(g2 +g3 +(g114 +g548 +tp549 +tp550 +Rp551 +(dp552 +g11 +g390 +sg21 +g22 +((lp553 +tp554 +Rp555 +sbsS'Field' +p556 +g1 +(g2 +g3 +(g114 +g556 +tp557 +tp558 +Rp559 +(dp560 +g11 +g390 +sg21 +g22 +((lp561 +tp562 +Rp563 +sbsS'Exception' +p564 +g1 +(g2 +g3 +(g114 +g564 +tp565 +tp566 +Rp567 +(dp568 +g11 +g390 +sg21 +g22 +((lp569 +tp570 +Rp571 +sbsS'Namespace' +p572 +g1 +(g2 +g3 +(g114 +g572 +tp573 +tp574 +Rp575 +(dp576 +g11 +g390 +sg21 +g22 +((lp577 +tp578 +Rp579 +sbsg21 +g22 +((lp580 +g450 +ag543 +ag488 +ag458 +ag395 +ag567 +ag480 +ag512 +ag528 +ag504 +ag1 +(g2 +g3 +(g114 +g397 +tp581 +tp582 +Rp583 +(dp584 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g114 +g397 +g369 +tp585 +tp586 +Rp587 +(dp588 +g11 +g583 +sg21 +g22 +((lp589 +tp590 +Rp591 +sbsg21 +g22 +((lp592 +g1 +(g2 +g3 +(g114 +g397 +S'Start' +p593 +tp594 +tp595 +Rp596 +(dp597 +g11 +g583 +sg21 +g22 +((lp598 +tp599 +Rp600 +sbag587 +atp601 +Rp602 +sg593 +g596 +sbag1 +(g2 +g3 +(g114 +g155 +tp603 +tp604 +Rp605 +(dp606 +g11 +g390 +sS'Member' +p607 +g1 +(g2 +g3 +(g114 +g155 +g607 +tp608 +tp609 +Rp610 +(dp611 +g11 +g605 +sg21 +g22 +((lp612 +tp613 +Rp614 +sbsg21 +g22 +((lp615 +g610 +atp616 +Rp617 +sbag551 +ag442 +ag575 +ag1 +(g2 +g3 +(g114 +S'Attribute' +p618 +tp619 +tp620 +Rp621 +(dp622 +g11 +g390 +sg392 +g1 +(g2 +g3 +(g114 +g618 +g392 +tp623 +tp624 +Rp625 +(dp626 +g11 +g621 +sg21 +g22 +((lp627 +tp628 +Rp629 +sbsg21 +g22 +((lp630 +g625 +atp631 +Rp632 +sbag520 +ag1 +(g2 +g3 +(g114 +S'Builtin' +p633 +tp634 +tp635 +Rp636 +(dp637 +g11 +g390 +sg493 +g1 +(g2 +g3 +(g114 +g633 +g493 +tp638 +tp639 +Rp640 +(dp641 +g11 +g636 +sg21 +g22 +((lp642 +tp643 +Rp644 +sbsg21 +g22 +((lp645 +g1 +(g2 +g3 +(g114 +g633 +g485 +tp646 +tp647 +Rp648 +(dp649 +g11 +g636 +sg21 +g22 +((lp650 +tp651 +Rp652 +sbag640 +atp653 +Rp654 +sg485 +g648 +sbag559 +ag496 +ag472 +atp655 +Rp656 +sg11 +g274 +sg397 +g583 +sg633 +g636 +sg618 +g621 +sg155 +g605 +sbsS'Punctuation' +p657 +g1 +(g2 +g3 +(g657 +tp658 +tp659 +Rp660 +(dp661 +g11 +g274 +sg21 +g22 +((lp662 +g1 +(g2 +g3 +(g657 +S'Indicator' +p663 +tp664 +tp665 +Rp666 +(dp667 +g11 +g660 +sg21 +g22 +((lp668 +tp669 +Rp670 +sbatp671 +Rp672 +sg663 +g666 +sbsS'Comment' +p673 +g1 +(g2 +g3 +(g673 +tp674 +tp675 +Rp676 +(dp677 +S'Multi' +p678 +g1 +(g2 +g3 +(g673 +g678 +tp679 +tp680 +Rp681 +(dp682 +g11 +g676 +sg21 +g22 +((lp683 +tp684 +Rp685 +sbsg11 +g274 +sS'Special' +p686 +g1 +(g2 +g3 +(g673 +g686 +tp687 +tp688 +Rp689 +(dp690 +g11 +g676 +sg21 +g22 +((lp691 +tp692 +Rp693 +sbsS'Hashbang' +p694 +g1 +(g2 +g3 +(g673 +g694 +tp695 +tp696 +Rp697 +(dp698 +g11 +g676 +sg21 +g22 +((lp699 +tp700 +Rp701 +sbsS'Preproc' +p702 +g1 +(g2 +g3 +(g673 +g702 +tp703 +tp704 +Rp705 +(dp706 +g11 +g676 +sg21 +g22 +((lp707 +tp708 +Rp709 +sbsg147 +g1 +(g2 +g3 +(g673 +g147 +tp710 +tp711 +Rp712 +(dp713 +g11 +g676 +sg21 +g22 +((lp714 +tp715 +Rp716 +sbsS'Directive' +p717 +g1 +(g2 +g3 +(g673 +g717 +tp718 +tp719 +Rp720 +(dp721 +g11 +g676 +sg21 +g22 +((lp722 +tp723 +Rp724 +sbsg6 +g1 +(g2 +g3 +(g673 +g6 +tp725 +tp726 +Rp727 +(dp728 +g11 +g676 +sg21 +g22 +((lp729 +tp730 +Rp731 +sbsS'Singleline' +p732 +g1 +(g2 +g3 +(g673 +g732 +tp733 +tp734 +Rp735 +(dp736 +g11 +g676 +sg21 +g22 +((lp737 +tp738 +Rp739 +sbsS'Multiline' +p740 +g1 +(g2 +g3 +(g673 +g740 +tp741 +tp742 +Rp743 +(dp744 +g11 +g676 +sg21 +g22 +((lp745 +tp746 +Rp747 +sbsg21 +g22 +((lp748 +g727 +ag720 +ag697 +ag681 +ag735 +ag705 +ag743 +ag712 +ag1 +(g2 +g3 +(g673 +S'PreprocFile' +p749 +tp750 +tp751 +Rp752 +(dp753 +g11 +g676 +sg21 +g22 +((lp754 +tp755 +Rp756 +sbag1 +(g2 +g3 +(g673 +S'SingleLine' +p757 +tp758 +tp759 +Rp760 +(dp761 +g11 +g676 +sg21 +g22 +((lp762 +tp763 +Rp764 +sbag689 +atp765 +Rp766 +sg749 +g752 +sg757 +g760 +sbsg4 +g175 +sg155 +g1 +(g2 +g3 +(g155 +tp767 +tp768 +Rp769 +(dp770 +g11 +g274 +sg21 +g22 +((lp771 +tp772 +Rp773 +sbsg337 +g1 +(g2 +g3 +(g337 +tp774 +tp775 +Rp776 +(dp777 +g11 +g274 +sg21 +g22 +((lp778 +tp779 +Rp780 +sbsS'Token' +p781 +g274 +sg138 +g1 +(g2 +g3 +(g138 +tp782 +tp783 +Rp784 +(dp785 +g11 +g274 +sg21 +g22 +((lp786 +tp787 +Rp788 +sbsg21 +g22 +((lp789 +g390 +ag769 +ag1 +(g2 +g3 +(S'Keyword' +p790 +tp791 +tp792 +Rp793 +(dp794 +g11 +g274 +sg493 +g1 +(g2 +g3 +(g790 +g493 +tp795 +tp796 +Rp797 +(dp798 +g11 +g793 +sg21 +g22 +((lp799 +tp800 +Rp801 +sbsS'Control' +p802 +g1 +(g2 +g3 +(g790 +g802 +tp803 +tp804 +Rp805 +(dp806 +g11 +g793 +sg21 +g22 +((lp807 +tp808 +Rp809 +sbsg517 +g1 +(g2 +g3 +(g790 +g517 +tp810 +tp811 +Rp812 +(dp813 +g11 +g793 +sg21 +g22 +((lp814 +tp815 +Rp816 +sbsg572 +g1 +(g2 +g3 +(g790 +g572 +tp817 +tp818 +Rp819 +(dp820 +g11 +g793 +sg21 +g22 +((lp821 +tp822 +Rp823 +sbsS'PreProc' +p824 +g1 +(g2 +g3 +(g790 +g824 +tp825 +tp826 +Rp827 +(dp828 +g11 +g793 +sg21 +g22 +((lp829 +tp830 +Rp831 +sbsg485 +g1 +(g2 +g3 +(g790 +g485 +tp832 +tp833 +Rp834 +(dp835 +g11 +g793 +sg21 +g22 +((lp836 +tp837 +Rp838 +sbsS'Reserved' +p839 +g1 +(g2 +g3 +(g790 +g839 +tp840 +tp841 +Rp842 +(dp843 +g11 +g793 +sg21 +g22 +((lp844 +tp845 +Rp846 +sbsg21 +g22 +((lp847 +g819 +ag1 +(g2 +g3 +(g790 +g378 +tp848 +tp849 +Rp850 +(dp851 +g11 +g793 +sg21 +g22 +((lp852 +tp853 +Rp854 +sbag805 +ag1 +(g2 +g3 +(g790 +S'Declaration' +p855 +tp856 +tp857 +Rp858 +(dp859 +g11 +g793 +sg21 +g22 +((lp860 +tp861 +Rp862 +sbag1 +(g2 +g3 +(g790 +g790 +tp863 +tp864 +Rp865 +(dp866 +g11 +g793 +sg21 +g22 +((lp867 +tp868 +Rp869 +sbag834 +ag812 +ag797 +ag842 +ag827 +atp870 +Rp871 +sg790 +g865 +sg855 +g858 +sg378 +g850 +sbag279 +ag1 +(g2 +g3 +(S'Text' +p872 +tp873 +tp874 +Rp875 +(dp876 +S'Beer' +p877 +g1 +(g2 +g3 +(g872 +g877 +tp878 +tp879 +Rp880 +(dp881 +g11 +g875 +sg21 +g22 +((lp882 +tp883 +Rp884 +sbsS'Whitespace' +p885 +g1 +(g2 +g3 +(g872 +g885 +tp886 +tp887 +Rp888 +(dp889 +g11 +g875 +sg21 +g22 +((lp890 +tp891 +Rp892 +sbsg11 +g274 +sS'Root' +p893 +g1 +(g2 +g3 +(g872 +g893 +tp894 +tp895 +Rp896 +(dp897 +g11 +g875 +sg21 +g22 +((lp898 +tp899 +Rp900 +sbsg42 +g1 +(g2 +g3 +(g872 +g42 +tp901 +tp902 +Rp903 +(dp904 +g11 +g875 +sg21 +g22 +((lp905 +tp906 +Rp907 +sbsg657 +g1 +(g2 +g3 +(g872 +g657 +tp908 +tp909 +Rp910 +(dp911 +g11 +g875 +sg21 +g22 +((lp912 +tp913 +Rp914 +sbsg21 +g22 +((lp915 +g896 +ag903 +ag910 +ag888 +ag880 +ag1 +(g2 +g3 +(g872 +S'Rag' +p916 +tp917 +tp918 +Rp919 +(dp920 +g11 +g875 +sg21 +g22 +((lp921 +tp922 +Rp923 +sbatp924 +Rp925 +sg916 +g919 +sbag367 +ag784 +ag660 +ag676 +ag776 +ag175 +atp926 +Rp927 +sg790 +g793 +sg872 +g875 +sbsg155 +g1 +(g2 +g3 +(g4 +g155 +tp928 +tp929 +Rp930 +(dp931 +g11 +g175 +sg21 +g22 +((lp932 +tp933 +Rp934 +sbsg16 +g1 +(g2 +g3 +(g4 +g16 +tp935 +tp936 +Rp937 +(dp938 +g11 +g175 +sg21 +g22 +((lp939 +tp940 +Rp941 +sbsg5 +g14 +sg21 +g22 +((lp942 +g14 +ag937 +ag930 +ag1 +(g2 +g3 +(g4 +S'Date' +p943 +tp944 +tp945 +Rp946 +(dp947 +g11 +g175 +sg21 +g22 +((lp948 +tp949 +Rp950 +sbag180 +ag196 +atp951 +Rp952 +sg943 +g946 +sbsg155 +g158 +sg6 +g9 +sbsg21 +g22 +((lp953 +tp954 +Rp955 +sbV/**\u000a * Example Whiley program, taken from the Whiley benchmark suite.\u000a * https://github.com/Whiley/WyBench/blob/master/src/101_interpreter/Main.whiley\u000a */ +p956 +tp957 +a(g875 +V\u000a\u000a +p958 +tp959 +a(g819 +Vimport +p960 +tp961 +a(g875 +V +p962 +tp963 +a(g390 +Vwhiley +p964 +tp965 +a(g660 +V. +p966 +tp967 +a(g390 +Vlang +p968 +tp969 +a(g660 +g966 +tp970 +a(g390 +VSystem +p971 +tp972 +a(g875 +V\u000a +p973 +tp974 +a(g819 +Vimport +p975 +tp976 +a(g875 +g962 +tp977 +a(g390 +Vwhiley +p978 +tp979 +a(g660 +g966 +tp980 +a(g390 +Vlang +p981 +tp982 +a(g660 +g966 +tp983 +a(g390 +VInt +p984 +tp985 +a(g875 +V\u000a +p986 +tp987 +a(g819 +Vimport +p988 +tp989 +a(g875 +g962 +tp990 +a(g390 +Vwhiley +p991 +tp992 +a(g660 +g966 +tp993 +a(g390 +Vio +p994 +tp995 +a(g660 +g966 +tp996 +a(g390 +VFile +p997 +tp998 +a(g875 +V\u000a +p999 +tp1000 +a(g819 +Vimport +p1001 +tp1002 +a(g875 +g962 +tp1003 +a(g390 +Vstring +p1004 +tp1005 +a(g875 +g962 +tp1006 +a(g819 +Vfrom +p1007 +tp1008 +a(g875 +g962 +tp1009 +a(g390 +Vwhiley +p1010 +tp1011 +a(g660 +g966 +tp1012 +a(g390 +Vlang +p1013 +tp1014 +a(g660 +g966 +tp1015 +a(g390 +VASCII +p1016 +tp1017 +a(g875 +V\u000a +p1018 +tp1019 +a(g819 +Vimport +p1020 +tp1021 +a(g875 +g962 +tp1022 +a(g390 +Vchar +p1023 +tp1024 +a(g875 +g962 +tp1025 +a(g819 +Vfrom +p1026 +tp1027 +a(g875 +g962 +tp1028 +a(g390 +Vwhiley +p1029 +tp1030 +a(g660 +g966 +tp1031 +a(g390 +Vlang +p1032 +tp1033 +a(g660 +g966 +tp1034 +a(g390 +VASCII +p1035 +tp1036 +a(g875 +V\u000a\u000a +p1037 +tp1038 +a(g712 +V// ==================================================== +p1039 +tp1040 +a(g875 +V\u000a +p1041 +tp1042 +a(g712 +V// A simple calculator for expressions +p1043 +tp1044 +a(g875 +V\u000a +p1045 +tp1046 +a(g712 +V// ==================================================== +p1047 +tp1048 +a(g875 +V\u000a\u000a +p1049 +tp1050 +a(g858 +Vconstant +p1051 +tp1052 +a(g875 +g962 +tp1053 +a(g390 +VADD +p1054 +tp1055 +a(g875 +g962 +tp1056 +a(g842 +Vis +p1057 +tp1058 +a(g875 +g962 +tp1059 +a(g201 +V0 +p1060 +tp1061 +a(g875 +V\u000a +p1062 +tp1063 +a(g858 +Vconstant +p1064 +tp1065 +a(g875 +g962 +tp1066 +a(g390 +VSUB +p1067 +tp1068 +a(g875 +g962 +tp1069 +a(g842 +Vis +p1070 +tp1071 +a(g875 +g962 +tp1072 +a(g201 +V1 +p1073 +tp1074 +a(g875 +V\u000a +p1075 +tp1076 +a(g858 +Vconstant +p1077 +tp1078 +a(g875 +g962 +tp1079 +a(g390 +VMUL +p1080 +tp1081 +a(g875 +g962 +tp1082 +a(g842 +Vis +p1083 +tp1084 +a(g875 +g962 +tp1085 +a(g201 +V2 +p1086 +tp1087 +a(g875 +V\u000a +p1088 +tp1089 +a(g858 +Vconstant +p1090 +tp1091 +a(g875 +g962 +tp1092 +a(g390 +VDIV +p1093 +tp1094 +a(g875 +g962 +tp1095 +a(g842 +Vis +p1096 +tp1097 +a(g875 +g962 +tp1098 +a(g201 +V3 +p1099 +tp1100 +a(g875 +V\u000a\u000a +p1101 +tp1102 +a(g712 +V// binary operation +p1103 +tp1104 +a(g875 +V\u000a +p1105 +tp1106 +a(g858 +Vtype +p1107 +tp1108 +a(g875 +g962 +tp1109 +a(g390 +VBOp +p1110 +tp1111 +a(g875 +g962 +tp1112 +a(g842 +Vis +p1113 +tp1114 +a(g875 +g962 +tp1115 +a(g660 +V( +p1116 +tp1117 +a(g797 +Vint +p1118 +tp1119 +a(g875 +g962 +tp1120 +a(g390 +Vx +p1121 +tp1122 +a(g660 +V) +p1123 +tp1124 +a(g875 +g962 +tp1125 +a(g842 +Vwhere +p1126 +tp1127 +a(g875 +g962 +tp1128 +a(g390 +VADD +p1129 +tp1130 +a(g875 +g962 +tp1131 +a(g367 +V< +p1132 +tp1133 +a(g367 +V= +p1134 +tp1135 +a(g875 +g962 +tp1136 +a(g390 +g1121 +tp1137 +a(g875 +g962 +tp1138 +a(g367 +V& +p1139 +tp1140 +a(g367 +g1139 +tp1141 +a(g875 +g962 +tp1142 +a(g390 +g1121 +tp1143 +a(g875 +g962 +tp1144 +a(g367 +g1132 +tp1145 +a(g367 +g1134 +tp1146 +a(g875 +g962 +tp1147 +a(g390 +VDIV +p1148 +tp1149 +a(g875 +V\u000a +p1150 +tp1151 +a(g858 +Vtype +p1152 +tp1153 +a(g875 +g962 +tp1154 +a(g390 +VBinOp +p1155 +tp1156 +a(g875 +g962 +tp1157 +a(g842 +Vis +p1158 +tp1159 +a(g875 +g962 +tp1160 +a(g660 +V{ +p1161 +tp1162 +a(g875 +g962 +tp1163 +a(g390 +VBOp +p1164 +tp1165 +a(g875 +g962 +tp1166 +a(g390 +Vop +p1167 +tp1168 +a(g660 +V, +p1169 +tp1170 +a(g875 +g962 +tp1171 +a(g390 +VExpr +p1172 +tp1173 +a(g875 +g962 +tp1174 +a(g390 +Vlhs +p1175 +tp1176 +a(g660 +g1169 +tp1177 +a(g875 +g962 +tp1178 +a(g390 +VExpr +p1179 +tp1180 +a(g875 +g962 +tp1181 +a(g390 +Vrhs +p1182 +tp1183 +a(g875 +g962 +tp1184 +a(g660 +V} +p1185 +tp1186 +a(g875 +V \u000a\u000a +p1187 +tp1188 +a(g712 +V// variables +p1189 +tp1190 +a(g875 +V\u000a +p1191 +tp1192 +a(g858 +Vtype +p1193 +tp1194 +a(g875 +g962 +tp1195 +a(g390 +VVar +p1196 +tp1197 +a(g875 +g962 +tp1198 +a(g842 +Vis +p1199 +tp1200 +a(g875 +g962 +tp1201 +a(g660 +g1161 +tp1202 +a(g875 +g962 +tp1203 +a(g390 +Vstring +p1204 +tp1205 +a(g875 +g962 +tp1206 +a(g390 +Vid +p1207 +tp1208 +a(g875 +g962 +tp1209 +a(g660 +g1185 +tp1210 +a(g875 +V\u000a\u000a +p1211 +tp1212 +a(g712 +V// list access +p1213 +tp1214 +a(g875 +V\u000a +p1215 +tp1216 +a(g858 +Vtype +p1217 +tp1218 +a(g875 +g962 +tp1219 +a(g390 +VListAccess +p1220 +tp1221 +a(g875 +g962 +tp1222 +a(g842 +Vis +p1223 +tp1224 +a(g875 +g962 +tp1225 +a(g660 +g1161 +tp1226 +a(g875 +V \u000a +p1227 +tp1228 +a(g390 +VExpr +p1229 +tp1230 +a(g875 +g962 +tp1231 +a(g390 +Vsrc +p1232 +tp1233 +a(g660 +g1169 +tp1234 +a(g875 +V \u000a +p1235 +tp1236 +a(g390 +VExpr +p1237 +tp1238 +a(g875 +g962 +tp1239 +a(g390 +Vindex +p1240 +tp1241 +a(g875 +V\u000a +p1242 +tp1243 +a(g660 +g1185 +tp1244 +a(g875 +V \u000a\u000a +p1245 +tp1246 +a(g712 +V// expression tree +p1247 +tp1248 +a(g875 +V\u000a +p1249 +tp1250 +a(g858 +Vtype +p1251 +tp1252 +a(g875 +g962 +tp1253 +a(g390 +VExpr +p1254 +tp1255 +a(g875 +g962 +tp1256 +a(g842 +Vis +p1257 +tp1258 +a(g875 +g962 +tp1259 +a(g797 +Vint +p1260 +tp1261 +a(g875 +g962 +tp1262 +a(g367 +V| +p1263 +tp1264 +a(g875 +V +p1265 +tp1266 +a(g712 +V// constant +p1267 +tp1268 +a(g875 +V\u000a +p1269 +tp1270 +a(g390 +VVar +p1271 +tp1272 +a(g875 +g962 +tp1273 +a(g367 +g1263 +tp1274 +a(g875 +V +p1275 +tp1276 +a(g712 +V// variable +p1277 +tp1278 +a(g875 +V\u000a +p1279 +tp1280 +a(g390 +VBinOp +p1281 +tp1282 +a(g875 +g962 +tp1283 +a(g367 +g1263 +tp1284 +a(g875 +V +p1285 +tp1286 +a(g712 +V// binary operator +p1287 +tp1288 +a(g875 +V\u000a +p1289 +tp1290 +a(g390 +VExpr +p1291 +tp1292 +a(g660 +V[ +p1293 +tp1294 +a(g660 +V] +p1295 +tp1296 +a(g875 +g962 +tp1297 +a(g367 +g1263 +tp1298 +a(g875 +V +p1299 +tp1300 +a(g712 +V// array constructor +p1301 +tp1302 +a(g875 +V\u000a +p1303 +tp1304 +a(g390 +VListAccess +p1305 +tp1306 +a(g875 +V +p1307 +tp1308 +a(g712 +V// list access +p1309 +tp1310 +a(g875 +V\u000a\u000a +p1311 +tp1312 +a(g712 +V// values +p1313 +tp1314 +a(g875 +V\u000a +p1315 +tp1316 +a(g858 +Vtype +p1317 +tp1318 +a(g875 +g962 +tp1319 +a(g390 +VValue +p1320 +tp1321 +a(g875 +g962 +tp1322 +a(g842 +Vis +p1323 +tp1324 +a(g875 +g962 +tp1325 +a(g797 +Vint +p1326 +tp1327 +a(g875 +g962 +tp1328 +a(g367 +g1263 +tp1329 +a(g875 +g962 +tp1330 +a(g390 +VValue +p1331 +tp1332 +a(g660 +g1293 +tp1333 +a(g660 +g1295 +tp1334 +a(g875 +V\u000a\u000a +p1335 +tp1336 +a(g712 +V// stmts +p1337 +tp1338 +a(g875 +V\u000a +p1339 +tp1340 +a(g858 +Vtype +p1341 +tp1342 +a(g875 +g962 +tp1343 +a(g390 +VPrint +p1344 +tp1345 +a(g875 +g962 +tp1346 +a(g842 +Vis +p1347 +tp1348 +a(g875 +g962 +tp1349 +a(g660 +g1161 +tp1350 +a(g875 +g962 +tp1351 +a(g390 +VExpr +p1352 +tp1353 +a(g875 +g962 +tp1354 +a(g390 +Vrhs +p1355 +tp1356 +a(g875 +g962 +tp1357 +a(g660 +g1185 +tp1358 +a(g875 +V\u000a +p1359 +tp1360 +a(g858 +Vtype +p1361 +tp1362 +a(g875 +g962 +tp1363 +a(g390 +VSet +p1364 +tp1365 +a(g875 +g962 +tp1366 +a(g842 +Vis +p1367 +tp1368 +a(g875 +g962 +tp1369 +a(g660 +g1161 +tp1370 +a(g875 +g962 +tp1371 +a(g390 +Vstring +p1372 +tp1373 +a(g875 +g962 +tp1374 +a(g390 +Vlhs +p1375 +tp1376 +a(g660 +g1169 +tp1377 +a(g875 +g962 +tp1378 +a(g390 +VExpr +p1379 +tp1380 +a(g875 +g962 +tp1381 +a(g390 +Vrhs +p1382 +tp1383 +a(g875 +g962 +tp1384 +a(g660 +g1185 +tp1385 +a(g875 +V\u000a +p1386 +tp1387 +a(g858 +Vtype +p1388 +tp1389 +a(g875 +g962 +tp1390 +a(g390 +VStmt +p1391 +tp1392 +a(g875 +g962 +tp1393 +a(g842 +Vis +p1394 +tp1395 +a(g875 +g962 +tp1396 +a(g390 +VPrint +p1397 +tp1398 +a(g875 +g962 +tp1399 +a(g367 +g1263 +tp1400 +a(g875 +g962 +tp1401 +a(g390 +VSet +p1402 +tp1403 +a(g875 +V\u000a\u000a +p1404 +tp1405 +a(g712 +V// ==================================================== +p1406 +tp1407 +a(g875 +V\u000a +p1408 +tp1409 +a(g712 +V// Expression Evaluator +p1410 +tp1411 +a(g875 +V\u000a +p1412 +tp1413 +a(g712 +V// ==================================================== +p1414 +tp1415 +a(g875 +V\u000a\u000a +p1416 +tp1417 +a(g858 +Vtype +p1418 +tp1419 +a(g875 +g962 +tp1420 +a(g390 +VRuntimeError +p1421 +tp1422 +a(g875 +g962 +tp1423 +a(g842 +Vis +p1424 +tp1425 +a(g875 +g962 +tp1426 +a(g660 +g1161 +tp1427 +a(g875 +g962 +tp1428 +a(g390 +Vstring +p1429 +tp1430 +a(g875 +g962 +tp1431 +a(g390 +Vmsg +p1432 +tp1433 +a(g875 +g962 +tp1434 +a(g660 +g1185 +tp1435 +a(g875 +V\u000a +p1436 +tp1437 +a(g858 +Vtype +p1438 +tp1439 +a(g875 +g962 +tp1440 +a(g390 +VEnvironment +p1441 +tp1442 +a(g875 +g962 +tp1443 +a(g842 +Vis +p1444 +tp1445 +a(g875 +g962 +tp1446 +a(g660 +g1293 +tp1447 +a(g660 +g1161 +tp1448 +a(g390 +Vstring +p1449 +tp1450 +a(g875 +g962 +tp1451 +a(g390 +Vk +p1452 +tp1453 +a(g660 +g1169 +tp1454 +a(g875 +g962 +tp1455 +a(g390 +VValue +p1456 +tp1457 +a(g875 +g962 +tp1458 +a(g390 +Vv +p1459 +tp1460 +a(g660 +g1185 +tp1461 +a(g660 +g1295 +tp1462 +a(g875 +V\u000a\u000a +p1463 +tp1464 +a(g712 +V// Evaluate an expression in a given environment reducing either to a +p1465 +tp1466 +a(g875 +V\u000a +p1467 +tp1468 +a(g712 +V// value, or a runtime error. The latter occurs if evaluation gets +p1469 +tp1470 +a(g875 +V\u000a +p1471 +tp1472 +a(g712 +V// "stuck" (e.g. expression is // not well-formed) +p1473 +tp1474 +a(g875 +V\u000a +p1475 +tp1476 +a(g858 +Vfunction +p1477 +tp1478 +a(g875 +g962 +tp1479 +a(g390 +Vevaluate +p1480 +tp1481 +a(g660 +g1116 +tp1482 +a(g390 +VExpr +p1483 +tp1484 +a(g875 +g962 +tp1485 +a(g390 +Ve +p1486 +tp1487 +a(g660 +g1169 +tp1488 +a(g875 +g962 +tp1489 +a(g390 +VEnvironment +p1490 +tp1491 +a(g875 +g962 +tp1492 +a(g390 +Venv +p1493 +tp1494 +a(g660 +g1123 +tp1495 +a(g875 +g962 +tp1496 +a(g367 +V- +p1497 +tp1498 +a(g367 +V> +p1499 +tp1500 +a(g875 +g962 +tp1501 +a(g390 +VValue +p1502 +tp1503 +a(g875 +g962 +tp1504 +a(g367 +g1263 +tp1505 +a(g875 +g962 +tp1506 +a(g390 +VRuntimeError +p1507 +tp1508 +a(g367 +V: +p1509 +tp1510 +a(g875 +V\u000a +p1511 +tp1512 +a(g712 +V// +p1513 +tp1514 +a(g875 +V\u000a +p1515 +tp1516 +a(g842 +Vif +p1517 +tp1518 +a(g875 +g962 +tp1519 +a(g390 +g1486 +tp1520 +a(g875 +g962 +tp1521 +a(g842 +Vis +p1522 +tp1523 +a(g875 +g962 +tp1524 +a(g797 +Vint +p1525 +tp1526 +a(g367 +g1509 +tp1527 +a(g875 +V\u000a +p1528 +tp1529 +a(g842 +Vreturn +p1530 +tp1531 +a(g875 +g962 +tp1532 +a(g390 +g1486 +tp1533 +a(g875 +V\u000a +p1534 +tp1535 +a(g842 +Velse +p1536 +tp1537 +a(g875 +g962 +tp1538 +a(g842 +Vif +p1539 +tp1540 +a(g875 +g962 +tp1541 +a(g390 +g1486 +tp1542 +a(g875 +g962 +tp1543 +a(g842 +Vis +p1544 +tp1545 +a(g875 +g962 +tp1546 +a(g390 +VVar +p1547 +tp1548 +a(g367 +g1509 +tp1549 +a(g875 +V\u000a +p1550 +tp1551 +a(g842 +Vreturn +p1552 +tp1553 +a(g875 +g962 +tp1554 +a(g390 +Venv +p1555 +tp1556 +a(g660 +g1293 +tp1557 +a(g390 +g1486 +tp1558 +a(g660 +g966 +tp1559 +a(g390 +Vid +p1560 +tp1561 +a(g660 +g1295 +tp1562 +a(g875 +V\u000a +p1563 +tp1564 +a(g842 +Velse +p1565 +tp1566 +a(g875 +g962 +tp1567 +a(g842 +Vif +p1568 +tp1569 +a(g875 +g962 +tp1570 +a(g390 +g1486 +tp1571 +a(g875 +g962 +tp1572 +a(g842 +Vis +p1573 +tp1574 +a(g875 +g962 +tp1575 +a(g390 +VBinOp +p1576 +tp1577 +a(g367 +g1509 +tp1578 +a(g875 +V\u000a +p1579 +tp1580 +a(g390 +VValue +p1581 +tp1582 +a(g367 +g1263 +tp1583 +a(g390 +VRuntimeError +p1584 +tp1585 +a(g875 +g962 +tp1586 +a(g390 +Vlhs +p1587 +tp1588 +a(g875 +g962 +tp1589 +a(g367 +g1134 +tp1590 +a(g875 +g962 +tp1591 +a(g390 +Vevaluate +p1592 +tp1593 +a(g660 +g1116 +tp1594 +a(g390 +g1486 +tp1595 +a(g660 +g966 +tp1596 +a(g390 +Vlhs +p1597 +tp1598 +a(g660 +g1169 +tp1599 +a(g875 +g962 +tp1600 +a(g390 +Venv +p1601 +tp1602 +a(g660 +g1123 +tp1603 +a(g875 +V\u000a +p1604 +tp1605 +a(g390 +VValue +p1606 +tp1607 +a(g367 +g1263 +tp1608 +a(g390 +VRuntimeError +p1609 +tp1610 +a(g875 +g962 +tp1611 +a(g390 +Vrhs +p1612 +tp1613 +a(g875 +g962 +tp1614 +a(g367 +g1134 +tp1615 +a(g875 +g962 +tp1616 +a(g390 +Vevaluate +p1617 +tp1618 +a(g660 +g1116 +tp1619 +a(g390 +g1486 +tp1620 +a(g660 +g966 +tp1621 +a(g390 +Vrhs +p1622 +tp1623 +a(g660 +g1169 +tp1624 +a(g875 +g962 +tp1625 +a(g390 +Venv +p1626 +tp1627 +a(g660 +g1123 +tp1628 +a(g875 +V\u000a +p1629 +tp1630 +a(g712 +V// check if stuck +p1631 +tp1632 +a(g875 +V\u000a +p1633 +tp1634 +a(g842 +Vif +p1635 +tp1636 +a(g875 +g962 +tp1637 +a(g367 +V! +p1638 +tp1639 +a(g660 +g1116 +tp1640 +a(g390 +Vlhs +p1641 +tp1642 +a(g875 +g962 +tp1643 +a(g842 +Vis +p1644 +tp1645 +a(g875 +g962 +tp1646 +a(g797 +Vint +p1647 +tp1648 +a(g875 +g962 +tp1649 +a(g367 +g1139 +tp1650 +a(g367 +g1139 +tp1651 +a(g875 +g962 +tp1652 +a(g390 +Vrhs +p1653 +tp1654 +a(g875 +g962 +tp1655 +a(g842 +Vis +p1656 +tp1657 +a(g875 +g962 +tp1658 +a(g797 +Vint +p1659 +tp1660 +a(g660 +g1123 +tp1661 +a(g367 +g1509 +tp1662 +a(g875 +V\u000a +p1663 +tp1664 +a(g842 +Vreturn +p1665 +tp1666 +a(g875 +g962 +tp1667 +a(g660 +g1161 +tp1668 +a(g390 +Vmsg +p1669 +tp1670 +a(g367 +g1509 +tp1671 +a(g875 +g962 +tp1672 +a(g14 +V" +p1673 +tp1674 +a(g14 +Varithmetic attempted on non-numeric value +p1675 +tp1676 +a(g14 +g1673 +tp1677 +a(g660 +g1185 +tp1678 +a(g875 +V\u000a +p1679 +tp1680 +a(g712 +V// switch statement would be good +p1681 +tp1682 +a(g875 +V\u000a +p1683 +tp1684 +a(g842 +Vif +p1685 +tp1686 +a(g875 +g962 +tp1687 +a(g390 +g1486 +tp1688 +a(g660 +g966 +tp1689 +a(g390 +Vop +p1690 +tp1691 +a(g875 +g962 +tp1692 +a(g367 +g1134 +tp1693 +a(g367 +g1134 +tp1694 +a(g875 +g962 +tp1695 +a(g390 +VADD +p1696 +tp1697 +a(g367 +g1509 +tp1698 +a(g875 +V\u000a +p1699 +tp1700 +a(g842 +Vreturn +p1701 +tp1702 +a(g875 +g962 +tp1703 +a(g390 +Vlhs +p1704 +tp1705 +a(g875 +g962 +tp1706 +a(g367 +V+ +p1707 +tp1708 +a(g875 +g962 +tp1709 +a(g390 +Vrhs +p1710 +tp1711 +a(g875 +V\u000a +p1712 +tp1713 +a(g842 +Velse +p1714 +tp1715 +a(g875 +g962 +tp1716 +a(g842 +Vif +p1717 +tp1718 +a(g875 +g962 +tp1719 +a(g390 +g1486 +tp1720 +a(g660 +g966 +tp1721 +a(g390 +Vop +p1722 +tp1723 +a(g875 +g962 +tp1724 +a(g367 +g1134 +tp1725 +a(g367 +g1134 +tp1726 +a(g875 +g962 +tp1727 +a(g390 +VSUB +p1728 +tp1729 +a(g367 +g1509 +tp1730 +a(g875 +V\u000a +p1731 +tp1732 +a(g842 +Vreturn +p1733 +tp1734 +a(g875 +g962 +tp1735 +a(g390 +Vlhs +p1736 +tp1737 +a(g875 +g962 +tp1738 +a(g367 +g1497 +tp1739 +a(g875 +g962 +tp1740 +a(g390 +Vrhs +p1741 +tp1742 +a(g875 +V\u000a +p1743 +tp1744 +a(g842 +Velse +p1745 +tp1746 +a(g875 +g962 +tp1747 +a(g842 +Vif +p1748 +tp1749 +a(g875 +g962 +tp1750 +a(g390 +g1486 +tp1751 +a(g660 +g966 +tp1752 +a(g390 +Vop +p1753 +tp1754 +a(g875 +g962 +tp1755 +a(g367 +g1134 +tp1756 +a(g367 +g1134 +tp1757 +a(g875 +g962 +tp1758 +a(g390 +VMUL +p1759 +tp1760 +a(g367 +g1509 +tp1761 +a(g875 +V\u000a +p1762 +tp1763 +a(g842 +Vreturn +p1764 +tp1765 +a(g875 +g962 +tp1766 +a(g390 +Vlhs +p1767 +tp1768 +a(g875 +g962 +tp1769 +a(g367 +V* +p1770 +tp1771 +a(g875 +g962 +tp1772 +a(g390 +Vrhs +p1773 +tp1774 +a(g875 +V\u000a +p1775 +tp1776 +a(g842 +Velse +p1777 +tp1778 +a(g875 +g962 +tp1779 +a(g842 +Vif +p1780 +tp1781 +a(g875 +g962 +tp1782 +a(g390 +Vrhs +p1783 +tp1784 +a(g875 +g962 +tp1785 +a(g367 +g1638 +tp1786 +a(g367 +g1134 +tp1787 +a(g875 +g962 +tp1788 +a(g201 +g1060 +tp1789 +a(g367 +g1509 +tp1790 +a(g875 +V\u000a +p1791 +tp1792 +a(g842 +Vreturn +p1793 +tp1794 +a(g875 +g962 +tp1795 +a(g390 +Vlhs +p1796 +tp1797 +a(g875 +g962 +tp1798 +a(g367 +V/ +p1799 +tp1800 +a(g875 +g962 +tp1801 +a(g390 +Vrhs +p1802 +tp1803 +a(g875 +V\u000a +p1804 +tp1805 +a(g842 +Vreturn +p1806 +tp1807 +a(g875 +g962 +tp1808 +a(g660 +g1161 +tp1809 +a(g390 +Vmsg +p1810 +tp1811 +a(g367 +g1509 +tp1812 +a(g875 +g962 +tp1813 +a(g14 +g1673 +tp1814 +a(g14 +Vdivide-by-zero +p1815 +tp1816 +a(g14 +g1673 +tp1817 +a(g660 +g1185 +tp1818 +a(g875 +V\u000a +p1819 +tp1820 +a(g842 +Velse +p1821 +tp1822 +a(g875 +g962 +tp1823 +a(g842 +Vif +p1824 +tp1825 +a(g875 +g962 +tp1826 +a(g390 +g1486 +tp1827 +a(g875 +g962 +tp1828 +a(g842 +Vis +p1829 +tp1830 +a(g875 +g962 +tp1831 +a(g390 +VExpr +p1832 +tp1833 +a(g660 +g1293 +tp1834 +a(g660 +g1295 +tp1835 +a(g367 +g1509 +tp1836 +a(g875 +V\u000a +p1837 +tp1838 +a(g660 +g1293 +tp1839 +a(g390 +VValue +p1840 +tp1841 +a(g660 +g1295 +tp1842 +a(g875 +g962 +tp1843 +a(g390 +Vr +p1844 +tp1845 +a(g875 +g962 +tp1846 +a(g367 +g1134 +tp1847 +a(g875 +g962 +tp1848 +a(g660 +g1293 +tp1849 +a(g660 +g1295 +tp1850 +a(g875 +V\u000a +p1851 +tp1852 +a(g842 +Vfor +p1853 +tp1854 +a(g875 +g962 +tp1855 +a(g390 +Vi +p1856 +tp1857 +a(g875 +g962 +tp1858 +a(g842 +Vin +p1859 +tp1860 +a(g875 +g962 +tp1861 +a(g390 +g1486 +tp1862 +a(g367 +g1509 +tp1863 +a(g875 +V\u000a +p1864 +tp1865 +a(g390 +VValue +p1866 +tp1867 +a(g367 +g1263 +tp1868 +a(g390 +VRuntimeError +p1869 +tp1870 +a(g875 +g962 +tp1871 +a(g390 +g1459 +tp1872 +a(g875 +g962 +tp1873 +a(g367 +g1134 +tp1874 +a(g875 +g962 +tp1875 +a(g390 +Vevaluate +p1876 +tp1877 +a(g660 +g1116 +tp1878 +a(g390 +g1856 +tp1879 +a(g660 +g1169 +tp1880 +a(g875 +g962 +tp1881 +a(g390 +Venv +p1882 +tp1883 +a(g660 +g1123 +tp1884 +a(g875 +V\u000a +p1885 +tp1886 +a(g842 +Vif +p1887 +tp1888 +a(g875 +g962 +tp1889 +a(g390 +g1459 +tp1890 +a(g875 +g962 +tp1891 +a(g842 +Vis +p1892 +tp1893 +a(g875 +g962 +tp1894 +a(g390 +VRuntimeError +p1895 +tp1896 +a(g367 +g1509 +tp1897 +a(g875 +V\u000a +p1898 +tp1899 +a(g842 +Vreturn +p1900 +tp1901 +a(g875 +g962 +tp1902 +a(g390 +g1459 +tp1903 +a(g875 +V\u000a +p1904 +tp1905 +a(g842 +Velse +p1906 +tp1907 +a(g367 +g1509 +tp1908 +a(g875 +V\u000a +p1909 +tp1910 +a(g390 +g1844 +tp1911 +a(g875 +g962 +tp1912 +a(g367 +g1134 +tp1913 +a(g875 +g962 +tp1914 +a(g390 +g1844 +tp1915 +a(g875 +g962 +tp1916 +a(g367 +g1707 +tp1917 +a(g367 +g1707 +tp1918 +a(g875 +g962 +tp1919 +a(g660 +g1293 +tp1920 +a(g390 +g1459 +tp1921 +a(g660 +g1295 +tp1922 +a(g875 +V\u000a +p1923 +tp1924 +a(g842 +Vreturn +p1925 +tp1926 +a(g875 +g962 +tp1927 +a(g390 +g1844 +tp1928 +a(g875 +V\u000a +p1929 +tp1930 +a(g842 +Velse +p1931 +tp1932 +a(g875 +g962 +tp1933 +a(g842 +Vif +p1934 +tp1935 +a(g875 +g962 +tp1936 +a(g390 +g1486 +tp1937 +a(g875 +g962 +tp1938 +a(g842 +Vis +p1939 +tp1940 +a(g875 +g962 +tp1941 +a(g390 +VListAccess +p1942 +tp1943 +a(g367 +g1509 +tp1944 +a(g875 +V\u000a +p1945 +tp1946 +a(g390 +VValue +p1947 +tp1948 +a(g367 +g1263 +tp1949 +a(g390 +VRuntimeError +p1950 +tp1951 +a(g875 +g962 +tp1952 +a(g390 +Vsrc +p1953 +tp1954 +a(g875 +g962 +tp1955 +a(g367 +g1134 +tp1956 +a(g875 +g962 +tp1957 +a(g390 +Vevaluate +p1958 +tp1959 +a(g660 +g1116 +tp1960 +a(g390 +g1486 +tp1961 +a(g660 +g966 +tp1962 +a(g390 +Vsrc +p1963 +tp1964 +a(g660 +g1169 +tp1965 +a(g875 +g962 +tp1966 +a(g390 +Venv +p1967 +tp1968 +a(g660 +g1123 +tp1969 +a(g875 +V\u000a +p1970 +tp1971 +a(g390 +VValue +p1972 +tp1973 +a(g367 +g1263 +tp1974 +a(g390 +VRuntimeError +p1975 +tp1976 +a(g875 +g962 +tp1977 +a(g390 +Vindex +p1978 +tp1979 +a(g875 +g962 +tp1980 +a(g367 +g1134 +tp1981 +a(g875 +g962 +tp1982 +a(g390 +Vevaluate +p1983 +tp1984 +a(g660 +g1116 +tp1985 +a(g390 +g1486 +tp1986 +a(g660 +g966 +tp1987 +a(g390 +Vindex +p1988 +tp1989 +a(g660 +g1169 +tp1990 +a(g875 +g962 +tp1991 +a(g390 +Venv +p1992 +tp1993 +a(g660 +g1123 +tp1994 +a(g875 +V\u000a +p1995 +tp1996 +a(g712 +V// santity checks +p1997 +tp1998 +a(g875 +V\u000a +p1999 +tp2000 +a(g842 +Vif +p2001 +tp2002 +a(g875 +g962 +tp2003 +a(g390 +Vsrc +p2004 +tp2005 +a(g875 +g962 +tp2006 +a(g842 +Vis +p2007 +tp2008 +a(g875 +g962 +tp2009 +a(g660 +g1293 +tp2010 +a(g390 +VValue +p2011 +tp2012 +a(g660 +g1295 +tp2013 +a(g875 +g962 +tp2014 +a(g367 +g1139 +tp2015 +a(g367 +g1139 +tp2016 +a(g875 +g962 +tp2017 +a(g390 +Vindex +p2018 +tp2019 +a(g875 +g962 +tp2020 +a(g842 +Vis +p2021 +tp2022 +a(g875 +g962 +tp2023 +a(g797 +Vint +p2024 +tp2025 +a(g875 +g962 +tp2026 +a(g367 +g1139 +tp2027 +a(g367 +g1139 +tp2028 +a(g875 +g962 +tp2029 +a(g390 +Vindex +p2030 +tp2031 +a(g875 +g962 +tp2032 +a(g367 +g1499 +tp2033 +a(g367 +g1134 +tp2034 +a(g875 +g962 +tp2035 +a(g201 +g1060 +tp2036 +a(g875 +g962 +tp2037 +a(g367 +g1139 +tp2038 +a(g367 +g1139 +tp2039 +a(g875 +g962 +tp2040 +a(g390 +Vindex +p2041 +tp2042 +a(g875 +g962 +tp2043 +a(g367 +g1132 +tp2044 +a(g875 +g962 +tp2045 +a(g367 +g1263 +tp2046 +a(g390 +Vsrc +p2047 +tp2048 +a(g367 +g1263 +tp2049 +a(g367 +g1509 +tp2050 +a(g875 +V\u000a +p2051 +tp2052 +a(g842 +Vreturn +p2053 +tp2054 +a(g875 +g962 +tp2055 +a(g390 +Vsrc +p2056 +tp2057 +a(g660 +g1293 +tp2058 +a(g390 +Vindex +p2059 +tp2060 +a(g660 +g1295 +tp2061 +a(g875 +V\u000a +p2062 +tp2063 +a(g842 +Velse +p2064 +tp2065 +a(g367 +g1509 +tp2066 +a(g875 +V\u000a +p2067 +tp2068 +a(g842 +Vreturn +p2069 +tp2070 +a(g875 +g962 +tp2071 +a(g660 +g1161 +tp2072 +a(g390 +Vmsg +p2073 +tp2074 +a(g367 +g1509 +tp2075 +a(g875 +g962 +tp2076 +a(g14 +g1673 +tp2077 +a(g14 +Vinvalid list access +p2078 +tp2079 +a(g14 +g1673 +tp2080 +a(g660 +g1185 +tp2081 +a(g875 +V\u000a +p2082 +tp2083 +a(g842 +Velse +p2084 +tp2085 +a(g367 +g1509 +tp2086 +a(g875 +V\u000a +p2087 +tp2088 +a(g842 +Vreturn +p2089 +tp2090 +a(g875 +g962 +tp2091 +a(g201 +g1060 +tp2092 +a(g875 +g962 +tp2093 +a(g712 +V// dead-code +p2094 +tp2095 +a(g875 +V\u000a\u000a +p2096 +tp2097 +a(g712 +V// ==================================================== +p2098 +tp2099 +a(g875 +V\u000a +p2100 +tp2101 +a(g712 +V// Expression Parser +p2102 +tp2103 +a(g875 +V\u000a +p2104 +tp2105 +a(g712 +V// ==================================================== +p2106 +tp2107 +a(g875 +V\u000a\u000a +p2108 +tp2109 +a(g858 +Vtype +p2110 +tp2111 +a(g875 +g962 +tp2112 +a(g390 +VState +p2113 +tp2114 +a(g875 +g962 +tp2115 +a(g842 +Vis +p2116 +tp2117 +a(g875 +g962 +tp2118 +a(g660 +g1161 +tp2119 +a(g875 +g962 +tp2120 +a(g390 +Vstring +p2121 +tp2122 +a(g875 +g962 +tp2123 +a(g390 +Vinput +p2124 +tp2125 +a(g660 +g1169 +tp2126 +a(g875 +g962 +tp2127 +a(g797 +Vint +p2128 +tp2129 +a(g875 +g962 +tp2130 +a(g390 +Vpos +p2131 +tp2132 +a(g875 +g962 +tp2133 +a(g660 +g1185 +tp2134 +a(g875 +V\u000a +p2135 +tp2136 +a(g858 +Vtype +p2137 +tp2138 +a(g875 +g962 +tp2139 +a(g390 +VSyntaxError +p2140 +tp2141 +a(g875 +g962 +tp2142 +a(g842 +Vis +p2143 +tp2144 +a(g875 +g962 +tp2145 +a(g660 +g1161 +tp2146 +a(g875 +g962 +tp2147 +a(g390 +Vstring +p2148 +tp2149 +a(g875 +g962 +tp2150 +a(g390 +Vmsg +p2151 +tp2152 +a(g660 +g1169 +tp2153 +a(g875 +g962 +tp2154 +a(g797 +Vint +p2155 +tp2156 +a(g875 +g962 +tp2157 +a(g390 +Vstart +p2158 +tp2159 +a(g660 +g1169 +tp2160 +a(g875 +g962 +tp2161 +a(g797 +Vint +p2162 +tp2163 +a(g875 +g962 +tp2164 +a(g390 +Vend +p2165 +tp2166 +a(g875 +g962 +tp2167 +a(g660 +g1185 +tp2168 +a(g875 +V\u000a\u000a +p2169 +tp2170 +a(g858 +Vfunction +p2171 +tp2172 +a(g875 +g962 +tp2173 +a(g390 +VSyntaxError +p2174 +tp2175 +a(g660 +g1116 +tp2176 +a(g390 +Vstring +p2177 +tp2178 +a(g875 +g962 +tp2179 +a(g390 +Vmsg +p2180 +tp2181 +a(g660 +g1169 +tp2182 +a(g875 +g962 +tp2183 +a(g797 +Vint +p2184 +tp2185 +a(g875 +g962 +tp2186 +a(g390 +Vstart +p2187 +tp2188 +a(g660 +g1169 +tp2189 +a(g875 +g962 +tp2190 +a(g797 +Vint +p2191 +tp2192 +a(g875 +g962 +tp2193 +a(g390 +Vend +p2194 +tp2195 +a(g660 +g1123 +tp2196 +a(g875 +g962 +tp2197 +a(g367 +g1497 +tp2198 +a(g367 +g1499 +tp2199 +a(g875 +g962 +tp2200 +a(g390 +VSyntaxError +p2201 +tp2202 +a(g367 +g1509 +tp2203 +a(g875 +V\u000a +p2204 +tp2205 +a(g842 +Vreturn +p2206 +tp2207 +a(g875 +g962 +tp2208 +a(g660 +g1161 +tp2209 +a(g875 +g962 +tp2210 +a(g390 +Vmsg +p2211 +tp2212 +a(g367 +g1509 +tp2213 +a(g875 +g962 +tp2214 +a(g390 +Vmsg +p2215 +tp2216 +a(g660 +g1169 +tp2217 +a(g875 +g962 +tp2218 +a(g390 +Vstart +p2219 +tp2220 +a(g367 +g1509 +tp2221 +a(g875 +g962 +tp2222 +a(g390 +Vstart +p2223 +tp2224 +a(g660 +g1169 +tp2225 +a(g875 +g962 +tp2226 +a(g390 +Vend +p2227 +tp2228 +a(g367 +g1509 +tp2229 +a(g875 +g962 +tp2230 +a(g390 +Vend +p2231 +tp2232 +a(g875 +g962 +tp2233 +a(g660 +g1185 +tp2234 +a(g875 +V\u000a\u000a +p2235 +tp2236 +a(g712 +V// Top-level parse method +p2237 +tp2238 +a(g875 +V\u000a +p2239 +tp2240 +a(g858 +Vfunction +p2241 +tp2242 +a(g875 +g962 +tp2243 +a(g390 +Vparse +p2244 +tp2245 +a(g660 +g1116 +tp2246 +a(g390 +VState +p2247 +tp2248 +a(g875 +g962 +tp2249 +a(g390 +Vst +p2250 +tp2251 +a(g660 +g1123 +tp2252 +a(g875 +g962 +tp2253 +a(g367 +g1497 +tp2254 +a(g367 +g1499 +tp2255 +a(g875 +g962 +tp2256 +a(g660 +g1116 +tp2257 +a(g390 +VStmt +p2258 +tp2259 +a(g660 +g1169 +tp2260 +a(g390 +VState +p2261 +tp2262 +a(g660 +g1123 +tp2263 +a(g367 +g1263 +tp2264 +a(g390 +VSyntaxError +p2265 +tp2266 +a(g367 +g1509 +tp2267 +a(g875 +V\u000a +p2268 +tp2269 +a(g712 +V// +p2270 +tp2271 +a(g875 +V\u000a +p2272 +tp2273 +a(g390 +VVar +p2274 +tp2275 +a(g875 +g962 +tp2276 +a(g390 +Vkeyword +p2277 +tp2278 +a(g660 +g1169 +tp2279 +a(g875 +g962 +tp2280 +a(g390 +VVar +p2281 +tp2282 +a(g875 +g962 +tp2283 +a(g390 +g1459 +tp2284 +a(g875 +V\u000a +p2285 +tp2286 +a(g390 +VExpr +p2287 +tp2288 +a(g875 +g962 +tp2289 +a(g390 +g1486 +tp2290 +a(g875 +V\u000a +p2291 +tp2292 +a(g797 +Vint +p2293 +tp2294 +a(g875 +g962 +tp2295 +a(g390 +Vstart +p2296 +tp2297 +a(g875 +g962 +tp2298 +a(g367 +g1134 +tp2299 +a(g875 +g962 +tp2300 +a(g390 +Vst +p2301 +tp2302 +a(g660 +g966 +tp2303 +a(g390 +Vpos +p2304 +tp2305 +a(g875 +V\u000a +p2306 +tp2307 +a(g712 +V// +p2308 +tp2309 +a(g875 +V\u000a +p2310 +tp2311 +a(g390 +Vkeyword +p2312 +tp2313 +a(g660 +g1169 +tp2314 +a(g390 +Vst +p2315 +tp2316 +a(g875 +g962 +tp2317 +a(g367 +g1134 +tp2318 +a(g875 +g962 +tp2319 +a(g390 +VparseIdentifier +p2320 +tp2321 +a(g660 +g1116 +tp2322 +a(g390 +Vst +p2323 +tp2324 +a(g660 +g1123 +tp2325 +a(g875 +V\u000a +p2326 +tp2327 +a(g842 +Vswitch +p2328 +tp2329 +a(g875 +g962 +tp2330 +a(g390 +Vkeyword +p2331 +tp2332 +a(g660 +g966 +tp2333 +a(g390 +Vid +p2334 +tp2335 +a(g367 +g1509 +tp2336 +a(g875 +V\u000a +p2337 +tp2338 +a(g842 +Vcase +p2339 +tp2340 +a(g875 +g962 +tp2341 +a(g14 +g1673 +tp2342 +a(g14 +Vprint +p2343 +tp2344 +a(g14 +g1673 +tp2345 +a(g367 +g1509 +tp2346 +a(g875 +V\u000a +p2347 +tp2348 +a(g797 +Vany +p2349 +tp2350 +a(g875 +g962 +tp2351 +a(g390 +g1844 +tp2352 +a(g875 +g962 +tp2353 +a(g367 +g1134 +tp2354 +a(g875 +g962 +tp2355 +a(g390 +VparseAddSubExpr +p2356 +tp2357 +a(g660 +g1116 +tp2358 +a(g390 +Vst +p2359 +tp2360 +a(g660 +g1123 +tp2361 +a(g875 +V\u000a +p2362 +tp2363 +a(g842 +Vif +p2364 +tp2365 +a(g875 +g962 +tp2366 +a(g367 +g1638 +tp2367 +a(g660 +g1116 +tp2368 +a(g390 +g1844 +tp2369 +a(g875 +g962 +tp2370 +a(g842 +Vis +p2371 +tp2372 +a(g875 +g962 +tp2373 +a(g390 +VSyntaxError +p2374 +tp2375 +a(g660 +g1123 +tp2376 +a(g367 +g1509 +tp2377 +a(g875 +V\u000a +p2378 +tp2379 +a(g390 +g1486 +tp2380 +a(g660 +g1169 +tp2381 +a(g390 +Vst +p2382 +tp2383 +a(g875 +g962 +tp2384 +a(g367 +g1134 +tp2385 +a(g875 +g962 +tp2386 +a(g390 +g1844 +tp2387 +a(g875 +V\u000a +p2388 +tp2389 +a(g842 +Vreturn +p2390 +tp2391 +a(g875 +g962 +tp2392 +a(g660 +g1161 +tp2393 +a(g390 +Vrhs +p2394 +tp2395 +a(g367 +g1509 +tp2396 +a(g875 +g962 +tp2397 +a(g390 +g1486 +tp2398 +a(g660 +g1185 +tp2399 +a(g660 +g1169 +tp2400 +a(g390 +Vst +p2401 +tp2402 +a(g875 +V\u000a +p2403 +tp2404 +a(g842 +Velse +p2405 +tp2406 +a(g367 +g1509 +tp2407 +a(g875 +V\u000a +p2408 +tp2409 +a(g842 +Vreturn +p2410 +tp2411 +a(g875 +g962 +tp2412 +a(g390 +g1844 +tp2413 +a(g875 +g962 +tp2414 +a(g712 +V// error case +p2415 +tp2416 +a(g875 +V\u000a +p2417 +tp2418 +a(g842 +Vcase +p2419 +tp2420 +a(g875 +g962 +tp2421 +a(g14 +g1673 +tp2422 +a(g14 +Vset +p2423 +tp2424 +a(g14 +g1673 +tp2425 +a(g367 +g1509 +tp2426 +a(g875 +V\u000a +p2427 +tp2428 +a(g390 +Vst +p2429 +tp2430 +a(g875 +g962 +tp2431 +a(g367 +g1134 +tp2432 +a(g875 +g962 +tp2433 +a(g390 +VparseWhiteSpace +p2434 +tp2435 +a(g660 +g1116 +tp2436 +a(g390 +Vst +p2437 +tp2438 +a(g660 +g1123 +tp2439 +a(g875 +V\u000a +p2440 +tp2441 +a(g390 +g1459 +tp2442 +a(g660 +g1169 +tp2443 +a(g390 +Vst +p2444 +tp2445 +a(g875 +g962 +tp2446 +a(g367 +g1134 +tp2447 +a(g875 +g962 +tp2448 +a(g390 +VparseIdentifier +p2449 +tp2450 +a(g660 +g1116 +tp2451 +a(g390 +Vst +p2452 +tp2453 +a(g660 +g1123 +tp2454 +a(g875 +V\u000a +p2455 +tp2456 +a(g797 +Vany +p2457 +tp2458 +a(g875 +g962 +tp2459 +a(g390 +g1844 +tp2460 +a(g875 +g962 +tp2461 +a(g367 +g1134 +tp2462 +a(g875 +g962 +tp2463 +a(g390 +VparseAddSubExpr +p2464 +tp2465 +a(g660 +g1116 +tp2466 +a(g390 +Vst +p2467 +tp2468 +a(g660 +g1123 +tp2469 +a(g875 +V\u000a +p2470 +tp2471 +a(g842 +Vif +p2472 +tp2473 +a(g875 +g962 +tp2474 +a(g367 +g1638 +tp2475 +a(g660 +g1116 +tp2476 +a(g390 +g1844 +tp2477 +a(g875 +g962 +tp2478 +a(g842 +Vis +p2479 +tp2480 +a(g875 +g962 +tp2481 +a(g390 +VSyntaxError +p2482 +tp2483 +a(g660 +g1123 +tp2484 +a(g367 +g1509 +tp2485 +a(g875 +V\u000a +p2486 +tp2487 +a(g390 +g1486 +tp2488 +a(g660 +g1169 +tp2489 +a(g390 +Vst +p2490 +tp2491 +a(g875 +g962 +tp2492 +a(g367 +g1134 +tp2493 +a(g875 +g962 +tp2494 +a(g390 +g1844 +tp2495 +a(g875 +V\u000a +p2496 +tp2497 +a(g842 +Vreturn +p2498 +tp2499 +a(g875 +g962 +tp2500 +a(g660 +g1161 +tp2501 +a(g390 +Vlhs +p2502 +tp2503 +a(g367 +g1509 +tp2504 +a(g875 +g962 +tp2505 +a(g390 +g1459 +tp2506 +a(g660 +g966 +tp2507 +a(g390 +Vid +p2508 +tp2509 +a(g660 +g1169 +tp2510 +a(g875 +g962 +tp2511 +a(g390 +Vrhs +p2512 +tp2513 +a(g367 +g1509 +tp2514 +a(g875 +g962 +tp2515 +a(g390 +g1486 +tp2516 +a(g660 +g1185 +tp2517 +a(g660 +g1169 +tp2518 +a(g390 +Vst +p2519 +tp2520 +a(g875 +V\u000a +p2521 +tp2522 +a(g842 +Velse +p2523 +tp2524 +a(g367 +g1509 +tp2525 +a(g875 +V\u000a +p2526 +tp2527 +a(g842 +Vreturn +p2528 +tp2529 +a(g875 +g962 +tp2530 +a(g390 +g1844 +tp2531 +a(g875 +g962 +tp2532 +a(g712 +V// error case +p2533 +tp2534 +a(g875 +V\u000a +p2535 +tp2536 +a(g842 +Vdefault +p2537 +tp2538 +a(g367 +g1509 +tp2539 +a(g875 +V\u000a +p2540 +tp2541 +a(g842 +Vreturn +p2542 +tp2543 +a(g875 +g962 +tp2544 +a(g390 +VSyntaxError +p2545 +tp2546 +a(g660 +g1116 +tp2547 +a(g14 +g1673 +tp2548 +a(g14 +Vunknown statement +p2549 +tp2550 +a(g14 +g1673 +tp2551 +a(g660 +g1169 +tp2552 +a(g390 +Vstart +p2553 +tp2554 +a(g660 +g1169 +tp2555 +a(g390 +Vst +p2556 +tp2557 +a(g660 +g966 +tp2558 +a(g390 +Vpos +p2559 +tp2560 +a(g367 +g1497 +tp2561 +a(g201 +g1073 +tp2562 +a(g660 +g1123 +tp2563 +a(g875 +V\u000a\u000a +p2564 +tp2565 +a(g858 +Vfunction +p2566 +tp2567 +a(g875 +g962 +tp2568 +a(g390 +VparseAddSubExpr +p2569 +tp2570 +a(g660 +g1116 +tp2571 +a(g390 +VState +p2572 +tp2573 +a(g875 +g962 +tp2574 +a(g390 +Vst +p2575 +tp2576 +a(g660 +g1123 +tp2577 +a(g875 +g962 +tp2578 +a(g367 +g1497 +tp2579 +a(g367 +g1499 +tp2580 +a(g875 +g962 +tp2581 +a(g660 +g1116 +tp2582 +a(g390 +VExpr +p2583 +tp2584 +a(g660 +g1169 +tp2585 +a(g875 +g962 +tp2586 +a(g390 +VState +p2587 +tp2588 +a(g660 +g1123 +tp2589 +a(g367 +g1263 +tp2590 +a(g390 +VSyntaxError +p2591 +tp2592 +a(g367 +g1509 +tp2593 +a(g875 +V \u000a +p2594 +tp2595 +a(g712 +V// +p2596 +tp2597 +a(g875 +V\u000a +p2598 +tp2599 +a(g390 +VExpr +p2600 +tp2601 +a(g875 +g962 +tp2602 +a(g390 +Vlhs +p2603 +tp2604 +a(g660 +g1169 +tp2605 +a(g875 +g962 +tp2606 +a(g390 +VExpr +p2607 +tp2608 +a(g875 +g962 +tp2609 +a(g390 +Vrhs +p2610 +tp2611 +a(g875 +V \u000a +p2612 +tp2613 +a(g712 +V// First, pass left-hand side +p2614 +tp2615 +a(g875 +V\u000a +p2616 +tp2617 +a(g797 +Vany +p2618 +tp2619 +a(g875 +g962 +tp2620 +a(g390 +g1844 +tp2621 +a(g875 +V +p2622 +tp2623 +a(g367 +g1134 +tp2624 +a(g875 +g962 +tp2625 +a(g390 +VparseMulDivExpr +p2626 +tp2627 +a(g660 +g1116 +tp2628 +a(g390 +Vst +p2629 +tp2630 +a(g660 +g1123 +tp2631 +a(g875 +V\u000a +p2632 +tp2633 +a(g712 +V// +p2634 +tp2635 +a(g875 +V\u000a +p2636 +tp2637 +a(g842 +Vif +p2638 +tp2639 +a(g875 +g962 +tp2640 +a(g390 +g1844 +tp2641 +a(g875 +g962 +tp2642 +a(g842 +Vis +p2643 +tp2644 +a(g875 +g962 +tp2645 +a(g390 +VSyntaxError +p2646 +tp2647 +a(g367 +g1509 +tp2648 +a(g875 +V\u000a +p2649 +tp2650 +a(g842 +Vreturn +p2651 +tp2652 +a(g875 +g962 +tp2653 +a(g390 +g1844 +tp2654 +a(g875 +V\u000a +p2655 +tp2656 +a(g712 +V// +p2657 +tp2658 +a(g875 +V\u000a +p2659 +tp2660 +a(g390 +Vlhs +p2661 +tp2662 +a(g660 +g1169 +tp2663 +a(g390 +Vst +p2664 +tp2665 +a(g875 +g962 +tp2666 +a(g367 +g1134 +tp2667 +a(g875 +g962 +tp2668 +a(g390 +g1844 +tp2669 +a(g875 +V\u000a +p2670 +tp2671 +a(g390 +Vst +p2672 +tp2673 +a(g875 +g962 +tp2674 +a(g367 +g1134 +tp2675 +a(g875 +g962 +tp2676 +a(g390 +VparseWhiteSpace +p2677 +tp2678 +a(g660 +g1116 +tp2679 +a(g390 +Vst +p2680 +tp2681 +a(g660 +g1123 +tp2682 +a(g875 +V\u000a +p2683 +tp2684 +a(g712 +V// Second, see if there is a right-hand side +p2685 +tp2686 +a(g875 +V\u000a +p2687 +tp2688 +a(g842 +Vif +p2689 +tp2690 +a(g875 +g962 +tp2691 +a(g390 +Vst +p2692 +tp2693 +a(g660 +g966 +tp2694 +a(g390 +Vpos +p2695 +tp2696 +a(g875 +g962 +tp2697 +a(g367 +g1132 +tp2698 +a(g875 +g962 +tp2699 +a(g367 +g1263 +tp2700 +a(g390 +Vst +p2701 +tp2702 +a(g660 +g966 +tp2703 +a(g390 +Vinput +p2704 +tp2705 +a(g367 +g1263 +tp2706 +a(g875 +g962 +tp2707 +a(g367 +g1139 +tp2708 +a(g367 +g1139 +tp2709 +a(g875 +g962 +tp2710 +a(g390 +Vst +p2711 +tp2712 +a(g660 +g966 +tp2713 +a(g390 +Vinput +p2714 +tp2715 +a(g660 +g1293 +tp2716 +a(g390 +Vst +p2717 +tp2718 +a(g660 +g966 +tp2719 +a(g390 +Vpos +p2720 +tp2721 +a(g660 +g1295 +tp2722 +a(g875 +g962 +tp2723 +a(g367 +g1134 +tp2724 +a(g367 +g1134 +tp2725 +a(g875 +g962 +tp2726 +a(g19 +V'+' +p2727 +tp2728 +a(g367 +g1509 +tp2729 +a(g875 +V\u000a +p2730 +tp2731 +a(g712 +V// add expression +p2732 +tp2733 +a(g875 +V\u000a +p2734 +tp2735 +a(g390 +Vst +p2736 +tp2737 +a(g660 +g966 +tp2738 +a(g390 +Vpos +p2739 +tp2740 +a(g875 +g962 +tp2741 +a(g367 +g1134 +tp2742 +a(g875 +g962 +tp2743 +a(g390 +Vst +p2744 +tp2745 +a(g660 +g966 +tp2746 +a(g390 +Vpos +p2747 +tp2748 +a(g875 +g962 +tp2749 +a(g367 +g1707 +tp2750 +a(g875 +g962 +tp2751 +a(g201 +g1073 +tp2752 +a(g875 +V\u000a +p2753 +tp2754 +a(g390 +g1844 +tp2755 +a(g875 +g962 +tp2756 +a(g367 +g1134 +tp2757 +a(g875 +g962 +tp2758 +a(g390 +VparseAddSubExpr +p2759 +tp2760 +a(g660 +g1116 +tp2761 +a(g390 +Vst +p2762 +tp2763 +a(g660 +g1123 +tp2764 +a(g875 +V \u000a +p2765 +tp2766 +a(g842 +Vif +p2767 +tp2768 +a(g875 +g962 +tp2769 +a(g367 +g1638 +tp2770 +a(g660 +g1116 +tp2771 +a(g390 +g1844 +tp2772 +a(g875 +g962 +tp2773 +a(g842 +Vis +p2774 +tp2775 +a(g875 +g962 +tp2776 +a(g390 +VSyntaxError +p2777 +tp2778 +a(g660 +g1123 +tp2779 +a(g367 +g1509 +tp2780 +a(g875 +V\u000a +p2781 +tp2782 +a(g390 +Vrhs +p2783 +tp2784 +a(g660 +g1169 +tp2785 +a(g390 +Vst +p2786 +tp2787 +a(g875 +g962 +tp2788 +a(g367 +g1134 +tp2789 +a(g875 +g962 +tp2790 +a(g390 +g1844 +tp2791 +a(g875 +V\u000a +p2792 +tp2793 +a(g842 +Vreturn +p2794 +tp2795 +a(g875 +g962 +tp2796 +a(g660 +g1161 +tp2797 +a(g390 +Vop +p2798 +tp2799 +a(g367 +g1509 +tp2800 +a(g875 +g962 +tp2801 +a(g390 +VADD +p2802 +tp2803 +a(g660 +g1169 +tp2804 +a(g875 +g962 +tp2805 +a(g390 +Vlhs +p2806 +tp2807 +a(g367 +g1509 +tp2808 +a(g875 +g962 +tp2809 +a(g390 +Vlhs +p2810 +tp2811 +a(g660 +g1169 +tp2812 +a(g875 +g962 +tp2813 +a(g390 +Vrhs +p2814 +tp2815 +a(g367 +g1509 +tp2816 +a(g875 +g962 +tp2817 +a(g390 +Vrhs +p2818 +tp2819 +a(g660 +g1185 +tp2820 +a(g660 +g1169 +tp2821 +a(g390 +Vst +p2822 +tp2823 +a(g875 +V\u000a +p2824 +tp2825 +a(g842 +Velse +p2826 +tp2827 +a(g367 +g1509 +tp2828 +a(g875 +V\u000a +p2829 +tp2830 +a(g842 +Vreturn +p2831 +tp2832 +a(g875 +g962 +tp2833 +a(g390 +g1844 +tp2834 +a(g875 +V\u000a +p2835 +tp2836 +a(g842 +Velse +p2837 +tp2838 +a(g875 +g962 +tp2839 +a(g842 +Vif +p2840 +tp2841 +a(g875 +g962 +tp2842 +a(g390 +Vst +p2843 +tp2844 +a(g660 +g966 +tp2845 +a(g390 +Vpos +p2846 +tp2847 +a(g875 +g962 +tp2848 +a(g367 +g1132 +tp2849 +a(g875 +g962 +tp2850 +a(g367 +g1263 +tp2851 +a(g390 +Vst +p2852 +tp2853 +a(g660 +g966 +tp2854 +a(g390 +Vinput +p2855 +tp2856 +a(g367 +g1263 +tp2857 +a(g875 +g962 +tp2858 +a(g367 +g1139 +tp2859 +a(g367 +g1139 +tp2860 +a(g875 +g962 +tp2861 +a(g390 +Vst +p2862 +tp2863 +a(g660 +g966 +tp2864 +a(g390 +Vinput +p2865 +tp2866 +a(g660 +g1293 +tp2867 +a(g390 +Vst +p2868 +tp2869 +a(g660 +g966 +tp2870 +a(g390 +Vpos +p2871 +tp2872 +a(g660 +g1295 +tp2873 +a(g875 +g962 +tp2874 +a(g367 +g1134 +tp2875 +a(g367 +g1134 +tp2876 +a(g875 +g962 +tp2877 +a(g19 +V'-' +p2878 +tp2879 +a(g367 +g1509 +tp2880 +a(g875 +V\u000a +p2881 +tp2882 +a(g712 +V// subtract expression +p2883 +tp2884 +a(g875 +V\u000a +p2885 +tp2886 +a(g390 +Vst +p2887 +tp2888 +a(g660 +g966 +tp2889 +a(g390 +Vpos +p2890 +tp2891 +a(g875 +g962 +tp2892 +a(g367 +g1134 +tp2893 +a(g875 +g962 +tp2894 +a(g390 +Vst +p2895 +tp2896 +a(g660 +g966 +tp2897 +a(g390 +Vpos +p2898 +tp2899 +a(g875 +g962 +tp2900 +a(g367 +g1707 +tp2901 +a(g875 +g962 +tp2902 +a(g201 +g1073 +tp2903 +a(g875 +V\u000a +p2904 +tp2905 +a(g390 +g1844 +tp2906 +a(g875 +g962 +tp2907 +a(g367 +g1134 +tp2908 +a(g875 +g962 +tp2909 +a(g390 +VparseAddSubExpr +p2910 +tp2911 +a(g660 +g1116 +tp2912 +a(g390 +Vst +p2913 +tp2914 +a(g660 +g1123 +tp2915 +a(g875 +V \u000a +p2916 +tp2917 +a(g842 +Vif +p2918 +tp2919 +a(g875 +g962 +tp2920 +a(g367 +g1638 +tp2921 +a(g660 +g1116 +tp2922 +a(g390 +g1844 +tp2923 +a(g875 +g962 +tp2924 +a(g842 +Vis +p2925 +tp2926 +a(g875 +g962 +tp2927 +a(g390 +VSyntaxError +p2928 +tp2929 +a(g660 +g1123 +tp2930 +a(g367 +g1509 +tp2931 +a(g875 +V\u000a +p2932 +tp2933 +a(g390 +Vrhs +p2934 +tp2935 +a(g660 +g1169 +tp2936 +a(g390 +Vst +p2937 +tp2938 +a(g875 +g962 +tp2939 +a(g367 +g1134 +tp2940 +a(g875 +g962 +tp2941 +a(g390 +g1844 +tp2942 +a(g875 +V\u000a +p2943 +tp2944 +a(g842 +Vreturn +p2945 +tp2946 +a(g875 +g962 +tp2947 +a(g660 +g1161 +tp2948 +a(g390 +Vop +p2949 +tp2950 +a(g367 +g1509 +tp2951 +a(g875 +g962 +tp2952 +a(g390 +VSUB +p2953 +tp2954 +a(g660 +g1169 +tp2955 +a(g875 +g962 +tp2956 +a(g390 +Vlhs +p2957 +tp2958 +a(g367 +g1509 +tp2959 +a(g875 +g962 +tp2960 +a(g390 +Vlhs +p2961 +tp2962 +a(g660 +g1169 +tp2963 +a(g875 +g962 +tp2964 +a(g390 +Vrhs +p2965 +tp2966 +a(g367 +g1509 +tp2967 +a(g875 +g962 +tp2968 +a(g390 +Vrhs +p2969 +tp2970 +a(g660 +g1185 +tp2971 +a(g660 +g1169 +tp2972 +a(g390 +Vst +p2973 +tp2974 +a(g875 +V\u000a +p2975 +tp2976 +a(g842 +Velse +p2977 +tp2978 +a(g367 +g1509 +tp2979 +a(g875 +V\u000a +p2980 +tp2981 +a(g842 +Vreturn +p2982 +tp2983 +a(g875 +g962 +tp2984 +a(g390 +g1844 +tp2985 +a(g875 +V \u000a +p2986 +tp2987 +a(g712 +V// No right-hand side +p2988 +tp2989 +a(g875 +V\u000a +p2990 +tp2991 +a(g842 +Vreturn +p2992 +tp2993 +a(g875 +g962 +tp2994 +a(g660 +g1116 +tp2995 +a(g390 +Vlhs +p2996 +tp2997 +a(g660 +g1169 +tp2998 +a(g390 +Vst +p2999 +tp3000 +a(g660 +g1123 +tp3001 +a(g875 +V\u000a\u000a +p3002 +tp3003 +a(g858 +Vfunction +p3004 +tp3005 +a(g875 +g962 +tp3006 +a(g390 +VparseMulDivExpr +p3007 +tp3008 +a(g660 +g1116 +tp3009 +a(g390 +VState +p3010 +tp3011 +a(g875 +g962 +tp3012 +a(g390 +Vst +p3013 +tp3014 +a(g660 +g1123 +tp3015 +a(g875 +g962 +tp3016 +a(g367 +g1497 +tp3017 +a(g367 +g1499 +tp3018 +a(g875 +g962 +tp3019 +a(g660 +g1116 +tp3020 +a(g390 +VExpr +p3021 +tp3022 +a(g660 +g1169 +tp3023 +a(g875 +g962 +tp3024 +a(g390 +VState +p3025 +tp3026 +a(g660 +g1123 +tp3027 +a(g367 +g1263 +tp3028 +a(g390 +VSyntaxError +p3029 +tp3030 +a(g367 +g1509 +tp3031 +a(g875 +V \u000a +p3032 +tp3033 +a(g712 +V// First, parse left-hand side +p3034 +tp3035 +a(g875 +V\u000a +p3036 +tp3037 +a(g390 +VExpr +p3038 +tp3039 +a(g875 +g962 +tp3040 +a(g390 +Vlhs +p3041 +tp3042 +a(g660 +g1169 +tp3043 +a(g875 +g962 +tp3044 +a(g390 +VExpr +p3045 +tp3046 +a(g875 +g962 +tp3047 +a(g390 +Vrhs +p3048 +tp3049 +a(g875 +V\u000a +p3050 +tp3051 +a(g797 +Vany +p3052 +tp3053 +a(g875 +g962 +tp3054 +a(g390 +g1844 +tp3055 +a(g875 +V +p3056 +tp3057 +a(g367 +g1134 +tp3058 +a(g875 +g962 +tp3059 +a(g390 +VparseTerm +p3060 +tp3061 +a(g660 +g1116 +tp3062 +a(g390 +Vst +p3063 +tp3064 +a(g660 +g1123 +tp3065 +a(g875 +V\u000a +p3066 +tp3067 +a(g842 +Vif +p3068 +tp3069 +a(g875 +g962 +tp3070 +a(g390 +g1844 +tp3071 +a(g875 +g962 +tp3072 +a(g842 +Vis +p3073 +tp3074 +a(g875 +g962 +tp3075 +a(g390 +VSyntaxError +p3076 +tp3077 +a(g367 +g1509 +tp3078 +a(g875 +V\u000a +p3079 +tp3080 +a(g842 +Vreturn +p3081 +tp3082 +a(g875 +g962 +tp3083 +a(g390 +g1844 +tp3084 +a(g875 +V\u000a +p3085 +tp3086 +a(g712 +V// +p3087 +tp3088 +a(g875 +V\u000a +p3089 +tp3090 +a(g390 +Vlhs +p3091 +tp3092 +a(g660 +g1169 +tp3093 +a(g390 +Vst +p3094 +tp3095 +a(g875 +g962 +tp3096 +a(g367 +g1134 +tp3097 +a(g875 +g962 +tp3098 +a(g390 +g1844 +tp3099 +a(g875 +V\u000a +p3100 +tp3101 +a(g390 +Vst +p3102 +tp3103 +a(g875 +g962 +tp3104 +a(g367 +g1134 +tp3105 +a(g875 +g962 +tp3106 +a(g390 +VparseWhiteSpace +p3107 +tp3108 +a(g660 +g1116 +tp3109 +a(g390 +Vst +p3110 +tp3111 +a(g660 +g1123 +tp3112 +a(g875 +V\u000a +p3113 +tp3114 +a(g712 +V// Second, see if there is a right-hand side +p3115 +tp3116 +a(g875 +V\u000a +p3117 +tp3118 +a(g842 +Vif +p3119 +tp3120 +a(g875 +g962 +tp3121 +a(g390 +Vst +p3122 +tp3123 +a(g660 +g966 +tp3124 +a(g390 +Vpos +p3125 +tp3126 +a(g875 +g962 +tp3127 +a(g367 +g1132 +tp3128 +a(g875 +g962 +tp3129 +a(g367 +g1263 +tp3130 +a(g390 +Vst +p3131 +tp3132 +a(g660 +g966 +tp3133 +a(g390 +Vinput +p3134 +tp3135 +a(g367 +g1263 +tp3136 +a(g875 +g962 +tp3137 +a(g367 +g1139 +tp3138 +a(g367 +g1139 +tp3139 +a(g875 +g962 +tp3140 +a(g390 +Vst +p3141 +tp3142 +a(g660 +g966 +tp3143 +a(g390 +Vinput +p3144 +tp3145 +a(g660 +g1293 +tp3146 +a(g390 +Vst +p3147 +tp3148 +a(g660 +g966 +tp3149 +a(g390 +Vpos +p3150 +tp3151 +a(g660 +g1295 +tp3152 +a(g875 +g962 +tp3153 +a(g367 +g1134 +tp3154 +a(g367 +g1134 +tp3155 +a(g875 +g962 +tp3156 +a(g19 +V'*' +p3157 +tp3158 +a(g367 +g1509 +tp3159 +a(g875 +V\u000a +p3160 +tp3161 +a(g712 +V// add expression +p3162 +tp3163 +a(g875 +V\u000a +p3164 +tp3165 +a(g390 +Vst +p3166 +tp3167 +a(g660 +g966 +tp3168 +a(g390 +Vpos +p3169 +tp3170 +a(g875 +g962 +tp3171 +a(g367 +g1134 +tp3172 +a(g875 +g962 +tp3173 +a(g390 +Vst +p3174 +tp3175 +a(g660 +g966 +tp3176 +a(g390 +Vpos +p3177 +tp3178 +a(g875 +g962 +tp3179 +a(g367 +g1707 +tp3180 +a(g875 +g962 +tp3181 +a(g201 +g1073 +tp3182 +a(g875 +V\u000a +p3183 +tp3184 +a(g390 +g1844 +tp3185 +a(g875 +g962 +tp3186 +a(g367 +g1134 +tp3187 +a(g875 +g962 +tp3188 +a(g390 +VparseMulDivExpr +p3189 +tp3190 +a(g660 +g1116 +tp3191 +a(g390 +Vst +p3192 +tp3193 +a(g660 +g1123 +tp3194 +a(g875 +V \u000a +p3195 +tp3196 +a(g842 +Vif +p3197 +tp3198 +a(g875 +g962 +tp3199 +a(g367 +g1638 +tp3200 +a(g660 +g1116 +tp3201 +a(g390 +g1844 +tp3202 +a(g875 +g962 +tp3203 +a(g842 +Vis +p3204 +tp3205 +a(g875 +g962 +tp3206 +a(g390 +VSyntaxError +p3207 +tp3208 +a(g660 +g1123 +tp3209 +a(g367 +g1509 +tp3210 +a(g875 +V\u000a +p3211 +tp3212 +a(g390 +Vrhs +p3213 +tp3214 +a(g660 +g1169 +tp3215 +a(g390 +Vst +p3216 +tp3217 +a(g875 +g962 +tp3218 +a(g367 +g1134 +tp3219 +a(g875 +g962 +tp3220 +a(g390 +g1844 +tp3221 +a(g875 +V\u000a +p3222 +tp3223 +a(g842 +Vreturn +p3224 +tp3225 +a(g875 +g962 +tp3226 +a(g660 +g1161 +tp3227 +a(g390 +Vop +p3228 +tp3229 +a(g367 +g1509 +tp3230 +a(g875 +g962 +tp3231 +a(g390 +VMUL +p3232 +tp3233 +a(g660 +g1169 +tp3234 +a(g875 +g962 +tp3235 +a(g390 +Vlhs +p3236 +tp3237 +a(g367 +g1509 +tp3238 +a(g875 +g962 +tp3239 +a(g390 +Vlhs +p3240 +tp3241 +a(g660 +g1169 +tp3242 +a(g875 +g962 +tp3243 +a(g390 +Vrhs +p3244 +tp3245 +a(g367 +g1509 +tp3246 +a(g875 +g962 +tp3247 +a(g390 +Vrhs +p3248 +tp3249 +a(g660 +g1185 +tp3250 +a(g660 +g1169 +tp3251 +a(g875 +g962 +tp3252 +a(g390 +Vst +p3253 +tp3254 +a(g875 +V\u000a +p3255 +tp3256 +a(g842 +Velse +p3257 +tp3258 +a(g367 +g1509 +tp3259 +a(g875 +V\u000a +p3260 +tp3261 +a(g842 +Vreturn +p3262 +tp3263 +a(g875 +g962 +tp3264 +a(g390 +g1844 +tp3265 +a(g875 +V\u000a +p3266 +tp3267 +a(g842 +Velse +p3268 +tp3269 +a(g875 +g962 +tp3270 +a(g842 +Vif +p3271 +tp3272 +a(g875 +g962 +tp3273 +a(g390 +Vst +p3274 +tp3275 +a(g660 +g966 +tp3276 +a(g390 +Vpos +p3277 +tp3278 +a(g875 +g962 +tp3279 +a(g367 +g1132 +tp3280 +a(g875 +g962 +tp3281 +a(g367 +g1263 +tp3282 +a(g390 +Vst +p3283 +tp3284 +a(g660 +g966 +tp3285 +a(g390 +Vinput +p3286 +tp3287 +a(g367 +g1263 +tp3288 +a(g875 +g962 +tp3289 +a(g367 +g1139 +tp3290 +a(g367 +g1139 +tp3291 +a(g875 +g962 +tp3292 +a(g390 +Vst +p3293 +tp3294 +a(g660 +g966 +tp3295 +a(g390 +Vinput +p3296 +tp3297 +a(g660 +g1293 +tp3298 +a(g390 +Vst +p3299 +tp3300 +a(g660 +g966 +tp3301 +a(g390 +Vpos +p3302 +tp3303 +a(g660 +g1295 +tp3304 +a(g875 +g962 +tp3305 +a(g367 +g1134 +tp3306 +a(g367 +g1134 +tp3307 +a(g875 +g962 +tp3308 +a(g19 +V'/' +p3309 +tp3310 +a(g367 +g1509 +tp3311 +a(g875 +V\u000a +p3312 +tp3313 +a(g712 +V// subtract expression +p3314 +tp3315 +a(g875 +V\u000a +p3316 +tp3317 +a(g390 +Vst +p3318 +tp3319 +a(g660 +g966 +tp3320 +a(g390 +Vpos +p3321 +tp3322 +a(g875 +g962 +tp3323 +a(g367 +g1134 +tp3324 +a(g875 +g962 +tp3325 +a(g390 +Vst +p3326 +tp3327 +a(g660 +g966 +tp3328 +a(g390 +Vpos +p3329 +tp3330 +a(g875 +g962 +tp3331 +a(g367 +g1707 +tp3332 +a(g875 +g962 +tp3333 +a(g201 +g1073 +tp3334 +a(g875 +V\u000a +p3335 +tp3336 +a(g390 +g1844 +tp3337 +a(g875 +g962 +tp3338 +a(g367 +g1134 +tp3339 +a(g875 +g962 +tp3340 +a(g390 +VparseMulDivExpr +p3341 +tp3342 +a(g660 +g1116 +tp3343 +a(g390 +Vst +p3344 +tp3345 +a(g660 +g1123 +tp3346 +a(g875 +V \u000a +p3347 +tp3348 +a(g842 +Vif +p3349 +tp3350 +a(g875 +g962 +tp3351 +a(g367 +g1638 +tp3352 +a(g660 +g1116 +tp3353 +a(g390 +g1844 +tp3354 +a(g875 +g962 +tp3355 +a(g842 +Vis +p3356 +tp3357 +a(g875 +g962 +tp3358 +a(g390 +VSyntaxError +p3359 +tp3360 +a(g660 +g1123 +tp3361 +a(g367 +g1509 +tp3362 +a(g875 +V\u000a +p3363 +tp3364 +a(g390 +Vrhs +p3365 +tp3366 +a(g660 +g1169 +tp3367 +a(g390 +Vst +p3368 +tp3369 +a(g875 +g962 +tp3370 +a(g367 +g1134 +tp3371 +a(g875 +g962 +tp3372 +a(g390 +g1844 +tp3373 +a(g875 +V\u000a +p3374 +tp3375 +a(g842 +Vreturn +p3376 +tp3377 +a(g875 +g962 +tp3378 +a(g660 +g1161 +tp3379 +a(g390 +Vop +p3380 +tp3381 +a(g367 +g1509 +tp3382 +a(g875 +g962 +tp3383 +a(g390 +VDIV +p3384 +tp3385 +a(g660 +g1169 +tp3386 +a(g875 +g962 +tp3387 +a(g390 +Vlhs +p3388 +tp3389 +a(g367 +g1509 +tp3390 +a(g875 +g962 +tp3391 +a(g390 +Vlhs +p3392 +tp3393 +a(g660 +g1169 +tp3394 +a(g875 +g962 +tp3395 +a(g390 +Vrhs +p3396 +tp3397 +a(g367 +g1509 +tp3398 +a(g875 +g962 +tp3399 +a(g390 +Vrhs +p3400 +tp3401 +a(g660 +g1185 +tp3402 +a(g660 +g1169 +tp3403 +a(g875 +g962 +tp3404 +a(g390 +Vst +p3405 +tp3406 +a(g875 +V\u000a +p3407 +tp3408 +a(g842 +Velse +p3409 +tp3410 +a(g367 +g1509 +tp3411 +a(g875 +V\u000a +p3412 +tp3413 +a(g842 +Vreturn +p3414 +tp3415 +a(g875 +g962 +tp3416 +a(g390 +g1844 +tp3417 +a(g875 +V\u000a +p3418 +tp3419 +a(g712 +V// No right-hand side +p3420 +tp3421 +a(g875 +V\u000a +p3422 +tp3423 +a(g842 +Vreturn +p3424 +tp3425 +a(g875 +g962 +tp3426 +a(g660 +g1116 +tp3427 +a(g390 +Vlhs +p3428 +tp3429 +a(g660 +g1169 +tp3430 +a(g390 +Vst +p3431 +tp3432 +a(g660 +g1123 +tp3433 +a(g875 +V\u000a\u000a +p3434 +tp3435 +a(g858 +Vfunction +p3436 +tp3437 +a(g875 +g962 +tp3438 +a(g390 +VparseTerm +p3439 +tp3440 +a(g660 +g1116 +tp3441 +a(g390 +VState +p3442 +tp3443 +a(g875 +g962 +tp3444 +a(g390 +Vst +p3445 +tp3446 +a(g660 +g1123 +tp3447 +a(g875 +g962 +tp3448 +a(g367 +g1497 +tp3449 +a(g367 +g1499 +tp3450 +a(g875 +g962 +tp3451 +a(g660 +g1116 +tp3452 +a(g390 +VExpr +p3453 +tp3454 +a(g660 +g1169 +tp3455 +a(g875 +g962 +tp3456 +a(g390 +VState +p3457 +tp3458 +a(g660 +g1123 +tp3459 +a(g367 +g1263 +tp3460 +a(g390 +VSyntaxError +p3461 +tp3462 +a(g367 +g1509 +tp3463 +a(g875 +V\u000a +p3464 +tp3465 +a(g712 +V// +p3466 +tp3467 +a(g875 +V\u000a +p3468 +tp3469 +a(g390 +Vst +p3470 +tp3471 +a(g875 +g962 +tp3472 +a(g367 +g1134 +tp3473 +a(g875 +g962 +tp3474 +a(g390 +VparseWhiteSpace +p3475 +tp3476 +a(g660 +g1116 +tp3477 +a(g390 +Vst +p3478 +tp3479 +a(g660 +g1123 +tp3480 +a(g875 +V \u000a +p3481 +tp3482 +a(g842 +Vif +p3483 +tp3484 +a(g875 +g962 +tp3485 +a(g390 +Vst +p3486 +tp3487 +a(g660 +g966 +tp3488 +a(g390 +Vpos +p3489 +tp3490 +a(g875 +g962 +tp3491 +a(g367 +g1132 +tp3492 +a(g875 +g962 +tp3493 +a(g367 +g1263 +tp3494 +a(g390 +Vst +p3495 +tp3496 +a(g660 +g966 +tp3497 +a(g390 +Vinput +p3498 +tp3499 +a(g367 +g1263 +tp3500 +a(g367 +g1509 +tp3501 +a(g875 +V\u000a +p3502 +tp3503 +a(g842 +Vif +p3504 +tp3505 +a(g875 +g962 +tp3506 +a(g390 +VASCII +p3507 +tp3508 +a(g660 +g966 +tp3509 +a(g390 +VisLetter +p3510 +tp3511 +a(g660 +g1116 +tp3512 +a(g390 +Vst +p3513 +tp3514 +a(g660 +g966 +tp3515 +a(g390 +Vinput +p3516 +tp3517 +a(g660 +g1293 +tp3518 +a(g390 +Vst +p3519 +tp3520 +a(g660 +g966 +tp3521 +a(g390 +Vpos +p3522 +tp3523 +a(g660 +g1295 +tp3524 +a(g660 +g1123 +tp3525 +a(g367 +g1509 +tp3526 +a(g875 +V\u000a +p3527 +tp3528 +a(g842 +Vreturn +p3529 +tp3530 +a(g875 +g962 +tp3531 +a(g390 +VparseIdentifier +p3532 +tp3533 +a(g660 +g1116 +tp3534 +a(g390 +Vst +p3535 +tp3536 +a(g660 +g1123 +tp3537 +a(g875 +V\u000a +p3538 +tp3539 +a(g842 +Velse +p3540 +tp3541 +a(g875 +g962 +tp3542 +a(g842 +Vif +p3543 +tp3544 +a(g875 +g962 +tp3545 +a(g390 +VASCII +p3546 +tp3547 +a(g660 +g966 +tp3548 +a(g390 +VisDigit +p3549 +tp3550 +a(g660 +g1116 +tp3551 +a(g390 +Vst +p3552 +tp3553 +a(g660 +g966 +tp3554 +a(g390 +Vinput +p3555 +tp3556 +a(g660 +g1293 +tp3557 +a(g390 +Vst +p3558 +tp3559 +a(g660 +g966 +tp3560 +a(g390 +Vpos +p3561 +tp3562 +a(g660 +g1295 +tp3563 +a(g660 +g1123 +tp3564 +a(g367 +g1509 +tp3565 +a(g875 +V\u000a +p3566 +tp3567 +a(g842 +Vreturn +p3568 +tp3569 +a(g875 +g962 +tp3570 +a(g390 +VparseNumber +p3571 +tp3572 +a(g660 +g1116 +tp3573 +a(g390 +Vst +p3574 +tp3575 +a(g660 +g1123 +tp3576 +a(g875 +V\u000a +p3577 +tp3578 +a(g842 +Velse +p3579 +tp3580 +a(g875 +g962 +tp3581 +a(g842 +Vif +p3582 +tp3583 +a(g875 +g962 +tp3584 +a(g390 +Vst +p3585 +tp3586 +a(g660 +g966 +tp3587 +a(g390 +Vinput +p3588 +tp3589 +a(g660 +g1293 +tp3590 +a(g390 +Vst +p3591 +tp3592 +a(g660 +g966 +tp3593 +a(g390 +Vpos +p3594 +tp3595 +a(g660 +g1295 +tp3596 +a(g875 +g962 +tp3597 +a(g367 +g1134 +tp3598 +a(g367 +g1134 +tp3599 +a(g875 +g962 +tp3600 +a(g19 +V'[' +p3601 +tp3602 +a(g367 +g1509 +tp3603 +a(g875 +V\u000a +p3604 +tp3605 +a(g842 +Vreturn +p3606 +tp3607 +a(g875 +g962 +tp3608 +a(g390 +VparseList +p3609 +tp3610 +a(g660 +g1116 +tp3611 +a(g390 +Vst +p3612 +tp3613 +a(g660 +g1123 +tp3614 +a(g875 +V\u000a +p3615 +tp3616 +a(g712 +V// +p3617 +tp3618 +a(g875 +V\u000a +p3619 +tp3620 +a(g842 +Vreturn +p3621 +tp3622 +a(g875 +g962 +tp3623 +a(g390 +VSyntaxError +p3624 +tp3625 +a(g660 +g1116 +tp3626 +a(g14 +g1673 +tp3627 +a(g14 +Vexpecting number or variable +p3628 +tp3629 +a(g14 +g1673 +tp3630 +a(g660 +g1169 +tp3631 +a(g390 +Vst +p3632 +tp3633 +a(g660 +g966 +tp3634 +a(g390 +Vpos +p3635 +tp3636 +a(g660 +g1169 +tp3637 +a(g390 +Vst +p3638 +tp3639 +a(g660 +g966 +tp3640 +a(g390 +Vpos +p3641 +tp3642 +a(g660 +g1123 +tp3643 +a(g875 +V\u000a\u000a +p3644 +tp3645 +a(g858 +Vfunction +p3646 +tp3647 +a(g875 +g962 +tp3648 +a(g390 +VparseIdentifier +p3649 +tp3650 +a(g660 +g1116 +tp3651 +a(g390 +VState +p3652 +tp3653 +a(g875 +g962 +tp3654 +a(g390 +Vst +p3655 +tp3656 +a(g660 +g1123 +tp3657 +a(g875 +g962 +tp3658 +a(g367 +g1497 +tp3659 +a(g367 +g1499 +tp3660 +a(g875 +g962 +tp3661 +a(g660 +g1116 +tp3662 +a(g390 +VVar +p3663 +tp3664 +a(g660 +g1169 +tp3665 +a(g875 +g962 +tp3666 +a(g390 +VState +p3667 +tp3668 +a(g660 +g1123 +tp3669 +a(g367 +g1509 +tp3670 +a(g875 +V\u000a +p3671 +tp3672 +a(g712 +V// +p3673 +tp3674 +a(g875 +V\u000a +p3675 +tp3676 +a(g390 +Vstring +p3677 +tp3678 +a(g875 +g962 +tp3679 +a(g390 +Vtxt +p3680 +tp3681 +a(g875 +g962 +tp3682 +a(g367 +g1134 +tp3683 +a(g875 +g962 +tp3684 +a(g14 +g1673 +tp3685 +a(g14 +g1673 +tp3686 +a(g875 +V\u000a +p3687 +tp3688 +a(g712 +V// inch forward until end of identifier reached +p3689 +tp3690 +a(g875 +V\u000a +p3691 +tp3692 +a(g842 +Vwhile +p3693 +tp3694 +a(g875 +g962 +tp3695 +a(g390 +Vst +p3696 +tp3697 +a(g660 +g966 +tp3698 +a(g390 +Vpos +p3699 +tp3700 +a(g875 +g962 +tp3701 +a(g367 +g1132 +tp3702 +a(g875 +g962 +tp3703 +a(g367 +g1263 +tp3704 +a(g390 +Vst +p3705 +tp3706 +a(g660 +g966 +tp3707 +a(g390 +Vinput +p3708 +tp3709 +a(g367 +g1263 +tp3710 +a(g875 +g962 +tp3711 +a(g367 +g1139 +tp3712 +a(g367 +g1139 +tp3713 +a(g875 +g962 +tp3714 +a(g390 +VASCII +p3715 +tp3716 +a(g660 +g966 +tp3717 +a(g390 +VisLetter +p3718 +tp3719 +a(g660 +g1116 +tp3720 +a(g390 +Vst +p3721 +tp3722 +a(g660 +g966 +tp3723 +a(g390 +Vinput +p3724 +tp3725 +a(g660 +g1293 +tp3726 +a(g390 +Vst +p3727 +tp3728 +a(g660 +g966 +tp3729 +a(g390 +Vpos +p3730 +tp3731 +a(g660 +g1295 +tp3732 +a(g660 +g1123 +tp3733 +a(g367 +g1509 +tp3734 +a(g875 +V\u000a +p3735 +tp3736 +a(g390 +Vtxt +p3737 +tp3738 +a(g875 +g962 +tp3739 +a(g367 +g1134 +tp3740 +a(g875 +g962 +tp3741 +a(g390 +Vtxt +p3742 +tp3743 +a(g875 +g962 +tp3744 +a(g367 +g1707 +tp3745 +a(g367 +g1707 +tp3746 +a(g875 +g962 +tp3747 +a(g660 +g1293 +tp3748 +a(g390 +Vst +p3749 +tp3750 +a(g660 +g966 +tp3751 +a(g390 +Vinput +p3752 +tp3753 +a(g660 +g1293 +tp3754 +a(g390 +Vst +p3755 +tp3756 +a(g660 +g966 +tp3757 +a(g390 +Vpos +p3758 +tp3759 +a(g660 +g1295 +tp3760 +a(g660 +g1295 +tp3761 +a(g875 +V\u000a +p3762 +tp3763 +a(g390 +Vst +p3764 +tp3765 +a(g660 +g966 +tp3766 +a(g390 +Vpos +p3767 +tp3768 +a(g875 +g962 +tp3769 +a(g367 +g1134 +tp3770 +a(g875 +g962 +tp3771 +a(g390 +Vst +p3772 +tp3773 +a(g660 +g966 +tp3774 +a(g390 +Vpos +p3775 +tp3776 +a(g875 +g962 +tp3777 +a(g367 +g1707 +tp3778 +a(g875 +g962 +tp3779 +a(g201 +g1073 +tp3780 +a(g875 +V\u000a +p3781 +tp3782 +a(g842 +Vreturn +p3783 +tp3784 +a(g875 +g962 +tp3785 +a(g660 +g1116 +tp3786 +a(g660 +g1161 +tp3787 +a(g390 +Vid +p3788 +tp3789 +a(g367 +g1509 +tp3790 +a(g390 +Vtxt +p3791 +tp3792 +a(g660 +g1185 +tp3793 +a(g660 +g1169 +tp3794 +a(g875 +g962 +tp3795 +a(g390 +Vst +p3796 +tp3797 +a(g660 +g1123 +tp3798 +a(g875 +V\u000a\u000a +p3799 +tp3800 +a(g858 +Vfunction +p3801 +tp3802 +a(g875 +g962 +tp3803 +a(g390 +VparseNumber +p3804 +tp3805 +a(g660 +g1116 +tp3806 +a(g390 +VState +p3807 +tp3808 +a(g875 +g962 +tp3809 +a(g390 +Vst +p3810 +tp3811 +a(g660 +g1123 +tp3812 +a(g875 +g962 +tp3813 +a(g367 +g1497 +tp3814 +a(g367 +g1499 +tp3815 +a(g875 +g962 +tp3816 +a(g660 +g1116 +tp3817 +a(g390 +VExpr +p3818 +tp3819 +a(g660 +g1169 +tp3820 +a(g875 +g962 +tp3821 +a(g390 +VState +p3822 +tp3823 +a(g660 +g1123 +tp3824 +a(g367 +g1263 +tp3825 +a(g390 +VSyntaxError +p3826 +tp3827 +a(g367 +g1509 +tp3828 +a(g875 +V \u000a +p3829 +tp3830 +a(g712 +V// inch forward until end of identifier reached +p3831 +tp3832 +a(g875 +V\u000a +p3833 +tp3834 +a(g797 +Vint +p3835 +tp3836 +a(g875 +g962 +tp3837 +a(g390 +Vstart +p3838 +tp3839 +a(g875 +g962 +tp3840 +a(g367 +g1134 +tp3841 +a(g875 +g962 +tp3842 +a(g390 +Vst +p3843 +tp3844 +a(g660 +g966 +tp3845 +a(g390 +Vpos +p3846 +tp3847 +a(g875 +V\u000a +p3848 +tp3849 +a(g842 +Vwhile +p3850 +tp3851 +a(g875 +g962 +tp3852 +a(g390 +Vst +p3853 +tp3854 +a(g660 +g966 +tp3855 +a(g390 +Vpos +p3856 +tp3857 +a(g875 +g962 +tp3858 +a(g367 +g1132 +tp3859 +a(g875 +g962 +tp3860 +a(g367 +g1263 +tp3861 +a(g390 +Vst +p3862 +tp3863 +a(g660 +g966 +tp3864 +a(g390 +Vinput +p3865 +tp3866 +a(g367 +g1263 +tp3867 +a(g875 +g962 +tp3868 +a(g367 +g1139 +tp3869 +a(g367 +g1139 +tp3870 +a(g875 +g962 +tp3871 +a(g390 +VASCII +p3872 +tp3873 +a(g660 +g966 +tp3874 +a(g390 +VisDigit +p3875 +tp3876 +a(g660 +g1116 +tp3877 +a(g390 +Vst +p3878 +tp3879 +a(g660 +g966 +tp3880 +a(g390 +Vinput +p3881 +tp3882 +a(g660 +g1293 +tp3883 +a(g390 +Vst +p3884 +tp3885 +a(g660 +g966 +tp3886 +a(g390 +Vpos +p3887 +tp3888 +a(g660 +g1295 +tp3889 +a(g660 +g1123 +tp3890 +a(g367 +g1509 +tp3891 +a(g875 +V\u000a +p3892 +tp3893 +a(g390 +Vst +p3894 +tp3895 +a(g660 +g966 +tp3896 +a(g390 +Vpos +p3897 +tp3898 +a(g875 +g962 +tp3899 +a(g367 +g1134 +tp3900 +a(g875 +g962 +tp3901 +a(g390 +Vst +p3902 +tp3903 +a(g660 +g966 +tp3904 +a(g390 +Vpos +p3905 +tp3906 +a(g875 +g962 +tp3907 +a(g367 +g1707 +tp3908 +a(g875 +g962 +tp3909 +a(g201 +g1073 +tp3910 +a(g875 +V \u000a +p3911 +tp3912 +a(g712 +V// +p3913 +tp3914 +a(g875 +V\u000a +p3915 +tp3916 +a(g797 +Vint +p3917 +tp3918 +a(g367 +g1263 +tp3919 +a(g812 +Vnull +p3920 +tp3921 +a(g875 +g962 +tp3922 +a(g390 +Viv +p3923 +tp3924 +a(g875 +g962 +tp3925 +a(g367 +g1134 +tp3926 +a(g875 +g962 +tp3927 +a(g390 +VInt +p3928 +tp3929 +a(g660 +g966 +tp3930 +a(g390 +Vparse +p3931 +tp3932 +a(g660 +g1116 +tp3933 +a(g390 +Vst +p3934 +tp3935 +a(g660 +g966 +tp3936 +a(g390 +Vinput +p3937 +tp3938 +a(g660 +g1293 +tp3939 +a(g390 +Vstart +p3940 +tp3941 +a(g660 +g966 +tp3942 +a(g660 +g966 +tp3943 +a(g390 +Vst +p3944 +tp3945 +a(g660 +g966 +tp3946 +a(g390 +Vpos +p3947 +tp3948 +a(g660 +g1295 +tp3949 +a(g660 +g1123 +tp3950 +a(g875 +V\u000a +p3951 +tp3952 +a(g842 +Vif +p3953 +tp3954 +a(g875 +g962 +tp3955 +a(g390 +Viv +p3956 +tp3957 +a(g875 +g962 +tp3958 +a(g367 +g1134 +tp3959 +a(g367 +g1134 +tp3960 +a(g875 +g962 +tp3961 +a(g812 +Vnull +p3962 +tp3963 +a(g367 +g1509 +tp3964 +a(g875 +V\u000a +p3965 +tp3966 +a(g842 +Vreturn +p3967 +tp3968 +a(g875 +g962 +tp3969 +a(g390 +VSyntaxError +p3970 +tp3971 +a(g660 +g1116 +tp3972 +a(g14 +g1673 +tp3973 +a(g14 +VError parsing number +p3974 +tp3975 +a(g14 +g1673 +tp3976 +a(g660 +g1169 +tp3977 +a(g390 +Vstart +p3978 +tp3979 +a(g660 +g1169 +tp3980 +a(g390 +Vst +p3981 +tp3982 +a(g660 +g966 +tp3983 +a(g390 +Vpos +p3984 +tp3985 +a(g660 +g1123 +tp3986 +a(g875 +V\u000a +p3987 +tp3988 +a(g842 +Velse +p3989 +tp3990 +a(g367 +g1509 +tp3991 +a(g875 +V\u000a +p3992 +tp3993 +a(g842 +Vreturn +p3994 +tp3995 +a(g875 +g962 +tp3996 +a(g390 +Viv +p3997 +tp3998 +a(g660 +g1169 +tp3999 +a(g875 +g962 +tp4000 +a(g390 +Vst +p4001 +tp4002 +a(g875 +V\u000a\u000a +p4003 +tp4004 +a(g858 +Vfunction +p4005 +tp4006 +a(g875 +g962 +tp4007 +a(g390 +VparseList +p4008 +tp4009 +a(g660 +g1116 +tp4010 +a(g390 +VState +p4011 +tp4012 +a(g875 +g962 +tp4013 +a(g390 +Vst +p4014 +tp4015 +a(g660 +g1123 +tp4016 +a(g875 +g962 +tp4017 +a(g367 +g1497 +tp4018 +a(g367 +g1499 +tp4019 +a(g875 +g962 +tp4020 +a(g660 +g1116 +tp4021 +a(g390 +VExpr +p4022 +tp4023 +a(g660 +g1169 +tp4024 +a(g875 +g962 +tp4025 +a(g390 +VState +p4026 +tp4027 +a(g660 +g1123 +tp4028 +a(g367 +g1263 +tp4029 +a(g390 +VSyntaxError +p4030 +tp4031 +a(g367 +g1509 +tp4032 +a(g875 +V \u000a +p4033 +tp4034 +a(g712 +V// +p4035 +tp4036 +a(g875 +V\u000a +p4037 +tp4038 +a(g390 +Vst +p4039 +tp4040 +a(g660 +g966 +tp4041 +a(g390 +Vpos +p4042 +tp4043 +a(g875 +g962 +tp4044 +a(g367 +g1134 +tp4045 +a(g875 +g962 +tp4046 +a(g390 +Vst +p4047 +tp4048 +a(g660 +g966 +tp4049 +a(g390 +Vpos +p4050 +tp4051 +a(g875 +g962 +tp4052 +a(g367 +g1707 +tp4053 +a(g875 +g962 +tp4054 +a(g201 +g1073 +tp4055 +a(g875 +g962 +tp4056 +a(g712 +V// skip '[' +p4057 +tp4058 +a(g875 +V\u000a +p4059 +tp4060 +a(g390 +Vst +p4061 +tp4062 +a(g875 +g962 +tp4063 +a(g367 +g1134 +tp4064 +a(g875 +g962 +tp4065 +a(g390 +VparseWhiteSpace +p4066 +tp4067 +a(g660 +g1116 +tp4068 +a(g390 +Vst +p4069 +tp4070 +a(g660 +g1123 +tp4071 +a(g875 +V\u000a +p4072 +tp4073 +a(g660 +g1293 +tp4074 +a(g390 +VExpr +p4075 +tp4076 +a(g660 +g1295 +tp4077 +a(g875 +g962 +tp4078 +a(g390 +Vl +p4079 +tp4080 +a(g875 +g962 +tp4081 +a(g367 +g1134 +tp4082 +a(g875 +g962 +tp4083 +a(g660 +g1293 +tp4084 +a(g660 +g1295 +tp4085 +a(g875 +g962 +tp4086 +a(g712 +V// initial list +p4087 +tp4088 +a(g875 +V\u000a +p4089 +tp4090 +a(g797 +Vbool +p4091 +tp4092 +a(g875 +g962 +tp4093 +a(g390 +VfirstTime +p4094 +tp4095 +a(g875 +g962 +tp4096 +a(g367 +g1134 +tp4097 +a(g875 +g962 +tp4098 +a(g812 +Vtrue +p4099 +tp4100 +a(g875 +V\u000a +p4101 +tp4102 +a(g842 +Vwhile +p4103 +tp4104 +a(g875 +g962 +tp4105 +a(g390 +Vst +p4106 +tp4107 +a(g660 +g966 +tp4108 +a(g390 +Vpos +p4109 +tp4110 +a(g875 +g962 +tp4111 +a(g367 +g1132 +tp4112 +a(g875 +g962 +tp4113 +a(g367 +g1263 +tp4114 +a(g390 +Vst +p4115 +tp4116 +a(g660 +g966 +tp4117 +a(g390 +Vinput +p4118 +tp4119 +a(g367 +g1263 +tp4120 +a(g875 +g962 +tp4121 +a(g367 +g1139 +tp4122 +a(g367 +g1139 +tp4123 +a(g875 +g962 +tp4124 +a(g390 +Vst +p4125 +tp4126 +a(g660 +g966 +tp4127 +a(g390 +Vinput +p4128 +tp4129 +a(g660 +g1293 +tp4130 +a(g390 +Vst +p4131 +tp4132 +a(g660 +g966 +tp4133 +a(g390 +Vpos +p4134 +tp4135 +a(g660 +g1295 +tp4136 +a(g875 +g962 +tp4137 +a(g367 +g1638 +tp4138 +a(g367 +g1134 +tp4139 +a(g875 +g962 +tp4140 +a(g19 +V']' +p4141 +tp4142 +a(g367 +g1509 +tp4143 +a(g875 +V\u000a +p4144 +tp4145 +a(g842 +Vif +p4146 +tp4147 +a(g875 +g962 +tp4148 +a(g367 +g1638 +tp4149 +a(g390 +VfirstTime +p4150 +tp4151 +a(g875 +g962 +tp4152 +a(g367 +g1139 +tp4153 +a(g367 +g1139 +tp4154 +a(g875 +g962 +tp4155 +a(g390 +Vst +p4156 +tp4157 +a(g660 +g966 +tp4158 +a(g390 +Vinput +p4159 +tp4160 +a(g660 +g1293 +tp4161 +a(g390 +Vst +p4162 +tp4163 +a(g660 +g966 +tp4164 +a(g390 +Vpos +p4165 +tp4166 +a(g660 +g1295 +tp4167 +a(g875 +g962 +tp4168 +a(g367 +g1638 +tp4169 +a(g367 +g1134 +tp4170 +a(g875 +g962 +tp4171 +a(g19 +V',' +p4172 +tp4173 +a(g367 +g1509 +tp4174 +a(g875 +V\u000a +p4175 +tp4176 +a(g842 +Vreturn +p4177 +tp4178 +a(g875 +g962 +tp4179 +a(g390 +VSyntaxError +p4180 +tp4181 +a(g660 +g1116 +tp4182 +a(g14 +g1673 +tp4183 +a(g14 +Vexpecting comma +p4184 +tp4185 +a(g14 +g1673 +tp4186 +a(g660 +g1169 +tp4187 +a(g390 +Vst +p4188 +tp4189 +a(g660 +g966 +tp4190 +a(g390 +Vpos +p4191 +tp4192 +a(g660 +g1169 +tp4193 +a(g390 +Vst +p4194 +tp4195 +a(g660 +g966 +tp4196 +a(g390 +Vpos +p4197 +tp4198 +a(g660 +g1123 +tp4199 +a(g875 +V\u000a +p4200 +tp4201 +a(g842 +Velse +p4202 +tp4203 +a(g875 +g962 +tp4204 +a(g842 +Vif +p4205 +tp4206 +a(g875 +g962 +tp4207 +a(g367 +g1638 +tp4208 +a(g390 +VfirstTime +p4209 +tp4210 +a(g367 +g1509 +tp4211 +a(g875 +V\u000a +p4212 +tp4213 +a(g390 +Vst +p4214 +tp4215 +a(g660 +g966 +tp4216 +a(g390 +Vpos +p4217 +tp4218 +a(g875 +g962 +tp4219 +a(g367 +g1134 +tp4220 +a(g875 +g962 +tp4221 +a(g390 +Vst +p4222 +tp4223 +a(g660 +g966 +tp4224 +a(g390 +Vpos +p4225 +tp4226 +a(g875 +g962 +tp4227 +a(g367 +g1707 +tp4228 +a(g875 +g962 +tp4229 +a(g201 +g1073 +tp4230 +a(g875 +g962 +tp4231 +a(g712 +V// skip ',' +p4232 +tp4233 +a(g875 +V\u000a +p4234 +tp4235 +a(g390 +VfirstTime +p4236 +tp4237 +a(g875 +g962 +tp4238 +a(g367 +g1134 +tp4239 +a(g875 +g962 +tp4240 +a(g812 +Vfalse +p4241 +tp4242 +a(g875 +V\u000a +p4243 +tp4244 +a(g797 +Vany +p4245 +tp4246 +a(g875 +g962 +tp4247 +a(g390 +g1844 +tp4248 +a(g875 +g962 +tp4249 +a(g367 +g1134 +tp4250 +a(g875 +g962 +tp4251 +a(g390 +VparseAddSubExpr +p4252 +tp4253 +a(g660 +g1116 +tp4254 +a(g390 +Vst +p4255 +tp4256 +a(g660 +g1123 +tp4257 +a(g875 +V\u000a +p4258 +tp4259 +a(g842 +Vif +p4260 +tp4261 +a(g875 +g962 +tp4262 +a(g390 +g1844 +tp4263 +a(g875 +g962 +tp4264 +a(g842 +Vis +p4265 +tp4266 +a(g875 +g962 +tp4267 +a(g390 +VSyntaxError +p4268 +tp4269 +a(g367 +g1509 +tp4270 +a(g875 +V\u000a +p4271 +tp4272 +a(g842 +Vreturn +p4273 +tp4274 +a(g875 +g962 +tp4275 +a(g390 +g1844 +tp4276 +a(g875 +V\u000a +p4277 +tp4278 +a(g842 +Velse +p4279 +tp4280 +a(g367 +g1509 +tp4281 +a(g875 +V\u000a +p4282 +tp4283 +a(g390 +VExpr +p4284 +tp4285 +a(g875 +g962 +tp4286 +a(g390 +g1486 +tp4287 +a(g875 +V\u000a +p4288 +tp4289 +a(g390 +g1486 +tp4290 +a(g660 +g1169 +tp4291 +a(g390 +Vst +p4292 +tp4293 +a(g875 +g962 +tp4294 +a(g367 +g1134 +tp4295 +a(g875 +g962 +tp4296 +a(g390 +g1844 +tp4297 +a(g875 +V\u000a +p4298 +tp4299 +a(g712 +V// perform annoying error check +p4300 +tp4301 +a(g875 +V\u000a +p4302 +tp4303 +a(g390 +g4079 +tp4304 +a(g875 +g962 +tp4305 +a(g367 +g1134 +tp4306 +a(g875 +g962 +tp4307 +a(g390 +g4079 +tp4308 +a(g875 +g962 +tp4309 +a(g367 +g1707 +tp4310 +a(g367 +g1707 +tp4311 +a(g875 +g962 +tp4312 +a(g660 +g1293 +tp4313 +a(g390 +g1486 +tp4314 +a(g660 +g1295 +tp4315 +a(g875 +V\u000a +p4316 +tp4317 +a(g390 +Vst +p4318 +tp4319 +a(g875 +g962 +tp4320 +a(g367 +g1134 +tp4321 +a(g875 +g962 +tp4322 +a(g390 +VparseWhiteSpace +p4323 +tp4324 +a(g660 +g1116 +tp4325 +a(g390 +Vst +p4326 +tp4327 +a(g660 +g1123 +tp4328 +a(g875 +V\u000a +p4329 +tp4330 +a(g390 +Vst +p4331 +tp4332 +a(g660 +g966 +tp4333 +a(g390 +Vpos +p4334 +tp4335 +a(g875 +g962 +tp4336 +a(g367 +g1134 +tp4337 +a(g875 +g962 +tp4338 +a(g390 +Vst +p4339 +tp4340 +a(g660 +g966 +tp4341 +a(g390 +Vpos +p4342 +tp4343 +a(g875 +g962 +tp4344 +a(g367 +g1707 +tp4345 +a(g875 +g962 +tp4346 +a(g201 +g1073 +tp4347 +a(g875 +V\u000a +p4348 +tp4349 +a(g842 +Vreturn +p4350 +tp4351 +a(g875 +g962 +tp4352 +a(g390 +g4079 +tp4353 +a(g660 +g1169 +tp4354 +a(g390 +Vst +p4355 +tp4356 +a(g875 +V\u000a \u000a +p4357 +tp4358 +a(g712 +V// Parse all whitespace upto end-of-file +p4359 +tp4360 +a(g875 +V\u000a +p4361 +tp4362 +a(g858 +Vfunction +p4363 +tp4364 +a(g875 +g962 +tp4365 +a(g390 +VparseWhiteSpace +p4366 +tp4367 +a(g660 +g1116 +tp4368 +a(g390 +VState +p4369 +tp4370 +a(g875 +g962 +tp4371 +a(g390 +Vst +p4372 +tp4373 +a(g660 +g1123 +tp4374 +a(g875 +g962 +tp4375 +a(g367 +g1497 +tp4376 +a(g367 +g1499 +tp4377 +a(g875 +g962 +tp4378 +a(g390 +VState +p4379 +tp4380 +a(g367 +g1509 +tp4381 +a(g875 +V\u000a +p4382 +tp4383 +a(g842 +Vwhile +p4384 +tp4385 +a(g875 +g962 +tp4386 +a(g390 +Vst +p4387 +tp4388 +a(g660 +g966 +tp4389 +a(g390 +Vpos +p4390 +tp4391 +a(g875 +g962 +tp4392 +a(g367 +g1132 +tp4393 +a(g875 +g962 +tp4394 +a(g367 +g1263 +tp4395 +a(g390 +Vst +p4396 +tp4397 +a(g660 +g966 +tp4398 +a(g390 +Vinput +p4399 +tp4400 +a(g367 +g1263 +tp4401 +a(g875 +g962 +tp4402 +a(g367 +g1139 +tp4403 +a(g367 +g1139 +tp4404 +a(g875 +g962 +tp4405 +a(g390 +VASCII +p4406 +tp4407 +a(g660 +g966 +tp4408 +a(g390 +VisWhiteSpace +p4409 +tp4410 +a(g660 +g1116 +tp4411 +a(g390 +Vst +p4412 +tp4413 +a(g660 +g966 +tp4414 +a(g390 +Vinput +p4415 +tp4416 +a(g660 +g1293 +tp4417 +a(g390 +Vst +p4418 +tp4419 +a(g660 +g966 +tp4420 +a(g390 +Vpos +p4421 +tp4422 +a(g660 +g1295 +tp4423 +a(g660 +g1123 +tp4424 +a(g367 +g1509 +tp4425 +a(g875 +V\u000a +p4426 +tp4427 +a(g390 +Vst +p4428 +tp4429 +a(g660 +g966 +tp4430 +a(g390 +Vpos +p4431 +tp4432 +a(g875 +g962 +tp4433 +a(g367 +g1134 +tp4434 +a(g875 +g962 +tp4435 +a(g390 +Vst +p4436 +tp4437 +a(g660 +g966 +tp4438 +a(g390 +Vpos +p4439 +tp4440 +a(g875 +g962 +tp4441 +a(g367 +g1707 +tp4442 +a(g875 +g962 +tp4443 +a(g201 +g1073 +tp4444 +a(g875 +V\u000a +p4445 +tp4446 +a(g842 +Vreturn +p4447 +tp4448 +a(g875 +g962 +tp4449 +a(g390 +Vst +p4450 +tp4451 +a(g875 +V\u000a\u000a +p4452 +tp4453 +a(g712 +V// ==================================================== +p4454 +tp4455 +a(g875 +V\u000a +p4456 +tp4457 +a(g712 +V// Main Method +p4458 +tp4459 +a(g875 +V\u000a +p4460 +tp4461 +a(g712 +V// ==================================================== +p4462 +tp4463 +a(g875 +V\u000a\u000a +p4464 +tp4465 +a(g858 +Vpublic +p4466 +tp4467 +a(g875 +g962 +tp4468 +a(g858 +Vmethod +p4469 +tp4470 +a(g875 +g962 +tp4471 +a(g390 +Vmain +p4472 +tp4473 +a(g660 +g1116 +tp4474 +a(g390 +VSystem +p4475 +tp4476 +a(g660 +g966 +tp4477 +a(g390 +VConsole +p4478 +tp4479 +a(g875 +g962 +tp4480 +a(g390 +Vsys +p4481 +tp4482 +a(g660 +g1123 +tp4483 +a(g367 +g1509 +tp4484 +a(g875 +V\u000a +p4485 +tp4486 +a(g842 +Vif +p4487 +tp4488 +a(g660 +g1116 +tp4489 +a(g367 +g1263 +tp4490 +a(g390 +Vsys +p4491 +tp4492 +a(g660 +g966 +tp4493 +a(g390 +Vargs +p4494 +tp4495 +a(g367 +g1263 +tp4496 +a(g875 +g962 +tp4497 +a(g367 +g1134 +tp4498 +a(g367 +g1134 +tp4499 +a(g875 +g962 +tp4500 +a(g201 +g1060 +tp4501 +a(g660 +g1123 +tp4502 +a(g367 +g1509 +tp4503 +a(g875 +V\u000a +p4504 +tp4505 +a(g390 +Vsys +p4506 +tp4507 +a(g660 +g966 +tp4508 +a(g390 +Vout +p4509 +tp4510 +a(g660 +g966 +tp4511 +a(g390 +Vprintln +p4512 +tp4513 +a(g660 +g1116 +tp4514 +a(g14 +g1673 +tp4515 +a(g14 +Vno parameter provided! +p4516 +tp4517 +a(g14 +g1673 +tp4518 +a(g660 +g1123 +tp4519 +a(g875 +V\u000a +p4520 +tp4521 +a(g842 +Velse +p4522 +tp4523 +a(g367 +g1509 +tp4524 +a(g875 +V\u000a +p4525 +tp4526 +a(g390 +VFile +p4527 +tp4528 +a(g660 +g966 +tp4529 +a(g390 +VReader +p4530 +tp4531 +a(g875 +g962 +tp4532 +a(g390 +Vfile +p4533 +tp4534 +a(g875 +g962 +tp4535 +a(g367 +g1134 +tp4536 +a(g875 +g962 +tp4537 +a(g390 +VFile +p4538 +tp4539 +a(g660 +g966 +tp4540 +a(g390 +VReader +p4541 +tp4542 +a(g660 +g1116 +tp4543 +a(g390 +Vsys +p4544 +tp4545 +a(g660 +g966 +tp4546 +a(g390 +Vargs +p4547 +tp4548 +a(g660 +g1293 +tp4549 +a(g201 +g1060 +tp4550 +a(g660 +g1295 +tp4551 +a(g660 +g1123 +tp4552 +a(g875 +V\u000a +p4553 +tp4554 +a(g390 +Vstring +p4555 +tp4556 +a(g875 +g962 +tp4557 +a(g390 +Vinput +p4558 +tp4559 +a(g875 +g962 +tp4560 +a(g367 +g1134 +tp4561 +a(g875 +g962 +tp4562 +a(g390 +VASCII +p4563 +tp4564 +a(g660 +g966 +tp4565 +a(g390 +VfromBytes +p4566 +tp4567 +a(g660 +g1116 +tp4568 +a(g390 +Vfile +p4569 +tp4570 +a(g660 +g966 +tp4571 +a(g390 +VreadAll +p4572 +tp4573 +a(g660 +g1116 +tp4574 +a(g660 +g1123 +tp4575 +a(g660 +g1123 +tp4576 +a(g875 +V\u000a \u000a +p4577 +tp4578 +a(g390 +VEnvironment +p4579 +tp4580 +a(g875 +g962 +tp4581 +a(g390 +Venv +p4582 +tp4583 +a(g875 +g962 +tp4584 +a(g367 +g1134 +tp4585 +a(g875 +g962 +tp4586 +a(g390 +VEnvironment +p4587 +tp4588 +a(g660 +g1116 +tp4589 +a(g660 +g1123 +tp4590 +a(g875 +V\u000a +p4591 +tp4592 +a(g390 +VState +p4593 +tp4594 +a(g875 +g962 +tp4595 +a(g390 +Vst +p4596 +tp4597 +a(g875 +g962 +tp4598 +a(g367 +g1134 +tp4599 +a(g875 +g962 +tp4600 +a(g660 +g1161 +tp4601 +a(g390 +Vpos +p4602 +tp4603 +a(g367 +g1509 +tp4604 +a(g875 +g962 +tp4605 +a(g201 +g1060 +tp4606 +a(g660 +g1169 +tp4607 +a(g875 +g962 +tp4608 +a(g390 +Vinput +p4609 +tp4610 +a(g367 +g1509 +tp4611 +a(g875 +g962 +tp4612 +a(g390 +Vinput +p4613 +tp4614 +a(g660 +g1185 +tp4615 +a(g875 +V\u000a +p4616 +tp4617 +a(g842 +Vwhile +p4618 +tp4619 +a(g875 +g962 +tp4620 +a(g390 +Vst +p4621 +tp4622 +a(g660 +g966 +tp4623 +a(g390 +Vpos +p4624 +tp4625 +a(g875 +g962 +tp4626 +a(g367 +g1132 +tp4627 +a(g875 +g962 +tp4628 +a(g367 +g1263 +tp4629 +a(g390 +Vst +p4630 +tp4631 +a(g660 +g966 +tp4632 +a(g390 +Vinput +p4633 +tp4634 +a(g367 +g1263 +tp4635 +a(g367 +g1509 +tp4636 +a(g875 +V\u000a +p4637 +tp4638 +a(g390 +VStmt +p4639 +tp4640 +a(g875 +g962 +tp4641 +a(g390 +Vs +p4642 +tp4643 +a(g875 +V\u000a +p4644 +tp4645 +a(g797 +Vany +p4646 +tp4647 +a(g875 +g962 +tp4648 +a(g390 +g1844 +tp4649 +a(g875 +g962 +tp4650 +a(g367 +g1134 +tp4651 +a(g875 +g962 +tp4652 +a(g390 +Vparse +p4653 +tp4654 +a(g660 +g1116 +tp4655 +a(g390 +Vst +p4656 +tp4657 +a(g660 +g1123 +tp4658 +a(g875 +V\u000a +p4659 +tp4660 +a(g842 +Vif +p4661 +tp4662 +a(g875 +g962 +tp4663 +a(g390 +g1844 +tp4664 +a(g875 +g962 +tp4665 +a(g842 +Vis +p4666 +tp4667 +a(g875 +g962 +tp4668 +a(g390 +VSyntaxError +p4669 +tp4670 +a(g367 +g1509 +tp4671 +a(g875 +V\u000a +p4672 +tp4673 +a(g390 +Vsys +p4674 +tp4675 +a(g660 +g966 +tp4676 +a(g390 +Vout +p4677 +tp4678 +a(g660 +g966 +tp4679 +a(g390 +Vprintln +p4680 +tp4681 +a(g660 +g1116 +tp4682 +a(g14 +g1673 +tp4683 +a(g14 +Vsyntax error: +p4684 +tp4685 +a(g14 +g1673 +tp4686 +a(g875 +g962 +tp4687 +a(g367 +g1707 +tp4688 +a(g367 +g1707 +tp4689 +a(g875 +g962 +tp4690 +a(g390 +g1844 +tp4691 +a(g660 +g966 +tp4692 +a(g390 +Vmsg +p4693 +tp4694 +a(g660 +g1123 +tp4695 +a(g875 +V \u000a +p4696 +tp4697 +a(g842 +Vreturn +p4698 +tp4699 +a(g875 +V\u000a +p4700 +tp4701 +a(g390 +g4642 +tp4702 +a(g660 +g1169 +tp4703 +a(g390 +Vst +p4704 +tp4705 +a(g875 +g962 +tp4706 +a(g367 +g1134 +tp4707 +a(g875 +g962 +tp4708 +a(g390 +g1844 +tp4709 +a(g875 +V\u000a +p4710 +tp4711 +a(g390 +VValue +p4712 +tp4713 +a(g367 +g1263 +tp4714 +a(g390 +VRuntimeError +p4715 +tp4716 +a(g875 +g962 +tp4717 +a(g390 +g1459 +tp4718 +a(g875 +g962 +tp4719 +a(g367 +g1134 +tp4720 +a(g875 +g962 +tp4721 +a(g390 +Vevaluate +p4722 +tp4723 +a(g660 +g1116 +tp4724 +a(g390 +g4642 +tp4725 +a(g660 +g966 +tp4726 +a(g390 +Vrhs +p4727 +tp4728 +a(g660 +g1169 +tp4729 +a(g390 +Venv +p4730 +tp4731 +a(g660 +g1123 +tp4732 +a(g875 +V\u000a +p4733 +tp4734 +a(g842 +Vif +p4735 +tp4736 +a(g875 +g962 +tp4737 +a(g390 +g1459 +tp4738 +a(g875 +g962 +tp4739 +a(g842 +Vis +p4740 +tp4741 +a(g875 +g962 +tp4742 +a(g390 +VRuntimeError +p4743 +tp4744 +a(g367 +g1509 +tp4745 +a(g875 +V\u000a +p4746 +tp4747 +a(g390 +Vsys +p4748 +tp4749 +a(g660 +g966 +tp4750 +a(g390 +Vout +p4751 +tp4752 +a(g660 +g966 +tp4753 +a(g390 +Vprintln +p4754 +tp4755 +a(g660 +g1116 +tp4756 +a(g14 +g1673 +tp4757 +a(g14 +Vruntime error: +p4758 +tp4759 +a(g14 +g1673 +tp4760 +a(g875 +g962 +tp4761 +a(g367 +g1707 +tp4762 +a(g367 +g1707 +tp4763 +a(g875 +g962 +tp4764 +a(g390 +g1459 +tp4765 +a(g660 +g966 +tp4766 +a(g390 +Vmsg +p4767 +tp4768 +a(g660 +g1123 +tp4769 +a(g875 +V \u000a +p4770 +tp4771 +a(g842 +Vreturn +p4772 +tp4773 +a(g875 +V\u000a +p4774 +tp4775 +a(g842 +Vif +p4776 +tp4777 +a(g875 +g962 +tp4778 +a(g390 +g4642 +tp4779 +a(g875 +g962 +tp4780 +a(g842 +Vis +p4781 +tp4782 +a(g875 +g962 +tp4783 +a(g390 +VSet +p4784 +tp4785 +a(g367 +g1509 +tp4786 +a(g875 +V\u000a +p4787 +tp4788 +a(g390 +Venv +p4789 +tp4790 +a(g660 +g1293 +tp4791 +a(g390 +g4642 +tp4792 +a(g660 +g966 +tp4793 +a(g390 +Vlhs +p4794 +tp4795 +a(g660 +g1295 +tp4796 +a(g875 +g962 +tp4797 +a(g367 +g1134 +tp4798 +a(g875 +g962 +tp4799 +a(g390 +g1459 +tp4800 +a(g875 +V\u000a +p4801 +tp4802 +a(g842 +Velse +p4803 +tp4804 +a(g367 +g1509 +tp4805 +a(g875 +V\u000a +p4806 +tp4807 +a(g390 +Vsys +p4808 +tp4809 +a(g660 +g966 +tp4810 +a(g390 +Vout +p4811 +tp4812 +a(g660 +g966 +tp4813 +a(g390 +Vprintln +p4814 +tp4815 +a(g660 +g1116 +tp4816 +a(g390 +g1844 +tp4817 +a(g660 +g1123 +tp4818 +a(g875 +V\u000a +p4819 +tp4820 +a(g390 +Vst +p4821 +tp4822 +a(g875 +g962 +tp4823 +a(g367 +g1134 +tp4824 +a(g875 +g962 +tp4825 +a(g390 +VparseWhiteSpace +p4826 +tp4827 +a(g660 +g1116 +tp4828 +a(g390 +Vst +p4829 +tp4830 +a(g660 +g1123 +tp4831 +a(g875 +V\u000a \u000a +p4832 +tp4833 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.x10 b/tests/examplefiles/output/example.x10 new file mode 100644 index 0000000..14d9672 --- /dev/null +++ b/tests/examplefiles/output/example.x10 @@ -0,0 +1,2606 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/** Example file for the X10 programming langauge (http://x10-lang.org).\u000a */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g745 +Vclass +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g827 +VE +p964 +tp965 +a(g827 +Vx +p966 +tp967 +a(g827 +Va +p968 +tp969 +a(g827 +Vm +p970 +tp971 +a(g827 +Vp +p972 +tp973 +a(g827 +Vl +p974 +tp975 +a(g827 +Ve +p976 +tp977 +a(g827 +g962 +tp978 +a(g827 +V{ +p979 +tp980 +a(g827 +V\u000a +p981 +tp982 +a(g827 +V\u000a +p983 +tp984 +a(g827 +V +p985 +tp986 +a(g810 +Vpublic +p987 +tp988 +a(g827 +g962 +tp989 +a(g810 +Vstatic +p990 +tp991 +a(g827 +g962 +tp992 +a(g745 +Vdef +p993 +tp994 +a(g827 +g962 +tp995 +a(g827 +g970 +tp996 +a(g827 +g968 +tp997 +a(g827 +Vi +p998 +tp999 +a(g827 +Vn +p1000 +tp1001 +a(g827 +V( +p1002 +tp1003 +a(g827 +VR +p1004 +tp1005 +a(g827 +g968 +tp1006 +a(g827 +g998 +tp1007 +a(g827 +g974 +tp1008 +a(g827 +V[ +p1009 +tp1010 +a(g827 +VS +p1011 +tp1012 +a(g827 +Vt +p1013 +tp1014 +a(g827 +Vr +p1015 +tp1016 +a(g827 +g998 +tp1017 +a(g827 +g1000 +tp1018 +a(g827 +Vg +p1019 +tp1020 +a(g827 +V] +p1021 +tp1022 +a(g827 +V) +p1023 +tp1024 +a(g827 +g962 +tp1025 +a(g827 +g979 +tp1026 +a(g827 +V\u000a +p1027 +tp1028 +a(g827 +V +p1029 +tp1030 +a(g827 +VC +p1031 +tp1032 +a(g827 +Vo +p1033 +tp1034 +a(g827 +g1000 +tp1035 +a(g827 +Vs +p1036 +tp1037 +a(g827 +g1033 +tp1038 +a(g827 +g974 +tp1039 +a(g827 +g976 +tp1040 +a(g827 +V. +p1041 +tp1042 +a(g827 +VO +p1043 +tp1044 +a(g827 +VU +p1045 +tp1046 +a(g827 +VT +p1047 +tp1048 +a(g827 +g1041 +tp1049 +a(g827 +g972 +tp1050 +a(g827 +g1015 +tp1051 +a(g827 +g998 +tp1052 +a(g827 +g1000 +tp1053 +a(g827 +g1013 +tp1054 +a(g827 +g974 +tp1055 +a(g827 +g1000 +tp1056 +a(g827 +g1002 +tp1057 +a(g89 +V"Hello World!" +p1058 +tp1059 +a(g827 +g1023 +tp1060 +a(g827 +V; +p1061 +tp1062 +a(g827 +g962 +tp1063 +a(g906 +V// say hello.\u000a +p1064 +tp1065 +a(g827 +V +p1066 +tp1067 +a(g827 +V} +p1068 +tp1069 +a(g827 +V\u000a +p1070 +tp1071 +a(g827 +V\u000a +p1072 +tp1073 +a(g827 +g1068 +tp1074 +a(g827 +V\u000a +p1075 +tp1076 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.xhtml b/tests/examplefiles/output/example.xhtml new file mode 100644 index 0000000..df40f43 --- /dev/null +++ b/tests/examplefiles/output/example.xhtml @@ -0,0 +1,10986 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV< +p956 +tp957 +a(g545 +Vhtml +p958 +tp959 +a(g7 +V> +p960 +tp961 +a(g892 +V\u000a +p962 +tp963 +a(g7 +g956 +tp964 +a(g545 +Vhead +p965 +tp966 +a(g7 +g960 +tp967 +a(g892 +V\u000a +p968 +tp969 +a(g7 +g956 +tp970 +a(g545 +Vscript +p971 +tp972 +a(g892 +V +p973 +tp974 +a(g654 +Vlang +p975 +tp976 +a(g400 +V= +p977 +tp978 +a(g76 +V"javascript" +p979 +tp980 +a(g892 +g973 +tp981 +a(g654 +Vtype +p982 +tp983 +a(g400 +g977 +tp984 +a(g76 +V"text/javascript" +p985 +tp986 +a(g7 +g960 +tp987 +a(g892 +V +p988 +tp989 +a(g892 +V\u000a +p990 +tp991 +a(g729 +V// \u000a +p1092 +tp1093 +a(g892 +g988 +tp1094 +a(g892 +V +p1095 +tp1096 +a(g7 +g956 +tp1097 +a(g7 +V/ +p1098 +tp1099 +a(g545 +Vscript +p1100 +tp1101 +a(g7 +g960 +tp1102 +a(g892 +V\u000a +p1103 +tp1104 +a(g7 +g956 +tp1105 +a(g545 +Vtitle +p1106 +tp1107 +a(g7 +g960 +tp1108 +a(g892 +VError +p1109 +tp1110 +a(g7 +g956 +tp1111 +a(g7 +g1098 +tp1112 +a(g545 +Vtitle +p1113 +tp1114 +a(g7 +g960 +tp1115 +a(g892 +V\u000a +p1116 +tp1117 +a(g7 +g956 +tp1118 +a(g545 +Vstyle +p1119 +tp1120 +a(g7 +g960 +tp1121 +a(g892 +V\u000a +p1122 +tp1123 +a(g7 +g1018 +tp1124 +a(g616 +Vpath +p1125 +tp1126 +a(g892 +g973 +tp1127 +a(g7 +g1008 +tp1128 +a(g892 +V \u000a +p1129 +tp1130 +a(g810 +Vpadding +p1131 +tp1132 +a(g7 +V: +p1133 +tp1134 +a(g892 +g973 +tp1135 +a(g22 +V5 +p1136 +tp1137 +a(g814 +Vpx +p1138 +tp1139 +a(g7 +g1049 +tp1140 +a(g892 +V\u000a +p1141 +tp1142 +a(g810 +Vfont-size +p1143 +tp1144 +a(g7 +g1133 +tp1145 +a(g892 +g973 +tp1146 +a(g22 +V140 +p1147 +tp1148 +a(g814 +V% +p1149 +tp1150 +a(g7 +g1049 +tp1151 +a(g892 +V\u000a +p1152 +tp1153 +a(g810 +Vbackground +p1154 +tp1155 +a(g7 +g1133 +tp1156 +a(g892 +g973 +tp1157 +a(g285 +V#ddd +p1158 +tp1159 +a(g7 +g1049 +tp1160 +a(g892 +V\u000a +p1161 +tp1162 +a(g7 +g1053 +tp1163 +a(g892 +V\u000a +p1164 +tp1165 +a(g7 +g1018 +tp1166 +a(g616 +Verror +p1167 +tp1168 +a(g892 +g973 +tp1169 +a(g7 +g1008 +tp1170 +a(g892 +V \u000a +p1171 +tp1172 +a(g810 +Vpadding +p1173 +tp1174 +a(g7 +g1133 +tp1175 +a(g892 +g973 +tp1176 +a(g22 +g1136 +tp1177 +a(g814 +Vpx +p1178 +tp1179 +a(g7 +g1049 +tp1180 +a(g892 +V\u000a +p1181 +tp1182 +a(g810 +Vpadding-top +p1183 +tp1184 +a(g7 +g1133 +tp1185 +a(g892 +g973 +tp1186 +a(g22 +V15 +p1187 +tp1188 +a(g814 +Vpx +p1189 +tp1190 +a(g7 +g1049 +tp1191 +a(g892 +V\u000a +p1192 +tp1193 +a(g810 +Vfont-size +p1194 +tp1195 +a(g7 +g1133 +tp1196 +a(g892 +g973 +tp1197 +a(g22 +V140 +p1198 +tp1199 +a(g814 +g1149 +tp1200 +a(g7 +g1049 +tp1201 +a(g892 +V\u000a +p1202 +tp1203 +a(g810 +Vcolor +p1204 +tp1205 +a(g7 +g1133 +tp1206 +a(g892 +g973 +tp1207 +a(g285 +V#f00 +p1208 +tp1209 +a(g7 +g1049 +tp1210 +a(g892 +V\u000a +p1211 +tp1212 +a(g7 +g1053 +tp1213 +a(g892 +V\u000a +p1214 +tp1215 +a(g7 +g1018 +tp1216 +a(g616 +Vload +p1217 +tp1218 +a(g892 +g973 +tp1219 +a(g7 +g1008 +tp1220 +a(g892 +V\u000a +p1221 +tp1222 +a(g810 +Vpadding +p1223 +tp1224 +a(g7 +g1133 +tp1225 +a(g892 +g973 +tp1226 +a(g22 +g1136 +tp1227 +a(g814 +Vpx +p1228 +tp1229 +a(g7 +g1049 +tp1230 +a(g892 +V\u000a +p1231 +tp1232 +a(g810 +Vcolor +p1233 +tp1234 +a(g7 +g1133 +tp1235 +a(g892 +g973 +tp1236 +a(g285 +V#555 +p1237 +tp1238 +a(g7 +g1049 +tp1239 +a(g892 +V\u000a +p1240 +tp1241 +a(g7 +g1053 +tp1242 +a(g892 +V\u000a +p1243 +tp1244 +a(g7 +g1018 +tp1245 +a(g616 +Vsource +p1246 +tp1247 +a(g892 +g973 +tp1248 +a(g7 +g1008 +tp1249 +a(g892 +V\u000a +p1250 +tp1251 +a(g810 +Vborder +p1252 +tp1253 +a(g7 +g1133 +tp1254 +a(g892 +g973 +tp1255 +a(g22 +V1 +p1256 +tp1257 +a(g814 +Vpx +p1258 +tp1259 +a(g892 +g973 +tp1260 +a(g829 +Vsolid +p1261 +tp1262 +a(g892 +g973 +tp1263 +a(g285 +V#ccc +p1264 +tp1265 +a(g7 +g1049 +tp1266 +a(g892 +V \u000a +p1267 +tp1268 +a(g810 +Vpadding +p1269 +tp1270 +a(g7 +g1133 +tp1271 +a(g892 +g973 +tp1272 +a(g22 +V10 +p1273 +tp1274 +a(g814 +Vpx +p1275 +tp1276 +a(g7 +g1049 +tp1277 +a(g892 +V\u000a +p1278 +tp1279 +a(g810 +Vmargin-top +p1280 +tp1281 +a(g7 +g1133 +tp1282 +a(g892 +g973 +tp1283 +a(g22 +V10 +p1284 +tp1285 +a(g814 +Vpx +p1286 +tp1287 +a(g7 +g1049 +tp1288 +a(g892 +g973 +tp1289 +a(g810 +Vmargin-bottom +p1290 +tp1291 +a(g7 +g1133 +tp1292 +a(g892 +g973 +tp1293 +a(g22 +V10 +p1294 +tp1295 +a(g814 +Vpx +p1296 +tp1297 +a(g7 +g1049 +tp1298 +a(g892 +V\u000a +p1299 +tp1300 +a(g7 +g1053 +tp1301 +a(g892 +V\u000a +p1302 +tp1303 +a(g545 +Vh2 +p1304 +tp1305 +a(g892 +g973 +tp1306 +a(g7 +g1008 +tp1307 +a(g892 +V\u000a +p1308 +tp1309 +a(g810 +Vpadding-left +p1310 +tp1311 +a(g7 +g1133 +tp1312 +a(g892 +g973 +tp1313 +a(g22 +g1136 +tp1314 +a(g814 +Vpx +p1315 +tp1316 +a(g7 +g1049 +tp1317 +a(g892 +V\u000a +p1318 +tp1319 +a(g810 +Vbackground +p1320 +tp1321 +a(g7 +g1133 +tp1322 +a(g892 +g973 +tp1323 +a(g285 +V#eee +p1324 +tp1325 +a(g7 +g1049 +tp1326 +a(g892 +V\u000a +p1327 +tp1328 +a(g7 +g1053 +tp1329 +a(g892 +V\u000a +p1330 +tp1331 +a(g7 +g956 +tp1332 +a(g7 +g1098 +tp1333 +a(g545 +Vstyle +p1334 +tp1335 +a(g7 +g960 +tp1336 +a(g892 +V\u000a +p1337 +tp1338 +a(g7 +g956 +tp1339 +a(g7 +g1098 +tp1340 +a(g545 +Vhead +p1341 +tp1342 +a(g7 +g960 +tp1343 +a(g892 +V\u000a +p1344 +tp1345 +a(g7 +g956 +tp1346 +a(g545 +Vbody +p1347 +tp1348 +a(g7 +g960 +tp1349 +a(g892 +V\u000a +p1350 +tp1351 +a(g7 +g956 +tp1352 +a(g545 +Vh1 +p1353 +tp1354 +a(g7 +g960 +tp1355 +a(g892 +VError +p1356 +tp1357 +a(g7 +g956 +tp1358 +a(g7 +g1098 +tp1359 +a(g545 +Vh1 +p1360 +tp1361 +a(g7 +g960 +tp1362 +a(g892 +V\u000a\u000a +p1363 +tp1364 +a(g722 +V +p1365 +tp1366 +a(g892 +V\u000a +p1367 +tp1368 +a(g722 +V +p1369 +tp1370 +a(g892 +V\u000a +p1371 +tp1372 +a(g7 +g956 +tp1373 +a(g545 +Vdiv +p1374 +tp1375 +a(g892 +g973 +tp1376 +a(g654 +Vclass +p1377 +tp1378 +a(g400 +g977 +tp1379 +a(g76 +V"path" +p1380 +tp1381 +a(g7 +g960 +tp1382 +a(g7 +g956 +tp1383 +a(g545 +Vstrong +p1384 +tp1385 +a(g7 +g960 +tp1386 +a(g892 +VPath: +p1387 +tp1388 +a(g7 +g956 +tp1389 +a(g7 +g1098 +tp1390 +a(g545 +Vstrong +p1391 +tp1392 +a(g7 +g960 +tp1393 +a(g892 +V #{path} +p1394 +tp1395 +a(g7 +g956 +tp1396 +a(g7 +g1098 +tp1397 +a(g545 +Vdiv +p1398 +tp1399 +a(g7 +g960 +tp1400 +a(g892 +V\u000a +p1401 +tp1402 +a(g7 +g956 +tp1403 +a(g545 +Vdiv +p1404 +tp1405 +a(g892 +g973 +tp1406 +a(g654 +Vclass +p1407 +tp1408 +a(g400 +g977 +tp1409 +a(g76 +V"error" +p1410 +tp1411 +a(g7 +g960 +tp1412 +a(g7 +g956 +tp1413 +a(g545 +Vstrong +p1414 +tp1415 +a(g7 +g960 +tp1416 +a(g892 +V#{CGI.escapeHTML(error.to_s)} +p1417 +tp1418 +a(g7 +g956 +tp1419 +a(g7 +g1098 +tp1420 +a(g545 +Vstrong +p1421 +tp1422 +a(g7 +g960 +tp1423 +a(g7 +g956 +tp1424 +a(g7 +g1098 +tp1425 +a(g545 +Vdiv +p1426 +tp1427 +a(g7 +g960 +tp1428 +a(g892 +V\u000a +p1429 +tp1430 +a(g7 +g956 +tp1431 +a(g545 +Vdiv +p1432 +tp1433 +a(g892 +g973 +tp1434 +a(g654 +Vclass +p1435 +tp1436 +a(g400 +g977 +tp1437 +a(g76 +V"load" +p1438 +tp1439 +a(g7 +g960 +tp1440 +a(g892 +V\u000a +p1441 +tp1442 +a(g7 +g956 +tp1443 +a(g545 +Vstrong +p1444 +tp1445 +a(g7 +g960 +tp1446 +a(g7 +g956 +tp1447 +a(g545 +Va +p1448 +tp1449 +a(g892 +g973 +tp1450 +a(g654 +Vhref +p1451 +tp1452 +a(g400 +g977 +tp1453 +a(g76 +V"#{request.uri}" +p1454 +tp1455 +a(g7 +g960 +tp1456 +a(g892 +VReload +p1457 +tp1458 +a(g7 +g956 +tp1459 +a(g7 +g1098 +tp1460 +a(g545 +g1448 +tp1461 +a(g7 +g960 +tp1462 +a(g7 +g956 +tp1463 +a(g7 +g1098 +tp1464 +a(g545 +Vstrong +p1465 +tp1466 +a(g7 +g960 +tp1467 +a(g892 +V this page. \u000a Go to the +p1468 +tp1469 +a(g7 +g956 +tp1470 +a(g545 +Vstrong +p1471 +tp1472 +a(g7 +g960 +tp1473 +a(g7 +g956 +tp1474 +a(g545 +g1448 +tp1475 +a(g892 +g973 +tp1476 +a(g654 +Vhref +p1477 +tp1478 +a(g400 +g977 +tp1479 +a(g76 +V"#{request.referer}" +p1480 +tp1481 +a(g7 +g960 +tp1482 +a(g892 +Vreferer +p1483 +tp1484 +a(g7 +g956 +tp1485 +a(g7 +g1098 +tp1486 +a(g545 +g1448 +tp1487 +a(g7 +g960 +tp1488 +a(g7 +g956 +tp1489 +a(g7 +g1098 +tp1490 +a(g545 +Vstrong +p1491 +tp1492 +a(g7 +g960 +tp1493 +a(g892 +V or the +p1494 +tp1495 +a(g7 +g956 +tp1496 +a(g545 +Vstrong +p1497 +tp1498 +a(g7 +g960 +tp1499 +a(g7 +g956 +tp1500 +a(g545 +g1448 +tp1501 +a(g892 +g973 +tp1502 +a(g654 +Vhref +p1503 +tp1504 +a(g400 +g977 +tp1505 +a(g76 +V"/" +p1506 +tp1507 +a(g7 +g960 +tp1508 +a(g892 +Vhome page +p1509 +tp1510 +a(g7 +g956 +tp1511 +a(g7 +g1098 +tp1512 +a(g545 +g1448 +tp1513 +a(g7 +g960 +tp1514 +a(g7 +g956 +tp1515 +a(g7 +g1098 +tp1516 +a(g545 +Vstrong +p1517 +tp1518 +a(g7 +g960 +tp1519 +a(g892 +V.\u000a +p1520 +tp1521 +a(g7 +g956 +tp1522 +a(g7 +g1098 +tp1523 +a(g545 +Vdiv +p1524 +tp1525 +a(g7 +g960 +tp1526 +a(g892 +V\u000a +p1527 +tp1528 +a(g7 +g956 +tp1529 +a(g545 +Vdiv +p1530 +tp1531 +a(g892 +g973 +tp1532 +a(g654 +Vclass +p1533 +tp1534 +a(g400 +g977 +tp1535 +a(g76 +V"source" +p1536 +tp1537 +a(g7 +g960 +tp1538 +a(g892 +V\u000a +p1539 +tp1540 +a(g722 +V +p1541 +tp1542 +a(g892 +V\u000a In file +p1543 +tp1544 +a(g7 +g956 +tp1545 +a(g545 +Vb +p1546 +tp1547 +a(g7 +g960 +tp1548 +a(g892 +V'#{error.hot_file}' +p1549 +tp1550 +a(g7 +g956 +tp1551 +a(g7 +g1098 +tp1552 +a(g545 +g1546 +tp1553 +a(g7 +g960 +tp1554 +a(g892 +V #{error.hot_file =~ /\u005c.xhtml$/ ? '(line numbering is aproximate due to template transformation)' : nil}:\u000a +p1555 +tp1556 +a(g7 +g956 +tp1557 +a(g545 +Vbr +p1558 +tp1559 +a(g892 +g973 +tp1560 +a(g7 +g1098 +tp1561 +a(g7 +g960 +tp1562 +a(g7 +g956 +tp1563 +a(g545 +Vbr +p1564 +tp1565 +a(g892 +g973 +tp1566 +a(g7 +g1098 +tp1567 +a(g7 +g960 +tp1568 +a(g892 +V\u000a +p1569 +tp1570 +a(g722 +V +p1571 +tp1572 +a(g892 +V\u000a +p1573 +tp1574 +a(g7 +g956 +tp1575 +a(g545 +Vdiv +p1576 +tp1577 +a(g892 +g973 +tp1578 +a(g654 +Vstyle +p1579 +tp1580 +a(g400 +g977 +tp1581 +a(g76 +V"background: #eee" +p1582 +tp1583 +a(g7 +g960 +tp1584 +a(g892 +V#{line} +p1585 +tp1586 +a(g7 +g956 +tp1587 +a(g7 +g1098 +tp1588 +a(g545 +Vdiv +p1589 +tp1590 +a(g7 +g960 +tp1591 +a(g892 +V\u000a +p1592 +tp1593 +a(g722 +V +p1594 +tp1595 +a(g892 +V\u000a +p1596 +tp1597 +a(g7 +g956 +tp1598 +a(g545 +Vdiv +p1599 +tp1600 +a(g7 +g960 +tp1601 +a(g892 +V#{line} +p1602 +tp1603 +a(g7 +g956 +tp1604 +a(g7 +g1098 +tp1605 +a(g545 +Vdiv +p1606 +tp1607 +a(g7 +g960 +tp1608 +a(g892 +V\u000a +p1609 +tp1610 +a(g722 +V +p1611 +tp1612 +a(g892 +V\u000a +p1613 +tp1614 +a(g7 +g956 +tp1615 +a(g7 +g1098 +tp1616 +a(g545 +Vdiv +p1617 +tp1618 +a(g7 +g960 +tp1619 +a(g892 +V\u000a +p1620 +tp1621 +a(g7 +g956 +tp1622 +a(g545 +Vh2 +p1623 +tp1624 +a(g7 +g960 +tp1625 +a(g7 +g956 +tp1626 +a(g545 +g1448 +tp1627 +a(g892 +g973 +tp1628 +a(g654 +Vhref +p1629 +tp1630 +a(g400 +g977 +tp1631 +a(g76 +V"#" +p1632 +tp1633 +a(g892 +g973 +tp1634 +a(g654 +Vonclick +p1635 +tp1636 +a(g400 +g977 +tp1637 +a(g76 +V"return toggleVisible(document.getElementById('trace'));" +p1638 +tp1639 +a(g7 +g960 +tp1640 +a(g892 +VStack Trace +p1641 +tp1642 +a(g7 +g956 +tp1643 +a(g7 +g1098 +tp1644 +a(g545 +g1448 +tp1645 +a(g7 +g960 +tp1646 +a(g7 +g956 +tp1647 +a(g7 +g1098 +tp1648 +a(g545 +Vh2 +p1649 +tp1650 +a(g7 +g960 +tp1651 +a(g892 +V\u000a +p1652 +tp1653 +a(g7 +g956 +tp1654 +a(g545 +Vdiv +p1655 +tp1656 +a(g892 +g973 +tp1657 +a(g654 +Vid +p1658 +tp1659 +a(g400 +g977 +tp1660 +a(g76 +V"trace" +p1661 +tp1662 +a(g892 +g973 +tp1663 +a(g654 +Vstyle +p1664 +tp1665 +a(g400 +g977 +tp1666 +a(g76 +V"display: none;" +p1667 +tp1668 +a(g7 +g960 +tp1669 +a(g892 +V\u000a +p1670 +tp1671 +a(g722 +V +p1672 +tp1673 +a(g892 +V\u000a +p1674 +tp1675 +a(g7 +g956 +tp1676 +a(g545 +Vdiv +p1677 +tp1678 +a(g7 +g960 +tp1679 +a(g7 +g956 +tp1680 +a(g545 +g1448 +tp1681 +a(g892 +g973 +tp1682 +a(g654 +Vhref +p1683 +tp1684 +a(g400 +g977 +tp1685 +a(g76 +V"#" +p1686 +tp1687 +a(g892 +g973 +tp1688 +a(g654 +Vonclick +p1689 +tp1690 +a(g400 +g977 +tp1691 +a(g76 +V"return toggleVisible(document.getElementById('trace_#{step_idx}'));" +p1692 +tp1693 +a(g7 +g960 +tp1694 +a(g892 +V#{sanitize(step.first)} +p1695 +tp1696 +a(g7 +g956 +tp1697 +a(g7 +g1098 +tp1698 +a(g545 +g1448 +tp1699 +a(g7 +g960 +tp1700 +a(g7 +g956 +tp1701 +a(g7 +g1098 +tp1702 +a(g545 +Vdiv +p1703 +tp1704 +a(g7 +g960 +tp1705 +a(g892 +V\u000a +p1706 +tp1707 +a(g7 +g956 +tp1708 +a(g545 +Vdiv +p1709 +tp1710 +a(g892 +g973 +tp1711 +a(g654 +Vclass +p1712 +tp1713 +a(g400 +g977 +tp1714 +a(g76 +V"source" +p1715 +tp1716 +a(g892 +g973 +tp1717 +a(g654 +Vid +p1718 +tp1719 +a(g400 +g977 +tp1720 +a(g76 +V"trace_#{step_idx}" +p1721 +tp1722 +a(g892 +g973 +tp1723 +a(g654 +Vstyle +p1724 +tp1725 +a(g400 +g977 +tp1726 +a(g76 +V"display: none;" +p1727 +tp1728 +a(g7 +g960 +tp1729 +a(g892 +V\u000a +p1730 +tp1731 +a(g722 +V +p1732 +tp1733 +a(g892 +V\u000a +p1734 +tp1735 +a(g7 +g956 +tp1736 +a(g545 +Vdiv +p1737 +tp1738 +a(g892 +g973 +tp1739 +a(g654 +Vstyle +p1740 +tp1741 +a(g400 +g977 +tp1742 +a(g76 +V"background: #eee" +p1743 +tp1744 +a(g7 +g960 +tp1745 +a(g892 +V#{line} +p1746 +tp1747 +a(g7 +g956 +tp1748 +a(g7 +g1098 +tp1749 +a(g545 +Vdiv +p1750 +tp1751 +a(g7 +g960 +tp1752 +a(g892 +V\u000a +p1753 +tp1754 +a(g722 +V +p1755 +tp1756 +a(g892 +V\u000a +p1757 +tp1758 +a(g7 +g956 +tp1759 +a(g545 +Vdiv +p1760 +tp1761 +a(g7 +g960 +tp1762 +a(g892 +V#{line} +p1763 +tp1764 +a(g7 +g956 +tp1765 +a(g7 +g1098 +tp1766 +a(g545 +Vdiv +p1767 +tp1768 +a(g7 +g960 +tp1769 +a(g892 +V\u000a +p1770 +tp1771 +a(g722 +V +p1772 +tp1773 +a(g892 +V\u000a +p1774 +tp1775 +a(g7 +g956 +tp1776 +a(g7 +g1098 +tp1777 +a(g545 +Vdiv +p1778 +tp1779 +a(g7 +g960 +tp1780 +a(g892 +V\u000a\u000a \u000a +p1781 +tp1782 +a(g722 +V +p1783 +tp1784 +a(g892 +V\u000a +p1785 +tp1786 +a(g7 +g956 +tp1787 +a(g7 +g1098 +tp1788 +a(g545 +Vdiv +p1789 +tp1790 +a(g7 +g960 +tp1791 +a(g892 +V\u000a +p1792 +tp1793 +a(g722 +V +p1794 +tp1795 +a(g892 +V\u000a\u000a +p1796 +tp1797 +a(g7 +g956 +tp1798 +a(g545 +Vh2 +p1799 +tp1800 +a(g7 +g960 +tp1801 +a(g7 +g956 +tp1802 +a(g545 +g1448 +tp1803 +a(g892 +g973 +tp1804 +a(g654 +Vhref +p1805 +tp1806 +a(g400 +g977 +tp1807 +a(g76 +V"#" +p1808 +tp1809 +a(g892 +g973 +tp1810 +a(g654 +Vonclick +p1811 +tp1812 +a(g400 +g977 +tp1813 +a(g76 +V"document.getElementById('request').style.display = 'block'; return false" +p1814 +tp1815 +a(g7 +g960 +tp1816 +a(g892 +VRequest +p1817 +tp1818 +a(g7 +g956 +tp1819 +a(g7 +g1098 +tp1820 +a(g545 +g1448 +tp1821 +a(g7 +g960 +tp1822 +a(g7 +g956 +tp1823 +a(g7 +g1098 +tp1824 +a(g545 +Vh2 +p1825 +tp1826 +a(g7 +g960 +tp1827 +a(g892 +V\u000a +p1828 +tp1829 +a(g7 +g956 +tp1830 +a(g545 +Vdiv +p1831 +tp1832 +a(g892 +g973 +tp1833 +a(g654 +Vid +p1834 +tp1835 +a(g400 +g977 +tp1836 +a(g76 +V"request" +p1837 +tp1838 +a(g892 +g973 +tp1839 +a(g654 +Vstyle +p1840 +tp1841 +a(g400 +g977 +tp1842 +a(g76 +V"display: none" +p1843 +tp1844 +a(g7 +g960 +tp1845 +a(g892 +V\u000a +p1846 +tp1847 +a(g7 +g956 +tp1848 +a(g545 +Vp +p1849 +tp1850 +a(g7 +g960 +tp1851 +a(g7 +g956 +tp1852 +a(g545 +Vstrong +p1853 +tp1854 +a(g7 +g960 +tp1855 +a(g892 +VParameters: +p1856 +tp1857 +a(g7 +g956 +tp1858 +a(g7 +g1098 +tp1859 +a(g545 +Vstrong +p1860 +tp1861 +a(g7 +g960 +tp1862 +a(g892 +V #{request.params.reject{ |k,v| k == :__RELOADED__ }.inspect} +p1863 +tp1864 +a(g7 +g956 +tp1865 +a(g7 +g1098 +tp1866 +a(g545 +g1849 +tp1867 +a(g7 +g960 +tp1868 +a(g892 +V \u000a +p1869 +tp1870 +a(g7 +g956 +tp1871 +a(g545 +g1849 +tp1872 +a(g7 +g960 +tp1873 +a(g7 +g956 +tp1874 +a(g545 +Vstrong +p1875 +tp1876 +a(g7 +g960 +tp1877 +a(g892 +VCookies: +p1878 +tp1879 +a(g7 +g956 +tp1880 +a(g7 +g1098 +tp1881 +a(g545 +Vstrong +p1882 +tp1883 +a(g7 +g960 +tp1884 +a(g892 +V #{request.cookies.inspect} +p1885 +tp1886 +a(g7 +g956 +tp1887 +a(g7 +g1098 +tp1888 +a(g545 +g1849 +tp1889 +a(g7 +g960 +tp1890 +a(g892 +V \u000a +p1891 +tp1892 +a(g7 +g956 +tp1893 +a(g545 +g1849 +tp1894 +a(g7 +g960 +tp1895 +a(g7 +g956 +tp1896 +a(g545 +Vstrong +p1897 +tp1898 +a(g7 +g960 +tp1899 +a(g892 +VHeaders: +p1900 +tp1901 +a(g7 +g956 +tp1902 +a(g7 +g1098 +tp1903 +a(g545 +Vstrong +p1904 +tp1905 +a(g7 +g960 +tp1906 +a(g7 +g956 +tp1907 +a(g545 +Vbr +p1908 +tp1909 +a(g892 +g973 +tp1910 +a(g7 +g1098 +tp1911 +a(g7 +g960 +tp1912 +a(g892 +V#{request.headers.collect { |k, v| "#{k} => #{v}" }.join(' +p1913 +tp1914 +a(g7 +g956 +tp1915 +a(g545 +Vbr +p1916 +tp1917 +a(g892 +g973 +tp1918 +a(g7 +g1098 +tp1919 +a(g7 +g960 +tp1920 +a(g892 +V')} +p1921 +tp1922 +a(g7 +g956 +tp1923 +a(g7 +g1098 +tp1924 +a(g545 +g1849 +tp1925 +a(g7 +g960 +tp1926 +a(g892 +V \u000a +p1927 +tp1928 +a(g7 +g956 +tp1929 +a(g7 +g1098 +tp1930 +a(g545 +Vdiv +p1931 +tp1932 +a(g7 +g960 +tp1933 +a(g892 +V\u000a\u000a +p1934 +tp1935 +a(g7 +g956 +tp1936 +a(g545 +Vh2 +p1937 +tp1938 +a(g7 +g960 +tp1939 +a(g7 +g956 +tp1940 +a(g545 +g1448 +tp1941 +a(g892 +g973 +tp1942 +a(g654 +Vhref +p1943 +tp1944 +a(g400 +g977 +tp1945 +a(g76 +V"#" +p1946 +tp1947 +a(g892 +g973 +tp1948 +a(g654 +Vonclick +p1949 +tp1950 +a(g400 +g977 +tp1951 +a(g76 +V"document.getElementById('response').style.display = 'block'; return false" +p1952 +tp1953 +a(g7 +g960 +tp1954 +a(g892 +VResponse +p1955 +tp1956 +a(g7 +g956 +tp1957 +a(g7 +g1098 +tp1958 +a(g545 +g1448 +tp1959 +a(g7 +g960 +tp1960 +a(g7 +g956 +tp1961 +a(g7 +g1098 +tp1962 +a(g545 +Vh2 +p1963 +tp1964 +a(g7 +g960 +tp1965 +a(g892 +V\u000a +p1966 +tp1967 +a(g7 +g956 +tp1968 +a(g545 +Vdiv +p1969 +tp1970 +a(g892 +g973 +tp1971 +a(g654 +Vid +p1972 +tp1973 +a(g400 +g977 +tp1974 +a(g76 +V"response" +p1975 +tp1976 +a(g892 +g973 +tp1977 +a(g654 +Vstyle +p1978 +tp1979 +a(g400 +g977 +tp1980 +a(g76 +V"display: none" +p1981 +tp1982 +a(g7 +g960 +tp1983 +a(g892 +V\u000a +p1984 +tp1985 +a(g7 +g956 +tp1986 +a(g545 +g1849 +tp1987 +a(g7 +g960 +tp1988 +a(g7 +g956 +tp1989 +a(g545 +Vstrong +p1990 +tp1991 +a(g7 +g960 +tp1992 +a(g892 +VHeaders: +p1993 +tp1994 +a(g7 +g956 +tp1995 +a(g7 +g1098 +tp1996 +a(g545 +Vstrong +p1997 +tp1998 +a(g7 +g960 +tp1999 +a(g892 +V #{request.response_headers.inspect} +p2000 +tp2001 +a(g7 +g956 +tp2002 +a(g7 +g1098 +tp2003 +a(g545 +g1849 +tp2004 +a(g7 +g960 +tp2005 +a(g892 +V \u000a +p2006 +tp2007 +a(g7 +g956 +tp2008 +a(g545 +g1849 +tp2009 +a(g7 +g960 +tp2010 +a(g7 +g956 +tp2011 +a(g545 +Vstrong +p2012 +tp2013 +a(g7 +g960 +tp2014 +a(g892 +VCookies: +p2015 +tp2016 +a(g7 +g956 +tp2017 +a(g7 +g1098 +tp2018 +a(g545 +Vstrong +p2019 +tp2020 +a(g7 +g960 +tp2021 +a(g892 +V #{request.response_cookies.inspect} +p2022 +tp2023 +a(g7 +g956 +tp2024 +a(g7 +g1098 +tp2025 +a(g545 +g1849 +tp2026 +a(g7 +g960 +tp2027 +a(g892 +V \u000a +p2028 +tp2029 +a(g7 +g956 +tp2030 +a(g7 +g1098 +tp2031 +a(g545 +Vdiv +p2032 +tp2033 +a(g7 +g960 +tp2034 +a(g892 +V\u000a\u000a +p2035 +tp2036 +a(g7 +g956 +tp2037 +a(g545 +Vh2 +p2038 +tp2039 +a(g7 +g960 +tp2040 +a(g7 +g956 +tp2041 +a(g545 +g1448 +tp2042 +a(g892 +g973 +tp2043 +a(g654 +Vhref +p2044 +tp2045 +a(g400 +g977 +tp2046 +a(g76 +V"#" +p2047 +tp2048 +a(g892 +g973 +tp2049 +a(g654 +Vonclick +p2050 +tp2051 +a(g400 +g977 +tp2052 +a(g76 +V"document.getElementById('session').style.display = 'block'; return false" +p2053 +tp2054 +a(g7 +g960 +tp2055 +a(g892 +VSession +p2056 +tp2057 +a(g7 +g956 +tp2058 +a(g7 +g1098 +tp2059 +a(g545 +g1448 +tp2060 +a(g7 +g960 +tp2061 +a(g7 +g956 +tp2062 +a(g7 +g1098 +tp2063 +a(g545 +Vh2 +p2064 +tp2065 +a(g7 +g960 +tp2066 +a(g892 +V\u000a +p2067 +tp2068 +a(g7 +g956 +tp2069 +a(g545 +Vdiv +p2070 +tp2071 +a(g892 +g973 +tp2072 +a(g654 +Vid +p2073 +tp2074 +a(g400 +g977 +tp2075 +a(g76 +V"session" +p2076 +tp2077 +a(g892 +g973 +tp2078 +a(g654 +Vstyle +p2079 +tp2080 +a(g400 +g977 +tp2081 +a(g76 +V"display: none" +p2082 +tp2083 +a(g7 +g960 +tp2084 +a(g892 +V\u000a +p2085 +tp2086 +a(g7 +g956 +tp2087 +a(g545 +g1849 +tp2088 +a(g7 +g960 +tp2089 +a(g7 +g956 +tp2090 +a(g545 +Vstrong +p2091 +tp2092 +a(g7 +g960 +tp2093 +a(g892 +VValues: +p2094 +tp2095 +a(g7 +g956 +tp2096 +a(g7 +g1098 +tp2097 +a(g545 +Vstrong +p2098 +tp2099 +a(g7 +g960 +tp2100 +a(g892 +V #{session.inspect} +p2101 +tp2102 +a(g7 +g956 +tp2103 +a(g7 +g1098 +tp2104 +a(g545 +g1849 +tp2105 +a(g7 +g960 +tp2106 +a(g892 +V \u000a +p2107 +tp2108 +a(g7 +g956 +tp2109 +a(g7 +g1098 +tp2110 +a(g545 +Vdiv +p2111 +tp2112 +a(g7 +g960 +tp2113 +a(g892 +V\u000a\u000a +p2114 +tp2115 +a(g7 +g956 +tp2116 +a(g545 +Vbr +p2117 +tp2118 +a(g892 +g973 +tp2119 +a(g7 +g1098 +tp2120 +a(g7 +g960 +tp2121 +a(g7 +g956 +tp2122 +a(g545 +Vbr +p2123 +tp2124 +a(g892 +g973 +tp2125 +a(g7 +g1098 +tp2126 +a(g7 +g960 +tp2127 +a(g892 +V\u000a Powered by +p2128 +tp2129 +a(g7 +g956 +tp2130 +a(g545 +g1448 +tp2131 +a(g892 +g973 +tp2132 +a(g654 +Vhref +p2133 +tp2134 +a(g400 +g977 +tp2135 +a(g76 +V"http://www.nitrohq.com" +p2136 +tp2137 +a(g7 +g960 +tp2138 +a(g892 +VNitro +p2139 +tp2140 +a(g7 +g956 +tp2141 +a(g7 +g1098 +tp2142 +a(g545 +g1448 +tp2143 +a(g7 +g960 +tp2144 +a(g892 +V version #{Nitro::Version}\u000a +p2145 +tp2146 +a(g722 +V +p2147 +tp2148 +a(g892 +V \u000a +p2149 +tp2150 +a(g7 +g956 +tp2151 +a(g7 +g1098 +tp2152 +a(g545 +Vbody +p2153 +tp2154 +a(g7 +g960 +tp2155 +a(g892 +V\u000a +p2156 +tp2157 +a(g7 +g956 +tp2158 +a(g7 +g1098 +tp2159 +a(g545 +Vhtml +p2160 +tp2161 +a(g7 +g960 +tp2162 +a(g892 +V\u000a +p2163 +tp2164 +a(g7 +g956 +tp2165 +a(g545 +VSystemPage +p2166 +tp2167 +a(g7 +g960 +tp2168 +a(g892 +V\u000a +p2169 +tp2170 +a(g722 +V +p2171 +tp2172 +a(g892 +V\u000a +p2173 +tp2174 +a(g7 +g956 +tp2175 +a(g545 +Vh1 +p2176 +tp2177 +a(g7 +g960 +tp2178 +a(g7 +g956 +tp2179 +a(g545 +g1448 +tp2180 +a(g892 +g973 +tp2181 +a(g654 +Vhref +p2182 +tp2183 +a(g400 +g977 +tp2184 +a(g76 +V"/" +p2185 +tp2186 +a(g7 +g960 +tp2187 +a(g892 +V Home +p2188 +tp2189 +a(g7 +g956 +tp2190 +a(g7 +g1098 +tp2191 +a(g545 +g1448 +tp2192 +a(g7 +g960 +tp2193 +a(g892 +V > +p2194 +tp2195 +a(g7 +g956 +tp2196 +a(g545 +g1448 +tp2197 +a(g892 +g973 +tp2198 +a(g654 +Vhref +p2199 +tp2200 +a(g400 +g977 +tp2201 +a(g76 +V"#@base" +p2202 +tp2203 +a(g7 +g960 +tp2204 +a(g892 +VSystem +p2205 +tp2206 +a(g7 +g956 +tp2207 +a(g7 +g1098 +tp2208 +a(g545 +g1448 +tp2209 +a(g7 +g960 +tp2210 +a(g892 +V > +p2211 +tp2212 +a(g7 +g956 +tp2213 +a(g545 +g1448 +tp2214 +a(g892 +g973 +tp2215 +a(g654 +Vhref +p2216 +tp2217 +a(g400 +g977 +tp2218 +a(g76 +V"#{base}/list" +p2219 +tp2220 +a(g7 +g960 +tp2221 +a(g892 +V#{"%plural%".humanize} +p2222 +tp2223 +a(g7 +g956 +tp2224 +a(g7 +g1098 +tp2225 +a(g545 +g1448 +tp2226 +a(g7 +g960 +tp2227 +a(g892 +V > Edit #{"%name%".humanize} +p2228 +tp2229 +a(g7 +g956 +tp2230 +a(g7 +g1098 +tp2231 +a(g545 +Vh1 +p2232 +tp2233 +a(g7 +g960 +tp2234 +a(g892 +V\u000a +p2235 +tp2236 +a(g722 +V +p2237 +tp2238 +a(g892 +V\u000a +p2239 +tp2240 +a(g7 +g956 +tp2241 +a(g545 +g1448 +tp2242 +a(g892 +g973 +tp2243 +a(g654 +Vhref +p2244 +tp2245 +a(g400 +g977 +tp2246 +a(g76 +V"#{request.uri.gsub(/\u005c/all$/, '')}" +p2247 +tp2248 +a(g7 +g960 +tp2249 +a(g892 +VShow editable +p2250 +tp2251 +a(g7 +g956 +tp2252 +a(g7 +g1098 +tp2253 +a(g545 +g1448 +tp2254 +a(g7 +g960 +tp2255 +a(g892 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list", :all => true}\u000a +p2256 +tp2257 +a(g722 +V +p2258 +tp2259 +a(g892 +V\u000a +p2260 +tp2261 +a(g7 +g956 +tp2262 +a(g545 +g1448 +tp2263 +a(g892 +g973 +tp2264 +a(g654 +Vhref +p2265 +tp2266 +a(g400 +g977 +tp2267 +a(g76 +V"#{request.uri}/all" +p2268 +tp2269 +a(g7 +g960 +tp2270 +a(g892 +VShow all +p2271 +tp2272 +a(g7 +g956 +tp2273 +a(g7 +g1098 +tp2274 +a(g545 +g1448 +tp2275 +a(g7 +g960 +tp2276 +a(g892 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list"}\u000a +p2277 +tp2278 +a(g722 +V +p2279 +tp2280 +a(g892 +V\u000a +p2281 +tp2282 +a(g7 +g956 +tp2283 +a(g7 +g1098 +tp2284 +a(g545 +VSystemPage +p2285 +tp2286 +a(g7 +g960 +tp2287 +a(g892 +V\u000a#{form_for(@%name%)}\u000a +p2288 +tp2289 +a(g7 +g956 +tp2290 +a(g545 +VSystemPage +p2291 +tp2292 +a(g7 +g960 +tp2293 +a(g892 +V\u000a +p2294 +tp2295 +a(g722 +V +p2296 +tp2297 +a(g892 +V\u000a +p2298 +tp2299 +a(g7 +g956 +tp2300 +a(g545 +Vh1 +p2301 +tp2302 +a(g7 +g960 +tp2303 +a(g892 +V#{"%plural%".humanize} +p2304 +tp2305 +a(g7 +g956 +tp2306 +a(g7 +g1098 +tp2307 +a(g545 +Vh1 +p2308 +tp2309 +a(g7 +g960 +tp2310 +a(g892 +V\u000a +p2311 +tp2312 +a(g7 +g956 +tp2313 +a(g545 +Vh2 +p2314 +tp2315 +a(g7 +g960 +tp2316 +a(g7 +g956 +tp2317 +a(g545 +g1448 +tp2318 +a(g892 +g973 +tp2319 +a(g654 +Vhref +p2320 +tp2321 +a(g400 +g977 +tp2322 +a(g76 +V"#{base}/new" +p2323 +tp2324 +a(g7 +g960 +tp2325 +a(g892 +VNew #{"%name%".humanize} +p2326 +tp2327 +a(g7 +g956 +tp2328 +a(g7 +g1098 +tp2329 +a(g545 +g1448 +tp2330 +a(g7 +g960 +tp2331 +a(g7 +g956 +tp2332 +a(g7 +g1098 +tp2333 +a(g545 +Vh2 +p2334 +tp2335 +a(g7 +g960 +tp2336 +a(g892 +V\u000a +p2337 +tp2338 +a(g7 +g956 +tp2339 +a(g545 +Vform +p2340 +tp2341 +a(g892 +g973 +tp2342 +a(g654 +Vaction +p2343 +tp2344 +a(g400 +g977 +tp2345 +a(g76 +V"search" +p2346 +tp2347 +a(g7 +g960 +tp2348 +a(g892 +V\u000a Search #{"%plural%".humanize}: +p2349 +tp2350 +a(g7 +g956 +tp2351 +a(g545 +Vinput +p2352 +tp2353 +a(g892 +g973 +tp2354 +a(g654 +Vtype +p2355 +tp2356 +a(g400 +g977 +tp2357 +a(g76 +V"text" +p2358 +tp2359 +a(g892 +g973 +tp2360 +a(g654 +Vname +p2361 +tp2362 +a(g400 +g977 +tp2363 +a(g76 +V"q" +p2364 +tp2365 +a(g892 +g973 +tp2366 +a(g7 +g1098 +tp2367 +a(g7 +g960 +tp2368 +a(g491 +V  +p2369 +tp2370 +a(g7 +g956 +tp2371 +a(g545 +Vinput +p2372 +tp2373 +a(g892 +g973 +tp2374 +a(g654 +Vtype +p2375 +tp2376 +a(g400 +g977 +tp2377 +a(g76 +V"submit" +p2378 +tp2379 +a(g892 +g973 +tp2380 +a(g654 +Vvalue +p2381 +tp2382 +a(g400 +g977 +tp2383 +a(g76 +V"Search" +p2384 +tp2385 +a(g892 +g973 +tp2386 +a(g7 +g1098 +tp2387 +a(g7 +g960 +tp2388 +a(g892 +V\u000a +p2389 +tp2390 +a(g7 +g956 +tp2391 +a(g7 +g1098 +tp2392 +a(g545 +Vform +p2393 +tp2394 +a(g7 +g960 +tp2395 +a(g892 +V\u000a +p2396 +tp2397 +a(g7 +g956 +tp2398 +a(g545 +Vtable +p2399 +tp2400 +a(g7 +g960 +tp2401 +a(g892 +V\u000a +p2402 +tp2403 +a(g722 +V +p2404 +tp2405 +a(g892 +V\u000a +p2406 +tp2407 +a(g7 +g956 +tp2408 +a(g545 +Vtr +p2409 +tp2410 +a(g7 +g960 +tp2411 +a(g892 +V\u000a +p2412 +tp2413 +a(g7 +g956 +tp2414 +a(g545 +Vtd +p2415 +tp2416 +a(g892 +g973 +tp2417 +a(g654 +Vwidth +p2418 +tp2419 +a(g400 +g977 +tp2420 +a(g76 +V"100%" +p2421 +tp2422 +a(g7 +g960 +tp2423 +a(g7 +g956 +tp2424 +a(g545 +g1448 +tp2425 +a(g892 +g973 +tp2426 +a(g654 +Vhref +p2427 +tp2428 +a(g400 +g977 +tp2429 +a(g76 +V"#{base}/edit/#{obj.oid}" +p2430 +tp2431 +a(g7 +g960 +tp2432 +a(g892 +V#{obj.to_s} +p2433 +tp2434 +a(g7 +g956 +tp2435 +a(g7 +g1098 +tp2436 +a(g545 +g1448 +tp2437 +a(g7 +g960 +tp2438 +a(g7 +g956 +tp2439 +a(g7 +g1098 +tp2440 +a(g545 +Vtd +p2441 +tp2442 +a(g7 +g960 +tp2443 +a(g892 +V\u000a +p2444 +tp2445 +a(g722 +V +p2446 +tp2447 +a(g892 +V\u000a +p2448 +tp2449 +a(g7 +g956 +tp2450 +a(g545 +Vtd +p2451 +tp2452 +a(g892 +g973 +tp2453 +a(g654 +Vnowrap +p2454 +tp2455 +a(g400 +g977 +tp2456 +a(g76 +V"1" +p2457 +tp2458 +a(g7 +g960 +tp2459 +a(g892 +V#{obj.update_time.stamp(:db)} +p2460 +tp2461 +a(g7 +g956 +tp2462 +a(g7 +g1098 +tp2463 +a(g545 +Vtd +p2464 +tp2465 +a(g7 +g960 +tp2466 +a(g892 +V\u000a +p2467 +tp2468 +a(g722 +V +p2469 +tp2470 +a(g892 +V\u000a +p2471 +tp2472 +a(g7 +g956 +tp2473 +a(g545 +Vtd +p2474 +tp2475 +a(g7 +g960 +tp2476 +a(g7 +g956 +tp2477 +a(g545 +g1448 +tp2478 +a(g892 +g973 +tp2479 +a(g654 +Vhref +p2480 +tp2481 +a(g400 +g977 +tp2482 +a(g76 +V"#{base}/edit/#{obj.oid}" +p2483 +tp2484 +a(g7 +g960 +tp2485 +a(g892 +Vedit +p2486 +tp2487 +a(g7 +g956 +tp2488 +a(g7 +g1098 +tp2489 +a(g545 +g1448 +tp2490 +a(g7 +g960 +tp2491 +a(g7 +g956 +tp2492 +a(g7 +g1098 +tp2493 +a(g545 +Vtd +p2494 +tp2495 +a(g7 +g960 +tp2496 +a(g892 +V\u000a +p2497 +tp2498 +a(g7 +g956 +tp2499 +a(g545 +Vtd +p2500 +tp2501 +a(g7 +g960 +tp2502 +a(g7 +g956 +tp2503 +a(g545 +g1448 +tp2504 +a(g892 +g973 +tp2505 +a(g654 +Vhref +p2506 +tp2507 +a(g400 +g977 +tp2508 +a(g76 +V"#{base}/delete/#{obj.oid}" +p2509 +tp2510 +a(g7 +g960 +tp2511 +a(g892 +Vdel +p2512 +tp2513 +a(g7 +g956 +tp2514 +a(g7 +g1098 +tp2515 +a(g545 +g1448 +tp2516 +a(g7 +g960 +tp2517 +a(g7 +g956 +tp2518 +a(g7 +g1098 +tp2519 +a(g545 +Vtd +p2520 +tp2521 +a(g7 +g960 +tp2522 +a(g892 +V\u000a +p2523 +tp2524 +a(g7 +g956 +tp2525 +a(g7 +g1098 +tp2526 +a(g545 +Vtr +p2527 +tp2528 +a(g7 +g960 +tp2529 +a(g892 +V\u000a +p2530 +tp2531 +a(g722 +V +p2532 +tp2533 +a(g892 +V\u000a +p2534 +tp2535 +a(g7 +g956 +tp2536 +a(g7 +g1098 +tp2537 +a(g545 +Vtable +p2538 +tp2539 +a(g7 +g960 +tp2540 +a(g892 +V\u000a +p2541 +tp2542 +a(g7 +g956 +tp2543 +a(g7 +g1098 +tp2544 +a(g545 +VSystemPage +p2545 +tp2546 +a(g7 +g960 +tp2547 +a(g892 +V\u000a +p2548 +tp2549 +a(g7 +g956 +tp2550 +a(g545 +VSystemPage +p2551 +tp2552 +a(g7 +g960 +tp2553 +a(g892 +V\u000a +p2554 +tp2555 +a(g722 +V +p2556 +tp2557 +a(g892 +V\u000a +p2558 +tp2559 +a(g7 +g956 +tp2560 +a(g545 +Vh1 +p2561 +tp2562 +a(g7 +g960 +tp2563 +a(g7 +g956 +tp2564 +a(g545 +g1448 +tp2565 +a(g892 +g973 +tp2566 +a(g654 +Vhref +p2567 +tp2568 +a(g400 +g977 +tp2569 +a(g76 +V"/" +p2570 +tp2571 +a(g7 +g960 +tp2572 +a(g892 +V Home +p2573 +tp2574 +a(g7 +g956 +tp2575 +a(g7 +g1098 +tp2576 +a(g545 +g1448 +tp2577 +a(g7 +g960 +tp2578 +a(g892 +V > +p2579 +tp2580 +a(g7 +g956 +tp2581 +a(g545 +g1448 +tp2582 +a(g892 +g973 +tp2583 +a(g654 +Vhref +p2584 +tp2585 +a(g400 +g977 +tp2586 +a(g76 +V"#@base" +p2587 +tp2588 +a(g7 +g960 +tp2589 +a(g892 +VSystem +p2590 +tp2591 +a(g7 +g956 +tp2592 +a(g7 +g1098 +tp2593 +a(g545 +g1448 +tp2594 +a(g7 +g960 +tp2595 +a(g892 +V > #{"%plural%".humanize} +p2596 +tp2597 +a(g7 +g956 +tp2598 +a(g7 +g1098 +tp2599 +a(g545 +Vh1 +p2600 +tp2601 +a(g7 +g960 +tp2602 +a(g892 +V\u000a +p2603 +tp2604 +a(g7 +g956 +tp2605 +a(g545 +g1448 +tp2606 +a(g892 +g973 +tp2607 +a(g654 +Vhref +p2608 +tp2609 +a(g400 +g977 +tp2610 +a(g76 +V"#{base}/new" +p2611 +tp2612 +a(g7 +g960 +tp2613 +a(g892 +VNew #{"%name%".humanize} +p2614 +tp2615 +a(g7 +g956 +tp2616 +a(g7 +g1098 +tp2617 +a(g545 +g1448 +tp2618 +a(g7 +g960 +tp2619 +a(g892 +V\u000a +p2620 +tp2621 +a(g7 +g956 +tp2622 +a(g545 +g1849 +tp2623 +a(g7 +g960 +tp2624 +a(g892 +V\u000a +p2625 +tp2626 +a(g7 +g956 +tp2627 +a(g545 +Vform +p2628 +tp2629 +a(g892 +g973 +tp2630 +a(g654 +Vaction +p2631 +tp2632 +a(g400 +g977 +tp2633 +a(g76 +V"#{base}/search" +p2634 +tp2635 +a(g7 +g960 +tp2636 +a(g892 +V\u000a Search #{"%plural%".humanize}: +p2637 +tp2638 +a(g7 +g956 +tp2639 +a(g545 +Vinput +p2640 +tp2641 +a(g892 +g973 +tp2642 +a(g654 +Vtype +p2643 +tp2644 +a(g400 +g977 +tp2645 +a(g76 +V"text" +p2646 +tp2647 +a(g892 +g973 +tp2648 +a(g654 +Vname +p2649 +tp2650 +a(g400 +g977 +tp2651 +a(g76 +V"q" +p2652 +tp2653 +a(g892 +g973 +tp2654 +a(g7 +g1098 +tp2655 +a(g7 +g960 +tp2656 +a(g491 +V  +p2657 +tp2658 +a(g7 +g956 +tp2659 +a(g545 +Vinput +p2660 +tp2661 +a(g892 +g973 +tp2662 +a(g654 +Vtype +p2663 +tp2664 +a(g400 +g977 +tp2665 +a(g76 +V"submit" +p2666 +tp2667 +a(g892 +g973 +tp2668 +a(g654 +Vvalue +p2669 +tp2670 +a(g400 +g977 +tp2671 +a(g76 +V"Search" +p2672 +tp2673 +a(g892 +g973 +tp2674 +a(g7 +g1098 +tp2675 +a(g7 +g960 +tp2676 +a(g892 +V\u000a +p2677 +tp2678 +a(g7 +g956 +tp2679 +a(g7 +g1098 +tp2680 +a(g545 +Vform +p2681 +tp2682 +a(g7 +g960 +tp2683 +a(g892 +V\u000a +p2684 +tp2685 +a(g7 +g956 +tp2686 +a(g7 +g1098 +tp2687 +a(g545 +g1849 +tp2688 +a(g7 +g960 +tp2689 +a(g892 +V\u000a +p2690 +tp2691 +a(g7 +g956 +tp2692 +a(g545 +Vtable +p2693 +tp2694 +a(g7 +g960 +tp2695 +a(g892 +V\u000a +p2696 +tp2697 +a(g722 +V +p2698 +tp2699 +a(g892 +V\u000a +p2700 +tp2701 +a(g7 +g956 +tp2702 +a(g545 +Vtr +p2703 +tp2704 +a(g7 +g960 +tp2705 +a(g892 +V\u000a +p2706 +tp2707 +a(g7 +g956 +tp2708 +a(g545 +Vtd +p2709 +tp2710 +a(g892 +g973 +tp2711 +a(g654 +Vwidth +p2712 +tp2713 +a(g400 +g977 +tp2714 +a(g76 +V"100%" +p2715 +tp2716 +a(g7 +g960 +tp2717 +a(g7 +g956 +tp2718 +a(g545 +g1448 +tp2719 +a(g892 +g973 +tp2720 +a(g654 +Vhref +p2721 +tp2722 +a(g400 +g977 +tp2723 +a(g76 +V"#{base}/edit/#{obj.oid}" +p2724 +tp2725 +a(g7 +g960 +tp2726 +a(g892 +V#(obj.to_s) +p2727 +tp2728 +a(g7 +g956 +tp2729 +a(g7 +g1098 +tp2730 +a(g545 +g1448 +tp2731 +a(g7 +g960 +tp2732 +a(g7 +g956 +tp2733 +a(g7 +g1098 +tp2734 +a(g545 +Vtd +p2735 +tp2736 +a(g7 +g960 +tp2737 +a(g892 +V\u000a +p2738 +tp2739 +a(g722 +V +p2740 +tp2741 +a(g892 +V\u000a +p2742 +tp2743 +a(g7 +g956 +tp2744 +a(g545 +Vtd +p2745 +tp2746 +a(g892 +g973 +tp2747 +a(g654 +Vnowrap +p2748 +tp2749 +a(g400 +g977 +tp2750 +a(g76 +V"1" +p2751 +tp2752 +a(g7 +g960 +tp2753 +a(g892 +V#{obj.update_time.stamp(:db)} +p2754 +tp2755 +a(g7 +g956 +tp2756 +a(g7 +g1098 +tp2757 +a(g545 +Vtd +p2758 +tp2759 +a(g7 +g960 +tp2760 +a(g892 +V\u000a +p2761 +tp2762 +a(g722 +V +p2763 +tp2764 +a(g892 +V\u000a +p2765 +tp2766 +a(g7 +g956 +tp2767 +a(g545 +Vtd +p2768 +tp2769 +a(g7 +g960 +tp2770 +a(g7 +g956 +tp2771 +a(g545 +g1448 +tp2772 +a(g892 +g973 +tp2773 +a(g654 +Vhref +p2774 +tp2775 +a(g400 +g977 +tp2776 +a(g76 +V"#{base}/edit/#{obj.oid}" +p2777 +tp2778 +a(g7 +g960 +tp2779 +a(g892 +Vedit +p2780 +tp2781 +a(g7 +g956 +tp2782 +a(g7 +g1098 +tp2783 +a(g545 +g1448 +tp2784 +a(g7 +g960 +tp2785 +a(g7 +g956 +tp2786 +a(g7 +g1098 +tp2787 +a(g545 +Vtd +p2788 +tp2789 +a(g7 +g960 +tp2790 +a(g892 +V\u000a +p2791 +tp2792 +a(g7 +g956 +tp2793 +a(g545 +Vtd +p2794 +tp2795 +a(g7 +g960 +tp2796 +a(g7 +g956 +tp2797 +a(g545 +g1448 +tp2798 +a(g892 +g973 +tp2799 +a(g654 +Vhref +p2800 +tp2801 +a(g400 +g977 +tp2802 +a(g76 +V"#{base}/delete/#{obj.oid}" +p2803 +tp2804 +a(g892 +g973 +tp2805 +a(g654 +Vonclick +p2806 +tp2807 +a(g400 +g977 +tp2808 +a(g76 +V"confirm('Are you sure?')" +p2809 +tp2810 +a(g7 +g960 +tp2811 +a(g892 +Vdel +p2812 +tp2813 +a(g7 +g956 +tp2814 +a(g7 +g1098 +tp2815 +a(g545 +g1448 +tp2816 +a(g7 +g960 +tp2817 +a(g7 +g956 +tp2818 +a(g7 +g1098 +tp2819 +a(g545 +Vtd +p2820 +tp2821 +a(g7 +g960 +tp2822 +a(g892 +V\u000a +p2823 +tp2824 +a(g7 +g956 +tp2825 +a(g7 +g1098 +tp2826 +a(g545 +Vtr +p2827 +tp2828 +a(g7 +g960 +tp2829 +a(g892 +V\u000a +p2830 +tp2831 +a(g722 +V +p2832 +tp2833 +a(g892 +V\u000a +p2834 +tp2835 +a(g7 +g956 +tp2836 +a(g7 +g1098 +tp2837 +a(g545 +Vtable +p2838 +tp2839 +a(g7 +g960 +tp2840 +a(g892 +V\u000a +p2841 +tp2842 +a(g7 +g956 +tp2843 +a(g545 +Vdiv +p2844 +tp2845 +a(g892 +g973 +tp2846 +a(g654 +Vclass +p2847 +tp2848 +a(g400 +g977 +tp2849 +a(g76 +V"pager" +p2850 +tp2851 +a(g892 +g973 +tp2852 +a(g654 +Vif +p2853 +tp2854 +a(g400 +g977 +tp2855 +a(g76 +V"@pager and @pager.navigation?" +p2856 +tp2857 +a(g7 +g960 +tp2858 +a(g892 +V\u000a #{@pager.navigation}\u000a +p2859 +tp2860 +a(g7 +g956 +tp2861 +a(g7 +g1098 +tp2862 +a(g545 +Vdiv +p2863 +tp2864 +a(g7 +g960 +tp2865 +a(g892 +V \u000a +p2866 +tp2867 +a(g7 +g956 +tp2868 +a(g7 +g1098 +tp2869 +a(g545 +VSystemPage +p2870 +tp2871 +a(g7 +g960 +tp2872 +a(g892 +V\u000a +p2873 +tp2874 +a(g7 +g956 +tp2875 +a(g545 +VSystemPage +p2876 +tp2877 +a(g7 +g960 +tp2878 +a(g892 +V\u000a +p2879 +tp2880 +a(g722 +V +p2881 +tp2882 +a(g892 +V\u000a +p2883 +tp2884 +a(g7 +g956 +tp2885 +a(g545 +Vh1 +p2886 +tp2887 +a(g7 +g960 +tp2888 +a(g7 +g956 +tp2889 +a(g545 +g1448 +tp2890 +a(g892 +g973 +tp2891 +a(g654 +Vhref +p2892 +tp2893 +a(g400 +g977 +tp2894 +a(g76 +V"/" +p2895 +tp2896 +a(g7 +g960 +tp2897 +a(g892 +V Home +p2898 +tp2899 +a(g7 +g956 +tp2900 +a(g7 +g1098 +tp2901 +a(g545 +g1448 +tp2902 +a(g7 +g960 +tp2903 +a(g892 +V > +p2904 +tp2905 +a(g7 +g956 +tp2906 +a(g545 +g1448 +tp2907 +a(g892 +g973 +tp2908 +a(g654 +Vhref +p2909 +tp2910 +a(g400 +g977 +tp2911 +a(g76 +V"#@base" +p2912 +tp2913 +a(g7 +g960 +tp2914 +a(g892 +VSystem +p2915 +tp2916 +a(g7 +g956 +tp2917 +a(g7 +g1098 +tp2918 +a(g545 +g1448 +tp2919 +a(g7 +g960 +tp2920 +a(g892 +V > +p2921 +tp2922 +a(g7 +g956 +tp2923 +a(g545 +g1448 +tp2924 +a(g892 +g973 +tp2925 +a(g654 +Vhref +p2926 +tp2927 +a(g400 +g977 +tp2928 +a(g76 +V"#{base}/list" +p2929 +tp2930 +a(g7 +g960 +tp2931 +a(g892 +V#{"%plural%".humanize} +p2932 +tp2933 +a(g7 +g956 +tp2934 +a(g7 +g1098 +tp2935 +a(g545 +g1448 +tp2936 +a(g7 +g960 +tp2937 +a(g892 +V > New #{"%name%".humanize} +p2938 +tp2939 +a(g7 +g956 +tp2940 +a(g7 +g1098 +tp2941 +a(g545 +Vh1 +p2942 +tp2943 +a(g7 +g960 +tp2944 +a(g892 +V\u000a +p2945 +tp2946 +a(g722 +V +p2947 +tp2948 +a(g892 +V\u000a +p2949 +tp2950 +a(g7 +g956 +tp2951 +a(g545 +g1448 +tp2952 +a(g892 +g973 +tp2953 +a(g654 +Vhref +p2954 +tp2955 +a(g400 +g977 +tp2956 +a(g76 +V"#{request.uri.gsub(/\u005c/all$/, '')}" +p2957 +tp2958 +a(g7 +g960 +tp2959 +a(g892 +VShow editable +p2960 +tp2961 +a(g7 +g956 +tp2962 +a(g7 +g1098 +tp2963 +a(g545 +g1448 +tp2964 +a(g7 +g960 +tp2965 +a(g892 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list", :all => true, :enctype => "multipart/form-data"}\u000a +p2966 +tp2967 +a(g722 +V +p2968 +tp2969 +a(g892 +V\u000a +p2970 +tp2971 +a(g7 +g956 +tp2972 +a(g545 +g1448 +tp2973 +a(g892 +g973 +tp2974 +a(g654 +Vhref +p2975 +tp2976 +a(g400 +g977 +tp2977 +a(g76 +V"#{request.uri}/all" +p2978 +tp2979 +a(g7 +g960 +tp2980 +a(g892 +VShow all +p2981 +tp2982 +a(g7 +g956 +tp2983 +a(g7 +g1098 +tp2984 +a(g545 +g1448 +tp2985 +a(g7 +g960 +tp2986 +a(g892 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list", :enctype => "multipart/form-data"}\u000a +p2987 +tp2988 +a(g722 +V +p2989 +tp2990 +a(g892 +V\u000a +p2991 +tp2992 +a(g7 +g956 +tp2993 +a(g7 +g1098 +tp2994 +a(g545 +VSystemPage +p2995 +tp2996 +a(g7 +g960 +tp2997 +a(g892 +V\u000a +p2998 +tp2999 +a(g7 +g956 +tp3000 +a(g545 +VSystemPage +p3001 +tp3002 +a(g7 +g960 +tp3003 +a(g892 +V\u000a +p3004 +tp3005 +a(g722 +V +p3006 +tp3007 +a(g892 +V\u000a +p3008 +tp3009 +a(g7 +g956 +tp3010 +a(g545 +Vh1 +p3011 +tp3012 +a(g7 +g960 +tp3013 +a(g7 +g956 +tp3014 +a(g545 +g1448 +tp3015 +a(g892 +g973 +tp3016 +a(g654 +Vhref +p3017 +tp3018 +a(g400 +g977 +tp3019 +a(g76 +V"/" +p3020 +tp3021 +a(g7 +g960 +tp3022 +a(g892 +V Home +p3023 +tp3024 +a(g7 +g956 +tp3025 +a(g7 +g1098 +tp3026 +a(g545 +g1448 +tp3027 +a(g7 +g960 +tp3028 +a(g892 +V > +p3029 +tp3030 +a(g7 +g956 +tp3031 +a(g545 +g1448 +tp3032 +a(g892 +g973 +tp3033 +a(g654 +Vhref +p3034 +tp3035 +a(g400 +g977 +tp3036 +a(g76 +V"#@base" +p3037 +tp3038 +a(g7 +g960 +tp3039 +a(g892 +VSystem +p3040 +tp3041 +a(g7 +g956 +tp3042 +a(g7 +g1098 +tp3043 +a(g545 +g1448 +tp3044 +a(g7 +g960 +tp3045 +a(g892 +V > +p3046 +tp3047 +a(g7 +g956 +tp3048 +a(g545 +g1448 +tp3049 +a(g892 +g973 +tp3050 +a(g654 +Vhref +p3051 +tp3052 +a(g400 +g977 +tp3053 +a(g76 +V"#{base}/list" +p3054 +tp3055 +a(g7 +g960 +tp3056 +a(g892 +V#{"%plural%".humanize} +p3057 +tp3058 +a(g7 +g956 +tp3059 +a(g7 +g1098 +tp3060 +a(g545 +g1448 +tp3061 +a(g7 +g960 +tp3062 +a(g892 +V > Search for '#@query' +p3063 +tp3064 +a(g7 +g956 +tp3065 +a(g7 +g1098 +tp3066 +a(g545 +Vh1 +p3067 +tp3068 +a(g7 +g960 +tp3069 +a(g892 +V\u000a +p3070 +tp3071 +a(g7 +g956 +tp3072 +a(g545 +g1849 +tp3073 +a(g7 +g960 +tp3074 +a(g892 +V\u000a +p3075 +tp3076 +a(g7 +g956 +tp3077 +a(g545 +Vform +p3078 +tp3079 +a(g892 +g973 +tp3080 +a(g654 +Vaction +p3081 +tp3082 +a(g400 +g977 +tp3083 +a(g76 +V"#{base}/search" +p3084 +tp3085 +a(g7 +g960 +tp3086 +a(g892 +V\u000a Search #{"%plural%".humanize}: +p3087 +tp3088 +a(g7 +g956 +tp3089 +a(g545 +Vinput +p3090 +tp3091 +a(g892 +g973 +tp3092 +a(g654 +Vtype +p3093 +tp3094 +a(g400 +g977 +tp3095 +a(g76 +V"text" +p3096 +tp3097 +a(g892 +g973 +tp3098 +a(g654 +Vname +p3099 +tp3100 +a(g400 +g977 +tp3101 +a(g76 +V"q" +p3102 +tp3103 +a(g892 +g973 +tp3104 +a(g7 +g1098 +tp3105 +a(g7 +g960 +tp3106 +a(g491 +V  +p3107 +tp3108 +a(g7 +g956 +tp3109 +a(g545 +Vinput +p3110 +tp3111 +a(g892 +g973 +tp3112 +a(g654 +Vtype +p3113 +tp3114 +a(g400 +g977 +tp3115 +a(g76 +V"submit" +p3116 +tp3117 +a(g892 +g973 +tp3118 +a(g654 +Vvalue +p3119 +tp3120 +a(g400 +g977 +tp3121 +a(g76 +V"Search" +p3122 +tp3123 +a(g892 +g973 +tp3124 +a(g7 +g1098 +tp3125 +a(g7 +g960 +tp3126 +a(g892 +V\u000a +p3127 +tp3128 +a(g7 +g956 +tp3129 +a(g7 +g1098 +tp3130 +a(g545 +Vform +p3131 +tp3132 +a(g7 +g960 +tp3133 +a(g892 +V\u000a +p3134 +tp3135 +a(g7 +g956 +tp3136 +a(g7 +g1098 +tp3137 +a(g545 +g1849 +tp3138 +a(g7 +g960 +tp3139 +a(g892 +V\u000a +p3140 +tp3141 +a(g722 +V +p3142 +tp3143 +a(g892 +V\u000a +p3144 +tp3145 +a(g7 +g956 +tp3146 +a(g545 +g1849 +tp3147 +a(g7 +g960 +tp3148 +a(g892 +VSearch method is not implemented for this object +p3149 +tp3150 +a(g7 +g956 +tp3151 +a(g7 +g1098 +tp3152 +a(g545 +g1849 +tp3153 +a(g7 +g960 +tp3154 +a(g892 +V\u000a +p3155 +tp3156 +a(g722 +V +p3157 +tp3158 +a(g892 +V\u000a +p3159 +tp3160 +a(g7 +g956 +tp3161 +a(g545 +Vtable +p3162 +tp3163 +a(g7 +g960 +tp3164 +a(g892 +V\u000a +p3165 +tp3166 +a(g722 +V +p3167 +tp3168 +a(g892 +V\u000a +p3169 +tp3170 +a(g7 +g956 +tp3171 +a(g545 +Vtr +p3172 +tp3173 +a(g7 +g960 +tp3174 +a(g892 +V\u000a +p3175 +tp3176 +a(g7 +g956 +tp3177 +a(g545 +Vtd +p3178 +tp3179 +a(g892 +g973 +tp3180 +a(g654 +Vwidth +p3181 +tp3182 +a(g400 +g977 +tp3183 +a(g76 +V"100%" +p3184 +tp3185 +a(g7 +g960 +tp3186 +a(g7 +g956 +tp3187 +a(g545 +g1448 +tp3188 +a(g892 +g973 +tp3189 +a(g654 +Vhref +p3190 +tp3191 +a(g400 +g977 +tp3192 +a(g76 +V"#{base}/edit/#{obj.oid}" +p3193 +tp3194 +a(g7 +g960 +tp3195 +a(g892 +V#(obj.to_s) +p3196 +tp3197 +a(g7 +g956 +tp3198 +a(g7 +g1098 +tp3199 +a(g545 +g1448 +tp3200 +a(g7 +g960 +tp3201 +a(g7 +g956 +tp3202 +a(g7 +g1098 +tp3203 +a(g545 +Vtd +p3204 +tp3205 +a(g7 +g960 +tp3206 +a(g892 +V\u000a +p3207 +tp3208 +a(g722 +V +p3209 +tp3210 +a(g892 +V\u000a +p3211 +tp3212 +a(g7 +g956 +tp3213 +a(g545 +Vtd +p3214 +tp3215 +a(g892 +g973 +tp3216 +a(g654 +Vnowrap +p3217 +tp3218 +a(g400 +g977 +tp3219 +a(g76 +V"1" +p3220 +tp3221 +a(g7 +g960 +tp3222 +a(g892 +V#{obj.update_time.stamp(:db)} +p3223 +tp3224 +a(g7 +g956 +tp3225 +a(g7 +g1098 +tp3226 +a(g545 +Vtd +p3227 +tp3228 +a(g7 +g960 +tp3229 +a(g892 +V\u000a +p3230 +tp3231 +a(g722 +V +p3232 +tp3233 +a(g892 +V\u000a +p3234 +tp3235 +a(g7 +g956 +tp3236 +a(g545 +Vtd +p3237 +tp3238 +a(g7 +g960 +tp3239 +a(g7 +g956 +tp3240 +a(g545 +g1448 +tp3241 +a(g892 +g973 +tp3242 +a(g654 +Vhref +p3243 +tp3244 +a(g400 +g977 +tp3245 +a(g76 +V"#{base}/edit/#{obj.oid}" +p3246 +tp3247 +a(g7 +g960 +tp3248 +a(g892 +Vedit +p3249 +tp3250 +a(g7 +g956 +tp3251 +a(g7 +g1098 +tp3252 +a(g545 +g1448 +tp3253 +a(g7 +g960 +tp3254 +a(g7 +g956 +tp3255 +a(g7 +g1098 +tp3256 +a(g545 +Vtd +p3257 +tp3258 +a(g7 +g960 +tp3259 +a(g892 +V\u000a +p3260 +tp3261 +a(g7 +g956 +tp3262 +a(g545 +Vtd +p3263 +tp3264 +a(g7 +g960 +tp3265 +a(g7 +g956 +tp3266 +a(g545 +g1448 +tp3267 +a(g892 +g973 +tp3268 +a(g654 +Vhref +p3269 +tp3270 +a(g400 +g977 +tp3271 +a(g76 +V"#{base}/delete/#{obj.oid}" +p3272 +tp3273 +a(g7 +g960 +tp3274 +a(g892 +Vdel +p3275 +tp3276 +a(g7 +g956 +tp3277 +a(g7 +g1098 +tp3278 +a(g545 +g1448 +tp3279 +a(g7 +g960 +tp3280 +a(g7 +g956 +tp3281 +a(g7 +g1098 +tp3282 +a(g545 +Vtd +p3283 +tp3284 +a(g7 +g960 +tp3285 +a(g892 +V\u000a +p3286 +tp3287 +a(g7 +g956 +tp3288 +a(g7 +g1098 +tp3289 +a(g545 +Vtr +p3290 +tp3291 +a(g7 +g960 +tp3292 +a(g892 +V\u000a +p3293 +tp3294 +a(g722 +V +p3295 +tp3296 +a(g892 +V\u000a +p3297 +tp3298 +a(g7 +g956 +tp3299 +a(g7 +g1098 +tp3300 +a(g545 +Vtable +p3301 +tp3302 +a(g7 +g960 +tp3303 +a(g892 +V\u000a +p3304 +tp3305 +a(g7 +g956 +tp3306 +a(g545 +Vdiv +p3307 +tp3308 +a(g892 +g973 +tp3309 +a(g654 +Vclass +p3310 +tp3311 +a(g400 +g977 +tp3312 +a(g76 +V"pager" +p3313 +tp3314 +a(g892 +g973 +tp3315 +a(g654 +Vif +p3316 +tp3317 +a(g400 +g977 +tp3318 +a(g76 +V"@pager and @pager.navigation?" +p3319 +tp3320 +a(g7 +g960 +tp3321 +a(g892 +V\u000a #{@pager.navigation}\u000a +p3322 +tp3323 +a(g7 +g956 +tp3324 +a(g7 +g1098 +tp3325 +a(g545 +Vdiv +p3326 +tp3327 +a(g7 +g960 +tp3328 +a(g892 +V \u000a +p3329 +tp3330 +a(g722 +V +p3331 +tp3332 +a(g892 +V\u000a +p3333 +tp3334 +a(g7 +g956 +tp3335 +a(g7 +g1098 +tp3336 +a(g545 +VSystemPage +p3337 +tp3338 +a(g7 +g960 +tp3339 +a(g892 +V\u000a +p3340 +tp3341 +a(g7 +g956 +tp3342 +a(g545 +VSystemPage +p3343 +tp3344 +a(g7 +g960 +tp3345 +a(g892 +V\u000a +p3346 +tp3347 +a(g722 +V +p3348 +tp3349 +a(g892 +V\u000a +p3350 +tp3351 +a(g7 +g956 +tp3352 +a(g545 +Vh1 +p3353 +tp3354 +a(g7 +g960 +tp3355 +a(g892 +VView %name% +p3356 +tp3357 +a(g7 +g956 +tp3358 +a(g7 +g1098 +tp3359 +a(g545 +Vh1 +p3360 +tp3361 +a(g7 +g960 +tp3362 +a(g892 +V\u000a +p3363 +tp3364 +a(g7 +g956 +tp3365 +a(g545 +Vh2 +p3366 +tp3367 +a(g7 +g960 +tp3368 +a(g7 +g956 +tp3369 +a(g545 +g1448 +tp3370 +a(g892 +g973 +tp3371 +a(g654 +Vhref +p3372 +tp3373 +a(g400 +g977 +tp3374 +a(g76 +V"#{base}/list" +p3375 +tp3376 +a(g7 +g960 +tp3377 +a(g892 +VList of %plural% +p3378 +tp3379 +a(g7 +g956 +tp3380 +a(g7 +g1098 +tp3381 +a(g545 +g1448 +tp3382 +a(g7 +g960 +tp3383 +a(g7 +g956 +tp3384 +a(g7 +g1098 +tp3385 +a(g545 +Vh2 +p3386 +tp3387 +a(g7 +g960 +tp3388 +a(g892 +V\u000a +p3389 +tp3390 +a(g7 +g956 +tp3391 +a(g545 +Vcode +p3392 +tp3393 +a(g7 +g960 +tp3394 +a(g892 +V\u000a #{@obj.to_yaml} \u000a +p3395 +tp3396 +a(g7 +g956 +tp3397 +a(g7 +g1098 +tp3398 +a(g545 +Vcode +p3399 +tp3400 +a(g7 +g960 +tp3401 +a(g892 +V\u000a +p3402 +tp3403 +a(g7 +g956 +tp3404 +a(g7 +g1098 +tp3405 +a(g545 +VSystemPage +p3406 +tp3407 +a(g7 +g960 +tp3408 +a(g892 +V\u000a +p3409 +tp3410 +a(g7 +g956 +tp3411 +a(g545 +Vstrong +p3412 +tp3413 +a(g7 +g960 +tp3414 +a(g892 +VAccess denied +p3415 +tp3416 +a(g7 +g956 +tp3417 +a(g7 +g1098 +tp3418 +a(g545 +Vstrong +p3419 +tp3420 +a(g7 +g960 +tp3421 +a(g892 +V\u000a +p3422 +tp3423 +a(g7 +g956 +tp3424 +a(g545 +VSystemPage +p3425 +tp3426 +a(g7 +g960 +tp3427 +a(g892 +V\u000a +p3428 +tp3429 +a(g722 +V +p3430 +tp3431 +a(g892 +V\u000a +p3432 +tp3433 +a(g7 +g956 +tp3434 +a(g545 +Vh1 +p3435 +tp3436 +a(g7 +g960 +tp3437 +a(g7 +g956 +tp3438 +a(g545 +g1448 +tp3439 +a(g892 +g973 +tp3440 +a(g654 +Vhref +p3441 +tp3442 +a(g400 +g977 +tp3443 +a(g76 +V'/' +p3444 +tp3445 +a(g7 +g960 +tp3446 +a(g892 +VHome +p3447 +tp3448 +a(g7 +g956 +tp3449 +a(g7 +g1098 +tp3450 +a(g545 +g1448 +tp3451 +a(g7 +g960 +tp3452 +a(g892 +V > System +p3453 +tp3454 +a(g7 +g956 +tp3455 +a(g7 +g1098 +tp3456 +a(g545 +Vh1 +p3457 +tp3458 +a(g7 +g960 +tp3459 +a(g892 +V\u000a \u000a +p3460 +tp3461 +a(g7 +g956 +tp3462 +a(g545 +Vh2 +p3463 +tp3464 +a(g7 +g960 +tp3465 +a(g892 +VOg managed classes +p3466 +tp3467 +a(g7 +g956 +tp3468 +a(g7 +g1098 +tp3469 +a(g545 +Vh2 +p3470 +tp3471 +a(g7 +g960 +tp3472 +a(g892 +V\u000a \u000a +p3473 +tp3474 +a(g7 +g956 +tp3475 +a(g545 +Vtable +p3476 +tp3477 +a(g7 +g960 +tp3478 +a(g892 +V\u000a +p3479 +tp3480 +a(g7 +g956 +tp3481 +a(g545 +Vtr +p3482 +tp3483 +a(g7 +g960 +tp3484 +a(g892 +V\u000a +p3485 +tp3486 +a(g7 +g956 +tp3487 +a(g545 +Vth +p3488 +tp3489 +a(g7 +g960 +tp3490 +a(g892 +VClass +p3491 +tp3492 +a(g7 +g956 +tp3493 +a(g7 +g1098 +tp3494 +a(g545 +Vth +p3495 +tp3496 +a(g7 +g960 +tp3497 +a(g892 +V\u000a +p3498 +tp3499 +a(g7 +g956 +tp3500 +a(g545 +Vth +p3501 +tp3502 +a(g7 +g960 +tp3503 +a(g892 +VCount +p3504 +tp3505 +a(g7 +g956 +tp3506 +a(g7 +g1098 +tp3507 +a(g545 +Vth +p3508 +tp3509 +a(g7 +g960 +tp3510 +a(g892 +V\u000a +p3511 +tp3512 +a(g7 +g956 +tp3513 +a(g545 +Vth +p3514 +tp3515 +a(g892 +g973 +tp3516 +a(g654 +Vcolspan +p3517 +tp3518 +a(g400 +g977 +tp3519 +a(g76 +V"2" +p3520 +tp3521 +a(g7 +g960 +tp3522 +a(g892 +VCleanup +p3523 +tp3524 +a(g7 +g956 +tp3525 +a(g7 +g1098 +tp3526 +a(g545 +Vth +p3527 +tp3528 +a(g7 +g960 +tp3529 +a(g892 +V\u000a +p3530 +tp3531 +a(g7 +g956 +tp3532 +a(g545 +Vth +p3533 +tp3534 +a(g7 +g960 +tp3535 +a(g892 +VProperties +p3536 +tp3537 +a(g7 +g956 +tp3538 +a(g7 +g1098 +tp3539 +a(g545 +Vth +p3540 +tp3541 +a(g7 +g960 +tp3542 +a(g892 +V\u000a +p3543 +tp3544 +a(g7 +g956 +tp3545 +a(g7 +g1098 +tp3546 +a(g545 +Vtr +p3547 +tp3548 +a(g7 +g960 +tp3549 +a(g892 +V\u000a +p3550 +tp3551 +a(g722 +V +p3552 +tp3553 +a(g892 +V\u000a +p3554 +tp3555 +a(g7 +g956 +tp3556 +a(g545 +Vtr +p3557 +tp3558 +a(g7 +g960 +tp3559 +a(g892 +V\u000a +p3560 +tp3561 +a(g7 +g956 +tp3562 +a(g545 +Vtd +p3563 +tp3564 +a(g7 +g960 +tp3565 +a(g7 +g956 +tp3566 +a(g545 +g1448 +tp3567 +a(g892 +g973 +tp3568 +a(g654 +Vhref +p3569 +tp3570 +a(g400 +g977 +tp3571 +a(g76 +V"#@base/#{Scaffolding.class_to_path(c).plural}/list" +p3572 +tp3573 +a(g7 +g960 +tp3574 +a(g892 +V#{c.name} +p3575 +tp3576 +a(g7 +g956 +tp3577 +a(g7 +g1098 +tp3578 +a(g545 +g1448 +tp3579 +a(g7 +g960 +tp3580 +a(g7 +g956 +tp3581 +a(g7 +g1098 +tp3582 +a(g545 +Vtd +p3583 +tp3584 +a(g7 +g960 +tp3585 +a(g892 +V\u000a +p3586 +tp3587 +a(g7 +g956 +tp3588 +a(g545 +Vtd +p3589 +tp3590 +a(g7 +g960 +tp3591 +a(g892 +V#{c.count} +p3592 +tp3593 +a(g7 +g956 +tp3594 +a(g7 +g1098 +tp3595 +a(g545 +Vtd +p3596 +tp3597 +a(g7 +g960 +tp3598 +a(g892 +V\u000a +p3599 +tp3600 +a(g7 +g956 +tp3601 +a(g545 +Vtd +p3602 +tp3603 +a(g7 +g960 +tp3604 +a(g7 +g956 +tp3605 +a(g545 +g1448 +tp3606 +a(g892 +g973 +tp3607 +a(g654 +Vhref +p3608 +tp3609 +a(g400 +g977 +tp3610 +a(g76 +V"delete_all/#{c.name}" +p3611 +tp3612 +a(g892 +g973 +tp3613 +a(g654 +Vonclick +p3614 +tp3615 +a(g400 +g977 +tp3616 +a(g76 +V"return confirm('Delete all instances?')" +p3617 +tp3618 +a(g7 +g960 +tp3619 +a(g892 +Vdelete +p3620 +tp3621 +a(g7 +g956 +tp3622 +a(g7 +g1098 +tp3623 +a(g545 +g1448 +tp3624 +a(g7 +g960 +tp3625 +a(g7 +g956 +tp3626 +a(g7 +g1098 +tp3627 +a(g545 +Vtd +p3628 +tp3629 +a(g7 +g960 +tp3630 +a(g892 +V\u000a +p3631 +tp3632 +a(g7 +g956 +tp3633 +a(g545 +Vtd +p3634 +tp3635 +a(g7 +g960 +tp3636 +a(g7 +g956 +tp3637 +a(g545 +g1448 +tp3638 +a(g892 +g973 +tp3639 +a(g654 +Vhref +p3640 +tp3641 +a(g400 +g977 +tp3642 +a(g76 +V"destroy/#{c.name}" +p3643 +tp3644 +a(g892 +g973 +tp3645 +a(g654 +Vonclick +p3646 +tp3647 +a(g400 +g977 +tp3648 +a(g76 +V"return confirm('Drop the schema?')" +p3649 +tp3650 +a(g7 +g960 +tp3651 +a(g892 +Vdestroy +p3652 +tp3653 +a(g7 +g956 +tp3654 +a(g7 +g1098 +tp3655 +a(g545 +g1448 +tp3656 +a(g7 +g960 +tp3657 +a(g7 +g956 +tp3658 +a(g7 +g1098 +tp3659 +a(g545 +Vtd +p3660 +tp3661 +a(g7 +g960 +tp3662 +a(g892 +V\u000a +p3663 +tp3664 +a(g7 +g956 +tp3665 +a(g545 +Vtd +p3666 +tp3667 +a(g892 +g973 +tp3668 +a(g654 +Vwidth +p3669 +tp3670 +a(g400 +g977 +tp3671 +a(g76 +V"100%" +p3672 +tp3673 +a(g7 +g960 +tp3674 +a(g892 +V#{c.properties.values.join(', ')} +p3675 +tp3676 +a(g7 +g956 +tp3677 +a(g7 +g1098 +tp3678 +a(g545 +Vtd +p3679 +tp3680 +a(g7 +g960 +tp3681 +a(g892 +V\u000a +p3682 +tp3683 +a(g7 +g956 +tp3684 +a(g7 +g1098 +tp3685 +a(g545 +Vtr +p3686 +tp3687 +a(g7 +g960 +tp3688 +a(g892 +V\u000a +p3689 +tp3690 +a(g722 +V +p3691 +tp3692 +a(g892 +V\u000a +p3693 +tp3694 +a(g7 +g956 +tp3695 +a(g7 +g1098 +tp3696 +a(g545 +Vtable +p3697 +tp3698 +a(g7 +g960 +tp3699 +a(g892 +V\u000a \u000a +p3700 +tp3701 +a(g7 +g956 +tp3702 +a(g545 +Vh2 +p3703 +tp3704 +a(g7 +g960 +tp3705 +a(g892 +VSystem configuration +p3706 +tp3707 +a(g7 +g956 +tp3708 +a(g7 +g1098 +tp3709 +a(g545 +Vh2 +p3710 +tp3711 +a(g7 +g960 +tp3712 +a(g892 +V\u000a \u000a +p3713 +tp3714 +a(g7 +g956 +tp3715 +a(g545 +Vtable +p3716 +tp3717 +a(g892 +g973 +tp3718 +a(g654 +Vwidth +p3719 +tp3720 +a(g400 +g977 +tp3721 +a(g76 +V"100%" +p3722 +tp3723 +a(g7 +g960 +tp3724 +a(g892 +V\u000a +p3725 +tp3726 +a(g7 +g956 +tp3727 +a(g545 +Vtr +p3728 +tp3729 +a(g7 +g960 +tp3730 +a(g892 +V\u000a +p3731 +tp3732 +a(g7 +g956 +tp3733 +a(g545 +Vth +p3734 +tp3735 +a(g7 +g960 +tp3736 +a(g892 +VName +p3737 +tp3738 +a(g7 +g956 +tp3739 +a(g7 +g1098 +tp3740 +a(g545 +Vth +p3741 +tp3742 +a(g7 +g960 +tp3743 +a(g892 +V\u000a +p3744 +tp3745 +a(g7 +g956 +tp3746 +a(g545 +Vth +p3747 +tp3748 +a(g7 +g960 +tp3749 +a(g892 +VValue +p3750 +tp3751 +a(g7 +g956 +tp3752 +a(g7 +g1098 +tp3753 +a(g545 +Vth +p3754 +tp3755 +a(g7 +g960 +tp3756 +a(g892 +V\u000a +p3757 +tp3758 +a(g7 +g956 +tp3759 +a(g545 +Vth +p3760 +tp3761 +a(g7 +g960 +tp3762 +a(g892 +VType +p3763 +tp3764 +a(g7 +g956 +tp3765 +a(g7 +g1098 +tp3766 +a(g545 +Vth +p3767 +tp3768 +a(g7 +g960 +tp3769 +a(g892 +V\u000a +p3770 +tp3771 +a(g7 +g956 +tp3772 +a(g545 +Vth +p3773 +tp3774 +a(g7 +g960 +tp3775 +a(g892 +VDescription +p3776 +tp3777 +a(g7 +g956 +tp3778 +a(g7 +g1098 +tp3779 +a(g545 +Vth +p3780 +tp3781 +a(g7 +g960 +tp3782 +a(g892 +V\u000a +p3783 +tp3784 +a(g7 +g956 +tp3785 +a(g7 +g1098 +tp3786 +a(g545 +Vtr +p3787 +tp3788 +a(g7 +g960 +tp3789 +a(g892 +V\u000a +p3790 +tp3791 +a(g722 +V +p3792 +tp3793 +a(g892 +V\u000a +p3794 +tp3795 +a(g7 +g956 +tp3796 +a(g545 +Vtr +p3797 +tp3798 +a(g7 +g960 +tp3799 +a(g892 +V\u000a +p3800 +tp3801 +a(g7 +g956 +tp3802 +a(g545 +Vtd +p3803 +tp3804 +a(g7 +g960 +tp3805 +a(g892 +V#{s.owner}. +p3806 +tp3807 +a(g7 +g956 +tp3808 +a(g545 +Vstrong +p3809 +tp3810 +a(g7 +g960 +tp3811 +a(g892 +V#{s.name} +p3812 +tp3813 +a(g7 +g956 +tp3814 +a(g7 +g1098 +tp3815 +a(g545 +Vstrong +p3816 +tp3817 +a(g7 +g960 +tp3818 +a(g7 +g956 +tp3819 +a(g7 +g1098 +tp3820 +a(g545 +Vtd +p3821 +tp3822 +a(g7 +g960 +tp3823 +a(g892 +V\u000a +p3824 +tp3825 +a(g7 +g956 +tp3826 +a(g545 +Vtd +p3827 +tp3828 +a(g7 +g960 +tp3829 +a(g892 +V#{s.value.inspect} +p3830 +tp3831 +a(g7 +g956 +tp3832 +a(g7 +g1098 +tp3833 +a(g545 +Vtd +p3834 +tp3835 +a(g7 +g960 +tp3836 +a(g892 +V\u000a +p3837 +tp3838 +a(g7 +g956 +tp3839 +a(g545 +Vtd +p3840 +tp3841 +a(g7 +g960 +tp3842 +a(g892 +V#{s.type} +p3843 +tp3844 +a(g7 +g956 +tp3845 +a(g7 +g1098 +tp3846 +a(g545 +Vtd +p3847 +tp3848 +a(g7 +g960 +tp3849 +a(g892 +V\u000a +p3850 +tp3851 +a(g7 +g956 +tp3852 +a(g545 +Vtd +p3853 +tp3854 +a(g7 +g960 +tp3855 +a(g892 +V#{s.options[:doc]} +p3856 +tp3857 +a(g7 +g956 +tp3858 +a(g7 +g1098 +tp3859 +a(g545 +Vtd +p3860 +tp3861 +a(g7 +g960 +tp3862 +a(g892 +V\u000a +p3863 +tp3864 +a(g7 +g956 +tp3865 +a(g7 +g1098 +tp3866 +a(g545 +Vtr +p3867 +tp3868 +a(g7 +g960 +tp3869 +a(g892 +V\u000a +p3870 +tp3871 +a(g722 +V +p3872 +tp3873 +a(g892 +V\u000a +p3874 +tp3875 +a(g7 +g956 +tp3876 +a(g7 +g1098 +tp3877 +a(g545 +Vtable +p3878 +tp3879 +a(g7 +g960 +tp3880 +a(g892 +V\u000a +p3881 +tp3882 +a(g7 +g956 +tp3883 +a(g7 +g1098 +tp3884 +a(g545 +VSystemPage +p3885 +tp3886 +a(g7 +g960 +tp3887 +a(g892 +V \u000a\u000a +p3888 +tp3889 +a(g7 +g956 +tp3890 +a(g545 +g1546 +tp3891 +a(g7 +g960 +tp3892 +a(g722 +V +p3893 +tp3894 +a(g7 +g956 +tp3895 +a(g7 +g1098 +tp3896 +a(g545 +g1546 +tp3897 +a(g7 +g960 +tp3898 +a(g892 +V\u000a +p3899 +tp3900 +a(g7 +g956 +tp3901 +a(g545 +g1546 +tp3902 +a(g7 +g960 +tp3903 +a(g722 +V +p3904 +tp3905 +a(g7 +g956 +tp3906 +a(g7 +g1098 +tp3907 +a(g545 +g1546 +tp3908 +a(g7 +g960 +tp3909 +a(g892 +V\u000a +p3910 +tp3911 +a(g7 +g956 +tp3912 +a(g545 +Vhtml +p3913 +tp3914 +a(g7 +g960 +tp3915 +a(g892 +V\u000a +p3916 +tp3917 +a(g7 +g956 +tp3918 +a(g545 +g1546 +tp3919 +a(g7 +g960 +tp3920 +a(g892 +VTest +p3921 +tp3922 +a(g7 +g956 +tp3923 +a(g7 +g1098 +tp3924 +a(g545 +g1546 +tp3925 +a(g7 +g960 +tp3926 +a(g892 +V\u000a\u000a +p3927 +tp3928 +a(g722 +V +p3929 +tp3930 +a(g892 +V\u000a\u000a +p3931 +tp3932 +a(g7 +g956 +tp3933 +a(g545 +Vrender +p3934 +tp3935 +a(g892 +g973 +tp3936 +a(g654 +Vhref +p3937 +tp3938 +a(g400 +g977 +tp3939 +a(g76 +V"blog/inc1" +p3940 +tp3941 +a(g892 +g973 +tp3942 +a(g7 +g1098 +tp3943 +a(g7 +g960 +tp3944 +a(g892 +V\u000a +p3945 +tp3946 +a(g7 +g956 +tp3947 +a(g545 +Vrender +p3948 +tp3949 +a(g892 +g973 +tp3950 +a(g654 +Vhref +p3951 +tp3952 +a(g400 +g977 +tp3953 +a(g76 +V'blog/inc2' +p3954 +tp3955 +a(g892 +g973 +tp3956 +a(g7 +g1098 +tp3957 +a(g7 +g960 +tp3958 +a(g892 +V\u000a\u000a +p3959 +tp3960 +a(g7 +g956 +tp3961 +a(g7 +g1098 +tp3962 +a(g545 +Vhtml +p3963 +tp3964 +a(g7 +g960 +tp3965 +a(g892 +V\u000a +p3966 +tp3967 +a(g7 +g956 +tp3968 +a(g545 +Vhtml +p3969 +tp3970 +a(g7 +g960 +tp3971 +a(g892 +Vhello +p3972 +tp3973 +a(g7 +g956 +tp3974 +a(g7 +g1098 +tp3975 +a(g545 +Vhtml +p3976 +tp3977 +a(g7 +g960 +tp3978 +a(g892 +V\u000aHello #{username}\u000a\u000ahow do you feel?\u000a\u000aHere is your +p3979 +tp3980 +a(g7 +g956 +tp3981 +a(g545 +g1546 +tp3982 +a(g7 +g960 +tp3983 +a(g892 +VToken +p3984 +tp3985 +a(g7 +g956 +tp3986 +a(g7 +g1098 +tp3987 +a(g545 +g1546 +tp3988 +a(g7 +g960 +tp3989 +a(g892 +V: #{token}\u000a +p3990 +tp3991 +a(g7 +g956 +tp3992 +a(g545 +VPage +p3993 +tp3994 +a(g892 +g973 +tp3995 +a(g654 +Vtitle +p3996 +tp3997 +a(g400 +g977 +tp3998 +a(g76 +V"Questions and Tips by Tags" +p3999 +tp4000 +a(g7 +g960 +tp4001 +a(g892 +V\u000a +p4002 +tp4003 +a(g7 +g956 +tp4004 +a(g545 +Vdiv +p4005 +tp4006 +a(g892 +g973 +tp4007 +a(g654 +Vid +p4008 +tp4009 +a(g400 +g977 +tp4010 +a(g76 +V"left" +p4011 +tp4012 +a(g7 +g960 +tp4013 +a(g892 +V\u000a +p4014 +tp4015 +a(g722 +V +p4016 +tp4017 +a(g892 +V\u000a +p4018 +tp4019 +a(g7 +g956 +tp4020 +a(g545 +Vh1 +p4021 +tp4022 +a(g7 +g960 +tp4023 +a(g892 +VQuestions with Tags: #{@tags.join(" ")} +p4024 +tp4025 +a(g7 +g956 +tp4026 +a(g7 +g1098 +tp4027 +a(g545 +Vh1 +p4028 +tp4029 +a(g7 +g960 +tp4030 +a(g892 +V\u000a\u000a +p4031 +tp4032 +a(g722 +V 0 ?> +p4033 +tp4034 +a(g892 +V\u000a +p4035 +tp4036 +a(g722 +V +p4037 +tp4038 +a(g892 +V\u000a Too many results for that Tag, please reduce the number by using one of the following Tags:\u000a #{cloud_of(@qtags)}\u000a +p4039 +tp4040 +a(g722 +V +p4041 +tp4042 +a(g892 +V\u000a +p4043 +tp4044 +a(g7 +g956 +tp4045 +a(g545 +Vdiv +p4046 +tp4047 +a(g892 +g973 +tp4048 +a(g654 +Vclass +p4049 +tp4050 +a(g400 +g977 +tp4051 +a(g76 +V"results" +p4052 +tp4053 +a(g7 +g960 +tp4054 +a(g892 +V\u000a +p4055 +tp4056 +a(g722 +V +p4057 +tp4058 +a(g892 +V\u000a +p4059 +tp4060 +a(g7 +g956 +tp4061 +a(g545 +Vh2 +p4062 +tp4063 +a(g7 +g960 +tp4064 +a(g7 +g956 +tp4065 +a(g545 +g1448 +tp4066 +a(g892 +g973 +tp4067 +a(g654 +Vhref +p4068 +tp4069 +a(g400 +g977 +tp4070 +a(g76 +V"/question/#{q.oid}" +p4071 +tp4072 +a(g7 +g960 +tp4073 +a(g892 +V#{q.question} +p4074 +tp4075 +a(g7 +g956 +tp4076 +a(g7 +g1098 +tp4077 +a(g545 +g1448 +tp4078 +a(g7 +g960 +tp4079 +a(g7 +g956 +tp4080 +a(g7 +g1098 +tp4081 +a(g545 +Vh2 +p4082 +tp4083 +a(g7 +g960 +tp4084 +a(g892 +V\u000a +p4085 +tp4086 +a(g7 +g956 +tp4087 +a(g545 +g1849 +tp4088 +a(g7 +g960 +tp4089 +a(g892 +V\u000a +p4090 +tp4091 +a(g722 +V +p4092 +tp4093 +a(g892 +V\u000a #{excerpt}\u000a +p4094 +tp4095 +a(g7 +g956 +tp4096 +a(g7 +g1098 +tp4097 +a(g545 +g1849 +tp4098 +a(g7 +g960 +tp4099 +a(g892 +V\u000a +p4100 +tp4101 +a(g7 +g956 +tp4102 +a(g545 +g1849 +tp4103 +a(g892 +g973 +tp4104 +a(g654 +Vstyle +p4105 +tp4106 +a(g400 +g977 +tp4107 +a(g76 +V"float:right;" +p4108 +tp4109 +a(g7 +g960 +tp4110 +a(g892 +V#{q.answers.size.to_i} answers +p4111 +tp4112 +a(g7 +g956 +tp4113 +a(g7 +g1098 +tp4114 +a(g545 +g1849 +tp4115 +a(g7 +g960 +tp4116 +a(g892 +V\u000a +p4117 +tp4118 +a(g722 +V +p4119 +tp4120 +a(g892 +V\u000a +p4121 +tp4122 +a(g7 +g956 +tp4123 +a(g7 +g1098 +tp4124 +a(g545 +Vdiv +p4125 +tp4126 +a(g7 +g960 +tp4127 +a(g892 +V\u000a +p4128 +tp4129 +a(g7 +g956 +tp4130 +a(g545 +Vdiv +p4131 +tp4132 +a(g892 +g973 +tp4133 +a(g654 +Vclass +p4134 +tp4135 +a(g400 +g977 +tp4136 +a(g76 +V"pager" +p4137 +tp4138 +a(g7 +g960 +tp4139 +a(g892 +V\u000a #{@qpager.navigation}\u000a +p4140 +tp4141 +a(g7 +g956 +tp4142 +a(g7 +g1098 +tp4143 +a(g545 +Vdiv +p4144 +tp4145 +a(g7 +g960 +tp4146 +a(g892 +V\u000a +p4147 +tp4148 +a(g722 +V +p4149 +tp4150 +a(g892 +V\u000a +p4151 +tp4152 +a(g7 +g956 +tp4153 +a(g545 +Vdiv +p4154 +tp4155 +a(g892 +g973 +tp4156 +a(g654 +Vclass +p4157 +tp4158 +a(g400 +g977 +tp4159 +a(g76 +V"results_none" +p4160 +tp4161 +a(g7 +g960 +tp4162 +a(g892 +V\u000a +p4163 +tp4164 +a(g7 +g956 +tp4165 +a(g545 +Vh2 +p4166 +tp4167 +a(g7 +g960 +tp4168 +a(g892 +Vno question with this/these tag(s) found +p4169 +tp4170 +a(g7 +g956 +tp4171 +a(g7 +g1098 +tp4172 +a(g545 +Vh2 +p4173 +tp4174 +a(g7 +g960 +tp4175 +a(g892 +V\u000a +p4176 +tp4177 +a(g7 +g956 +tp4178 +a(g545 +g1849 +tp4179 +a(g7 +g960 +tp4180 +a(g7 +g956 +tp4181 +a(g545 +g1448 +tp4182 +a(g892 +g973 +tp4183 +a(g654 +Vhref +p4184 +tp4185 +a(g400 +g977 +tp4186 +a(g76 +V"/ask" +p4187 +tp4188 +a(g7 +g960 +tp4189 +a(g892 +VAsk a question here. +p4190 +tp4191 +a(g7 +g956 +tp4192 +a(g7 +g1098 +tp4193 +a(g545 +g1448 +tp4194 +a(g7 +g960 +tp4195 +a(g7 +g956 +tp4196 +a(g7 +g1098 +tp4197 +a(g545 +g1849 +tp4198 +a(g7 +g960 +tp4199 +a(g892 +V\u000a +p4200 +tp4201 +a(g7 +g956 +tp4202 +a(g7 +g1098 +tp4203 +a(g545 +Vdiv +p4204 +tp4205 +a(g7 +g960 +tp4206 +a(g892 +V\u000a +p4207 +tp4208 +a(g722 +V +p4209 +tp4210 +a(g892 +V\u000a \u000a +p4211 +tp4212 +a(g722 +V 0 ?> +p4213 +tp4214 +a(g892 +V\u000a +p4215 +tp4216 +a(g7 +g956 +tp4217 +a(g545 +Vh1 +p4218 +tp4219 +a(g7 +g960 +tp4220 +a(g892 +VTips with Tags: #{@tags.join(" ")} +p4221 +tp4222 +a(g7 +g956 +tp4223 +a(g7 +g1098 +tp4224 +a(g545 +Vh1 +p4225 +tp4226 +a(g7 +g960 +tp4227 +a(g892 +V\u000a +p4228 +tp4229 +a(g722 +V +p4230 +tp4231 +a(g892 +V\u000a Too many results for that Tag, please reduce the number by using one of the following Tags:\u000a #{cloud_of(@ttags)}\u000a +p4232 +tp4233 +a(g722 +V +p4234 +tp4235 +a(g892 +V\u000a +p4236 +tp4237 +a(g7 +g956 +tp4238 +a(g545 +Vdiv +p4239 +tp4240 +a(g892 +g973 +tp4241 +a(g654 +Vclass +p4242 +tp4243 +a(g400 +g977 +tp4244 +a(g76 +V"results" +p4245 +tp4246 +a(g7 +g960 +tp4247 +a(g892 +V\u000a +p4248 +tp4249 +a(g722 +V +p4250 +tp4251 +a(g892 +V\u000a +p4252 +tp4253 +a(g7 +g956 +tp4254 +a(g545 +Vh2 +p4255 +tp4256 +a(g7 +g960 +tp4257 +a(g7 +g956 +tp4258 +a(g545 +g1448 +tp4259 +a(g892 +g973 +tp4260 +a(g654 +Vhref +p4261 +tp4262 +a(g400 +g977 +tp4263 +a(g76 +V"/tip/#{t.oid}" +p4264 +tp4265 +a(g7 +g960 +tp4266 +a(g892 +V#{t.title} +p4267 +tp4268 +a(g7 +g956 +tp4269 +a(g7 +g1098 +tp4270 +a(g545 +g1448 +tp4271 +a(g7 +g960 +tp4272 +a(g7 +g956 +tp4273 +a(g7 +g1098 +tp4274 +a(g545 +Vh2 +p4275 +tp4276 +a(g7 +g960 +tp4277 +a(g892 +V\u000a +p4278 +tp4279 +a(g7 +g956 +tp4280 +a(g545 +g1849 +tp4281 +a(g7 +g960 +tp4282 +a(g892 +V\u000a +p4283 +tp4284 +a(g722 +V +p4285 +tp4286 +a(g892 +V\u000a #{excerpt}\u000a +p4287 +tp4288 +a(g7 +g956 +tp4289 +a(g7 +g1098 +tp4290 +a(g545 +g1849 +tp4291 +a(g7 +g960 +tp4292 +a(g892 +V\u000a +p4293 +tp4294 +a(g722 +V +p4295 +tp4296 +a(g892 +V\u000a +p4297 +tp4298 +a(g7 +g956 +tp4299 +a(g7 +g1098 +tp4300 +a(g545 +Vdiv +p4301 +tp4302 +a(g7 +g960 +tp4303 +a(g892 +V\u000a +p4304 +tp4305 +a(g7 +g956 +tp4306 +a(g545 +Vdiv +p4307 +tp4308 +a(g892 +g973 +tp4309 +a(g654 +Vclass +p4310 +tp4311 +a(g400 +g977 +tp4312 +a(g76 +V"pager" +p4313 +tp4314 +a(g7 +g960 +tp4315 +a(g892 +V\u000a #{@tpager.navigation}\u000a +p4316 +tp4317 +a(g7 +g956 +tp4318 +a(g7 +g1098 +tp4319 +a(g545 +Vdiv +p4320 +tp4321 +a(g7 +g960 +tp4322 +a(g892 +V\u000a +p4323 +tp4324 +a(g722 +V +p4325 +tp4326 +a(g892 +V\u000a \u000a +p4327 +tp4328 +a(g722 +V 0 ?> +p4329 +tp4330 +a(g892 +V\u000a +p4331 +tp4332 +a(g7 +g956 +tp4333 +a(g545 +Vh1 +p4334 +tp4335 +a(g7 +g960 +tp4336 +a(g892 +VTutorials with Tags: #{@tags.join(" ")} +p4337 +tp4338 +a(g7 +g956 +tp4339 +a(g7 +g1098 +tp4340 +a(g545 +Vh1 +p4341 +tp4342 +a(g7 +g960 +tp4343 +a(g892 +V\u000a +p4344 +tp4345 +a(g722 +V +p4346 +tp4347 +a(g892 +V\u000a Too many results for that Tag, please reduce the number by using one of the following Tags:\u000a #{cloud_of(@tuttags)}\u000a +p4348 +tp4349 +a(g722 +V +p4350 +tp4351 +a(g892 +V\u000a +p4352 +tp4353 +a(g7 +g956 +tp4354 +a(g545 +Vdiv +p4355 +tp4356 +a(g892 +g973 +tp4357 +a(g654 +Vclass +p4358 +tp4359 +a(g400 +g977 +tp4360 +a(g76 +V"results" +p4361 +tp4362 +a(g7 +g960 +tp4363 +a(g892 +V\u000a +p4364 +tp4365 +a(g722 +V +p4366 +tp4367 +a(g892 +V\u000a +p4368 +tp4369 +a(g7 +g956 +tp4370 +a(g545 +Vh2 +p4371 +tp4372 +a(g7 +g960 +tp4373 +a(g7 +g956 +tp4374 +a(g545 +g1448 +tp4375 +a(g892 +g973 +tp4376 +a(g654 +Vhref +p4377 +tp4378 +a(g400 +g977 +tp4379 +a(g76 +V"/tutorial/#{t.oid}" +p4380 +tp4381 +a(g7 +g960 +tp4382 +a(g892 +V#{t.title} +p4383 +tp4384 +a(g7 +g956 +tp4385 +a(g7 +g1098 +tp4386 +a(g545 +g1448 +tp4387 +a(g7 +g960 +tp4388 +a(g7 +g956 +tp4389 +a(g7 +g1098 +tp4390 +a(g545 +Vh2 +p4391 +tp4392 +a(g7 +g960 +tp4393 +a(g892 +V\u000a +p4394 +tp4395 +a(g7 +g956 +tp4396 +a(g545 +g1849 +tp4397 +a(g7 +g960 +tp4398 +a(g892 +V\u000a +p4399 +tp4400 +a(g722 +V +p4401 +tp4402 +a(g892 +V\u000a #{excerpt}\u000a +p4403 +tp4404 +a(g7 +g956 +tp4405 +a(g7 +g1098 +tp4406 +a(g545 +g1849 +tp4407 +a(g7 +g960 +tp4408 +a(g892 +V\u000a +p4409 +tp4410 +a(g722 +V +p4411 +tp4412 +a(g892 +V\u000a +p4413 +tp4414 +a(g7 +g956 +tp4415 +a(g7 +g1098 +tp4416 +a(g545 +Vdiv +p4417 +tp4418 +a(g7 +g960 +tp4419 +a(g892 +V\u000a +p4420 +tp4421 +a(g7 +g956 +tp4422 +a(g545 +Vdiv +p4423 +tp4424 +a(g892 +g973 +tp4425 +a(g654 +Vclass +p4426 +tp4427 +a(g400 +g977 +tp4428 +a(g76 +V"pager" +p4429 +tp4430 +a(g7 +g960 +tp4431 +a(g892 +V\u000a #{@tpager.navigation}\u000a +p4432 +tp4433 +a(g7 +g956 +tp4434 +a(g7 +g1098 +tp4435 +a(g545 +Vdiv +p4436 +tp4437 +a(g7 +g960 +tp4438 +a(g892 +V\u000a +p4439 +tp4440 +a(g722 +V +p4441 +tp4442 +a(g892 +V\u000a \u000a \u000a +p4443 +tp4444 +a(g722 +V +p4445 +tp4446 +a(g892 +V\u000a +p4447 +tp4448 +a(g7 +g956 +tp4449 +a(g545 +Vdiv +p4450 +tp4451 +a(g892 +g973 +tp4452 +a(g654 +Vclass +p4453 +tp4454 +a(g400 +g977 +tp4455 +a(g76 +V"cloud" +p4456 +tp4457 +a(g7 +g960 +tp4458 +a(g892 +V\u000a +p4459 +tp4460 +a(g722 +V +p4461 +tp4462 +a(g892 +V\u000a +p4463 +tp4464 +a(g722 +V +p4465 +tp4466 +a(g892 +V\u000a +p4467 +tp4468 +a(g7 +g956 +tp4469 +a(g545 +g1448 +tp4470 +a(g892 +g973 +tp4471 +a(g654 +Vhref +p4472 +tp4473 +a(g400 +g977 +tp4474 +a(g76 +V"/tags/#{t.name}" +p4475 +tp4476 +a(g892 +g973 +tp4477 +a(g654 +Vstyle +p4478 +tp4479 +a(g400 +g977 +tp4480 +a(g76 +V"font-size:#{(1+((t.popularity.to_i/sum.to_f)*2)).to_s[0..3]}em;" +p4481 +tp4482 +a(g7 +g960 +tp4483 +a(g892 +V#{t.name} +p4484 +tp4485 +a(g7 +g956 +tp4486 +a(g7 +g1098 +tp4487 +a(g545 +g1448 +tp4488 +a(g7 +g960 +tp4489 +a(g892 +V\u000a +p4490 +tp4491 +a(g722 +V +p4492 +tp4493 +a(g892 +V\u000a +p4494 +tp4495 +a(g7 +g956 +tp4496 +a(g7 +g1098 +tp4497 +a(g545 +Vdiv +p4498 +tp4499 +a(g7 +g960 +tp4500 +a(g892 +g973 +tp4501 +a(g693 +V +p4506 +tp4507 +a(g892 +V\u000a +p4508 +tp4509 +a(g722 +V +p4510 +tp4511 +a(g892 +V\u000a +p4512 +tp4513 +a(g7 +g956 +tp4514 +a(g7 +g1098 +tp4515 +a(g545 +Vdiv +p4516 +tp4517 +a(g7 +g960 +tp4518 +a(g892 +g973 +tp4519 +a(g693 +V +p4524 +tp4525 +a(g892 +V\u000a \u000a +p4526 +tp4527 +a(g7 +g956 +tp4528 +a(g545 +Vrender +p4529 +tp4530 +a(g892 +g973 +tp4531 +a(g654 +Vhref +p4532 +tp4533 +a(g400 +g977 +tp4534 +a(g76 +V"/right" +p4535 +tp4536 +a(g892 +g973 +tp4537 +a(g7 +g1098 +tp4538 +a(g7 +g960 +tp4539 +a(g892 +V\u000a +p4540 +tp4541 +a(g7 +g956 +tp4542 +a(g7 +g1098 +tp4543 +a(g545 +VPage +p4544 +tp4545 +a(g7 +g960 +tp4546 +a(g892 +V\u000a\u000a +p4547 +tp4548 +a(g693 +V +p4557 +tp4558 +a(g892 +V\u000a +p4559 +tp4560 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.xtend b/tests/examplefiles/output/example.xtend new file mode 100644 index 0000000..23fa72b --- /dev/null +++ b/tests/examplefiles/output/example.xtend @@ -0,0 +1,3802 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVpackage +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g428 +Vbeer +p960 +tp961 +a(g830 +V\u000a +p962 +tp963 +a(g830 +V\u000a +p964 +tp965 +a(g8 +Vimport +p966 +tp967 +a(g830 +g958 +tp968 +a(g612 +Vstatic +p969 +tp970 +a(g830 +g958 +tp971 +a(g428 +Vextension +p972 +tp973 +a(g830 +g958 +tp974 +a(g428 +Vbeer +p975 +tp976 +a(g405 +V. +p977 +tp978 +a(g428 +VBottleSupport +p979 +tp980 +a(g405 +g977 +tp981 +a(g405 +V* +p982 +tp983 +a(g830 +V\u000a +p984 +tp985 +a(g8 +Vimport +p986 +tp987 +a(g830 +g958 +tp988 +a(g612 +Vorg.junit.Test +p989 +tp990 +a(g830 +V\u000a +p991 +tp992 +a(g830 +V\u000a +p993 +tp994 +a(g939 +Vclass +p995 +tp996 +a(g830 +g958 +tp997 +a(g620 +VBottleSong +p998 +tp999 +a(g830 +g958 +tp1000 +a(g405 +V{ +p1001 +tp1002 +a(g830 +V\u000a +p1003 +tp1004 +a(g830 +V\u000a +p1005 +tp1006 +a(g830 +V +p1007 +tp1008 +a(g488 +V@Test +p1009 +tp1010 +a(g830 +V\u000a +p1011 +tp1012 +a(g830 +g1007 +tp1013 +a(g939 +Vdef +p1014 +tp1015 +a(g830 +g958 +tp1016 +a(g885 +Vvoid +p1017 +tp1018 +a(g830 +g958 +tp1019 +a(g566 +VsingIt +p1020 +tp1021 +a(g405 +V( +p1022 +tp1023 +a(g405 +V) +p1024 +tp1025 +a(g830 +g958 +tp1026 +a(g405 +g1001 +tp1027 +a(g830 +V\u000a +p1028 +tp1029 +a(g830 +V +p1030 +tp1031 +a(g428 +Vprintln +p1032 +tp1033 +a(g405 +g1022 +tp1034 +a(g428 +VsingTheSong +p1035 +tp1036 +a(g405 +g1022 +tp1037 +a(g27 +V99 +p1038 +tp1039 +a(g405 +g1024 +tp1040 +a(g405 +g1024 +tp1041 +a(g830 +V\u000a +p1042 +tp1043 +a(g830 +g1007 +tp1044 +a(g405 +V} +p1045 +tp1046 +a(g830 +V\u000a +p1047 +tp1048 +a(g830 +g1007 +tp1049 +a(g830 +V\u000a +p1050 +tp1051 +a(g830 +g1007 +tp1052 +a(g939 +Vdef +p1053 +tp1054 +a(g830 +g958 +tp1055 +a(g566 +VsingTheSong +p1056 +tp1057 +a(g405 +g1022 +tp1058 +a(g885 +Vint +p1059 +tp1060 +a(g830 +g958 +tp1061 +a(g428 +Vall +p1062 +tp1063 +a(g405 +g1024 +tp1064 +a(g830 +g958 +tp1065 +a(g81 +V''' +p1066 +tp1067 +a(g81 +V\u000a +p1068 +tp1069 +a(g81 +g1007 +tp1070 +a(g81 +g1007 +tp1071 +a(g81 +V« +p1072 +tp1073 +a(g13 +VFOR +p1074 +tp1075 +a(g830 +g958 +tp1076 +a(g428 +Vi +p1077 +tp1078 +a(g830 +g958 +tp1079 +a(g405 +V: +p1080 +tp1081 +a(g830 +g958 +tp1082 +a(g428 +Vall +p1083 +tp1084 +a(g830 +g958 +tp1085 +a(g405 +g977 +tp1086 +a(g405 +g977 +tp1087 +a(g830 +g958 +tp1088 +a(g27 +V1 +p1089 +tp1090 +a(g81 +V» +p1091 +tp1092 +a(g81 +V\u000a +p1093 +tp1094 +a(g81 +g1007 +tp1095 +a(g81 +g1007 +tp1096 +a(g81 +g1007 +tp1097 +a(g81 +g1072 +tp1098 +a(g428 +g1077 +tp1099 +a(g405 +g977 +tp1100 +a(g428 +VBottles +p1101 +tp1102 +a(g81 +g1091 +tp1103 +a(g81 +g958 +tp1104 +a(g81 +Vo +p1105 +tp1106 +a(g81 +Vf +p1107 +tp1108 +a(g81 +g958 +tp1109 +a(g81 +Vb +p1110 +tp1111 +a(g81 +Ve +p1112 +tp1113 +a(g81 +g1112 +tp1114 +a(g81 +Vr +p1115 +tp1116 +a(g81 +g958 +tp1117 +a(g81 +g1105 +tp1118 +a(g81 +Vn +p1119 +tp1120 +a(g81 +g958 +tp1121 +a(g81 +Vt +p1122 +tp1123 +a(g81 +Vh +p1124 +tp1125 +a(g81 +g1112 +tp1126 +a(g81 +g958 +tp1127 +a(g81 +Vw +p1128 +tp1129 +a(g81 +Va +p1130 +tp1131 +a(g81 +Vl +p1132 +tp1133 +a(g81 +g1132 +tp1134 +a(g81 +V, +p1135 +tp1136 +a(g81 +g958 +tp1137 +a(g81 +g1072 +tp1138 +a(g428 +g1077 +tp1139 +a(g405 +g977 +tp1140 +a(g428 +Vbottles +p1141 +tp1142 +a(g81 +g1091 +tp1143 +a(g81 +g958 +tp1144 +a(g81 +g1105 +tp1145 +a(g81 +g1107 +tp1146 +a(g81 +g958 +tp1147 +a(g81 +g1110 +tp1148 +a(g81 +g1112 +tp1149 +a(g81 +g1112 +tp1150 +a(g81 +g1115 +tp1151 +a(g81 +g977 +tp1152 +a(g81 +V\u000a +p1153 +tp1154 +a(g81 +g1007 +tp1155 +a(g81 +g1007 +tp1156 +a(g81 +g1007 +tp1157 +a(g81 +VT +p1158 +tp1159 +a(g81 +g1130 +tp1160 +a(g81 +Vk +p1161 +tp1162 +a(g81 +g1112 +tp1163 +a(g81 +g958 +tp1164 +a(g81 +g1105 +tp1165 +a(g81 +g1119 +tp1166 +a(g81 +g1112 +tp1167 +a(g81 +g958 +tp1168 +a(g81 +Vd +p1169 +tp1170 +a(g81 +g1105 +tp1171 +a(g81 +g1128 +tp1172 +a(g81 +g1119 +tp1173 +a(g81 +g958 +tp1174 +a(g81 +g1130 +tp1175 +a(g81 +g1119 +tp1176 +a(g81 +g1169 +tp1177 +a(g81 +g958 +tp1178 +a(g81 +Vp +p1179 +tp1180 +a(g81 +g1130 +tp1181 +a(g81 +Vs +p1182 +tp1183 +a(g81 +g1182 +tp1184 +a(g81 +g958 +tp1185 +a(g81 +g1077 +tp1186 +a(g81 +g1122 +tp1187 +a(g81 +g958 +tp1188 +a(g81 +g1130 +tp1189 +a(g81 +g1115 +tp1190 +a(g81 +g1105 +tp1191 +a(g81 +Vu +p1192 +tp1193 +a(g81 +g1119 +tp1194 +a(g81 +g1169 +tp1195 +a(g81 +g1135 +tp1196 +a(g81 +g958 +tp1197 +a(g81 +g1072 +tp1198 +a(g405 +g1022 +tp1199 +a(g428 +g1077 +tp1200 +a(g830 +g958 +tp1201 +a(g405 +V- +p1202 +tp1203 +a(g830 +g958 +tp1204 +a(g27 +g1089 +tp1205 +a(g405 +g1024 +tp1206 +a(g405 +g977 +tp1207 +a(g428 +Vbottles +p1208 +tp1209 +a(g81 +g1091 +tp1210 +a(g81 +g958 +tp1211 +a(g81 +g1105 +tp1212 +a(g81 +g1107 +tp1213 +a(g81 +g958 +tp1214 +a(g81 +g1110 +tp1215 +a(g81 +g1112 +tp1216 +a(g81 +g1112 +tp1217 +a(g81 +g1115 +tp1218 +a(g81 +g958 +tp1219 +a(g81 +g1105 +tp1220 +a(g81 +g1119 +tp1221 +a(g81 +g958 +tp1222 +a(g81 +g1122 +tp1223 +a(g81 +g1124 +tp1224 +a(g81 +g1112 +tp1225 +a(g81 +g958 +tp1226 +a(g81 +g1128 +tp1227 +a(g81 +g1130 +tp1228 +a(g81 +g1132 +tp1229 +a(g81 +g1132 +tp1230 +a(g81 +g977 +tp1231 +a(g81 +V\u000a +p1232 +tp1233 +a(g81 +g1007 +tp1234 +a(g81 +g1007 +tp1235 +a(g81 +g1007 +tp1236 +a(g81 +V\u000a +p1237 +tp1238 +a(g81 +g1007 +tp1239 +a(g81 +g1007 +tp1240 +a(g81 +g1072 +tp1241 +a(g13 +VENDFOR +p1242 +tp1243 +a(g81 +g1091 +tp1244 +a(g81 +V\u000a +p1245 +tp1246 +a(g81 +g1007 +tp1247 +a(g81 +g1007 +tp1248 +a(g81 +VN +p1249 +tp1250 +a(g81 +g1105 +tp1251 +a(g81 +g958 +tp1252 +a(g81 +Vm +p1253 +tp1254 +a(g81 +g1105 +tp1255 +a(g81 +g1115 +tp1256 +a(g81 +g1112 +tp1257 +a(g81 +g958 +tp1258 +a(g81 +g1110 +tp1259 +a(g81 +g1105 +tp1260 +a(g81 +g1122 +tp1261 +a(g81 +g1122 +tp1262 +a(g81 +g1132 +tp1263 +a(g81 +g1112 +tp1264 +a(g81 +g1182 +tp1265 +a(g81 +g958 +tp1266 +a(g81 +g1105 +tp1267 +a(g81 +g1107 +tp1268 +a(g81 +g958 +tp1269 +a(g81 +g1110 +tp1270 +a(g81 +g1112 +tp1271 +a(g81 +g1112 +tp1272 +a(g81 +g1115 +tp1273 +a(g81 +g958 +tp1274 +a(g81 +g1105 +tp1275 +a(g81 +g1119 +tp1276 +a(g81 +g958 +tp1277 +a(g81 +g1122 +tp1278 +a(g81 +g1124 +tp1279 +a(g81 +g1112 +tp1280 +a(g81 +g958 +tp1281 +a(g81 +g1128 +tp1282 +a(g81 +g1130 +tp1283 +a(g81 +g1132 +tp1284 +a(g81 +g1132 +tp1285 +a(g81 +g1135 +tp1286 +a(g81 +g958 +tp1287 +a(g81 +g1119 +tp1288 +a(g81 +g1105 +tp1289 +a(g81 +g958 +tp1290 +a(g81 +g1253 +tp1291 +a(g81 +g1105 +tp1292 +a(g81 +g1115 +tp1293 +a(g81 +g1112 +tp1294 +a(g81 +g958 +tp1295 +a(g81 +g1110 +tp1296 +a(g81 +g1105 +tp1297 +a(g81 +g1122 +tp1298 +a(g81 +g1122 +tp1299 +a(g81 +g1132 +tp1300 +a(g81 +g1112 +tp1301 +a(g81 +g1182 +tp1302 +a(g81 +g958 +tp1303 +a(g81 +g1105 +tp1304 +a(g81 +g1107 +tp1305 +a(g81 +g958 +tp1306 +a(g81 +g1110 +tp1307 +a(g81 +g1112 +tp1308 +a(g81 +g1112 +tp1309 +a(g81 +g1115 +tp1310 +a(g81 +g977 +tp1311 +a(g81 +V\u000a +p1312 +tp1313 +a(g81 +g1007 +tp1314 +a(g81 +g1007 +tp1315 +a(g81 +VG +p1316 +tp1317 +a(g81 +g1105 +tp1318 +a(g81 +g958 +tp1319 +a(g81 +g1122 +tp1320 +a(g81 +g1105 +tp1321 +a(g81 +g958 +tp1322 +a(g81 +g1122 +tp1323 +a(g81 +g1124 +tp1324 +a(g81 +g1112 +tp1325 +a(g81 +g958 +tp1326 +a(g81 +g1182 +tp1327 +a(g81 +g1122 +tp1328 +a(g81 +g1105 +tp1329 +a(g81 +g1115 +tp1330 +a(g81 +g1112 +tp1331 +a(g81 +g958 +tp1332 +a(g81 +g1130 +tp1333 +a(g81 +g1119 +tp1334 +a(g81 +g1169 +tp1335 +a(g81 +g958 +tp1336 +a(g81 +g1110 +tp1337 +a(g81 +g1192 +tp1338 +a(g81 +Vy +p1339 +tp1340 +a(g81 +g958 +tp1341 +a(g81 +g1182 +tp1342 +a(g81 +g1105 +tp1343 +a(g81 +g1253 +tp1344 +a(g81 +g1112 +tp1345 +a(g81 +g958 +tp1346 +a(g81 +g1253 +tp1347 +a(g81 +g1105 +tp1348 +a(g81 +g1115 +tp1349 +a(g81 +g1112 +tp1350 +a(g81 +g1135 +tp1351 +a(g81 +g958 +tp1352 +a(g81 +g1072 +tp1353 +a(g428 +Vall +p1354 +tp1355 +a(g405 +g977 +tp1356 +a(g428 +Vbottles +p1357 +tp1358 +a(g81 +g1091 +tp1359 +a(g81 +g958 +tp1360 +a(g81 +g1105 +tp1361 +a(g81 +g1107 +tp1362 +a(g81 +g958 +tp1363 +a(g81 +g1110 +tp1364 +a(g81 +g1112 +tp1365 +a(g81 +g1112 +tp1366 +a(g81 +g1115 +tp1367 +a(g81 +g958 +tp1368 +a(g81 +g1105 +tp1369 +a(g81 +g1119 +tp1370 +a(g81 +g958 +tp1371 +a(g81 +g1122 +tp1372 +a(g81 +g1124 +tp1373 +a(g81 +g1112 +tp1374 +a(g81 +g958 +tp1375 +a(g81 +g1128 +tp1376 +a(g81 +g1130 +tp1377 +a(g81 +g1132 +tp1378 +a(g81 +g1132 +tp1379 +a(g81 +g977 +tp1380 +a(g81 +V\u000a +p1381 +tp1382 +a(g81 +g1007 +tp1383 +a(g81 +V''' +p1384 +tp1385 +a(g830 +V\u000a +p1386 +tp1387 +a(g830 +V\u000a +p1388 +tp1389 +a(g830 +g1007 +tp1390 +a(g939 +Vdef +p1391 +tp1392 +a(g830 +g958 +tp1393 +a(g939 +Vprivate +p1394 +tp1395 +a(g830 +g958 +tp1396 +a(g428 +Vjava +p1397 +tp1398 +a(g405 +g977 +tp1399 +a(g428 +Vlang +p1400 +tp1401 +a(g405 +g977 +tp1402 +a(g428 +VString +p1403 +tp1404 +a(g830 +g958 +tp1405 +a(g566 +Vbottles +p1406 +tp1407 +a(g405 +g1022 +tp1408 +a(g885 +Vint +p1409 +tp1410 +a(g830 +g958 +tp1411 +a(g428 +g1077 +tp1412 +a(g405 +g1024 +tp1413 +a(g830 +g958 +tp1414 +a(g405 +g1001 +tp1415 +a(g830 +V\u000a +p1416 +tp1417 +a(g830 +V +p1418 +tp1419 +a(g13 +Vswitch +p1420 +tp1421 +a(g830 +g958 +tp1422 +a(g428 +g1077 +tp1423 +a(g830 +g958 +tp1424 +a(g405 +g1001 +tp1425 +a(g830 +V\u000a +p1426 +tp1427 +a(g830 +V +p1428 +tp1429 +a(g13 +Vcase +p1430 +tp1431 +a(g830 +g958 +tp1432 +a(g27 +V0 +p1433 +tp1434 +a(g830 +g958 +tp1435 +a(g405 +g1080 +tp1436 +a(g830 +g958 +tp1437 +a(g81 +V'no more bottles' +p1438 +tp1439 +a(g830 +V\u000a +p1440 +tp1441 +a(g830 +V +p1442 +tp1443 +a(g13 +Vcase +p1444 +tp1445 +a(g830 +g958 +tp1446 +a(g27 +g1089 +tp1447 +a(g830 +g958 +tp1448 +a(g405 +g1080 +tp1449 +a(g830 +g958 +tp1450 +a(g81 +V'one bottle' +p1451 +tp1452 +a(g830 +V\u000a +p1453 +tp1454 +a(g830 +V +p1455 +tp1456 +a(g13 +Vdefault +p1457 +tp1458 +a(g830 +g958 +tp1459 +a(g405 +g1080 +tp1460 +a(g830 +g958 +tp1461 +a(g81 +V''' +p1462 +tp1463 +a(g81 +g1072 +tp1464 +a(g428 +g1077 +tp1465 +a(g81 +g1091 +tp1466 +a(g81 +g958 +tp1467 +a(g81 +g1110 +tp1468 +a(g81 +g1105 +tp1469 +a(g81 +g1122 +tp1470 +a(g81 +g1122 +tp1471 +a(g81 +g1132 +tp1472 +a(g81 +g1112 +tp1473 +a(g81 +g1182 +tp1474 +a(g81 +V''' +p1475 +tp1476 +a(g830 +V\u000a +p1477 +tp1478 +a(g830 +V +p1479 +tp1480 +a(g405 +g1045 +tp1481 +a(g405 +g977 +tp1482 +a(g428 +VtoString +p1483 +tp1484 +a(g830 +V\u000a +p1485 +tp1486 +a(g830 +g1007 +tp1487 +a(g405 +g1045 +tp1488 +a(g830 +g1007 +tp1489 +a(g830 +V\u000a +p1490 +tp1491 +a(g830 +g1007 +tp1492 +a(g830 +V\u000a +p1493 +tp1494 +a(g830 +g1007 +tp1495 +a(g939 +Vdef +p1496 +tp1497 +a(g830 +g958 +tp1498 +a(g428 +VString +p1499 +tp1500 +a(g830 +g958 +tp1501 +a(g566 +VBottles +p1502 +tp1503 +a(g405 +g1022 +tp1504 +a(g885 +Vint +p1505 +tp1506 +a(g830 +g958 +tp1507 +a(g428 +g1077 +tp1508 +a(g405 +g1024 +tp1509 +a(g830 +g958 +tp1510 +a(g405 +g1001 +tp1511 +a(g830 +V\u000a +p1512 +tp1513 +a(g830 +V +p1514 +tp1515 +a(g428 +Vbottles +p1516 +tp1517 +a(g405 +g1022 +tp1518 +a(g428 +g1077 +tp1519 +a(g405 +g1024 +tp1520 +a(g405 +g977 +tp1521 +a(g428 +VtoFirstUpper +p1522 +tp1523 +a(g830 +V\u000a +p1524 +tp1525 +a(g830 +g1007 +tp1526 +a(g405 +g1045 +tp1527 +a(g830 +V\u000a +p1528 +tp1529 +a(g405 +g1045 +tp1530 +a(g830 +V\u000a +p1531 +tp1532 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.xtm b/tests/examplefiles/output/example.xtm new file mode 100644 index 0000000..145610c --- /dev/null +++ b/tests/examplefiles/output/example.xtm @@ -0,0 +1,26005 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;;; example.xtm -- Extempore code examples +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g8 +V;; Author: Ben Swift, Andrew Sorensen +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V;; Keywords: extempore +p964 +tp965 +a(g826 +V\u000a\u000a +p966 +tp967 +a(g8 +V;;; Commentary: +p968 +tp969 +a(g826 +V\u000a\u000a\u000a\u000a +p970 +tp971 +a(g8 +V;;; Code: +p972 +tp973 +a(g826 +V\u000a\u000a +p974 +tp975 +a(g8 +V;; bit twiddling +p976 +tp977 +a(g826 +V\u000a\u000a +p978 +tp979 +a(g705 +V( +p980 +tp981 +a(g440 +Vxtmtest +p982 +tp983 +a(g826 +V +p984 +tp985 +a(g412 +V' +p986 +tp987 +a(g705 +g980 +tp988 +a(g744 +Vbind-func +p989 +tp990 +a(g826 +g984 +tp991 +a(g573 +Vtest_bit_twiddle_1 +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g705 +g980 +tp996 +a(g744 +Vlambda +p997 +tp998 +a(g826 +g984 +tp999 +a(g705 +g980 +tp1000 +a(g705 +V) +p1001 +tp1002 +a(g826 +V\u000a +p1003 +tp1004 +a(g705 +g980 +tp1005 +a(g440 +Vbitwise-and +p1006 +tp1007 +a(g826 +g984 +tp1008 +a(g37 +V65535 +p1009 +tp1010 +a(g826 +g984 +tp1011 +a(g37 +V255 +p1012 +tp1013 +a(g826 +g984 +tp1014 +a(g37 +V15 +p1015 +tp1016 +a(g826 +g984 +tp1017 +a(g37 +V1 +p1018 +tp1019 +a(g705 +g1001 +tp1020 +a(g705 +g1001 +tp1021 +a(g705 +g1001 +tp1022 +a(g826 +V\u000a\u000a +p1023 +tp1024 +a(g705 +g980 +tp1025 +a(g440 +Vtest_bit_twiddle_1 +p1026 +tp1027 +a(g705 +g1001 +tp1028 +a(g826 +g984 +tp1029 +a(g37 +g1018 +tp1030 +a(g705 +g1001 +tp1031 +a(g826 +V\u000a\u000a +p1032 +tp1033 +a(g705 +g980 +tp1034 +a(g440 +Vxtmtest +p1035 +tp1036 +a(g826 +g984 +tp1037 +a(g412 +g986 +tp1038 +a(g705 +g980 +tp1039 +a(g744 +Vbind-func +p1040 +tp1041 +a(g826 +g984 +tp1042 +a(g573 +Vtest_bit_twiddle_2 +p1043 +tp1044 +a(g826 +V\u000a +p1045 +tp1046 +a(g705 +g980 +tp1047 +a(g744 +Vlambda +p1048 +tp1049 +a(g826 +g984 +tp1050 +a(g705 +g980 +tp1051 +a(g705 +g1001 +tp1052 +a(g826 +V\u000a +p1053 +tp1054 +a(g705 +g980 +tp1055 +a(g440 +Vbitwise-not +p1056 +tp1057 +a(g826 +g984 +tp1058 +a(g37 +V-1 +p1059 +tp1060 +a(g705 +g1001 +tp1061 +a(g705 +g1001 +tp1062 +a(g705 +g1001 +tp1063 +a(g826 +V\u000a\u000a +p1064 +tp1065 +a(g705 +g980 +tp1066 +a(g440 +Vtest_bit_twiddle_2 +p1067 +tp1068 +a(g705 +g1001 +tp1069 +a(g826 +g984 +tp1070 +a(g37 +V0 +p1071 +tp1072 +a(g705 +g1001 +tp1073 +a(g826 +V\u000a\u000a +p1074 +tp1075 +a(g705 +g980 +tp1076 +a(g440 +Vxtmtest +p1077 +tp1078 +a(g826 +g984 +tp1079 +a(g412 +g986 +tp1080 +a(g705 +g980 +tp1081 +a(g744 +Vbind-func +p1082 +tp1083 +a(g826 +g984 +tp1084 +a(g573 +Vtest_bit_twiddle_3 +p1085 +tp1086 +a(g826 +V\u000a +p1087 +tp1088 +a(g705 +g980 +tp1089 +a(g744 +Vlambda +p1090 +tp1091 +a(g826 +g984 +tp1092 +a(g705 +g980 +tp1093 +a(g705 +g1001 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g705 +g980 +tp1097 +a(g440 +Vbitwise-not +p1098 +tp1099 +a(g826 +g984 +tp1100 +a(g37 +g1071 +tp1101 +a(g705 +g1001 +tp1102 +a(g705 +g1001 +tp1103 +a(g705 +g1001 +tp1104 +a(g826 +V\u000a\u000a +p1105 +tp1106 +a(g705 +g980 +tp1107 +a(g440 +Vtest_bit_twiddle_3 +p1108 +tp1109 +a(g705 +g1001 +tp1110 +a(g826 +g984 +tp1111 +a(g37 +V-1 +p1112 +tp1113 +a(g705 +g1001 +tp1114 +a(g826 +V\u000a\u000a +p1115 +tp1116 +a(g705 +g980 +tp1117 +a(g440 +Vxtmtest +p1118 +tp1119 +a(g826 +g984 +tp1120 +a(g412 +g986 +tp1121 +a(g705 +g980 +tp1122 +a(g744 +Vbind-func +p1123 +tp1124 +a(g826 +g984 +tp1125 +a(g573 +Vtest_bit_twiddle_4 +p1126 +tp1127 +a(g826 +V\u000a +p1128 +tp1129 +a(g705 +g980 +tp1130 +a(g744 +Vlambda +p1131 +tp1132 +a(g826 +g984 +tp1133 +a(g705 +g980 +tp1134 +a(g705 +g1001 +tp1135 +a(g826 +V\u000a +p1136 +tp1137 +a(g705 +g980 +tp1138 +a(g440 +Vbitwise-shift-right +p1139 +tp1140 +a(g826 +g984 +tp1141 +a(g37 +V65535 +p1142 +tp1143 +a(g826 +g984 +tp1144 +a(g37 +V8 +p1145 +tp1146 +a(g705 +g1001 +tp1147 +a(g826 +V\u000a +p1148 +tp1149 +a(g705 +g980 +tp1150 +a(g440 +Vbitwise-shift-right +p1151 +tp1152 +a(g826 +g984 +tp1153 +a(g37 +V65535 +p1154 +tp1155 +a(g826 +g984 +tp1156 +a(g37 +V4 +p1157 +tp1158 +a(g826 +g984 +tp1159 +a(g37 +g1157 +tp1160 +a(g705 +g1001 +tp1161 +a(g705 +g1001 +tp1162 +a(g705 +g1001 +tp1163 +a(g826 +V\u000a\u000a +p1164 +tp1165 +a(g705 +g980 +tp1166 +a(g440 +Vtest_bit_twiddle_4 +p1167 +tp1168 +a(g705 +g1001 +tp1169 +a(g826 +g984 +tp1170 +a(g37 +V255 +p1171 +tp1172 +a(g705 +g1001 +tp1173 +a(g826 +V\u000a\u000a +p1174 +tp1175 +a(g705 +g980 +tp1176 +a(g440 +Vxtmtest +p1177 +tp1178 +a(g826 +g984 +tp1179 +a(g412 +g986 +tp1180 +a(g705 +g980 +tp1181 +a(g744 +Vbind-func +p1182 +tp1183 +a(g826 +g984 +tp1184 +a(g573 +Vtest_bit_twiddle_5 +p1185 +tp1186 +a(g826 +V\u000a +p1187 +tp1188 +a(g705 +g980 +tp1189 +a(g744 +Vlambda +p1190 +tp1191 +a(g826 +g984 +tp1192 +a(g705 +g980 +tp1193 +a(g705 +g1001 +tp1194 +a(g826 +V\u000a +p1195 +tp1196 +a(g705 +g980 +tp1197 +a(g440 +Vbitwise-shift-left +p1198 +tp1199 +a(g826 +g984 +tp1200 +a(g705 +g980 +tp1201 +a(g440 +Vbitwise-shift-right +p1202 +tp1203 +a(g826 +g984 +tp1204 +a(g37 +V65535 +p1205 +tp1206 +a(g826 +g984 +tp1207 +a(g37 +g1145 +tp1208 +a(g705 +g1001 +tp1209 +a(g826 +g984 +tp1210 +a(g37 +g1157 +tp1211 +a(g826 +g984 +tp1212 +a(g37 +g1157 +tp1213 +a(g705 +g1001 +tp1214 +a(g705 +g1001 +tp1215 +a(g705 +g1001 +tp1216 +a(g826 +V\u000a\u000a +p1217 +tp1218 +a(g705 +g980 +tp1219 +a(g440 +Vtest_bit_twiddle_5 +p1220 +tp1221 +a(g705 +g1001 +tp1222 +a(g826 +g984 +tp1223 +a(g37 +V65280 +p1224 +tp1225 +a(g705 +g1001 +tp1226 +a(g826 +V\u000a\u000a +p1227 +tp1228 +a(g705 +g980 +tp1229 +a(g440 +Vxtmtest +p1230 +tp1231 +a(g826 +g984 +tp1232 +a(g412 +g986 +tp1233 +a(g705 +g980 +tp1234 +a(g744 +Vbind-func +p1235 +tp1236 +a(g826 +g984 +tp1237 +a(g573 +Vtest_bit_twiddle_6 +p1238 +tp1239 +a(g826 +V\u000a +p1240 +tp1241 +a(g705 +g980 +tp1242 +a(g744 +Vlambda +p1243 +tp1244 +a(g826 +g984 +tp1245 +a(g705 +g980 +tp1246 +a(g705 +g1001 +tp1247 +a(g826 +V\u000a +p1248 +tp1249 +a(g705 +g980 +tp1250 +a(g440 +Vbitwise-and +p1251 +tp1252 +a(g826 +g984 +tp1253 +a(g705 +g980 +tp1254 +a(g440 +Vbitwise-or +p1255 +tp1256 +a(g826 +g984 +tp1257 +a(g705 +g980 +tp1258 +a(g440 +Vbitwise-eor +p1259 +tp1260 +a(g826 +g984 +tp1261 +a(g37 +V21844 +p1262 +tp1263 +a(g826 +g984 +tp1264 +a(g37 +V65534 +p1265 +tp1266 +a(g705 +g1001 +tp1267 +a(g826 +g984 +tp1268 +a(g705 +g980 +tp1269 +a(g440 +Vbitwise-eor +p1270 +tp1271 +a(g826 +g984 +tp1272 +a(g37 +V43690 +p1273 +tp1274 +a(g826 +g984 +tp1275 +a(g37 +V65534 +p1276 +tp1277 +a(g705 +g1001 +tp1278 +a(g705 +g1001 +tp1279 +a(g826 +g984 +tp1280 +a(g37 +g1018 +tp1281 +a(g705 +g1001 +tp1282 +a(g705 +g1001 +tp1283 +a(g705 +g1001 +tp1284 +a(g826 +V\u000a\u000a +p1285 +tp1286 +a(g705 +g980 +tp1287 +a(g440 +Vtest_bit_twiddle_6 +p1288 +tp1289 +a(g705 +g1001 +tp1290 +a(g826 +g984 +tp1291 +a(g37 +g1071 +tp1292 +a(g705 +g1001 +tp1293 +a(g826 +V\u000a\u000a +p1294 +tp1295 +a(g8 +V;; integer literals default to 64 bit integers +p1296 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g705 +g980 +tp1300 +a(g440 +Vxtmtest +p1301 +tp1302 +a(g826 +g984 +tp1303 +a(g412 +g986 +tp1304 +a(g705 +g980 +tp1305 +a(g744 +Vbind-func +p1306 +tp1307 +a(g826 +g984 +tp1308 +a(g573 +Vint-literal-test +p1309 +tp1310 +a(g826 +V\u000a +p1311 +tp1312 +a(g705 +g980 +tp1313 +a(g744 +Vlambda +p1314 +tp1315 +a(g826 +g984 +tp1316 +a(g705 +g980 +tp1317 +a(g440 +Va +p1318 +tp1319 +a(g705 +g1001 +tp1320 +a(g826 +V\u000a +p1321 +tp1322 +a(g705 +g980 +tp1323 +a(g573 +V* +p1324 +tp1325 +a(g826 +g984 +tp1326 +a(g440 +g1318 +tp1327 +a(g826 +g984 +tp1328 +a(g37 +V5 +p1329 +tp1330 +a(g705 +g1001 +tp1331 +a(g705 +g1001 +tp1332 +a(g705 +g1001 +tp1333 +a(g826 +V\u000a\u000a +p1334 +tp1335 +a(g705 +g980 +tp1336 +a(g440 +Vint-literal-test +p1337 +tp1338 +a(g826 +g984 +tp1339 +a(g37 +V6 +p1340 +tp1341 +a(g705 +g1001 +tp1342 +a(g826 +g984 +tp1343 +a(g37 +V30 +p1344 +tp1345 +a(g705 +g1001 +tp1346 +a(g826 +V\u000a\u000a +p1347 +tp1348 +a(g8 +V;; float literals default to doubles +p1349 +tp1350 +a(g826 +V\u000a +p1351 +tp1352 +a(g705 +g980 +tp1353 +a(g440 +Vxtmtest +p1354 +tp1355 +a(g826 +g984 +tp1356 +a(g412 +g986 +tp1357 +a(g705 +g980 +tp1358 +a(g744 +Vbind-func +p1359 +tp1360 +a(g826 +g984 +tp1361 +a(g573 +Vfloat-literal-test +p1362 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g705 +g980 +tp1366 +a(g744 +Vlambda +p1367 +tp1368 +a(g826 +g984 +tp1369 +a(g705 +g980 +tp1370 +a(g440 +g1318 +tp1371 +a(g705 +g1001 +tp1372 +a(g826 +V\u000a +p1373 +tp1374 +a(g705 +g980 +tp1375 +a(g573 +g1324 +tp1376 +a(g826 +g984 +tp1377 +a(g440 +g1318 +tp1378 +a(g826 +g984 +tp1379 +a(g314 +V5.0 +p1380 +tp1381 +a(g705 +g1001 +tp1382 +a(g705 +g1001 +tp1383 +a(g705 +g1001 +tp1384 +a(g826 +V\u000a\u000a +p1385 +tp1386 +a(g705 +g980 +tp1387 +a(g440 +Vfloat-literal-test +p1388 +tp1389 +a(g826 +g984 +tp1390 +a(g314 +V6.0 +p1391 +tp1392 +a(g705 +g1001 +tp1393 +a(g826 +g984 +tp1394 +a(g314 +V30.0 +p1395 +tp1396 +a(g705 +g1001 +tp1397 +a(g826 +V\u000a\u000a +p1398 +tp1399 +a(g8 +V;; you are free to recompile an existing closure +p1400 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g705 +g980 +tp1404 +a(g440 +Vxtmtest +p1405 +tp1406 +a(g826 +g984 +tp1407 +a(g412 +g986 +tp1408 +a(g705 +g980 +tp1409 +a(g744 +Vbind-func +p1410 +tp1411 +a(g826 +g984 +tp1412 +a(g573 +Vint-literal-test +p1413 +tp1414 +a(g826 +V\u000a +p1415 +tp1416 +a(g705 +g980 +tp1417 +a(g744 +Vlambda +p1418 +tp1419 +a(g826 +g984 +tp1420 +a(g705 +g980 +tp1421 +a(g440 +g1318 +tp1422 +a(g705 +g1001 +tp1423 +a(g826 +V\u000a +p1424 +tp1425 +a(g705 +g980 +tp1426 +a(g573 +V/ +p1427 +tp1428 +a(g826 +g984 +tp1429 +a(g440 +g1318 +tp1430 +a(g826 +g984 +tp1431 +a(g37 +g1329 +tp1432 +a(g705 +g1001 +tp1433 +a(g705 +g1001 +tp1434 +a(g705 +g1001 +tp1435 +a(g826 +V\u000a\u000a +p1436 +tp1437 +a(g705 +g980 +tp1438 +a(g440 +Vint-literal-test +p1439 +tp1440 +a(g826 +g984 +tp1441 +a(g37 +V30 +p1442 +tp1443 +a(g705 +g1001 +tp1444 +a(g705 +g1001 +tp1445 +a(g826 +V\u000a\u000a +p1446 +tp1447 +a(g705 +g980 +tp1448 +a(g440 +Vxtmtest +p1449 +tp1450 +a(g826 +g984 +tp1451 +a(g412 +g986 +tp1452 +a(g705 +g980 +tp1453 +a(g744 +Vbind-func +p1454 +tp1455 +a(g826 +g984 +tp1456 +a(g573 +Vclosure-test1 +p1457 +tp1458 +a(g826 +V\u000a +p1459 +tp1460 +a(g705 +g980 +tp1461 +a(g744 +Vlet +p1462 +tp1463 +a(g826 +g984 +tp1464 +a(g705 +g980 +tp1465 +a(g705 +g980 +tp1466 +a(g440 +Vpower +p1467 +tp1468 +a(g826 +g984 +tp1469 +a(g37 +g1071 +tp1470 +a(g705 +g1001 +tp1471 +a(g705 +g1001 +tp1472 +a(g826 +V\u000a +p1473 +tp1474 +a(g705 +g980 +tp1475 +a(g744 +Vlambda +p1476 +tp1477 +a(g826 +g984 +tp1478 +a(g705 +g980 +tp1479 +a(g440 +Vx +p1480 +tp1481 +a(g705 +g1001 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g705 +g980 +tp1485 +a(g744 +Vset! +p1486 +tp1487 +a(g826 +g984 +tp1488 +a(g440 +Vpower +p1489 +tp1490 +a(g826 +g984 +tp1491 +a(g705 +g980 +tp1492 +a(g573 +V+ +p1493 +tp1494 +a(g826 +g984 +tp1495 +a(g440 +Vpower +p1496 +tp1497 +a(g826 +g984 +tp1498 +a(g37 +g1018 +tp1499 +a(g705 +g1001 +tp1500 +a(g705 +g1001 +tp1501 +a(g826 +g984 +tp1502 +a(g8 +V;; set! for closure mutation as per scheme +p1503 +tp1504 +a(g826 +V\u000a +p1505 +tp1506 +a(g705 +g980 +tp1507 +a(g573 +g1324 +tp1508 +a(g826 +g984 +tp1509 +a(g440 +g1480 +tp1510 +a(g826 +g984 +tp1511 +a(g440 +Vpower +p1512 +tp1513 +a(g705 +g1001 +tp1514 +a(g705 +g1001 +tp1515 +a(g705 +g1001 +tp1516 +a(g705 +g1001 +tp1517 +a(g826 +V\u000a\u000a +p1518 +tp1519 +a(g705 +g980 +tp1520 +a(g440 +Vclosure-test1 +p1521 +tp1522 +a(g826 +g984 +tp1523 +a(g37 +V2 +p1524 +tp1525 +a(g705 +g1001 +tp1526 +a(g705 +g1001 +tp1527 +a(g826 +V\u000a\u000a +p1528 +tp1529 +a(g705 +g980 +tp1530 +a(g440 +Vxtmtest +p1531 +tp1532 +a(g826 +g984 +tp1533 +a(g412 +g986 +tp1534 +a(g705 +g980 +tp1535 +a(g744 +Vbind-func +p1536 +tp1537 +a(g826 +g984 +tp1538 +a(g573 +Vclosure-returns-closure-test +p1539 +tp1540 +a(g826 +V\u000a +p1541 +tp1542 +a(g705 +g980 +tp1543 +a(g744 +Vlambda +p1544 +tp1545 +a(g826 +g984 +tp1546 +a(g705 +g980 +tp1547 +a(g705 +g1001 +tp1548 +a(g826 +V\u000a +p1549 +tp1550 +a(g705 +g980 +tp1551 +a(g744 +Vlambda +p1552 +tp1553 +a(g826 +g984 +tp1554 +a(g705 +g980 +tp1555 +a(g440 +g1480 +tp1556 +a(g705 +g1001 +tp1557 +a(g826 +V\u000a +p1558 +tp1559 +a(g705 +g980 +tp1560 +a(g573 +g1324 +tp1561 +a(g826 +g984 +tp1562 +a(g440 +g1480 +tp1563 +a(g826 +g984 +tp1564 +a(g37 +V3 +p1565 +tp1566 +a(g705 +g1001 +tp1567 +a(g705 +g1001 +tp1568 +a(g705 +g1001 +tp1569 +a(g705 +g1001 +tp1570 +a(g826 +V\u000a\u000a +p1571 +tp1572 +a(g705 +g980 +tp1573 +a(g440 +Vclosure-returns-closure-test +p1574 +tp1575 +a(g705 +g1001 +tp1576 +a(g705 +g1001 +tp1577 +a(g826 +V\u000a\u000a +p1578 +tp1579 +a(g705 +g980 +tp1580 +a(g440 +Vxtmtest +p1581 +tp1582 +a(g826 +g984 +tp1583 +a(g412 +g986 +tp1584 +a(g705 +g980 +tp1585 +a(g744 +Vbind-func +p1586 +tp1587 +a(g826 +g984 +tp1588 +a(g573 +Vincrementer-test1 +p1589 +tp1590 +a(g826 +V\u000a +p1591 +tp1592 +a(g705 +g980 +tp1593 +a(g744 +Vlambda +p1594 +tp1595 +a(g826 +g984 +tp1596 +a(g705 +g980 +tp1597 +a(g440 +Vi +p1598 +tp1599 +a(g748 +V:i64 +p1600 +tp1601 +a(g705 +g1001 +tp1602 +a(g826 +V\u000a +p1603 +tp1604 +a(g705 +g980 +tp1605 +a(g744 +Vlambda +p1606 +tp1607 +a(g826 +g984 +tp1608 +a(g705 +g980 +tp1609 +a(g440 +Vincr +p1610 +tp1611 +a(g705 +g1001 +tp1612 +a(g826 +V\u000a +p1613 +tp1614 +a(g705 +g980 +tp1615 +a(g744 +Vset! +p1616 +tp1617 +a(g826 +g984 +tp1618 +a(g440 +g1598 +tp1619 +a(g826 +g984 +tp1620 +a(g705 +g980 +tp1621 +a(g573 +g1493 +tp1622 +a(g826 +g984 +tp1623 +a(g440 +g1598 +tp1624 +a(g826 +g984 +tp1625 +a(g440 +Vincr +p1626 +tp1627 +a(g705 +g1001 +tp1628 +a(g705 +g1001 +tp1629 +a(g826 +V\u000a +p1630 +tp1631 +a(g440 +g1598 +tp1632 +a(g705 +g1001 +tp1633 +a(g705 +g1001 +tp1634 +a(g705 +g1001 +tp1635 +a(g826 +V\u000a\u000a +p1636 +tp1637 +a(g705 +g980 +tp1638 +a(g440 +Vincrementer-test1 +p1639 +tp1640 +a(g826 +g984 +tp1641 +a(g37 +g1071 +tp1642 +a(g705 +g1001 +tp1643 +a(g705 +g1001 +tp1644 +a(g826 +V\u000a\u000a +p1645 +tp1646 +a(g705 +g980 +tp1647 +a(g744 +Vdefine +p1648 +tp1649 +a(g826 +g984 +tp1650 +a(g440 +Vmyf +p1651 +tp1652 +a(g826 +g984 +tp1653 +a(g705 +g980 +tp1654 +a(g440 +Vincrementer-test1 +p1655 +tp1656 +a(g826 +g984 +tp1657 +a(g37 +g1071 +tp1658 +a(g705 +g1001 +tp1659 +a(g705 +g1001 +tp1660 +a(g826 +V\u000a\u000a +p1661 +tp1662 +a(g8 +V;; so we need to type f properly +p1663 +tp1664 +a(g826 +V\u000a +p1665 +tp1666 +a(g705 +g980 +tp1667 +a(g440 +Vxtmtest +p1668 +tp1669 +a(g826 +g984 +tp1670 +a(g412 +g986 +tp1671 +a(g705 +g980 +tp1672 +a(g744 +Vbind-func +p1673 +tp1674 +a(g826 +g984 +tp1675 +a(g573 +Vincrementer-test2 +p1676 +tp1677 +a(g826 +V\u000a +p1678 +tp1679 +a(g705 +g980 +tp1680 +a(g744 +Vlambda +p1681 +tp1682 +a(g826 +g984 +tp1683 +a(g705 +g980 +tp1684 +a(g440 +Vf +p1685 +tp1686 +a(g748 +V:[i64,i64]* +p1687 +tp1688 +a(g826 +g984 +tp1689 +a(g440 +g1480 +tp1690 +a(g705 +g1001 +tp1691 +a(g826 +V\u000a +p1692 +tp1693 +a(g705 +g980 +tp1694 +a(g440 +g1685 +tp1695 +a(g826 +g984 +tp1696 +a(g440 +g1480 +tp1697 +a(g705 +g1001 +tp1698 +a(g705 +g1001 +tp1699 +a(g705 +g1001 +tp1700 +a(g826 +V\u000a +p1701 +tp1702 +a(g705 +g980 +tp1703 +a(g440 +Vincrementer-test2 +p1704 +tp1705 +a(g826 +g984 +tp1706 +a(g440 +Vmyf +p1707 +tp1708 +a(g826 +g984 +tp1709 +a(g37 +g1018 +tp1710 +a(g705 +g1001 +tp1711 +a(g826 +g984 +tp1712 +a(g37 +g1018 +tp1713 +a(g705 +g1001 +tp1714 +a(g826 +V\u000a\u000a +p1715 +tp1716 +a(g8 +V;; and we can call my-in-maker-wrapper +p1717 +tp1718 +a(g826 +V\u000a +p1719 +tp1720 +a(g8 +V;; to appy myf +p1721 +tp1722 +a(g826 +V\u000a +p1723 +tp1724 +a(g705 +g980 +tp1725 +a(g440 +Vxtmtest-result +p1726 +tp1727 +a(g826 +g984 +tp1728 +a(g705 +g980 +tp1729 +a(g440 +Vincrementer-test2 +p1730 +tp1731 +a(g826 +g984 +tp1732 +a(g440 +Vmyf +p1733 +tp1734 +a(g826 +g984 +tp1735 +a(g37 +g1018 +tp1736 +a(g705 +g1001 +tp1737 +a(g826 +g984 +tp1738 +a(g37 +g1524 +tp1739 +a(g705 +g1001 +tp1740 +a(g826 +V\u000a +p1741 +tp1742 +a(g705 +g980 +tp1743 +a(g440 +Vxtmtest-result +p1744 +tp1745 +a(g826 +g984 +tp1746 +a(g705 +g980 +tp1747 +a(g440 +Vincrementer-test2 +p1748 +tp1749 +a(g826 +g984 +tp1750 +a(g440 +Vmyf +p1751 +tp1752 +a(g826 +g984 +tp1753 +a(g37 +g1018 +tp1754 +a(g705 +g1001 +tp1755 +a(g826 +g984 +tp1756 +a(g37 +g1565 +tp1757 +a(g705 +g1001 +tp1758 +a(g826 +V\u000a +p1759 +tp1760 +a(g705 +g980 +tp1761 +a(g440 +Vxtmtest-result +p1762 +tp1763 +a(g826 +g984 +tp1764 +a(g705 +g980 +tp1765 +a(g440 +Vincrementer-test2 +p1766 +tp1767 +a(g826 +g984 +tp1768 +a(g440 +Vmyf +p1769 +tp1770 +a(g826 +g984 +tp1771 +a(g37 +g1018 +tp1772 +a(g705 +g1001 +tp1773 +a(g826 +g984 +tp1774 +a(g37 +g1157 +tp1775 +a(g705 +g1001 +tp1776 +a(g826 +V\u000a\u000a +p1777 +tp1778 +a(g8 +V;; of course the wrapper is only required if you +p1779 +tp1780 +a(g826 +V\u000a +p1781 +tp1782 +a(g8 +V;; need interaction with the scheme world. +p1783 +tp1784 +a(g826 +V\u000a +p1785 +tp1786 +a(g8 +V;; otherwise you just call my-inc-maker directly +p1787 +tp1788 +a(g826 +V\u000a\u000a +p1789 +tp1790 +a(g8 +V;; this avoids the wrapper completely +p1791 +tp1792 +a(g826 +V\u000a +p1793 +tp1794 +a(g705 +g980 +tp1795 +a(g440 +Vxtmtest +p1796 +tp1797 +a(g826 +g984 +tp1798 +a(g412 +g986 +tp1799 +a(g705 +g980 +tp1800 +a(g744 +Vbind-func +p1801 +tp1802 +a(g826 +g984 +tp1803 +a(g573 +Vincrementer-test3 +p1804 +tp1805 +a(g826 +V\u000a +p1806 +tp1807 +a(g705 +g980 +tp1808 +a(g744 +Vlet +p1809 +tp1810 +a(g826 +g984 +tp1811 +a(g705 +g980 +tp1812 +a(g705 +g980 +tp1813 +a(g440 +g1685 +tp1814 +a(g826 +g984 +tp1815 +a(g705 +g980 +tp1816 +a(g440 +Vincrementer-test1 +p1817 +tp1818 +a(g826 +g984 +tp1819 +a(g37 +g1071 +tp1820 +a(g705 +g1001 +tp1821 +a(g705 +g1001 +tp1822 +a(g705 +g1001 +tp1823 +a(g826 +V\u000a +p1824 +tp1825 +a(g705 +g980 +tp1826 +a(g744 +Vlambda +p1827 +tp1828 +a(g826 +g984 +tp1829 +a(g705 +g980 +tp1830 +a(g705 +g1001 +tp1831 +a(g826 +V\u000a +p1832 +tp1833 +a(g705 +g980 +tp1834 +a(g440 +g1685 +tp1835 +a(g826 +g984 +tp1836 +a(g37 +g1018 +tp1837 +a(g705 +g1001 +tp1838 +a(g705 +g1001 +tp1839 +a(g705 +g1001 +tp1840 +a(g705 +g1001 +tp1841 +a(g826 +V\u000a\u000a +p1842 +tp1843 +a(g705 +g980 +tp1844 +a(g440 +Vincrementer-test3 +p1845 +tp1846 +a(g705 +g1001 +tp1847 +a(g826 +g984 +tp1848 +a(g37 +g1018 +tp1849 +a(g705 +g1001 +tp1850 +a(g826 +V\u000a\u000a +p1851 +tp1852 +a(g705 +g980 +tp1853 +a(g440 +Vxtmtest-result +p1854 +tp1855 +a(g826 +g984 +tp1856 +a(g705 +g980 +tp1857 +a(g440 +Vincrementer-test3 +p1858 +tp1859 +a(g705 +g1001 +tp1860 +a(g826 +g984 +tp1861 +a(g37 +g1524 +tp1862 +a(g705 +g1001 +tp1863 +a(g826 +V\u000a +p1864 +tp1865 +a(g705 +g980 +tp1866 +a(g440 +Vxtmtest-result +p1867 +tp1868 +a(g826 +g984 +tp1869 +a(g705 +g980 +tp1870 +a(g440 +Vincrementer-test3 +p1871 +tp1872 +a(g705 +g1001 +tp1873 +a(g826 +g984 +tp1874 +a(g37 +g1565 +tp1875 +a(g705 +g1001 +tp1876 +a(g826 +V\u000a\u000a +p1877 +tp1878 +a(g8 +V;; hopefully you're getting the idea. +p1879 +tp1880 +a(g826 +V\u000a +p1881 +tp1882 +a(g8 +V;; note that once we've compiled something +p1883 +tp1884 +a(g826 +V\u000a +p1885 +tp1886 +a(g8 +V;; we can then use it any of our new +p1887 +tp1888 +a(g826 +V\u000a +p1889 +tp1890 +a(g8 +V;; function definitions. +p1891 +tp1892 +a(g826 +V\u000a\u000a +p1893 +tp1894 +a(g8 +V;; do a little 16bit test +p1895 +tp1896 +a(g826 +V\u000a +p1897 +tp1898 +a(g705 +g980 +tp1899 +a(g440 +Vxtmtest +p1900 +tp1901 +a(g826 +g984 +tp1902 +a(g412 +g986 +tp1903 +a(g705 +g980 +tp1904 +a(g744 +Vbind-func +p1905 +tp1906 +a(g826 +g984 +tp1907 +a(g573 +Vbitsize-sixteen +p1908 +tp1909 +a(g826 +V\u000a +p1910 +tp1911 +a(g705 +g980 +tp1912 +a(g744 +Vlambda +p1913 +tp1914 +a(g826 +g984 +tp1915 +a(g705 +g980 +tp1916 +a(g440 +g1318 +tp1917 +a(g748 +V:i16 +p1918 +tp1919 +a(g705 +g1001 +tp1920 +a(g826 +V\u000a +p1921 +tp1922 +a(g705 +g980 +tp1923 +a(g440 +Vdtoi16 +p1924 +tp1925 +a(g826 +g984 +tp1926 +a(g705 +g980 +tp1927 +a(g573 +g1324 +tp1928 +a(g826 +g984 +tp1929 +a(g705 +g980 +tp1930 +a(g440 +Vi16tod +p1931 +tp1932 +a(g826 +g984 +tp1933 +a(g440 +g1318 +tp1934 +a(g705 +g1001 +tp1935 +a(g826 +g984 +tp1936 +a(g314 +V5.0 +p1937 +tp1938 +a(g705 +g1001 +tp1939 +a(g705 +g1001 +tp1940 +a(g705 +g1001 +tp1941 +a(g705 +g1001 +tp1942 +a(g826 +V\u000a\u000a +p1943 +tp1944 +a(g705 +g980 +tp1945 +a(g440 +Vbitsize-sixteen +p1946 +tp1947 +a(g826 +g984 +tp1948 +a(g37 +g1329 +tp1949 +a(g705 +g1001 +tp1950 +a(g826 +g984 +tp1951 +a(g37 +V25 +p1952 +tp1953 +a(g705 +g1001 +tp1954 +a(g826 +V\u000a\u000a +p1955 +tp1956 +a(g8 +V;; while loop test +p1957 +tp1958 +a(g826 +V\u000a\u000a +p1959 +tp1960 +a(g705 +g980 +tp1961 +a(g440 +Vxtmtest +p1962 +tp1963 +a(g826 +g984 +tp1964 +a(g412 +g986 +tp1965 +a(g705 +g980 +tp1966 +a(g744 +Vbind-func +p1967 +tp1968 +a(g826 +g984 +tp1969 +a(g573 +Vtest_while_loop_1 +p1970 +tp1971 +a(g826 +V\u000a +p1972 +tp1973 +a(g705 +g980 +tp1974 +a(g744 +Vlambda +p1975 +tp1976 +a(g826 +g984 +tp1977 +a(g705 +g980 +tp1978 +a(g705 +g1001 +tp1979 +a(g826 +V\u000a +p1980 +tp1981 +a(g705 +g980 +tp1982 +a(g744 +Vlet +p1983 +tp1984 +a(g826 +g984 +tp1985 +a(g705 +g980 +tp1986 +a(g705 +g980 +tp1987 +a(g440 +Vcount +p1988 +tp1989 +a(g826 +g984 +tp1990 +a(g37 +g1071 +tp1991 +a(g705 +g1001 +tp1992 +a(g705 +g1001 +tp1993 +a(g826 +V\u000a +p1994 +tp1995 +a(g705 +g980 +tp1996 +a(g440 +Vwhile +p1997 +tp1998 +a(g826 +g984 +tp1999 +a(g705 +g980 +tp2000 +a(g573 +V< +p2001 +tp2002 +a(g826 +g984 +tp2003 +a(g440 +Vcount +p2004 +tp2005 +a(g826 +g984 +tp2006 +a(g37 +g1329 +tp2007 +a(g705 +g1001 +tp2008 +a(g826 +V\u000a +p2009 +tp2010 +a(g705 +g980 +tp2011 +a(g573 +Vprintf +p2012 +tp2013 +a(g826 +g984 +tp2014 +a(g89 +V"count = %lld\u005cn" +p2015 +tp2016 +a(g826 +g984 +tp2017 +a(g440 +Vcount +p2018 +tp2019 +a(g705 +g1001 +tp2020 +a(g826 +V\u000a +p2021 +tp2022 +a(g705 +g980 +tp2023 +a(g744 +Vset! +p2024 +tp2025 +a(g826 +g984 +tp2026 +a(g440 +Vcount +p2027 +tp2028 +a(g826 +g984 +tp2029 +a(g705 +g980 +tp2030 +a(g573 +g1493 +tp2031 +a(g826 +g984 +tp2032 +a(g440 +Vcount +p2033 +tp2034 +a(g826 +g984 +tp2035 +a(g37 +g1018 +tp2036 +a(g705 +g1001 +tp2037 +a(g705 +g1001 +tp2038 +a(g705 +g1001 +tp2039 +a(g826 +V\u000a +p2040 +tp2041 +a(g440 +Vcount +p2042 +tp2043 +a(g705 +g1001 +tp2044 +a(g705 +g1001 +tp2045 +a(g705 +g1001 +tp2046 +a(g826 +V\u000a\u000a +p2047 +tp2048 +a(g705 +g980 +tp2049 +a(g440 +Vtest_while_loop_1 +p2050 +tp2051 +a(g705 +g1001 +tp2052 +a(g826 +g984 +tp2053 +a(g37 +g1329 +tp2054 +a(g705 +g1001 +tp2055 +a(g826 +V\u000a\u000a +p2056 +tp2057 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p2058 +tp2059 +a(g826 +V\u000a +p2060 +tp2061 +a(g8 +V;; +p2062 +tp2063 +a(g826 +V\u000a +p2064 +tp2065 +a(g8 +V;; Closures can be recursive +p2066 +tp2067 +a(g826 +V\u000a +p2068 +tp2069 +a(g8 +V;; +p2070 +tp2071 +a(g826 +V\u000a\u000a +p2072 +tp2073 +a(g705 +g980 +tp2074 +a(g440 +Vxtmtest +p2075 +tp2076 +a(g826 +g984 +tp2077 +a(g412 +g986 +tp2078 +a(g705 +g980 +tp2079 +a(g744 +Vbind-func +p2080 +tp2081 +a(g826 +g984 +tp2082 +a(g573 +Vrecursive-closure-test +p2083 +tp2084 +a(g826 +V\u000a +p2085 +tp2086 +a(g705 +g980 +tp2087 +a(g744 +Vlambda +p2088 +tp2089 +a(g826 +g984 +tp2090 +a(g705 +g980 +tp2091 +a(g440 +g1318 +tp2092 +a(g705 +g1001 +tp2093 +a(g826 +V\u000a +p2094 +tp2095 +a(g705 +g980 +tp2096 +a(g744 +Vif +p2097 +tp2098 +a(g826 +g984 +tp2099 +a(g705 +g980 +tp2100 +a(g573 +g2001 +tp2101 +a(g826 +g984 +tp2102 +a(g440 +g1318 +tp2103 +a(g826 +g984 +tp2104 +a(g37 +g1018 +tp2105 +a(g705 +g1001 +tp2106 +a(g826 +V\u000a +p2107 +tp2108 +a(g705 +g980 +tp2109 +a(g573 +Vprintf +p2110 +tp2111 +a(g826 +g984 +tp2112 +a(g89 +V"done\u005cn" +p2113 +tp2114 +a(g705 +g1001 +tp2115 +a(g826 +V\u000a +p2116 +tp2117 +a(g705 +g980 +tp2118 +a(g744 +Vbegin +p2119 +tp2120 +a(g826 +g984 +tp2121 +a(g705 +g980 +tp2122 +a(g573 +Vprintf +p2123 +tp2124 +a(g826 +g984 +tp2125 +a(g89 +V"a: %lld\u005cn" +p2126 +tp2127 +a(g826 +g984 +tp2128 +a(g440 +g1318 +tp2129 +a(g705 +g1001 +tp2130 +a(g826 +V\u000a +p2131 +tp2132 +a(g705 +g980 +tp2133 +a(g440 +Vrecursive-closure-test +p2134 +tp2135 +a(g826 +g984 +tp2136 +a(g705 +g980 +tp2137 +a(g573 +V- +p2138 +tp2139 +a(g826 +g984 +tp2140 +a(g440 +g1318 +tp2141 +a(g826 +g984 +tp2142 +a(g37 +g1018 +tp2143 +a(g705 +g1001 +tp2144 +a(g705 +g1001 +tp2145 +a(g705 +g1001 +tp2146 +a(g705 +g1001 +tp2147 +a(g705 +g1001 +tp2148 +a(g705 +g1001 +tp2149 +a(g826 +V\u000a\u000a +p2150 +tp2151 +a(g705 +g980 +tp2152 +a(g440 +Vrecursive-closure-test +p2153 +tp2154 +a(g826 +g984 +tp2155 +a(g37 +g1565 +tp2156 +a(g705 +g1001 +tp2157 +a(g705 +g1001 +tp2158 +a(g826 +V\u000a\u000a +p2159 +tp2160 +a(g8 +V;; check TAIL OPTIMIZATION +p2161 +tp2162 +a(g826 +V\u000a +p2163 +tp2164 +a(g8 +V;; if there is no tail call optimiation +p2165 +tp2166 +a(g826 +V\u000a +p2167 +tp2168 +a(g8 +V;; in place then this should blow the +p2169 +tp2170 +a(g826 +V\u000a +p2171 +tp2172 +a(g8 +V;; stack and crash the test +p2173 +tp2174 +a(g826 +V\u000a\u000a +p2175 +tp2176 +a(g8 +V;; CANNOT RUN THIS TEST ON WINDOWS (i.e. no salloc)! +p2177 +tp2178 +a(g826 +V\u000a +p2179 +tp2180 +a(g705 +g980 +tp2181 +a(g744 +Vif +p2182 +tp2183 +a(g826 +g984 +tp2184 +a(g705 +g980 +tp2185 +a(g573 +Vnot +p2186 +tp2187 +a(g826 +g984 +tp2188 +a(g705 +g980 +tp2189 +a(g573 +Vequal? +p2190 +tp2191 +a(g826 +g984 +tp2192 +a(g705 +g980 +tp2193 +a(g440 +Vsys:platform +p2194 +tp2195 +a(g705 +g1001 +tp2196 +a(g826 +g984 +tp2197 +a(g89 +V"Windows" +p2198 +tp2199 +a(g705 +g1001 +tp2200 +a(g705 +g1001 +tp2201 +a(g826 +V\u000a +p2202 +tp2203 +a(g705 +g980 +tp2204 +a(g440 +Vxtmtest +p2205 +tp2206 +a(g826 +g984 +tp2207 +a(g412 +g986 +tp2208 +a(g705 +g980 +tp2209 +a(g744 +Vbind-func +p2210 +tp2211 +a(g826 +g984 +tp2212 +a(g573 +Vtail_opt_test +p2213 +tp2214 +a(g826 +V\u000a +p2215 +tp2216 +a(g705 +g980 +tp2217 +a(g744 +Vlambda +p2218 +tp2219 +a(g826 +g984 +tp2220 +a(g705 +g980 +tp2221 +a(g440 +Vn +p2222 +tp2223 +a(g748 +V:i64 +p2224 +tp2225 +a(g705 +g1001 +tp2226 +a(g826 +V\u000a +p2227 +tp2228 +a(g705 +g980 +tp2229 +a(g744 +Vlet +p2230 +tp2231 +a(g826 +g984 +tp2232 +a(g705 +g980 +tp2233 +a(g705 +g980 +tp2234 +a(g440 +g1318 +tp2235 +a(g748 +V:float* +p2236 +tp2237 +a(g826 +g984 +tp2238 +a(g705 +g980 +tp2239 +a(g573 +Vsalloc +p2240 +tp2241 +a(g826 +g984 +tp2242 +a(g37 +V8000 +p2243 +tp2244 +a(g705 +g1001 +tp2245 +a(g705 +g1001 +tp2246 +a(g705 +g1001 +tp2247 +a(g826 +V\u000a +p2248 +tp2249 +a(g705 +g980 +tp2250 +a(g744 +Vif +p2251 +tp2252 +a(g826 +g984 +tp2253 +a(g705 +g980 +tp2254 +a(g573 +V= +p2255 +tp2256 +a(g826 +g984 +tp2257 +a(g440 +g2222 +tp2258 +a(g826 +g984 +tp2259 +a(g37 +g1071 +tp2260 +a(g705 +g1001 +tp2261 +a(g826 +V\u000a +p2262 +tp2263 +a(g705 +g980 +tp2264 +a(g573 +Vprintf +p2265 +tp2266 +a(g826 +g984 +tp2267 +a(g89 +V"tail opt test passed!\u005cn" +p2268 +tp2269 +a(g705 +g1001 +tp2270 +a(g826 +V\u000a +p2271 +tp2272 +a(g705 +g980 +tp2273 +a(g440 +Vtail_opt_test +p2274 +tp2275 +a(g826 +g984 +tp2276 +a(g705 +g980 +tp2277 +a(g573 +g2138 +tp2278 +a(g826 +g984 +tp2279 +a(g440 +g2222 +tp2280 +a(g826 +g984 +tp2281 +a(g37 +g1018 +tp2282 +a(g705 +g1001 +tp2283 +a(g705 +g1001 +tp2284 +a(g705 +g1001 +tp2285 +a(g705 +g1001 +tp2286 +a(g705 +g1001 +tp2287 +a(g705 +g1001 +tp2288 +a(g826 +V\u000a\u000a +p2289 +tp2290 +a(g705 +g980 +tp2291 +a(g440 +Vtail_opt_test +p2292 +tp2293 +a(g826 +g984 +tp2294 +a(g37 +V200 +p2295 +tp2296 +a(g705 +g1001 +tp2297 +a(g705 +g1001 +tp2298 +a(g705 +g1001 +tp2299 +a(g826 +V\u000a \u000a +p2300 +tp2301 +a(g705 +g980 +tp2302 +a(g573 +Vprintln +p2303 +tp2304 +a(g826 +g984 +tp2305 +a(g117 +V'A +p2306 +tp2307 +a(g826 +g984 +tp2308 +a(g117 +V'segfault +p2309 +tp2310 +a(g826 +g984 +tp2311 +a(g117 +V'here +p2312 +tp2313 +a(g826 +g984 +tp2314 +a(g117 +V'incidates +p2315 +tp2316 +a(g826 +g984 +tp2317 +a(g117 +V'that +p2318 +tp2319 +a(g826 +g984 +tp2320 +a(g117 +V'tail-call-optimizations +p2321 +tp2322 +a(g826 +g984 +tp2323 +a(g117 +V'are +p2324 +tp2325 +a(g826 +g984 +tp2326 +a(g117 +V'not +p2327 +tp2328 +a(g826 +g984 +tp2329 +a(g117 +V'working! +p2330 +tp2331 +a(g705 +g1001 +tp2332 +a(g826 +V\u000a\u000a +p2333 +tp2334 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p2335 +tp2336 +a(g826 +V\u000a +p2337 +tp2338 +a(g8 +V;; +p2339 +tp2340 +a(g826 +V\u000a +p2341 +tp2342 +a(g8 +V;; some anon lambda tests +p2343 +tp2344 +a(g826 +V\u000a +p2345 +tp2346 +a(g8 +V;; +p2347 +tp2348 +a(g826 +V\u000a\u000a +p2349 +tp2350 +a(g705 +g980 +tp2351 +a(g440 +Vxtmtest +p2352 +tp2353 +a(g826 +g984 +tp2354 +a(g412 +g986 +tp2355 +a(g705 +g980 +tp2356 +a(g744 +Vbind-func +p2357 +tp2358 +a(g826 +g984 +tp2359 +a(g573 +Vinfer_lambdas_test +p2360 +tp2361 +a(g826 +V\u000a +p2362 +tp2363 +a(g705 +g980 +tp2364 +a(g744 +Vlambda +p2365 +tp2366 +a(g826 +g984 +tp2367 +a(g705 +g980 +tp2368 +a(g705 +g1001 +tp2369 +a(g826 +V\u000a +p2370 +tp2371 +a(g705 +g980 +tp2372 +a(g744 +Vlet +p2373 +tp2374 +a(g826 +g984 +tp2375 +a(g705 +g980 +tp2376 +a(g705 +g980 +tp2377 +a(g440 +g1318 +tp2378 +a(g826 +g984 +tp2379 +a(g37 +g1329 +tp2380 +a(g705 +g1001 +tp2381 +a(g826 +V\u000a +p2382 +tp2383 +a(g705 +g980 +tp2384 +a(g440 +Vb +p2385 +tp2386 +a(g826 +g984 +tp2387 +a(g705 +g980 +tp2388 +a(g744 +Vlambda +p2389 +tp2390 +a(g826 +g984 +tp2391 +a(g705 +g980 +tp2392 +a(g440 +g1480 +tp2393 +a(g705 +g1001 +tp2394 +a(g826 +g984 +tp2395 +a(g705 +g980 +tp2396 +a(g573 +g1324 +tp2397 +a(g826 +g984 +tp2398 +a(g440 +g1480 +tp2399 +a(g826 +g984 +tp2400 +a(g440 +g1480 +tp2401 +a(g705 +g1001 +tp2402 +a(g705 +g1001 +tp2403 +a(g705 +g1001 +tp2404 +a(g826 +V\u000a +p2405 +tp2406 +a(g705 +g980 +tp2407 +a(g440 +Vc +p2408 +tp2409 +a(g826 +g984 +tp2410 +a(g705 +g980 +tp2411 +a(g744 +Vlambda +p2412 +tp2413 +a(g826 +g984 +tp2414 +a(g705 +g980 +tp2415 +a(g440 +Vy +p2416 +tp2417 +a(g705 +g1001 +tp2418 +a(g826 +g984 +tp2419 +a(g705 +g980 +tp2420 +a(g573 +g1324 +tp2421 +a(g826 +g984 +tp2422 +a(g440 +g2416 +tp2423 +a(g826 +g984 +tp2424 +a(g440 +g2416 +tp2425 +a(g705 +g1001 +tp2426 +a(g705 +g1001 +tp2427 +a(g705 +g1001 +tp2428 +a(g705 +g1001 +tp2429 +a(g826 +V \u000a +p2430 +tp2431 +a(g705 +g980 +tp2432 +a(g440 +g2408 +tp2433 +a(g826 +g984 +tp2434 +a(g705 +g980 +tp2435 +a(g440 +g2385 +tp2436 +a(g826 +g984 +tp2437 +a(g440 +g1318 +tp2438 +a(g705 +g1001 +tp2439 +a(g705 +g1001 +tp2440 +a(g705 +g1001 +tp2441 +a(g705 +g1001 +tp2442 +a(g705 +g1001 +tp2443 +a(g826 +V\u000a\u000a +p2444 +tp2445 +a(g705 +g980 +tp2446 +a(g440 +Vinfer_lambdas_test +p2447 +tp2448 +a(g705 +g1001 +tp2449 +a(g705 +g1001 +tp2450 +a(g826 +V\u000a\u000a\u000a +p2451 +tp2452 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p2453 +tp2454 +a(g826 +V\u000a +p2455 +tp2456 +a(g8 +V;; a simple tuple example +p2457 +tp2458 +a(g826 +V\u000a +p2459 +tp2460 +a(g8 +V;; +p2461 +tp2462 +a(g826 +V\u000a +p2463 +tp2464 +a(g8 +V;; tuple types are represented as * +p2465 +tp2466 +a(g826 +V\u000a +p2467 +tp2468 +a(g8 +V;; +p2469 +tp2470 +a(g826 +V\u000a\u000a +p2471 +tp2472 +a(g8 +V;; make and return a simple tuple +p2473 +tp2474 +a(g826 +V\u000a +p2475 +tp2476 +a(g705 +g980 +tp2477 +a(g440 +Vxtmtest +p2478 +tp2479 +a(g826 +g984 +tp2480 +a(g412 +g986 +tp2481 +a(g705 +g980 +tp2482 +a(g744 +Vbind-func +p2483 +tp2484 +a(g826 +g984 +tp2485 +a(g573 +Vtuple-test1 +p2486 +tp2487 +a(g826 +V\u000a +p2488 +tp2489 +a(g705 +g980 +tp2490 +a(g744 +Vlambda +p2491 +tp2492 +a(g826 +g984 +tp2493 +a(g705 +g980 +tp2494 +a(g705 +g1001 +tp2495 +a(g826 +V\u000a +p2496 +tp2497 +a(g705 +g980 +tp2498 +a(g744 +Vlet +p2499 +tp2500 +a(g826 +g984 +tp2501 +a(g705 +g980 +tp2502 +a(g705 +g980 +tp2503 +a(g440 +Vt +p2504 +tp2505 +a(g748 +V:* +p2506 +tp2507 +a(g826 +g984 +tp2508 +a(g705 +g980 +tp2509 +a(g573 +Valloc +p2510 +tp2511 +a(g705 +g1001 +tp2512 +a(g705 +g1001 +tp2513 +a(g705 +g1001 +tp2514 +a(g826 +V\u000a +p2515 +tp2516 +a(g440 +g2504 +tp2517 +a(g705 +g1001 +tp2518 +a(g705 +g1001 +tp2519 +a(g705 +g1001 +tp2520 +a(g826 +V\u000a\u000a +p2521 +tp2522 +a(g705 +g980 +tp2523 +a(g440 +Vtuple-test1 +p2524 +tp2525 +a(g705 +g1001 +tp2526 +a(g705 +g1001 +tp2527 +a(g826 +V\u000a\u000a +p2528 +tp2529 +a(g8 +V;; logview shows [*]* +p2530 +tp2531 +a(g826 +V\u000a +p2532 +tp2533 +a(g8 +V;; i.e. a closure that takes no arguments +p2534 +tp2535 +a(g826 +V\u000a +p2536 +tp2537 +a(g8 +V;; and returns the tuple * +p2538 +tp2539 +a(g826 +V\u000a\u000a\u000a +p2540 +tp2541 +a(g8 +V;; here's another tuple example +p2542 +tp2543 +a(g826 +V\u000a +p2544 +tp2545 +a(g8 +V;; note that my-test-7's return type is inferred +p2546 +tp2547 +a(g826 +V\u000a +p2548 +tp2549 +a(g8 +V;; by the tuple-reference index +p2550 +tp2551 +a(g826 +V\u000a +p2552 +tp2553 +a(g8 +V;; (i.e. i64 being tuple index 0) +p2554 +tp2555 +a(g826 +V\u000a +p2556 +tp2557 +a(g705 +g980 +tp2558 +a(g440 +Vxtmtest +p2559 +tp2560 +a(g826 +g984 +tp2561 +a(g412 +g986 +tp2562 +a(g705 +g980 +tp2563 +a(g744 +Vbind-func +p2564 +tp2565 +a(g826 +g984 +tp2566 +a(g573 +Vtuple-test2 +p2567 +tp2568 +a(g826 +V\u000a +p2569 +tp2570 +a(g705 +g980 +tp2571 +a(g744 +Vlambda +p2572 +tp2573 +a(g826 +g984 +tp2574 +a(g705 +g980 +tp2575 +a(g705 +g1001 +tp2576 +a(g826 +V\u000a +p2577 +tp2578 +a(g705 +g980 +tp2579 +a(g744 +Vlet +p2580 +tp2581 +a(g826 +g984 +tp2582 +a(g705 +g980 +tp2583 +a(g705 +g980 +tp2584 +a(g440 +g1318 +tp2585 +a(g748 +V:* +p2586 +tp2587 +a(g826 +g984 +tp2588 +a(g705 +g980 +tp2589 +a(g573 +Valloc +p2590 +tp2591 +a(g705 +g1001 +tp2592 +a(g705 +g1001 +tp2593 +a(g826 +g984 +tp2594 +a(g8 +V; returns pointer to type +p2595 +tp2596 +a(g826 +V\u000a +p2597 +tp2598 +a(g705 +g980 +tp2599 +a(g440 +g2385 +tp2600 +a(g826 +g984 +tp2601 +a(g37 +V37 +p2602 +tp2603 +a(g705 +g1001 +tp2604 +a(g826 +V\u000a +p2605 +tp2606 +a(g705 +g980 +tp2607 +a(g440 +g2408 +tp2608 +a(g826 +g984 +tp2609 +a(g314 +V6.4 +p2610 +tp2611 +a(g705 +g1001 +tp2612 +a(g705 +g1001 +tp2613 +a(g826 +V\u000a +p2614 +tp2615 +a(g705 +g980 +tp2616 +a(g573 +Vtuple-set! +p2617 +tp2618 +a(g826 +g984 +tp2619 +a(g440 +g1318 +tp2620 +a(g826 +g984 +tp2621 +a(g37 +g1071 +tp2622 +a(g826 +g984 +tp2623 +a(g440 +g2385 +tp2624 +a(g705 +g1001 +tp2625 +a(g826 +g984 +tp2626 +a(g8 +V;; set i64 to 64 +p2627 +tp2628 +a(g826 +V\u000a +p2629 +tp2630 +a(g705 +g980 +tp2631 +a(g573 +Vtset! +p2632 +tp2633 +a(g826 +g984 +tp2634 +a(g440 +g1318 +tp2635 +a(g826 +g984 +tp2636 +a(g37 +g1018 +tp2637 +a(g826 +g984 +tp2638 +a(g440 +g2408 +tp2639 +a(g705 +g1001 +tp2640 +a(g826 +g984 +tp2641 +a(g8 +V;; set double to 6.4 - tset! is an alias for tuple-set! +p2642 +tp2643 +a(g826 +V\u000a +p2644 +tp2645 +a(g705 +g980 +tp2646 +a(g573 +Vprintf +p2647 +tp2648 +a(g826 +g984 +tp2649 +a(g89 +V"tuple:1 %lld::%f\u005cn" +p2650 +tp2651 +a(g826 +g984 +tp2652 +a(g705 +g980 +tp2653 +a(g573 +Vtuple-ref +p2654 +tp2655 +a(g826 +g984 +tp2656 +a(g440 +g1318 +tp2657 +a(g826 +g984 +tp2658 +a(g37 +g1071 +tp2659 +a(g705 +g1001 +tp2660 +a(g826 +g984 +tp2661 +a(g705 +g980 +tp2662 +a(g573 +Vtref +p2663 +tp2664 +a(g826 +g984 +tp2665 +a(g440 +g1318 +tp2666 +a(g826 +g984 +tp2667 +a(g37 +g1018 +tp2668 +a(g705 +g1001 +tp2669 +a(g705 +g1001 +tp2670 +a(g826 +V\u000a +p2671 +tp2672 +a(g8 +V;; we can fill a tuple in a single call by using tfill! +p2673 +tp2674 +a(g826 +V\u000a +p2675 +tp2676 +a(g705 +g980 +tp2677 +a(g573 +Vtfill! +p2678 +tp2679 +a(g826 +g984 +tp2680 +a(g440 +g1318 +tp2681 +a(g826 +g984 +tp2682 +a(g37 +V77 +p2683 +tp2684 +a(g826 +g984 +tp2685 +a(g314 +V77.7 +p2686 +tp2687 +a(g705 +g1001 +tp2688 +a(g826 +V\u000a +p2689 +tp2690 +a(g705 +g980 +tp2691 +a(g573 +Vprintf +p2692 +tp2693 +a(g826 +g984 +tp2694 +a(g89 +V"tuple:2 %lld::%f\u005cn" +p2695 +tp2696 +a(g826 +g984 +tp2697 +a(g705 +g980 +tp2698 +a(g573 +Vtuple-ref +p2699 +tp2700 +a(g826 +g984 +tp2701 +a(g440 +g1318 +tp2702 +a(g826 +g984 +tp2703 +a(g37 +g1071 +tp2704 +a(g705 +g1001 +tp2705 +a(g826 +g984 +tp2706 +a(g705 +g980 +tp2707 +a(g573 +Vtuple-ref +p2708 +tp2709 +a(g826 +g984 +tp2710 +a(g440 +g1318 +tp2711 +a(g826 +g984 +tp2712 +a(g37 +g1018 +tp2713 +a(g705 +g1001 +tp2714 +a(g705 +g1001 +tp2715 +a(g826 +V\u000a +p2716 +tp2717 +a(g705 +g980 +tp2718 +a(g573 +Vtuple-ref +p2719 +tp2720 +a(g826 +g984 +tp2721 +a(g440 +g1318 +tp2722 +a(g826 +g984 +tp2723 +a(g37 +g1071 +tp2724 +a(g705 +g1001 +tp2725 +a(g705 +g1001 +tp2726 +a(g705 +g1001 +tp2727 +a(g705 +g1001 +tp2728 +a(g826 +V\u000a\u000a +p2729 +tp2730 +a(g705 +g980 +tp2731 +a(g440 +Vtuple-test2 +p2732 +tp2733 +a(g705 +g1001 +tp2734 +a(g826 +g984 +tp2735 +a(g37 +V77 +p2736 +tp2737 +a(g705 +g1001 +tp2738 +a(g826 +V\u000a\u000a +p2739 +tp2740 +a(g8 +V;; return first element which is i64 +p2741 +tp2742 +a(g826 +V\u000a +p2743 +tp2744 +a(g8 +V;; should be 64 as we return the +p2745 +tp2746 +a(g826 +V\u000a +p2747 +tp2748 +a(g8 +V;; first element of the tuple +p2749 +tp2750 +a(g826 +V\u000a +p2751 +tp2752 +a(g8 +V;; (println (my-test-7)) ; 77 +p2753 +tp2754 +a(g826 +V\u000a\u000a\u000a +p2755 +tp2756 +a(g8 +V;; tbind binds variables to values +p2757 +tp2758 +a(g826 +V\u000a +p2759 +tp2760 +a(g8 +V;; based on tuple structure +p2761 +tp2762 +a(g826 +V\u000a +p2763 +tp2764 +a(g8 +V;; _ (underscore) means don't attempt +p2765 +tp2766 +a(g826 +V\u000a +p2767 +tp2768 +a(g8 +V;; to match against this position in +p2769 +tp2770 +a(g826 +V\u000a +p2771 +tp2772 +a(g8 +V;; the tuple (i.e. skip) +p2773 +tp2774 +a(g826 +V\u000a +p2775 +tp2776 +a(g705 +g980 +tp2777 +a(g440 +Vxtmtest +p2778 +tp2779 +a(g826 +g984 +tp2780 +a(g412 +g986 +tp2781 +a(g705 +g980 +tp2782 +a(g744 +Vbind-func +p2783 +tp2784 +a(g826 +g984 +tp2785 +a(g573 +Vtuple-bind-test +p2786 +tp2787 +a(g826 +V\u000a +p2788 +tp2789 +a(g705 +g980 +tp2790 +a(g744 +Vlambda +p2791 +tp2792 +a(g826 +g984 +tp2793 +a(g705 +g980 +tp2794 +a(g705 +g1001 +tp2795 +a(g826 +V\u000a +p2796 +tp2797 +a(g705 +g980 +tp2798 +a(g744 +Vlet +p2799 +tp2800 +a(g826 +g984 +tp2801 +a(g705 +g980 +tp2802 +a(g705 +g980 +tp2803 +a(g440 +Vt1 +p2804 +tp2805 +a(g748 +V:*,double>* +p2806 +tp2807 +a(g826 +g984 +tp2808 +a(g705 +g980 +tp2809 +a(g573 +Valloc +p2810 +tp2811 +a(g705 +g1001 +tp2812 +a(g705 +g1001 +tp2813 +a(g826 +V\u000a +p2814 +tp2815 +a(g705 +g980 +tp2816 +a(g440 +Vt2 +p2817 +tp2818 +a(g748 +V:* +p2819 +tp2820 +a(g826 +g984 +tp2821 +a(g705 +g980 +tp2822 +a(g573 +Valloc +p2823 +tp2824 +a(g705 +g1001 +tp2825 +a(g705 +g1001 +tp2826 +a(g826 +V\u000a +p2827 +tp2828 +a(g705 +g980 +tp2829 +a(g440 +g1318 +tp2830 +a(g826 +g984 +tp2831 +a(g37 +g1071 +tp2832 +a(g705 +g1001 +tp2833 +a(g826 +g984 +tp2834 +a(g705 +g980 +tp2835 +a(g440 +g2385 +tp2836 +a(g748 +V:float +p2837 +tp2838 +a(g826 +g984 +tp2839 +a(g314 +V0.0 +p2840 +tp2841 +a(g705 +g1001 +tp2842 +a(g826 +g984 +tp2843 +a(g705 +g980 +tp2844 +a(g440 +g2408 +tp2845 +a(g826 +g984 +tp2846 +a(g314 +V0.0 +p2847 +tp2848 +a(g705 +g1001 +tp2849 +a(g705 +g1001 +tp2850 +a(g826 +V\u000a +p2851 +tp2852 +a(g705 +g980 +tp2853 +a(g573 +Vtfill! +p2854 +tp2855 +a(g826 +g984 +tp2856 +a(g440 +Vt2 +p2857 +tp2858 +a(g826 +g984 +tp2859 +a(g37 +g1565 +tp2860 +a(g826 +g984 +tp2861 +a(g314 +V3.3 +p2862 +tp2863 +a(g705 +g1001 +tp2864 +a(g826 +V\u000a +p2865 +tp2866 +a(g705 +g980 +tp2867 +a(g573 +Vtfill! +p2868 +tp2869 +a(g826 +g984 +tp2870 +a(g440 +Vt1 +p2871 +tp2872 +a(g826 +g984 +tp2873 +a(g37 +g1018 +tp2874 +a(g826 +g984 +tp2875 +a(g314 +V2.0 +p2876 +tp2877 +a(g826 +g984 +tp2878 +a(g440 +Vt2 +p2879 +tp2880 +a(g826 +g984 +tp2881 +a(g314 +V4.0 +p2882 +tp2883 +a(g705 +g1001 +tp2884 +a(g826 +V\u000a +p2885 +tp2886 +a(g705 +g980 +tp2887 +a(g573 +Vtbind +p2888 +tp2889 +a(g826 +g984 +tp2890 +a(g440 +Vt1 +p2891 +tp2892 +a(g826 +g984 +tp2893 +a(g440 +g1318 +tp2894 +a(g826 +g984 +tp2895 +a(g440 +g2385 +tp2896 +a(g826 +g984 +tp2897 +a(g440 +V_ +p2898 +tp2899 +a(g826 +g984 +tp2900 +a(g440 +g2408 +tp2901 +a(g705 +g1001 +tp2902 +a(g826 +V\u000a +p2903 +tp2904 +a(g440 +g2408 +tp2905 +a(g705 +g1001 +tp2906 +a(g705 +g1001 +tp2907 +a(g705 +g1001 +tp2908 +a(g826 +V\u000a\u000a +p2909 +tp2910 +a(g705 +g980 +tp2911 +a(g440 +Vtuple-bind-test +p2912 +tp2913 +a(g705 +g1001 +tp2914 +a(g826 +g984 +tp2915 +a(g314 +V4.0 +p2916 +tp2917 +a(g705 +g1001 +tp2918 +a(g826 +V\u000a\u000a\u000a +p2919 +tp2920 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p2921 +tp2922 +a(g826 +V\u000a +p2923 +tp2924 +a(g8 +V;; some array code with *casting* +p2925 +tp2926 +a(g826 +V\u000a +p2927 +tp2928 +a(g8 +V;; this function returns void +p2929 +tp2930 +a(g826 +V\u000a +p2931 +tp2932 +a(g705 +g980 +tp2933 +a(g440 +Vxtmtest +p2934 +tp2935 +a(g826 +g984 +tp2936 +a(g412 +g986 +tp2937 +a(g705 +g980 +tp2938 +a(g744 +Vbind-func +p2939 +tp2940 +a(g826 +g984 +tp2941 +a(g573 +Varray-test1 +p2942 +tp2943 +a(g826 +V\u000a +p2944 +tp2945 +a(g705 +g980 +tp2946 +a(g744 +Vlambda +p2947 +tp2948 +a(g826 +g984 +tp2949 +a(g705 +g980 +tp2950 +a(g705 +g1001 +tp2951 +a(g826 +V\u000a +p2952 +tp2953 +a(g705 +g980 +tp2954 +a(g744 +Vlet +p2955 +tp2956 +a(g826 +g984 +tp2957 +a(g705 +g980 +tp2958 +a(g705 +g980 +tp2959 +a(g440 +Vv1 +p2960 +tp2961 +a(g748 +V:|5,float|* +p2962 +tp2963 +a(g826 +g984 +tp2964 +a(g705 +g980 +tp2965 +a(g573 +Valloc +p2966 +tp2967 +a(g705 +g1001 +tp2968 +a(g705 +g1001 +tp2969 +a(g826 +V\u000a +p2970 +tp2971 +a(g705 +g980 +tp2972 +a(g440 +Vv2 +p2973 +tp2974 +a(g748 +V:|5,float|* +p2975 +tp2976 +a(g826 +g984 +tp2977 +a(g705 +g980 +tp2978 +a(g573 +Valloc +p2979 +tp2980 +a(g705 +g1001 +tp2981 +a(g705 +g1001 +tp2982 +a(g826 +V\u000a +p2983 +tp2984 +a(g705 +g980 +tp2985 +a(g440 +g1598 +tp2986 +a(g826 +g984 +tp2987 +a(g37 +g1071 +tp2988 +a(g705 +g1001 +tp2989 +a(g826 +V\u000a +p2990 +tp2991 +a(g705 +g980 +tp2992 +a(g440 +Vk +p2993 +tp2994 +a(g826 +g984 +tp2995 +a(g37 +g1071 +tp2996 +a(g705 +g1001 +tp2997 +a(g705 +g1001 +tp2998 +a(g826 +V\u000a +p2999 +tp3000 +a(g705 +g980 +tp3001 +a(g744 +Vdotimes +p3002 +tp3003 +a(g826 +g984 +tp3004 +a(g705 +g980 +tp3005 +a(g440 +g1598 +tp3006 +a(g826 +g984 +tp3007 +a(g37 +g1329 +tp3008 +a(g705 +g1001 +tp3009 +a(g826 +V\u000a +p3010 +tp3011 +a(g8 +V;; random returns double so "truncate" to float +p3012 +tp3013 +a(g826 +V\u000a +p3014 +tp3015 +a(g8 +V;; which is what v expects +p3016 +tp3017 +a(g826 +V\u000a +p3018 +tp3019 +a(g705 +g980 +tp3020 +a(g573 +Varray-set! +p3021 +tp3022 +a(g826 +g984 +tp3023 +a(g440 +Vv1 +p3024 +tp3025 +a(g826 +g984 +tp3026 +a(g440 +g1598 +tp3027 +a(g826 +g984 +tp3028 +a(g705 +g980 +tp3029 +a(g573 +Vdtof +p3030 +tp3031 +a(g826 +g984 +tp3032 +a(g705 +g980 +tp3033 +a(g573 +Vrandom +p3034 +tp3035 +a(g705 +g1001 +tp3036 +a(g705 +g1001 +tp3037 +a(g705 +g1001 +tp3038 +a(g705 +g1001 +tp3039 +a(g826 +V\u000a +p3040 +tp3041 +a(g8 +V;; we can use the afill! function to fill an array +p3042 +tp3043 +a(g826 +V\u000a +p3044 +tp3045 +a(g705 +g980 +tp3046 +a(g573 +Vafill! +p3047 +tp3048 +a(g826 +g984 +tp3049 +a(g440 +Vv2 +p3050 +tp3051 +a(g826 +g984 +tp3052 +a(g314 +V1.1 +p3053 +tp3054 +a(g826 +g984 +tp3055 +a(g314 +V2.2 +p3056 +tp3057 +a(g826 +g984 +tp3058 +a(g314 +V3.3 +p3059 +tp3060 +a(g826 +g984 +tp3061 +a(g314 +V4.4 +p3062 +tp3063 +a(g826 +g984 +tp3064 +a(g314 +V5.5 +p3065 +tp3066 +a(g705 +g1001 +tp3067 +a(g826 +V\u000a +p3068 +tp3069 +a(g705 +g980 +tp3070 +a(g744 +Vdotimes +p3071 +tp3072 +a(g826 +g984 +tp3073 +a(g705 +g980 +tp3074 +a(g440 +g2993 +tp3075 +a(g826 +g984 +tp3076 +a(g37 +g1329 +tp3077 +a(g705 +g1001 +tp3078 +a(g826 +V\u000a +p3079 +tp3080 +a(g8 +V;; unfortunately printf doesn't like floats +p3081 +tp3082 +a(g826 +V\u000a +p3083 +tp3084 +a(g8 +V;; so back to double for us :( +p3085 +tp3086 +a(g826 +V\u000a +p3087 +tp3088 +a(g705 +g980 +tp3089 +a(g573 +Vprintf +p3090 +tp3091 +a(g826 +g984 +tp3092 +a(g89 +V"val: %lld::%f::%f\u005cn" +p3093 +tp3094 +a(g826 +g984 +tp3095 +a(g440 +g2993 +tp3096 +a(g826 +V\u000a +p3097 +tp3098 +a(g705 +g980 +tp3099 +a(g573 +Vftod +p3100 +tp3101 +a(g826 +g984 +tp3102 +a(g705 +g980 +tp3103 +a(g573 +Varray-ref +p3104 +tp3105 +a(g826 +g984 +tp3106 +a(g440 +Vv1 +p3107 +tp3108 +a(g826 +g984 +tp3109 +a(g440 +g2993 +tp3110 +a(g705 +g1001 +tp3111 +a(g705 +g1001 +tp3112 +a(g826 +V\u000a +p3113 +tp3114 +a(g705 +g980 +tp3115 +a(g573 +Vftod +p3116 +tp3117 +a(g826 +g984 +tp3118 +a(g705 +g980 +tp3119 +a(g573 +Varef +p3120 +tp3121 +a(g826 +g984 +tp3122 +a(g440 +Vv2 +p3123 +tp3124 +a(g826 +g984 +tp3125 +a(g440 +g2993 +tp3126 +a(g705 +g1001 +tp3127 +a(g705 +g1001 +tp3128 +a(g705 +g1001 +tp3129 +a(g705 +g1001 +tp3130 +a(g705 +g1001 +tp3131 +a(g705 +g1001 +tp3132 +a(g705 +g1001 +tp3133 +a(g826 +V\u000a\u000a +p3134 +tp3135 +a(g705 +g980 +tp3136 +a(g440 +Varray-test1 +p3137 +tp3138 +a(g705 +g1001 +tp3139 +a(g705 +g1001 +tp3140 +a(g826 +V\u000a\u000a +p3141 +tp3142 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p3143 +tp3144 +a(g826 +V\u000a +p3145 +tp3146 +a(g8 +V;; some crazy array code with +p3147 +tp3148 +a(g826 +V\u000a +p3149 +tp3150 +a(g8 +V;; closures and arrays +p3151 +tp3152 +a(g826 +V\u000a +p3153 +tp3154 +a(g8 +V;; try to figure out what this all does +p3155 +tp3156 +a(g826 +V\u000a +p3157 +tp3158 +a(g8 +V;; +p3159 +tp3160 +a(g826 +V\u000a +p3161 +tp3162 +a(g8 +V;; this example uses the array type +p3163 +tp3164 +a(g826 +V\u000a +p3165 +tp3166 +a(g8 +V;; the pretty print for this type is +p3167 +tp3168 +a(g826 +V\u000a +p3169 +tp3170 +a(g8 +V;; |num,type| num elements of type +p3171 +tp3172 +a(g826 +V\u000a +p3173 +tp3174 +a(g8 +V;; |5,i64| is an array of 5 x i64 +p3175 +tp3176 +a(g826 +V\u000a +p3177 +tp3178 +a(g8 +V;; +p3179 +tp3180 +a(g826 +V\u000a +p3181 +tp3182 +a(g8 +V;; An array is not a pointer type +p3183 +tp3184 +a(g826 +V\u000a +p3185 +tp3186 +a(g8 +V;; i.e. |5,i64| cannot be bitcast to i64* +p3187 +tp3188 +a(g826 +V\u000a +p3189 +tp3190 +a(g8 +V;; +p3191 +tp3192 +a(g826 +V\u000a +p3193 +tp3194 +a(g8 +V;; However an array can be a pointer +p3195 +tp3196 +a(g826 +V\u000a +p3197 +tp3198 +a(g8 +V;; i.e. |5,i64|* can be bitcast to i64* +p3199 +tp3200 +a(g826 +V\u000a +p3201 +tp3202 +a(g8 +V;; i.e. |5,i64|** to i64** etc.. +p3203 +tp3204 +a(g826 +V\u000a +p3205 +tp3206 +a(g8 +V;; +p3207 +tp3208 +a(g826 +V\u000a +p3209 +tp3210 +a(g8 +V;; make-array returns a pointer to an array +p3211 +tp3212 +a(g826 +V\u000a +p3213 +tp3214 +a(g8 +V;; i.e. (make-array 5 i64) returns type |5,i64|* +p3215 +tp3216 +a(g826 +V\u000a +p3217 +tp3218 +a(g8 +V;; +p3219 +tp3220 +a(g826 +V\u000a +p3221 +tp3222 +a(g8 +V;; aref (array-ref) and aset! (array-set!) +p3223 +tp3224 +a(g826 +V\u000a +p3225 +tp3226 +a(g8 +V;; can operate with either pointers to arrays or +p3227 +tp3228 +a(g826 +V\u000a +p3229 +tp3230 +a(g8 +V;; standard pointers. +p3231 +tp3232 +a(g826 +V\u000a +p3233 +tp3234 +a(g8 +V;; +p3235 +tp3236 +a(g826 +V\u000a +p3237 +tp3238 +a(g8 +V;; in other words aref and aset! are happy +p3239 +tp3240 +a(g826 +V\u000a +p3241 +tp3242 +a(g8 +V;; to work with either i64* or |5,i64|* +p3243 +tp3244 +a(g826 +V\u000a\u000a +p3245 +tp3246 +a(g705 +g980 +tp3247 +a(g744 +Vbind-func +p3248 +tp3249 +a(g826 +g984 +tp3250 +a(g573 +Varray-test2 +p3251 +tp3252 +a(g826 +V\u000a +p3253 +tp3254 +a(g705 +g980 +tp3255 +a(g744 +Vlambda +p3256 +tp3257 +a(g826 +g984 +tp3258 +a(g705 +g980 +tp3259 +a(g440 +Vv +p3260 +tp3261 +a(g748 +V:|5,i64|* +p3262 +tp3263 +a(g705 +g1001 +tp3264 +a(g826 +V\u000a +p3265 +tp3266 +a(g705 +g980 +tp3267 +a(g744 +Vlet +p3268 +tp3269 +a(g826 +g984 +tp3270 +a(g705 +g980 +tp3271 +a(g705 +g980 +tp3272 +a(g440 +g1685 +tp3273 +a(g826 +g984 +tp3274 +a(g705 +g980 +tp3275 +a(g744 +Vlambda +p3276 +tp3277 +a(g826 +g984 +tp3278 +a(g705 +g980 +tp3279 +a(g440 +g1480 +tp3280 +a(g705 +g1001 +tp3281 +a(g826 +V\u000a +p3282 +tp3283 +a(g705 +g980 +tp3284 +a(g573 +g1324 +tp3285 +a(g826 +g984 +tp3286 +a(g705 +g980 +tp3287 +a(g573 +Varray-ref +p3288 +tp3289 +a(g826 +g984 +tp3290 +a(g440 +g3260 +tp3291 +a(g826 +g984 +tp3292 +a(g37 +g1524 +tp3293 +a(g705 +g1001 +tp3294 +a(g826 +g984 +tp3295 +a(g440 +g1480 +tp3296 +a(g705 +g1001 +tp3297 +a(g705 +g1001 +tp3298 +a(g705 +g1001 +tp3299 +a(g705 +g1001 +tp3300 +a(g826 +V\u000a +p3301 +tp3302 +a(g440 +g1685 +tp3303 +a(g705 +g1001 +tp3304 +a(g705 +g1001 +tp3305 +a(g705 +g1001 +tp3306 +a(g826 +V\u000a\u000a +p3307 +tp3308 +a(g705 +g980 +tp3309 +a(g744 +Vbind-func +p3310 +tp3311 +a(g826 +g984 +tp3312 +a(g573 +Varray-test3 +p3313 +tp3314 +a(g826 +V\u000a +p3315 +tp3316 +a(g705 +g980 +tp3317 +a(g744 +Vlambda +p3318 +tp3319 +a(g826 +g984 +tp3320 +a(g705 +g980 +tp3321 +a(g440 +g3260 +tp3322 +a(g748 +V:|5,[i64,i64]*|* +p3323 +tp3324 +a(g705 +g1001 +tp3325 +a(g826 +V\u000a +p3326 +tp3327 +a(g705 +g980 +tp3328 +a(g744 +Vlet +p3329 +tp3330 +a(g826 +g984 +tp3331 +a(g705 +g980 +tp3332 +a(g705 +g980 +tp3333 +a(g440 +Vff +p3334 +tp3335 +a(g826 +g984 +tp3336 +a(g705 +g980 +tp3337 +a(g573 +Varef +p3338 +tp3339 +a(g826 +g984 +tp3340 +a(g440 +g3260 +tp3341 +a(g826 +g984 +tp3342 +a(g37 +g1071 +tp3343 +a(g705 +g1001 +tp3344 +a(g705 +g1001 +tp3345 +a(g705 +g1001 +tp3346 +a(g826 +g984 +tp3347 +a(g8 +V; aref alias for array-ref +p3348 +tp3349 +a(g826 +V\u000a +p3350 +tp3351 +a(g705 +g980 +tp3352 +a(g440 +Vff +p3353 +tp3354 +a(g826 +g984 +tp3355 +a(g37 +g1329 +tp3356 +a(g705 +g1001 +tp3357 +a(g705 +g1001 +tp3358 +a(g705 +g1001 +tp3359 +a(g705 +g1001 +tp3360 +a(g826 +V\u000a\u000a +p3361 +tp3362 +a(g705 +g980 +tp3363 +a(g440 +Vxtmtest +p3364 +tp3365 +a(g826 +g984 +tp3366 +a(g412 +g986 +tp3367 +a(g705 +g980 +tp3368 +a(g744 +Vbind-func +p3369 +tp3370 +a(g826 +g984 +tp3371 +a(g573 +Varray-test4 +p3372 +tp3373 +a(g826 +V\u000a +p3374 +tp3375 +a(g705 +g980 +tp3376 +a(g744 +Vlambda +p3377 +tp3378 +a(g826 +g984 +tp3379 +a(g705 +g980 +tp3380 +a(g705 +g1001 +tp3381 +a(g826 +V\u000a +p3382 +tp3383 +a(g705 +g980 +tp3384 +a(g744 +Vlet +p3385 +tp3386 +a(g826 +g984 +tp3387 +a(g705 +g980 +tp3388 +a(g705 +g980 +tp3389 +a(g440 +g3260 +tp3390 +a(g748 +V:|5,[i64,i64]*|* +p3391 +tp3392 +a(g826 +g984 +tp3393 +a(g705 +g980 +tp3394 +a(g573 +Valloc +p3395 +tp3396 +a(g705 +g1001 +tp3397 +a(g705 +g1001 +tp3398 +a(g826 +g984 +tp3399 +a(g8 +V;; make an array of closures! +p3400 +tp3401 +a(g826 +V\u000a +p3402 +tp3403 +a(g705 +g980 +tp3404 +a(g440 +Vvv +p3405 +tp3406 +a(g748 +V:|5,i64|* +p3407 +tp3408 +a(g826 +g984 +tp3409 +a(g705 +g980 +tp3410 +a(g573 +Valloc +p3411 +tp3412 +a(g705 +g1001 +tp3413 +a(g705 +g1001 +tp3414 +a(g705 +g1001 +tp3415 +a(g826 +V\u000a +p3416 +tp3417 +a(g705 +g980 +tp3418 +a(g573 +Varray-set! +p3419 +tp3420 +a(g826 +g984 +tp3421 +a(g440 +Vvv +p3422 +tp3423 +a(g826 +g984 +tp3424 +a(g37 +g1524 +tp3425 +a(g826 +g984 +tp3426 +a(g37 +g1565 +tp3427 +a(g705 +g1001 +tp3428 +a(g826 +V\u000a +p3429 +tp3430 +a(g705 +g980 +tp3431 +a(g573 +Vaset! +p3432 +tp3433 +a(g826 +g984 +tp3434 +a(g440 +g3260 +tp3435 +a(g826 +g984 +tp3436 +a(g37 +g1071 +tp3437 +a(g826 +g984 +tp3438 +a(g705 +g980 +tp3439 +a(g573 +Varray +p3440 +tp3441 +a(g440 +V-test2 +p3442 +tp3443 +a(g826 +g984 +tp3444 +a(g440 +Vvv +p3445 +tp3446 +a(g705 +g1001 +tp3447 +a(g705 +g1001 +tp3448 +a(g826 +g984 +tp3449 +a(g8 +V;; aset! alias for array-set! +p3450 +tp3451 +a(g826 +V\u000a +p3452 +tp3453 +a(g705 +g980 +tp3454 +a(g573 +Varray +p3455 +tp3456 +a(g440 +V-test3 +p3457 +tp3458 +a(g826 +g984 +tp3459 +a(g440 +g3260 +tp3460 +a(g705 +g1001 +tp3461 +a(g705 +g1001 +tp3462 +a(g705 +g1001 +tp3463 +a(g705 +g1001 +tp3464 +a(g826 +V\u000a\u000a +p3465 +tp3466 +a(g8 +V;; try to guess the answer before you call this!! +p3467 +tp3468 +a(g826 +V\u000a +p3469 +tp3470 +a(g705 +g980 +tp3471 +a(g440 +Varray-test4 +p3472 +tp3473 +a(g705 +g1001 +tp3474 +a(g705 +g1001 +tp3475 +a(g826 +V\u000a\u000a +p3476 +tp3477 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p3478 +tp3479 +a(g826 +V\u000a +p3480 +tp3481 +a(g8 +V;; some conditionals +p3482 +tp3483 +a(g826 +V\u000a\u000a +p3484 +tp3485 +a(g705 +g980 +tp3486 +a(g440 +Vxtmtest +p3487 +tp3488 +a(g826 +g984 +tp3489 +a(g412 +g986 +tp3490 +a(g705 +g980 +tp3491 +a(g744 +Vbind-func +p3492 +tp3493 +a(g826 +g984 +tp3494 +a(g573 +Vcond-test1 +p3495 +tp3496 +a(g826 +V\u000a +p3497 +tp3498 +a(g705 +g980 +tp3499 +a(g744 +Vlambda +p3500 +tp3501 +a(g826 +g984 +tp3502 +a(g705 +g980 +tp3503 +a(g440 +g1480 +tp3504 +a(g748 +V:i64 +p3505 +tp3506 +a(g826 +g984 +tp3507 +a(g440 +g2416 +tp3508 +a(g705 +g1001 +tp3509 +a(g826 +V\u000a +p3510 +tp3511 +a(g705 +g980 +tp3512 +a(g744 +Vif +p3513 +tp3514 +a(g826 +g984 +tp3515 +a(g705 +g980 +tp3516 +a(g573 +V> +p3517 +tp3518 +a(g826 +g984 +tp3519 +a(g440 +g1480 +tp3520 +a(g826 +g984 +tp3521 +a(g440 +g2416 +tp3522 +a(g705 +g1001 +tp3523 +a(g826 +V\u000a +p3524 +tp3525 +a(g440 +g1480 +tp3526 +a(g826 +V\u000a +p3527 +tp3528 +a(g440 +g2416 +tp3529 +a(g705 +g1001 +tp3530 +a(g705 +g1001 +tp3531 +a(g705 +g1001 +tp3532 +a(g826 +V\u000a\u000a +p3533 +tp3534 +a(g705 +g980 +tp3535 +a(g744 +Vcond +p3536 +tp3537 +a(g440 +V-test1 +p3538 +tp3539 +a(g826 +g984 +tp3540 +a(g37 +V12 +p3541 +tp3542 +a(g826 +g984 +tp3543 +a(g37 +V13 +p3544 +tp3545 +a(g705 +g1001 +tp3546 +a(g705 +g1001 +tp3547 +a(g826 +V\u000a\u000a +p3548 +tp3549 +a(g8 +V;; returns boolean true +p3550 +tp3551 +a(g826 +V\u000a +p3552 +tp3553 +a(g705 +g980 +tp3554 +a(g440 +Vxtmtest +p3555 +tp3556 +a(g826 +g984 +tp3557 +a(g412 +g986 +tp3558 +a(g705 +g980 +tp3559 +a(g744 +Vbind-func +p3560 +tp3561 +a(g826 +g984 +tp3562 +a(g573 +Vcond-test2 +p3563 +tp3564 +a(g826 +V\u000a +p3565 +tp3566 +a(g705 +g980 +tp3567 +a(g744 +Vlambda +p3568 +tp3569 +a(g826 +g984 +tp3570 +a(g705 +g980 +tp3571 +a(g440 +g1480 +tp3572 +a(g748 +V:i64 +p3573 +tp3574 +a(g705 +g1001 +tp3575 +a(g826 +V\u000a +p3576 +tp3577 +a(g705 +g980 +tp3578 +a(g744 +Vcond +p3579 +tp3580 +a(g826 +g984 +tp3581 +a(g705 +g980 +tp3582 +a(g705 +g980 +tp3583 +a(g573 +g2255 +tp3584 +a(g826 +g984 +tp3585 +a(g440 +g1480 +tp3586 +a(g826 +g984 +tp3587 +a(g37 +g1018 +tp3588 +a(g705 +g1001 +tp3589 +a(g826 +g984 +tp3590 +a(g705 +g980 +tp3591 +a(g573 +Vprintf +p3592 +tp3593 +a(g826 +g984 +tp3594 +a(g89 +V"A\u005cn" +p3595 +tp3596 +a(g705 +g1001 +tp3597 +a(g705 +g1001 +tp3598 +a(g826 +V\u000a +p3599 +tp3600 +a(g705 +g980 +tp3601 +a(g705 +g980 +tp3602 +a(g573 +g2255 +tp3603 +a(g826 +g984 +tp3604 +a(g440 +g1480 +tp3605 +a(g826 +g984 +tp3606 +a(g37 +g1524 +tp3607 +a(g705 +g1001 +tp3608 +a(g826 +g984 +tp3609 +a(g705 +g980 +tp3610 +a(g573 +Vprintf +p3611 +tp3612 +a(g826 +g984 +tp3613 +a(g89 +V"B\u005cn" +p3614 +tp3615 +a(g705 +g1001 +tp3616 +a(g705 +g1001 +tp3617 +a(g826 +V\u000a +p3618 +tp3619 +a(g705 +g980 +tp3620 +a(g705 +g980 +tp3621 +a(g573 +g2255 +tp3622 +a(g826 +g984 +tp3623 +a(g440 +g1480 +tp3624 +a(g826 +g984 +tp3625 +a(g37 +g1565 +tp3626 +a(g705 +g1001 +tp3627 +a(g826 +g984 +tp3628 +a(g705 +g980 +tp3629 +a(g573 +Vprintf +p3630 +tp3631 +a(g826 +g984 +tp3632 +a(g89 +V"C\u005cn" +p3633 +tp3634 +a(g705 +g1001 +tp3635 +a(g705 +g1001 +tp3636 +a(g826 +V\u000a +p3637 +tp3638 +a(g705 +g980 +tp3639 +a(g705 +g980 +tp3640 +a(g573 +g2255 +tp3641 +a(g826 +g984 +tp3642 +a(g440 +g1480 +tp3643 +a(g826 +g984 +tp3644 +a(g37 +g1157 +tp3645 +a(g705 +g1001 +tp3646 +a(g826 +g984 +tp3647 +a(g705 +g980 +tp3648 +a(g573 +Vprintf +p3649 +tp3650 +a(g826 +g984 +tp3651 +a(g89 +V"D\u005cn" +p3652 +tp3653 +a(g705 +g1001 +tp3654 +a(g705 +g1001 +tp3655 +a(g826 +V\u000a +p3656 +tp3657 +a(g705 +g980 +tp3658 +a(g744 +Velse +p3659 +tp3660 +a(g826 +g984 +tp3661 +a(g705 +g980 +tp3662 +a(g573 +Vprintf +p3663 +tp3664 +a(g826 +g984 +tp3665 +a(g89 +V"E\u005cn" +p3666 +tp3667 +a(g705 +g1001 +tp3668 +a(g705 +g1001 +tp3669 +a(g705 +g1001 +tp3670 +a(g826 +V\u000a +p3671 +tp3672 +a(g565 +V#t +p3673 +tp3674 +a(g705 +g1001 +tp3675 +a(g705 +g1001 +tp3676 +a(g826 +V\u000a\u000a +p3677 +tp3678 +a(g705 +g980 +tp3679 +a(g744 +Vcond +p3680 +tp3681 +a(g440 +V-test2 +p3682 +tp3683 +a(g826 +g984 +tp3684 +a(g37 +g1018 +tp3685 +a(g705 +g1001 +tp3686 +a(g705 +g1001 +tp3687 +a(g826 +V\u000a\u000a +p3688 +tp3689 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p3690 +tp3691 +a(g826 +V\u000a +p3692 +tp3693 +a(g8 +V;; making a linear envelop generator +p3694 +tp3695 +a(g826 +V\u000a +p3696 +tp3697 +a(g8 +V;; for signal processing and alike +p3698 +tp3699 +a(g826 +V\u000a\u000a +p3700 +tp3701 +a(g705 +g980 +tp3702 +a(g744 +Vbind-func +p3703 +tp3704 +a(g826 +g984 +tp3705 +a(g573 +Venvelope-segments +p3706 +tp3707 +a(g826 +V\u000a +p3708 +tp3709 +a(g705 +g980 +tp3710 +a(g744 +Vlambda +p3711 +tp3712 +a(g826 +g984 +tp3713 +a(g705 +g980 +tp3714 +a(g440 +Vpoints +p3715 +tp3716 +a(g748 +V:double* +p3717 +tp3718 +a(g826 +g984 +tp3719 +a(g440 +Vnum-of-points +p3720 +tp3721 +a(g748 +V:i64 +p3722 +tp3723 +a(g705 +g1001 +tp3724 +a(g826 +V\u000a +p3725 +tp3726 +a(g705 +g980 +tp3727 +a(g744 +Vlet +p3728 +tp3729 +a(g826 +g984 +tp3730 +a(g705 +g980 +tp3731 +a(g705 +g980 +tp3732 +a(g440 +Vlines +p3733 +tp3734 +a(g748 +V:[double,double]** +p3735 +tp3736 +a(g826 +g984 +tp3737 +a(g705 +g980 +tp3738 +a(g573 +Vzone-alloc +p3739 +tp3740 +a(g826 +g984 +tp3741 +a(g440 +Vnum-of-points +p3742 +tp3743 +a(g705 +g1001 +tp3744 +a(g705 +g1001 +tp3745 +a(g826 +V\u000a +p3746 +tp3747 +a(g705 +g980 +tp3748 +a(g440 +g2993 +tp3749 +a(g826 +g984 +tp3750 +a(g37 +g1071 +tp3751 +a(g705 +g1001 +tp3752 +a(g705 +g1001 +tp3753 +a(g826 +V\u000a +p3754 +tp3755 +a(g705 +g980 +tp3756 +a(g744 +Vdotimes +p3757 +tp3758 +a(g826 +g984 +tp3759 +a(g705 +g980 +tp3760 +a(g440 +g2993 +tp3761 +a(g826 +g984 +tp3762 +a(g440 +Vnum-of-points +p3763 +tp3764 +a(g705 +g1001 +tp3765 +a(g826 +V\u000a +p3766 +tp3767 +a(g705 +g980 +tp3768 +a(g748 +Vlet* +p3769 +tp3770 +a(g826 +g984 +tp3771 +a(g705 +g980 +tp3772 +a(g705 +g980 +tp3773 +a(g440 +Vidx +p3774 +tp3775 +a(g826 +g984 +tp3776 +a(g705 +g980 +tp3777 +a(g573 +g1324 +tp3778 +a(g826 +g984 +tp3779 +a(g440 +g2993 +tp3780 +a(g826 +g984 +tp3781 +a(g37 +g1524 +tp3782 +a(g705 +g1001 +tp3783 +a(g705 +g1001 +tp3784 +a(g826 +V\u000a +p3785 +tp3786 +a(g705 +g980 +tp3787 +a(g440 +Vx1 +p3788 +tp3789 +a(g826 +g984 +tp3790 +a(g705 +g980 +tp3791 +a(g573 +Vpointer-ref +p3792 +tp3793 +a(g826 +g984 +tp3794 +a(g440 +Vpoints +p3795 +tp3796 +a(g826 +g984 +tp3797 +a(g705 +g980 +tp3798 +a(g573 +g1493 +tp3799 +a(g826 +g984 +tp3800 +a(g440 +Vidx +p3801 +tp3802 +a(g826 +g984 +tp3803 +a(g37 +g1071 +tp3804 +a(g705 +g1001 +tp3805 +a(g705 +g1001 +tp3806 +a(g705 +g1001 +tp3807 +a(g826 +V\u000a +p3808 +tp3809 +a(g705 +g980 +tp3810 +a(g440 +Vy1 +p3811 +tp3812 +a(g826 +g984 +tp3813 +a(g705 +g980 +tp3814 +a(g573 +Vpointer-ref +p3815 +tp3816 +a(g826 +g984 +tp3817 +a(g440 +Vpoints +p3818 +tp3819 +a(g826 +g984 +tp3820 +a(g705 +g980 +tp3821 +a(g573 +g1493 +tp3822 +a(g826 +g984 +tp3823 +a(g440 +Vidx +p3824 +tp3825 +a(g826 +g984 +tp3826 +a(g37 +g1018 +tp3827 +a(g705 +g1001 +tp3828 +a(g705 +g1001 +tp3829 +a(g705 +g1001 +tp3830 +a(g826 +V\u000a +p3831 +tp3832 +a(g705 +g980 +tp3833 +a(g440 +Vx2 +p3834 +tp3835 +a(g826 +g984 +tp3836 +a(g705 +g980 +tp3837 +a(g573 +Vpointer-ref +p3838 +tp3839 +a(g826 +g984 +tp3840 +a(g440 +Vpoints +p3841 +tp3842 +a(g826 +g984 +tp3843 +a(g705 +g980 +tp3844 +a(g573 +g1493 +tp3845 +a(g826 +g984 +tp3846 +a(g440 +Vidx +p3847 +tp3848 +a(g826 +g984 +tp3849 +a(g37 +g1524 +tp3850 +a(g705 +g1001 +tp3851 +a(g705 +g1001 +tp3852 +a(g705 +g1001 +tp3853 +a(g826 +V\u000a +p3854 +tp3855 +a(g705 +g980 +tp3856 +a(g440 +Vy2 +p3857 +tp3858 +a(g826 +g984 +tp3859 +a(g705 +g980 +tp3860 +a(g573 +Vpointer-ref +p3861 +tp3862 +a(g826 +g984 +tp3863 +a(g440 +Vpoints +p3864 +tp3865 +a(g826 +g984 +tp3866 +a(g705 +g980 +tp3867 +a(g573 +g1493 +tp3868 +a(g826 +g984 +tp3869 +a(g440 +Vidx +p3870 +tp3871 +a(g826 +g984 +tp3872 +a(g37 +g1565 +tp3873 +a(g705 +g1001 +tp3874 +a(g705 +g1001 +tp3875 +a(g705 +g1001 +tp3876 +a(g826 +V\u000a +p3877 +tp3878 +a(g705 +g980 +tp3879 +a(g440 +Vm +p3880 +tp3881 +a(g826 +g984 +tp3882 +a(g705 +g980 +tp3883 +a(g744 +Vif +p3884 +tp3885 +a(g826 +g984 +tp3886 +a(g705 +g980 +tp3887 +a(g573 +g2255 +tp3888 +a(g826 +g984 +tp3889 +a(g314 +V0.0 +p3890 +tp3891 +a(g826 +g984 +tp3892 +a(g705 +g980 +tp3893 +a(g573 +g2138 +tp3894 +a(g826 +g984 +tp3895 +a(g440 +Vx2 +p3896 +tp3897 +a(g826 +g984 +tp3898 +a(g440 +Vx1 +p3899 +tp3900 +a(g705 +g1001 +tp3901 +a(g705 +g1001 +tp3902 +a(g826 +g984 +tp3903 +a(g314 +V0.0 +p3904 +tp3905 +a(g826 +g984 +tp3906 +a(g705 +g980 +tp3907 +a(g573 +g1427 +tp3908 +a(g826 +g984 +tp3909 +a(g705 +g980 +tp3910 +a(g573 +g2138 +tp3911 +a(g826 +g984 +tp3912 +a(g440 +Vy2 +p3913 +tp3914 +a(g826 +g984 +tp3915 +a(g440 +Vy1 +p3916 +tp3917 +a(g705 +g1001 +tp3918 +a(g826 +g984 +tp3919 +a(g705 +g980 +tp3920 +a(g573 +g2138 +tp3921 +a(g826 +g984 +tp3922 +a(g440 +Vx2 +p3923 +tp3924 +a(g826 +g984 +tp3925 +a(g440 +Vx1 +p3926 +tp3927 +a(g705 +g1001 +tp3928 +a(g705 +g1001 +tp3929 +a(g705 +g1001 +tp3930 +a(g705 +g1001 +tp3931 +a(g826 +V\u000a +p3932 +tp3933 +a(g705 +g980 +tp3934 +a(g440 +g2408 +tp3935 +a(g826 +g984 +tp3936 +a(g705 +g980 +tp3937 +a(g573 +g2138 +tp3938 +a(g826 +g984 +tp3939 +a(g440 +Vy2 +p3940 +tp3941 +a(g826 +g984 +tp3942 +a(g705 +g980 +tp3943 +a(g573 +g1324 +tp3944 +a(g826 +g984 +tp3945 +a(g440 +g3880 +tp3946 +a(g826 +g984 +tp3947 +a(g440 +Vx2 +p3948 +tp3949 +a(g705 +g1001 +tp3950 +a(g705 +g1001 +tp3951 +a(g705 +g1001 +tp3952 +a(g826 +V\u000a +p3953 +tp3954 +a(g705 +g980 +tp3955 +a(g440 +Vl +p3956 +tp3957 +a(g826 +g984 +tp3958 +a(g705 +g980 +tp3959 +a(g744 +Vlambda +p3960 +tp3961 +a(g826 +g984 +tp3962 +a(g705 +g980 +tp3963 +a(g440 +Vtime +p3964 +tp3965 +a(g705 +g1001 +tp3966 +a(g826 +g984 +tp3967 +a(g705 +g980 +tp3968 +a(g573 +g1493 +tp3969 +a(g826 +g984 +tp3970 +a(g705 +g980 +tp3971 +a(g573 +g1324 +tp3972 +a(g826 +g984 +tp3973 +a(g440 +g3880 +tp3974 +a(g826 +g984 +tp3975 +a(g440 +Vtime +p3976 +tp3977 +a(g705 +g1001 +tp3978 +a(g826 +g984 +tp3979 +a(g440 +g2408 +tp3980 +a(g705 +g1001 +tp3981 +a(g705 +g1001 +tp3982 +a(g705 +g1001 +tp3983 +a(g705 +g1001 +tp3984 +a(g826 +V\u000a +p3985 +tp3986 +a(g705 +g980 +tp3987 +a(g573 +Vpointer-set! +p3988 +tp3989 +a(g826 +g984 +tp3990 +a(g440 +Vlines +p3991 +tp3992 +a(g826 +g984 +tp3993 +a(g440 +g2993 +tp3994 +a(g826 +g984 +tp3995 +a(g440 +g3956 +tp3996 +a(g705 +g1001 +tp3997 +a(g705 +g1001 +tp3998 +a(g705 +g1001 +tp3999 +a(g826 +V\u000a +p4000 +tp4001 +a(g440 +Vlines +p4002 +tp4003 +a(g705 +g1001 +tp4004 +a(g705 +g1001 +tp4005 +a(g705 +g1001 +tp4006 +a(g826 +V\u000a\u000a +p4007 +tp4008 +a(g705 +g980 +tp4009 +a(g744 +Vbind-func +p4010 +tp4011 +a(g826 +g984 +tp4012 +a(g573 +Vmake-envelope +p4013 +tp4014 +a(g826 +V\u000a +p4015 +tp4016 +a(g705 +g980 +tp4017 +a(g744 +Vlambda +p4018 +tp4019 +a(g826 +g984 +tp4020 +a(g705 +g980 +tp4021 +a(g440 +Vpoints +p4022 +tp4023 +a(g748 +V:double* +p4024 +tp4025 +a(g826 +g984 +tp4026 +a(g440 +Vnum-of-points +p4027 +tp4028 +a(g705 +g1001 +tp4029 +a(g826 +V\u000a +p4030 +tp4031 +a(g705 +g980 +tp4032 +a(g744 +Vlet +p4033 +tp4034 +a(g826 +g984 +tp4035 +a(g705 +g980 +tp4036 +a(g705 +g980 +tp4037 +a(g440 +Vklines +p4038 +tp4039 +a(g748 +V:[double,double]** +p4040 +tp4041 +a(g826 +g984 +tp4042 +a(g705 +g980 +tp4043 +a(g440 +Venvelope-segments +p4044 +tp4045 +a(g826 +g984 +tp4046 +a(g440 +Vpoints +p4047 +tp4048 +a(g826 +g984 +tp4049 +a(g440 +Vnum-of-points +p4050 +tp4051 +a(g705 +g1001 +tp4052 +a(g705 +g1001 +tp4053 +a(g826 +V\u000a +p4054 +tp4055 +a(g705 +g980 +tp4056 +a(g440 +Vline-length +p4057 +tp4058 +a(g826 +g984 +tp4059 +a(g440 +Vnum-of-points +p4060 +tp4061 +a(g705 +g1001 +tp4062 +a(g705 +g1001 +tp4063 +a(g826 +V\u000a +p4064 +tp4065 +a(g705 +g980 +tp4066 +a(g744 +Vlambda +p4067 +tp4068 +a(g826 +g984 +tp4069 +a(g705 +g980 +tp4070 +a(g440 +Vtime +p4071 +tp4072 +a(g705 +g1001 +tp4073 +a(g826 +V\u000a +p4074 +tp4075 +a(g705 +g980 +tp4076 +a(g744 +Vlet +p4077 +tp4078 +a(g826 +g984 +tp4079 +a(g705 +g980 +tp4080 +a(g705 +g980 +tp4081 +a(g440 +Vres +p4082 +tp4083 +a(g826 +g984 +tp4084 +a(g314 +V-1.0 +p4085 +tp4086 +a(g705 +g1001 +tp4087 +a(g826 +V\u000a +p4088 +tp4089 +a(g705 +g980 +tp4090 +a(g440 +g2993 +tp4091 +a(g748 +V:i64 +p4092 +tp4093 +a(g826 +g984 +tp4094 +a(g37 +g1071 +tp4095 +a(g705 +g1001 +tp4096 +a(g705 +g1001 +tp4097 +a(g826 +V\u000a +p4098 +tp4099 +a(g705 +g980 +tp4100 +a(g744 +Vdotimes +p4101 +tp4102 +a(g826 +g984 +tp4103 +a(g705 +g980 +tp4104 +a(g440 +g2993 +tp4105 +a(g826 +g984 +tp4106 +a(g440 +Vnum-of-points +p4107 +tp4108 +a(g705 +g1001 +tp4109 +a(g826 +V\u000a +p4110 +tp4111 +a(g705 +g980 +tp4112 +a(g744 +Vlet +p4113 +tp4114 +a(g826 +g984 +tp4115 +a(g705 +g980 +tp4116 +a(g705 +g980 +tp4117 +a(g440 +Vline +p4118 +tp4119 +a(g826 +g984 +tp4120 +a(g705 +g980 +tp4121 +a(g573 +Vpointer-ref +p4122 +tp4123 +a(g826 +g984 +tp4124 +a(g440 +Vklines +p4125 +tp4126 +a(g826 +g984 +tp4127 +a(g440 +g2993 +tp4128 +a(g705 +g1001 +tp4129 +a(g705 +g1001 +tp4130 +a(g826 +V\u000a +p4131 +tp4132 +a(g705 +g980 +tp4133 +a(g440 +Vtime-point +p4134 +tp4135 +a(g826 +g984 +tp4136 +a(g705 +g980 +tp4137 +a(g573 +Vpointer-ref +p4138 +tp4139 +a(g826 +g984 +tp4140 +a(g440 +Vpoints +p4141 +tp4142 +a(g826 +g984 +tp4143 +a(g705 +g980 +tp4144 +a(g573 +g1324 +tp4145 +a(g826 +g984 +tp4146 +a(g440 +g2993 +tp4147 +a(g826 +g984 +tp4148 +a(g37 +g1524 +tp4149 +a(g705 +g1001 +tp4150 +a(g705 +g1001 +tp4151 +a(g705 +g1001 +tp4152 +a(g705 +g1001 +tp4153 +a(g826 +V\u000a +p4154 +tp4155 +a(g705 +g980 +tp4156 +a(g744 +Vif +p4157 +tp4158 +a(g826 +g984 +tp4159 +a(g705 +g980 +tp4160 +a(g744 +Vor +p4161 +tp4162 +a(g826 +g984 +tp4163 +a(g705 +g980 +tp4164 +a(g573 +g2255 +tp4165 +a(g826 +g984 +tp4166 +a(g440 +Vtime +p4167 +tp4168 +a(g826 +g984 +tp4169 +a(g440 +Vtime-point +p4170 +tp4171 +a(g705 +g1001 +tp4172 +a(g826 +V\u000a +p4173 +tp4174 +a(g705 +g980 +tp4175 +a(g573 +g2001 +tp4176 +a(g826 +g984 +tp4177 +a(g440 +Vtime-point +p4178 +tp4179 +a(g826 +g984 +tp4180 +a(g440 +Vtime +p4181 +tp4182 +a(g705 +g1001 +tp4183 +a(g705 +g1001 +tp4184 +a(g826 +V\u000a +p4185 +tp4186 +a(g705 +g980 +tp4187 +a(g744 +Vset! +p4188 +tp4189 +a(g826 +g984 +tp4190 +a(g440 +Vres +p4191 +tp4192 +a(g826 +g984 +tp4193 +a(g705 +g980 +tp4194 +a(g440 +Vline +p4195 +tp4196 +a(g826 +g984 +tp4197 +a(g440 +Vtime +p4198 +tp4199 +a(g705 +g1001 +tp4200 +a(g705 +g1001 +tp4201 +a(g705 +g1001 +tp4202 +a(g705 +g1001 +tp4203 +a(g705 +g1001 +tp4204 +a(g826 +V\u000a +p4205 +tp4206 +a(g440 +Vres +p4207 +tp4208 +a(g705 +g1001 +tp4209 +a(g705 +g1001 +tp4210 +a(g705 +g1001 +tp4211 +a(g705 +g1001 +tp4212 +a(g705 +g1001 +tp4213 +a(g826 +V\u000a\u000a +p4214 +tp4215 +a(g8 +V;; make a convenience wrapper +p4216 +tp4217 +a(g826 +V\u000a +p4218 +tp4219 +a(g705 +g980 +tp4220 +a(g440 +Vxtmtest +p4221 +tp4222 +a(g826 +g984 +tp4223 +a(g412 +g986 +tp4224 +a(g705 +g980 +tp4225 +a(g744 +Vbind-func +p4226 +tp4227 +a(g826 +g984 +tp4228 +a(g573 +Venv-wrap +p4229 +tp4230 +a(g826 +V\u000a +p4231 +tp4232 +a(g705 +g980 +tp4233 +a(g748 +Vlet* +p4234 +tp4235 +a(g826 +g984 +tp4236 +a(g705 +g980 +tp4237 +a(g705 +g980 +tp4238 +a(g440 +Vpoints +p4239 +tp4240 +a(g826 +g984 +tp4241 +a(g37 +g1565 +tp4242 +a(g705 +g1001 +tp4243 +a(g826 +V\u000a +p4244 +tp4245 +a(g705 +g980 +tp4246 +a(g440 +Vdata +p4247 +tp4248 +a(g748 +V:double* +p4249 +tp4250 +a(g826 +g984 +tp4251 +a(g705 +g980 +tp4252 +a(g573 +Vzone-alloc +p4253 +tp4254 +a(g826 +g984 +tp4255 +a(g705 +g980 +tp4256 +a(g573 +g1324 +tp4257 +a(g826 +g984 +tp4258 +a(g440 +Vpoints +p4259 +tp4260 +a(g826 +g984 +tp4261 +a(g37 +g1524 +tp4262 +a(g705 +g1001 +tp4263 +a(g705 +g1001 +tp4264 +a(g705 +g1001 +tp4265 +a(g705 +g1001 +tp4266 +a(g826 +V\u000a +p4267 +tp4268 +a(g705 +g980 +tp4269 +a(g573 +Vpointer-set! +p4270 +tp4271 +a(g826 +g984 +tp4272 +a(g440 +Vdata +p4273 +tp4274 +a(g826 +g984 +tp4275 +a(g37 +g1071 +tp4276 +a(g826 +g984 +tp4277 +a(g314 +V0.0 +p4278 +tp4279 +a(g705 +g1001 +tp4280 +a(g826 +g984 +tp4281 +a(g8 +V;; point data +p4282 +tp4283 +a(g826 +V\u000a +p4284 +tp4285 +a(g705 +g980 +tp4286 +a(g573 +Vpset! +p4287 +tp4288 +a(g826 +g984 +tp4289 +a(g440 +Vdata +p4290 +tp4291 +a(g826 +g984 +tp4292 +a(g37 +g1018 +tp4293 +a(g826 +g984 +tp4294 +a(g314 +V0.0 +p4295 +tp4296 +a(g705 +g1001 +tp4297 +a(g826 +V\u000a +p4298 +tp4299 +a(g705 +g980 +tp4300 +a(g573 +Vpset! +p4301 +tp4302 +a(g826 +g984 +tp4303 +a(g440 +Vdata +p4304 +tp4305 +a(g826 +g984 +tp4306 +a(g37 +g1524 +tp4307 +a(g826 +g984 +tp4308 +a(g314 +V2.0 +p4309 +tp4310 +a(g705 +g1001 +tp4311 +a(g826 +V\u000a +p4312 +tp4313 +a(g705 +g980 +tp4314 +a(g573 +Vpset! +p4315 +tp4316 +a(g826 +g984 +tp4317 +a(g440 +Vdata +p4318 +tp4319 +a(g826 +g984 +tp4320 +a(g37 +g1565 +tp4321 +a(g826 +g984 +tp4322 +a(g314 +V1.0 +p4323 +tp4324 +a(g705 +g1001 +tp4325 +a(g826 +V\u000a +p4326 +tp4327 +a(g705 +g980 +tp4328 +a(g573 +Vpset! +p4329 +tp4330 +a(g826 +g984 +tp4331 +a(g440 +Vdata +p4332 +tp4333 +a(g826 +g984 +tp4334 +a(g37 +g1157 +tp4335 +a(g826 +g984 +tp4336 +a(g314 +V4.0 +p4337 +tp4338 +a(g705 +g1001 +tp4339 +a(g826 +V\u000a +p4340 +tp4341 +a(g705 +g980 +tp4342 +a(g573 +Vpset! +p4343 +tp4344 +a(g826 +g984 +tp4345 +a(g440 +Vdata +p4346 +tp4347 +a(g826 +g984 +tp4348 +a(g37 +g1329 +tp4349 +a(g826 +g984 +tp4350 +a(g314 +V0.0 +p4351 +tp4352 +a(g705 +g1001 +tp4353 +a(g826 +V\u000a +p4354 +tp4355 +a(g705 +g980 +tp4356 +a(g744 +Vlet +p4357 +tp4358 +a(g826 +g984 +tp4359 +a(g705 +g980 +tp4360 +a(g705 +g980 +tp4361 +a(g440 +g1685 +tp4362 +a(g826 +g984 +tp4363 +a(g705 +g980 +tp4364 +a(g573 +Vmake-env +p4365 +tp4366 +a(g440 +Velope +p4367 +tp4368 +a(g826 +g984 +tp4369 +a(g440 +Vdata +p4370 +tp4371 +a(g826 +g984 +tp4372 +a(g440 +Vpoints +p4373 +tp4374 +a(g705 +g1001 +tp4375 +a(g705 +g1001 +tp4376 +a(g705 +g1001 +tp4377 +a(g826 +V\u000a +p4378 +tp4379 +a(g705 +g980 +tp4380 +a(g744 +Vlambda +p4381 +tp4382 +a(g826 +g984 +tp4383 +a(g705 +g980 +tp4384 +a(g440 +Vtime +p4385 +tp4386 +a(g748 +V:double +p4387 +tp4388 +a(g705 +g1001 +tp4389 +a(g826 +V\u000a +p4390 +tp4391 +a(g705 +g980 +tp4392 +a(g440 +g1685 +tp4393 +a(g826 +g984 +tp4394 +a(g440 +Vtime +p4395 +tp4396 +a(g705 +g1001 +tp4397 +a(g705 +g1001 +tp4398 +a(g705 +g1001 +tp4399 +a(g705 +g1001 +tp4400 +a(g705 +g1001 +tp4401 +a(g826 +V\u000a +p4402 +tp4403 +a(g705 +g980 +tp4404 +a(g440 +Venv-wrap +p4405 +tp4406 +a(g826 +g984 +tp4407 +a(g314 +V0.0 +p4408 +tp4409 +a(g705 +g1001 +tp4410 +a(g826 +g984 +tp4411 +a(g314 +V0.0 +p4412 +tp4413 +a(g705 +g1001 +tp4414 +a(g826 +V\u000a\u000a +p4415 +tp4416 +a(g705 +g980 +tp4417 +a(g440 +Vxtmtest-result +p4418 +tp4419 +a(g826 +g984 +tp4420 +a(g705 +g980 +tp4421 +a(g440 +Venv-wrap +p4422 +tp4423 +a(g826 +g984 +tp4424 +a(g314 +V1.0 +p4425 +tp4426 +a(g705 +g1001 +tp4427 +a(g826 +g984 +tp4428 +a(g314 +V0.5 +p4429 +tp4430 +a(g705 +g1001 +tp4431 +a(g826 +V\u000a +p4432 +tp4433 +a(g705 +g980 +tp4434 +a(g440 +Vxtmtest-result +p4435 +tp4436 +a(g826 +g984 +tp4437 +a(g705 +g980 +tp4438 +a(g440 +Venv-wrap +p4439 +tp4440 +a(g826 +g984 +tp4441 +a(g314 +V2.0 +p4442 +tp4443 +a(g705 +g1001 +tp4444 +a(g826 +g984 +tp4445 +a(g314 +V1.0 +p4446 +tp4447 +a(g705 +g1001 +tp4448 +a(g826 +V\u000a +p4449 +tp4450 +a(g705 +g980 +tp4451 +a(g440 +Vxtmtest-result +p4452 +tp4453 +a(g826 +g984 +tp4454 +a(g705 +g980 +tp4455 +a(g440 +Venv-wrap +p4456 +tp4457 +a(g826 +g984 +tp4458 +a(g314 +V2.5 +p4459 +tp4460 +a(g705 +g1001 +tp4461 +a(g826 +g984 +tp4462 +a(g314 +V0.75 +p4463 +tp4464 +a(g705 +g1001 +tp4465 +a(g826 +V\u000a +p4466 +tp4467 +a(g705 +g980 +tp4468 +a(g440 +Vxtmtest-result +p4469 +tp4470 +a(g826 +g984 +tp4471 +a(g705 +g980 +tp4472 +a(g440 +Venv-wrap +p4473 +tp4474 +a(g826 +g984 +tp4475 +a(g314 +V4.0 +p4476 +tp4477 +a(g705 +g1001 +tp4478 +a(g826 +g984 +tp4479 +a(g314 +V0.0 +p4480 +tp4481 +a(g705 +g1001 +tp4482 +a(g826 +V\u000a\u000a +p4483 +tp4484 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p4485 +tp4486 +a(g826 +V\u000a +p4487 +tp4488 +a(g8 +V;; +p4489 +tp4490 +a(g826 +V\u000a +p4491 +tp4492 +a(g8 +V;; direct access to a closures environment +p4493 +tp4494 +a(g826 +V\u000a +p4495 +tp4496 +a(g8 +V;; +p4497 +tp4498 +a(g826 +V\u000a +p4499 +tp4500 +a(g8 +V;; it is possible to directly access a closures +p4501 +tp4502 +a(g826 +V\u000a +p4503 +tp4504 +a(g8 +V;; environment in order to read or modify data +p4505 +tp4506 +a(g826 +V\u000a +p4507 +tp4508 +a(g8 +V;; at runtime. +p4509 +tp4510 +a(g826 +V\u000a +p4511 +tp4512 +a(g8 +V;; +p4513 +tp4514 +a(g826 +V\u000a +p4515 +tp4516 +a(g8 +V;; You do this using a dot operator +p4517 +tp4518 +a(g826 +V\u000a +p4519 +tp4520 +a(g8 +V;; To access an environment slot you use +p4521 +tp4522 +a(g826 +V\u000a +p4523 +tp4524 +a(g8 +V;; closure.slot:type +p4525 +tp4526 +a(g826 +V\u000a +p4527 +tp4528 +a(g8 +V;; So for example +p4529 +tp4530 +a(g826 +V\u000a +p4531 +tp4532 +a(g8 +V;; (f.a:i32) +p4533 +tp4534 +a(g826 +V\u000a +p4535 +tp4536 +a(g8 +V;; would return the 32bit integer symbol 'a' +p4537 +tp4538 +a(g826 +V\u000a +p4539 +tp4540 +a(g8 +V;; from the closure 'f' +p4541 +tp4542 +a(g826 +V\u000a +p4543 +tp4544 +a(g8 +V;; +p4545 +tp4546 +a(g826 +V\u000a +p4547 +tp4548 +a(g8 +V;; To set an environment slot you just +p4549 +tp4550 +a(g826 +V\u000a +p4551 +tp4552 +a(g8 +V;; add a value of the correct type +p4553 +tp4554 +a(g826 +V\u000a +p4555 +tp4556 +a(g8 +V;; for example +p4557 +tp4558 +a(g826 +V\u000a +p4559 +tp4560 +a(g8 +V;; (f.a:i32 565) +p4561 +tp4562 +a(g826 +V\u000a +p4563 +tp4564 +a(g8 +V;; would set 'a' in 'f' to 565 +p4565 +tp4566 +a(g826 +V\u000a +p4567 +tp4568 +a(g8 +V;; +p4569 +tp4570 +a(g826 +V\u000a +p4571 +tp4572 +a(g8 +V;; let's create a closure that capture's 'a' +p4573 +tp4574 +a(g826 +V\u000a\u000a\u000a +p4575 +tp4576 +a(g705 +g980 +tp4577 +a(g440 +Vxtmtest +p4578 +tp4579 +a(g826 +g984 +tp4580 +a(g412 +g986 +tp4581 +a(g705 +g980 +tp4582 +a(g744 +Vbind-func +p4583 +tp4584 +a(g826 +g984 +tp4585 +a(g573 +Vdot-access-test1 +p4586 +tp4587 +a(g826 +V\u000a +p4588 +tp4589 +a(g705 +g980 +tp4590 +a(g744 +Vlet +p4591 +tp4592 +a(g826 +g984 +tp4593 +a(g705 +g980 +tp4594 +a(g705 +g980 +tp4595 +a(g440 +g1318 +tp4596 +a(g748 +V:i32 +p4597 +tp4598 +a(g826 +g984 +tp4599 +a(g37 +g1340 +tp4600 +a(g705 +g1001 +tp4601 +a(g705 +g1001 +tp4602 +a(g826 +V\u000a +p4603 +tp4604 +a(g705 +g980 +tp4605 +a(g744 +Vlambda +p4606 +tp4607 +a(g826 +g984 +tp4608 +a(g705 +g980 +tp4609 +a(g705 +g1001 +tp4610 +a(g826 +V\u000a +p4611 +tp4612 +a(g705 +g980 +tp4613 +a(g573 +Vprintf +p4614 +tp4615 +a(g826 +g984 +tp4616 +a(g89 +V"a:%d\u005cn" +p4617 +tp4618 +a(g826 +g984 +tp4619 +a(g440 +g1318 +tp4620 +a(g705 +g1001 +tp4621 +a(g826 +V\u000a +p4622 +tp4623 +a(g440 +g1318 +tp4624 +a(g705 +g1001 +tp4625 +a(g705 +g1001 +tp4626 +a(g705 +g1001 +tp4627 +a(g826 +V\u000a +p4628 +tp4629 +a(g705 +g980 +tp4630 +a(g744 +Vdo +p4631 +tp4632 +a(g440 +Vt-access-test1 +p4633 +tp4634 +a(g705 +g1001 +tp4635 +a(g705 +g1001 +tp4636 +a(g826 +V\u000a\u000a +p4637 +tp4638 +a(g8 +V;; now let's create a new function +p4639 +tp4640 +a(g826 +V\u000a +p4641 +tp4642 +a(g8 +V;; that calls my-test14 twice +p4643 +tp4644 +a(g826 +V\u000a +p4645 +tp4646 +a(g8 +V;; once normally +p4647 +tp4648 +a(g826 +V\u000a +p4649 +tp4650 +a(g8 +V;; then we directly set the closures 'a' binding +p4651 +tp4652 +a(g826 +V\u000a +p4653 +tp4654 +a(g8 +V;; then call again +p4655 +tp4656 +a(g826 +V\u000a +p4657 +tp4658 +a(g8 +V;; +p4659 +tp4660 +a(g826 +V\u000a +p4661 +tp4662 +a(g705 +g980 +tp4663 +a(g440 +Vxtmtest +p4664 +tp4665 +a(g826 +g984 +tp4666 +a(g412 +g986 +tp4667 +a(g705 +g980 +tp4668 +a(g744 +Vbind-func +p4669 +tp4670 +a(g826 +g984 +tp4671 +a(g573 +Vdot-access-test2 +p4672 +tp4673 +a(g826 +V\u000a +p4674 +tp4675 +a(g705 +g980 +tp4676 +a(g744 +Vlambda +p4677 +tp4678 +a(g826 +g984 +tp4679 +a(g705 +g980 +tp4680 +a(g440 +g1480 +tp4681 +a(g748 +V:i32 +p4682 +tp4683 +a(g705 +g1001 +tp4684 +a(g826 +V\u000a +p4685 +tp4686 +a(g705 +g980 +tp4687 +a(g440 +Vdot-access-test1 +p4688 +tp4689 +a(g705 +g1001 +tp4690 +a(g826 +V\u000a +p4691 +tp4692 +a(g705 +g980 +tp4693 +a(g440 +Vdot-access-test1.a +p4694 +tp4695 +a(g748 +V:i32 +p4696 +tp4697 +a(g826 +g984 +tp4698 +a(g440 +g1480 +tp4699 +a(g705 +g1001 +tp4700 +a(g826 +V\u000a +p4701 +tp4702 +a(g705 +g980 +tp4703 +a(g440 +Vdot-access-test1 +p4704 +tp4705 +a(g705 +g1001 +tp4706 +a(g705 +g1001 +tp4707 +a(g705 +g1001 +tp4708 +a(g826 +V\u000a\u000a +p4709 +tp4710 +a(g705 +g980 +tp4711 +a(g744 +Vdo +p4712 +tp4713 +a(g440 +Vt-access-test2 +p4714 +tp4715 +a(g826 +g984 +tp4716 +a(g37 +V9 +p4717 +tp4718 +a(g705 +g1001 +tp4719 +a(g705 +g1001 +tp4720 +a(g826 +V\u000a\u000a +p4721 +tp4722 +a(g8 +V;; of course this works just as well for +p4723 +tp4724 +a(g826 +V\u000a +p4725 +tp4726 +a(g8 +V;; non-global closures +p4727 +tp4728 +a(g826 +V\u000a +p4729 +tp4730 +a(g705 +g980 +tp4731 +a(g440 +Vxtmtest +p4732 +tp4733 +a(g826 +g984 +tp4734 +a(g412 +g986 +tp4735 +a(g705 +g980 +tp4736 +a(g744 +Vbind-func +p4737 +tp4738 +a(g826 +g984 +tp4739 +a(g573 +Vdot-access-test3 +p4740 +tp4741 +a(g826 +V\u000a +p4742 +tp4743 +a(g705 +g980 +tp4744 +a(g744 +Vlambda +p4745 +tp4746 +a(g826 +g984 +tp4747 +a(g705 +g980 +tp4748 +a(g440 +g1318 +tp4749 +a(g748 +V:i32 +p4750 +tp4751 +a(g705 +g1001 +tp4752 +a(g826 +V\u000a +p4753 +tp4754 +a(g705 +g980 +tp4755 +a(g744 +Vlet +p4756 +tp4757 +a(g826 +g984 +tp4758 +a(g705 +g980 +tp4759 +a(g705 +g980 +tp4760 +a(g440 +g1685 +tp4761 +a(g826 +g984 +tp4762 +a(g705 +g980 +tp4763 +a(g744 +Vlambda +p4764 +tp4765 +a(g826 +g984 +tp4766 +a(g705 +g980 +tp4767 +a(g705 +g1001 +tp4768 +a(g826 +V\u000a +p4769 +tp4770 +a(g705 +g980 +tp4771 +a(g573 +g1324 +tp4772 +a(g826 +g984 +tp4773 +a(g37 +g1565 +tp4774 +a(g826 +g984 +tp4775 +a(g440 +g1318 +tp4776 +a(g705 +g1001 +tp4777 +a(g705 +g1001 +tp4778 +a(g705 +g1001 +tp4779 +a(g705 +g1001 +tp4780 +a(g826 +V\u000a +p4781 +tp4782 +a(g440 +g1685 +tp4783 +a(g705 +g1001 +tp4784 +a(g705 +g1001 +tp4785 +a(g705 +g1001 +tp4786 +a(g826 +V\u000a +p4787 +tp4788 +a(g705 +g980 +tp4789 +a(g744 +Vdo +p4790 +tp4791 +a(g440 +Vt-access-test3 +p4792 +tp4793 +a(g826 +g984 +tp4794 +a(g37 +g1018 +tp4795 +a(g705 +g1001 +tp4796 +a(g705 +g1001 +tp4797 +a(g826 +V\u000a\u000a +p4798 +tp4799 +a(g705 +g980 +tp4800 +a(g440 +Vxtmtest +p4801 +tp4802 +a(g826 +g984 +tp4803 +a(g412 +g986 +tp4804 +a(g705 +g980 +tp4805 +a(g744 +Vbind-func +p4806 +tp4807 +a(g826 +g984 +tp4808 +a(g573 +Vdot-access-test4 +p4809 +tp4810 +a(g826 +V\u000a +p4811 +tp4812 +a(g705 +g980 +tp4813 +a(g744 +Vlambda +p4814 +tp4815 +a(g826 +g984 +tp4816 +a(g705 +g980 +tp4817 +a(g705 +g1001 +tp4818 +a(g826 +V\u000a +p4819 +tp4820 +a(g705 +g980 +tp4821 +a(g744 +Vlet +p4822 +tp4823 +a(g826 +g984 +tp4824 +a(g705 +g980 +tp4825 +a(g705 +g980 +tp4826 +a(g440 +g1685 +tp4827 +a(g826 +g984 +tp4828 +a(g705 +g980 +tp4829 +a(g440 +Vdot-access-test3 +p4830 +tp4831 +a(g826 +g984 +tp4832 +a(g37 +g1329 +tp4833 +a(g705 +g1001 +tp4834 +a(g705 +g1001 +tp4835 +a(g705 +g1001 +tp4836 +a(g826 +V\u000a +p4837 +tp4838 +a(g705 +g980 +tp4839 +a(g440 +Vf.a +p4840 +tp4841 +a(g748 +V:i32 +p4842 +tp4843 +a(g826 +g984 +tp4844 +a(g37 +V7 +p4845 +tp4846 +a(g705 +g1001 +tp4847 +a(g826 +V\u000a +p4848 +tp4849 +a(g705 +g980 +tp4850 +a(g440 +g1685 +tp4851 +a(g705 +g1001 +tp4852 +a(g705 +g1001 +tp4853 +a(g705 +g1001 +tp4854 +a(g705 +g1001 +tp4855 +a(g826 +V\u000a\u000a +p4856 +tp4857 +a(g705 +g980 +tp4858 +a(g744 +Vdo +p4859 +tp4860 +a(g440 +Vt-access-test4 +p4861 +tp4862 +a(g705 +g1001 +tp4863 +a(g826 +V\u000a +p4864 +tp4865 +a(g37 +V21 +p4866 +tp4867 +a(g705 +g1001 +tp4868 +a(g826 +V\u000a\u000a +p4869 +tp4870 +a(g8 +V;; and you can get and set closures also! +p4871 +tp4872 +a(g826 +V\u000a +p4873 +tp4874 +a(g705 +g980 +tp4875 +a(g440 +Vxtmtest +p4876 +tp4877 +a(g826 +g984 +tp4878 +a(g412 +g986 +tp4879 +a(g705 +g980 +tp4880 +a(g744 +Vbind-func +p4881 +tp4882 +a(g826 +g984 +tp4883 +a(g573 +Vdot-access-test5 +p4884 +tp4885 +a(g826 +V\u000a +p4886 +tp4887 +a(g705 +g980 +tp4888 +a(g744 +Vlambda +p4889 +tp4890 +a(g826 +g984 +tp4891 +a(g705 +g980 +tp4892 +a(g705 +g1001 +tp4893 +a(g826 +V\u000a +p4894 +tp4895 +a(g705 +g980 +tp4896 +a(g744 +Vlet +p4897 +tp4898 +a(g826 +g984 +tp4899 +a(g705 +g980 +tp4900 +a(g705 +g980 +tp4901 +a(g440 +g1685 +tp4902 +a(g826 +g984 +tp4903 +a(g705 +g980 +tp4904 +a(g744 +Vlambda +p4905 +tp4906 +a(g826 +g984 +tp4907 +a(g705 +g980 +tp4908 +a(g440 +g1480 +tp4909 +a(g748 +V:i64 +p4910 +tp4911 +a(g705 +g1001 +tp4912 +a(g826 +g984 +tp4913 +a(g440 +g1480 +tp4914 +a(g705 +g1001 +tp4915 +a(g705 +g1001 +tp4916 +a(g705 +g1001 +tp4917 +a(g826 +V\u000a +p4918 +tp4919 +a(g705 +g980 +tp4920 +a(g744 +Vlambda +p4921 +tp4922 +a(g826 +g984 +tp4923 +a(g705 +g980 +tp4924 +a(g440 +Vz +p4925 +tp4926 +a(g705 +g1001 +tp4927 +a(g826 +V\u000a +p4928 +tp4929 +a(g705 +g980 +tp4930 +a(g440 +g1685 +tp4931 +a(g826 +g984 +tp4932 +a(g440 +g4925 +tp4933 +a(g705 +g1001 +tp4934 +a(g705 +g1001 +tp4935 +a(g705 +g1001 +tp4936 +a(g705 +g1001 +tp4937 +a(g705 +g1001 +tp4938 +a(g826 +V\u000a\u000a +p4939 +tp4940 +a(g705 +g980 +tp4941 +a(g744 +Vdo +p4942 +tp4943 +a(g440 +Vt-access-test5 +p4944 +tp4945 +a(g705 +g1001 +tp4946 +a(g705 +g1001 +tp4947 +a(g826 +V\u000a\u000a +p4948 +tp4949 +a(g705 +g980 +tp4950 +a(g440 +Vxtmtest +p4951 +tp4952 +a(g826 +g984 +tp4953 +a(g412 +g986 +tp4954 +a(g705 +g980 +tp4955 +a(g744 +Vbind-func +p4956 +tp4957 +a(g826 +g984 +tp4958 +a(g573 +Vdot-access-test6 +p4959 +tp4960 +a(g826 +V\u000a +p4961 +tp4962 +a(g705 +g980 +tp4963 +a(g744 +Vlambda +p4964 +tp4965 +a(g826 +g984 +tp4966 +a(g705 +g980 +tp4967 +a(g705 +g1001 +tp4968 +a(g826 +V\u000a +p4969 +tp4970 +a(g705 +g980 +tp4971 +a(g744 +Vlet +p4972 +tp4973 +a(g826 +g984 +tp4974 +a(g705 +g980 +tp4975 +a(g705 +g980 +tp4976 +a(g440 +Vt1 +p4977 +tp4978 +a(g826 +g984 +tp4979 +a(g705 +g980 +tp4980 +a(g440 +Vdot-access-test5 +p4981 +tp4982 +a(g705 +g1001 +tp4983 +a(g705 +g1001 +tp4984 +a(g826 +V\u000a +p4985 +tp4986 +a(g705 +g980 +tp4987 +a(g440 +Vt2 +p4988 +tp4989 +a(g826 +g984 +tp4990 +a(g705 +g980 +tp4991 +a(g440 +Vdot-access-test5 +p4992 +tp4993 +a(g705 +g1001 +tp4994 +a(g705 +g1001 +tp4995 +a(g705 +g1001 +tp4996 +a(g826 +V\u000a +p4997 +tp4998 +a(g8 +V;; identity of 5 +p4999 +tp5000 +a(g826 +V\u000a +p5001 +tp5002 +a(g705 +g980 +tp5003 +a(g573 +Vprintf +p5004 +tp5005 +a(g826 +g984 +tp5006 +a(g89 +V"%lld:%lld\u005cn" +p5007 +tp5008 +a(g826 +g984 +tp5009 +a(g705 +g980 +tp5010 +a(g440 +Vt1 +p5011 +tp5012 +a(g826 +g984 +tp5013 +a(g37 +g1329 +tp5014 +a(g705 +g1001 +tp5015 +a(g826 +g984 +tp5016 +a(g705 +g980 +tp5017 +a(g440 +Vt2 +p5018 +tp5019 +a(g826 +g984 +tp5020 +a(g37 +g1329 +tp5021 +a(g705 +g1001 +tp5022 +a(g705 +g1001 +tp5023 +a(g826 +V\u000a +p5024 +tp5025 +a(g705 +g980 +tp5026 +a(g440 +Vt1.f +p5027 +tp5028 +a(g748 +V:[i64,i64]* +p5029 +tp5030 +a(g826 +g984 +tp5031 +a(g705 +g980 +tp5032 +a(g744 +Vlambda +p5033 +tp5034 +a(g826 +g984 +tp5035 +a(g705 +g980 +tp5036 +a(g440 +g1480 +tp5037 +a(g748 +V:i64 +p5038 +tp5039 +a(g705 +g1001 +tp5040 +a(g826 +g984 +tp5041 +a(g705 +g980 +tp5042 +a(g573 +g1324 +tp5043 +a(g826 +g984 +tp5044 +a(g440 +g1480 +tp5045 +a(g826 +g984 +tp5046 +a(g440 +g1480 +tp5047 +a(g705 +g1001 +tp5048 +a(g705 +g1001 +tp5049 +a(g705 +g1001 +tp5050 +a(g826 +V\u000a +p5051 +tp5052 +a(g8 +V;; square of 5 +p5053 +tp5054 +a(g826 +V\u000a +p5055 +tp5056 +a(g705 +g980 +tp5057 +a(g573 +Vprintf +p5058 +tp5059 +a(g826 +g984 +tp5060 +a(g89 +V"%lld:%lld\u005cn" +p5061 +tp5062 +a(g826 +g984 +tp5063 +a(g705 +g980 +tp5064 +a(g440 +Vt1 +p5065 +tp5066 +a(g826 +g984 +tp5067 +a(g37 +g1329 +tp5068 +a(g705 +g1001 +tp5069 +a(g826 +g984 +tp5070 +a(g705 +g980 +tp5071 +a(g440 +Vt2 +p5072 +tp5073 +a(g826 +g984 +tp5074 +a(g37 +g1329 +tp5075 +a(g705 +g1001 +tp5076 +a(g705 +g1001 +tp5077 +a(g826 +V\u000a +p5078 +tp5079 +a(g8 +V;; cube of 5 +p5080 +tp5081 +a(g826 +V\u000a +p5082 +tp5083 +a(g705 +g980 +tp5084 +a(g440 +Vt2.f +p5085 +tp5086 +a(g748 +V:[i64,i64]* +p5087 +tp5088 +a(g826 +g984 +tp5089 +a(g705 +g980 +tp5090 +a(g744 +Vlambda +p5091 +tp5092 +a(g826 +g984 +tp5093 +a(g705 +g980 +tp5094 +a(g440 +g2416 +tp5095 +a(g748 +V:i64 +p5096 +tp5097 +a(g705 +g1001 +tp5098 +a(g826 +g984 +tp5099 +a(g705 +g980 +tp5100 +a(g573 +g1324 +tp5101 +a(g826 +g984 +tp5102 +a(g440 +g2416 +tp5103 +a(g826 +g984 +tp5104 +a(g440 +g2416 +tp5105 +a(g826 +g984 +tp5106 +a(g440 +g2416 +tp5107 +a(g705 +g1001 +tp5108 +a(g705 +g1001 +tp5109 +a(g705 +g1001 +tp5110 +a(g826 +V\u000a +p5111 +tp5112 +a(g705 +g980 +tp5113 +a(g573 +Vprintf +p5114 +tp5115 +a(g826 +g984 +tp5116 +a(g89 +V"%lld:%lld\u005cn" +p5117 +tp5118 +a(g826 +g984 +tp5119 +a(g705 +g980 +tp5120 +a(g440 +Vt1 +p5121 +tp5122 +a(g826 +g984 +tp5123 +a(g37 +g1329 +tp5124 +a(g705 +g1001 +tp5125 +a(g826 +g984 +tp5126 +a(g705 +g980 +tp5127 +a(g440 +Vt2 +p5128 +tp5129 +a(g826 +g984 +tp5130 +a(g37 +g1329 +tp5131 +a(g705 +g1001 +tp5132 +a(g705 +g1001 +tp5133 +a(g826 +V\u000a +p5134 +tp5135 +a(g440 +Vvoid +p5136 +tp5137 +a(g705 +g1001 +tp5138 +a(g705 +g1001 +tp5139 +a(g705 +g1001 +tp5140 +a(g826 +V\u000a\u000a +p5141 +tp5142 +a(g705 +g980 +tp5143 +a(g744 +Vdo +p5144 +tp5145 +a(g440 +Vt-access-test6 +p5146 +tp5147 +a(g705 +g1001 +tp5148 +a(g705 +g1001 +tp5149 +a(g826 +g984 +tp5150 +a(g8 +V;; 5:5 > 25:5 > 25:125 +p5151 +tp5152 +a(g826 +V\u000a\u000a +p5153 +tp5154 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p5155 +tp5156 +a(g826 +V\u000a +p5157 +tp5158 +a(g8 +V;; +p5159 +tp5160 +a(g826 +V\u000a +p5161 +tp5162 +a(g8 +V;; named types +p5163 +tp5164 +a(g826 +V\u000a\u000a +p5165 +tp5166 +a(g8 +V;; it can sometimes be helpful to allocate +p5167 +tp5168 +a(g826 +V\u000a +p5169 +tp5170 +a(g8 +V;; a predefined tuple type on the stack +p5171 +tp5172 +a(g826 +V\u000a +p5173 +tp5174 +a(g8 +V;; you can do this using allocate +p5175 +tp5176 +a(g826 +V\u000a +p5177 +tp5178 +a(g705 +g980 +tp5179 +a(g744 +Vbind-type +p5180 +tp5181 +a(g826 +g984 +tp5182 +a(g573 +Vvec3 +p5183 +tp5184 +a(g826 +g984 +tp5185 +a(g748 +V +p5186 +tp5187 +a(g705 +g1001 +tp5188 +a(g826 +V\u000a\u000a +p5189 +tp5190 +a(g8 +V;; String printing! +p5191 +tp5192 +a(g826 +V\u000a +p5193 +tp5194 +a(g705 +g980 +tp5195 +a(g744 +Vbind-func +p5196 +tp5197 +a(g826 +g984 +tp5198 +a(g573 +Vvec3_print +p5199 +tp5200 +a(g748 +V:[void,vec3*]* +p5201 +tp5202 +a(g826 +V\u000a +p5203 +tp5204 +a(g705 +g980 +tp5205 +a(g744 +Vlambda +p5206 +tp5207 +a(g826 +g984 +tp5208 +a(g705 +g980 +tp5209 +a(g440 +g1480 +tp5210 +a(g705 +g1001 +tp5211 +a(g826 +V\u000a +p5212 +tp5213 +a(g705 +g980 +tp5214 +a(g573 +Vprintf +p5215 +tp5216 +a(g826 +g984 +tp5217 +a(g89 +V"<%d,%d,%d>" +p5218 +tp5219 +a(g826 +g984 +tp5220 +a(g705 +g980 +tp5221 +a(g573 +Vtref +p5222 +tp5223 +a(g826 +g984 +tp5224 +a(g440 +g1480 +tp5225 +a(g826 +g984 +tp5226 +a(g37 +g1071 +tp5227 +a(g705 +g1001 +tp5228 +a(g826 +g984 +tp5229 +a(g705 +g980 +tp5230 +a(g573 +Vtref +p5231 +tp5232 +a(g826 +g984 +tp5233 +a(g440 +g1480 +tp5234 +a(g826 +g984 +tp5235 +a(g37 +g1018 +tp5236 +a(g705 +g1001 +tp5237 +a(g826 +g984 +tp5238 +a(g705 +g980 +tp5239 +a(g573 +Vtref +p5240 +tp5241 +a(g826 +g984 +tp5242 +a(g440 +g1480 +tp5243 +a(g826 +g984 +tp5244 +a(g37 +g1524 +tp5245 +a(g705 +g1001 +tp5246 +a(g705 +g1001 +tp5247 +a(g826 +V\u000a +p5248 +tp5249 +a(g440 +Vvoid +p5250 +tp5251 +a(g705 +g1001 +tp5252 +a(g705 +g1001 +tp5253 +a(g826 +V\u000a\u000a +p5254 +tp5255 +a(g705 +g980 +tp5256 +a(g744 +Vbind-poly +p5257 +tp5258 +a(g826 +g984 +tp5259 +a(g573 +Vprint +p5260 +tp5261 +a(g826 +g984 +tp5262 +a(g440 +Vvec3_print +p5263 +tp5264 +a(g705 +g1001 +tp5265 +a(g826 +V\u000a\u000a +p5266 +tp5267 +a(g8 +V;; note that point is deallocated at the +p5268 +tp5269 +a(g826 +V\u000a +p5270 +tp5271 +a(g8 +V;; end of the function call. You can +p5272 +tp5273 +a(g826 +V\u000a +p5274 +tp5275 +a(g8 +V;; stack allocate (stack-alloc) +p5276 +tp5277 +a(g826 +V\u000a +p5278 +tp5279 +a(g8 +V;; any valid type (i64 for example) +p5280 +tp5281 +a(g826 +V\u000a +p5282 +tp5283 +a(g705 +g980 +tp5284 +a(g440 +Vxtmtest +p5285 +tp5286 +a(g826 +g984 +tp5287 +a(g412 +g986 +tp5288 +a(g705 +g980 +tp5289 +a(g744 +Vbind-func +p5290 +tp5291 +a(g826 +g984 +tp5292 +a(g573 +Vsalloc-test +p5293 +tp5294 +a(g826 +V\u000a +p5295 +tp5296 +a(g705 +g980 +tp5297 +a(g744 +Vlambda +p5298 +tp5299 +a(g826 +g984 +tp5300 +a(g705 +g980 +tp5301 +a(g705 +g1001 +tp5302 +a(g826 +V\u000a +p5303 +tp5304 +a(g705 +g980 +tp5305 +a(g744 +Vlet +p5306 +tp5307 +a(g826 +g984 +tp5308 +a(g705 +g980 +tp5309 +a(g705 +g980 +tp5310 +a(g440 +Vpoint +p5311 +tp5312 +a(g748 +V:vec3* +p5313 +tp5314 +a(g826 +g984 +tp5315 +a(g705 +g980 +tp5316 +a(g573 +Vstack-alloc +p5317 +tp5318 +a(g705 +g1001 +tp5319 +a(g705 +g1001 +tp5320 +a(g705 +g1001 +tp5321 +a(g826 +V\u000a +p5322 +tp5323 +a(g705 +g980 +tp5324 +a(g573 +Vtset! +p5325 +tp5326 +a(g826 +g984 +tp5327 +a(g440 +Vpoint +p5328 +tp5329 +a(g826 +g984 +tp5330 +a(g37 +g1071 +tp5331 +a(g826 +g984 +tp5332 +a(g314 +V0.0 +p5333 +tp5334 +a(g705 +g1001 +tp5335 +a(g826 +V\u000a +p5336 +tp5337 +a(g705 +g980 +tp5338 +a(g573 +Vtset! +p5339 +tp5340 +a(g826 +g984 +tp5341 +a(g440 +Vpoint +p5342 +tp5343 +a(g826 +g984 +tp5344 +a(g37 +g1018 +tp5345 +a(g826 +g984 +tp5346 +a(g314 +V-1.0 +p5347 +tp5348 +a(g705 +g1001 +tp5349 +a(g826 +V\u000a +p5350 +tp5351 +a(g705 +g980 +tp5352 +a(g573 +Vtset! +p5353 +tp5354 +a(g826 +g984 +tp5355 +a(g440 +Vpoint +p5356 +tp5357 +a(g826 +g984 +tp5358 +a(g37 +g1524 +tp5359 +a(g826 +g984 +tp5360 +a(g314 +V1.0 +p5361 +tp5362 +a(g705 +g1001 +tp5363 +a(g826 +V\u000a +p5364 +tp5365 +a(g37 +g1018 +tp5366 +a(g705 +g1001 +tp5367 +a(g705 +g1001 +tp5368 +a(g705 +g1001 +tp5369 +a(g826 +V\u000a\u000a +p5370 +tp5371 +a(g705 +g980 +tp5372 +a(g440 +Vsalloc-test +p5373 +tp5374 +a(g705 +g1001 +tp5375 +a(g705 +g1001 +tp5376 +a(g826 +g984 +tp5377 +a(g8 +V;; 1 +p5378 +tp5379 +a(g826 +V\u000a\u000a +p5380 +tp5381 +a(g8 +V;; all named types have 2 default constructors +p5382 +tp5383 +a(g826 +V\u000a +p5384 +tp5385 +a(g8 +V;; name (zone alloation) + name_h (heap allocation) +p5386 +tp5387 +a(g826 +V\u000a +p5388 +tp5389 +a(g8 +V;; and a default print poly +p5390 +tp5391 +a(g826 +V\u000a +p5392 +tp5393 +a(g705 +g980 +tp5394 +a(g440 +Vxtmtest +p5395 +tp5396 +a(g826 +g984 +tp5397 +a(g412 +g986 +tp5398 +a(g705 +g980 +tp5399 +a(g744 +Vbind-func +p5400 +tp5401 +a(g826 +g984 +tp5402 +a(g573 +Vdata-constructor-test +p5403 +tp5404 +a(g826 +V\u000a +p5405 +tp5406 +a(g705 +g980 +tp5407 +a(g744 +Vlambda +p5408 +tp5409 +a(g826 +g984 +tp5410 +a(g705 +g980 +tp5411 +a(g705 +g1001 +tp5412 +a(g826 +V\u000a +p5413 +tp5414 +a(g705 +g980 +tp5415 +a(g744 +Vlet +p5416 +tp5417 +a(g826 +g984 +tp5418 +a(g705 +g980 +tp5419 +a(g705 +g980 +tp5420 +a(g440 +Vv1 +p5421 +tp5422 +a(g826 +g984 +tp5423 +a(g705 +g980 +tp5424 +a(g440 +Vvec3 +p5425 +tp5426 +a(g826 +g984 +tp5427 +a(g314 +V1.0 +p5428 +tp5429 +a(g826 +g984 +tp5430 +a(g314 +V2.0 +p5431 +tp5432 +a(g826 +g984 +tp5433 +a(g314 +V3.0 +p5434 +tp5435 +a(g705 +g1001 +tp5436 +a(g705 +g1001 +tp5437 +a(g826 +V\u000a +p5438 +tp5439 +a(g705 +g980 +tp5440 +a(g440 +Vv2 +p5441 +tp5442 +a(g826 +g984 +tp5443 +a(g705 +g980 +tp5444 +a(g440 +Vvec3_h +p5445 +tp5446 +a(g826 +g984 +tp5447 +a(g314 +V4.0 +p5448 +tp5449 +a(g826 +g984 +tp5450 +a(g314 +V5.0 +p5451 +tp5452 +a(g826 +g984 +tp5453 +a(g314 +V6.0 +p5454 +tp5455 +a(g705 +g1001 +tp5456 +a(g705 +g1001 +tp5457 +a(g705 +g1001 +tp5458 +a(g826 +V\u000a +p5459 +tp5460 +a(g705 +g980 +tp5461 +a(g573 +Vprintln +p5462 +tp5463 +a(g826 +g984 +tp5464 +a(g440 +Vv1 +p5465 +tp5466 +a(g826 +g984 +tp5467 +a(g440 +Vv2 +p5468 +tp5469 +a(g705 +g1001 +tp5470 +a(g826 +V\u000a +p5471 +tp5472 +a(g8 +V;; halloced vec3 needs freeing +p5473 +tp5474 +a(g826 +V\u000a +p5475 +tp5476 +a(g705 +g980 +tp5477 +a(g573 +Vfree +p5478 +tp5479 +a(g826 +g984 +tp5480 +a(g440 +Vv2 +p5481 +tp5482 +a(g705 +g1001 +tp5483 +a(g826 +V\u000a +p5484 +tp5485 +a(g440 +Vvoid +p5486 +tp5487 +a(g705 +g1001 +tp5488 +a(g705 +g1001 +tp5489 +a(g705 +g1001 +tp5490 +a(g826 +V\u000a\u000a +p5491 +tp5492 +a(g705 +g980 +tp5493 +a(g440 +Vdata-constructor-test +p5494 +tp5495 +a(g705 +g1001 +tp5496 +a(g705 +g1001 +tp5497 +a(g826 +V\u000a\u000a +p5498 +tp5499 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p5500 +tp5501 +a(g826 +V\u000a +p5502 +tp5503 +a(g8 +V;; +p5504 +tp5505 +a(g826 +V\u000a +p5506 +tp5507 +a(g8 +V;; aref-ptr and tref-ptr +p5508 +tp5509 +a(g826 +V\u000a +p5510 +tp5511 +a(g8 +V;; +p5512 +tp5513 +a(g826 +V\u000a\u000a +p5514 +tp5515 +a(g8 +V;; aref-ptr and tref-ptr return a pointer to an element +p5516 +tp5517 +a(g826 +V\u000a +p5518 +tp5519 +a(g8 +V;; just as aref and tref return elements aref-ptr and +p5520 +tp5521 +a(g826 +V\u000a +p5522 +tp5523 +a(g8 +V;; tref-ptr return a pointer to those elements. +p5524 +tp5525 +a(g826 +V\u000a\u000a +p5526 +tp5527 +a(g8 +V;; This allows you to do things like create an array +p5528 +tp5529 +a(g826 +V\u000a +p5530 +tp5531 +a(g8 +V;; with an offset +p5532 +tp5533 +a(g826 +V\u000a +p5534 +tp5535 +a(g705 +g980 +tp5536 +a(g440 +Vxtmtest +p5537 +tp5538 +a(g826 +g984 +tp5539 +a(g412 +g986 +tp5540 +a(g705 +g980 +tp5541 +a(g744 +Vbind-func +p5542 +tp5543 +a(g826 +g984 +tp5544 +a(g573 +Varef-ptr-test +p5545 +tp5546 +a(g826 +V\u000a +p5547 +tp5548 +a(g705 +g980 +tp5549 +a(g744 +Vlambda +p5550 +tp5551 +a(g826 +g984 +tp5552 +a(g705 +g980 +tp5553 +a(g705 +g1001 +tp5554 +a(g826 +V\u000a +p5555 +tp5556 +a(g705 +g980 +tp5557 +a(g744 +Vlet +p5558 +tp5559 +a(g826 +g984 +tp5560 +a(g705 +g980 +tp5561 +a(g705 +g980 +tp5562 +a(g440 +Varr +p5563 +tp5564 +a(g748 +V:|32,i64|* +p5565 +tp5566 +a(g826 +g984 +tp5567 +a(g705 +g980 +tp5568 +a(g573 +Valloc +p5569 +tp5570 +a(g705 +g1001 +tp5571 +a(g705 +g1001 +tp5572 +a(g826 +V\u000a +p5573 +tp5574 +a(g705 +g980 +tp5575 +a(g440 +Varroff +p5576 +tp5577 +a(g826 +g984 +tp5578 +a(g705 +g980 +tp5579 +a(g573 +Varef-ptr +p5580 +tp5581 +a(g826 +g984 +tp5582 +a(g440 +Varr +p5583 +tp5584 +a(g826 +g984 +tp5585 +a(g37 +V16 +p5586 +tp5587 +a(g705 +g1001 +tp5588 +a(g705 +g1001 +tp5589 +a(g826 +V\u000a +p5590 +tp5591 +a(g705 +g980 +tp5592 +a(g440 +g1598 +tp5593 +a(g826 +g984 +tp5594 +a(g37 +g1071 +tp5595 +a(g705 +g1001 +tp5596 +a(g826 +V\u000a +p5597 +tp5598 +a(g705 +g980 +tp5599 +a(g440 +g2993 +tp5600 +a(g826 +g984 +tp5601 +a(g37 +g1071 +tp5602 +a(g705 +g1001 +tp5603 +a(g705 +g1001 +tp5604 +a(g826 +V\u000a +p5605 +tp5606 +a(g8 +V;; load arr +p5607 +tp5608 +a(g826 +V\u000a +p5609 +tp5610 +a(g705 +g980 +tp5611 +a(g744 +Vdotimes +p5612 +tp5613 +a(g826 +g984 +tp5614 +a(g705 +g980 +tp5615 +a(g440 +g1598 +tp5616 +a(g826 +g984 +tp5617 +a(g37 +V32 +p5618 +tp5619 +a(g705 +g1001 +tp5620 +a(g826 +g984 +tp5621 +a(g705 +g980 +tp5622 +a(g573 +Vaset! +p5623 +tp5624 +a(g826 +g984 +tp5625 +a(g440 +Varr +p5626 +tp5627 +a(g826 +g984 +tp5628 +a(g440 +g1598 +tp5629 +a(g826 +g984 +tp5630 +a(g440 +g1598 +tp5631 +a(g705 +g1001 +tp5632 +a(g705 +g1001 +tp5633 +a(g826 +V\u000a +p5634 +tp5635 +a(g705 +g980 +tp5636 +a(g744 +Vdotimes +p5637 +tp5638 +a(g826 +g984 +tp5639 +a(g705 +g980 +tp5640 +a(g440 +g2993 +tp5641 +a(g826 +g984 +tp5642 +a(g37 +V16 +p5643 +tp5644 +a(g705 +g1001 +tp5645 +a(g826 +V\u000a +p5646 +tp5647 +a(g705 +g980 +tp5648 +a(g573 +Vprintf +p5649 +tp5650 +a(g826 +g984 +tp5651 +a(g89 +V"index: %lld\u005ctarr: %lld\u005ctarroff: %lld\u005cn" +p5652 +tp5653 +a(g826 +V\u000a +p5654 +tp5655 +a(g440 +g2993 +tp5656 +a(g826 +g984 +tp5657 +a(g705 +g980 +tp5658 +a(g573 +Varef +p5659 +tp5660 +a(g826 +g984 +tp5661 +a(g440 +Varr +p5662 +tp5663 +a(g826 +g984 +tp5664 +a(g440 +g2993 +tp5665 +a(g705 +g1001 +tp5666 +a(g826 +g984 +tp5667 +a(g705 +g980 +tp5668 +a(g573 +Vpref +p5669 +tp5670 +a(g826 +g984 +tp5671 +a(g440 +Varroff +p5672 +tp5673 +a(g826 +g984 +tp5674 +a(g440 +g2993 +tp5675 +a(g705 +g1001 +tp5676 +a(g705 +g1001 +tp5677 +a(g705 +g1001 +tp5678 +a(g705 +g1001 +tp5679 +a(g705 +g1001 +tp5680 +a(g705 +g1001 +tp5681 +a(g826 +V\u000a\u000a +p5682 +tp5683 +a(g705 +g980 +tp5684 +a(g440 +Varef-ptr-test +p5685 +tp5686 +a(g705 +g1001 +tp5687 +a(g705 +g1001 +tp5688 +a(g826 +V\u000a\u000a +p5689 +tp5690 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p5691 +tp5692 +a(g826 +V\u000a +p5693 +tp5694 +a(g8 +V;; +p5695 +tp5696 +a(g826 +V\u000a +p5697 +tp5698 +a(g8 +V;; arrays +p5699 +tp5700 +a(g826 +V\u000a +p5701 +tp5702 +a(g8 +V;; Extempore lang supports arrays as for first class +p5703 +tp5704 +a(g826 +V\u000a +p5705 +tp5706 +a(g8 +V;; aggregate types (in other words as distinct from +p5707 +tp5708 +a(g826 +V\u000a +p5709 +tp5710 +a(g8 +V;; a pointer). +p5711 +tp5712 +a(g826 +V\u000a +p5713 +tp5714 +a(g8 +V;; +p5715 +tp5716 +a(g826 +V\u000a +p5717 +tp5718 +a(g8 +V;; an array is made up of a size and a type +p5719 +tp5720 +a(g826 +V\u000a +p5721 +tp5722 +a(g8 +V;; |32,i64| is an array of 32 elements of type i64 +p5723 +tp5724 +a(g826 +V\u000a +p5725 +tp5726 +a(g8 +V;; +p5727 +tp5728 +a(g826 +V\u000a\u000a +p5729 +tp5730 +a(g705 +g980 +tp5731 +a(g744 +Vbind-type +p5732 +tp5733 +a(g826 +g984 +tp5734 +a(g573 +Vtuple-with-array +p5735 +tp5736 +a(g826 +g984 +tp5737 +a(g748 +V +p5738 +tp5739 +a(g705 +g1001 +tp5740 +a(g826 +V\u000a\u000a +p5741 +tp5742 +a(g705 +g980 +tp5743 +a(g440 +Vxtmtest +p5744 +tp5745 +a(g826 +g984 +tp5746 +a(g412 +g986 +tp5747 +a(g705 +g980 +tp5748 +a(g744 +Vbind-func +p5749 +tp5750 +a(g826 +g984 +tp5751 +a(g573 +Varray-test5 +p5752 +tp5753 +a(g826 +V\u000a +p5754 +tp5755 +a(g705 +g980 +tp5756 +a(g744 +Vlambda +p5757 +tp5758 +a(g826 +g984 +tp5759 +a(g705 +g980 +tp5760 +a(g705 +g1001 +tp5761 +a(g826 +V\u000a +p5762 +tp5763 +a(g705 +g980 +tp5764 +a(g744 +Vlet +p5765 +tp5766 +a(g826 +g984 +tp5767 +a(g705 +g980 +tp5768 +a(g705 +g980 +tp5769 +a(g440 +Vtup +p5770 +tp5771 +a(g748 +V:tuple-with-array* +p5772 +tp5773 +a(g826 +g984 +tp5774 +a(g705 +g980 +tp5775 +a(g573 +Vstack-alloc +p5776 +tp5777 +a(g705 +g1001 +tp5778 +a(g705 +g1001 +tp5779 +a(g826 +V\u000a +p5780 +tp5781 +a(g705 +g980 +tp5782 +a(g440 +Vt2 +p5783 +tp5784 +a(g748 +V:|32,i64|* +p5785 +tp5786 +a(g826 +g984 +tp5787 +a(g705 +g980 +tp5788 +a(g573 +Vstack-alloc +p5789 +tp5790 +a(g705 +g1001 +tp5791 +a(g705 +g1001 +tp5792 +a(g705 +g1001 +tp5793 +a(g826 +V\u000a +p5794 +tp5795 +a(g705 +g980 +tp5796 +a(g573 +Vaset! +p5797 +tp5798 +a(g826 +g984 +tp5799 +a(g440 +Vt2 +p5800 +tp5801 +a(g826 +g984 +tp5802 +a(g37 +g1071 +tp5803 +a(g826 +g984 +tp5804 +a(g37 +g4717 +tp5805 +a(g705 +g1001 +tp5806 +a(g826 +V\u000a +p5807 +tp5808 +a(g705 +g980 +tp5809 +a(g573 +Vtset! +p5810 +tp5811 +a(g826 +g984 +tp5812 +a(g440 +Vtup +p5813 +tp5814 +a(g826 +g984 +tp5815 +a(g37 +g1524 +tp5816 +a(g826 +g984 +tp5817 +a(g314 +V5.5 +p5818 +tp5819 +a(g705 +g1001 +tp5820 +a(g826 +V\u000a +p5821 +tp5822 +a(g705 +g980 +tp5823 +a(g573 +Vaset! +p5824 +tp5825 +a(g826 +g984 +tp5826 +a(g705 +g980 +tp5827 +a(g573 +Varef-ptr +p5828 +tp5829 +a(g826 +g984 +tp5830 +a(g705 +g980 +tp5831 +a(g573 +Vtref-ptr +p5832 +tp5833 +a(g826 +g984 +tp5834 +a(g440 +Vtup +p5835 +tp5836 +a(g826 +g984 +tp5837 +a(g37 +g1018 +tp5838 +a(g705 +g1001 +tp5839 +a(g826 +g984 +tp5840 +a(g37 +g1071 +tp5841 +a(g705 +g1001 +tp5842 +a(g826 +g984 +tp5843 +a(g37 +g1071 +tp5844 +a(g826 +g984 +tp5845 +a(g37 +g1071 +tp5846 +a(g705 +g1001 +tp5847 +a(g826 +V\u000a +p5848 +tp5849 +a(g705 +g980 +tp5850 +a(g573 +Vaset! +p5851 +tp5852 +a(g826 +g984 +tp5853 +a(g705 +g980 +tp5854 +a(g573 +Varef-ptr +p5855 +tp5856 +a(g826 +g984 +tp5857 +a(g705 +g980 +tp5858 +a(g573 +Vtref-ptr +p5859 +tp5860 +a(g826 +g984 +tp5861 +a(g440 +Vtup +p5862 +tp5863 +a(g826 +g984 +tp5864 +a(g37 +g1018 +tp5865 +a(g705 +g1001 +tp5866 +a(g826 +g984 +tp5867 +a(g37 +g1071 +tp5868 +a(g705 +g1001 +tp5869 +a(g826 +g984 +tp5870 +a(g37 +g1018 +tp5871 +a(g826 +g984 +tp5872 +a(g37 +g1018 +tp5873 +a(g705 +g1001 +tp5874 +a(g826 +V\u000a +p5875 +tp5876 +a(g705 +g980 +tp5877 +a(g573 +Vaset! +p5878 +tp5879 +a(g826 +g984 +tp5880 +a(g705 +g980 +tp5881 +a(g573 +Varef-ptr +p5882 +tp5883 +a(g826 +g984 +tp5884 +a(g705 +g980 +tp5885 +a(g573 +Vtref-ptr +p5886 +tp5887 +a(g826 +g984 +tp5888 +a(g440 +Vtup +p5889 +tp5890 +a(g826 +g984 +tp5891 +a(g37 +g1018 +tp5892 +a(g705 +g1001 +tp5893 +a(g826 +g984 +tp5894 +a(g37 +g1071 +tp5895 +a(g705 +g1001 +tp5896 +a(g826 +g984 +tp5897 +a(g37 +g1524 +tp5898 +a(g826 +g984 +tp5899 +a(g37 +g1524 +tp5900 +a(g705 +g1001 +tp5901 +a(g826 +V\u000a +p5902 +tp5903 +a(g705 +g980 +tp5904 +a(g573 +Vprintf +p5905 +tp5906 +a(g826 +g984 +tp5907 +a(g89 +V"val: %lld %lld %f\u005cn" +p5908 +tp5909 +a(g826 +V\u000a +p5910 +tp5911 +a(g705 +g980 +tp5912 +a(g573 +Varef +p5913 +tp5914 +a(g826 +g984 +tp5915 +a(g705 +g980 +tp5916 +a(g573 +Varef-ptr +p5917 +tp5918 +a(g826 +g984 +tp5919 +a(g705 +g980 +tp5920 +a(g573 +Vtref-ptr +p5921 +tp5922 +a(g826 +g984 +tp5923 +a(g440 +Vtup +p5924 +tp5925 +a(g826 +g984 +tp5926 +a(g37 +g1018 +tp5927 +a(g705 +g1001 +tp5928 +a(g826 +g984 +tp5929 +a(g37 +g1071 +tp5930 +a(g705 +g1001 +tp5931 +a(g826 +g984 +tp5932 +a(g37 +g1018 +tp5933 +a(g705 +g1001 +tp5934 +a(g826 +V\u000a +p5935 +tp5936 +a(g705 +g980 +tp5937 +a(g573 +Varef +p5938 +tp5939 +a(g826 +g984 +tp5940 +a(g440 +Vt2 +p5941 +tp5942 +a(g826 +g984 +tp5943 +a(g37 +g1071 +tp5944 +a(g705 +g1001 +tp5945 +a(g826 +g984 +tp5946 +a(g705 +g980 +tp5947 +a(g573 +Vftod +p5948 +tp5949 +a(g826 +g984 +tp5950 +a(g705 +g980 +tp5951 +a(g573 +Vtref +p5952 +tp5953 +a(g826 +g984 +tp5954 +a(g440 +Vtup +p5955 +tp5956 +a(g826 +g984 +tp5957 +a(g37 +g1524 +tp5958 +a(g705 +g1001 +tp5959 +a(g705 +g1001 +tp5960 +a(g705 +g1001 +tp5961 +a(g826 +V\u000a +p5962 +tp5963 +a(g705 +g980 +tp5964 +a(g573 +Varef +p5965 +tp5966 +a(g826 +g984 +tp5967 +a(g705 +g980 +tp5968 +a(g573 +Varef-ptr +p5969 +tp5970 +a(g826 +g984 +tp5971 +a(g705 +g980 +tp5972 +a(g573 +Vtref-ptr +p5973 +tp5974 +a(g826 +g984 +tp5975 +a(g440 +Vtup +p5976 +tp5977 +a(g826 +g984 +tp5978 +a(g37 +g1018 +tp5979 +a(g705 +g1001 +tp5980 +a(g826 +g984 +tp5981 +a(g37 +g1071 +tp5982 +a(g705 +g1001 +tp5983 +a(g826 +g984 +tp5984 +a(g37 +g1018 +tp5985 +a(g705 +g1001 +tp5986 +a(g705 +g1001 +tp5987 +a(g705 +g1001 +tp5988 +a(g705 +g1001 +tp5989 +a(g826 +V\u000a\u000a +p5990 +tp5991 +a(g705 +g980 +tp5992 +a(g440 +Varray-test5 +p5993 +tp5994 +a(g705 +g1001 +tp5995 +a(g826 +g984 +tp5996 +a(g37 +g1018 +tp5997 +a(g705 +g1001 +tp5998 +a(g826 +g984 +tp5999 +a(g8 +V;; val: 1 9 5.5 +p6000 +tp6001 +a(g826 +V\u000a\u000a +p6002 +tp6003 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p6004 +tp6005 +a(g826 +V\u000a +p6006 +tp6007 +a(g8 +V;; +p6008 +tp6009 +a(g826 +V\u000a +p6010 +tp6011 +a(g8 +V;; Global Variables +p6012 +tp6013 +a(g826 +V\u000a +p6014 +tp6015 +a(g8 +V;; +p6016 +tp6017 +a(g826 +V\u000a +p6018 +tp6019 +a(g8 +V;; You can allocate global variables using bind-val +p6020 +tp6021 +a(g826 +V\u000a +p6022 +tp6023 +a(g8 +V;; +p6024 +tp6025 +a(g826 +V\u000a\u000a +p6026 +tp6027 +a(g705 +g980 +tp6028 +a(g744 +Vbind-val +p6029 +tp6030 +a(g826 +g984 +tp6031 +a(g573 +Vg_var_a +p6032 +tp6033 +a(g826 +g984 +tp6034 +a(g440 +Vi32 +p6035 +tp6036 +a(g826 +g984 +tp6037 +a(g37 +g1329 +tp6038 +a(g705 +g1001 +tp6039 +a(g826 +V\u000a\u000a +p6040 +tp6041 +a(g8 +V;; increment g_var_a by inc +p6042 +tp6043 +a(g826 +V\u000a +p6044 +tp6045 +a(g8 +V;; and return new value of g_var_a +p6046 +tp6047 +a(g826 +V\u000a +p6048 +tp6049 +a(g705 +g980 +tp6050 +a(g440 +Vxtmtest +p6051 +tp6052 +a(g826 +g984 +tp6053 +a(g412 +g986 +tp6054 +a(g705 +g980 +tp6055 +a(g744 +Vbind-func +p6056 +tp6057 +a(g826 +g984 +tp6058 +a(g573 +Vglobal_var_test1 +p6059 +tp6060 +a(g826 +V\u000a +p6061 +tp6062 +a(g705 +g980 +tp6063 +a(g744 +Vlambda +p6064 +tp6065 +a(g826 +g984 +tp6066 +a(g705 +g980 +tp6067 +a(g440 +Vincr +p6068 +tp6069 +a(g705 +g1001 +tp6070 +a(g826 +V\u000a +p6071 +tp6072 +a(g705 +g980 +tp6073 +a(g744 +Vset! +p6074 +tp6075 +a(g826 +g984 +tp6076 +a(g440 +Vg_var_a +p6077 +tp6078 +a(g826 +g984 +tp6079 +a(g705 +g980 +tp6080 +a(g573 +g1493 +tp6081 +a(g826 +g984 +tp6082 +a(g440 +Vg_var_a +p6083 +tp6084 +a(g826 +g984 +tp6085 +a(g440 +Vincr +p6086 +tp6087 +a(g705 +g1001 +tp6088 +a(g705 +g1001 +tp6089 +a(g826 +V\u000a +p6090 +tp6091 +a(g440 +Vg_var_a +p6092 +tp6093 +a(g705 +g1001 +tp6094 +a(g705 +g1001 +tp6095 +a(g826 +V\u000a\u000a +p6096 +tp6097 +a(g705 +g980 +tp6098 +a(g440 +Vglobal_var_test1 +p6099 +tp6100 +a(g826 +g984 +tp6101 +a(g37 +g1565 +tp6102 +a(g705 +g1001 +tp6103 +a(g826 +g984 +tp6104 +a(g37 +g1145 +tp6105 +a(g705 +g1001 +tp6106 +a(g826 +g984 +tp6107 +a(g8 +V;; 8 +p6108 +tp6109 +a(g826 +V\u000a\u000a +p6110 +tp6111 +a(g8 +V;; you can bind any primitive type +p6112 +tp6113 +a(g826 +V\u000a +p6114 +tp6115 +a(g705 +g980 +tp6116 +a(g744 +Vbind-val +p6117 +tp6118 +a(g826 +g984 +tp6119 +a(g573 +Vg_var_b +p6120 +tp6121 +a(g826 +g984 +tp6122 +a(g440 +Vdouble +p6123 +tp6124 +a(g826 +g984 +tp6125 +a(g314 +V5.5 +p6126 +tp6127 +a(g705 +g1001 +tp6128 +a(g826 +V\u000a +p6129 +tp6130 +a(g705 +g980 +tp6131 +a(g744 +Vbind-val +p6132 +tp6133 +a(g826 +g984 +tp6134 +a(g573 +Vg_var_c +p6135 +tp6136 +a(g826 +g984 +tp6137 +a(g440 +Vi1 +p6138 +tp6139 +a(g826 +g984 +tp6140 +a(g37 +g1071 +tp6141 +a(g705 +g1001 +tp6142 +a(g826 +V\u000a\u000a +p6143 +tp6144 +a(g705 +g980 +tp6145 +a(g440 +Vxtmtest +p6146 +tp6147 +a(g826 +g984 +tp6148 +a(g412 +g986 +tp6149 +a(g705 +g980 +tp6150 +a(g744 +Vbind-func +p6151 +tp6152 +a(g826 +g984 +tp6153 +a(g573 +Vglobal_var_test1b +p6154 +tp6155 +a(g826 +V\u000a +p6156 +tp6157 +a(g705 +g980 +tp6158 +a(g744 +Vlambda +p6159 +tp6160 +a(g826 +g984 +tp6161 +a(g705 +g980 +tp6162 +a(g705 +g1001 +tp6163 +a(g826 +V\u000a +p6164 +tp6165 +a(g705 +g980 +tp6166 +a(g573 +g1324 +tp6167 +a(g826 +g984 +tp6168 +a(g440 +Vg_var_b +p6169 +tp6170 +a(g826 +g984 +tp6171 +a(g705 +g980 +tp6172 +a(g744 +Vif +p6173 +tp6174 +a(g826 +g984 +tp6175 +a(g440 +Vg_var_c +p6176 +tp6177 +a(g826 +g984 +tp6178 +a(g314 +V1.0 +p6179 +tp6180 +a(g826 +g984 +tp6181 +a(g314 +V4.0 +p6182 +tp6183 +a(g705 +g1001 +tp6184 +a(g705 +g1001 +tp6185 +a(g705 +g1001 +tp6186 +a(g705 +g1001 +tp6187 +a(g826 +V\u000a\u000a +p6188 +tp6189 +a(g705 +g980 +tp6190 +a(g440 +Vglobal_var_test1b +p6191 +tp6192 +a(g705 +g1001 +tp6193 +a(g826 +g984 +tp6194 +a(g314 +V22.0 +p6195 +tp6196 +a(g705 +g1001 +tp6197 +a(g826 +V\u000a\u000a +p6198 +tp6199 +a(g8 +V;; global strings +p6200 +tp6201 +a(g826 +V\u000a\u000a +p6202 +tp6203 +a(g705 +g980 +tp6204 +a(g744 +Vbind-val +p6205 +tp6206 +a(g826 +g984 +tp6207 +a(g573 +Vg_cstring +p6208 +tp6209 +a(g826 +g984 +tp6210 +a(g748 +Vi8* +p6211 +tp6212 +a(g826 +g984 +tp6213 +a(g89 +V"Jiblet." +p6214 +tp6215 +a(g705 +g1001 +tp6216 +a(g826 +V\u000a\u000a +p6217 +tp6218 +a(g705 +g980 +tp6219 +a(g440 +Vxtmtest +p6220 +tp6221 +a(g826 +g984 +tp6222 +a(g412 +g986 +tp6223 +a(g705 +g980 +tp6224 +a(g744 +Vbind-func +p6225 +tp6226 +a(g826 +g984 +tp6227 +a(g573 +Vtest_g_cstring +p6228 +tp6229 +a(g826 +V\u000a +p6230 +tp6231 +a(g705 +g980 +tp6232 +a(g744 +Vlambda +p6233 +tp6234 +a(g826 +g984 +tp6235 +a(g705 +g980 +tp6236 +a(g705 +g1001 +tp6237 +a(g826 +V\u000a +p6238 +tp6239 +a(g705 +g980 +tp6240 +a(g744 +Vlet +p6241 +tp6242 +a(g826 +g984 +tp6243 +a(g705 +g980 +tp6244 +a(g705 +g980 +tp6245 +a(g440 +g1598 +tp6246 +a(g826 +g984 +tp6247 +a(g37 +g1071 +tp6248 +a(g705 +g1001 +tp6249 +a(g705 +g1001 +tp6250 +a(g826 +V\u000a +p6251 +tp6252 +a(g705 +g980 +tp6253 +a(g744 +Vdotimes +p6254 +tp6255 +a(g826 +g984 +tp6256 +a(g705 +g980 +tp6257 +a(g440 +g1598 +tp6258 +a(g826 +g984 +tp6259 +a(g37 +g4845 +tp6260 +a(g705 +g1001 +tp6261 +a(g826 +V\u000a +p6262 +tp6263 +a(g705 +g980 +tp6264 +a(g573 +Vprintf +p6265 +tp6266 +a(g826 +g984 +tp6267 +a(g89 +V"g_cstring[%lld] = %c\u005cn" +p6268 +tp6269 +a(g826 +g984 +tp6270 +a(g440 +g1598 +tp6271 +a(g826 +g984 +tp6272 +a(g705 +g980 +tp6273 +a(g573 +Vpref +p6274 +tp6275 +a(g826 +g984 +tp6276 +a(g440 +Vg_cstring +p6277 +tp6278 +a(g826 +g984 +tp6279 +a(g440 +g1598 +tp6280 +a(g705 +g1001 +tp6281 +a(g705 +g1001 +tp6282 +a(g705 +g1001 +tp6283 +a(g826 +V\u000a +p6284 +tp6285 +a(g705 +g980 +tp6286 +a(g573 +Vprintf +p6287 +tp6288 +a(g826 +g984 +tp6289 +a(g89 +V"\u005cnSpells... %s\u005cn" +p6290 +tp6291 +a(g826 +g984 +tp6292 +a(g440 +Vg_cstring +p6293 +tp6294 +a(g705 +g1001 +tp6295 +a(g705 +g1001 +tp6296 +a(g705 +g1001 +tp6297 +a(g705 +g1001 +tp6298 +a(g826 +V\u000a\u000a +p6299 +tp6300 +a(g705 +g980 +tp6301 +a(g440 +Vtest_g_cstring +p6302 +tp6303 +a(g705 +g1001 +tp6304 +a(g705 +g1001 +tp6305 +a(g826 +V\u000a\u000a +p6306 +tp6307 +a(g705 +g980 +tp6308 +a(g440 +Vxtmtest +p6309 +tp6310 +a(g826 +g984 +tp6311 +a(g412 +g986 +tp6312 +a(g705 +g980 +tp6313 +a(g744 +Vbind-func +p6314 +tp6315 +a(g826 +g984 +tp6316 +a(g573 +Vtest_g_cstring1 +p6317 +tp6318 +a(g826 +V\u000a +p6319 +tp6320 +a(g705 +g980 +tp6321 +a(g744 +Vlambda +p6322 +tp6323 +a(g826 +g984 +tp6324 +a(g705 +g980 +tp6325 +a(g705 +g1001 +tp6326 +a(g826 +V\u000a +p6327 +tp6328 +a(g705 +g980 +tp6329 +a(g744 +Vlet +p6330 +tp6331 +a(g826 +g984 +tp6332 +a(g705 +g980 +tp6333 +a(g705 +g980 +tp6334 +a(g440 +Vtest_cstring +p6335 +tp6336 +a(g826 +g984 +tp6337 +a(g89 +V"Niblot." +p6338 +tp6339 +a(g705 +g1001 +tp6340 +a(g826 +V\u000a +p6341 +tp6342 +a(g705 +g980 +tp6343 +a(g440 +g1598 +tp6344 +a(g826 +g984 +tp6345 +a(g37 +g1071 +tp6346 +a(g705 +g1001 +tp6347 +a(g826 +V\u000a +p6348 +tp6349 +a(g705 +g980 +tp6350 +a(g440 +Vtotal +p6351 +tp6352 +a(g826 +g984 +tp6353 +a(g37 +g1071 +tp6354 +a(g705 +g1001 +tp6355 +a(g705 +g1001 +tp6356 +a(g826 +V\u000a +p6357 +tp6358 +a(g705 +g980 +tp6359 +a(g744 +Vdotimes +p6360 +tp6361 +a(g826 +g984 +tp6362 +a(g705 +g980 +tp6363 +a(g440 +g1598 +tp6364 +a(g826 +g984 +tp6365 +a(g37 +g4845 +tp6366 +a(g705 +g1001 +tp6367 +a(g826 +V\u000a +p6368 +tp6369 +a(g705 +g980 +tp6370 +a(g744 +Vlet +p6371 +tp6372 +a(g826 +g984 +tp6373 +a(g705 +g980 +tp6374 +a(g705 +g980 +tp6375 +a(g440 +Vc1 +p6376 +tp6377 +a(g826 +g984 +tp6378 +a(g705 +g980 +tp6379 +a(g573 +Vpref +p6380 +tp6381 +a(g826 +g984 +tp6382 +a(g440 +Vg_cstring +p6383 +tp6384 +a(g826 +g984 +tp6385 +a(g440 +g1598 +tp6386 +a(g705 +g1001 +tp6387 +a(g705 +g1001 +tp6388 +a(g826 +V\u000a +p6389 +tp6390 +a(g705 +g980 +tp6391 +a(g440 +Vc2 +p6392 +tp6393 +a(g826 +g984 +tp6394 +a(g705 +g980 +tp6395 +a(g573 +Vpref +p6396 +tp6397 +a(g826 +g984 +tp6398 +a(g440 +Vtest_cstring +p6399 +tp6400 +a(g826 +g984 +tp6401 +a(g440 +g1598 +tp6402 +a(g705 +g1001 +tp6403 +a(g705 +g1001 +tp6404 +a(g705 +g1001 +tp6405 +a(g826 +V\u000a +p6406 +tp6407 +a(g705 +g980 +tp6408 +a(g573 +Vprintf +p6409 +tp6410 +a(g826 +g984 +tp6411 +a(g89 +V"checking %c against %c\u005cn" +p6412 +tp6413 +a(g826 +g984 +tp6414 +a(g440 +Vc1 +p6415 +tp6416 +a(g826 +g984 +tp6417 +a(g440 +Vc2 +p6418 +tp6419 +a(g705 +g1001 +tp6420 +a(g826 +V\u000a +p6421 +tp6422 +a(g705 +g980 +tp6423 +a(g744 +Vif +p6424 +tp6425 +a(g826 +g984 +tp6426 +a(g705 +g980 +tp6427 +a(g573 +g2255 +tp6428 +a(g826 +g984 +tp6429 +a(g440 +Vc1 +p6430 +tp6431 +a(g826 +g984 +tp6432 +a(g440 +Vc2 +p6433 +tp6434 +a(g705 +g1001 +tp6435 +a(g826 +V\u000a +p6436 +tp6437 +a(g705 +g980 +tp6438 +a(g744 +Vset! +p6439 +tp6440 +a(g826 +g984 +tp6441 +a(g440 +Vtotal +p6442 +tp6443 +a(g826 +g984 +tp6444 +a(g705 +g980 +tp6445 +a(g573 +g1493 +tp6446 +a(g826 +g984 +tp6447 +a(g440 +Vtotal +p6448 +tp6449 +a(g826 +g984 +tp6450 +a(g37 +g1018 +tp6451 +a(g705 +g1001 +tp6452 +a(g705 +g1001 +tp6453 +a(g705 +g1001 +tp6454 +a(g705 +g1001 +tp6455 +a(g705 +g1001 +tp6456 +a(g826 +V\u000a +p6457 +tp6458 +a(g440 +Vtotal +p6459 +tp6460 +a(g705 +g1001 +tp6461 +a(g705 +g1001 +tp6462 +a(g705 +g1001 +tp6463 +a(g826 +V\u000a\u000a +p6464 +tp6465 +a(g705 +g980 +tp6466 +a(g440 +Vtest_g_cstring1 +p6467 +tp6468 +a(g705 +g1001 +tp6469 +a(g826 +g984 +tp6470 +a(g37 +g1329 +tp6471 +a(g705 +g1001 +tp6472 +a(g826 +V\u000a\u000a\u000a\u000a\u000a\u000a +p6473 +tp6474 +a(g8 +V;; for tuples, arrays and vectors, bind-val only takes *two* +p6475 +tp6476 +a(g826 +V\u000a +p6477 +tp6478 +a(g8 +V;; arguments. The tuple/array/vector will be initialised to zero. +p6479 +tp6480 +a(g826 +V\u000a\u000a +p6481 +tp6482 +a(g705 +g980 +tp6483 +a(g744 +Vbind-val +p6484 +tp6485 +a(g826 +g984 +tp6486 +a(g573 +Vg_tuple1 +p6487 +tp6488 +a(g826 +g984 +tp6489 +a(g748 +V +p6490 +tp6491 +a(g705 +g1001 +tp6492 +a(g826 +V\u000a +p6493 +tp6494 +a(g705 +g980 +tp6495 +a(g744 +Vbind-val +p6496 +tp6497 +a(g826 +g984 +tp6498 +a(g573 +Vg_tuple2 +p6499 +tp6500 +a(g826 +g984 +tp6501 +a(g748 +V +p6502 +tp6503 +a(g705 +g1001 +tp6504 +a(g826 +V\u000a\u000a +p6505 +tp6506 +a(g705 +g980 +tp6507 +a(g440 +Vxtmtest +p6508 +tp6509 +a(g826 +g984 +tp6510 +a(g412 +g986 +tp6511 +a(g705 +g980 +tp6512 +a(g744 +Vbind-func +p6513 +tp6514 +a(g826 +g984 +tp6515 +a(g573 +Vtest_g_tuple +p6516 +tp6517 +a(g826 +V\u000a +p6518 +tp6519 +a(g705 +g980 +tp6520 +a(g744 +Vlambda +p6521 +tp6522 +a(g826 +g984 +tp6523 +a(g705 +g980 +tp6524 +a(g705 +g1001 +tp6525 +a(g826 +V\u000a +p6526 +tp6527 +a(g705 +g980 +tp6528 +a(g573 +Vtfill! +p6529 +tp6530 +a(g826 +g984 +tp6531 +a(g440 +Vg_tuple1 +p6532 +tp6533 +a(g826 +g984 +tp6534 +a(g37 +g1018 +tp6535 +a(g826 +g984 +tp6536 +a(g37 +g1157 +tp6537 +a(g705 +g1001 +tp6538 +a(g826 +V\u000a +p6539 +tp6540 +a(g705 +g980 +tp6541 +a(g573 +Vtfill! +p6542 +tp6543 +a(g826 +g984 +tp6544 +a(g440 +Vg_tuple2 +p6545 +tp6546 +a(g826 +g984 +tp6547 +a(g314 +V4.0 +p6548 +tp6549 +a(g826 +g984 +tp6550 +a(g314 +V1.0 +p6551 +tp6552 +a(g705 +g1001 +tp6553 +a(g826 +V\u000a +p6554 +tp6555 +a(g705 +g980 +tp6556 +a(g744 +Vand +p6557 +tp6558 +a(g826 +g984 +tp6559 +a(g705 +g980 +tp6560 +a(g573 +g2255 +tp6561 +a(g826 +g984 +tp6562 +a(g705 +g980 +tp6563 +a(g573 +Vtref +p6564 +tp6565 +a(g826 +g984 +tp6566 +a(g440 +Vg_tuple1 +p6567 +tp6568 +a(g826 +g984 +tp6569 +a(g37 +g1071 +tp6570 +a(g705 +g1001 +tp6571 +a(g826 +g984 +tp6572 +a(g705 +g980 +tp6573 +a(g440 +Vdtoi64 +p6574 +tp6575 +a(g826 +g984 +tp6576 +a(g705 +g980 +tp6577 +a(g573 +Vtref +p6578 +tp6579 +a(g826 +g984 +tp6580 +a(g440 +Vg_tuple2 +p6581 +tp6582 +a(g826 +g984 +tp6583 +a(g37 +g1018 +tp6584 +a(g705 +g1001 +tp6585 +a(g705 +g1001 +tp6586 +a(g705 +g1001 +tp6587 +a(g826 +V\u000a +p6588 +tp6589 +a(g705 +g980 +tp6590 +a(g573 +g2255 +tp6591 +a(g826 +g984 +tp6592 +a(g705 +g980 +tp6593 +a(g440 +Vdtoi64 +p6594 +tp6595 +a(g826 +g984 +tp6596 +a(g705 +g980 +tp6597 +a(g573 +Vtref +p6598 +tp6599 +a(g826 +g984 +tp6600 +a(g440 +Vg_tuple2 +p6601 +tp6602 +a(g826 +g984 +tp6603 +a(g37 +g1071 +tp6604 +a(g705 +g1001 +tp6605 +a(g705 +g1001 +tp6606 +a(g826 +g984 +tp6607 +a(g705 +g980 +tp6608 +a(g573 +Vtref +p6609 +tp6610 +a(g826 +g984 +tp6611 +a(g440 +Vg_tuple1 +p6612 +tp6613 +a(g826 +g984 +tp6614 +a(g37 +g1018 +tp6615 +a(g705 +g1001 +tp6616 +a(g705 +g1001 +tp6617 +a(g705 +g1001 +tp6618 +a(g705 +g1001 +tp6619 +a(g705 +g1001 +tp6620 +a(g826 +V\u000a\u000a +p6621 +tp6622 +a(g705 +g980 +tp6623 +a(g440 +Vtest_g_tuple +p6624 +tp6625 +a(g705 +g1001 +tp6626 +a(g826 +g984 +tp6627 +a(g37 +g1018 +tp6628 +a(g705 +g1001 +tp6629 +a(g826 +V\u000a\u000a +p6630 +tp6631 +a(g8 +V;; same thing with arrays +p6632 +tp6633 +a(g826 +V\u000a\u000a +p6634 +tp6635 +a(g705 +g980 +tp6636 +a(g744 +Vbind-val +p6637 +tp6638 +a(g826 +g984 +tp6639 +a(g573 +Vg_array1 +p6640 +tp6641 +a(g826 +g984 +tp6642 +a(g748 +V|10,double| +p6643 +tp6644 +a(g705 +g1001 +tp6645 +a(g826 +V\u000a +p6646 +tp6647 +a(g705 +g980 +tp6648 +a(g744 +Vbind-val +p6649 +tp6650 +a(g826 +g984 +tp6651 +a(g573 +Vg_array2 +p6652 +tp6653 +a(g826 +g984 +tp6654 +a(g748 +V|10,i64| +p6655 +tp6656 +a(g705 +g1001 +tp6657 +a(g826 +V\u000a\u000a +p6658 +tp6659 +a(g8 +V;; if we just loop over and print the values in each array +p6660 +tp6661 +a(g826 +V\u000a\u000a +p6662 +tp6663 +a(g705 +g980 +tp6664 +a(g440 +Vxtmtest +p6665 +tp6666 +a(g826 +g984 +tp6667 +a(g412 +g986 +tp6668 +a(g705 +g980 +tp6669 +a(g744 +Vbind-func +p6670 +tp6671 +a(g826 +g984 +tp6672 +a(g573 +Vtest_g_array11 +p6673 +tp6674 +a(g826 +V\u000a +p6675 +tp6676 +a(g705 +g980 +tp6677 +a(g744 +Vlambda +p6678 +tp6679 +a(g826 +g984 +tp6680 +a(g705 +g980 +tp6681 +a(g705 +g1001 +tp6682 +a(g826 +V\u000a +p6683 +tp6684 +a(g705 +g980 +tp6685 +a(g744 +Vlet +p6686 +tp6687 +a(g826 +g984 +tp6688 +a(g705 +g980 +tp6689 +a(g705 +g980 +tp6690 +a(g440 +g1598 +tp6691 +a(g826 +g984 +tp6692 +a(g37 +g1071 +tp6693 +a(g705 +g1001 +tp6694 +a(g705 +g1001 +tp6695 +a(g826 +V\u000a +p6696 +tp6697 +a(g705 +g980 +tp6698 +a(g744 +Vdotimes +p6699 +tp6700 +a(g826 +g984 +tp6701 +a(g705 +g980 +tp6702 +a(g440 +g1598 +tp6703 +a(g826 +g984 +tp6704 +a(g37 +V10 +p6705 +tp6706 +a(g705 +g1001 +tp6707 +a(g826 +V\u000a +p6708 +tp6709 +a(g705 +g980 +tp6710 +a(g573 +Vprintf +p6711 +tp6712 +a(g826 +g984 +tp6713 +a(g89 +V"garray_1[%lld] = %f garray_2[%lld] = %lld\u005cn" +p6714 +tp6715 +a(g826 +V\u000a +p6716 +tp6717 +a(g440 +g1598 +tp6718 +a(g826 +g984 +tp6719 +a(g705 +g980 +tp6720 +a(g573 +Varef +p6721 +tp6722 +a(g826 +g984 +tp6723 +a(g440 +Vg_array1 +p6724 +tp6725 +a(g826 +g984 +tp6726 +a(g440 +g1598 +tp6727 +a(g705 +g1001 +tp6728 +a(g826 +g984 +tp6729 +a(g440 +g1598 +tp6730 +a(g826 +g984 +tp6731 +a(g705 +g980 +tp6732 +a(g573 +Varef +p6733 +tp6734 +a(g826 +g984 +tp6735 +a(g440 +Vg_array2 +p6736 +tp6737 +a(g826 +g984 +tp6738 +a(g440 +g1598 +tp6739 +a(g705 +g1001 +tp6740 +a(g705 +g1001 +tp6741 +a(g705 +g1001 +tp6742 +a(g705 +g1001 +tp6743 +a(g705 +g1001 +tp6744 +a(g705 +g1001 +tp6745 +a(g826 +V\u000a\u000a +p6746 +tp6747 +a(g705 +g980 +tp6748 +a(g440 +Vtest_g_array11 +p6749 +tp6750 +a(g705 +g1001 +tp6751 +a(g826 +g984 +tp6752 +a(g37 +g1018 +tp6753 +a(g705 +g1001 +tp6754 +a(g826 +V\u000a\u000a +p6755 +tp6756 +a(g8 +V;; but if we loop over and set some values into the arrays +p6757 +tp6758 +a(g826 +V\u000a\u000a +p6759 +tp6760 +a(g705 +g980 +tp6761 +a(g440 +Vxtmtest +p6762 +tp6763 +a(g826 +g984 +tp6764 +a(g412 +g986 +tp6765 +a(g705 +g980 +tp6766 +a(g744 +Vbind-func +p6767 +tp6768 +a(g826 +g984 +tp6769 +a(g573 +Vtest_g_array2 +p6770 +tp6771 +a(g826 +V\u000a +p6772 +tp6773 +a(g705 +g980 +tp6774 +a(g744 +Vlambda +p6775 +tp6776 +a(g826 +g984 +tp6777 +a(g705 +g980 +tp6778 +a(g705 +g1001 +tp6779 +a(g826 +V\u000a +p6780 +tp6781 +a(g705 +g980 +tp6782 +a(g744 +Vlet +p6783 +tp6784 +a(g826 +g984 +tp6785 +a(g705 +g980 +tp6786 +a(g705 +g980 +tp6787 +a(g440 +g1598 +tp6788 +a(g826 +g984 +tp6789 +a(g37 +g1071 +tp6790 +a(g705 +g1001 +tp6791 +a(g705 +g1001 +tp6792 +a(g826 +V\u000a +p6793 +tp6794 +a(g705 +g980 +tp6795 +a(g744 +Vdotimes +p6796 +tp6797 +a(g826 +g984 +tp6798 +a(g705 +g980 +tp6799 +a(g440 +g1598 +tp6800 +a(g826 +g984 +tp6801 +a(g37 +V10 +p6802 +tp6803 +a(g705 +g1001 +tp6804 +a(g826 +V\u000a +p6805 +tp6806 +a(g705 +g980 +tp6807 +a(g573 +Vaset! +p6808 +tp6809 +a(g826 +g984 +tp6810 +a(g440 +Vg_array1 +p6811 +tp6812 +a(g826 +g984 +tp6813 +a(g440 +g1598 +tp6814 +a(g826 +g984 +tp6815 +a(g705 +g980 +tp6816 +a(g573 +Vi64tod +p6817 +tp6818 +a(g826 +g984 +tp6819 +a(g440 +g1598 +tp6820 +a(g705 +g1001 +tp6821 +a(g705 +g1001 +tp6822 +a(g826 +V\u000a +p6823 +tp6824 +a(g705 +g980 +tp6825 +a(g573 +Vaset! +p6826 +tp6827 +a(g826 +g984 +tp6828 +a(g440 +Vg_array2 +p6829 +tp6830 +a(g826 +g984 +tp6831 +a(g440 +g1598 +tp6832 +a(g826 +g984 +tp6833 +a(g440 +g1598 +tp6834 +a(g705 +g1001 +tp6835 +a(g826 +V\u000a +p6836 +tp6837 +a(g705 +g980 +tp6838 +a(g573 +Vprintf +p6839 +tp6840 +a(g826 +g984 +tp6841 +a(g89 +V"garray_1[%lld] = %f garray_2[%lld] = %lld\u005cn" +p6842 +tp6843 +a(g826 +V\u000a +p6844 +tp6845 +a(g440 +g1598 +tp6846 +a(g826 +g984 +tp6847 +a(g705 +g980 +tp6848 +a(g573 +Varef +p6849 +tp6850 +a(g826 +g984 +tp6851 +a(g440 +Vg_array1 +p6852 +tp6853 +a(g826 +g984 +tp6854 +a(g440 +g1598 +tp6855 +a(g705 +g1001 +tp6856 +a(g826 +g984 +tp6857 +a(g440 +g1598 +tp6858 +a(g826 +g984 +tp6859 +a(g705 +g980 +tp6860 +a(g573 +Varef +p6861 +tp6862 +a(g826 +g984 +tp6863 +a(g440 +Vg_array2 +p6864 +tp6865 +a(g826 +g984 +tp6866 +a(g440 +g1598 +tp6867 +a(g705 +g1001 +tp6868 +a(g705 +g1001 +tp6869 +a(g705 +g1001 +tp6870 +a(g826 +V\u000a +p6871 +tp6872 +a(g705 +g980 +tp6873 +a(g573 +g2255 +tp6874 +a(g826 +g984 +tp6875 +a(g705 +g980 +tp6876 +a(g440 +Vdtoi64 +p6877 +tp6878 +a(g826 +g984 +tp6879 +a(g705 +g980 +tp6880 +a(g573 +Varef +p6881 +tp6882 +a(g826 +g984 +tp6883 +a(g440 +Vg_array1 +p6884 +tp6885 +a(g826 +g984 +tp6886 +a(g37 +g1329 +tp6887 +a(g705 +g1001 +tp6888 +a(g705 +g1001 +tp6889 +a(g826 +V\u000a +p6890 +tp6891 +a(g705 +g980 +tp6892 +a(g573 +Varef +p6893 +tp6894 +a(g826 +g984 +tp6895 +a(g440 +Vg_array2 +p6896 +tp6897 +a(g826 +g984 +tp6898 +a(g37 +g1329 +tp6899 +a(g705 +g1001 +tp6900 +a(g705 +g1001 +tp6901 +a(g705 +g1001 +tp6902 +a(g705 +g1001 +tp6903 +a(g705 +g1001 +tp6904 +a(g826 +V\u000a\u000a +p6905 +tp6906 +a(g705 +g980 +tp6907 +a(g440 +Vtest_g_array2 +p6908 +tp6909 +a(g705 +g1001 +tp6910 +a(g826 +g984 +tp6911 +a(g37 +g1018 +tp6912 +a(g705 +g1001 +tp6913 +a(g826 +V\u000a\u000a +p6914 +tp6915 +a(g8 +V;; just to test, let's try a large array +p6916 +tp6917 +a(g826 +V\u000a\u000a +p6918 +tp6919 +a(g705 +g980 +tp6920 +a(g744 +Vbind-val +p6921 +tp6922 +a(g826 +g984 +tp6923 +a(g573 +Vg_array3 +p6924 +tp6925 +a(g826 +g984 +tp6926 +a(g748 +V|100000000,i64| +p6927 +tp6928 +a(g705 +g1001 +tp6929 +a(g826 +V\u000a\u000a +p6930 +tp6931 +a(g705 +g980 +tp6932 +a(g440 +Vxtmtest +p6933 +tp6934 +a(g826 +g984 +tp6935 +a(g412 +g986 +tp6936 +a(g705 +g980 +tp6937 +a(g744 +Vbind-func +p6938 +tp6939 +a(g826 +g984 +tp6940 +a(g573 +Vtest_g_array3 +p6941 +tp6942 +a(g826 +V\u000a +p6943 +tp6944 +a(g705 +g980 +tp6945 +a(g744 +Vlambda +p6946 +tp6947 +a(g826 +g984 +tp6948 +a(g705 +g980 +tp6949 +a(g705 +g1001 +tp6950 +a(g826 +V\u000a +p6951 +tp6952 +a(g705 +g980 +tp6953 +a(g744 +Vlet +p6954 +tp6955 +a(g826 +g984 +tp6956 +a(g705 +g980 +tp6957 +a(g705 +g980 +tp6958 +a(g440 +g1598 +tp6959 +a(g826 +g984 +tp6960 +a(g37 +g1071 +tp6961 +a(g705 +g1001 +tp6962 +a(g705 +g1001 +tp6963 +a(g826 +V\u000a +p6964 +tp6965 +a(g705 +g980 +tp6966 +a(g744 +Vdotimes +p6967 +tp6968 +a(g826 +g984 +tp6969 +a(g705 +g980 +tp6970 +a(g440 +g1598 +tp6971 +a(g826 +g984 +tp6972 +a(g37 +V100000000 +p6973 +tp6974 +a(g705 +g1001 +tp6975 +a(g826 +V\u000a +p6976 +tp6977 +a(g705 +g980 +tp6978 +a(g573 +Vaset! +p6979 +tp6980 +a(g826 +g984 +tp6981 +a(g440 +Vg_array3 +p6982 +tp6983 +a(g826 +g984 +tp6984 +a(g440 +g1598 +tp6985 +a(g826 +g984 +tp6986 +a(g440 +g1598 +tp6987 +a(g705 +g1001 +tp6988 +a(g705 +g1001 +tp6989 +a(g826 +V\u000a +p6990 +tp6991 +a(g705 +g980 +tp6992 +a(g573 +g2255 +tp6993 +a(g826 +g984 +tp6994 +a(g705 +g980 +tp6995 +a(g573 +Vpref +p6996 +tp6997 +a(g826 +g984 +tp6998 +a(g440 +Vg_array3 +p6999 +tp7000 +a(g826 +g984 +tp7001 +a(g37 +V87654321 +p7002 +tp7003 +a(g705 +g1001 +tp7004 +a(g826 +V\u000a +p7005 +tp7006 +a(g37 +V87654321 +p7007 +tp7008 +a(g705 +g1001 +tp7009 +a(g705 +g1001 +tp7010 +a(g705 +g1001 +tp7011 +a(g705 +g1001 +tp7012 +a(g826 +V\u000a\u000a +p7013 +tp7014 +a(g705 +g980 +tp7015 +a(g440 +Vtest_g_array3 +p7016 +tp7017 +a(g705 +g1001 +tp7018 +a(g826 +g984 +tp7019 +a(g37 +g1018 +tp7020 +a(g705 +g1001 +tp7021 +a(g826 +V\u000a\u000a +p7022 +tp7023 +a(g8 +V;; if you want to bind a global pointer, then the third 'value' +p7024 +tp7025 +a(g826 +V\u000a +p7026 +tp7027 +a(g8 +V;; argument is the size of the memory to allocate (in elements, not in bytes) +p7028 +tp7029 +a(g826 +V\u000a\u000a +p7030 +tp7031 +a(g705 +g980 +tp7032 +a(g744 +Vbind-val +p7033 +tp7034 +a(g826 +g984 +tp7035 +a(g573 +Vg_ptr0 +p7036 +tp7037 +a(g826 +g984 +tp7038 +a(g748 +Vdouble* +p7039 +tp7040 +a(g826 +g984 +tp7041 +a(g37 +V10 +p7042 +tp7043 +a(g705 +g1001 +tp7044 +a(g826 +V\u000a\u000a +p7045 +tp7046 +a(g705 +g980 +tp7047 +a(g440 +Vxtmtest +p7048 +tp7049 +a(g826 +g984 +tp7050 +a(g412 +g986 +tp7051 +a(g705 +g980 +tp7052 +a(g744 +Vbind-func +p7053 +tp7054 +a(g826 +g984 +tp7055 +a(g573 +Vtest_g_ptr0 +p7056 +tp7057 +a(g826 +V\u000a +p7058 +tp7059 +a(g705 +g980 +tp7060 +a(g744 +Vlambda +p7061 +tp7062 +a(g826 +g984 +tp7063 +a(g705 +g980 +tp7064 +a(g705 +g1001 +tp7065 +a(g826 +V\u000a +p7066 +tp7067 +a(g705 +g980 +tp7068 +a(g744 +Vlet +p7069 +tp7070 +a(g826 +g984 +tp7071 +a(g705 +g980 +tp7072 +a(g705 +g980 +tp7073 +a(g440 +Vtotal +p7074 +tp7075 +a(g826 +g984 +tp7076 +a(g314 +V0.0 +p7077 +tp7078 +a(g705 +g1001 +tp7079 +a(g826 +V\u000a +p7080 +tp7081 +a(g705 +g980 +tp7082 +a(g440 +g1598 +tp7083 +a(g826 +g984 +tp7084 +a(g37 +g1071 +tp7085 +a(g705 +g1001 +tp7086 +a(g705 +g1001 +tp7087 +a(g826 +V\u000a +p7088 +tp7089 +a(g705 +g980 +tp7090 +a(g744 +Vdotimes +p7091 +tp7092 +a(g826 +g984 +tp7093 +a(g705 +g980 +tp7094 +a(g440 +g1598 +tp7095 +a(g826 +g984 +tp7096 +a(g37 +V10 +p7097 +tp7098 +a(g705 +g1001 +tp7099 +a(g826 +V\u000a +p7100 +tp7101 +a(g705 +g980 +tp7102 +a(g573 +Vpset! +p7103 +tp7104 +a(g826 +g984 +tp7105 +a(g440 +Vg_ptr0 +p7106 +tp7107 +a(g826 +g984 +tp7108 +a(g440 +g1598 +tp7109 +a(g826 +g984 +tp7110 +a(g705 +g980 +tp7111 +a(g573 +Vi64tod +p7112 +tp7113 +a(g826 +g984 +tp7114 +a(g440 +g1598 +tp7115 +a(g705 +g1001 +tp7116 +a(g705 +g1001 +tp7117 +a(g826 +V\u000a +p7118 +tp7119 +a(g705 +g980 +tp7120 +a(g744 +Vset! +p7121 +tp7122 +a(g826 +g984 +tp7123 +a(g440 +Vtotal +p7124 +tp7125 +a(g826 +g984 +tp7126 +a(g705 +g980 +tp7127 +a(g573 +g1493 +tp7128 +a(g826 +g984 +tp7129 +a(g440 +Vtotal +p7130 +tp7131 +a(g826 +g984 +tp7132 +a(g705 +g980 +tp7133 +a(g573 +Vpref +p7134 +tp7135 +a(g826 +g984 +tp7136 +a(g440 +Vg_ptr0 +p7137 +tp7138 +a(g826 +g984 +tp7139 +a(g440 +g1598 +tp7140 +a(g705 +g1001 +tp7141 +a(g705 +g1001 +tp7142 +a(g705 +g1001 +tp7143 +a(g705 +g1001 +tp7144 +a(g826 +V\u000a +p7145 +tp7146 +a(g440 +Vtotal +p7147 +tp7148 +a(g705 +g1001 +tp7149 +a(g705 +g1001 +tp7150 +a(g705 +g1001 +tp7151 +a(g826 +V\u000a\u000a +p7152 +tp7153 +a(g705 +g980 +tp7154 +a(g440 +Vtest_g_ptr0 +p7155 +tp7156 +a(g705 +g1001 +tp7157 +a(g826 +g984 +tp7158 +a(g314 +V45.0 +p7159 +tp7160 +a(g705 +g1001 +tp7161 +a(g826 +V\u000a\u000a +p7162 +tp7163 +a(g705 +g980 +tp7164 +a(g744 +Vbind-val +p7165 +tp7166 +a(g826 +g984 +tp7167 +a(g573 +Vg_ptr1 +p7168 +tp7169 +a(g826 +g984 +tp7170 +a(g748 +V|4,i32|* +p7171 +tp7172 +a(g826 +g984 +tp7173 +a(g37 +g1524 +tp7174 +a(g705 +g1001 +tp7175 +a(g826 +V\u000a +p7176 +tp7177 +a(g705 +g980 +tp7178 +a(g744 +Vbind-val +p7179 +tp7180 +a(g826 +g984 +tp7181 +a(g573 +Vg_ptr2 +p7182 +tp7183 +a(g826 +g984 +tp7184 +a(g748 +V* +p7185 +tp7186 +a(g826 +g984 +tp7187 +a(g37 +g1157 +tp7188 +a(g705 +g1001 +tp7189 +a(g826 +V\u000a\u000a +p7190 +tp7191 +a(g705 +g980 +tp7192 +a(g440 +Vxtmtest +p7193 +tp7194 +a(g826 +g984 +tp7195 +a(g412 +g986 +tp7196 +a(g705 +g980 +tp7197 +a(g744 +Vbind-func +p7198 +tp7199 +a(g826 +g984 +tp7200 +a(g573 +Vtest_g_ptr1 +p7201 +tp7202 +a(g826 +V\u000a +p7203 +tp7204 +a(g705 +g980 +tp7205 +a(g744 +Vlambda +p7206 +tp7207 +a(g826 +g984 +tp7208 +a(g705 +g980 +tp7209 +a(g705 +g1001 +tp7210 +a(g826 +V\u000a +p7211 +tp7212 +a(g705 +g980 +tp7213 +a(g573 +Vafill! +p7214 +tp7215 +a(g826 +g984 +tp7216 +a(g440 +Vg_ptr1 +p7217 +tp7218 +a(g826 +g984 +tp7219 +a(g37 +V11 +p7220 +tp7221 +a(g826 +g984 +tp7222 +a(g37 +V66 +p7223 +tp7224 +a(g826 +g984 +tp7225 +a(g37 +V35 +p7226 +tp7227 +a(g826 +g984 +tp7228 +a(g37 +V81 +p7229 +tp7230 +a(g705 +g1001 +tp7231 +a(g826 +V\u000a +p7232 +tp7233 +a(g705 +g980 +tp7234 +a(g573 +Vtset! +p7235 +tp7236 +a(g826 +g984 +tp7237 +a(g440 +Vg_ptr2 +p7238 +tp7239 +a(g826 +g984 +tp7240 +a(g37 +g1018 +tp7241 +a(g826 +g984 +tp7242 +a(g314 +V35.0 +p7243 +tp7244 +a(g705 +g1001 +tp7245 +a(g826 +V\u000a +p7246 +tp7247 +a(g705 +g980 +tp7248 +a(g573 +Vprintf +p7249 +tp7250 +a(g826 +g984 +tp7251 +a(g89 +V"%f :: %d\u005cn" +p7252 +tp7253 +a(g826 +g984 +tp7254 +a(g705 +g980 +tp7255 +a(g573 +Vtref +p7256 +tp7257 +a(g826 +g984 +tp7258 +a(g440 +Vg_ptr2 +p7259 +tp7260 +a(g826 +g984 +tp7261 +a(g37 +g1018 +tp7262 +a(g705 +g1001 +tp7263 +a(g826 +g984 +tp7264 +a(g705 +g980 +tp7265 +a(g573 +Varef +p7266 +tp7267 +a(g826 +g984 +tp7268 +a(g440 +Vg_ptr1 +p7269 +tp7270 +a(g826 +g984 +tp7271 +a(g37 +g1524 +tp7272 +a(g705 +g1001 +tp7273 +a(g705 +g1001 +tp7274 +a(g826 +V\u000a +p7275 +tp7276 +a(g705 +g980 +tp7277 +a(g573 +Varef +p7278 +tp7279 +a(g826 +g984 +tp7280 +a(g440 +Vg_ptr1 +p7281 +tp7282 +a(g826 +g984 +tp7283 +a(g37 +g1565 +tp7284 +a(g705 +g1001 +tp7285 +a(g705 +g1001 +tp7286 +a(g705 +g1001 +tp7287 +a(g826 +V\u000a\u000a +p7288 +tp7289 +a(g705 +g980 +tp7290 +a(g440 +Vtest_g_ptr1 +p7291 +tp7292 +a(g705 +g1001 +tp7293 +a(g826 +g984 +tp7294 +a(g37 +V81 +p7295 +tp7296 +a(g705 +g1001 +tp7297 +a(g826 +g984 +tp7298 +a(g8 +V;; should also print 35.000000 :: 35 +p7299 +tp7300 +a(g826 +V\u000a\u000a +p7301 +tp7302 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p7303 +tp7304 +a(g826 +V\u000a +p7305 +tp7306 +a(g8 +V;; +p7307 +tp7308 +a(g826 +V\u000a +p7309 +tp7310 +a(g8 +V;; Callbacks +p7311 +tp7312 +a(g826 +V\u000a\u000a +p7313 +tp7314 +a(g705 +g980 +tp7315 +a(g440 +Vxtmtest +p7316 +tp7317 +a(g826 +g984 +tp7318 +a(g412 +g986 +tp7319 +a(g705 +g980 +tp7320 +a(g744 +Vbind-func +p7321 +tp7322 +a(g826 +g984 +tp7323 +a(g573 +Vcallback-test +p7324 +tp7325 +a(g826 +V\u000a +p7326 +tp7327 +a(g705 +g980 +tp7328 +a(g744 +Vlambda +p7329 +tp7330 +a(g826 +g984 +tp7331 +a(g705 +g980 +tp7332 +a(g440 +Vtime +p7333 +tp7334 +a(g748 +V:i64 +p7335 +tp7336 +a(g826 +g984 +tp7337 +a(g440 +Vcount +p7338 +tp7339 +a(g748 +V:i64 +p7340 +tp7341 +a(g705 +g1001 +tp7342 +a(g826 +V\u000a +p7343 +tp7344 +a(g705 +g980 +tp7345 +a(g573 +Vprintf +p7346 +tp7347 +a(g826 +g984 +tp7348 +a(g89 +V"time: %lld:%lld\u005cn" +p7349 +tp7350 +a(g826 +g984 +tp7351 +a(g440 +Vtime +p7352 +tp7353 +a(g826 +g984 +tp7354 +a(g440 +Vcount +p7355 +tp7356 +a(g705 +g1001 +tp7357 +a(g826 +V\u000a +p7358 +tp7359 +a(g705 +g980 +tp7360 +a(g573 +Vcallback +p7361 +tp7362 +a(g826 +g984 +tp7363 +a(g705 +g980 +tp7364 +a(g573 +g1493 +tp7365 +a(g826 +g984 +tp7366 +a(g440 +Vtime +p7367 +tp7368 +a(g826 +g984 +tp7369 +a(g37 +V1000 +p7370 +tp7371 +a(g705 +g1001 +tp7372 +a(g826 +g984 +tp7373 +a(g440 +Vcallback-test +p7374 +tp7375 +a(g826 +g984 +tp7376 +a(g705 +g980 +tp7377 +a(g573 +g1493 +tp7378 +a(g826 +g984 +tp7379 +a(g440 +Vtime +p7380 +tp7381 +a(g826 +g984 +tp7382 +a(g37 +V22050 +p7383 +tp7384 +a(g705 +g1001 +tp7385 +a(g826 +g984 +tp7386 +a(g705 +g980 +tp7387 +a(g573 +g1493 +tp7388 +a(g826 +g984 +tp7389 +a(g440 +Vcount +p7390 +tp7391 +a(g826 +g984 +tp7392 +a(g37 +g1018 +tp7393 +a(g705 +g1001 +tp7394 +a(g705 +g1001 +tp7395 +a(g705 +g1001 +tp7396 +a(g705 +g1001 +tp7397 +a(g826 +V\u000a\u000a +p7398 +tp7399 +a(g705 +g980 +tp7400 +a(g573 +Vcallback +p7401 +tp7402 +a(g440 +V-test +p7403 +tp7404 +a(g826 +g984 +tp7405 +a(g705 +g980 +tp7406 +a(g573 +Vnow +p7407 +tp7408 +a(g705 +g1001 +tp7409 +a(g826 +g984 +tp7410 +a(g37 +g1071 +tp7411 +a(g705 +g1001 +tp7412 +a(g705 +g1001 +tp7413 +a(g826 +V\u000a\u000a +p7414 +tp7415 +a(g8 +V;; compiling this will stop the callbacks +p7416 +tp7417 +a(g826 +V\u000a +p7418 +tp7419 +a(g8 +V;; +p7420 +tp7421 +a(g826 +V\u000a +p7422 +tp7423 +a(g8 +V;; of course we need to keep the type +p7424 +tp7425 +a(g826 +V\u000a +p7426 +tp7427 +a(g8 +V;; signature the same [void,i64,i64]* +p7428 +tp7429 +a(g826 +V\u000a +p7430 +tp7431 +a(g8 +V;; +p7432 +tp7433 +a(g826 +V\u000a +p7434 +tp7435 +a(g705 +g980 +tp7436 +a(g440 +Vxtmtest +p7437 +tp7438 +a(g826 +g984 +tp7439 +a(g412 +g986 +tp7440 +a(g705 +g980 +tp7441 +a(g744 +Vbind-func +p7442 +tp7443 +a(g826 +g984 +tp7444 +a(g573 +Vcallback-test +p7445 +tp7446 +a(g826 +V\u000a +p7447 +tp7448 +a(g705 +g980 +tp7449 +a(g744 +Vlambda +p7450 +tp7451 +a(g826 +g984 +tp7452 +a(g705 +g980 +tp7453 +a(g440 +Vtime +p7454 +tp7455 +a(g748 +V:i64 +p7456 +tp7457 +a(g826 +g984 +tp7458 +a(g440 +Vcount +p7459 +tp7460 +a(g748 +V:i64 +p7461 +tp7462 +a(g705 +g1001 +tp7463 +a(g826 +V\u000a +p7464 +tp7465 +a(g565 +V#t +p7466 +tp7467 +a(g705 +g1001 +tp7468 +a(g705 +g1001 +tp7469 +a(g826 +V\u000a\u000a +p7470 +tp7471 +a(g705 +g980 +tp7472 +a(g573 +Vcallback +p7473 +tp7474 +a(g440 +V-test +p7475 +tp7476 +a(g705 +g1001 +tp7477 +a(g705 +g1001 +tp7478 +a(g826 +V\u000a\u000a +p7479 +tp7480 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p7481 +tp7482 +a(g826 +V\u000a +p7483 +tp7484 +a(g8 +V;; +p7485 +tp7486 +a(g826 +V\u000a +p7487 +tp7488 +a(g8 +V;; some memzone tests +p7489 +tp7490 +a(g826 +V\u000a\u000a +p7491 +tp7492 +a(g705 +g980 +tp7493 +a(g440 +Vxtmtest +p7494 +tp7495 +a(g826 +g984 +tp7496 +a(g412 +g986 +tp7497 +a(g705 +g980 +tp7498 +a(g744 +Vbind-func +p7499 +tp7500 +a(g826 +g984 +tp7501 +a(g573 +Vmemzone-test1 +p7502 +tp7503 +a(g826 +V\u000a +p7504 +tp7505 +a(g705 +g980 +tp7506 +a(g744 +Vlambda +p7507 +tp7508 +a(g826 +g984 +tp7509 +a(g705 +g980 +tp7510 +a(g705 +g1001 +tp7511 +a(g826 +V\u000a +p7512 +tp7513 +a(g705 +g980 +tp7514 +a(g744 +Vlet +p7515 +tp7516 +a(g826 +g984 +tp7517 +a(g705 +g980 +tp7518 +a(g705 +g980 +tp7519 +a(g440 +g2385 +tp7520 +a(g748 +V:|5,double|* +p7521 +tp7522 +a(g826 +g984 +tp7523 +a(g705 +g980 +tp7524 +a(g573 +Vzalloc +p7525 +tp7526 +a(g705 +g1001 +tp7527 +a(g705 +g1001 +tp7528 +a(g705 +g1001 +tp7529 +a(g826 +V\u000a +p7530 +tp7531 +a(g705 +g980 +tp7532 +a(g573 +Vaset! +p7533 +tp7534 +a(g826 +g984 +tp7535 +a(g440 +g2385 +tp7536 +a(g826 +g984 +tp7537 +a(g37 +g1071 +tp7538 +a(g826 +V\u000a +p7539 +tp7540 +a(g705 +g980 +tp7541 +a(g744 +Vmemzone +p7542 +tp7543 +a(g826 +g984 +tp7544 +a(g37 +V1024 +p7545 +tp7546 +a(g826 +V\u000a +p7547 +tp7548 +a(g705 +g980 +tp7549 +a(g744 +Vlet +p7550 +tp7551 +a(g826 +g984 +tp7552 +a(g705 +g980 +tp7553 +a(g705 +g980 +tp7554 +a(g440 +g1318 +tp7555 +a(g748 +V:|10,double|* +p7556 +tp7557 +a(g826 +g984 +tp7558 +a(g705 +g980 +tp7559 +a(g573 +Vzalloc +p7560 +tp7561 +a(g705 +g1001 +tp7562 +a(g705 +g1001 +tp7563 +a(g705 +g1001 +tp7564 +a(g826 +V\u000a +p7565 +tp7566 +a(g705 +g980 +tp7567 +a(g573 +Vaset! +p7568 +tp7569 +a(g826 +g984 +tp7570 +a(g440 +g1318 +tp7571 +a(g826 +g984 +tp7572 +a(g37 +g1071 +tp7573 +a(g826 +g984 +tp7574 +a(g314 +V3.5 +p7575 +tp7576 +a(g705 +g1001 +tp7577 +a(g826 +V\u000a +p7578 +tp7579 +a(g705 +g980 +tp7580 +a(g573 +Varef +p7581 +tp7582 +a(g826 +g984 +tp7583 +a(g440 +g1318 +tp7584 +a(g826 +g984 +tp7585 +a(g37 +g1071 +tp7586 +a(g705 +g1001 +tp7587 +a(g705 +g1001 +tp7588 +a(g705 +g1001 +tp7589 +a(g705 +g1001 +tp7590 +a(g826 +V\u000a +p7591 +tp7592 +a(g705 +g980 +tp7593 +a(g744 +Vlet +p7594 +tp7595 +a(g826 +g984 +tp7596 +a(g705 +g980 +tp7597 +a(g705 +g980 +tp7598 +a(g440 +g2408 +tp7599 +a(g748 +V:|9,i32|* +p7600 +tp7601 +a(g826 +g984 +tp7602 +a(g705 +g980 +tp7603 +a(g573 +Vzalloc +p7604 +tp7605 +a(g705 +g1001 +tp7606 +a(g705 +g1001 +tp7607 +a(g705 +g1001 +tp7608 +a(g826 +V\u000a +p7609 +tp7610 +a(g705 +g980 +tp7611 +a(g573 +Vaset! +p7612 +tp7613 +a(g826 +g984 +tp7614 +a(g440 +g2408 +tp7615 +a(g826 +g984 +tp7616 +a(g37 +g1071 +tp7617 +a(g826 +g984 +tp7618 +a(g37 +V99 +p7619 +tp7620 +a(g705 +g1001 +tp7621 +a(g826 +V\u000a +p7622 +tp7623 +a(g705 +g980 +tp7624 +a(g573 +Varef +p7625 +tp7626 +a(g826 +g984 +tp7627 +a(g440 +g2385 +tp7628 +a(g826 +g984 +tp7629 +a(g37 +g1071 +tp7630 +a(g705 +g1001 +tp7631 +a(g705 +g1001 +tp7632 +a(g705 +g1001 +tp7633 +a(g705 +g1001 +tp7634 +a(g705 +g1001 +tp7635 +a(g826 +V\u000a\u000a +p7636 +tp7637 +a(g705 +g980 +tp7638 +a(g440 +Vmemzone-test1 +p7639 +tp7640 +a(g705 +g1001 +tp7641 +a(g826 +g984 +tp7642 +a(g314 +V3.5 +p7643 +tp7644 +a(g705 +g1001 +tp7645 +a(g826 +V\u000a\u000a +p7646 +tp7647 +a(g705 +g980 +tp7648 +a(g440 +Vxtmtest +p7649 +tp7650 +a(g826 +g984 +tp7651 +a(g412 +g986 +tp7652 +a(g705 +g980 +tp7653 +a(g744 +Vbind-func +p7654 +tp7655 +a(g826 +g984 +tp7656 +a(g573 +Vmemzone-test2 +p7657 +tp7658 +a(g826 +V\u000a +p7659 +tp7660 +a(g705 +g980 +tp7661 +a(g744 +Vlambda +p7662 +tp7663 +a(g826 +g984 +tp7664 +a(g705 +g980 +tp7665 +a(g705 +g1001 +tp7666 +a(g826 +V\u000a +p7667 +tp7668 +a(g705 +g980 +tp7669 +a(g744 +Vmemzone +p7670 +tp7671 +a(g826 +g984 +tp7672 +a(g37 +V1024 +p7673 +tp7674 +a(g826 +V\u000a +p7675 +tp7676 +a(g705 +g980 +tp7677 +a(g744 +Vlet +p7678 +tp7679 +a(g826 +g984 +tp7680 +a(g705 +g980 +tp7681 +a(g705 +g980 +tp7682 +a(g440 +g2993 +tp7683 +a(g748 +V:|15,double|* +p7684 +tp7685 +a(g826 +g984 +tp7686 +a(g705 +g980 +tp7687 +a(g573 +Vzalloc +p7688 +tp7689 +a(g705 +g1001 +tp7690 +a(g705 +g1001 +tp7691 +a(g826 +V\u000a +p7692 +tp7693 +a(g705 +g980 +tp7694 +a(g440 +g1685 +tp7695 +a(g826 +g984 +tp7696 +a(g705 +g980 +tp7697 +a(g744 +Vlambda +p7698 +tp7699 +a(g826 +g984 +tp7700 +a(g705 +g980 +tp7701 +a(g440 +Vfa +p7702 +tp7703 +a(g748 +V:|15,double|* +p7704 +tp7705 +a(g705 +g1001 +tp7706 +a(g826 +V\u000a +p7707 +tp7708 +a(g705 +g980 +tp7709 +a(g744 +Vmemzone +p7710 +tp7711 +a(g826 +g984 +tp7712 +a(g37 +V1024 +p7713 +tp7714 +a(g826 +V\u000a +p7715 +tp7716 +a(g705 +g980 +tp7717 +a(g744 +Vlet +p7718 +tp7719 +a(g826 +g984 +tp7720 +a(g705 +g980 +tp7721 +a(g705 +g980 +tp7722 +a(g440 +g1318 +tp7723 +a(g748 +V:|10,double|* +p7724 +tp7725 +a(g826 +g984 +tp7726 +a(g705 +g980 +tp7727 +a(g573 +Vzalloc +p7728 +tp7729 +a(g705 +g1001 +tp7730 +a(g705 +g1001 +tp7731 +a(g826 +V\u000a +p7732 +tp7733 +a(g705 +g980 +tp7734 +a(g440 +g1598 +tp7735 +a(g826 +g984 +tp7736 +a(g37 +g1071 +tp7737 +a(g705 +g1001 +tp7738 +a(g705 +g1001 +tp7739 +a(g826 +V\u000a +p7740 +tp7741 +a(g705 +g980 +tp7742 +a(g744 +Vdotimes +p7743 +tp7744 +a(g826 +g984 +tp7745 +a(g705 +g980 +tp7746 +a(g440 +g1598 +tp7747 +a(g826 +g984 +tp7748 +a(g37 +V10 +p7749 +tp7750 +a(g705 +g1001 +tp7751 +a(g826 +V\u000a +p7752 +tp7753 +a(g705 +g980 +tp7754 +a(g573 +Vaset! +p7755 +tp7756 +a(g826 +g984 +tp7757 +a(g440 +g1318 +tp7758 +a(g826 +g984 +tp7759 +a(g440 +g1598 +tp7760 +a(g826 +g984 +tp7761 +a(g705 +g980 +tp7762 +a(g573 +g1324 +tp7763 +a(g826 +g984 +tp7764 +a(g705 +g980 +tp7765 +a(g573 +Varef +p7766 +tp7767 +a(g826 +g984 +tp7768 +a(g440 +Vfa +p7769 +tp7770 +a(g826 +g984 +tp7771 +a(g440 +g1598 +tp7772 +a(g705 +g1001 +tp7773 +a(g826 +g984 +tp7774 +a(g705 +g980 +tp7775 +a(g573 +Vrandom +p7776 +tp7777 +a(g705 +g1001 +tp7778 +a(g705 +g1001 +tp7779 +a(g705 +g1001 +tp7780 +a(g705 +g1001 +tp7781 +a(g826 +V\u000a +p7782 +tp7783 +a(g440 +g1318 +tp7784 +a(g705 +g1001 +tp7785 +a(g705 +g1001 +tp7786 +a(g705 +g1001 +tp7787 +a(g705 +g1001 +tp7788 +a(g705 +g1001 +tp7789 +a(g826 +V\u000a +p7790 +tp7791 +a(g705 +g980 +tp7792 +a(g440 +g1685 +tp7793 +a(g826 +g984 +tp7794 +a(g440 +g2993 +tp7795 +a(g705 +g1001 +tp7796 +a(g705 +g1001 +tp7797 +a(g705 +g1001 +tp7798 +a(g705 +g1001 +tp7799 +a(g705 +g1001 +tp7800 +a(g826 +V\u000a\u000a +p7801 +tp7802 +a(g705 +g980 +tp7803 +a(g440 +Vmemzone-test2 +p7804 +tp7805 +a(g705 +g1001 +tp7806 +a(g705 +g1001 +tp7807 +a(g826 +V\u000a\u000a +p7808 +tp7809 +a(g705 +g980 +tp7810 +a(g440 +Vxtmtest +p7811 +tp7812 +a(g826 +g984 +tp7813 +a(g412 +g986 +tp7814 +a(g705 +g980 +tp7815 +a(g744 +Vbind-func +p7816 +tp7817 +a(g826 +g984 +tp7818 +a(g573 +Vmemzone-test3 +p7819 +tp7820 +a(g826 +V\u000a +p7821 +tp7822 +a(g705 +g980 +tp7823 +a(g744 +Vlambda +p7824 +tp7825 +a(g826 +g984 +tp7826 +a(g705 +g980 +tp7827 +a(g705 +g1001 +tp7828 +a(g826 +V\u000a +p7829 +tp7830 +a(g705 +g980 +tp7831 +a(g744 +Vlet +p7832 +tp7833 +a(g826 +g984 +tp7834 +a(g705 +g980 +tp7835 +a(g705 +g980 +tp7836 +a(g440 +g3260 +tp7837 +a(g826 +g984 +tp7838 +a(g705 +g980 +tp7839 +a(g744 +Vmemzone +p7840 +tp7841 +a(g440 +V-test2 +p7842 +tp7843 +a(g705 +g1001 +tp7844 +a(g705 +g1001 +tp7845 +a(g826 +V\u000a +p7846 +tp7847 +a(g705 +g980 +tp7848 +a(g440 +g1598 +tp7849 +a(g826 +g984 +tp7850 +a(g37 +g1071 +tp7851 +a(g705 +g1001 +tp7852 +a(g705 +g1001 +tp7853 +a(g826 +V\u000a +p7854 +tp7855 +a(g705 +g980 +tp7856 +a(g744 +Vdotimes +p7857 +tp7858 +a(g826 +g984 +tp7859 +a(g705 +g980 +tp7860 +a(g440 +g1598 +tp7861 +a(g826 +g984 +tp7862 +a(g37 +V10 +p7863 +tp7864 +a(g705 +g1001 +tp7865 +a(g826 +g984 +tp7866 +a(g705 +g980 +tp7867 +a(g573 +Vprintf +p7868 +tp7869 +a(g826 +g984 +tp7870 +a(g89 +V"%lld:%f\u005cn" +p7871 +tp7872 +a(g826 +g984 +tp7873 +a(g440 +g1598 +tp7874 +a(g826 +g984 +tp7875 +a(g705 +g980 +tp7876 +a(g573 +Varef +p7877 +tp7878 +a(g826 +g984 +tp7879 +a(g440 +g3260 +tp7880 +a(g826 +g984 +tp7881 +a(g440 +g1598 +tp7882 +a(g705 +g1001 +tp7883 +a(g705 +g1001 +tp7884 +a(g705 +g1001 +tp7885 +a(g705 +g1001 +tp7886 +a(g705 +g1001 +tp7887 +a(g705 +g1001 +tp7888 +a(g826 +V\u000a\u000a +p7889 +tp7890 +a(g705 +g980 +tp7891 +a(g440 +Vmemzone-test3 +p7892 +tp7893 +a(g705 +g1001 +tp7894 +a(g705 +g1001 +tp7895 +a(g826 +g984 +tp7896 +a(g8 +V;; should print all 0.0's +p7897 +tp7898 +a(g826 +V\u000a\u000a +p7899 +tp7900 +a(g705 +g980 +tp7901 +a(g440 +Vxtmtest +p7902 +tp7903 +a(g826 +g984 +tp7904 +a(g412 +g986 +tp7905 +a(g705 +g980 +tp7906 +a(g744 +Vbind-func +p7907 +tp7908 +a(g826 +g984 +tp7909 +a(g573 +Vmemzone-test4 +p7910 +tp7911 +a(g826 +V\u000a +p7912 +tp7913 +a(g705 +g980 +tp7914 +a(g744 +Vlambda +p7915 +tp7916 +a(g826 +g984 +tp7917 +a(g705 +g980 +tp7918 +a(g705 +g1001 +tp7919 +a(g826 +V\u000a +p7920 +tp7921 +a(g705 +g980 +tp7922 +a(g744 +Vmemzone +p7923 +tp7924 +a(g826 +g984 +tp7925 +a(g37 +V1024 +p7926 +tp7927 +a(g826 +g984 +tp7928 +a(g705 +g980 +tp7929 +a(g573 +g1324 +tp7930 +a(g826 +g984 +tp7931 +a(g37 +V44100 +p7932 +tp7933 +a(g826 +g984 +tp7934 +a(g37 +V10 +p7935 +tp7936 +a(g705 +g1001 +tp7937 +a(g826 +V\u000a +p7938 +tp7939 +a(g705 +g980 +tp7940 +a(g744 +Vlet +p7941 +tp7942 +a(g826 +g984 +tp7943 +a(g705 +g980 +tp7944 +a(g705 +g980 +tp7945 +a(g440 +g1318 +tp7946 +a(g748 +V:|5,double|* +p7947 +tp7948 +a(g826 +g984 +tp7949 +a(g705 +g980 +tp7950 +a(g573 +Valloc +p7951 +tp7952 +a(g705 +g1001 +tp7953 +a(g705 +g1001 +tp7954 +a(g705 +g1001 +tp7955 +a(g826 +V\u000a +p7956 +tp7957 +a(g705 +g980 +tp7958 +a(g573 +Vaset! +p7959 +tp7960 +a(g826 +g984 +tp7961 +a(g440 +g1318 +tp7962 +a(g826 +g984 +tp7963 +a(g37 +g1071 +tp7964 +a(g826 +g984 +tp7965 +a(g314 +V5.5 +p7966 +tp7967 +a(g705 +g1001 +tp7968 +a(g826 +V\u000a +p7969 +tp7970 +a(g705 +g980 +tp7971 +a(g573 +Varef +p7972 +tp7973 +a(g826 +g984 +tp7974 +a(g440 +g1318 +tp7975 +a(g826 +g984 +tp7976 +a(g37 +g1071 +tp7977 +a(g705 +g1001 +tp7978 +a(g705 +g1001 +tp7979 +a(g705 +g1001 +tp7980 +a(g705 +g1001 +tp7981 +a(g705 +g1001 +tp7982 +a(g826 +V\u000a\u000a +p7983 +tp7984 +a(g705 +g980 +tp7985 +a(g440 +Vmemzone-test4 +p7986 +tp7987 +a(g705 +g1001 +tp7988 +a(g826 +g984 +tp7989 +a(g314 +V5.50000 +p7990 +tp7991 +a(g705 +g1001 +tp7992 +a(g826 +V\u000a\u000a +p7993 +tp7994 +a(g8 +V;; +p7995 +tp7996 +a(g826 +V\u000a +p7997 +tp7998 +a(g8 +V;; Large allocation of memory on BUILD (i.e. when the closure is created) +p7999 +tp8000 +a(g826 +V\u000a +p8001 +tp8002 +a(g8 +V;; requires an optional argument (i.e. an amount of memory to allocate +p8003 +tp8004 +a(g826 +V\u000a +p8005 +tp8006 +a(g8 +V;; specifically for closure creation) +p8007 +tp8008 +a(g826 +V\u000a +p8009 +tp8010 +a(g8 +V;; +p8011 +tp8012 +a(g826 +V\u000a +p8013 +tp8014 +a(g8 +V;; This memory is automatically free'd whenever you recompile the closure +p8015 +tp8016 +a(g826 +V\u000a +p8017 +tp8018 +a(g8 +V;; (it will be destroyed and replaced by a new allocation of the +p8019 +tp8020 +a(g826 +V\u000a +p8021 +tp8022 +a(g8 +V;; same amount or whatever new amount you have allocated for closure +p8023 +tp8024 +a(g826 +V\u000a +p8025 +tp8026 +a(g8 +V;; compilation) +p8027 +tp8028 +a(g826 +V\u000a +p8029 +tp8030 +a(g8 +V;; +p8031 +tp8032 +a(g826 +V\u000a +p8033 +tp8034 +a(g705 +g980 +tp8035 +a(g440 +Vxtmtest +p8036 +tp8037 +a(g826 +g984 +tp8038 +a(g412 +g986 +tp8039 +a(g705 +g980 +tp8040 +a(g744 +Vbind-func +p8041 +tp8042 +a(g826 +g984 +tp8043 +a(g573 +Vclosure-zalloc-test +p8044 +tp8045 +a(g826 +g984 +tp8046 +a(g37 +V1000000 +p8047 +tp8048 +a(g826 +V\u000a +p8049 +tp8050 +a(g705 +g980 +tp8051 +a(g744 +Vlet +p8052 +tp8053 +a(g826 +g984 +tp8054 +a(g705 +g980 +tp8055 +a(g705 +g980 +tp8056 +a(g440 +g2993 +tp8057 +a(g748 +V:|100000,double|* +p8058 +tp8059 +a(g826 +g984 +tp8060 +a(g705 +g980 +tp8061 +a(g573 +Vzalloc +p8062 +tp8063 +a(g705 +g1001 +tp8064 +a(g705 +g1001 +tp8065 +a(g705 +g1001 +tp8066 +a(g826 +V\u000a +p8067 +tp8068 +a(g705 +g980 +tp8069 +a(g744 +Vlambda +p8070 +tp8071 +a(g826 +g984 +tp8072 +a(g705 +g980 +tp8073 +a(g705 +g1001 +tp8074 +a(g826 +V\u000a +p8075 +tp8076 +a(g705 +g980 +tp8077 +a(g573 +Vaset! +p8078 +tp8079 +a(g826 +g984 +tp8080 +a(g440 +g2993 +tp8081 +a(g826 +g984 +tp8082 +a(g37 +g1071 +tp8083 +a(g826 +g984 +tp8084 +a(g314 +V1.0 +p8085 +tp8086 +a(g705 +g1001 +tp8087 +a(g826 +V\u000a +p8088 +tp8089 +a(g705 +g980 +tp8090 +a(g573 +Varef +p8091 +tp8092 +a(g826 +g984 +tp8093 +a(g440 +g2993 +tp8094 +a(g826 +g984 +tp8095 +a(g37 +g1071 +tp8096 +a(g705 +g1001 +tp8097 +a(g705 +g1001 +tp8098 +a(g705 +g1001 +tp8099 +a(g705 +g1001 +tp8100 +a(g826 +V\u000a\u000a +p8101 +tp8102 +a(g705 +g980 +tp8103 +a(g440 +Vclosure-zalloc-test +p8104 +tp8105 +a(g826 +g984 +tp8106 +a(g37 +V1000000 +p8107 +tp8108 +a(g705 +g1001 +tp8109 +a(g705 +g1001 +tp8110 +a(g826 +V\u000a\u000a +p8111 +tp8112 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p8113 +tp8114 +a(g826 +V\u000a +p8115 +tp8116 +a(g8 +V;; +p8117 +tp8118 +a(g826 +V\u000a +p8119 +tp8120 +a(g8 +V;; Ad-Hoc Polymorphism +p8121 +tp8122 +a(g826 +V\u000a +p8123 +tp8124 +a(g8 +V;; +p8125 +tp8126 +a(g826 +V\u000a +p8127 +tp8128 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p8129 +tp8130 +a(g826 +V\u000a\u000a +p8131 +tp8132 +a(g8 +V;; extempore supports ad-hoc polymorphism +p8133 +tp8134 +a(g826 +V\u000a +p8135 +tp8136 +a(g8 +V;; at some stage in the future this will +p8137 +tp8138 +a(g826 +V\u000a +p8139 +tp8140 +a(g8 +V;; be implicit - but for the moment +p8141 +tp8142 +a(g826 +V\u000a +p8143 +tp8144 +a(g8 +V;; it is explicitly defined using bind-poly +p8145 +tp8146 +a(g826 +V\u000a\u000a +p8147 +tp8148 +a(g8 +V;; ad-hoc polymorphism allows you to provide +p8149 +tp8150 +a(g826 +V\u000a +p8151 +tp8152 +a(g8 +V;; different specialisations depending on +p8153 +tp8154 +a(g826 +V\u000a +p8155 +tp8156 +a(g8 +V;; type. In other words, a single 'name' +p8157 +tp8158 +a(g826 +V\u000a +p8159 +tp8160 +a(g8 +V;; can be bound to multiple function +p8161 +tp8162 +a(g826 +V\u000a +p8163 +tp8164 +a(g8 +V;; implementations each with a uniqute +p8165 +tp8166 +a(g826 +V\u000a +p8167 +tp8168 +a(g8 +V;; type. +p8169 +tp8170 +a(g826 +V\u000a\u000a\u000a +p8171 +tp8172 +a(g8 +V;; poly variables can be for functions of +p8173 +tp8174 +a(g826 +V\u000a +p8175 +tp8176 +a(g8 +V;; mixed argument lengths +p8177 +tp8178 +a(g826 +V\u000a +p8179 +tp8180 +a(g8 +V;; +p8181 +tp8182 +a(g826 +V\u000a +p8183 +tp8184 +a(g8 +V;; so for example: +p8185 +tp8186 +a(g826 +V\u000a +p8187 +tp8188 +a(g705 +g980 +tp8189 +a(g744 +Vbind-func +p8190 +tp8191 +a(g826 +g984 +tp8192 +a(g573 +Vpoly-test4 +p8193 +tp8194 +a(g826 +V\u000a +p8195 +tp8196 +a(g705 +g980 +tp8197 +a(g744 +Vlambda +p8198 +tp8199 +a(g826 +g984 +tp8200 +a(g705 +g980 +tp8201 +a(g440 +g1318 +tp8202 +a(g748 +V:i8* +p8203 +tp8204 +a(g705 +g1001 +tp8205 +a(g826 +V\u000a +p8206 +tp8207 +a(g705 +g980 +tp8208 +a(g573 +Vprintf +p8209 +tp8210 +a(g826 +g984 +tp8211 +a(g89 +V"%s\u005cn" +p8212 +tp8213 +a(g826 +g984 +tp8214 +a(g440 +g1318 +tp8215 +a(g705 +g1001 +tp8216 +a(g705 +g1001 +tp8217 +a(g705 +g1001 +tp8218 +a(g826 +V\u000a\u000a +p8219 +tp8220 +a(g705 +g980 +tp8221 +a(g744 +Vbind-func +p8222 +tp8223 +a(g826 +g984 +tp8224 +a(g573 +Vpoly-test5 +p8225 +tp8226 +a(g826 +V\u000a +p8227 +tp8228 +a(g705 +g980 +tp8229 +a(g744 +Vlambda +p8230 +tp8231 +a(g826 +g984 +tp8232 +a(g705 +g980 +tp8233 +a(g440 +g1318 +tp8234 +a(g748 +V:i8* +p8235 +tp8236 +a(g826 +g984 +tp8237 +a(g440 +g2385 +tp8238 +a(g748 +V:i8* +p8239 +tp8240 +a(g705 +g1001 +tp8241 +a(g826 +V\u000a +p8242 +tp8243 +a(g705 +g980 +tp8244 +a(g573 +Vprintf +p8245 +tp8246 +a(g826 +g984 +tp8247 +a(g89 +V"%s %s\u005cn" +p8248 +tp8249 +a(g826 +g984 +tp8250 +a(g440 +g1318 +tp8251 +a(g826 +g984 +tp8252 +a(g440 +g2385 +tp8253 +a(g705 +g1001 +tp8254 +a(g705 +g1001 +tp8255 +a(g705 +g1001 +tp8256 +a(g826 +V\u000a\u000a +p8257 +tp8258 +a(g705 +g980 +tp8259 +a(g744 +Vbind-func +p8260 +tp8261 +a(g826 +g984 +tp8262 +a(g573 +Vpoly-test6 +p8263 +tp8264 +a(g826 +V\u000a +p8265 +tp8266 +a(g705 +g980 +tp8267 +a(g744 +Vlambda +p8268 +tp8269 +a(g826 +g984 +tp8270 +a(g705 +g980 +tp8271 +a(g440 +g1318 +tp8272 +a(g748 +V:i8* +p8273 +tp8274 +a(g826 +g984 +tp8275 +a(g440 +g2385 +tp8276 +a(g748 +V:i8* +p8277 +tp8278 +a(g826 +g984 +tp8279 +a(g440 +g2408 +tp8280 +a(g748 +V:i8* +p8281 +tp8282 +a(g705 +g1001 +tp8283 +a(g826 +V\u000a +p8284 +tp8285 +a(g705 +g980 +tp8286 +a(g573 +Vprintf +p8287 +tp8288 +a(g826 +g984 +tp8289 +a(g89 +V"%s %s %s\u005cn" +p8290 +tp8291 +a(g826 +g984 +tp8292 +a(g440 +g1318 +tp8293 +a(g826 +g984 +tp8294 +a(g440 +g2385 +tp8295 +a(g826 +g984 +tp8296 +a(g440 +g2408 +tp8297 +a(g705 +g1001 +tp8298 +a(g705 +g1001 +tp8299 +a(g705 +g1001 +tp8300 +a(g826 +V\u000a\u000a +p8301 +tp8302 +a(g8 +V;; bind these three functions to poly 'print' +p8303 +tp8304 +a(g826 +V\u000a +p8305 +tp8306 +a(g705 +g980 +tp8307 +a(g744 +Vbind-poly +p8308 +tp8309 +a(g826 +g984 +tp8310 +a(g573 +Vtestprint +p8311 +tp8312 +a(g826 +g984 +tp8313 +a(g440 +Vpoly-test4 +p8314 +tp8315 +a(g705 +g1001 +tp8316 +a(g826 +V\u000a +p8317 +tp8318 +a(g705 +g980 +tp8319 +a(g744 +Vbind-poly +p8320 +tp8321 +a(g826 +g984 +tp8322 +a(g573 +Vtestprint +p8323 +tp8324 +a(g826 +g984 +tp8325 +a(g440 +Vpoly-test5 +p8326 +tp8327 +a(g705 +g1001 +tp8328 +a(g826 +V\u000a +p8329 +tp8330 +a(g705 +g980 +tp8331 +a(g744 +Vbind-poly +p8332 +tp8333 +a(g826 +g984 +tp8334 +a(g573 +Vtestprint +p8335 +tp8336 +a(g826 +g984 +tp8337 +a(g440 +Vpoly-test6 +p8338 +tp8339 +a(g705 +g1001 +tp8340 +a(g826 +V\u000a\u000a +p8341 +tp8342 +a(g705 +g980 +tp8343 +a(g440 +Vxtmtest +p8344 +tp8345 +a(g826 +g984 +tp8346 +a(g412 +g986 +tp8347 +a(g705 +g980 +tp8348 +a(g744 +Vbind-func +p8349 +tp8350 +a(g826 +g984 +tp8351 +a(g573 +Vpoly-test7 +p8352 +tp8353 +a(g826 +V\u000a +p8354 +tp8355 +a(g705 +g980 +tp8356 +a(g744 +Vlambda +p8357 +tp8358 +a(g826 +g984 +tp8359 +a(g705 +g980 +tp8360 +a(g705 +g1001 +tp8361 +a(g826 +V\u000a +p8362 +tp8363 +a(g705 +g980 +tp8364 +a(g440 +Vtestprint +p8365 +tp8366 +a(g826 +g984 +tp8367 +a(g89 +V"extempore's" +p8368 +tp8369 +a(g705 +g1001 +tp8370 +a(g826 +V\u000a +p8371 +tp8372 +a(g705 +g980 +tp8373 +a(g440 +Vtestprint +p8374 +tp8375 +a(g826 +g984 +tp8376 +a(g89 +V"extempore's" +p8377 +tp8378 +a(g826 +g984 +tp8379 +a(g89 +V"polymorphism" +p8380 +tp8381 +a(g705 +g1001 +tp8382 +a(g826 +V\u000a +p8383 +tp8384 +a(g705 +g980 +tp8385 +a(g440 +Vtestprint +p8386 +tp8387 +a(g826 +g984 +tp8388 +a(g89 +V"extempore's" +p8389 +tp8390 +a(g826 +g984 +tp8391 +a(g89 +V"polymorphism" +p8392 +tp8393 +a(g826 +g984 +tp8394 +a(g89 +V"rocks" +p8395 +tp8396 +a(g705 +g1001 +tp8397 +a(g705 +g1001 +tp8398 +a(g705 +g1001 +tp8399 +a(g826 +V\u000a\u000a +p8400 +tp8401 +a(g705 +g980 +tp8402 +a(g440 +Vpoly-test7 +p8403 +tp8404 +a(g705 +g1001 +tp8405 +a(g705 +g1001 +tp8406 +a(g826 +V\u000a\u000a +p8407 +tp8408 +a(g8 +V;; polys can Also specialize +p8409 +tp8410 +a(g826 +V\u000a +p8411 +tp8412 +a(g8 +V;; on the return type +p8413 +tp8414 +a(g826 +V\u000a +p8415 +tp8416 +a(g705 +g980 +tp8417 +a(g744 +Vbind-func +p8418 +tp8419 +a(g826 +g984 +tp8420 +a(g573 +Vpoly-test8 +p8421 +tp8422 +a(g826 +V\u000a +p8423 +tp8424 +a(g705 +g980 +tp8425 +a(g744 +Vlambda +p8426 +tp8427 +a(g826 +g984 +tp8428 +a(g705 +g980 +tp8429 +a(g440 +g1318 +tp8430 +a(g748 +V:double +p8431 +tp8432 +a(g705 +g1001 +tp8433 +a(g826 +V\u000a +p8434 +tp8435 +a(g705 +g980 +tp8436 +a(g573 +g1324 +tp8437 +a(g826 +g984 +tp8438 +a(g440 +g1318 +tp8439 +a(g826 +g984 +tp8440 +a(g440 +g1318 +tp8441 +a(g705 +g1001 +tp8442 +a(g705 +g1001 +tp8443 +a(g705 +g1001 +tp8444 +a(g826 +V\u000a\u000a +p8445 +tp8446 +a(g705 +g980 +tp8447 +a(g744 +Vbind-func +p8448 +tp8449 +a(g826 +g984 +tp8450 +a(g573 +Vpoly-test9 +p8451 +tp8452 +a(g826 +V\u000a +p8453 +tp8454 +a(g705 +g980 +tp8455 +a(g744 +Vlambda +p8456 +tp8457 +a(g826 +g984 +tp8458 +a(g705 +g980 +tp8459 +a(g440 +g1318 +tp8460 +a(g748 +V:double +p8461 +tp8462 +a(g705 +g1001 +tp8463 +a(g826 +V\u000a +p8464 +tp8465 +a(g705 +g980 +tp8466 +a(g440 +Vdtoi64 +p8467 +tp8468 +a(g826 +g984 +tp8469 +a(g705 +g980 +tp8470 +a(g573 +g1324 +tp8471 +a(g826 +g984 +tp8472 +a(g440 +g1318 +tp8473 +a(g826 +g984 +tp8474 +a(g440 +g1318 +tp8475 +a(g705 +g1001 +tp8476 +a(g705 +g1001 +tp8477 +a(g705 +g1001 +tp8478 +a(g705 +g1001 +tp8479 +a(g826 +V\u000a\u000a +p8480 +tp8481 +a(g705 +g980 +tp8482 +a(g744 +Vbind-poly +p8483 +tp8484 +a(g826 +g984 +tp8485 +a(g573 +Vsqrd +p8486 +tp8487 +a(g826 +g984 +tp8488 +a(g440 +Vpoly-test8 +p8489 +tp8490 +a(g705 +g1001 +tp8491 +a(g826 +V\u000a +p8492 +tp8493 +a(g705 +g980 +tp8494 +a(g744 +Vbind-poly +p8495 +tp8496 +a(g826 +g984 +tp8497 +a(g573 +Vsqrd +p8498 +tp8499 +a(g826 +g984 +tp8500 +a(g440 +Vpoly-test9 +p8501 +tp8502 +a(g705 +g1001 +tp8503 +a(g826 +V\u000a\u000a +p8504 +tp8505 +a(g8 +V;; specialize on [i64,double]* +p8506 +tp8507 +a(g826 +V\u000a +p8508 +tp8509 +a(g8 +V;; +p8510 +tp8511 +a(g826 +V\u000a +p8512 +tp8513 +a(g705 +g980 +tp8514 +a(g440 +Vxtmtest +p8515 +tp8516 +a(g826 +g984 +tp8517 +a(g412 +g986 +tp8518 +a(g705 +g980 +tp8519 +a(g744 +Vbind-func +p8520 +tp8521 +a(g826 +g984 +tp8522 +a(g573 +Vpoly-test10 +p8523 +tp8524 +a(g748 +V:[i64,double]* +p8525 +tp8526 +a(g826 +V\u000a +p8527 +tp8528 +a(g705 +g980 +tp8529 +a(g744 +Vlambda +p8530 +tp8531 +a(g826 +g984 +tp8532 +a(g705 +g980 +tp8533 +a(g440 +g1318 +tp8534 +a(g705 +g1001 +tp8535 +a(g826 +V\u000a +p8536 +tp8537 +a(g705 +g980 +tp8538 +a(g573 +g1493 +tp8539 +a(g826 +g984 +tp8540 +a(g37 +g1018 +tp8541 +a(g826 +g984 +tp8542 +a(g705 +g980 +tp8543 +a(g440 +Vsqrd +p8544 +tp8545 +a(g826 +g984 +tp8546 +a(g440 +g1318 +tp8547 +a(g705 +g1001 +tp8548 +a(g705 +g1001 +tp8549 +a(g705 +g1001 +tp8550 +a(g705 +g1001 +tp8551 +a(g826 +V\u000a +p8552 +tp8553 +a(g705 +g980 +tp8554 +a(g440 +Vpoly-test10 +p8555 +tp8556 +a(g826 +g984 +tp8557 +a(g314 +V5.0 +p8558 +tp8559 +a(g705 +g1001 +tp8560 +a(g705 +g1001 +tp8561 +a(g826 +V\u000a\u000a +p8562 +tp8563 +a(g8 +V;; specialize on [double,doube]* +p8564 +tp8565 +a(g826 +V\u000a +p8566 +tp8567 +a(g705 +g980 +tp8568 +a(g440 +Vxtmtest +p8569 +tp8570 +a(g826 +g984 +tp8571 +a(g412 +g986 +tp8572 +a(g705 +g980 +tp8573 +a(g744 +Vbind-func +p8574 +tp8575 +a(g826 +g984 +tp8576 +a(g573 +Vpoly-test11 +p8577 +tp8578 +a(g748 +V:[double,double]* +p8579 +tp8580 +a(g826 +V\u000a +p8581 +tp8582 +a(g705 +g980 +tp8583 +a(g744 +Vlambda +p8584 +tp8585 +a(g826 +g984 +tp8586 +a(g705 +g980 +tp8587 +a(g440 +g1318 +tp8588 +a(g705 +g1001 +tp8589 +a(g826 +V\u000a +p8590 +tp8591 +a(g705 +g980 +tp8592 +a(g573 +g1493 +tp8593 +a(g826 +g984 +tp8594 +a(g314 +V1.0 +p8595 +tp8596 +a(g826 +g984 +tp8597 +a(g705 +g980 +tp8598 +a(g440 +Vsqrd +p8599 +tp8600 +a(g826 +g984 +tp8601 +a(g440 +g1318 +tp8602 +a(g705 +g1001 +tp8603 +a(g705 +g1001 +tp8604 +a(g705 +g1001 +tp8605 +a(g705 +g1001 +tp8606 +a(g826 +V\u000a\u000a +p8607 +tp8608 +a(g705 +g980 +tp8609 +a(g440 +Vpoly-test11 +p8610 +tp8611 +a(g826 +g984 +tp8612 +a(g314 +V5.0 +p8613 +tp8614 +a(g705 +g1001 +tp8615 +a(g705 +g1001 +tp8616 +a(g826 +V\u000a\u000a\u000a +p8617 +tp8618 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p8619 +tp8620 +a(g826 +V\u000a +p8621 +tp8622 +a(g8 +V;; +p8623 +tp8624 +a(g826 +V\u000a +p8625 +tp8626 +a(g8 +V;; a little test for zone cleanup +p8627 +tp8628 +a(g826 +V\u000a +p8629 +tp8630 +a(g8 +V;; +p8631 +tp8632 +a(g826 +V\u000a +p8633 +tp8634 +a(g705 +g980 +tp8635 +a(g744 +Vbind-func +p8636 +tp8637 +a(g826 +g984 +tp8638 +a(g573 +VMyLittleCleanupTest +p8639 +tp8640 +a(g826 +V\u000a +p8641 +tp8642 +a(g705 +g980 +tp8643 +a(g744 +Vlambda +p8644 +tp8645 +a(g826 +g984 +tp8646 +a(g705 +g980 +tp8647 +a(g705 +g1001 +tp8648 +a(g826 +V\u000a +p8649 +tp8650 +a(g705 +g980 +tp8651 +a(g744 +Vlet +p8652 +tp8653 +a(g826 +g984 +tp8654 +a(g705 +g980 +tp8655 +a(g705 +g980 +tp8656 +a(g440 +Vtmp2 +p8657 +tp8658 +a(g748 +V:i8* +p8659 +tp8660 +a(g826 +g984 +tp8661 +a(g705 +g980 +tp8662 +a(g573 +Valloc +p8663 +tp8664 +a(g826 +g984 +tp8665 +a(g37 +g1145 +tp8666 +a(g705 +g1001 +tp8667 +a(g705 +g1001 +tp8668 +a(g705 +g1001 +tp8669 +a(g826 +V\u000a +p8670 +tp8671 +a(g705 +g980 +tp8672 +a(g440 +Vcleanup +p8673 +tp8674 +a(g826 +g984 +tp8675 +a(g705 +g980 +tp8676 +a(g573 +Vprintln +p8677 +tp8678 +a(g826 +g984 +tp8679 +a(g89 +V"Clean up before leaving zone!" +p8680 +tp8681 +a(g705 +g1001 +tp8682 +a(g705 +g1001 +tp8683 +a(g826 +V\u000a +p8684 +tp8685 +a(g440 +Vtmp2 +p8686 +tp8687 +a(g705 +g1001 +tp8688 +a(g705 +g1001 +tp8689 +a(g705 +g1001 +tp8690 +a(g826 +V\u000a\u000a +p8691 +tp8692 +a(g705 +g980 +tp8693 +a(g440 +Vxtmtest +p8694 +tp8695 +a(g826 +g984 +tp8696 +a(g412 +g986 +tp8697 +a(g705 +g980 +tp8698 +a(g744 +Vbind-func +p8699 +tp8700 +a(g826 +g984 +tp8701 +a(g573 +Vcleanup-test +p8702 +tp8703 +a(g826 +V\u000a +p8704 +tp8705 +a(g705 +g980 +tp8706 +a(g744 +Vlambda +p8707 +tp8708 +a(g826 +g984 +tp8709 +a(g705 +g980 +tp8710 +a(g705 +g1001 +tp8711 +a(g826 +V\u000a +p8712 +tp8713 +a(g705 +g980 +tp8714 +a(g744 +Vletz +p8715 +tp8716 +a(g826 +g984 +tp8717 +a(g705 +g980 +tp8718 +a(g705 +g980 +tp8719 +a(g440 +Vtmp +p8720 +tp8721 +a(g748 +V:i8* +p8722 +tp8723 +a(g826 +g984 +tp8724 +a(g705 +g980 +tp8725 +a(g573 +Valloc +p8726 +tp8727 +a(g826 +g984 +tp8728 +a(g37 +g1145 +tp8729 +a(g705 +g1001 +tp8730 +a(g705 +g1001 +tp8731 +a(g826 +V\u000a +p8732 +tp8733 +a(g705 +g980 +tp8734 +a(g440 +Vt2 +p8735 +tp8736 +a(g826 +g984 +tp8737 +a(g705 +g980 +tp8738 +a(g440 +VMyLittleCleanupTest +p8739 +tp8740 +a(g705 +g1001 +tp8741 +a(g705 +g1001 +tp8742 +a(g705 +g1001 +tp8743 +a(g826 +V\u000a +p8744 +tp8745 +a(g705 +g980 +tp8746 +a(g744 +Vbegin +p8747 +tp8748 +a(g826 +V\u000a +p8749 +tp8750 +a(g705 +g980 +tp8751 +a(g573 +Vprintln +p8752 +tp8753 +a(g826 +g984 +tp8754 +a(g89 +V"In Zone ..." +p8755 +tp8756 +a(g705 +g1001 +tp8757 +a(g826 +V\u000a +p8758 +tp8759 +a(g37 +g1018 +tp8760 +a(g705 +g1001 +tp8761 +a(g705 +g1001 +tp8762 +a(g826 +V\u000a +p8763 +tp8764 +a(g705 +g980 +tp8765 +a(g573 +Vprintln +p8766 +tp8767 +a(g826 +g984 +tp8768 +a(g89 +V"Out of zone ..." +p8769 +tp8770 +a(g705 +g1001 +tp8771 +a(g826 +V\u000a +p8772 +tp8773 +a(g440 +Vvoid +p8774 +tp8775 +a(g705 +g1001 +tp8776 +a(g705 +g1001 +tp8777 +a(g826 +V\u000a\u000a +p8778 +tp8779 +a(g705 +g980 +tp8780 +a(g440 +Vcleanup-test +p8781 +tp8782 +a(g705 +g1001 +tp8783 +a(g705 +g1001 +tp8784 +a(g826 +V\u000a\u000a +p8785 +tp8786 +a(g8 +V;;;;;;;;;;;;;;;;;; +p8787 +tp8788 +a(g826 +V\u000a +p8789 +tp8790 +a(g8 +V;; vector types +p8791 +tp8792 +a(g826 +V\u000a\u000a +p8793 +tp8794 +a(g8 +V;; (bind-func vector-test1 +p8795 +tp8796 +a(g826 +V\u000a +p8797 +tp8798 +a(g8 +V;; (lambda () +p8799 +tp8800 +a(g826 +V\u000a +p8801 +tp8802 +a(g8 +V;; (let ((v1:/4,float/* (alloc)) +p8803 +tp8804 +a(g826 +V\u000a +p8805 +tp8806 +a(g8 +V;; (v2:/4,float/* (alloc)) +p8807 +tp8808 +a(g826 +V\u000a +p8809 +tp8810 +a(g8 +V;; (v3:/4,float/* (alloc))) +p8811 +tp8812 +a(g826 +V\u000a +p8813 +tp8814 +a(g8 +V;; (vfill! v1 4.0 3.0 2.0 1.0) +p8815 +tp8816 +a(g826 +V\u000a +p8817 +tp8818 +a(g8 +V;; (vfill! v2 1.0 2.0 3.0 4.0) +p8819 +tp8820 +a(g826 +V\u000a +p8821 +tp8822 +a(g8 +V;; (vfill! v3 5.0 5.0 5.0 5.0) +p8823 +tp8824 +a(g826 +V\u000a +p8825 +tp8826 +a(g8 +V;; (let ((v4 (* v1 v2)) +p8827 +tp8828 +a(g826 +V\u000a +p8829 +tp8830 +a(g8 +V;; (v5 (> v3 v4))) ;; unforunately vector conditionals don't work! +p8831 +tp8832 +a(g826 +V\u000a +p8833 +tp8834 +a(g8 +V;; (printf "mul:%f:%f:%f:%f\u005cn" (ftod (vref v4 0)) (ftod (vref v4 1)) (ftod (vref v4 2)) (ftod (vref v4 3))) +p8835 +tp8836 +a(g826 +V\u000a +p8837 +tp8838 +a(g8 +V;; (printf "cmp:%d:%d:%d:%d\u005cn" (i1toi32 (vref v5 0)) (i1toi32 (vref v5 1)) (i1toi32 (vref v5 2)) (i1toi32 (vref v5 3))) +p8839 +tp8840 +a(g826 +V\u000a +p8841 +tp8842 +a(g8 +V;; void)))) +p8843 +tp8844 +a(g826 +V\u000a\u000a +p8845 +tp8846 +a(g8 +V;; (test-xtfunc (vector-test1)) +p8847 +tp8848 +a(g826 +V\u000a\u000a +p8849 +tp8850 +a(g705 +g980 +tp8851 +a(g744 +Vbind-func +p8852 +tp8853 +a(g826 +g984 +tp8854 +a(g573 +Vvector-test2 +p8855 +tp8856 +a(g826 +V\u000a +p8857 +tp8858 +a(g705 +g980 +tp8859 +a(g744 +Vlambda +p8860 +tp8861 +a(g826 +g984 +tp8862 +a(g705 +g980 +tp8863 +a(g705 +g1001 +tp8864 +a(g826 +V\u000a +p8865 +tp8866 +a(g705 +g980 +tp8867 +a(g744 +Vlet +p8868 +tp8869 +a(g826 +g984 +tp8870 +a(g705 +g980 +tp8871 +a(g705 +g980 +tp8872 +a(g440 +Vv1 +p8873 +tp8874 +a(g748 +V:/4,float/* +p8875 +tp8876 +a(g826 +g984 +tp8877 +a(g705 +g980 +tp8878 +a(g573 +Valloc +p8879 +tp8880 +a(g705 +g1001 +tp8881 +a(g705 +g1001 +tp8882 +a(g826 +V\u000a +p8883 +tp8884 +a(g705 +g980 +tp8885 +a(g440 +Vv2 +p8886 +tp8887 +a(g748 +V:/4,float/* +p8888 +tp8889 +a(g826 +g984 +tp8890 +a(g705 +g980 +tp8891 +a(g573 +Valloc +p8892 +tp8893 +a(g705 +g1001 +tp8894 +a(g705 +g1001 +tp8895 +a(g705 +g1001 +tp8896 +a(g826 +V\u000a +p8897 +tp8898 +a(g705 +g980 +tp8899 +a(g573 +Vvfill! +p8900 +tp8901 +a(g826 +g984 +tp8902 +a(g440 +Vv1 +p8903 +tp8904 +a(g826 +g984 +tp8905 +a(g314 +V1.0 +p8906 +tp8907 +a(g826 +g984 +tp8908 +a(g314 +V2.0 +p8909 +tp8910 +a(g826 +g984 +tp8911 +a(g314 +V4.0 +p8912 +tp8913 +a(g826 +g984 +tp8914 +a(g314 +V8.0 +p8915 +tp8916 +a(g705 +g1001 +tp8917 +a(g826 +V\u000a +p8918 +tp8919 +a(g705 +g980 +tp8920 +a(g573 +Vvfill! +p8921 +tp8922 +a(g826 +g984 +tp8923 +a(g440 +Vv2 +p8924 +tp8925 +a(g826 +g984 +tp8926 +a(g314 +V2.0 +p8927 +tp8928 +a(g826 +g984 +tp8929 +a(g314 +V2.5 +p8930 +tp8931 +a(g826 +g984 +tp8932 +a(g314 +V2.25 +p8933 +tp8934 +a(g826 +g984 +tp8935 +a(g314 +V2.125 +p8936 +tp8937 +a(g705 +g1001 +tp8938 +a(g826 +V\u000a +p8939 +tp8940 +a(g705 +g980 +tp8941 +a(g573 +g1324 +tp8942 +a(g826 +g984 +tp8943 +a(g440 +Vv1 +p8944 +tp8945 +a(g826 +g984 +tp8946 +a(g440 +Vv2 +p8947 +tp8948 +a(g705 +g1001 +tp8949 +a(g705 +g1001 +tp8950 +a(g705 +g1001 +tp8951 +a(g705 +g1001 +tp8952 +a(g826 +V\u000a\u000a +p8953 +tp8954 +a(g705 +g980 +tp8955 +a(g440 +Vxtmtest +p8956 +tp8957 +a(g826 +g984 +tp8958 +a(g412 +g986 +tp8959 +a(g705 +g980 +tp8960 +a(g744 +Vbind-func +p8961 +tp8962 +a(g826 +g984 +tp8963 +a(g573 +Vvector-test3 +p8964 +tp8965 +a(g826 +V\u000a +p8966 +tp8967 +a(g705 +g980 +tp8968 +a(g744 +Vlambda +p8969 +tp8970 +a(g826 +g984 +tp8971 +a(g705 +g980 +tp8972 +a(g705 +g1001 +tp8973 +a(g826 +V\u000a +p8974 +tp8975 +a(g705 +g980 +tp8976 +a(g744 +Vlet +p8977 +tp8978 +a(g826 +g984 +tp8979 +a(g705 +g980 +tp8980 +a(g705 +g980 +tp8981 +a(g440 +g1318 +tp8982 +a(g826 +g984 +tp8983 +a(g705 +g980 +tp8984 +a(g440 +Vvector-test2 +p8985 +tp8986 +a(g705 +g1001 +tp8987 +a(g705 +g1001 +tp8988 +a(g705 +g1001 +tp8989 +a(g826 +V\u000a +p8990 +tp8991 +a(g705 +g980 +tp8992 +a(g573 +Vprintf +p8993 +tp8994 +a(g826 +g984 +tp8995 +a(g89 +V"%f:%f:%f:%f\u005cn" +p8996 +tp8997 +a(g826 +V\u000a +p8998 +tp8999 +a(g705 +g980 +tp9000 +a(g573 +Vftod +p9001 +tp9002 +a(g826 +g984 +tp9003 +a(g705 +g980 +tp9004 +a(g573 +Vvref +p9005 +tp9006 +a(g826 +g984 +tp9007 +a(g440 +g1318 +tp9008 +a(g826 +g984 +tp9009 +a(g37 +g1071 +tp9010 +a(g705 +g1001 +tp9011 +a(g705 +g1001 +tp9012 +a(g826 +V\u000a +p9013 +tp9014 +a(g705 +g980 +tp9015 +a(g573 +Vftod +p9016 +tp9017 +a(g826 +g984 +tp9018 +a(g705 +g980 +tp9019 +a(g573 +Vvref +p9020 +tp9021 +a(g826 +g984 +tp9022 +a(g440 +g1318 +tp9023 +a(g826 +g984 +tp9024 +a(g37 +g1018 +tp9025 +a(g705 +g1001 +tp9026 +a(g705 +g1001 +tp9027 +a(g826 +V\u000a +p9028 +tp9029 +a(g705 +g980 +tp9030 +a(g573 +Vftod +p9031 +tp9032 +a(g826 +g984 +tp9033 +a(g705 +g980 +tp9034 +a(g573 +Vvref +p9035 +tp9036 +a(g826 +g984 +tp9037 +a(g440 +g1318 +tp9038 +a(g826 +g984 +tp9039 +a(g37 +g1524 +tp9040 +a(g705 +g1001 +tp9041 +a(g705 +g1001 +tp9042 +a(g826 +V\u000a +p9043 +tp9044 +a(g705 +g980 +tp9045 +a(g573 +Vftod +p9046 +tp9047 +a(g826 +g984 +tp9048 +a(g705 +g980 +tp9049 +a(g573 +Vvref +p9050 +tp9051 +a(g826 +g984 +tp9052 +a(g440 +g1318 +tp9053 +a(g826 +g984 +tp9054 +a(g37 +g1565 +tp9055 +a(g705 +g1001 +tp9056 +a(g705 +g1001 +tp9057 +a(g705 +g1001 +tp9058 +a(g826 +V\u000a +p9059 +tp9060 +a(g440 +Vvoid +p9061 +tp9062 +a(g705 +g1001 +tp9063 +a(g705 +g1001 +tp9064 +a(g705 +g1001 +tp9065 +a(g826 +V\u000a\u000a +p9066 +tp9067 +a(g705 +g980 +tp9068 +a(g573 +Vvector +p9069 +tp9070 +a(g440 +V-test3 +p9071 +tp9072 +a(g705 +g1001 +tp9073 +a(g705 +g1001 +tp9074 +a(g826 +V\u000a\u000a +p9075 +tp9076 +a(g8 +V;; vectorised sine func +p9077 +tp9078 +a(g826 +V\u000a +p9079 +tp9080 +a(g705 +g980 +tp9081 +a(g744 +Vbind-func +p9082 +tp9083 +a(g826 +g984 +tp9084 +a(g573 +Vvsinf4 +p9085 +tp9086 +a(g826 +V\u000a +p9087 +tp9088 +a(g705 +g980 +tp9089 +a(g744 +Vlet +p9090 +tp9091 +a(g826 +g984 +tp9092 +a(g705 +g980 +tp9093 +a(g705 +g980 +tp9094 +a(g440 +Vp +p9095 +tp9096 +a(g748 +V:/4,float/* +p9097 +tp9098 +a(g826 +g984 +tp9099 +a(g705 +g980 +tp9100 +a(g573 +Valloc +p9101 +tp9102 +a(g705 +g1001 +tp9103 +a(g705 +g1001 +tp9104 +a(g826 +V\u000a +p9105 +tp9106 +a(g705 +g980 +tp9107 +a(g440 +g2385 +tp9108 +a(g748 +V:/4,float/* +p9109 +tp9110 +a(g826 +g984 +tp9111 +a(g705 +g980 +tp9112 +a(g573 +Valloc +p9113 +tp9114 +a(g705 +g1001 +tp9115 +a(g705 +g1001 +tp9116 +a(g826 +V\u000a +p9117 +tp9118 +a(g705 +g980 +tp9119 +a(g440 +g2408 +tp9120 +a(g748 +V:/4,float/* +p9121 +tp9122 +a(g826 +g984 +tp9123 +a(g705 +g980 +tp9124 +a(g573 +Valloc +p9125 +tp9126 +a(g705 +g1001 +tp9127 +a(g705 +g1001 +tp9128 +a(g826 +V\u000a +p9129 +tp9130 +a(g705 +g980 +tp9131 +a(g440 +Vf1 +p9132 +tp9133 +a(g748 +V:/4,float/* +p9134 +tp9135 +a(g826 +g984 +tp9136 +a(g705 +g980 +tp9137 +a(g573 +Valloc +p9138 +tp9139 +a(g705 +g1001 +tp9140 +a(g705 +g1001 +tp9141 +a(g826 +V\u000a +p9142 +tp9143 +a(g705 +g980 +tp9144 +a(g440 +Vf2 +p9145 +tp9146 +a(g748 +V:/4,float/* +p9147 +tp9148 +a(g826 +g984 +tp9149 +a(g705 +g980 +tp9150 +a(g573 +Valloc +p9151 +tp9152 +a(g705 +g1001 +tp9153 +a(g705 +g1001 +tp9154 +a(g826 +V\u000a +p9155 +tp9156 +a(g705 +g980 +tp9157 +a(g440 +g1598 +tp9158 +a(g748 +V:i32 +p9159 +tp9160 +a(g826 +g984 +tp9161 +a(g37 +g1071 +tp9162 +a(g705 +g1001 +tp9163 +a(g826 +V\u000a +p9164 +tp9165 +a(g705 +g980 +tp9166 +a(g440 +Vp_ +p9167 +tp9168 +a(g826 +g984 +tp9169 +a(g314 +V0.225 +p9170 +tp9171 +a(g705 +g1001 +tp9172 +a(g826 +V\u000a +p9173 +tp9174 +a(g705 +g980 +tp9175 +a(g440 +Vb_ +p9176 +tp9177 +a(g826 +g984 +tp9178 +a(g705 +g980 +tp9179 +a(g573 +Vdtof +p9180 +tp9181 +a(g826 +g984 +tp9182 +a(g705 +g980 +tp9183 +a(g573 +g1427 +tp9184 +a(g826 +g984 +tp9185 +a(g314 +V4.0 +p9186 +tp9187 +a(g826 +g984 +tp9188 +a(g314 +V3.1415 +p9189 +tp9190 +a(g705 +g1001 +tp9191 +a(g705 +g1001 +tp9192 +a(g705 +g1001 +tp9193 +a(g826 +V\u000a +p9194 +tp9195 +a(g705 +g980 +tp9196 +a(g440 +Vc_ +p9197 +tp9198 +a(g826 +g984 +tp9199 +a(g705 +g980 +tp9200 +a(g573 +Vdtof +p9201 +tp9202 +a(g826 +g984 +tp9203 +a(g705 +g980 +tp9204 +a(g573 +g1427 +tp9205 +a(g826 +g984 +tp9206 +a(g314 +V-4.0 +p9207 +tp9208 +a(g826 +g984 +tp9209 +a(g705 +g980 +tp9210 +a(g573 +g1324 +tp9211 +a(g826 +g984 +tp9212 +a(g314 +V3.1415 +p9213 +tp9214 +a(g826 +g984 +tp9215 +a(g314 +V3.1415 +p9216 +tp9217 +a(g705 +g1001 +tp9218 +a(g705 +g1001 +tp9219 +a(g705 +g1001 +tp9220 +a(g705 +g1001 +tp9221 +a(g705 +g1001 +tp9222 +a(g826 +V\u000a +p9223 +tp9224 +a(g705 +g980 +tp9225 +a(g744 +Vdotimes +p9226 +tp9227 +a(g826 +g984 +tp9228 +a(g705 +g980 +tp9229 +a(g440 +g1598 +tp9230 +a(g826 +g984 +tp9231 +a(g37 +g1157 +tp9232 +a(g705 +g1001 +tp9233 +a(g826 +g984 +tp9234 +a(g705 +g980 +tp9235 +a(g573 +Vvset! +p9236 +tp9237 +a(g826 +g984 +tp9238 +a(g440 +g9095 +tp9239 +a(g826 +g984 +tp9240 +a(g440 +g1598 +tp9241 +a(g826 +g984 +tp9242 +a(g440 +Vp_ +p9243 +tp9244 +a(g705 +g1001 +tp9245 +a(g826 +g984 +tp9246 +a(g705 +g980 +tp9247 +a(g573 +Vvset! +p9248 +tp9249 +a(g826 +g984 +tp9250 +a(g440 +g2385 +tp9251 +a(g826 +g984 +tp9252 +a(g440 +g1598 +tp9253 +a(g826 +g984 +tp9254 +a(g440 +Vb_ +p9255 +tp9256 +a(g705 +g1001 +tp9257 +a(g826 +g984 +tp9258 +a(g705 +g980 +tp9259 +a(g573 +Vvset! +p9260 +tp9261 +a(g826 +g984 +tp9262 +a(g440 +g2408 +tp9263 +a(g826 +g984 +tp9264 +a(g440 +g1598 +tp9265 +a(g826 +g984 +tp9266 +a(g440 +Vc_ +p9267 +tp9268 +a(g705 +g1001 +tp9269 +a(g705 +g1001 +tp9270 +a(g826 +V\u000a +p9271 +tp9272 +a(g705 +g980 +tp9273 +a(g744 +Vlambda +p9274 +tp9275 +a(g826 +g984 +tp9276 +a(g705 +g980 +tp9277 +a(g440 +g1480 +tp9278 +a(g748 +V:/4,float/ +p9279 +tp9280 +a(g705 +g1001 +tp9281 +a(g826 +V\u000a +p9282 +tp9283 +a(g8 +V;; no SIMD for abs yet! +p9284 +tp9285 +a(g826 +V\u000a +p9286 +tp9287 +a(g705 +g980 +tp9288 +a(g744 +Vdotimes +p9289 +tp9290 +a(g826 +g984 +tp9291 +a(g705 +g980 +tp9292 +a(g440 +g1598 +tp9293 +a(g826 +g984 +tp9294 +a(g37 +g1157 +tp9295 +a(g705 +g1001 +tp9296 +a(g826 +g984 +tp9297 +a(g705 +g980 +tp9298 +a(g573 +Vvset! +p9299 +tp9300 +a(g826 +g984 +tp9301 +a(g440 +Vf1 +p9302 +tp9303 +a(g826 +g984 +tp9304 +a(g440 +g1598 +tp9305 +a(g826 +g984 +tp9306 +a(g705 +g980 +tp9307 +a(g440 +Vfabs +p9308 +tp9309 +a(g826 +g984 +tp9310 +a(g705 +g980 +tp9311 +a(g573 +Vvref +p9312 +tp9313 +a(g826 +g984 +tp9314 +a(g440 +g1480 +tp9315 +a(g826 +g984 +tp9316 +a(g440 +g1598 +tp9317 +a(g705 +g1001 +tp9318 +a(g705 +g1001 +tp9319 +a(g705 +g1001 +tp9320 +a(g705 +g1001 +tp9321 +a(g826 +V\u000a +p9322 +tp9323 +a(g705 +g980 +tp9324 +a(g744 +Vlet +p9325 +tp9326 +a(g826 +g984 +tp9327 +a(g705 +g980 +tp9328 +a(g705 +g980 +tp9329 +a(g440 +g2416 +tp9330 +a(g826 +g984 +tp9331 +a(g705 +g980 +tp9332 +a(g573 +g1493 +tp9333 +a(g826 +g984 +tp9334 +a(g705 +g980 +tp9335 +a(g573 +g1324 +tp9336 +a(g826 +g984 +tp9337 +a(g440 +g2385 +tp9338 +a(g826 +g984 +tp9339 +a(g440 +g1480 +tp9340 +a(g705 +g1001 +tp9341 +a(g826 +g984 +tp9342 +a(g705 +g980 +tp9343 +a(g573 +g1324 +tp9344 +a(g826 +g984 +tp9345 +a(g440 +g2408 +tp9346 +a(g826 +g984 +tp9347 +a(g440 +g1480 +tp9348 +a(g826 +g984 +tp9349 +a(g440 +Vf1 +p9350 +tp9351 +a(g705 +g1001 +tp9352 +a(g705 +g1001 +tp9353 +a(g705 +g1001 +tp9354 +a(g705 +g1001 +tp9355 +a(g826 +V\u000a +p9356 +tp9357 +a(g8 +V;; no SIMD for abs yet! +p9358 +tp9359 +a(g826 +V\u000a +p9360 +tp9361 +a(g705 +g980 +tp9362 +a(g744 +Vdotimes +p9363 +tp9364 +a(g826 +g984 +tp9365 +a(g705 +g980 +tp9366 +a(g440 +g1598 +tp9367 +a(g826 +g984 +tp9368 +a(g37 +g1157 +tp9369 +a(g705 +g1001 +tp9370 +a(g826 +g984 +tp9371 +a(g705 +g980 +tp9372 +a(g573 +Vvset! +p9373 +tp9374 +a(g826 +g984 +tp9375 +a(g440 +Vf2 +p9376 +tp9377 +a(g826 +g984 +tp9378 +a(g440 +g1598 +tp9379 +a(g826 +g984 +tp9380 +a(g705 +g980 +tp9381 +a(g440 +Vfabs +p9382 +tp9383 +a(g826 +g984 +tp9384 +a(g705 +g980 +tp9385 +a(g573 +Vvref +p9386 +tp9387 +a(g826 +g984 +tp9388 +a(g440 +g2416 +tp9389 +a(g826 +g984 +tp9390 +a(g440 +g1598 +tp9391 +a(g705 +g1001 +tp9392 +a(g705 +g1001 +tp9393 +a(g705 +g1001 +tp9394 +a(g705 +g1001 +tp9395 +a(g826 +V\u000a +p9396 +tp9397 +a(g705 +g980 +tp9398 +a(g573 +g1493 +tp9399 +a(g826 +g984 +tp9400 +a(g705 +g980 +tp9401 +a(g573 +g1324 +tp9402 +a(g826 +g984 +tp9403 +a(g440 +g9095 +tp9404 +a(g826 +g984 +tp9405 +a(g705 +g980 +tp9406 +a(g573 +g2138 +tp9407 +a(g826 +g984 +tp9408 +a(g705 +g980 +tp9409 +a(g573 +g1324 +tp9410 +a(g826 +g984 +tp9411 +a(g440 +g2416 +tp9412 +a(g826 +g984 +tp9413 +a(g440 +Vf2 +p9414 +tp9415 +a(g705 +g1001 +tp9416 +a(g826 +g984 +tp9417 +a(g440 +g2416 +tp9418 +a(g705 +g1001 +tp9419 +a(g705 +g1001 +tp9420 +a(g826 +g984 +tp9421 +a(g440 +g2416 +tp9422 +a(g705 +g1001 +tp9423 +a(g705 +g1001 +tp9424 +a(g705 +g1001 +tp9425 +a(g705 +g1001 +tp9426 +a(g705 +g1001 +tp9427 +a(g826 +V\u000a\u000a +p9428 +tp9429 +a(g705 +g980 +tp9430 +a(g744 +Vbind-func +p9431 +tp9432 +a(g826 +g984 +tp9433 +a(g573 +Vvcosf4 +p9434 +tp9435 +a(g826 +V\u000a +p9436 +tp9437 +a(g705 +g980 +tp9438 +a(g744 +Vlet +p9439 +tp9440 +a(g826 +g984 +tp9441 +a(g705 +g980 +tp9442 +a(g705 +g980 +tp9443 +a(g440 +g9095 +tp9444 +a(g748 +V:/4,float/* +p9445 +tp9446 +a(g826 +g984 +tp9447 +a(g705 +g980 +tp9448 +a(g573 +Valloc +p9449 +tp9450 +a(g705 +g1001 +tp9451 +a(g705 +g1001 +tp9452 +a(g826 +V\u000a +p9453 +tp9454 +a(g705 +g980 +tp9455 +a(g440 +g2385 +tp9456 +a(g748 +V:/4,float/* +p9457 +tp9458 +a(g826 +g984 +tp9459 +a(g705 +g980 +tp9460 +a(g573 +Valloc +p9461 +tp9462 +a(g705 +g1001 +tp9463 +a(g705 +g1001 +tp9464 +a(g826 +V\u000a +p9465 +tp9466 +a(g705 +g980 +tp9467 +a(g440 +g2408 +tp9468 +a(g748 +V:/4,float/* +p9469 +tp9470 +a(g826 +g984 +tp9471 +a(g705 +g980 +tp9472 +a(g573 +Valloc +p9473 +tp9474 +a(g705 +g1001 +tp9475 +a(g705 +g1001 +tp9476 +a(g826 +V\u000a +p9477 +tp9478 +a(g705 +g980 +tp9479 +a(g440 +Vd +p9480 +tp9481 +a(g748 +V:/4,float/* +p9482 +tp9483 +a(g826 +g984 +tp9484 +a(g705 +g980 +tp9485 +a(g573 +Valloc +p9486 +tp9487 +a(g705 +g1001 +tp9488 +a(g705 +g1001 +tp9489 +a(g826 +V\u000a +p9490 +tp9491 +a(g705 +g980 +tp9492 +a(g440 +Vf1 +p9493 +tp9494 +a(g748 +V:/4,float/* +p9495 +tp9496 +a(g826 +g984 +tp9497 +a(g705 +g980 +tp9498 +a(g573 +Valloc +p9499 +tp9500 +a(g705 +g1001 +tp9501 +a(g705 +g1001 +tp9502 +a(g826 +V\u000a +p9503 +tp9504 +a(g705 +g980 +tp9505 +a(g440 +Vf2 +p9506 +tp9507 +a(g748 +V:/4,float/* +p9508 +tp9509 +a(g826 +g984 +tp9510 +a(g705 +g980 +tp9511 +a(g573 +Valloc +p9512 +tp9513 +a(g705 +g1001 +tp9514 +a(g705 +g1001 +tp9515 +a(g826 +V\u000a +p9516 +tp9517 +a(g705 +g980 +tp9518 +a(g440 +g1598 +tp9519 +a(g748 +V:i32 +p9520 +tp9521 +a(g826 +g984 +tp9522 +a(g37 +g1071 +tp9523 +a(g705 +g1001 +tp9524 +a(g826 +V\u000a +p9525 +tp9526 +a(g705 +g980 +tp9527 +a(g440 +Vp_ +p9528 +tp9529 +a(g826 +g984 +tp9530 +a(g314 +V0.225 +p9531 +tp9532 +a(g705 +g1001 +tp9533 +a(g826 +V\u000a +p9534 +tp9535 +a(g705 +g980 +tp9536 +a(g440 +Vd_ +p9537 +tp9538 +a(g826 +g984 +tp9539 +a(g705 +g980 +tp9540 +a(g573 +Vdtof +p9541 +tp9542 +a(g826 +g984 +tp9543 +a(g705 +g980 +tp9544 +a(g573 +g1427 +tp9545 +a(g826 +g984 +tp9546 +a(g314 +V3.1415 +p9547 +tp9548 +a(g826 +g984 +tp9549 +a(g314 +V2.0 +p9550 +tp9551 +a(g705 +g1001 +tp9552 +a(g705 +g1001 +tp9553 +a(g705 +g1001 +tp9554 +a(g826 +V\u000a +p9555 +tp9556 +a(g705 +g980 +tp9557 +a(g440 +Vb_ +p9558 +tp9559 +a(g826 +g984 +tp9560 +a(g705 +g980 +tp9561 +a(g573 +Vdtof +p9562 +tp9563 +a(g826 +g984 +tp9564 +a(g705 +g980 +tp9565 +a(g573 +g1427 +tp9566 +a(g826 +g984 +tp9567 +a(g314 +V4.0 +p9568 +tp9569 +a(g826 +g984 +tp9570 +a(g314 +V3.1415 +p9571 +tp9572 +a(g705 +g1001 +tp9573 +a(g705 +g1001 +tp9574 +a(g705 +g1001 +tp9575 +a(g826 +V\u000a +p9576 +tp9577 +a(g705 +g980 +tp9578 +a(g440 +Vc_ +p9579 +tp9580 +a(g826 +g984 +tp9581 +a(g705 +g980 +tp9582 +a(g573 +Vdtof +p9583 +tp9584 +a(g826 +g984 +tp9585 +a(g705 +g980 +tp9586 +a(g573 +g1427 +tp9587 +a(g826 +g984 +tp9588 +a(g314 +V-4.0 +p9589 +tp9590 +a(g826 +g984 +tp9591 +a(g705 +g980 +tp9592 +a(g573 +g1324 +tp9593 +a(g826 +g984 +tp9594 +a(g314 +V3.1415 +p9595 +tp9596 +a(g826 +g984 +tp9597 +a(g314 +V3.1415 +p9598 +tp9599 +a(g705 +g1001 +tp9600 +a(g705 +g1001 +tp9601 +a(g705 +g1001 +tp9602 +a(g705 +g1001 +tp9603 +a(g705 +g1001 +tp9604 +a(g826 +V\u000a +p9605 +tp9606 +a(g705 +g980 +tp9607 +a(g744 +Vdotimes +p9608 +tp9609 +a(g826 +g984 +tp9610 +a(g705 +g980 +tp9611 +a(g440 +g1598 +tp9612 +a(g826 +g984 +tp9613 +a(g37 +g1157 +tp9614 +a(g705 +g1001 +tp9615 +a(g826 +V\u000a +p9616 +tp9617 +a(g705 +g980 +tp9618 +a(g573 +Vvset! +p9619 +tp9620 +a(g826 +g984 +tp9621 +a(g440 +g9095 +tp9622 +a(g826 +g984 +tp9623 +a(g440 +g1598 +tp9624 +a(g826 +g984 +tp9625 +a(g440 +Vp_ +p9626 +tp9627 +a(g705 +g1001 +tp9628 +a(g826 +g984 +tp9629 +a(g705 +g980 +tp9630 +a(g573 +Vvset! +p9631 +tp9632 +a(g826 +g984 +tp9633 +a(g440 +g2385 +tp9634 +a(g826 +g984 +tp9635 +a(g440 +g1598 +tp9636 +a(g826 +g984 +tp9637 +a(g440 +Vb_ +p9638 +tp9639 +a(g705 +g1001 +tp9640 +a(g826 +g984 +tp9641 +a(g705 +g980 +tp9642 +a(g573 +Vvset! +p9643 +tp9644 +a(g826 +g984 +tp9645 +a(g440 +g2408 +tp9646 +a(g826 +g984 +tp9647 +a(g440 +g1598 +tp9648 +a(g826 +g984 +tp9649 +a(g440 +Vc_ +p9650 +tp9651 +a(g705 +g1001 +tp9652 +a(g826 +g984 +tp9653 +a(g705 +g980 +tp9654 +a(g573 +Vvset! +p9655 +tp9656 +a(g826 +g984 +tp9657 +a(g440 +g9480 +tp9658 +a(g826 +g984 +tp9659 +a(g440 +g1598 +tp9660 +a(g826 +g984 +tp9661 +a(g440 +Vd_ +p9662 +tp9663 +a(g705 +g1001 +tp9664 +a(g705 +g1001 +tp9665 +a(g826 +V\u000a +p9666 +tp9667 +a(g705 +g980 +tp9668 +a(g744 +Vlambda +p9669 +tp9670 +a(g826 +g984 +tp9671 +a(g705 +g980 +tp9672 +a(g440 +g1480 +tp9673 +a(g748 +V:/4,float/ +p9674 +tp9675 +a(g705 +g1001 +tp9676 +a(g826 +V\u000a +p9677 +tp9678 +a(g8 +V;; offset x for cos +p9679 +tp9680 +a(g826 +V\u000a +p9681 +tp9682 +a(g705 +g980 +tp9683 +a(g744 +Vset! +p9684 +tp9685 +a(g826 +g984 +tp9686 +a(g440 +g1480 +tp9687 +a(g826 +g984 +tp9688 +a(g705 +g980 +tp9689 +a(g573 +g1493 +tp9690 +a(g826 +g984 +tp9691 +a(g440 +g1480 +tp9692 +a(g826 +g984 +tp9693 +a(g440 +g9480 +tp9694 +a(g705 +g1001 +tp9695 +a(g705 +g1001 +tp9696 +a(g826 +V\u000a +p9697 +tp9698 +a(g8 +V;; no SIMD for abs yet! +p9699 +tp9700 +a(g826 +V\u000a +p9701 +tp9702 +a(g705 +g980 +tp9703 +a(g744 +Vdotimes +p9704 +tp9705 +a(g826 +g984 +tp9706 +a(g705 +g980 +tp9707 +a(g440 +g1598 +tp9708 +a(g826 +g984 +tp9709 +a(g37 +g1157 +tp9710 +a(g705 +g1001 +tp9711 +a(g826 +g984 +tp9712 +a(g705 +g980 +tp9713 +a(g573 +Vvset! +p9714 +tp9715 +a(g826 +g984 +tp9716 +a(g440 +Vf1 +p9717 +tp9718 +a(g826 +g984 +tp9719 +a(g440 +g1598 +tp9720 +a(g826 +g984 +tp9721 +a(g705 +g980 +tp9722 +a(g440 +Vfabs +p9723 +tp9724 +a(g826 +g984 +tp9725 +a(g705 +g980 +tp9726 +a(g573 +Vvref +p9727 +tp9728 +a(g826 +g984 +tp9729 +a(g440 +g1480 +tp9730 +a(g826 +g984 +tp9731 +a(g440 +g1598 +tp9732 +a(g705 +g1001 +tp9733 +a(g705 +g1001 +tp9734 +a(g705 +g1001 +tp9735 +a(g705 +g1001 +tp9736 +a(g826 +V\u000a +p9737 +tp9738 +a(g705 +g980 +tp9739 +a(g744 +Vlet +p9740 +tp9741 +a(g826 +g984 +tp9742 +a(g705 +g980 +tp9743 +a(g705 +g980 +tp9744 +a(g440 +g2416 +tp9745 +a(g826 +g984 +tp9746 +a(g705 +g980 +tp9747 +a(g573 +g1493 +tp9748 +a(g826 +g984 +tp9749 +a(g705 +g980 +tp9750 +a(g573 +g1324 +tp9751 +a(g826 +g984 +tp9752 +a(g440 +g2385 +tp9753 +a(g826 +g984 +tp9754 +a(g440 +g1480 +tp9755 +a(g705 +g1001 +tp9756 +a(g826 +g984 +tp9757 +a(g705 +g980 +tp9758 +a(g573 +g1324 +tp9759 +a(g826 +g984 +tp9760 +a(g440 +g2408 +tp9761 +a(g826 +g984 +tp9762 +a(g440 +g1480 +tp9763 +a(g826 +g984 +tp9764 +a(g440 +Vf1 +p9765 +tp9766 +a(g705 +g1001 +tp9767 +a(g705 +g1001 +tp9768 +a(g705 +g1001 +tp9769 +a(g705 +g1001 +tp9770 +a(g826 +V\u000a +p9771 +tp9772 +a(g8 +V;; no SIMD for abs yet! +p9773 +tp9774 +a(g826 +V\u000a +p9775 +tp9776 +a(g705 +g980 +tp9777 +a(g744 +Vdotimes +p9778 +tp9779 +a(g826 +g984 +tp9780 +a(g705 +g980 +tp9781 +a(g440 +g1598 +tp9782 +a(g826 +g984 +tp9783 +a(g37 +g1157 +tp9784 +a(g705 +g1001 +tp9785 +a(g826 +g984 +tp9786 +a(g705 +g980 +tp9787 +a(g573 +Vvset! +p9788 +tp9789 +a(g826 +g984 +tp9790 +a(g440 +Vf2 +p9791 +tp9792 +a(g826 +g984 +tp9793 +a(g440 +g1598 +tp9794 +a(g826 +g984 +tp9795 +a(g705 +g980 +tp9796 +a(g440 +Vfabs +p9797 +tp9798 +a(g826 +g984 +tp9799 +a(g705 +g980 +tp9800 +a(g573 +Vvref +p9801 +tp9802 +a(g826 +g984 +tp9803 +a(g440 +g2416 +tp9804 +a(g826 +g984 +tp9805 +a(g440 +g1598 +tp9806 +a(g705 +g1001 +tp9807 +a(g705 +g1001 +tp9808 +a(g705 +g1001 +tp9809 +a(g705 +g1001 +tp9810 +a(g826 +V\u000a +p9811 +tp9812 +a(g705 +g980 +tp9813 +a(g573 +g1493 +tp9814 +a(g826 +g984 +tp9815 +a(g705 +g980 +tp9816 +a(g573 +g1324 +tp9817 +a(g826 +g984 +tp9818 +a(g440 +g9095 +tp9819 +a(g826 +g984 +tp9820 +a(g705 +g980 +tp9821 +a(g573 +g2138 +tp9822 +a(g826 +g984 +tp9823 +a(g705 +g980 +tp9824 +a(g573 +g1324 +tp9825 +a(g826 +g984 +tp9826 +a(g440 +g2416 +tp9827 +a(g826 +g984 +tp9828 +a(g440 +Vf2 +p9829 +tp9830 +a(g705 +g1001 +tp9831 +a(g826 +g984 +tp9832 +a(g440 +g2416 +tp9833 +a(g705 +g1001 +tp9834 +a(g705 +g1001 +tp9835 +a(g826 +g984 +tp9836 +a(g440 +g2416 +tp9837 +a(g705 +g1001 +tp9838 +a(g705 +g1001 +tp9839 +a(g705 +g1001 +tp9840 +a(g705 +g1001 +tp9841 +a(g705 +g1001 +tp9842 +a(g826 +V\u000a\u000a\u000a +p9843 +tp9844 +a(g705 +g980 +tp9845 +a(g440 +Vxtmtest +p9846 +tp9847 +a(g826 +g984 +tp9848 +a(g412 +g986 +tp9849 +a(g705 +g980 +tp9850 +a(g744 +Vbind-func +p9851 +tp9852 +a(g826 +g984 +tp9853 +a(g573 +Vvector-test4 +p9854 +tp9855 +a(g826 +V\u000a +p9856 +tp9857 +a(g705 +g980 +tp9858 +a(g744 +Vlambda +p9859 +tp9860 +a(g826 +g984 +tp9861 +a(g705 +g980 +tp9862 +a(g705 +g1001 +tp9863 +a(g826 +V\u000a +p9864 +tp9865 +a(g705 +g980 +tp9866 +a(g744 +Vlet +p9867 +tp9868 +a(g826 +g984 +tp9869 +a(g705 +g980 +tp9870 +a(g705 +g980 +tp9871 +a(g440 +g1318 +tp9872 +a(g748 +V:/4,float/* +p9873 +tp9874 +a(g826 +g984 +tp9875 +a(g705 +g980 +tp9876 +a(g573 +Valloc +p9877 +tp9878 +a(g705 +g1001 +tp9879 +a(g705 +g1001 +tp9880 +a(g705 +g1001 +tp9881 +a(g826 +V\u000a +p9882 +tp9883 +a(g705 +g980 +tp9884 +a(g573 +Vvfill! +p9885 +tp9886 +a(g826 +g984 +tp9887 +a(g440 +g1318 +tp9888 +a(g826 +g984 +tp9889 +a(g314 +V0.1 +p9890 +tp9891 +a(g826 +g984 +tp9892 +a(g314 +V0.2 +p9893 +tp9894 +a(g826 +g984 +tp9895 +a(g314 +V0.3 +p9896 +tp9897 +a(g826 +g984 +tp9898 +a(g314 +V0.4 +p9899 +tp9900 +a(g705 +g1001 +tp9901 +a(g826 +V\u000a +p9902 +tp9903 +a(g705 +g980 +tp9904 +a(g744 +Vlet +p9905 +tp9906 +a(g826 +g984 +tp9907 +a(g705 +g980 +tp9908 +a(g705 +g980 +tp9909 +a(g440 +g2385 +tp9910 +a(g826 +g984 +tp9911 +a(g705 +g980 +tp9912 +a(g440 +Vvsinf4 +p9913 +tp9914 +a(g826 +g984 +tp9915 +a(g705 +g980 +tp9916 +a(g573 +Vpref +p9917 +tp9918 +a(g826 +g984 +tp9919 +a(g440 +g1318 +tp9920 +a(g826 +g984 +tp9921 +a(g37 +g1071 +tp9922 +a(g705 +g1001 +tp9923 +a(g705 +g1001 +tp9924 +a(g705 +g1001 +tp9925 +a(g826 +V\u000a +p9926 +tp9927 +a(g705 +g980 +tp9928 +a(g440 +g2408 +tp9929 +a(g826 +g984 +tp9930 +a(g705 +g980 +tp9931 +a(g440 +Vvcosf4 +p9932 +tp9933 +a(g826 +g984 +tp9934 +a(g705 +g980 +tp9935 +a(g573 +Vpref +p9936 +tp9937 +a(g826 +g984 +tp9938 +a(g440 +g1318 +tp9939 +a(g826 +g984 +tp9940 +a(g37 +g1071 +tp9941 +a(g705 +g1001 +tp9942 +a(g705 +g1001 +tp9943 +a(g705 +g1001 +tp9944 +a(g705 +g1001 +tp9945 +a(g826 +V\u000a +p9946 +tp9947 +a(g705 +g980 +tp9948 +a(g573 +Vprintf +p9949 +tp9950 +a(g826 +g984 +tp9951 +a(g89 +V"precision inaccuracy is expected:\u005cn" +p9952 +tp9953 +a(g705 +g1001 +tp9954 +a(g826 +V\u000a +p9955 +tp9956 +a(g705 +g980 +tp9957 +a(g573 +Vprintf +p9958 +tp9959 +a(g826 +g984 +tp9960 +a(g89 +V" sinf:\u005ct%f,%f,%f,%f\u005cn" +p9961 +tp9962 +a(g826 +V\u000a +p9963 +tp9964 +a(g705 +g980 +tp9965 +a(g573 +Vftod +p9966 +tp9967 +a(g826 +g984 +tp9968 +a(g705 +g980 +tp9969 +a(g573 +Vsin +p9970 +tp9971 +a(g826 +g984 +tp9972 +a(g314 +V0.1 +p9973 +tp9974 +a(g748 +V:f +p9975 +tp9976 +a(g705 +g1001 +tp9977 +a(g705 +g1001 +tp9978 +a(g826 +V\u000a +p9979 +tp9980 +a(g705 +g980 +tp9981 +a(g573 +Vftod +p9982 +tp9983 +a(g826 +g984 +tp9984 +a(g705 +g980 +tp9985 +a(g573 +Vsin +p9986 +tp9987 +a(g826 +g984 +tp9988 +a(g314 +V0.2 +p9989 +tp9990 +a(g748 +V:f +p9991 +tp9992 +a(g705 +g1001 +tp9993 +a(g705 +g1001 +tp9994 +a(g826 +V\u000a +p9995 +tp9996 +a(g705 +g980 +tp9997 +a(g573 +Vftod +p9998 +tp9999 +a(g826 +g984 +tp10000 +a(g705 +g980 +tp10001 +a(g573 +Vsin +p10002 +tp10003 +a(g826 +g984 +tp10004 +a(g314 +V0.3 +p10005 +tp10006 +a(g748 +V:f +p10007 +tp10008 +a(g705 +g1001 +tp10009 +a(g705 +g1001 +tp10010 +a(g826 +V\u000a +p10011 +tp10012 +a(g705 +g980 +tp10013 +a(g573 +Vftod +p10014 +tp10015 +a(g826 +g984 +tp10016 +a(g705 +g980 +tp10017 +a(g573 +Vsin +p10018 +tp10019 +a(g826 +g984 +tp10020 +a(g314 +V0.4 +p10021 +tp10022 +a(g748 +V:f +p10023 +tp10024 +a(g705 +g1001 +tp10025 +a(g705 +g1001 +tp10026 +a(g705 +g1001 +tp10027 +a(g826 +V\u000a +p10028 +tp10029 +a(g705 +g980 +tp10030 +a(g573 +Vprintf +p10031 +tp10032 +a(g826 +g984 +tp10033 +a(g89 +V"vsinf:\u005ct%f,%f,%f,%f\u005cn" +p10034 +tp10035 +a(g826 +V\u000a +p10036 +tp10037 +a(g705 +g980 +tp10038 +a(g573 +Vftod +p10039 +tp10040 +a(g826 +g984 +tp10041 +a(g705 +g980 +tp10042 +a(g573 +Vvref +p10043 +tp10044 +a(g826 +g984 +tp10045 +a(g440 +g2385 +tp10046 +a(g826 +g984 +tp10047 +a(g37 +g1071 +tp10048 +a(g705 +g1001 +tp10049 +a(g705 +g1001 +tp10050 +a(g826 +V\u000a +p10051 +tp10052 +a(g705 +g980 +tp10053 +a(g573 +Vftod +p10054 +tp10055 +a(g826 +g984 +tp10056 +a(g705 +g980 +tp10057 +a(g573 +Vvref +p10058 +tp10059 +a(g826 +g984 +tp10060 +a(g440 +g2385 +tp10061 +a(g826 +g984 +tp10062 +a(g37 +g1018 +tp10063 +a(g705 +g1001 +tp10064 +a(g705 +g1001 +tp10065 +a(g826 +V\u000a +p10066 +tp10067 +a(g705 +g980 +tp10068 +a(g573 +Vftod +p10069 +tp10070 +a(g826 +g984 +tp10071 +a(g705 +g980 +tp10072 +a(g573 +Vvref +p10073 +tp10074 +a(g826 +g984 +tp10075 +a(g440 +g2385 +tp10076 +a(g826 +g984 +tp10077 +a(g37 +g1524 +tp10078 +a(g705 +g1001 +tp10079 +a(g705 +g1001 +tp10080 +a(g826 +V\u000a +p10081 +tp10082 +a(g705 +g980 +tp10083 +a(g573 +Vftod +p10084 +tp10085 +a(g826 +g984 +tp10086 +a(g705 +g980 +tp10087 +a(g573 +Vvref +p10088 +tp10089 +a(g826 +g984 +tp10090 +a(g440 +g2385 +tp10091 +a(g826 +g984 +tp10092 +a(g37 +g1565 +tp10093 +a(g705 +g1001 +tp10094 +a(g705 +g1001 +tp10095 +a(g705 +g1001 +tp10096 +a(g826 +V\u000a +p10097 +tp10098 +a(g705 +g980 +tp10099 +a(g573 +Vprintf +p10100 +tp10101 +a(g826 +g984 +tp10102 +a(g89 +V" cosf:\u005ct%f,%f,%f,%f\u005cn" +p10103 +tp10104 +a(g826 +V\u000a +p10105 +tp10106 +a(g705 +g980 +tp10107 +a(g573 +Vftod +p10108 +tp10109 +a(g826 +g984 +tp10110 +a(g705 +g980 +tp10111 +a(g573 +Vcos +p10112 +tp10113 +a(g826 +g984 +tp10114 +a(g314 +V0.1 +p10115 +tp10116 +a(g748 +V:f +p10117 +tp10118 +a(g705 +g1001 +tp10119 +a(g705 +g1001 +tp10120 +a(g826 +V\u000a +p10121 +tp10122 +a(g705 +g980 +tp10123 +a(g573 +Vftod +p10124 +tp10125 +a(g826 +g984 +tp10126 +a(g705 +g980 +tp10127 +a(g573 +Vcos +p10128 +tp10129 +a(g826 +g984 +tp10130 +a(g314 +V0.2 +p10131 +tp10132 +a(g748 +V:f +p10133 +tp10134 +a(g705 +g1001 +tp10135 +a(g705 +g1001 +tp10136 +a(g826 +V\u000a +p10137 +tp10138 +a(g705 +g980 +tp10139 +a(g573 +Vftod +p10140 +tp10141 +a(g826 +g984 +tp10142 +a(g705 +g980 +tp10143 +a(g573 +Vcos +p10144 +tp10145 +a(g826 +g984 +tp10146 +a(g314 +V0.3 +p10147 +tp10148 +a(g748 +V:f +p10149 +tp10150 +a(g705 +g1001 +tp10151 +a(g705 +g1001 +tp10152 +a(g826 +V\u000a +p10153 +tp10154 +a(g705 +g980 +tp10155 +a(g573 +Vftod +p10156 +tp10157 +a(g826 +g984 +tp10158 +a(g705 +g980 +tp10159 +a(g573 +Vcos +p10160 +tp10161 +a(g826 +g984 +tp10162 +a(g314 +V0.4 +p10163 +tp10164 +a(g748 +V:f +p10165 +tp10166 +a(g705 +g1001 +tp10167 +a(g705 +g1001 +tp10168 +a(g705 +g1001 +tp10169 +a(g826 +V\u000a +p10170 +tp10171 +a(g705 +g980 +tp10172 +a(g573 +Vprintf +p10173 +tp10174 +a(g826 +g984 +tp10175 +a(g89 +V"vcosf:\u005ct%f,%f,%f,%f\u005cn" +p10176 +tp10177 +a(g826 +V\u000a +p10178 +tp10179 +a(g705 +g980 +tp10180 +a(g573 +Vftod +p10181 +tp10182 +a(g826 +g984 +tp10183 +a(g705 +g980 +tp10184 +a(g573 +Vvref +p10185 +tp10186 +a(g826 +g984 +tp10187 +a(g440 +g2408 +tp10188 +a(g826 +g984 +tp10189 +a(g37 +g1071 +tp10190 +a(g705 +g1001 +tp10191 +a(g705 +g1001 +tp10192 +a(g826 +V\u000a +p10193 +tp10194 +a(g705 +g980 +tp10195 +a(g573 +Vftod +p10196 +tp10197 +a(g826 +g984 +tp10198 +a(g705 +g980 +tp10199 +a(g573 +Vvref +p10200 +tp10201 +a(g826 +g984 +tp10202 +a(g440 +g2408 +tp10203 +a(g826 +g984 +tp10204 +a(g37 +g1018 +tp10205 +a(g705 +g1001 +tp10206 +a(g705 +g1001 +tp10207 +a(g826 +V\u000a +p10208 +tp10209 +a(g705 +g980 +tp10210 +a(g573 +Vftod +p10211 +tp10212 +a(g826 +g984 +tp10213 +a(g705 +g980 +tp10214 +a(g573 +Vvref +p10215 +tp10216 +a(g826 +g984 +tp10217 +a(g440 +g2408 +tp10218 +a(g826 +g984 +tp10219 +a(g37 +g1524 +tp10220 +a(g705 +g1001 +tp10221 +a(g705 +g1001 +tp10222 +a(g826 +V\u000a +p10223 +tp10224 +a(g705 +g980 +tp10225 +a(g573 +Vftod +p10226 +tp10227 +a(g826 +g984 +tp10228 +a(g705 +g980 +tp10229 +a(g573 +Vvref +p10230 +tp10231 +a(g826 +g984 +tp10232 +a(g440 +g2408 +tp10233 +a(g826 +g984 +tp10234 +a(g37 +g1565 +tp10235 +a(g705 +g1001 +tp10236 +a(g705 +g1001 +tp10237 +a(g705 +g1001 +tp10238 +a(g826 +V\u000a +p10239 +tp10240 +a(g440 +Vvoid +p10241 +tp10242 +a(g705 +g1001 +tp10243 +a(g705 +g1001 +tp10244 +a(g705 +g1001 +tp10245 +a(g705 +g1001 +tp10246 +a(g826 +V\u000a\u000a +p10247 +tp10248 +a(g705 +g980 +tp10249 +a(g573 +Vvector +p10250 +tp10251 +a(g440 +V-test4 +p10252 +tp10253 +a(g705 +g1001 +tp10254 +a(g705 +g1001 +tp10255 +a(g826 +V\u000a\u000a +p10256 +tp10257 +a(g8 +V;; test the call-as-xtlang macro +p10258 +tp10259 +a(g826 +V\u000a\u000a +p10260 +tp10261 +a(g8 +V;; make sure it'll handle multiple body forms +p10262 +tp10263 +a(g826 +V\u000a +p10264 +tp10265 +a(g705 +g980 +tp10266 +a(g440 +Vxtmtest-result +p10267 +tp10268 +a(g826 +g984 +tp10269 +a(g705 +g980 +tp10270 +a(g440 +Vcall-as-xtlang +p10271 +tp10272 +a(g826 +g984 +tp10273 +a(g705 +g980 +tp10274 +a(g573 +Vprintln +p10275 +tp10276 +a(g826 +g984 +tp10277 +a(g37 +g1018 +tp10278 +a(g705 +g1001 +tp10279 +a(g826 +g984 +tp10280 +a(g705 +g980 +tp10281 +a(g573 +Vprintln +p10282 +tp10283 +a(g826 +g984 +tp10284 +a(g37 +g1524 +tp10285 +a(g705 +g1001 +tp10286 +a(g826 +g984 +tp10287 +a(g37 +g1329 +tp10288 +a(g705 +g1001 +tp10289 +a(g826 +V\u000a +p10290 +tp10291 +a(g37 +g1329 +tp10292 +a(g705 +g1001 +tp10293 +a(g826 +V\u000a\u000a\u000a +p10294 +tp10295 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p10296 +tp10297 +a(g826 +V\u000a +p10298 +tp10299 +a(g8 +V;; +p10300 +tp10301 +a(g826 +V\u000a +p10302 +tp10303 +a(g8 +V;; test globalvar as closure +p10304 +tp10305 +a(g826 +V\u000a +p10306 +tp10307 +a(g8 +V;; +p10308 +tp10309 +a(g826 +V\u000a +p10310 +tp10311 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p10312 +tp10313 +a(g826 +V\u000a\u000a +p10314 +tp10315 +a(g705 +g980 +tp10316 +a(g744 +Vbind-func +p10317 +tp10318 +a(g826 +g984 +tp10319 +a(g573 +Vtestinc +p10320 +tp10321 +a(g826 +V\u000a +p10322 +tp10323 +a(g705 +g980 +tp10324 +a(g744 +Vlambda +p10325 +tp10326 +a(g826 +g984 +tp10327 +a(g705 +g980 +tp10328 +a(g440 +Vincr +p10329 +tp10330 +a(g748 +V:i64 +p10331 +tp10332 +a(g705 +g1001 +tp10333 +a(g826 +V\u000a +p10334 +tp10335 +a(g705 +g980 +tp10336 +a(g744 +Vlambda +p10337 +tp10338 +a(g826 +g984 +tp10339 +a(g705 +g980 +tp10340 +a(g440 +g1480 +tp10341 +a(g748 +V:i64 +p10342 +tp10343 +a(g705 +g1001 +tp10344 +a(g826 +V\u000a +p10345 +tp10346 +a(g705 +g980 +tp10347 +a(g573 +g1493 +tp10348 +a(g826 +g984 +tp10349 +a(g440 +g1480 +tp10350 +a(g826 +g984 +tp10351 +a(g440 +Vincr +p10352 +tp10353 +a(g705 +g1001 +tp10354 +a(g705 +g1001 +tp10355 +a(g705 +g1001 +tp10356 +a(g705 +g1001 +tp10357 +a(g826 +V\u000a\u000a +p10358 +tp10359 +a(g705 +g980 +tp10360 +a(g744 +Vbind-val +p10361 +tp10362 +a(g826 +g984 +tp10363 +a(g573 +VGlobalInc +p10364 +tp10365 +a(g826 +g984 +tp10366 +a(g748 +V[i64,i64]* +p10367 +tp10368 +a(g826 +g984 +tp10369 +a(g705 +g980 +tp10370 +a(g440 +Vtestinc +p10371 +tp10372 +a(g826 +g984 +tp10373 +a(g37 +g1524 +tp10374 +a(g705 +g1001 +tp10375 +a(g705 +g1001 +tp10376 +a(g826 +V\u000a\u000a +p10377 +tp10378 +a(g705 +g980 +tp10379 +a(g440 +Vxtmtest +p10380 +tp10381 +a(g826 +g984 +tp10382 +a(g412 +g986 +tp10383 +a(g705 +g980 +tp10384 +a(g744 +Vbind-func +p10385 +tp10386 +a(g826 +g984 +tp10387 +a(g573 +Vginc +p10388 +tp10389 +a(g826 +V\u000a +p10390 +tp10391 +a(g705 +g980 +tp10392 +a(g744 +Vlambda +p10393 +tp10394 +a(g826 +g984 +tp10395 +a(g705 +g980 +tp10396 +a(g705 +g1001 +tp10397 +a(g826 +V\u000a +p10398 +tp10399 +a(g705 +g980 +tp10400 +a(g440 +VGlobalInc +p10401 +tp10402 +a(g826 +g984 +tp10403 +a(g37 +g1329 +tp10404 +a(g705 +g1001 +tp10405 +a(g705 +g1001 +tp10406 +a(g705 +g1001 +tp10407 +a(g826 +V\u000a +p10408 +tp10409 +a(g705 +g980 +tp10410 +a(g440 +Vginc +p10411 +tp10412 +a(g705 +g1001 +tp10413 +a(g826 +g984 +tp10414 +a(g37 +g4845 +tp10415 +a(g705 +g1001 +tp10416 +a(g826 +V\u000a \u000a\u000a +p10417 +tp10418 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p10419 +tp10420 +a(g826 +V\u000a +p10421 +tp10422 +a(g8 +V;; syntax highlighting tests ;; +p10423 +tp10424 +a(g826 +V\u000a +p10425 +tp10426 +a(g8 +V;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; +p10427 +tp10428 +a(g826 +V\u000a +p10429 +tp10430 +a(g8 +V;; these don't return any values, they're visual tests---do they look +p10431 +tp10432 +a(g826 +V\u000a +p10433 +tp10434 +a(g8 +V;; right? +p10435 +tp10436 +a(g826 +V\u000a\u000a +p10437 +tp10438 +a(g705 +g980 +tp10439 +a(g744 +Vbind-func +p10440 +tp10441 +a(g826 +g984 +tp10442 +a(g573 +Vhl_test1a +p10443 +tp10444 +a(g748 +V:[i32,double,|4,i32|**]* +p10445 +tp10446 +a(g826 +g984 +tp10447 +a(g37 +V4000 +p10448 +tp10449 +a(g826 +V\u000a +p10450 +tp10451 +a(g89 +V"docstring" +p10452 +tp10453 +a(g826 +V\u000a +p10454 +tp10455 +a(g705 +g980 +tp10456 +a(g744 +Vlambda +p10457 +tp10458 +a(g826 +g984 +tp10459 +a(g705 +g980 +tp10460 +a(g440 +g1318 +tp10461 +a(g826 +g984 +tp10462 +a(g440 +g2385 +tp10463 +a(g705 +g1001 +tp10464 +a(g826 +V\u000a +p10465 +tp10466 +a(g705 +g980 +tp10467 +a(g573 +Vprintf +p10468 +tp10469 +a(g826 +g984 +tp10470 +a(g89 +V"done\u005cn" +p10471 +tp10472 +a(g705 +g1001 +tp10473 +a(g705 +g1001 +tp10474 +a(g705 +g1001 +tp10475 +a(g826 +V\u000a\u000a +p10476 +tp10477 +a(g705 +g980 +tp10478 +a(g744 +Vbind-func +p10479 +tp10480 +a(g826 +g984 +tp10481 +a(g573 +Vhl_test1b +p10482 +tp10483 +a(g748 +V:[i32]* +p10484 +tp10485 +a(g826 +V\u000a +p10486 +tp10487 +a(g705 +g980 +tp10488 +a(g744 +Vlambda +p10489 +tp10490 +a(g826 +g984 +tp10491 +a(g705 +g980 +tp10492 +a(g705 +g1001 +tp10493 +a(g826 +V\u000a +p10494 +tp10495 +a(g705 +g980 +tp10496 +a(g744 +Vlet +p10497 +tp10498 +a(g826 +g984 +tp10499 +a(g705 +g980 +tp10500 +a(g705 +g980 +tp10501 +a(g440 +g1598 +tp10502 +a(g748 +V:i32 +p10503 +tp10504 +a(g826 +g984 +tp10505 +a(g37 +g1340 +tp10506 +a(g705 +g1001 +tp10507 +a(g705 +g1001 +tp10508 +a(g826 +V\u000a +p10509 +tp10510 +a(g705 +g980 +tp10511 +a(g573 +Vprintf +p10512 +tp10513 +a(g826 +g984 +tp10514 +a(g89 +V"done\u005cn" +p10515 +tp10516 +a(g705 +g1001 +tp10517 +a(g705 +g1001 +tp10518 +a(g705 +g1001 +tp10519 +a(g705 +g1001 +tp10520 +a(g826 +V\u000a\u000a +p10521 +tp10522 +a(g705 +g980 +tp10523 +a(g744 +Vbind-val +p10524 +tp10525 +a(g826 +g984 +tp10526 +a(g573 +Vhl_test2 +p10527 +tp10528 +a(g826 +g984 +tp10529 +a(g748 +V +p10530 +tp10531 +a(g705 +g1001 +tp10532 +a(g826 +V\u000a +p10533 +tp10534 +a(g705 +g980 +tp10535 +a(g744 +Vbind-val +p10536 +tp10537 +a(g826 +g984 +tp10538 +a(g573 +Vhl_test3 +p10539 +tp10540 +a(g826 +g984 +tp10541 +a(g748 +V|4,i8| +p10542 +tp10543 +a(g705 +g1001 +tp10544 +a(g826 +V\u000a +p10545 +tp10546 +a(g705 +g980 +tp10547 +a(g744 +Vbind-val +p10548 +tp10549 +a(g826 +g984 +tp10550 +a(g573 +Vhl_test4 +p10551 +tp10552 +a(g826 +g984 +tp10553 +a(g748 +Vdouble* +p10554 +tp10555 +a(g826 +g984 +tp10556 +a(g37 +V10 +p10557 +tp10558 +a(g705 +g1001 +tp10559 +a(g826 +V\u000a +p10560 +tp10561 +a(g705 +g980 +tp10562 +a(g744 +Vbind-val +p10563 +tp10564 +a(g826 +g984 +tp10565 +a(g573 +Vhl_test5 +p10566 +tp10567 +a(g826 +g984 +tp10568 +a(g748 +Vi8* +p10569 +tp10570 +a(g826 +g984 +tp10571 +a(g89 +V"teststr" +p10572 +tp10573 +a(g705 +g1001 +tp10574 +a(g826 +V\u000a\u000a +p10575 +tp10576 +a(g705 +g980 +tp10577 +a(g744 +Vbind-type +p10578 +tp10579 +a(g826 +g984 +tp10580 +a(g573 +Vhl_test_type +p10581 +tp10582 +a(g826 +g984 +tp10583 +a(g748 +V +p10584 +tp10585 +a(g705 +g1001 +tp10586 +a(g826 +V\u000a\u000a +p10587 +tp10588 +a(g705 +g980 +tp10589 +a(g573 +Vprintln +p10590 +tp10591 +a(g826 +g984 +tp10592 +a(g412 +g986 +tp10593 +a(g705 +g980 +tp10594 +a(g744 +Vbind-lib +p10595 +tp10596 +a(g826 +g984 +tp10597 +a(g573 +Vtestlib +p10598 +tp10599 +a(g826 +g984 +tp10600 +a(g440 +Vtestfn +p10601 +tp10602 +a(g826 +g984 +tp10603 +a(g748 +V[i32,i32]* +p10604 +tp10605 +a(g705 +g1001 +tp10606 +a(g705 +g1001 +tp10607 +a(g826 +V\u000a\u000a +p10608 +tp10609 +a(g8 +V;; (and 4 5) +p10610 +tp10611 +a(g826 +V\u000a +p10612 +tp10613 +a(g8 +V;; (bind-val hl_test4 double* 10) +p10614 +tp10615 +a(g826 +V\u000a +p10616 +tp10617 +a(g8 +V;; (bind-type hl_test_type "docstring") +p10618 +tp10619 +a(g826 +V\u000a +p10620 +tp10621 +a(g8 +V;; (bind-lib testlib testfn [i32,i32]*) +p10622 +tp10623 +a(g826 +V\u000a +p10624 +tp10625 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.yaml b/tests/examplefiles/output/example.yaml new file mode 100644 index 0000000..7c2d1d0 --- /dev/null +++ b/tests/examplefiles/output/example.yaml @@ -0,0 +1,7466 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# Regression tests +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +g956 +tp964 +a(g826 +V\u000a\u000a +p965 +tp966 +a(g557 +V%TAG +p967 +tp968 +a(g826 +V +p969 +tp970 +a(g748 +V! +p971 +tp972 +a(g826 +g969 +tp973 +a(g748 +Vtag:example.com:foo/ +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g620 +V--- +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g557 +Vtest +p982 +tp983 +a(g705 +V: +p984 +tp985 +a(g826 +g969 +tp986 +a(g748 +V!foo/bar +p987 +tp988 +a(g826 +g969 +tp989 +a(g711 +V{ +p990 +tp991 +a(g557 +Va +p992 +tp993 +a(g705 +g984 +tp994 +a(g826 +g969 +tp995 +a(g89 +V' +p996 +tp997 +a(g89 +Vasdf +p998 +tp999 +a(g89 +g996 +tp1000 +a(g711 +V} +p1001 +tp1002 +a(g826 +V\u000a +p1003 +tp1004 +a(g557 +Vtest2 +p1005 +tp1006 +a(g705 +g984 +tp1007 +a(g826 +g969 +tp1008 +a(g63 +Vfred +p1009 +tp1010 +a(g826 +V\u000a +p1011 +tp1012 +a(g620 +V... +p1013 +tp1014 +a(g826 +V\u000a\u000a +p1015 +tp1016 +a(g8 +g956 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g8 +V# Examples from the Preview section of the YAML specification +p1020 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g8 +V# (http://yaml.org/spec/1.2/#Preview) +p1024 +tp1025 +a(g826 +V\u000a +p1026 +tp1027 +a(g8 +g956 +tp1028 +a(g826 +V\u000a\u000a +p1029 +tp1030 +a(g8 +V# Sequence of scalars +p1031 +tp1032 +a(g826 +V\u000a +p1033 +tp1034 +a(g620 +V--- +p1035 +tp1036 +a(g826 +V\u000a +p1037 +tp1038 +a(g711 +V- +p1039 +tp1040 +a(g826 +g969 +tp1041 +a(g63 +VMark +p1042 +tp1043 +a(g63 +g969 +tp1044 +a(g63 +VMcGwire +p1045 +tp1046 +a(g826 +V\u000a +p1047 +tp1048 +a(g711 +g1039 +tp1049 +a(g826 +g969 +tp1050 +a(g63 +VSammy +p1051 +tp1052 +a(g63 +g969 +tp1053 +a(g63 +VSosa +p1054 +tp1055 +a(g826 +V\u000a +p1056 +tp1057 +a(g711 +g1039 +tp1058 +a(g826 +g969 +tp1059 +a(g63 +VKen +p1060 +tp1061 +a(g63 +g969 +tp1062 +a(g63 +VGriffey +p1063 +tp1064 +a(g826 +V\u000a\u000a +p1065 +tp1066 +a(g8 +V# Mapping scalars to scalars +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g620 +V--- +p1071 +tp1072 +a(g826 +V\u000a +p1073 +tp1074 +a(g557 +Vhr +p1075 +tp1076 +a(g705 +g984 +tp1077 +a(g826 +V +p1078 +tp1079 +a(g63 +V65 +p1080 +tp1081 +a(g826 +V +p1082 +tp1083 +a(g8 +V# Home runs +p1084 +tp1085 +a(g826 +V\u000a +p1086 +tp1087 +a(g557 +Vavg +p1088 +tp1089 +a(g705 +g984 +tp1090 +a(g826 +g969 +tp1091 +a(g63 +V0.278 +p1092 +tp1093 +a(g826 +g969 +tp1094 +a(g8 +V# Batting average +p1095 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g557 +Vrbi +p1099 +tp1100 +a(g705 +g984 +tp1101 +a(g826 +g969 +tp1102 +a(g63 +V147 +p1103 +tp1104 +a(g826 +V +p1105 +tp1106 +a(g8 +V# Runs Batted In +p1107 +tp1108 +a(g826 +V\u000a\u000a +p1109 +tp1110 +a(g8 +V# Mapping scalars to sequences +p1111 +tp1112 +a(g826 +V\u000a +p1113 +tp1114 +a(g620 +V--- +p1115 +tp1116 +a(g826 +V\u000a +p1117 +tp1118 +a(g557 +Vamerican +p1119 +tp1120 +a(g705 +g984 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g826 +V +p1124 +tp1125 +a(g711 +g1039 +tp1126 +a(g826 +g969 +tp1127 +a(g63 +VBoston +p1128 +tp1129 +a(g63 +g969 +tp1130 +a(g63 +VRed +p1131 +tp1132 +a(g63 +g969 +tp1133 +a(g63 +VSox +p1134 +tp1135 +a(g826 +V\u000a +p1136 +tp1137 +a(g826 +V +p1138 +tp1139 +a(g711 +g1039 +tp1140 +a(g826 +g969 +tp1141 +a(g63 +VDetroit +p1142 +tp1143 +a(g63 +g969 +tp1144 +a(g63 +VTigers +p1145 +tp1146 +a(g826 +V\u000a +p1147 +tp1148 +a(g826 +V +p1149 +tp1150 +a(g711 +g1039 +tp1151 +a(g826 +g969 +tp1152 +a(g63 +VNew +p1153 +tp1154 +a(g63 +g969 +tp1155 +a(g63 +VYork +p1156 +tp1157 +a(g63 +g969 +tp1158 +a(g63 +VYankees +p1159 +tp1160 +a(g826 +V\u000a +p1161 +tp1162 +a(g557 +Vnational +p1163 +tp1164 +a(g705 +g984 +tp1165 +a(g826 +V\u000a +p1166 +tp1167 +a(g826 +V +p1168 +tp1169 +a(g711 +g1039 +tp1170 +a(g826 +g969 +tp1171 +a(g63 +VNew +p1172 +tp1173 +a(g63 +g969 +tp1174 +a(g63 +VYork +p1175 +tp1176 +a(g63 +g969 +tp1177 +a(g63 +VMets +p1178 +tp1179 +a(g826 +V\u000a +p1180 +tp1181 +a(g826 +V +p1182 +tp1183 +a(g711 +g1039 +tp1184 +a(g826 +g969 +tp1185 +a(g63 +VChicago +p1186 +tp1187 +a(g63 +g969 +tp1188 +a(g63 +VCubs +p1189 +tp1190 +a(g826 +V\u000a +p1191 +tp1192 +a(g826 +V +p1193 +tp1194 +a(g711 +g1039 +tp1195 +a(g826 +g969 +tp1196 +a(g63 +VAtlanta +p1197 +tp1198 +a(g63 +g969 +tp1199 +a(g63 +VBraves +p1200 +tp1201 +a(g826 +V\u000a\u000a +p1202 +tp1203 +a(g8 +V# Sequence of mappings +p1204 +tp1205 +a(g826 +V\u000a +p1206 +tp1207 +a(g620 +V--- +p1208 +tp1209 +a(g826 +V\u000a +p1210 +tp1211 +a(g711 +g1039 +tp1212 +a(g826 +V\u000a +p1213 +tp1214 +a(g826 +V +p1215 +tp1216 +a(g557 +Vname +p1217 +tp1218 +a(g705 +g984 +tp1219 +a(g826 +g969 +tp1220 +a(g63 +VMark +p1221 +tp1222 +a(g63 +g969 +tp1223 +a(g63 +VMcGwire +p1224 +tp1225 +a(g826 +V\u000a +p1226 +tp1227 +a(g826 +V +p1228 +tp1229 +a(g557 +Vhr +p1230 +tp1231 +a(g705 +g984 +tp1232 +a(g826 +V +p1233 +tp1234 +a(g63 +V65 +p1235 +tp1236 +a(g826 +V\u000a +p1237 +tp1238 +a(g826 +V +p1239 +tp1240 +a(g557 +Vavg +p1241 +tp1242 +a(g705 +g984 +tp1243 +a(g826 +V +p1244 +tp1245 +a(g63 +V0.278 +p1246 +tp1247 +a(g826 +V\u000a +p1248 +tp1249 +a(g711 +g1039 +tp1250 +a(g826 +V\u000a +p1251 +tp1252 +a(g826 +V +p1253 +tp1254 +a(g557 +Vname +p1255 +tp1256 +a(g705 +g984 +tp1257 +a(g826 +g969 +tp1258 +a(g63 +VSammy +p1259 +tp1260 +a(g63 +g969 +tp1261 +a(g63 +VSosa +p1262 +tp1263 +a(g826 +V\u000a +p1264 +tp1265 +a(g826 +V +p1266 +tp1267 +a(g557 +Vhr +p1268 +tp1269 +a(g705 +g984 +tp1270 +a(g826 +V +p1271 +tp1272 +a(g63 +V63 +p1273 +tp1274 +a(g826 +V\u000a +p1275 +tp1276 +a(g826 +V +p1277 +tp1278 +a(g557 +Vavg +p1279 +tp1280 +a(g705 +g984 +tp1281 +a(g826 +V +p1282 +tp1283 +a(g63 +V0.288 +p1284 +tp1285 +a(g826 +V\u000a\u000a +p1286 +tp1287 +a(g8 +V# Sequence of sequences +p1288 +tp1289 +a(g826 +V\u000a +p1290 +tp1291 +a(g620 +V--- +p1292 +tp1293 +a(g826 +V\u000a +p1294 +tp1295 +a(g711 +g1039 +tp1296 +a(g826 +g969 +tp1297 +a(g711 +V[ +p1298 +tp1299 +a(g440 +Vname +p1300 +tp1301 +a(g826 +V +p1302 +tp1303 +a(g711 +V, +p1304 +tp1305 +a(g826 +g969 +tp1306 +a(g440 +Vhr +p1307 +tp1308 +a(g711 +g1304 +tp1309 +a(g826 +g969 +tp1310 +a(g440 +Vavg +p1311 +tp1312 +a(g826 +V +p1313 +tp1314 +a(g711 +V] +p1315 +tp1316 +a(g826 +V\u000a +p1317 +tp1318 +a(g711 +g1039 +tp1319 +a(g826 +g969 +tp1320 +a(g711 +g1298 +tp1321 +a(g440 +VMark +p1322 +tp1323 +a(g440 +g969 +tp1324 +a(g440 +VMcGwire +p1325 +tp1326 +a(g711 +g1304 +tp1327 +a(g826 +g969 +tp1328 +a(g440 +V65 +p1329 +tp1330 +a(g711 +g1304 +tp1331 +a(g826 +g969 +tp1332 +a(g440 +V0.278 +p1333 +tp1334 +a(g711 +g1315 +tp1335 +a(g826 +V\u000a +p1336 +tp1337 +a(g711 +g1039 +tp1338 +a(g826 +g969 +tp1339 +a(g711 +g1298 +tp1340 +a(g440 +VSammy +p1341 +tp1342 +a(g440 +g969 +tp1343 +a(g440 +VSosa +p1344 +tp1345 +a(g826 +V +p1346 +tp1347 +a(g711 +g1304 +tp1348 +a(g826 +g969 +tp1349 +a(g440 +V63 +p1350 +tp1351 +a(g711 +g1304 +tp1352 +a(g826 +g969 +tp1353 +a(g440 +V0.288 +p1354 +tp1355 +a(g711 +g1315 +tp1356 +a(g826 +V\u000a\u000a +p1357 +tp1358 +a(g8 +V# Mapping of mappings +p1359 +tp1360 +a(g826 +V\u000a +p1361 +tp1362 +a(g620 +V--- +p1363 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g557 +VMark McGwire +p1367 +tp1368 +a(g705 +g984 +tp1369 +a(g826 +g969 +tp1370 +a(g711 +g990 +tp1371 +a(g557 +Vhr +p1372 +tp1373 +a(g705 +g984 +tp1374 +a(g826 +g969 +tp1375 +a(g440 +V65 +p1376 +tp1377 +a(g711 +g1304 +tp1378 +a(g557 +V avg +p1379 +tp1380 +a(g705 +g984 +tp1381 +a(g826 +g969 +tp1382 +a(g440 +V0.278 +p1383 +tp1384 +a(g711 +g1001 +tp1385 +a(g826 +V\u000a +p1386 +tp1387 +a(g557 +VSammy Sosa +p1388 +tp1389 +a(g705 +g984 +tp1390 +a(g826 +g969 +tp1391 +a(g711 +g990 +tp1392 +a(g826 +V\u000a +p1393 +tp1394 +a(g557 +V hr +p1395 +tp1396 +a(g705 +g984 +tp1397 +a(g826 +g969 +tp1398 +a(g440 +V63 +p1399 +tp1400 +a(g711 +g1304 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g557 +V avg +p1404 +tp1405 +a(g705 +g984 +tp1406 +a(g826 +g969 +tp1407 +a(g440 +V0.288 +p1408 +tp1409 +a(g826 +V\u000a +p1410 +tp1411 +a(g826 +V +p1412 +tp1413 +a(g711 +g1001 +tp1414 +a(g826 +V\u000a\u000a +p1415 +tp1416 +a(g8 +V# Two documents in a stream +p1417 +tp1418 +a(g826 +V\u000a +p1419 +tp1420 +a(g620 +V--- +p1421 +tp1422 +a(g826 +g969 +tp1423 +a(g8 +V# Ranking of 1998 home runs +p1424 +tp1425 +a(g826 +V\u000a +p1426 +tp1427 +a(g711 +g1039 +tp1428 +a(g826 +g969 +tp1429 +a(g63 +VMark +p1430 +tp1431 +a(g63 +g969 +tp1432 +a(g63 +VMcGwire +p1433 +tp1434 +a(g826 +V\u000a +p1435 +tp1436 +a(g711 +g1039 +tp1437 +a(g826 +g969 +tp1438 +a(g63 +VSammy +p1439 +tp1440 +a(g63 +g969 +tp1441 +a(g63 +VSosa +p1442 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g711 +g1039 +tp1446 +a(g826 +g969 +tp1447 +a(g63 +VKen +p1448 +tp1449 +a(g63 +g969 +tp1450 +a(g63 +VGriffey +p1451 +tp1452 +a(g826 +V\u000a +p1453 +tp1454 +a(g620 +V--- +p1455 +tp1456 +a(g826 +g969 +tp1457 +a(g8 +V# Team ranking +p1458 +tp1459 +a(g826 +V\u000a +p1460 +tp1461 +a(g711 +g1039 +tp1462 +a(g826 +g969 +tp1463 +a(g63 +VChicago +p1464 +tp1465 +a(g63 +g969 +tp1466 +a(g63 +VCubs +p1467 +tp1468 +a(g826 +V\u000a +p1469 +tp1470 +a(g711 +g1039 +tp1471 +a(g826 +g969 +tp1472 +a(g63 +VSt +p1473 +tp1474 +a(g63 +g969 +tp1475 +a(g63 +VLouis +p1476 +tp1477 +a(g63 +g969 +tp1478 +a(g63 +VCardinals +p1479 +tp1480 +a(g826 +V\u000a\u000a +p1481 +tp1482 +a(g8 +V# Documents with the end indicator +p1483 +tp1484 +a(g826 +V\u000a +p1485 +tp1486 +a(g620 +V--- +p1487 +tp1488 +a(g826 +V\u000a +p1489 +tp1490 +a(g557 +Vtime +p1491 +tp1492 +a(g705 +g984 +tp1493 +a(g826 +g969 +tp1494 +a(g63 +V20:03:20 +p1495 +tp1496 +a(g826 +V\u000a +p1497 +tp1498 +a(g557 +Vplayer +p1499 +tp1500 +a(g705 +g984 +tp1501 +a(g826 +g969 +tp1502 +a(g63 +VSammy +p1503 +tp1504 +a(g63 +g969 +tp1505 +a(g63 +VSosa +p1506 +tp1507 +a(g826 +V\u000a +p1508 +tp1509 +a(g557 +Vaction +p1510 +tp1511 +a(g705 +g984 +tp1512 +a(g826 +g969 +tp1513 +a(g63 +Vstrike +p1514 +tp1515 +a(g63 +g969 +tp1516 +a(g63 +V(miss) +p1517 +tp1518 +a(g826 +V\u000a +p1519 +tp1520 +a(g620 +V... +p1521 +tp1522 +a(g826 +V\u000a +p1523 +tp1524 +a(g620 +V--- +p1525 +tp1526 +a(g826 +V\u000a +p1527 +tp1528 +a(g557 +Vtime +p1529 +tp1530 +a(g705 +g984 +tp1531 +a(g826 +g969 +tp1532 +a(g63 +V20:03:47 +p1533 +tp1534 +a(g826 +V\u000a +p1535 +tp1536 +a(g557 +Vplayer +p1537 +tp1538 +a(g705 +g984 +tp1539 +a(g826 +g969 +tp1540 +a(g63 +VSammy +p1541 +tp1542 +a(g63 +g969 +tp1543 +a(g63 +VSosa +p1544 +tp1545 +a(g826 +V\u000a +p1546 +tp1547 +a(g557 +Vaction +p1548 +tp1549 +a(g705 +g984 +tp1550 +a(g826 +g969 +tp1551 +a(g63 +Vgrand +p1552 +tp1553 +a(g63 +g969 +tp1554 +a(g63 +Vslam +p1555 +tp1556 +a(g826 +V\u000a +p1557 +tp1558 +a(g620 +V... +p1559 +tp1560 +a(g826 +V\u000a\u000a +p1561 +tp1562 +a(g8 +V# Comments +p1563 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g620 +V--- +p1567 +tp1568 +a(g826 +V\u000a +p1569 +tp1570 +a(g557 +Vhr +p1571 +tp1572 +a(g705 +g984 +tp1573 +a(g826 +g969 +tp1574 +a(g8 +V# 1998 hr ranking +p1575 +tp1576 +a(g826 +V\u000a +p1577 +tp1578 +a(g826 +V +p1579 +tp1580 +a(g711 +g1039 +tp1581 +a(g826 +g969 +tp1582 +a(g63 +VMark +p1583 +tp1584 +a(g63 +g969 +tp1585 +a(g63 +VMcGwire +p1586 +tp1587 +a(g826 +V\u000a +p1588 +tp1589 +a(g826 +V +p1590 +tp1591 +a(g711 +g1039 +tp1592 +a(g826 +g969 +tp1593 +a(g63 +VSammy +p1594 +tp1595 +a(g63 +g969 +tp1596 +a(g63 +VSosa +p1597 +tp1598 +a(g826 +V\u000a +p1599 +tp1600 +a(g557 +Vrbi +p1601 +tp1602 +a(g705 +g984 +tp1603 +a(g826 +V\u000a +p1604 +tp1605 +a(g826 +V +p1606 +tp1607 +a(g8 +V# 1998 rbi ranking +p1608 +tp1609 +a(g826 +V\u000a +p1610 +tp1611 +a(g826 +V +p1612 +tp1613 +a(g711 +g1039 +tp1614 +a(g826 +g969 +tp1615 +a(g63 +VSammy +p1616 +tp1617 +a(g63 +g969 +tp1618 +a(g63 +VSosa +p1619 +tp1620 +a(g826 +V\u000a +p1621 +tp1622 +a(g826 +V +p1623 +tp1624 +a(g711 +g1039 +tp1625 +a(g826 +g969 +tp1626 +a(g63 +VKen +p1627 +tp1628 +a(g63 +g969 +tp1629 +a(g63 +VGriffey +p1630 +tp1631 +a(g826 +V\u000a\u000a +p1632 +tp1633 +a(g8 +V# Anchors and aliases +p1634 +tp1635 +a(g826 +V\u000a +p1636 +tp1637 +a(g620 +V--- +p1638 +tp1639 +a(g826 +V\u000a +p1640 +tp1641 +a(g557 +Vhr +p1642 +tp1643 +a(g705 +g984 +tp1644 +a(g826 +V\u000a +p1645 +tp1646 +a(g826 +V +p1647 +tp1648 +a(g711 +g1039 +tp1649 +a(g826 +g969 +tp1650 +a(g63 +VMark +p1651 +tp1652 +a(g63 +g969 +tp1653 +a(g63 +VMcGwire +p1654 +tp1655 +a(g826 +V\u000a +p1656 +tp1657 +a(g826 +V +p1658 +tp1659 +a(g8 +V# Following node labeled SS +p1660 +tp1661 +a(g826 +V\u000a +p1662 +tp1663 +a(g826 +V +p1664 +tp1665 +a(g711 +g1039 +tp1666 +a(g826 +g969 +tp1667 +a(g596 +V&SS +p1668 +tp1669 +a(g826 +g969 +tp1670 +a(g63 +VSammy +p1671 +tp1672 +a(g63 +g969 +tp1673 +a(g63 +VSosa +p1674 +tp1675 +a(g826 +V\u000a +p1676 +tp1677 +a(g557 +Vrbi +p1678 +tp1679 +a(g705 +g984 +tp1680 +a(g826 +V\u000a +p1681 +tp1682 +a(g826 +V +p1683 +tp1684 +a(g711 +g1039 +tp1685 +a(g826 +g969 +tp1686 +a(g440 +V*SS +p1687 +tp1688 +a(g826 +g969 +tp1689 +a(g8 +V# Subsequent occurrence +p1690 +tp1691 +a(g826 +V\u000a +p1692 +tp1693 +a(g826 +V +p1694 +tp1695 +a(g711 +g1039 +tp1696 +a(g826 +g969 +tp1697 +a(g63 +VKen +p1698 +tp1699 +a(g63 +g969 +tp1700 +a(g63 +VGriffey +p1701 +tp1702 +a(g826 +V\u000a\u000a +p1703 +tp1704 +a(g8 +V# Mapping between sequences +p1705 +tp1706 +a(g826 +V\u000a +p1707 +tp1708 +a(g620 +V--- +p1709 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g711 +V? +p1713 +tp1714 +a(g826 +g969 +tp1715 +a(g711 +g1039 +tp1716 +a(g826 +g969 +tp1717 +a(g63 +VDetroit +p1718 +tp1719 +a(g63 +g969 +tp1720 +a(g63 +VTigers +p1721 +tp1722 +a(g826 +V\u000a +p1723 +tp1724 +a(g826 +V +p1725 +tp1726 +a(g711 +g1039 +tp1727 +a(g826 +g969 +tp1728 +a(g63 +VChicago +p1729 +tp1730 +a(g63 +g969 +tp1731 +a(g63 +Vcubs +p1732 +tp1733 +a(g826 +V\u000a +p1734 +tp1735 +a(g711 +g984 +tp1736 +a(g826 +V\u000a +p1737 +tp1738 +a(g826 +V +p1739 +tp1740 +a(g711 +g1039 +tp1741 +a(g826 +g969 +tp1742 +a(g63 +V2001-07-23 +p1743 +tp1744 +a(g826 +V\u000a +p1745 +tp1746 +a(g711 +g1713 +tp1747 +a(g826 +g969 +tp1748 +a(g711 +g1298 +tp1749 +a(g826 +g969 +tp1750 +a(g440 +VNew +p1751 +tp1752 +a(g440 +g969 +tp1753 +a(g440 +VYork +p1754 +tp1755 +a(g440 +g969 +tp1756 +a(g440 +VYankees +p1757 +tp1758 +a(g711 +g1304 +tp1759 +a(g826 +V\u000a +p1760 +tp1761 +a(g826 +V +p1762 +tp1763 +a(g440 +VAtlanta +p1764 +tp1765 +a(g440 +g969 +tp1766 +a(g440 +VBraves +p1767 +tp1768 +a(g826 +g969 +tp1769 +a(g711 +g1315 +tp1770 +a(g826 +V\u000a +p1771 +tp1772 +a(g711 +g984 +tp1773 +a(g826 +g969 +tp1774 +a(g711 +g1298 +tp1775 +a(g826 +g969 +tp1776 +a(g440 +V2001-07-02 +p1777 +tp1778 +a(g711 +g1304 +tp1779 +a(g826 +g969 +tp1780 +a(g440 +V2001-08-12 +p1781 +tp1782 +a(g711 +g1304 +tp1783 +a(g826 +V\u000a +p1784 +tp1785 +a(g826 +V +p1786 +tp1787 +a(g440 +V2001-08-14 +p1788 +tp1789 +a(g826 +g969 +tp1790 +a(g711 +g1315 +tp1791 +a(g826 +V\u000a\u000a +p1792 +tp1793 +a(g8 +V# Inline nested mapping +p1794 +tp1795 +a(g826 +V\u000a +p1796 +tp1797 +a(g620 +V--- +p1798 +tp1799 +a(g826 +V\u000a +p1800 +tp1801 +a(g8 +V# products purchased +p1802 +tp1803 +a(g826 +V\u000a +p1804 +tp1805 +a(g711 +g1039 +tp1806 +a(g826 +g969 +tp1807 +a(g557 +Vitem +p1808 +tp1809 +a(g705 +g984 +tp1810 +a(g826 +g969 +tp1811 +a(g63 +VSuper +p1812 +tp1813 +a(g63 +g969 +tp1814 +a(g63 +VHoop +p1815 +tp1816 +a(g826 +V\u000a +p1817 +tp1818 +a(g826 +V +p1819 +tp1820 +a(g557 +Vquantity +p1821 +tp1822 +a(g705 +g984 +tp1823 +a(g826 +g969 +tp1824 +a(g63 +V1 +p1825 +tp1826 +a(g826 +V\u000a +p1827 +tp1828 +a(g711 +g1039 +tp1829 +a(g826 +g969 +tp1830 +a(g557 +Vitem +p1831 +tp1832 +a(g705 +g984 +tp1833 +a(g826 +g969 +tp1834 +a(g63 +VBasketball +p1835 +tp1836 +a(g826 +V\u000a +p1837 +tp1838 +a(g826 +V +p1839 +tp1840 +a(g557 +Vquantity +p1841 +tp1842 +a(g705 +g984 +tp1843 +a(g826 +g969 +tp1844 +a(g63 +V4 +p1845 +tp1846 +a(g826 +V\u000a +p1847 +tp1848 +a(g711 +g1039 +tp1849 +a(g826 +g969 +tp1850 +a(g557 +Vitem +p1851 +tp1852 +a(g705 +g984 +tp1853 +a(g826 +g969 +tp1854 +a(g63 +VBig +p1855 +tp1856 +a(g63 +g969 +tp1857 +a(g63 +VShoes +p1858 +tp1859 +a(g826 +V\u000a +p1860 +tp1861 +a(g826 +V +p1862 +tp1863 +a(g557 +Vquantity +p1864 +tp1865 +a(g705 +g984 +tp1866 +a(g826 +g969 +tp1867 +a(g63 +g1825 +tp1868 +a(g826 +V\u000a\u000a +p1869 +tp1870 +a(g8 +V# Literal scalars +p1871 +tp1872 +a(g826 +V\u000a +p1873 +tp1874 +a(g620 +V--- +p1875 +tp1876 +a(g826 +g969 +tp1877 +a(g711 +V| +p1878 +tp1879 +a(g826 +g969 +tp1880 +a(g8 +V# ASCII art +p1881 +tp1882 +a(g826 +V\u000a +p1883 +tp1884 +a(g826 +V +p1885 +tp1886 +a(g565 +V\u005c//||\u005c/|| +p1887 +tp1888 +a(g826 +V\u000a +p1889 +tp1890 +a(g826 +V +p1891 +tp1892 +a(g565 +V// || ||__ +p1893 +tp1894 +a(g826 +V\u000a +p1895 +tp1896 +a(g826 +V\u000a +p1897 +tp1898 +a(g8 +V# Folded scalars +p1899 +tp1900 +a(g826 +V\u000a +p1901 +tp1902 +a(g620 +V--- +p1903 +tp1904 +a(g826 +g969 +tp1905 +a(g711 +V> +p1906 +tp1907 +a(g826 +V\u000a +p1908 +tp1909 +a(g826 +V +p1910 +tp1911 +a(g565 +VMark McGwire's +p1912 +tp1913 +a(g826 +V\u000a +p1914 +tp1915 +a(g826 +V +p1916 +tp1917 +a(g565 +Vyear was crippled +p1918 +tp1919 +a(g826 +V\u000a +p1920 +tp1921 +a(g826 +V +p1922 +tp1923 +a(g565 +Vby a knee injury. +p1924 +tp1925 +a(g826 +V\u000a +p1926 +tp1927 +a(g826 +V\u000a +p1928 +tp1929 +a(g8 +V# Preserved indented block in a folded scalar +p1930 +tp1931 +a(g826 +V\u000a +p1932 +tp1933 +a(g620 +V--- +p1934 +tp1935 +a(g826 +V\u000a +p1936 +tp1937 +a(g711 +g1906 +tp1938 +a(g826 +V\u000a +p1939 +tp1940 +a(g826 +g969 +tp1941 +a(g565 +VSammy Sosa completed another +p1942 +tp1943 +a(g826 +V\u000a +p1944 +tp1945 +a(g826 +g969 +tp1946 +a(g565 +Vfine season with great stats. +p1947 +tp1948 +a(g826 +V\u000a +p1949 +tp1950 +a(g826 +V\u000a +p1951 +tp1952 +a(g826 +V +p1953 +tp1954 +a(g565 +V63 Home Runs +p1955 +tp1956 +a(g826 +V\u000a +p1957 +tp1958 +a(g826 +V +p1959 +tp1960 +a(g565 +V0.288 Batting Average +p1961 +tp1962 +a(g826 +V\u000a +p1963 +tp1964 +a(g826 +V\u000a +p1965 +tp1966 +a(g826 +g969 +tp1967 +a(g565 +VWhat a year! +p1968 +tp1969 +a(g826 +V\u000a +p1970 +tp1971 +a(g826 +V\u000a +p1972 +tp1973 +a(g8 +V# Indentation determines scope +p1974 +tp1975 +a(g826 +V\u000a +p1976 +tp1977 +a(g620 +V--- +p1978 +tp1979 +a(g826 +V\u000a +p1980 +tp1981 +a(g557 +Vname +p1982 +tp1983 +a(g705 +g984 +tp1984 +a(g826 +g969 +tp1985 +a(g63 +VMark +p1986 +tp1987 +a(g63 +g969 +tp1988 +a(g63 +VMcGwire +p1989 +tp1990 +a(g826 +V\u000a +p1991 +tp1992 +a(g557 +Vaccomplishment +p1993 +tp1994 +a(g705 +g984 +tp1995 +a(g826 +g969 +tp1996 +a(g711 +g1906 +tp1997 +a(g826 +V\u000a +p1998 +tp1999 +a(g826 +V +p2000 +tp2001 +a(g565 +VMark set a major league +p2002 +tp2003 +a(g826 +V\u000a +p2004 +tp2005 +a(g826 +V +p2006 +tp2007 +a(g565 +Vhome run record in 1998. +p2008 +tp2009 +a(g826 +V\u000a +p2010 +tp2011 +a(g557 +Vstats +p2012 +tp2013 +a(g705 +g984 +tp2014 +a(g826 +g969 +tp2015 +a(g711 +g1878 +tp2016 +a(g826 +V\u000a +p2017 +tp2018 +a(g826 +V +p2019 +tp2020 +a(g565 +V65 Home Runs +p2021 +tp2022 +a(g826 +V\u000a +p2023 +tp2024 +a(g826 +V +p2025 +tp2026 +a(g565 +V0.278 Batting Average +p2027 +tp2028 +a(g826 +V\u000a +p2029 +tp2030 +a(g826 +V\u000a +p2031 +tp2032 +a(g8 +V# Quoted scalars +p2033 +tp2034 +a(g826 +V\u000a +p2035 +tp2036 +a(g620 +V--- +p2037 +tp2038 +a(g826 +V\u000a +p2039 +tp2040 +a(g557 +Vunicode +p2041 +tp2042 +a(g705 +g984 +tp2043 +a(g826 +g969 +tp2044 +a(g89 +V" +p2045 +tp2046 +a(g89 +VSosa +p2047 +tp2048 +a(g440 +g969 +tp2049 +a(g89 +Vdid +p2050 +tp2051 +a(g440 +g969 +tp2052 +a(g89 +Vfine. +p2053 +tp2054 +a(g213 +V\u005cu263A +p2055 +tp2056 +a(g89 +g2045 +tp2057 +a(g826 +V\u000a +p2058 +tp2059 +a(g557 +Vcontrol +p2060 +tp2061 +a(g705 +g984 +tp2062 +a(g826 +g969 +tp2063 +a(g89 +g2045 +tp2064 +a(g89 +V\u005cb +p2065 +tp2066 +a(g89 +V1998 +p2067 +tp2068 +a(g89 +V\u005ct +p2069 +tp2070 +a(g89 +V1999 +p2071 +tp2072 +a(g89 +V\u005ct +p2073 +tp2074 +a(g89 +V2000 +p2075 +tp2076 +a(g89 +V\u005cn +p2077 +tp2078 +a(g89 +g2045 +tp2079 +a(g826 +V\u000a +p2080 +tp2081 +a(g557 +Vhex esc +p2082 +tp2083 +a(g705 +g984 +tp2084 +a(g826 +g969 +tp2085 +a(g89 +g2045 +tp2086 +a(g213 +V\u005cx0d +p2087 +tp2088 +a(g213 +V\u005cx0a +p2089 +tp2090 +a(g440 +g969 +tp2091 +a(g89 +Vis +p2092 +tp2093 +a(g440 +g969 +tp2094 +a(g89 +V\u005cr +p2095 +tp2096 +a(g89 +V\u005cn +p2097 +tp2098 +a(g89 +g2045 +tp2099 +a(g826 +V\u000a +p2100 +tp2101 +a(g557 +Vsingle +p2102 +tp2103 +a(g705 +g984 +tp2104 +a(g826 +g969 +tp2105 +a(g89 +g996 +tp2106 +a(g89 +V"Howdy!" +p2107 +tp2108 +a(g440 +g969 +tp2109 +a(g89 +Vhe +p2110 +tp2111 +a(g440 +g969 +tp2112 +a(g89 +Vcried. +p2113 +tp2114 +a(g89 +g996 +tp2115 +a(g826 +V\u000a +p2116 +tp2117 +a(g557 +Vquoted +p2118 +tp2119 +a(g705 +g984 +tp2120 +a(g826 +g969 +tp2121 +a(g89 +g996 +tp2122 +a(g440 +g969 +tp2123 +a(g89 +g956 +tp2124 +a(g440 +g969 +tp2125 +a(g89 +Vnot +p2126 +tp2127 +a(g440 +g969 +tp2128 +a(g89 +g992 +tp2129 +a(g440 +g969 +tp2130 +a(g213 +V'' +p2131 +tp2132 +a(g89 +Vcomment +p2133 +tp2134 +a(g213 +V'' +p2135 +tp2136 +a(g89 +V. +p2137 +tp2138 +a(g89 +g996 +tp2139 +a(g826 +V\u000a +p2140 +tp2141 +a(g557 +Vtie-fighter +p2142 +tp2143 +a(g705 +g984 +tp2144 +a(g826 +g969 +tp2145 +a(g89 +g996 +tp2146 +a(g89 +V|\u005c-*-/| +p2147 +tp2148 +a(g89 +g996 +tp2149 +a(g826 +V\u000a\u000a +p2150 +tp2151 +a(g8 +V# Multi-line flow scalars +p2152 +tp2153 +a(g826 +V\u000a +p2154 +tp2155 +a(g620 +V--- +p2156 +tp2157 +a(g826 +V\u000a +p2158 +tp2159 +a(g557 +Vplain +p2160 +tp2161 +a(g705 +g984 +tp2162 +a(g826 +V\u000a +p2163 +tp2164 +a(g826 +V +p2165 +tp2166 +a(g63 +VThis +p2167 +tp2168 +a(g63 +g969 +tp2169 +a(g63 +Vunquoted +p2170 +tp2171 +a(g63 +g969 +tp2172 +a(g63 +Vscalar +p2173 +tp2174 +a(g826 +V\u000a +p2175 +tp2176 +a(g826 +V +p2177 +tp2178 +a(g63 +Vspans +p2179 +tp2180 +a(g63 +g969 +tp2181 +a(g63 +Vmany +p2182 +tp2183 +a(g63 +g969 +tp2184 +a(g63 +Vlines. +p2185 +tp2186 +a(g826 +V\u000a +p2187 +tp2188 +a(g557 +Vquoted +p2189 +tp2190 +a(g705 +g984 +tp2191 +a(g826 +g969 +tp2192 +a(g89 +g2045 +tp2193 +a(g89 +VSo +p2194 +tp2195 +a(g440 +g969 +tp2196 +a(g89 +Vdoes +p2197 +tp2198 +a(g440 +g969 +tp2199 +a(g89 +Vthis +p2200 +tp2201 +a(g826 +V\u000a +p2202 +tp2203 +a(g826 +V +p2204 +tp2205 +a(g89 +Vquoted +p2206 +tp2207 +a(g440 +g969 +tp2208 +a(g89 +Vscalar. +p2209 +tp2210 +a(g89 +V\u005cn +p2211 +tp2212 +a(g89 +g2045 +tp2213 +a(g826 +V\u000a\u000a +p2214 +tp2215 +a(g8 +V# Integers +p2216 +tp2217 +a(g826 +V\u000a +p2218 +tp2219 +a(g620 +V--- +p2220 +tp2221 +a(g826 +V\u000a +p2222 +tp2223 +a(g557 +Vcanonical +p2224 +tp2225 +a(g705 +g984 +tp2226 +a(g826 +g969 +tp2227 +a(g63 +V12345 +p2228 +tp2229 +a(g826 +V\u000a +p2230 +tp2231 +a(g557 +Vdecimal +p2232 +tp2233 +a(g705 +g984 +tp2234 +a(g826 +g969 +tp2235 +a(g63 +V+12_345 +p2236 +tp2237 +a(g826 +V\u000a +p2238 +tp2239 +a(g557 +Vsexagesimal +p2240 +tp2241 +a(g705 +g984 +tp2242 +a(g826 +g969 +tp2243 +a(g63 +V3:25:45 +p2244 +tp2245 +a(g826 +V\u000a +p2246 +tp2247 +a(g557 +Voctal +p2248 +tp2249 +a(g705 +g984 +tp2250 +a(g826 +g969 +tp2251 +a(g63 +V014 +p2252 +tp2253 +a(g826 +V\u000a +p2254 +tp2255 +a(g557 +Vhexadecimal +p2256 +tp2257 +a(g705 +g984 +tp2258 +a(g826 +g969 +tp2259 +a(g63 +V0xC +p2260 +tp2261 +a(g826 +V\u000a\u000a +p2262 +tp2263 +a(g8 +V# Floating point +p2264 +tp2265 +a(g826 +V\u000a +p2266 +tp2267 +a(g620 +V--- +p2268 +tp2269 +a(g826 +V\u000a +p2270 +tp2271 +a(g557 +Vcanonical +p2272 +tp2273 +a(g705 +g984 +tp2274 +a(g826 +g969 +tp2275 +a(g63 +V1.23015e+3 +p2276 +tp2277 +a(g826 +V\u000a +p2278 +tp2279 +a(g557 +Vexponential +p2280 +tp2281 +a(g705 +g984 +tp2282 +a(g826 +g969 +tp2283 +a(g63 +V12.3015e+02 +p2284 +tp2285 +a(g826 +V\u000a +p2286 +tp2287 +a(g557 +Vsexagesimal +p2288 +tp2289 +a(g705 +g984 +tp2290 +a(g826 +g969 +tp2291 +a(g63 +V20:30.15 +p2292 +tp2293 +a(g826 +V\u000a +p2294 +tp2295 +a(g557 +Vfixed +p2296 +tp2297 +a(g705 +g984 +tp2298 +a(g826 +g969 +tp2299 +a(g63 +V1_230.15 +p2300 +tp2301 +a(g826 +V\u000a +p2302 +tp2303 +a(g557 +Vnegative infinity +p2304 +tp2305 +a(g705 +g984 +tp2306 +a(g826 +g969 +tp2307 +a(g63 +V-.inf +p2308 +tp2309 +a(g826 +V\u000a +p2310 +tp2311 +a(g557 +Vnot a number +p2312 +tp2313 +a(g705 +g984 +tp2314 +a(g826 +g969 +tp2315 +a(g63 +V.NaN +p2316 +tp2317 +a(g826 +V\u000a\u000a +p2318 +tp2319 +a(g8 +V# Miscellaneous +p2320 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g620 +V--- +p2324 +tp2325 +a(g826 +V\u000a +p2326 +tp2327 +a(g557 +Vnull +p2328 +tp2329 +a(g705 +g984 +tp2330 +a(g826 +g969 +tp2331 +a(g63 +V~ +p2332 +tp2333 +a(g826 +V\u000a +p2334 +tp2335 +a(g557 +Vtrue +p2336 +tp2337 +a(g705 +g984 +tp2338 +a(g826 +g969 +tp2339 +a(g63 +Vboolean +p2340 +tp2341 +a(g826 +V\u000a +p2342 +tp2343 +a(g557 +Vfalse +p2344 +tp2345 +a(g705 +g984 +tp2346 +a(g826 +g969 +tp2347 +a(g63 +Vboolean +p2348 +tp2349 +a(g826 +V\u000a +p2350 +tp2351 +a(g557 +Vstring +p2352 +tp2353 +a(g705 +g984 +tp2354 +a(g826 +g969 +tp2355 +a(g89 +g996 +tp2356 +a(g89 +V12345 +p2357 +tp2358 +a(g89 +g996 +tp2359 +a(g826 +V\u000a\u000a +p2360 +tp2361 +a(g8 +V# Timestamps +p2362 +tp2363 +a(g826 +V\u000a +p2364 +tp2365 +a(g620 +V--- +p2366 +tp2367 +a(g826 +V\u000a +p2368 +tp2369 +a(g557 +Vcanonical +p2370 +tp2371 +a(g705 +g984 +tp2372 +a(g826 +g969 +tp2373 +a(g63 +V2001-12-15T02:59:43.1Z +p2374 +tp2375 +a(g826 +V\u000a +p2376 +tp2377 +a(g557 +Viso8601 +p2378 +tp2379 +a(g705 +g984 +tp2380 +a(g826 +g969 +tp2381 +a(g63 +V2001-12-14t21:59:43.10-05:00 +p2382 +tp2383 +a(g826 +V\u000a +p2384 +tp2385 +a(g557 +Vspaced +p2386 +tp2387 +a(g705 +g984 +tp2388 +a(g826 +g969 +tp2389 +a(g63 +V2001-12-14 +p2390 +tp2391 +a(g63 +g969 +tp2392 +a(g63 +V21:59:43.10 +p2393 +tp2394 +a(g63 +g969 +tp2395 +a(g63 +V-5 +p2396 +tp2397 +a(g826 +V\u000a +p2398 +tp2399 +a(g557 +Vdate +p2400 +tp2401 +a(g705 +g984 +tp2402 +a(g826 +g969 +tp2403 +a(g63 +V2002-12-14 +p2404 +tp2405 +a(g826 +V\u000a\u000a +p2406 +tp2407 +a(g8 +V# Various explicit tags +p2408 +tp2409 +a(g826 +V\u000a +p2410 +tp2411 +a(g620 +V--- +p2412 +tp2413 +a(g826 +V\u000a +p2414 +tp2415 +a(g557 +Vnot-date +p2416 +tp2417 +a(g705 +g984 +tp2418 +a(g826 +g969 +tp2419 +a(g748 +V!!str +p2420 +tp2421 +a(g826 +g969 +tp2422 +a(g63 +V2002-04-28 +p2423 +tp2424 +a(g826 +V\u000a +p2425 +tp2426 +a(g557 +Vpicture +p2427 +tp2428 +a(g705 +g984 +tp2429 +a(g826 +g969 +tp2430 +a(g748 +V!!binary +p2431 +tp2432 +a(g826 +g969 +tp2433 +a(g711 +g1878 +tp2434 +a(g826 +V\u000a +p2435 +tp2436 +a(g826 +g969 +tp2437 +a(g565 +VR0lGODlhDAAMAIQAAP//9/X +p2438 +tp2439 +a(g826 +V\u000a +p2440 +tp2441 +a(g826 +g969 +tp2442 +a(g565 +V17unp5WZmZgAAAOfn515eXv +p2443 +tp2444 +a(g826 +V\u000a +p2445 +tp2446 +a(g826 +g969 +tp2447 +a(g565 +VPz7Y6OjuDg4J+fn5OTk6enp +p2448 +tp2449 +a(g826 +V\u000a +p2450 +tp2451 +a(g826 +g969 +tp2452 +a(g565 +V56enmleECcgggoBADs= +p2453 +tp2454 +a(g826 +V\u000a +p2455 +tp2456 +a(g557 +Vapplication specific tag +p2457 +tp2458 +a(g705 +g984 +tp2459 +a(g826 +g969 +tp2460 +a(g748 +V!something +p2461 +tp2462 +a(g826 +g969 +tp2463 +a(g711 +g1878 +tp2464 +a(g826 +V\u000a +p2465 +tp2466 +a(g826 +g969 +tp2467 +a(g565 +VThe semantics of the tag +p2468 +tp2469 +a(g826 +V\u000a +p2470 +tp2471 +a(g826 +g969 +tp2472 +a(g565 +Vabove may be different for +p2473 +tp2474 +a(g826 +V\u000a +p2475 +tp2476 +a(g826 +g969 +tp2477 +a(g565 +Vdifferent documents. +p2478 +tp2479 +a(g826 +V\u000a +p2480 +tp2481 +a(g826 +V\u000a +p2482 +tp2483 +a(g8 +V# Global tags +p2484 +tp2485 +a(g826 +V\u000a +p2486 +tp2487 +a(g557 +V%TAG +p2488 +tp2489 +a(g826 +g969 +tp2490 +a(g748 +g971 +tp2491 +a(g826 +g969 +tp2492 +a(g748 +Vtag:clarkevans.com,2002: +p2493 +tp2494 +a(g826 +V\u000a +p2495 +tp2496 +a(g620 +V--- +p2497 +tp2498 +a(g826 +g969 +tp2499 +a(g748 +V!shape +p2500 +tp2501 +a(g826 +V\u000a +p2502 +tp2503 +a(g826 +V +p2504 +tp2505 +a(g8 +V# Use the ! handle for presenting +p2506 +tp2507 +a(g826 +V\u000a +p2508 +tp2509 +a(g826 +V +p2510 +tp2511 +a(g8 +V# tag:clarkevans.com,2002:circle +p2512 +tp2513 +a(g826 +V\u000a +p2514 +tp2515 +a(g711 +g1039 +tp2516 +a(g826 +g969 +tp2517 +a(g748 +V!circle +p2518 +tp2519 +a(g826 +V\u000a +p2520 +tp2521 +a(g826 +V +p2522 +tp2523 +a(g557 +Vcenter +p2524 +tp2525 +a(g705 +g984 +tp2526 +a(g826 +g969 +tp2527 +a(g596 +V&ORIGIN +p2528 +tp2529 +a(g826 +g969 +tp2530 +a(g711 +g990 +tp2531 +a(g557 +Vx +p2532 +tp2533 +a(g705 +g984 +tp2534 +a(g826 +g969 +tp2535 +a(g440 +V73 +p2536 +tp2537 +a(g711 +g1304 +tp2538 +a(g557 +V y +p2539 +tp2540 +a(g705 +g984 +tp2541 +a(g826 +g969 +tp2542 +a(g440 +V129 +p2543 +tp2544 +a(g711 +g1001 +tp2545 +a(g826 +V\u000a +p2546 +tp2547 +a(g826 +V +p2548 +tp2549 +a(g557 +Vradius +p2550 +tp2551 +a(g705 +g984 +tp2552 +a(g826 +g969 +tp2553 +a(g63 +V7 +p2554 +tp2555 +a(g826 +V\u000a +p2556 +tp2557 +a(g711 +g1039 +tp2558 +a(g826 +g969 +tp2559 +a(g748 +V!line +p2560 +tp2561 +a(g826 +V\u000a +p2562 +tp2563 +a(g826 +V +p2564 +tp2565 +a(g557 +Vstart +p2566 +tp2567 +a(g705 +g984 +tp2568 +a(g826 +g969 +tp2569 +a(g440 +V*ORIGIN +p2570 +tp2571 +a(g826 +V\u000a +p2572 +tp2573 +a(g826 +V +p2574 +tp2575 +a(g557 +Vfinish +p2576 +tp2577 +a(g705 +g984 +tp2578 +a(g826 +g969 +tp2579 +a(g711 +g990 +tp2580 +a(g557 +V x +p2581 +tp2582 +a(g705 +g984 +tp2583 +a(g826 +g969 +tp2584 +a(g440 +V89 +p2585 +tp2586 +a(g711 +g1304 +tp2587 +a(g557 +V y +p2588 +tp2589 +a(g705 +g984 +tp2590 +a(g826 +g969 +tp2591 +a(g440 +V102 +p2592 +tp2593 +a(g826 +g969 +tp2594 +a(g711 +g1001 +tp2595 +a(g826 +V\u000a +p2596 +tp2597 +a(g711 +g1039 +tp2598 +a(g826 +g969 +tp2599 +a(g748 +V!label +p2600 +tp2601 +a(g826 +V\u000a +p2602 +tp2603 +a(g826 +V +p2604 +tp2605 +a(g557 +Vstart +p2606 +tp2607 +a(g705 +g984 +tp2608 +a(g826 +g969 +tp2609 +a(g440 +V*ORIGIN +p2610 +tp2611 +a(g826 +V\u000a +p2612 +tp2613 +a(g826 +V +p2614 +tp2615 +a(g557 +Vcolor +p2616 +tp2617 +a(g705 +g984 +tp2618 +a(g826 +g969 +tp2619 +a(g63 +V0xFFEEBB +p2620 +tp2621 +a(g826 +V\u000a +p2622 +tp2623 +a(g826 +V +p2624 +tp2625 +a(g557 +Vtext +p2626 +tp2627 +a(g705 +g984 +tp2628 +a(g826 +g969 +tp2629 +a(g63 +VPretty +p2630 +tp2631 +a(g63 +g969 +tp2632 +a(g63 +Vvector +p2633 +tp2634 +a(g63 +g969 +tp2635 +a(g63 +Vdrawing. +p2636 +tp2637 +a(g826 +V\u000a\u000a +p2638 +tp2639 +a(g8 +V# Unordered sets +p2640 +tp2641 +a(g826 +V\u000a +p2642 +tp2643 +a(g620 +V--- +p2644 +tp2645 +a(g826 +g969 +tp2646 +a(g748 +V!!set +p2647 +tp2648 +a(g826 +V\u000a +p2649 +tp2650 +a(g8 +V# sets are represented as a +p2651 +tp2652 +a(g826 +V\u000a +p2653 +tp2654 +a(g8 +V# mapping where each key is +p2655 +tp2656 +a(g826 +V\u000a +p2657 +tp2658 +a(g8 +V# associated with the empty string +p2659 +tp2660 +a(g826 +V\u000a +p2661 +tp2662 +a(g711 +g1713 +tp2663 +a(g826 +g969 +tp2664 +a(g63 +VMark +p2665 +tp2666 +a(g63 +g969 +tp2667 +a(g63 +VMcGwire +p2668 +tp2669 +a(g826 +V\u000a +p2670 +tp2671 +a(g711 +g1713 +tp2672 +a(g826 +g969 +tp2673 +a(g63 +VSammy +p2674 +tp2675 +a(g63 +g969 +tp2676 +a(g63 +VSosa +p2677 +tp2678 +a(g826 +V\u000a +p2679 +tp2680 +a(g711 +g1713 +tp2681 +a(g826 +g969 +tp2682 +a(g63 +VKen +p2683 +tp2684 +a(g63 +g969 +tp2685 +a(g63 +VGriff +p2686 +tp2687 +a(g826 +V\u000a\u000a +p2688 +tp2689 +a(g8 +V# Ordered mappings +p2690 +tp2691 +a(g826 +V\u000a +p2692 +tp2693 +a(g620 +V--- +p2694 +tp2695 +a(g826 +g969 +tp2696 +a(g748 +V!!omap +p2697 +tp2698 +a(g826 +V\u000a +p2699 +tp2700 +a(g8 +V# ordered maps are represented as +p2701 +tp2702 +a(g826 +V\u000a +p2703 +tp2704 +a(g8 +V# a sequence of mappings, with +p2705 +tp2706 +a(g826 +V\u000a +p2707 +tp2708 +a(g8 +V# each mapping having one key +p2709 +tp2710 +a(g826 +V\u000a +p2711 +tp2712 +a(g711 +g1039 +tp2713 +a(g826 +g969 +tp2714 +a(g557 +VMark McGwire +p2715 +tp2716 +a(g705 +g984 +tp2717 +a(g826 +g969 +tp2718 +a(g63 +V65 +p2719 +tp2720 +a(g826 +V\u000a +p2721 +tp2722 +a(g711 +g1039 +tp2723 +a(g826 +g969 +tp2724 +a(g557 +VSammy Sosa +p2725 +tp2726 +a(g705 +g984 +tp2727 +a(g826 +g969 +tp2728 +a(g63 +V63 +p2729 +tp2730 +a(g826 +V\u000a +p2731 +tp2732 +a(g711 +g1039 +tp2733 +a(g826 +g969 +tp2734 +a(g557 +VKen Griffy +p2735 +tp2736 +a(g705 +g984 +tp2737 +a(g826 +g969 +tp2738 +a(g63 +V58 +p2739 +tp2740 +a(g826 +V\u000a\u000a +p2741 +tp2742 +a(g8 +V# Full length example +p2743 +tp2744 +a(g826 +V\u000a +p2745 +tp2746 +a(g620 +V--- +p2747 +tp2748 +a(g826 +g969 +tp2749 +a(g748 +V! +p2750 +tp2751 +a(g826 +V\u000a +p2752 +tp2753 +a(g557 +Vinvoice +p2754 +tp2755 +a(g705 +g984 +tp2756 +a(g826 +g969 +tp2757 +a(g63 +V34843 +p2758 +tp2759 +a(g826 +V\u000a +p2760 +tp2761 +a(g557 +Vdate +p2762 +tp2763 +a(g705 +g984 +tp2764 +a(g826 +g969 +tp2765 +a(g63 +V2001-01-23 +p2766 +tp2767 +a(g826 +V\u000a +p2768 +tp2769 +a(g557 +Vbill-to +p2770 +tp2771 +a(g705 +g984 +tp2772 +a(g826 +g969 +tp2773 +a(g596 +V&id001 +p2774 +tp2775 +a(g826 +V\u000a +p2776 +tp2777 +a(g826 +V +p2778 +tp2779 +a(g557 +Vgiven +p2780 +tp2781 +a(g705 +g984 +tp2782 +a(g826 +g969 +tp2783 +a(g63 +VChris +p2784 +tp2785 +a(g826 +V\u000a +p2786 +tp2787 +a(g826 +V +p2788 +tp2789 +a(g557 +Vfamily +p2790 +tp2791 +a(g705 +g984 +tp2792 +a(g826 +g969 +tp2793 +a(g63 +VDumars +p2794 +tp2795 +a(g826 +V\u000a +p2796 +tp2797 +a(g826 +V +p2798 +tp2799 +a(g557 +Vaddress +p2800 +tp2801 +a(g705 +g984 +tp2802 +a(g826 +V\u000a +p2803 +tp2804 +a(g826 +V +p2805 +tp2806 +a(g557 +Vlines +p2807 +tp2808 +a(g705 +g984 +tp2809 +a(g826 +g969 +tp2810 +a(g711 +g1878 +tp2811 +a(g826 +V\u000a +p2812 +tp2813 +a(g826 +V +p2814 +tp2815 +a(g565 +V458 Walkman Dr. +p2816 +tp2817 +a(g826 +V\u000a +p2818 +tp2819 +a(g826 +V +p2820 +tp2821 +a(g565 +VSuite #292 +p2822 +tp2823 +a(g826 +V\u000a +p2824 +tp2825 +a(g826 +V +p2826 +tp2827 +a(g557 +Vcity +p2828 +tp2829 +a(g705 +g984 +tp2830 +a(g826 +g969 +tp2831 +a(g63 +VRoyal +p2832 +tp2833 +a(g63 +g969 +tp2834 +a(g63 +VOak +p2835 +tp2836 +a(g826 +V\u000a +p2837 +tp2838 +a(g826 +V +p2839 +tp2840 +a(g557 +Vstate +p2841 +tp2842 +a(g705 +g984 +tp2843 +a(g826 +g969 +tp2844 +a(g63 +VMI +p2845 +tp2846 +a(g826 +V\u000a +p2847 +tp2848 +a(g826 +V +p2849 +tp2850 +a(g557 +Vpostal +p2851 +tp2852 +a(g705 +g984 +tp2853 +a(g826 +g969 +tp2854 +a(g63 +V48046 +p2855 +tp2856 +a(g826 +V\u000a +p2857 +tp2858 +a(g557 +Vship-to +p2859 +tp2860 +a(g705 +g984 +tp2861 +a(g826 +g969 +tp2862 +a(g440 +V*id001 +p2863 +tp2864 +a(g826 +V\u000a +p2865 +tp2866 +a(g557 +Vproduct +p2867 +tp2868 +a(g705 +g984 +tp2869 +a(g826 +V\u000a +p2870 +tp2871 +a(g826 +V +p2872 +tp2873 +a(g711 +g1039 +tp2874 +a(g826 +g969 +tp2875 +a(g557 +Vsku +p2876 +tp2877 +a(g705 +g984 +tp2878 +a(g826 +g969 +tp2879 +a(g63 +VBL394D +p2880 +tp2881 +a(g826 +V\u000a +p2882 +tp2883 +a(g826 +V +p2884 +tp2885 +a(g557 +Vquantity +p2886 +tp2887 +a(g705 +g984 +tp2888 +a(g826 +g969 +tp2889 +a(g63 +g1845 +tp2890 +a(g826 +V\u000a +p2891 +tp2892 +a(g826 +V +p2893 +tp2894 +a(g557 +Vdescription +p2895 +tp2896 +a(g705 +g984 +tp2897 +a(g826 +g969 +tp2898 +a(g63 +VBasketball +p2899 +tp2900 +a(g826 +V\u000a +p2901 +tp2902 +a(g826 +V +p2903 +tp2904 +a(g557 +Vprice +p2905 +tp2906 +a(g705 +g984 +tp2907 +a(g826 +g969 +tp2908 +a(g63 +V450.00 +p2909 +tp2910 +a(g826 +V\u000a +p2911 +tp2912 +a(g826 +V +p2913 +tp2914 +a(g711 +g1039 +tp2915 +a(g826 +g969 +tp2916 +a(g557 +Vsku +p2917 +tp2918 +a(g705 +g984 +tp2919 +a(g826 +g969 +tp2920 +a(g63 +VBL4438H +p2921 +tp2922 +a(g826 +V\u000a +p2923 +tp2924 +a(g826 +V +p2925 +tp2926 +a(g557 +Vquantity +p2927 +tp2928 +a(g705 +g984 +tp2929 +a(g826 +g969 +tp2930 +a(g63 +g1825 +tp2931 +a(g826 +V\u000a +p2932 +tp2933 +a(g826 +V +p2934 +tp2935 +a(g557 +Vdescription +p2936 +tp2937 +a(g705 +g984 +tp2938 +a(g826 +g969 +tp2939 +a(g63 +VSuper +p2940 +tp2941 +a(g63 +g969 +tp2942 +a(g63 +VHoop +p2943 +tp2944 +a(g826 +V\u000a +p2945 +tp2946 +a(g826 +V +p2947 +tp2948 +a(g557 +Vprice +p2949 +tp2950 +a(g705 +g984 +tp2951 +a(g826 +g969 +tp2952 +a(g63 +V2392.00 +p2953 +tp2954 +a(g826 +V\u000a +p2955 +tp2956 +a(g557 +Vtax +p2957 +tp2958 +a(g705 +g984 +tp2959 +a(g826 +g969 +tp2960 +a(g63 +V251.42 +p2961 +tp2962 +a(g826 +V\u000a +p2963 +tp2964 +a(g557 +Vtotal +p2965 +tp2966 +a(g705 +g984 +tp2967 +a(g826 +g969 +tp2968 +a(g63 +V4443.52 +p2969 +tp2970 +a(g826 +V\u000a +p2971 +tp2972 +a(g557 +Vcomments +p2973 +tp2974 +a(g705 +g984 +tp2975 +a(g826 +V\u000a +p2976 +tp2977 +a(g826 +V +p2978 +tp2979 +a(g63 +VLate +p2980 +tp2981 +a(g63 +g969 +tp2982 +a(g63 +Vafternoon +p2983 +tp2984 +a(g63 +g969 +tp2985 +a(g63 +Vis +p2986 +tp2987 +a(g63 +g969 +tp2988 +a(g63 +Vbest. +p2989 +tp2990 +a(g826 +V\u000a +p2991 +tp2992 +a(g826 +V +p2993 +tp2994 +a(g63 +VBackup +p2995 +tp2996 +a(g63 +g969 +tp2997 +a(g63 +Vcontact +p2998 +tp2999 +a(g63 +g969 +tp3000 +a(g63 +Vis +p3001 +tp3002 +a(g63 +g969 +tp3003 +a(g63 +VNancy +p3004 +tp3005 +a(g826 +V\u000a +p3006 +tp3007 +a(g826 +V +p3008 +tp3009 +a(g63 +VBillsmer +p3010 +tp3011 +a(g63 +g969 +tp3012 +a(g63 +V@ +p3013 +tp3014 +a(g63 +g969 +tp3015 +a(g63 +V338-4338. +p3016 +tp3017 +a(g826 +V\u000a\u000a +p3018 +tp3019 +a(g8 +V# Another full-length example +p3020 +tp3021 +a(g826 +V\u000a +p3022 +tp3023 +a(g620 +V--- +p3024 +tp3025 +a(g826 +V\u000a +p3026 +tp3027 +a(g557 +VTime +p3028 +tp3029 +a(g705 +g984 +tp3030 +a(g826 +g969 +tp3031 +a(g63 +V2001-11-23 +p3032 +tp3033 +a(g63 +g969 +tp3034 +a(g63 +V15:01:42 +p3035 +tp3036 +a(g63 +g969 +tp3037 +a(g63 +V-5 +p3038 +tp3039 +a(g826 +V\u000a +p3040 +tp3041 +a(g557 +VUser +p3042 +tp3043 +a(g705 +g984 +tp3044 +a(g826 +g969 +tp3045 +a(g63 +Ved +p3046 +tp3047 +a(g826 +V\u000a +p3048 +tp3049 +a(g557 +VWarning +p3050 +tp3051 +a(g705 +g984 +tp3052 +a(g826 +V\u000a +p3053 +tp3054 +a(g826 +V +p3055 +tp3056 +a(g63 +VThis +p3057 +tp3058 +a(g63 +g969 +tp3059 +a(g63 +Vis +p3060 +tp3061 +a(g63 +g969 +tp3062 +a(g63 +Van +p3063 +tp3064 +a(g63 +g969 +tp3065 +a(g63 +Verror +p3066 +tp3067 +a(g63 +g969 +tp3068 +a(g63 +Vmessage +p3069 +tp3070 +a(g826 +V\u000a +p3071 +tp3072 +a(g826 +V +p3073 +tp3074 +a(g63 +Vfor +p3075 +tp3076 +a(g63 +g969 +tp3077 +a(g63 +Vthe +p3078 +tp3079 +a(g63 +g969 +tp3080 +a(g63 +Vlog +p3081 +tp3082 +a(g63 +g969 +tp3083 +a(g63 +Vfile +p3084 +tp3085 +a(g826 +V\u000a +p3086 +tp3087 +a(g620 +V--- +p3088 +tp3089 +a(g826 +V\u000a +p3090 +tp3091 +a(g557 +VTime +p3092 +tp3093 +a(g705 +g984 +tp3094 +a(g826 +g969 +tp3095 +a(g63 +V2001-11-23 +p3096 +tp3097 +a(g63 +g969 +tp3098 +a(g63 +V15:02:31 +p3099 +tp3100 +a(g63 +g969 +tp3101 +a(g63 +V-5 +p3102 +tp3103 +a(g826 +V\u000a +p3104 +tp3105 +a(g557 +VUser +p3106 +tp3107 +a(g705 +g984 +tp3108 +a(g826 +g969 +tp3109 +a(g63 +Ved +p3110 +tp3111 +a(g826 +V\u000a +p3112 +tp3113 +a(g557 +VWarning +p3114 +tp3115 +a(g705 +g984 +tp3116 +a(g826 +V\u000a +p3117 +tp3118 +a(g826 +V +p3119 +tp3120 +a(g63 +VA +p3121 +tp3122 +a(g63 +g969 +tp3123 +a(g63 +Vslightly +p3124 +tp3125 +a(g63 +g969 +tp3126 +a(g63 +Vdifferent +p3127 +tp3128 +a(g63 +g969 +tp3129 +a(g63 +Verror +p3130 +tp3131 +a(g826 +V\u000a +p3132 +tp3133 +a(g826 +V +p3134 +tp3135 +a(g63 +Vmessage. +p3136 +tp3137 +a(g826 +V\u000a +p3138 +tp3139 +a(g620 +V--- +p3140 +tp3141 +a(g826 +V\u000a +p3142 +tp3143 +a(g557 +VDate +p3144 +tp3145 +a(g705 +g984 +tp3146 +a(g826 +g969 +tp3147 +a(g63 +V2001-11-23 +p3148 +tp3149 +a(g63 +g969 +tp3150 +a(g63 +V15:03:17 +p3151 +tp3152 +a(g63 +g969 +tp3153 +a(g63 +V-5 +p3154 +tp3155 +a(g826 +V\u000a +p3156 +tp3157 +a(g557 +VUser +p3158 +tp3159 +a(g705 +g984 +tp3160 +a(g826 +g969 +tp3161 +a(g63 +Ved +p3162 +tp3163 +a(g826 +V\u000a +p3164 +tp3165 +a(g557 +VFatal +p3166 +tp3167 +a(g705 +g984 +tp3168 +a(g826 +V\u000a +p3169 +tp3170 +a(g826 +V +p3171 +tp3172 +a(g63 +VUnknown +p3173 +tp3174 +a(g63 +g969 +tp3175 +a(g63 +Vvariable +p3176 +tp3177 +a(g63 +g969 +tp3178 +a(g63 +V"bar" +p3179 +tp3180 +a(g826 +V\u000a +p3181 +tp3182 +a(g557 +VStack +p3183 +tp3184 +a(g705 +g984 +tp3185 +a(g826 +V\u000a +p3186 +tp3187 +a(g826 +V +p3188 +tp3189 +a(g711 +g1039 +tp3190 +a(g826 +g969 +tp3191 +a(g557 +Vfile +p3192 +tp3193 +a(g705 +g984 +tp3194 +a(g826 +g969 +tp3195 +a(g63 +VTopClass.py +p3196 +tp3197 +a(g826 +V\u000a +p3198 +tp3199 +a(g826 +V +p3200 +tp3201 +a(g557 +Vline +p3202 +tp3203 +a(g705 +g984 +tp3204 +a(g826 +g969 +tp3205 +a(g63 +V23 +p3206 +tp3207 +a(g826 +V\u000a +p3208 +tp3209 +a(g826 +V +p3210 +tp3211 +a(g557 +Vcode +p3212 +tp3213 +a(g705 +g984 +tp3214 +a(g826 +g969 +tp3215 +a(g711 +g1878 +tp3216 +a(g826 +V\u000a +p3217 +tp3218 +a(g826 +V +p3219 +tp3220 +a(g565 +Vx = MoreObject("345\u005cn") +p3221 +tp3222 +a(g826 +V\u000a +p3223 +tp3224 +a(g826 +V +p3225 +tp3226 +a(g711 +g1039 +tp3227 +a(g826 +g969 +tp3228 +a(g557 +Vfile +p3229 +tp3230 +a(g705 +g984 +tp3231 +a(g826 +g969 +tp3232 +a(g63 +VMoreClass.py +p3233 +tp3234 +a(g826 +V\u000a +p3235 +tp3236 +a(g826 +V +p3237 +tp3238 +a(g557 +Vline +p3239 +tp3240 +a(g705 +g984 +tp3241 +a(g826 +g969 +tp3242 +a(g63 +V58 +p3243 +tp3244 +a(g826 +V\u000a +p3245 +tp3246 +a(g826 +V +p3247 +tp3248 +a(g557 +Vcode +p3249 +tp3250 +a(g705 +g984 +tp3251 +a(g826 +g969 +tp3252 +a(g711 +g1878 +tp3253 +a(g711 +g1039 +tp3254 +a(g826 +V\u000a +p3255 +tp3256 +a(g826 +V +p3257 +tp3258 +a(g565 +Vfoo = bar +p3259 +tp3260 +a(g826 +V\u000a +p3261 +tp3262 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example1.cadl b/tests/examplefiles/output/example1.cadl new file mode 100644 index 0000000..652181d --- /dev/null +++ b/tests/examplefiles/output/example1.cadl @@ -0,0 +1,5767 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g725 +V-- +p958 +tp959 +a(g7 +V\u000a +p960 +tp961 +a(g7 +g956 +tp962 +a(g725 +V-- Example fragment of an openEHR Archetype, written in cADL, a subsyntax of the Archetype Definition Language (ADL) +p963 +tp964 +a(g7 +V\u000a +p965 +tp966 +a(g7 +g956 +tp967 +a(g725 +V-- definition available here: http://www.openehr.org/releases/trunk/architecture/am/adl2.pdf +p968 +tp969 +a(g7 +V\u000a +p970 +tp971 +a(g7 +g956 +tp972 +a(g725 +V-- Author: Thomas Beale +p973 +tp974 +a(g7 +V\u000a +p975 +tp976 +a(g7 +g956 +tp977 +a(g725 +V-- +p978 +tp979 +a(g7 +V\u000a +p980 +tp981 +a(g7 +V\u000a +p982 +tp983 +a(g7 +g956 +tp984 +a(g632 +VEVALUATION +p985 +tp986 +a(g709 +V[ +p987 +tp988 +a(g499 +Vid1 +p989 +tp990 +a(g709 +V] +p991 +tp992 +a(g7 +V +p993 +tp994 +a(g416 +Vmatches +p995 +tp996 +a(g709 +V{ +p997 +tp998 +a(g7 +g956 +tp999 +a(g725 +V-- Adverse Reaction +p1000 +tp1001 +a(g7 +V\u000a +p1002 +tp1003 +a(g7 +V +p1004 +tp1005 +a(g632 +Vdata +p1006 +tp1007 +a(g7 +g993 +tp1008 +a(g416 +Vmatches +p1009 +tp1010 +a(g709 +g997 +tp1011 +a(g7 +V\u000a +p1012 +tp1013 +a(g7 +V +p1014 +tp1015 +a(g632 +VITEM_TREE +p1016 +tp1017 +a(g709 +g987 +tp1018 +a(g499 +Vid2 +p1019 +tp1020 +a(g709 +g991 +tp1021 +a(g7 +g993 +tp1022 +a(g416 +Vmatches +p1023 +tp1024 +a(g709 +g997 +tp1025 +a(g7 +V\u000a +p1026 +tp1027 +a(g7 +V +p1028 +tp1029 +a(g632 +Vitems +p1030 +tp1031 +a(g7 +g993 +tp1032 +a(g846 +Vcardinality +p1033 +tp1034 +a(g416 +Vmatches +p1035 +tp1036 +a(g709 +g997 +tp1037 +a(g35 +V1..* +p1038 +tp1039 +a(g35 +V; unordered +p1040 +tp1041 +a(g709 +V} +p1042 +tp1043 +a(g7 +g993 +tp1044 +a(g416 +Vmatches +p1045 +tp1046 +a(g709 +g997 +tp1047 +a(g7 +V\u000a +p1048 +tp1049 +a(g7 +V +p1050 +tp1051 +a(g632 +VELEMENT +p1052 +tp1053 +a(g709 +g987 +tp1054 +a(g499 +Vid3 +p1055 +tp1056 +a(g709 +g991 +tp1057 +a(g7 +g993 +tp1058 +a(g416 +Vmatches +p1059 +tp1060 +a(g709 +g997 +tp1061 +a(g7 +g956 +tp1062 +a(g725 +V-- Substance/Agent +p1063 +tp1064 +a(g7 +V\u000a +p1065 +tp1066 +a(g7 +V +p1067 +tp1068 +a(g632 +Vvalue +p1069 +tp1070 +a(g7 +g993 +tp1071 +a(g416 +Vmatches +p1072 +tp1073 +a(g709 +g997 +tp1074 +a(g7 +V\u000a +p1075 +tp1076 +a(g7 +V +p1077 +tp1078 +a(g632 +VDV_TEXT +p1079 +tp1080 +a(g709 +g987 +tp1081 +a(g499 +Vid51 +p1082 +tp1083 +a(g709 +g991 +tp1084 +a(g7 +V \u000a +p1085 +tp1086 +a(g709 +g1042 +tp1087 +a(g7 +V\u000a +p1088 +tp1089 +a(g7 +V +p1090 +tp1091 +a(g709 +g1042 +tp1092 +a(g7 +V\u000a +p1093 +tp1094 +a(g7 +V +p1095 +tp1096 +a(g632 +VELEMENT +p1097 +tp1098 +a(g709 +g987 +tp1099 +a(g499 +Vid5 +p1100 +tp1101 +a(g709 +g991 +tp1102 +a(g7 +g993 +tp1103 +a(g846 +Voccurrences +p1104 +tp1105 +a(g416 +Vmatches +p1106 +tp1107 +a(g709 +g997 +tp1108 +a(g35 +V0..1 +p1109 +tp1110 +a(g709 +g1042 +tp1111 +a(g7 +g993 +tp1112 +a(g416 +Vmatches +p1113 +tp1114 +a(g709 +g997 +tp1115 +a(g7 +g956 +tp1116 +a(g725 +V-- Absolute Contraindication? +p1117 +tp1118 +a(g7 +V\u000a +p1119 +tp1120 +a(g7 +V +p1121 +tp1122 +a(g632 +Vvalue +p1123 +tp1124 +a(g7 +g993 +tp1125 +a(g416 +Vmatches +p1126 +tp1127 +a(g709 +g997 +tp1128 +a(g7 +V\u000a +p1129 +tp1130 +a(g7 +V +p1131 +tp1132 +a(g632 +VDV_BOOLEAN +p1133 +tp1134 +a(g709 +g987 +tp1135 +a(g499 +Vid52 +p1136 +tp1137 +a(g709 +g991 +tp1138 +a(g7 +g993 +tp1139 +a(g416 +Vmatches +p1140 +tp1141 +a(g709 +g997 +tp1142 +a(g7 +V\u000a +p1143 +tp1144 +a(g7 +V +p1145 +tp1146 +a(g632 +Vvalue +p1147 +tp1148 +a(g7 +g993 +tp1149 +a(g416 +Vmatches +p1150 +tp1151 +a(g709 +g997 +tp1152 +a(g55 +VTrue +p1153 +tp1154 +a(g709 +g1042 +tp1155 +a(g7 +V\u000a +p1156 +tp1157 +a(g7 +V +p1158 +tp1159 +a(g709 +g1042 +tp1160 +a(g7 +V\u000a +p1161 +tp1162 +a(g7 +V +p1163 +tp1164 +a(g709 +g1042 +tp1165 +a(g7 +V\u000a +p1166 +tp1167 +a(g7 +V +p1168 +tp1169 +a(g709 +g1042 +tp1170 +a(g7 +V\u000a +p1171 +tp1172 +a(g7 +V +p1173 +tp1174 +a(g632 +VELEMENT +p1175 +tp1176 +a(g709 +g987 +tp1177 +a(g499 +Vid50 +p1178 +tp1179 +a(g709 +g991 +tp1180 +a(g7 +g993 +tp1181 +a(g846 +Voccurrences +p1182 +tp1183 +a(g416 +Vmatches +p1184 +tp1185 +a(g709 +g997 +tp1186 +a(g35 +V0..1 +p1187 +tp1188 +a(g709 +g1042 +tp1189 +a(g7 +g993 +tp1190 +a(g416 +Vmatches +p1191 +tp1192 +a(g709 +g997 +tp1193 +a(g7 +g956 +tp1194 +a(g725 +V-- Future Use +p1195 +tp1196 +a(g7 +V\u000a +p1197 +tp1198 +a(g7 +V +p1199 +tp1200 +a(g632 +Vvalue +p1201 +tp1202 +a(g7 +g993 +tp1203 +a(g416 +Vmatches +p1204 +tp1205 +a(g709 +g997 +tp1206 +a(g7 +V\u000a +p1207 +tp1208 +a(g7 +V +p1209 +tp1210 +a(g632 +VDV_TEXT +p1211 +tp1212 +a(g709 +g987 +tp1213 +a(g499 +Vid53 +p1214 +tp1215 +a(g709 +g991 +tp1216 +a(g7 +V \u000a +p1217 +tp1218 +a(g709 +g1042 +tp1219 +a(g7 +V\u000a +p1220 +tp1221 +a(g7 +V +p1222 +tp1223 +a(g709 +g1042 +tp1224 +a(g7 +V\u000a +p1225 +tp1226 +a(g7 +V +p1227 +tp1228 +a(g632 +VELEMENT +p1229 +tp1230 +a(g709 +g987 +tp1231 +a(g499 +Vid7 +p1232 +tp1233 +a(g709 +g991 +tp1234 +a(g7 +g993 +tp1235 +a(g846 +Voccurrences +p1236 +tp1237 +a(g416 +Vmatches +p1238 +tp1239 +a(g709 +g997 +tp1240 +a(g35 +V0..1 +p1241 +tp1242 +a(g709 +g1042 +tp1243 +a(g7 +g993 +tp1244 +a(g416 +Vmatches +p1245 +tp1246 +a(g709 +g997 +tp1247 +a(g7 +g956 +tp1248 +a(g725 +V-- Overall Comment +p1249 +tp1250 +a(g7 +V\u000a +p1251 +tp1252 +a(g7 +V +p1253 +tp1254 +a(g632 +Vvalue +p1255 +tp1256 +a(g7 +g993 +tp1257 +a(g416 +Vmatches +p1258 +tp1259 +a(g709 +g997 +tp1260 +a(g7 +V\u000a +p1261 +tp1262 +a(g7 +V +p1263 +tp1264 +a(g632 +VDV_TEXT +p1265 +tp1266 +a(g709 +g987 +tp1267 +a(g499 +Vid54 +p1268 +tp1269 +a(g709 +g991 +tp1270 +a(g7 +V \u000a +p1271 +tp1272 +a(g709 +g1042 +tp1273 +a(g7 +V\u000a +p1274 +tp1275 +a(g7 +V +p1276 +tp1277 +a(g709 +g1042 +tp1278 +a(g7 +V\u000a +p1279 +tp1280 +a(g7 +V +p1281 +tp1282 +a(g632 +VCLUSTER +p1283 +tp1284 +a(g709 +g987 +tp1285 +a(g499 +Vid10 +p1286 +tp1287 +a(g709 +g991 +tp1288 +a(g7 +g993 +tp1289 +a(g416 +Vmatches +p1290 +tp1291 +a(g709 +g997 +tp1292 +a(g7 +g956 +tp1293 +a(g725 +V-- Reaction Event +p1294 +tp1295 +a(g7 +V\u000a +p1296 +tp1297 +a(g7 +V +p1298 +tp1299 +a(g632 +Vitems +p1300 +tp1301 +a(g7 +g993 +tp1302 +a(g416 +Vmatches +p1303 +tp1304 +a(g709 +g997 +tp1305 +a(g7 +V\u000a +p1306 +tp1307 +a(g7 +V +p1308 +tp1309 +a(g632 +VELEMENT +p1310 +tp1311 +a(g709 +g987 +tp1312 +a(g499 +Vid11 +p1313 +tp1314 +a(g709 +g991 +tp1315 +a(g7 +g993 +tp1316 +a(g846 +Voccurrences +p1317 +tp1318 +a(g416 +Vmatches +p1319 +tp1320 +a(g709 +g997 +tp1321 +a(g35 +V0..1 +p1322 +tp1323 +a(g709 +g1042 +tp1324 +a(g7 +g993 +tp1325 +a(g416 +Vmatches +p1326 +tp1327 +a(g709 +g997 +tp1328 +a(g7 +g956 +tp1329 +a(g725 +V-- Specific Substance/Agent +p1330 +tp1331 +a(g7 +V\u000a +p1332 +tp1333 +a(g7 +V +p1334 +tp1335 +a(g632 +Vvalue +p1336 +tp1337 +a(g7 +g993 +tp1338 +a(g416 +Vmatches +p1339 +tp1340 +a(g709 +g997 +tp1341 +a(g7 +V\u000a +p1342 +tp1343 +a(g7 +V +p1344 +tp1345 +a(g632 +VDV_TEXT +p1346 +tp1347 +a(g709 +g987 +tp1348 +a(g499 +Vid55 +p1349 +tp1350 +a(g709 +g991 +tp1351 +a(g7 +V \u000a +p1352 +tp1353 +a(g709 +g1042 +tp1354 +a(g7 +V\u000a +p1355 +tp1356 +a(g7 +V +p1357 +tp1358 +a(g709 +g1042 +tp1359 +a(g7 +V\u000a +p1360 +tp1361 +a(g7 +V +p1362 +tp1363 +a(g632 +VELEMENT +p1364 +tp1365 +a(g709 +g987 +tp1366 +a(g499 +Vid12 +p1367 +tp1368 +a(g709 +g991 +tp1369 +a(g7 +g993 +tp1370 +a(g416 +Vmatches +p1371 +tp1372 +a(g709 +g997 +tp1373 +a(g7 +g956 +tp1374 +a(g725 +V-- Manifestation +p1375 +tp1376 +a(g7 +V\u000a +p1377 +tp1378 +a(g7 +V +p1379 +tp1380 +a(g632 +Vvalue +p1381 +tp1382 +a(g7 +g993 +tp1383 +a(g416 +Vmatches +p1384 +tp1385 +a(g709 +g997 +tp1386 +a(g7 +V\u000a +p1387 +tp1388 +a(g7 +V +p1389 +tp1390 +a(g632 +VDV_TEXT +p1391 +tp1392 +a(g709 +g987 +tp1393 +a(g499 +Vid56 +p1394 +tp1395 +a(g709 +g991 +tp1396 +a(g7 +V \u000a +p1397 +tp1398 +a(g709 +g1042 +tp1399 +a(g7 +V\u000a +p1400 +tp1401 +a(g7 +V +p1402 +tp1403 +a(g709 +g1042 +tp1404 +a(g7 +V\u000a +p1405 +tp1406 +a(g7 +V +p1407 +tp1408 +a(g632 +VELEMENT +p1409 +tp1410 +a(g709 +g987 +tp1411 +a(g499 +Vid17 +p1412 +tp1413 +a(g709 +g991 +tp1414 +a(g7 +g993 +tp1415 +a(g846 +Voccurrences +p1416 +tp1417 +a(g416 +Vmatches +p1418 +tp1419 +a(g709 +g997 +tp1420 +a(g35 +V0..1 +p1421 +tp1422 +a(g709 +g1042 +tp1423 +a(g7 +g993 +tp1424 +a(g416 +Vmatches +p1425 +tp1426 +a(g709 +g997 +tp1427 +a(g7 +g956 +tp1428 +a(g725 +V-- Reaction Type +p1429 +tp1430 +a(g7 +V\u000a +p1431 +tp1432 +a(g7 +V +p1433 +tp1434 +a(g632 +Vvalue +p1435 +tp1436 +a(g7 +g993 +tp1437 +a(g416 +Vmatches +p1438 +tp1439 +a(g709 +g997 +tp1440 +a(g7 +V\u000a +p1441 +tp1442 +a(g7 +V +p1443 +tp1444 +a(g632 +VDV_TEXT +p1445 +tp1446 +a(g709 +g987 +tp1447 +a(g499 +Vid57 +p1448 +tp1449 +a(g709 +g991 +tp1450 +a(g7 +V \u000a +p1451 +tp1452 +a(g709 +g1042 +tp1453 +a(g7 +V\u000a +p1454 +tp1455 +a(g7 +V +p1456 +tp1457 +a(g709 +g1042 +tp1458 +a(g7 +V\u000a +p1459 +tp1460 +a(g7 +V +p1461 +tp1462 +a(g632 +VELEMENT +p1463 +tp1464 +a(g709 +g987 +tp1465 +a(g499 +Vid22 +p1466 +tp1467 +a(g709 +g991 +tp1468 +a(g7 +g993 +tp1469 +a(g846 +Voccurrences +p1470 +tp1471 +a(g416 +Vmatches +p1472 +tp1473 +a(g709 +g997 +tp1474 +a(g35 +V0..1 +p1475 +tp1476 +a(g709 +g1042 +tp1477 +a(g7 +g993 +tp1478 +a(g416 +Vmatches +p1479 +tp1480 +a(g709 +g997 +tp1481 +a(g7 +g956 +tp1482 +a(g725 +V-- Certainty +p1483 +tp1484 +a(g7 +V\u000a +p1485 +tp1486 +a(g7 +V +p1487 +tp1488 +a(g632 +Vvalue +p1489 +tp1490 +a(g7 +g993 +tp1491 +a(g416 +Vmatches +p1492 +tp1493 +a(g709 +g997 +tp1494 +a(g7 +V\u000a +p1495 +tp1496 +a(g7 +V +p1497 +tp1498 +a(g632 +VDV_CODED_TEXT +p1499 +tp1500 +a(g709 +g987 +tp1501 +a(g499 +Vid58 +p1502 +tp1503 +a(g709 +g991 +tp1504 +a(g7 +g993 +tp1505 +a(g416 +Vmatches +p1506 +tp1507 +a(g709 +g997 +tp1508 +a(g7 +V\u000a +p1509 +tp1510 +a(g7 +V +p1511 +tp1512 +a(g632 +Vdefining_code +p1513 +tp1514 +a(g7 +g993 +tp1515 +a(g416 +Vmatches +p1516 +tp1517 +a(g709 +g997 +tp1518 +a(g709 +g987 +tp1519 +a(g499 +Vac1 +p1520 +tp1521 +a(g709 +g991 +tp1522 +a(g709 +g1042 +tp1523 +a(g7 +V +p1524 +tp1525 +a(g725 +V-- Certainty (synthesised) +p1526 +tp1527 +a(g7 +V\u000a +p1528 +tp1529 +a(g7 +V +p1530 +tp1531 +a(g709 +g1042 +tp1532 +a(g7 +V\u000a +p1533 +tp1534 +a(g7 +V +p1535 +tp1536 +a(g709 +g1042 +tp1537 +a(g7 +V\u000a +p1538 +tp1539 +a(g7 +V +p1540 +tp1541 +a(g709 +g1042 +tp1542 +a(g7 +V\u000a +p1543 +tp1544 +a(g7 +V +p1545 +tp1546 +a(g632 +VELEMENT +p1547 +tp1548 +a(g709 +g987 +tp1549 +a(g499 +Vid13 +p1550 +tp1551 +a(g709 +g991 +tp1552 +a(g7 +g993 +tp1553 +a(g846 +Voccurrences +p1554 +tp1555 +a(g416 +Vmatches +p1556 +tp1557 +a(g709 +g997 +tp1558 +a(g35 +V0..1 +p1559 +tp1560 +a(g709 +g1042 +tp1561 +a(g7 +g993 +tp1562 +a(g416 +Vmatches +p1563 +tp1564 +a(g709 +g997 +tp1565 +a(g7 +g956 +tp1566 +a(g725 +V-- Reaction Description +p1567 +tp1568 +a(g7 +V\u000a +p1569 +tp1570 +a(g7 +V +p1571 +tp1572 +a(g632 +Vvalue +p1573 +tp1574 +a(g7 +g993 +tp1575 +a(g416 +Vmatches +p1576 +tp1577 +a(g709 +g997 +tp1578 +a(g7 +V\u000a +p1579 +tp1580 +a(g7 +V +p1581 +tp1582 +a(g632 +VDV_TEXT +p1583 +tp1584 +a(g709 +g987 +tp1585 +a(g499 +Vid59 +p1586 +tp1587 +a(g709 +g991 +tp1588 +a(g7 +V \u000a +p1589 +tp1590 +a(g709 +g1042 +tp1591 +a(g7 +V\u000a +p1592 +tp1593 +a(g7 +V +p1594 +tp1595 +a(g709 +g1042 +tp1596 +a(g7 +V\u000a +p1597 +tp1598 +a(g7 +V +p1599 +tp1600 +a(g632 +VELEMENT +p1601 +tp1602 +a(g709 +g987 +tp1603 +a(g499 +Vid28 +p1604 +tp1605 +a(g709 +g991 +tp1606 +a(g7 +g993 +tp1607 +a(g846 +Voccurrences +p1608 +tp1609 +a(g416 +Vmatches +p1610 +tp1611 +a(g709 +g997 +tp1612 +a(g35 +V0..1 +p1613 +tp1614 +a(g709 +g1042 +tp1615 +a(g7 +g993 +tp1616 +a(g416 +Vmatches +p1617 +tp1618 +a(g709 +g997 +tp1619 +a(g7 +g956 +tp1620 +a(g725 +V-- Onset of Reaction +p1621 +tp1622 +a(g7 +V\u000a +p1623 +tp1624 +a(g7 +V +p1625 +tp1626 +a(g632 +Vvalue +p1627 +tp1628 +a(g7 +g993 +tp1629 +a(g416 +Vmatches +p1630 +tp1631 +a(g709 +g997 +tp1632 +a(g7 +V\u000a +p1633 +tp1634 +a(g7 +V +p1635 +tp1636 +a(g632 +VDV_DATE_TIME +p1637 +tp1638 +a(g709 +g987 +tp1639 +a(g499 +Vid60 +p1640 +tp1641 +a(g709 +g991 +tp1642 +a(g7 +V \u000a +p1643 +tp1644 +a(g709 +g1042 +tp1645 +a(g7 +V\u000a +p1646 +tp1647 +a(g7 +V +p1648 +tp1649 +a(g709 +g1042 +tp1650 +a(g7 +V\u000a +p1651 +tp1652 +a(g7 +V +p1653 +tp1654 +a(g632 +VELEMENT +p1655 +tp1656 +a(g709 +g987 +tp1657 +a(g499 +Vid29 +p1658 +tp1659 +a(g709 +g991 +tp1660 +a(g7 +g993 +tp1661 +a(g846 +Voccurrences +p1662 +tp1663 +a(g416 +Vmatches +p1664 +tp1665 +a(g709 +g997 +tp1666 +a(g35 +V0..1 +p1667 +tp1668 +a(g709 +g1042 +tp1669 +a(g7 +g993 +tp1670 +a(g416 +Vmatches +p1671 +tp1672 +a(g709 +g997 +tp1673 +a(g7 +g956 +tp1674 +a(g725 +V-- Duration of Reaction +p1675 +tp1676 +a(g7 +V\u000a +p1677 +tp1678 +a(g7 +V +p1679 +tp1680 +a(g632 +Vvalue +p1681 +tp1682 +a(g7 +g993 +tp1683 +a(g416 +Vmatches +p1684 +tp1685 +a(g709 +g997 +tp1686 +a(g7 +V\u000a +p1687 +tp1688 +a(g7 +V +p1689 +tp1690 +a(g632 +VDV_DURATION +p1691 +tp1692 +a(g709 +g987 +tp1693 +a(g499 +Vid61 +p1694 +tp1695 +a(g709 +g991 +tp1696 +a(g7 +V \u000a +p1697 +tp1698 +a(g709 +g1042 +tp1699 +a(g7 +V\u000a +p1700 +tp1701 +a(g7 +V +p1702 +tp1703 +a(g709 +g1042 +tp1704 +a(g7 +V\u000a +p1705 +tp1706 +a(g7 +V +p1707 +tp1708 +a(g846 +Vallow_archetype +p1709 +tp1710 +a(g632 +VCLUSTER +p1711 +tp1712 +a(g709 +g987 +tp1713 +a(g499 +Vid30 +p1714 +tp1715 +a(g709 +g991 +tp1716 +a(g7 +g993 +tp1717 +a(g416 +Vmatches +p1718 +tp1719 +a(g709 +g997 +tp1720 +a(g7 +g956 +tp1721 +a(g725 +V-- Additional Reaction Detail +p1722 +tp1723 +a(g7 +V\u000a +p1724 +tp1725 +a(g7 +V +p1726 +tp1727 +a(g846 +Vinclude\u000a +p1728 +tp1729 +a(g7 +V +p1730 +tp1731 +a(g632 +Varchetype_id +p1732 +tp1733 +a(g709 +V/ +p1734 +tp1735 +a(g632 +Vvalue +p1736 +tp1737 +a(g709 +g993 +tp1738 +a(g416 +Vmatches +p1739 +tp1740 +a(g709 +g997 +tp1741 +a(g200 +V/openEHR-EHR-CLUSTER\u005c.anatomical_location(-a-zA-Z0-9_]+)*\u005c.v1/ +p1742 +tp1743 +a(g709 +g1042 +tp1744 +a(g7 +V\u000a +p1745 +tp1746 +a(g7 +V +p1747 +tp1748 +a(g709 +g1042 +tp1749 +a(g7 +V\u000a +p1750 +tp1751 +a(g7 +V +p1752 +tp1753 +a(g632 +VELEMENT +p1754 +tp1755 +a(g709 +g987 +tp1756 +a(g499 +Vid19 +p1757 +tp1758 +a(g709 +g991 +tp1759 +a(g7 +g993 +tp1760 +a(g846 +Voccurrences +p1761 +tp1762 +a(g416 +Vmatches +p1763 +tp1764 +a(g709 +g997 +tp1765 +a(g35 +V0..1 +p1766 +tp1767 +a(g709 +g1042 +tp1768 +a(g7 +g993 +tp1769 +a(g416 +Vmatches +p1770 +tp1771 +a(g709 +g997 +tp1772 +a(g7 +g956 +tp1773 +a(g725 +V-- Exposure Description +p1774 +tp1775 +a(g7 +V\u000a +p1776 +tp1777 +a(g7 +V +p1778 +tp1779 +a(g632 +Vvalue +p1780 +tp1781 +a(g7 +g993 +tp1782 +a(g416 +Vmatches +p1783 +tp1784 +a(g709 +g997 +tp1785 +a(g7 +V\u000a +p1786 +tp1787 +a(g7 +V +p1788 +tp1789 +a(g632 +VDV_TEXT +p1790 +tp1791 +a(g709 +g987 +tp1792 +a(g499 +Vid62 +p1793 +tp1794 +a(g709 +g991 +tp1795 +a(g7 +V \u000a +p1796 +tp1797 +a(g709 +g1042 +tp1798 +a(g7 +V\u000a +p1799 +tp1800 +a(g7 +V +p1801 +tp1802 +a(g709 +g1042 +tp1803 +a(g7 +V\u000a +p1804 +tp1805 +a(g7 +V +p1806 +tp1807 +a(g632 +VELEMENT +p1808 +tp1809 +a(g709 +g987 +tp1810 +a(g499 +Vid21 +p1811 +tp1812 +a(g709 +g991 +tp1813 +a(g7 +g993 +tp1814 +a(g846 +Voccurrences +p1815 +tp1816 +a(g416 +Vmatches +p1817 +tp1818 +a(g709 +g997 +tp1819 +a(g35 +V0..1 +p1820 +tp1821 +a(g709 +g1042 +tp1822 +a(g7 +g993 +tp1823 +a(g416 +Vmatches +p1824 +tp1825 +a(g709 +g997 +tp1826 +a(g7 +g956 +tp1827 +a(g725 +V-- Earliest Exposure +p1828 +tp1829 +a(g7 +V\u000a +p1830 +tp1831 +a(g7 +V +p1832 +tp1833 +a(g632 +Vvalue +p1834 +tp1835 +a(g7 +g993 +tp1836 +a(g416 +Vmatches +p1837 +tp1838 +a(g709 +g997 +tp1839 +a(g7 +V\u000a +p1840 +tp1841 +a(g7 +V +p1842 +tp1843 +a(g632 +VDV_DATE_TIME +p1844 +tp1845 +a(g709 +g987 +tp1846 +a(g499 +Vid63 +p1847 +tp1848 +a(g709 +g991 +tp1849 +a(g7 +V \u000a +p1850 +tp1851 +a(g709 +g1042 +tp1852 +a(g7 +V\u000a +p1853 +tp1854 +a(g7 +V +p1855 +tp1856 +a(g709 +g1042 +tp1857 +a(g7 +V\u000a +p1858 +tp1859 +a(g7 +V +p1860 +tp1861 +a(g632 +VELEMENT +p1862 +tp1863 +a(g709 +g987 +tp1864 +a(g499 +Vid26 +p1865 +tp1866 +a(g709 +g991 +tp1867 +a(g7 +g993 +tp1868 +a(g846 +Voccurrences +p1869 +tp1870 +a(g416 +Vmatches +p1871 +tp1872 +a(g709 +g997 +tp1873 +a(g35 +V0..1 +p1874 +tp1875 +a(g709 +g1042 +tp1876 +a(g7 +g993 +tp1877 +a(g416 +Vmatches +p1878 +tp1879 +a(g709 +g997 +tp1880 +a(g7 +g956 +tp1881 +a(g725 +V-- Duration of Exposure +p1882 +tp1883 +a(g7 +V\u000a +p1884 +tp1885 +a(g7 +V +p1886 +tp1887 +a(g632 +Vvalue +p1888 +tp1889 +a(g7 +g993 +tp1890 +a(g416 +Vmatches +p1891 +tp1892 +a(g709 +g997 +tp1893 +a(g7 +V\u000a +p1894 +tp1895 +a(g7 +V +p1896 +tp1897 +a(g632 +VDV_DURATION +p1898 +tp1899 +a(g709 +g987 +tp1900 +a(g499 +Vid64 +p1901 +tp1902 +a(g709 +g991 +tp1903 +a(g7 +V \u000a +p1904 +tp1905 +a(g709 +g1042 +tp1906 +a(g7 +V\u000a +p1907 +tp1908 +a(g7 +V +p1909 +tp1910 +a(g709 +g1042 +tp1911 +a(g7 +V\u000a +p1912 +tp1913 +a(g7 +V +p1914 +tp1915 +a(g846 +Vallow_archetype +p1916 +tp1917 +a(g632 +VCLUSTER +p1918 +tp1919 +a(g709 +g987 +tp1920 +a(g499 +Vid20 +p1921 +tp1922 +a(g709 +g991 +tp1923 +a(g7 +g993 +tp1924 +a(g416 +Vmatches +p1925 +tp1926 +a(g709 +g997 +tp1927 +a(g7 +g956 +tp1928 +a(g725 +V-- Additional Exposure Detail +p1929 +tp1930 +a(g7 +V\u000a +p1931 +tp1932 +a(g7 +V +p1933 +tp1934 +a(g846 +Vinclude\u000a +p1935 +tp1936 +a(g7 +V +p1937 +tp1938 +a(g632 +Varchetype_id +p1939 +tp1940 +a(g709 +g1734 +tp1941 +a(g632 +Vvalue +p1942 +tp1943 +a(g709 +g993 +tp1944 +a(g416 +Vmatches +p1945 +tp1946 +a(g709 +g997 +tp1947 +a(g200 +V/openEHR-EHR-CLUSTER\u005c.amount(-a-zA-Z0-9_]+)*\u005c.v1|openEHR-EHR-CLUSTER\u005c.medication_admin(-a-zA-Z0-9_]+)*\u005c.v1|openEHR-EHR-CLUSTER\u005c.timing(-a-zA-Z0-9_]+)*\u005c.v1/ +p1948 +tp1949 +a(g709 +g1042 +tp1950 +a(g7 +V\u000a +p1951 +tp1952 +a(g7 +V +p1953 +tp1954 +a(g709 +g1042 +tp1955 +a(g7 +V\u000a +p1956 +tp1957 +a(g7 +V +p1958 +tp1959 +a(g632 +VELEMENT +p1960 +tp1961 +a(g709 +g987 +tp1962 +a(g499 +Vid41 +p1963 +tp1964 +a(g709 +g991 +tp1965 +a(g7 +g993 +tp1966 +a(g846 +Voccurrences +p1967 +tp1968 +a(g416 +Vmatches +p1969 +tp1970 +a(g709 +g997 +tp1971 +a(g35 +V0..1 +p1972 +tp1973 +a(g709 +g1042 +tp1974 +a(g7 +g993 +tp1975 +a(g416 +Vmatches +p1976 +tp1977 +a(g709 +g997 +tp1978 +a(g7 +g956 +tp1979 +a(g725 +V-- Clinical Management Description +p1980 +tp1981 +a(g7 +V\u000a +p1982 +tp1983 +a(g7 +V +p1984 +tp1985 +a(g632 +Vvalue +p1986 +tp1987 +a(g7 +g993 +tp1988 +a(g416 +Vmatches +p1989 +tp1990 +a(g709 +g997 +tp1991 +a(g7 +V\u000a +p1992 +tp1993 +a(g7 +V +p1994 +tp1995 +a(g632 +VDV_TEXT +p1996 +tp1997 +a(g709 +g987 +tp1998 +a(g499 +Vid65 +p1999 +tp2000 +a(g709 +g991 +tp2001 +a(g7 +V \u000a +p2002 +tp2003 +a(g709 +g1042 +tp2004 +a(g7 +V\u000a +p2005 +tp2006 +a(g7 +V +p2007 +tp2008 +a(g709 +g1042 +tp2009 +a(g7 +V\u000a +p2010 +tp2011 +a(g7 +V +p2012 +tp2013 +a(g632 +VELEMENT +p2014 +tp2015 +a(g709 +g987 +tp2016 +a(g499 +Vid32 +p2017 +tp2018 +a(g709 +g991 +tp2019 +a(g7 +g993 +tp2020 +a(g416 +Vmatches +p2021 +tp2022 +a(g709 +g997 +tp2023 +a(g7 +g956 +tp2024 +a(g725 +V-- Multimedia +p2025 +tp2026 +a(g7 +V\u000a +p2027 +tp2028 +a(g7 +V +p2029 +tp2030 +a(g632 +Vvalue +p2031 +tp2032 +a(g7 +g993 +tp2033 +a(g416 +Vmatches +p2034 +tp2035 +a(g709 +g997 +tp2036 +a(g7 +V\u000a +p2037 +tp2038 +a(g7 +V +p2039 +tp2040 +a(g632 +VDV_MULTIMEDIA +p2041 +tp2042 +a(g709 +g987 +tp2043 +a(g499 +Vid66 +p2044 +tp2045 +a(g709 +g991 +tp2046 +a(g7 +g993 +tp2047 +a(g416 +Vmatches +p2048 +tp2049 +a(g709 +g997 +tp2050 +a(g7 +V\u000a +p2051 +tp2052 +a(g7 +V +p2053 +tp2054 +a(g632 +Vmedia_type +p2055 +tp2056 +a(g7 +V \u000a +p2057 +tp2058 +a(g709 +g1042 +tp2059 +a(g7 +V\u000a +p2060 +tp2061 +a(g7 +V +p2062 +tp2063 +a(g709 +g1042 +tp2064 +a(g7 +V\u000a +p2065 +tp2066 +a(g7 +V +p2067 +tp2068 +a(g709 +g1042 +tp2069 +a(g7 +V\u000a +p2070 +tp2071 +a(g7 +V +p2072 +tp2073 +a(g846 +Vallow_archetype +p2074 +tp2075 +a(g632 +VCLUSTER +p2076 +tp2077 +a(g709 +g987 +tp2078 +a(g499 +Vid42 +p2079 +tp2080 +a(g709 +g991 +tp2081 +a(g7 +g993 +tp2082 +a(g416 +Vmatches +p2083 +tp2084 +a(g709 +g997 +tp2085 +a(g7 +g956 +tp2086 +a(g725 +V-- Reporting Details +p2087 +tp2088 +a(g7 +V\u000a +p2089 +tp2090 +a(g7 +V +p2091 +tp2092 +a(g846 +Vinclude\u000a +p2093 +tp2094 +a(g7 +V +p2095 +tp2096 +a(g632 +Varchetype_id +p2097 +tp2098 +a(g709 +g1734 +tp2099 +a(g632 +Vvalue +p2100 +tp2101 +a(g709 +g993 +tp2102 +a(g416 +Vmatches +p2103 +tp2104 +a(g709 +g997 +tp2105 +a(g200 +V/.*/ +p2106 +tp2107 +a(g709 +g1042 +tp2108 +a(g7 +V\u000a +p2109 +tp2110 +a(g7 +V +p2111 +tp2112 +a(g709 +g1042 +tp2113 +a(g7 +V\u000a +p2114 +tp2115 +a(g7 +V +p2116 +tp2117 +a(g632 +VELEMENT +p2118 +tp2119 +a(g709 +g987 +tp2120 +a(g499 +Vid33 +p2121 +tp2122 +a(g709 +g991 +tp2123 +a(g7 +g993 +tp2124 +a(g846 +Voccurrences +p2125 +tp2126 +a(g416 +Vmatches +p2127 +tp2128 +a(g709 +g997 +tp2129 +a(g35 +V0..1 +p2130 +tp2131 +a(g709 +g1042 +tp2132 +a(g7 +g993 +tp2133 +a(g416 +Vmatches +p2134 +tp2135 +a(g709 +g997 +tp2136 +a(g7 +g956 +tp2137 +a(g725 +V-- Reaction Comment +p2138 +tp2139 +a(g7 +V\u000a +p2140 +tp2141 +a(g7 +V +p2142 +tp2143 +a(g632 +Vvalue +p2144 +tp2145 +a(g7 +g993 +tp2146 +a(g416 +Vmatches +p2147 +tp2148 +a(g709 +g997 +tp2149 +a(g7 +V\u000a +p2150 +tp2151 +a(g7 +V +p2152 +tp2153 +a(g632 +VDV_TEXT +p2154 +tp2155 +a(g709 +g987 +tp2156 +a(g499 +Vid67 +p2157 +tp2158 +a(g709 +g991 +tp2159 +a(g7 +V \u000a +p2160 +tp2161 +a(g709 +g1042 +tp2162 +a(g7 +V\u000a +p2163 +tp2164 +a(g7 +V +p2165 +tp2166 +a(g709 +g1042 +tp2167 +a(g7 +V\u000a +p2168 +tp2169 +a(g7 +V +p2170 +tp2171 +a(g709 +g1042 +tp2172 +a(g7 +V\u000a +p2173 +tp2174 +a(g7 +V +p2175 +tp2176 +a(g709 +g1042 +tp2177 +a(g7 +V\u000a +p2178 +tp2179 +a(g7 +V +p2180 +tp2181 +a(g709 +g1042 +tp2182 +a(g7 +V\u000a +p2183 +tp2184 +a(g7 +V +p2185 +tp2186 +a(g709 +g1042 +tp2187 +a(g7 +V\u000a +p2188 +tp2189 +a(g7 +V +p2190 +tp2191 +a(g709 +g1042 +tp2192 +a(g7 +V\u000a +p2193 +tp2194 +a(g7 +V +p2195 +tp2196 +a(g632 +Vprotocol +p2197 +tp2198 +a(g7 +g993 +tp2199 +a(g416 +Vmatches +p2200 +tp2201 +a(g709 +g997 +tp2202 +a(g7 +V\u000a +p2203 +tp2204 +a(g7 +V +p2205 +tp2206 +a(g632 +VITEM_TREE +p2207 +tp2208 +a(g709 +g987 +tp2209 +a(g499 +Vid43 +p2210 +tp2211 +a(g709 +g991 +tp2212 +a(g7 +g993 +tp2213 +a(g416 +Vmatches +p2214 +tp2215 +a(g709 +g997 +tp2216 +a(g7 +V\u000a +p2217 +tp2218 +a(g7 +V +p2219 +tp2220 +a(g632 +Vitems +p2221 +tp2222 +a(g7 +g993 +tp2223 +a(g416 +Vmatches +p2224 +tp2225 +a(g709 +g997 +tp2226 +a(g7 +V\u000a +p2227 +tp2228 +a(g7 +V +p2229 +tp2230 +a(g632 +VELEMENT +p2231 +tp2232 +a(g709 +g987 +tp2233 +a(g499 +Vid45 +p2234 +tp2235 +a(g709 +g991 +tp2236 +a(g7 +g993 +tp2237 +a(g846 +Voccurrences +p2238 +tp2239 +a(g416 +Vmatches +p2240 +tp2241 +a(g709 +g997 +tp2242 +a(g35 +V0..1 +p2243 +tp2244 +a(g709 +g1042 +tp2245 +a(g7 +g993 +tp2246 +a(g416 +Vmatches +p2247 +tp2248 +a(g709 +g997 +tp2249 +a(g7 +g956 +tp2250 +a(g725 +V-- Reaction Reported? +p2251 +tp2252 +a(g7 +V\u000a +p2253 +tp2254 +a(g7 +V +p2255 +tp2256 +a(g632 +Vvalue +p2257 +tp2258 +a(g7 +g993 +tp2259 +a(g416 +Vmatches +p2260 +tp2261 +a(g709 +g997 +tp2262 +a(g7 +V\u000a +p2263 +tp2264 +a(g7 +V +p2265 +tp2266 +a(g632 +VDV_BOOLEAN +p2267 +tp2268 +a(g709 +g987 +tp2269 +a(g499 +Vid68 +p2270 +tp2271 +a(g709 +g991 +tp2272 +a(g7 +g993 +tp2273 +a(g416 +Vmatches +p2274 +tp2275 +a(g709 +g997 +tp2276 +a(g7 +V\u000a +p2277 +tp2278 +a(g7 +V +p2279 +tp2280 +a(g632 +Vvalue +p2281 +tp2282 +a(g7 +g993 +tp2283 +a(g416 +Vmatches +p2284 +tp2285 +a(g709 +g997 +tp2286 +a(g55 +VTrue +p2287 +tp2288 +a(g709 +V, +p2289 +tp2290 +a(g7 +g993 +tp2291 +a(g55 +VFalse +p2292 +tp2293 +a(g709 +g1042 +tp2294 +a(g7 +V\u000a +p2295 +tp2296 +a(g7 +V +p2297 +tp2298 +a(g709 +g1042 +tp2299 +a(g7 +V\u000a +p2300 +tp2301 +a(g7 +V +p2302 +tp2303 +a(g709 +g1042 +tp2304 +a(g7 +V\u000a +p2305 +tp2306 +a(g7 +V +p2307 +tp2308 +a(g709 +g1042 +tp2309 +a(g7 +V\u000a +p2310 +tp2311 +a(g7 +V +p2312 +tp2313 +a(g632 +VELEMENT +p2314 +tp2315 +a(g709 +g987 +tp2316 +a(g499 +Vid49 +p2317 +tp2318 +a(g709 +g991 +tp2319 +a(g7 +g993 +tp2320 +a(g846 +Voccurrences +p2321 +tp2322 +a(g416 +Vmatches +p2323 +tp2324 +a(g709 +g997 +tp2325 +a(g35 +V0..1 +p2326 +tp2327 +a(g709 +g1042 +tp2328 +a(g7 +g993 +tp2329 +a(g416 +Vmatches +p2330 +tp2331 +a(g709 +g997 +tp2332 +a(g7 +g956 +tp2333 +a(g725 +V-- Report Comment +p2334 +tp2335 +a(g7 +V\u000a +p2336 +tp2337 +a(g7 +V +p2338 +tp2339 +a(g632 +Vvalue +p2340 +tp2341 +a(g7 +g993 +tp2342 +a(g416 +Vmatches +p2343 +tp2344 +a(g709 +g997 +tp2345 +a(g7 +V\u000a +p2346 +tp2347 +a(g7 +V +p2348 +tp2349 +a(g632 +VDV_TEXT +p2350 +tp2351 +a(g709 +g987 +tp2352 +a(g499 +Vid69 +p2353 +tp2354 +a(g709 +g991 +tp2355 +a(g7 +V \u000a +p2356 +tp2357 +a(g709 +g1042 +tp2358 +a(g7 +V\u000a +p2359 +tp2360 +a(g7 +V +p2361 +tp2362 +a(g709 +g1042 +tp2363 +a(g7 +V\u000a +p2364 +tp2365 +a(g7 +V +p2366 +tp2367 +a(g632 +VELEMENT +p2368 +tp2369 +a(g709 +g987 +tp2370 +a(g499 +Vid46 +p2371 +tp2372 +a(g709 +g991 +tp2373 +a(g7 +g993 +tp2374 +a(g416 +Vmatches +p2375 +tp2376 +a(g709 +g997 +tp2377 +a(g7 +g956 +tp2378 +a(g725 +V-- Adverse Reaction Report +p2379 +tp2380 +a(g7 +V\u000a +p2381 +tp2382 +a(g7 +V +p2383 +tp2384 +a(g632 +Vvalue +p2385 +tp2386 +a(g7 +g993 +tp2387 +a(g416 +Vmatches +p2388 +tp2389 +a(g709 +g997 +tp2390 +a(g7 +V\u000a +p2391 +tp2392 +a(g7 +V +p2393 +tp2394 +a(g632 +VDV_URI +p2395 +tp2396 +a(g709 +g987 +tp2397 +a(g499 +Vid70 +p2398 +tp2399 +a(g709 +g991 +tp2400 +a(g7 +V \u000a +p2401 +tp2402 +a(g709 +g1042 +tp2403 +a(g7 +V\u000a +p2404 +tp2405 +a(g7 +V +p2406 +tp2407 +a(g709 +g1042 +tp2408 +a(g7 +V\u000a +p2409 +tp2410 +a(g7 +V +p2411 +tp2412 +a(g632 +VELEMENT +p2413 +tp2414 +a(g709 +g987 +tp2415 +a(g499 +Vid48 +p2416 +tp2417 +a(g709 +g991 +tp2418 +a(g7 +g993 +tp2419 +a(g846 +Voccurrences +p2420 +tp2421 +a(g416 +Vmatches +p2422 +tp2423 +a(g709 +g997 +tp2424 +a(g35 +V0..1 +p2425 +tp2426 +a(g709 +g1042 +tp2427 +a(g7 +g993 +tp2428 +a(g416 +Vmatches +p2429 +tp2430 +a(g709 +g997 +tp2431 +a(g7 +g956 +tp2432 +a(g725 +V-- Supporting Clinical Record Information +p2433 +tp2434 +a(g7 +V\u000a +p2435 +tp2436 +a(g7 +V +p2437 +tp2438 +a(g632 +Vvalue +p2439 +tp2440 +a(g7 +g993 +tp2441 +a(g416 +Vmatches +p2442 +tp2443 +a(g709 +g997 +tp2444 +a(g7 +V\u000a +p2445 +tp2446 +a(g7 +V +p2447 +tp2448 +a(g632 +VDV_EHR_URI +p2449 +tp2450 +a(g709 +g987 +tp2451 +a(g499 +Vid71 +p2452 +tp2453 +a(g709 +g991 +tp2454 +a(g7 +V \u000a +p2455 +tp2456 +a(g709 +g1042 +tp2457 +a(g7 +V\u000a +p2458 +tp2459 +a(g7 +V +p2460 +tp2461 +a(g709 +g1042 +tp2462 +a(g7 +V\u000a +p2463 +tp2464 +a(g7 +V +p2465 +tp2466 +a(g709 +g1042 +tp2467 +a(g7 +V\u000a +p2468 +tp2469 +a(g7 +V +p2470 +tp2471 +a(g709 +g1042 +tp2472 +a(g7 +V\u000a +p2473 +tp2474 +a(g7 +V +p2475 +tp2476 +a(g709 +g1042 +tp2477 +a(g7 +V\u000a +p2478 +tp2479 +a(g7 +g956 +tp2480 +a(g709 +g1042 +tp2481 +a(g7 +V\u000a +p2482 +tp2483 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example2.aspx b/tests/examplefiles/output/example2.aspx new file mode 100644 index 0000000..135c1ab --- /dev/null +++ b/tests/examplefiles/output/example2.aspx @@ -0,0 +1,2828 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g561 +V<%@ +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g439 +VRegister +p962 +tp963 +a(g7 +g960 +tp964 +a(g439 +VTagPrefix +p965 +tp966 +a(g416 +V= +p967 +tp968 +a(g92 +V" +p969 +tp970 +a(g92 +VAcme +p971 +tp972 +a(g92 +g969 +tp973 +a(g7 +g960 +tp974 +a(g439 +VTagName +p975 +tp976 +a(g416 +g967 +tp977 +a(g92 +g969 +tp978 +a(g92 +VMessage +p979 +tp980 +a(g92 +g969 +tp981 +a(g7 +g960 +tp982 +a(g439 +VSrc +p983 +tp984 +a(g416 +g967 +tp985 +a(g92 +g969 +tp986 +a(g92 +Vuserctrl2_vb.ascx +p987 +tp988 +a(g92 +g969 +tp989 +a(g7 +g960 +tp990 +a(g561 +V%> +p991 +tp992 +a(g7 +V\u000a\u000a +p993 +tp994 +a(g561 +V +p997 +tp998 +a(g7 +V\u000a\u000a +p999 +tp1000 +a(g561 +V +p1035 +tp1036 +a(g827 +V\u000a +p1037 +tp1038 +a(g558 +V +p1039 +tp1040 +a(g827 +V\u000a\u000a +p1041 +tp1042 +a(g558 +V +p1105 +tp1106 +a(g558 +V +p1107 +tp1108 +a(g827 +V\u000a +p1109 +tp1110 +a(g558 +V
  2. +p1124 +tp1125 +a(g827 +V\u000a +p1126 +tp1127 +a(g558 +V +p1128 +tp1129 +a(g827 +V\u000a +p1130 +tp1131 +a(g558 +V +p1132 +tp1133 +a(g827 +V\u000a\u000a +p1134 +tp1135 +a(g558 +V +p1207 +tp1208 +a(g827 +V\u000a +p1209 +tp1210 +a(g558 +V +p1211 +tp1212 +a(g827 +V\u000a\u000a +p1213 +tp1214 +a(g558 +V
    +p1256 +tp1257 +a(g827 +V\u000a\u000a +p1258 +tp1259 +a(g558 +V
    +p1314 +tp1315 +a(g827 +V\u000a +p1316 +tp1317 +a(g558 +V +p1359 +tp1360 +a(g558 +V +p1361 +tp1362 +a(g827 +V\u000a +p1363 +tp1364 +a(g558 +V +p1365 +tp1366 +a(g827 +V\u000a +p1367 +tp1368 +a(g558 +V +p1584 +tp1585 +a(g558 +V +p1586 +tp1587 +a(g827 +V\u000a +p1588 +tp1589 +a(g558 +V +p1731 +tp1732 +a(g827 +V\u000a +p1733 +tp1734 +a(g558 +V +p1735 +tp1736 +a(g827 +V\u000a +p1737 +tp1738 +a(g558 +V +p1747 +tp1748 +a(g827 +V\u000a +p1749 +tp1750 +a(g558 +V +p1769 +tp1770 +a(g827 +V\u000a +p1771 +tp1772 +a(g558 +V +p1773 +tp1774 +a(g827 +V\u000a +p1775 +tp1776 +a(g558 +V +p1777 +tp1778 +a(g827 +V\u000a\u000a +p1779 +tp1780 +a(g558 +V +p2422 +tp2423 +a(g827 +V\u000a +p2424 +tp2425 +a(g558 +V +p2553 +tp2554 +a(g827 +V\u000a +p2555 +tp2556 +a(g558 +V +p2557 +tp2558 +a(g827 +V\u000a +p2559 +tp2560 +a(g558 +V +p2561 +tp2562 +a(g827 +V\u000a +p2563 +tp2564 +a(g558 +V +p2565 +tp2566 +a(g827 +V\u000a +p2567 +tp2568 +a(g558 +V +p2569 +tp2570 +a(g827 +V\u000a +p2571 +tp2572 +a(g558 +V +p2573 +tp2574 +a(g827 +V\u000a\u000a +p2575 +tp2576 +a(g558 +V +p2636 +tp2637 +a(g504 +V  +p2638 +tp2639 +a(g827 +V\u000a +p2640 +tp2641 +a(g558 +V +p2782 +tp2783 +a(g827 +V\u000a +p2784 +tp2785 +a(g558 +V +p2813 +tp2814 +a(g827 +V\u000a +p2815 +tp2816 +a(g558 +V +p2817 +tp2818 +a(g827 +V\u000a +p2819 +tp2820 +a(g558 +V +p2821 +tp2822 +a(g827 +V\u000a +p2823 +tp2824 +a(g558 +V +p2825 +tp2826 +a(g827 +V\u000a +p2827 +tp2828 +a(g558 +V
    +p1723 +tp1724 +a(g827 +V\u000a +p1725 +tp1726 +a(g558 +V +p1727 +tp1728 +a(g827 +V\u000a +p1729 +tp1730 +a(g558 +V +p1767 +tp1768 +a(g558 +V +p1880 +tp1881 +a(g827 +V\u000a +p1882 +tp1883 +a(g558 +V +p2549 +tp2550 +a(g827 +V\u000a +p2551 +tp2552 +a(g558 +V
    +p2829 +tp2830 +a(g827 +V\u000a +p2831 +tp2832 +a(g558 +V +p2833 +tp2834 +a(g827 +V\u000a\u000a +p2835 +tp2836 +a(g558 +V

    +p2863 +tp2864 +a(g827 +V\u000a +p2865 +tp2866 +a(g558 +V +p3014 +tp3015 +a(g827 +V\u000a +p3016 +tp3017 +a(g558 +V +p3066 +tp3067 +a(g827 +V\u000a +p3068 +tp3069 +a(g558 +V

    +p3087 +tp3088 +a(g827 +V\u000a\u000a +p3089 +tp3090 +a(g558 +V

    +p3152 +tp3153 +a(g827 +V\u000a +p3154 +tp3155 +a(g558 +V

    +p3173 +tp3174 +a(g827 +V\u000a\u000a +p3175 +tp3176 +a(g558 +V
    +p3217 +tp3218 +a(g827 +V\u000a +p3219 +tp3220 +a(g558 +V +p3254 +tp3255 +a(g827 +V\u000a +p3256 +tp3257 +a(g558 +V +p3285 +tp3286 +a(g827 +V\u000a +p3287 +tp3288 +a(g558 +V
    +p3289 +tp3290 +a(g827 +V\u000a +p3291 +tp3292 +a(g558 +V
    +p3296 +tp3297 +a(g827 +V\u000a +p3298 +tp3299 +a(g558 +V
    +p3300 +tp3301 +a(g827 +V\u000a\u000a +p3302 +tp3303 +a(g558 +V +p3437 +tp3438 +a(g827 +V\u000a\u000a +p3439 +tp3440 +a(g558 +V +p3452 +tp3453 +a(g827 +V\u000a\u000a +p3454 +tp3455 +a(g558 +V +p3507 +tp3508 +a(g827 +V\u000a\u000a +p3509 +tp3510 +a(g558 +V
    +p3511 +tp3512 +a(g827 +V\u000a +p3513 +tp3514 +a(g558 +V +p3515 +tp3516 +a(g827 +V\u000a +p3517 +tp3518 +a(g558 +V +p3519 +tp3520 +a(g827 +V\u000a +p3521 +tp3522 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/genshitext_example.genshitext b/tests/examplefiles/output/genshitext_example.genshitext new file mode 100644 index 0000000..e5a91a0 --- /dev/null +++ b/tests/examplefiles/output/genshitext_example.genshitext @@ -0,0 +1,2965 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g725 +V## a comment +p958 +tp959 +a(g818 +V\u000a +p960 +tp961 +a(g818 +V\u000a +p962 +tp963 +a(g818 +V\u005c +p964 +tp965 +a(g818 +V# +p966 +tp967 +a(g818 +g966 +tp968 +a(g818 +V +p969 +tp970 +a(g818 +Vnot +p971 +tp972 +a(g818 +g969 +tp973 +a(g818 +Va +p974 +tp975 +a(g818 +g969 +tp976 +a(g818 +Vcomment +p977 +tp978 +a(g818 +V\u000a +p979 +tp980 +a(g7 +V\u000a +p981 +tp982 +a(g754 +g966 +tp983 +a(g842 +Vif +p984 +tp985 +a(g7 +g969 +tp986 +a(g439 +Vfoo +p987 +tp988 +a(g818 +V\u000a +p989 +tp990 +a(g818 +g969 +tp991 +a(g818 +g969 +tp992 +a(g754 +V${ +p993 +tp994 +a(g439 +Vbar +p995 +tp996 +a(g754 +V} +p997 +tp998 +a(g818 +V\u000a +p999 +tp1000 +a(g754 +g966 +tp1001 +a(g842 +Vendif +p1002 +tp1003 +a(g818 +V\u000a +p1004 +tp1005 +a(g818 +V\u000a +p1006 +tp1007 +a(g818 +VThe +p1008 +tp1009 +a(g818 +g969 +tp1010 +a(g818 +Vanswer +p1011 +tp1012 +a(g818 +g969 +tp1013 +a(g818 +Vis: +p1014 +tp1015 +a(g818 +V\u000a +p1016 +tp1017 +a(g754 +g966 +tp1018 +a(g842 +Vchoose +p1019 +tp1020 +a(g818 +V\u000a +p1021 +tp1022 +a(g7 +V +p1023 +tp1024 +a(g754 +g966 +tp1025 +a(g842 +Vwhen +p1026 +tp1027 +a(g7 +g969 +tp1028 +a(g40 +V0 +p1029 +tp1030 +a(g7 +g969 +tp1031 +a(g416 +V== +p1032 +tp1033 +a(g7 +g969 +tp1034 +a(g40 +V1 +p1035 +tp1036 +a(g818 +V\u000a +p1037 +tp1038 +a(g818 +g969 +tp1039 +a(g818 +g969 +tp1040 +a(g818 +g969 +tp1041 +a(g818 +g969 +tp1042 +a(g818 +g1029 +tp1043 +a(g818 +V\u000a +p1044 +tp1045 +a(g7 +V +p1046 +tp1047 +a(g754 +g966 +tp1048 +a(g842 +Vend +p1049 +tp1050 +a(g818 +V\u000a +p1051 +tp1052 +a(g7 +V +p1053 +tp1054 +a(g754 +g966 +tp1055 +a(g842 +Vwhen +p1056 +tp1057 +a(g7 +g969 +tp1058 +a(g40 +g1035 +tp1059 +a(g7 +g969 +tp1060 +a(g416 +V== +p1061 +tp1062 +a(g7 +g969 +tp1063 +a(g40 +g1035 +tp1064 +a(g818 +V\u000a +p1065 +tp1066 +a(g818 +g969 +tp1067 +a(g818 +g969 +tp1068 +a(g818 +g969 +tp1069 +a(g818 +g969 +tp1070 +a(g818 +g1035 +tp1071 +a(g818 +V\u000a +p1072 +tp1073 +a(g7 +V +p1074 +tp1075 +a(g754 +g966 +tp1076 +a(g842 +Vend +p1077 +tp1078 +a(g818 +V\u000a +p1079 +tp1080 +a(g7 +V +p1081 +tp1082 +a(g754 +g966 +tp1083 +a(g842 +Votherwise +p1084 +tp1085 +a(g818 +V\u000a +p1086 +tp1087 +a(g818 +g969 +tp1088 +a(g818 +g969 +tp1089 +a(g818 +g969 +tp1090 +a(g818 +g969 +tp1091 +a(g818 +V2 +p1092 +tp1093 +a(g818 +V\u000a +p1094 +tp1095 +a(g7 +V +p1096 +tp1097 +a(g754 +g966 +tp1098 +a(g842 +Vend +p1099 +tp1100 +a(g818 +V\u000a +p1101 +tp1102 +a(g754 +g966 +tp1103 +a(g842 +Vend +p1104 +tp1105 +a(g7 +g969 +tp1106 +a(g725 +V-- comment about choose +p1107 +tp1108 +a(g818 +V\u000a +p1109 +tp1110 +a(g7 +V\u000a +p1111 +tp1112 +a(g754 +g966 +tp1113 +a(g842 +Vfor +p1114 +tp1115 +a(g7 +g969 +tp1116 +a(g439 +Vitem +p1117 +tp1118 +a(g7 +g969 +tp1119 +a(g430 +Vin +p1120 +tp1121 +a(g7 +g969 +tp1122 +a(g439 +Vitems +p1123 +tp1124 +a(g818 +V\u000a +p1125 +tp1126 +a(g818 +g969 +tp1127 +a(g818 +g969 +tp1128 +a(g818 +V* +p1129 +tp1130 +a(g818 +g969 +tp1131 +a(g754 +V${ +p1132 +tp1133 +a(g439 +Vitem +p1134 +tp1135 +a(g754 +g997 +tp1136 +a(g818 +V\u000a +p1137 +tp1138 +a(g754 +g966 +tp1139 +a(g842 +Vend +p1140 +tp1141 +a(g818 +V\u000a +p1142 +tp1143 +a(g7 +V\u000a +p1144 +tp1145 +a(g754 +g966 +tp1146 +a(g842 +Vdef +p1147 +tp1148 +a(g7 +g969 +tp1149 +a(g577 +Vgreeting +p1150 +tp1151 +a(g709 +V( +p1152 +tp1153 +a(g439 +Vname +p1154 +tp1155 +a(g709 +V) +p1156 +tp1157 +a(g818 +V\u000a +p1158 +tp1159 +a(g818 +g969 +tp1160 +a(g818 +g969 +tp1161 +a(g818 +VHello, +p1162 +tp1163 +a(g818 +g969 +tp1164 +a(g754 +V${ +p1165 +tp1166 +a(g439 +Vname +p1167 +tp1168 +a(g754 +g997 +tp1169 +a(g818 +V! +p1170 +tp1171 +a(g818 +V\u000a +p1172 +tp1173 +a(g754 +g966 +tp1174 +a(g842 +Vend +p1175 +tp1176 +a(g818 +V\u000a +p1177 +tp1178 +a(g754 +V${ +p1179 +tp1180 +a(g439 +Vgreeting +p1181 +tp1182 +a(g709 +g1152 +tp1183 +a(g233 +V' +p1184 +tp1185 +a(g233 +Vworld +p1186 +tp1187 +a(g233 +g1184 +tp1188 +a(g709 +g1156 +tp1189 +a(g754 +g997 +tp1190 +a(g818 +V\u000a +p1191 +tp1192 +a(g7 +V\u000a +p1193 +tp1194 +a(g754 +g966 +tp1195 +a(g842 +Vwith +p1196 +tp1197 +a(g7 +g969 +tp1198 +a(g439 +Vy +p1199 +tp1200 +a(g416 +V= +p1201 +tp1202 +a(g40 +V7 +p1203 +tp1204 +a(g709 +V; +p1205 +tp1206 +a(g7 +g969 +tp1207 +a(g439 +Vz +p1208 +tp1209 +a(g416 +g1201 +tp1210 +a(g439 +Vx +p1211 +tp1212 +a(g416 +V+ +p1213 +tp1214 +a(g40 +V10 +p1215 +tp1216 +a(g818 +V\u000a +p1217 +tp1218 +a(g818 +g969 +tp1219 +a(g818 +g969 +tp1220 +a(g444 +V$x +p1221 +tp1222 +a(g818 +g969 +tp1223 +a(g444 +V$y +p1224 +tp1225 +a(g818 +g969 +tp1226 +a(g444 +V$z +p1227 +tp1228 +a(g818 +V\u000a +p1229 +tp1230 +a(g754 +g966 +tp1231 +a(g842 +Vend +p1232 +tp1233 +a(g818 +V\u000a +p1234 +tp1235 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/glsl.frag b/tests/examplefiles/output/glsl.frag new file mode 100644 index 0000000..2410ae3 --- /dev/null +++ b/tests/examplefiles/output/glsl.frag @@ -0,0 +1,2534 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* Fragment shader */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g749 +Vvoid +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g436 +Vmain +p964 +tp965 +a(g706 +V( +p966 +tp967 +a(g706 +V) +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g706 +V{ +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g682 +Vgl_FragColor +p976 +tp977 +a(g706 +V[ +p978 +tp979 +a(g282 +V0 +p980 +tp981 +a(g706 +V] +p982 +tp983 +a(g827 +g962 +tp984 +a(g413 +V= +p985 +tp986 +a(g827 +g962 +tp987 +a(g682 +Vgl_FragCoord +p988 +tp989 +a(g706 +g978 +tp990 +a(g282 +g980 +tp991 +a(g706 +g982 +tp992 +a(g827 +g962 +tp993 +a(g413 +V/ +p994 +tp995 +a(g827 +g962 +tp996 +a(g315 +V400.0 +p997 +tp998 +a(g706 +V; +p999 +tp1000 +a(g827 +V\u000a +p1001 +tp1002 +a(g682 +Vgl_FragColor +p1003 +tp1004 +a(g706 +g978 +tp1005 +a(g37 +V1 +p1006 +tp1007 +a(g706 +g982 +tp1008 +a(g827 +g962 +tp1009 +a(g413 +g985 +tp1010 +a(g827 +g962 +tp1011 +a(g682 +Vgl_FragCoord +p1012 +tp1013 +a(g706 +g978 +tp1014 +a(g37 +g1006 +tp1015 +a(g706 +g982 +tp1016 +a(g827 +g962 +tp1017 +a(g413 +g994 +tp1018 +a(g827 +g962 +tp1019 +a(g315 +V400.0 +p1020 +tp1021 +a(g706 +g999 +tp1022 +a(g827 +V\u000a +p1023 +tp1024 +a(g682 +Vgl_FragColor +p1025 +tp1026 +a(g706 +g978 +tp1027 +a(g37 +V2 +p1028 +tp1029 +a(g706 +g982 +tp1030 +a(g827 +g962 +tp1031 +a(g413 +g985 +tp1032 +a(g827 +g962 +tp1033 +a(g315 +V1.0 +p1034 +tp1035 +a(g706 +g999 +tp1036 +a(g827 +V\u000a +p1037 +tp1038 +a(g706 +V} +p1039 +tp1040 +a(g827 +V\u000a +p1041 +tp1042 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/glsl.vert b/tests/examplefiles/output/glsl.vert new file mode 100644 index 0000000..c219717 --- /dev/null +++ b/tests/examplefiles/output/glsl.vert @@ -0,0 +1,2684 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* Vertex shader */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g745 +Vuniform +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g749 +Vfloat +p964 +tp965 +a(g827 +g962 +tp966 +a(g436 +VwaveTime +p967 +tp968 +a(g706 +V; +p969 +tp970 +a(g827 +V\u000a +p971 +tp972 +a(g745 +Vuniform +p973 +tp974 +a(g827 +g962 +tp975 +a(g749 +Vfloat +p976 +tp977 +a(g827 +g962 +tp978 +a(g436 +VwaveWidth +p979 +tp980 +a(g706 +g969 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g745 +Vuniform +p984 +tp985 +a(g827 +g962 +tp986 +a(g749 +Vfloat +p987 +tp988 +a(g827 +g962 +tp989 +a(g436 +VwaveHeight +p990 +tp991 +a(g706 +g969 +tp992 +a(g827 +V\u000a \u000a +p993 +tp994 +a(g749 +Vvoid +p995 +tp996 +a(g827 +g962 +tp997 +a(g436 +Vmain +p998 +tp999 +a(g706 +V( +p1000 +tp1001 +a(g749 +Vvoid +p1002 +tp1003 +a(g706 +V) +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g706 +V{ +p1008 +tp1009 +a(g827 +V\u000a +p1010 +tp1011 +a(g749 +Vvec4 +p1012 +tp1013 +a(g827 +g962 +tp1014 +a(g436 +Vv +p1015 +tp1016 +a(g827 +g962 +tp1017 +a(g413 +V= +p1018 +tp1019 +a(g827 +g962 +tp1020 +a(g749 +Vvec4 +p1021 +tp1022 +a(g706 +g1000 +tp1023 +a(g682 +Vgl_Vertex +p1024 +tp1025 +a(g706 +g1004 +tp1026 +a(g706 +g969 +tp1027 +a(g827 +V\u000a\u000a +p1028 +tp1029 +a(g436 +g1015 +tp1030 +a(g706 +V. +p1031 +tp1032 +a(g436 +Vz +p1033 +tp1034 +a(g827 +g962 +tp1035 +a(g413 +g1018 +tp1036 +a(g827 +g962 +tp1037 +a(g436 +Vsin +p1038 +tp1039 +a(g706 +g1000 +tp1040 +a(g436 +VwaveWidth +p1041 +tp1042 +a(g827 +g962 +tp1043 +a(g413 +V* +p1044 +tp1045 +a(g827 +g962 +tp1046 +a(g436 +g1015 +tp1047 +a(g706 +g1031 +tp1048 +a(g436 +Vx +p1049 +tp1050 +a(g827 +g962 +tp1051 +a(g413 +V+ +p1052 +tp1053 +a(g827 +g962 +tp1054 +a(g436 +VwaveTime +p1055 +tp1056 +a(g706 +g1004 +tp1057 +a(g827 +g962 +tp1058 +a(g413 +g1044 +tp1059 +a(g827 +g962 +tp1060 +a(g436 +Vcos +p1061 +tp1062 +a(g706 +g1000 +tp1063 +a(g436 +VwaveWidth +p1064 +tp1065 +a(g827 +g962 +tp1066 +a(g413 +g1044 +tp1067 +a(g827 +g962 +tp1068 +a(g436 +g1015 +tp1069 +a(g706 +g1031 +tp1070 +a(g436 +Vy +p1071 +tp1072 +a(g827 +g962 +tp1073 +a(g413 +g1052 +tp1074 +a(g827 +g962 +tp1075 +a(g436 +VwaveTime +p1076 +tp1077 +a(g706 +g1004 +tp1078 +a(g827 +g962 +tp1079 +a(g413 +g1044 +tp1080 +a(g827 +g962 +tp1081 +a(g436 +VwaveHeight +p1082 +tp1083 +a(g706 +g969 +tp1084 +a(g827 +V\u000a\u000a +p1085 +tp1086 +a(g682 +Vgl_Position +p1087 +tp1088 +a(g827 +g962 +tp1089 +a(g413 +g1018 +tp1090 +a(g827 +g962 +tp1091 +a(g682 +Vgl_ModelViewProjectionMatrix +p1092 +tp1093 +a(g827 +g962 +tp1094 +a(g413 +g1044 +tp1095 +a(g827 +g962 +tp1096 +a(g436 +g1015 +tp1097 +a(g706 +g969 +tp1098 +a(g827 +V\u000a +p1099 +tp1100 +a(g706 +V} +p1101 +tp1102 +a(g827 +V\u000a +p1103 +tp1104 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/grammar-test.p6 b/tests/examplefiles/output/grammar-test.p6 new file mode 100644 index 0000000..394cca6 --- /dev/null +++ b/tests/examplefiles/output/grammar-test.p6 @@ -0,0 +1,3009 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVtoken +p956 +tp957 +a(g423 +V pod_formatting_code +p958 +tp959 +a(g826 +V +p960 +tp961 +a(g826 +V{ +p962 +tp963 +a(g184 +V\u000a +p964 +tp965 +a(g184 +g960 +tp966 +a(g184 +g960 +tp967 +a(g184 +g960 +tp968 +a(g184 +g960 +tp969 +a(g184 +V$ +p970 +tp971 +a(g184 +V< +p972 +tp973 +a(g184 +Vc +p974 +tp975 +a(g184 +Vo +p976 +tp977 +a(g184 +Vd +p978 +tp979 +a(g184 +Ve +p980 +tp981 +a(g184 +V> +p982 +tp983 +a(g184 +V= +p984 +tp985 +a(g184 +V<[A..Z]> +p986 +tp987 +a(g184 +V\u000a +p988 +tp989 +a(g184 +g960 +tp990 +a(g184 +g960 +tp991 +a(g184 +g960 +tp992 +a(g184 +g960 +tp993 +a(g184 +V'<' +p994 +tp995 +a(g184 +g960 +tp996 +a(g826 +g962 +tp997 +a(g826 +g960 +tp998 +a(g457 +V$*POD_IN_FORMATTINGCODE +p999 +tp1000 +a(g826 +g960 +tp1001 +a(g826 +V: +p1002 +tp1003 +a(g826 +g984 +tp1004 +a(g826 +g960 +tp1005 +a(g22 +V1 +p1006 +tp1007 +a(g826 +g960 +tp1008 +a(g826 +V} +p1009 +tp1010 +a(g184 +V\u000a +p1011 +tp1012 +a(g184 +g960 +tp1013 +a(g184 +g960 +tp1014 +a(g184 +g960 +tp1015 +a(g184 +g960 +tp1016 +a(g184 +g970 +tp1017 +a(g184 +g972 +tp1018 +a(g184 +g974 +tp1019 +a(g184 +g976 +tp1020 +a(g184 +Vn +p1021 +tp1022 +a(g184 +Vt +p1023 +tp1024 +a(g184 +g980 +tp1025 +a(g184 +g1021 +tp1026 +a(g184 +g1023 +tp1027 +a(g184 +g982 +tp1028 +a(g184 +g984 +tp1029 +a(g184 +V[ +p1030 +tp1031 +a(g184 +g960 +tp1032 +a(g184 +g972 +tp1033 +a(g184 +V! +p1034 +tp1035 +a(g184 +Vb +p1036 +tp1037 +a(g184 +g980 +tp1038 +a(g184 +Vf +p1039 +tp1040 +a(g184 +g976 +tp1041 +a(g184 +Vr +p1042 +tp1043 +a(g184 +g980 +tp1044 +a(g184 +g960 +tp1045 +a(g184 +V'>' +p1046 +tp1047 +a(g184 +g982 +tp1048 +a(g184 +g960 +tp1049 +a(g184 +g972 +tp1050 +a(g184 +Vp +p1051 +tp1052 +a(g184 +g976 +tp1053 +a(g184 +g978 +tp1054 +a(g184 +V_ +p1055 +tp1056 +a(g184 +Vs +p1057 +tp1058 +a(g184 +g1023 +tp1059 +a(g184 +g1042 +tp1060 +a(g184 +Vi +p1061 +tp1062 +a(g184 +g1021 +tp1063 +a(g184 +Vg +p1064 +tp1065 +a(g184 +g1055 +tp1066 +a(g184 +g974 +tp1067 +a(g184 +Vh +p1068 +tp1069 +a(g184 +Va +p1070 +tp1071 +a(g184 +g1042 +tp1072 +a(g184 +g1070 +tp1073 +a(g184 +g974 +tp1074 +a(g184 +g1023 +tp1075 +a(g184 +g980 +tp1076 +a(g184 +g1042 +tp1077 +a(g184 +g982 +tp1078 +a(g184 +g960 +tp1079 +a(g184 +V] +p1080 +tp1081 +a(g184 +V+ +p1082 +tp1083 +a(g184 +V\u000a +p1084 +tp1085 +a(g184 +g960 +tp1086 +a(g184 +g960 +tp1087 +a(g184 +g960 +tp1088 +a(g184 +g960 +tp1089 +a(g184 +V'>' +p1090 +tp1091 +a(g184 +g960 +tp1092 +a(g826 +g962 +tp1093 +a(g826 +g960 +tp1094 +a(g457 +V$*POD_IN_FORMATTINGCODE +p1095 +tp1096 +a(g826 +g960 +tp1097 +a(g826 +g1002 +tp1098 +a(g826 +g984 +tp1099 +a(g826 +g960 +tp1100 +a(g22 +V0 +p1101 +tp1102 +a(g826 +g960 +tp1103 +a(g826 +g1009 +tp1104 +a(g184 +V\u000a +p1105 +tp1106 +a(g826 +g1009 +tp1107 +a(g826 +V\u000a +p1108 +tp1109 +a(g826 +V\u000a +p1110 +tp1111 +a(g7 +Vtoken +p1112 +tp1113 +a(g423 +V pod_string +p1114 +tp1115 +a(g826 +g960 +tp1116 +a(g826 +g962 +tp1117 +a(g184 +V\u000a +p1118 +tp1119 +a(g184 +g960 +tp1120 +a(g184 +g960 +tp1121 +a(g184 +g960 +tp1122 +a(g184 +g960 +tp1123 +a(g184 +g972 +tp1124 +a(g184 +g1051 +tp1125 +a(g184 +g976 +tp1126 +a(g184 +g978 +tp1127 +a(g184 +g1055 +tp1128 +a(g184 +g1057 +tp1129 +a(g184 +g1023 +tp1130 +a(g184 +g1042 +tp1131 +a(g184 +g1061 +tp1132 +a(g184 +g1021 +tp1133 +a(g184 +g1064 +tp1134 +a(g184 +g1055 +tp1135 +a(g184 +g974 +tp1136 +a(g184 +g1068 +tp1137 +a(g184 +g1070 +tp1138 +a(g184 +g1042 +tp1139 +a(g184 +g1070 +tp1140 +a(g184 +g974 +tp1141 +a(g184 +g1023 +tp1142 +a(g184 +g980 +tp1143 +a(g184 +g1042 +tp1144 +a(g184 +g982 +tp1145 +a(g184 +g1082 +tp1146 +a(g184 +V\u000a +p1147 +tp1148 +a(g826 +g1009 +tp1149 +a(g826 +V\u000a +p1150 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g7 +Vtoken +p1154 +tp1155 +a(g423 +V something:sym +p1156 +tp1157 +a(g423 +V«<» +p1158 +tp1159 +a(g826 +g960 +tp1160 +a(g826 +g962 +tp1161 +a(g184 +V\u000a +p1162 +tp1163 +a(g184 +g960 +tp1164 +a(g184 +g960 +tp1165 +a(g184 +g960 +tp1166 +a(g184 +g960 +tp1167 +a(g184 +g972 +tp1168 +a(g184 +g1034 +tp1169 +a(g184 +g982 +tp1170 +a(g184 +V\u000a +p1171 +tp1172 +a(g826 +g1009 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g826 +V\u000a +p1176 +tp1177 +a(g7 +Vtoken +p1178 +tp1179 +a(g423 +V name +p1180 +tp1181 +a(g826 +g960 +tp1182 +a(g826 +g962 +tp1183 +a(g184 +V\u000a +p1184 +tp1185 +a(g184 +g960 +tp1186 +a(g184 +g960 +tp1187 +a(g184 +g960 +tp1188 +a(g184 +g960 +tp1189 +a(g184 +g972 +tp1190 +a(g184 +g1034 +tp1191 +a(g184 +g982 +tp1192 +a(g184 +V\u000a +p1193 +tp1194 +a(g826 +g1009 +tp1195 +a(g826 +V\u000a +p1196 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g7 +Vtoken +p1200 +tp1201 +a(g423 +V comment:sym +p1202 +tp1203 +a(g423 +V<#> +p1204 +tp1205 +a(g826 +g960 +tp1206 +a(g826 +g962 +tp1207 +a(g184 +V\u000a +p1208 +tp1209 +a(g184 +g960 +tp1210 +a(g184 +g960 +tp1211 +a(g184 +g960 +tp1212 +a(g184 +V'#' +p1213 +tp1214 +a(g184 +g960 +tp1215 +a(g826 +g962 +tp1216 +a(g826 +g1009 +tp1217 +a(g184 +g960 +tp1218 +a(g184 +V\u005c +p1219 +tp1220 +a(g184 +VN +p1221 +tp1222 +a(g184 +V* +p1223 +tp1224 +a(g184 +V\u000a +p1225 +tp1226 +a(g826 +g1009 +tp1227 +a(g826 +V\u000a +p1228 +tp1229 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/guidance.smv b/tests/examplefiles/output/guidance.smv new file mode 100644 index 0000000..bc035e5 --- /dev/null +++ b/tests/examplefiles/output/guidance.smv @@ -0,0 +1,29882 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV--\u000a +p956 +tp957 +a(g7 +V-- Shuttle Digital Autopilot\u000a +p958 +tp959 +a(g7 +V-- by Sergey Berezin (berez@cs.cmu.edu)\u000a +p960 +tp961 +a(g7 +V--\u000a +p962 +tp963 +a(g805 +VMODULE +p964 +tp965 +a(g835 +V +p966 +tp967 +a(g436 +Vcont_3eo_mode_select +p968 +tp969 +a(g701 +V( +p970 +tp971 +a(g436 +Vstart +p972 +tp973 +a(g701 +V, +p974 +tp975 +a(g436 +Vsmode5 +p976 +tp977 +a(g701 +g974 +tp978 +a(g436 +Vvel +p979 +tp980 +a(g701 +g974 +tp981 +a(g436 +Vq_bar +p982 +tp983 +a(g701 +g974 +tp984 +a(g436 +Vapogee_alt_LT_alt_ref +p985 +tp986 +a(g701 +g974 +tp987 +a(g835 +V\u000a +p988 +tp989 +a(g436 +Vh_dot_LT_hdot_reg2 +p990 +tp991 +a(g701 +g974 +tp992 +a(g436 +Valpha_n_GRT_alpha_reg2 +p993 +tp994 +a(g701 +g974 +tp995 +a(g835 +V\u000a +p996 +tp997 +a(g436 +Vdelta_r_GRT_del_r_usp +p998 +tp999 +a(g701 +g974 +tp1000 +a(g436 +Vv_horiz_dnrng_LT_0 +p1001 +tp1002 +a(g701 +g974 +tp1003 +a(g835 +V\u000a +p1004 +tp1005 +a(g436 +Vhigh_rate_sep +p1006 +tp1007 +a(g701 +g974 +tp1008 +a(g436 +Vmeco_confirmed +p1009 +tp1010 +a(g701 +V) +p1011 +tp1012 +a(g835 +V\u000a\u000a +p1013 +tp1014 +a(g805 +VVAR +p1015 +tp1016 +a(g835 +g966 +tp1017 +a(g436 +Vcont_3EO_start +p1018 +tp1019 +a(g701 +V: +p1020 +tp1021 +a(g835 +g966 +tp1022 +a(g744 +Vboolean +p1023 +tp1024 +a(g701 +V; +p1025 +tp1026 +a(g835 +V\u000a +p1027 +tp1028 +a(g436 +VRTLS_abort_declared +p1029 +tp1030 +a(g701 +g1020 +tp1031 +a(g835 +g966 +tp1032 +a(g744 +Vboolean +p1033 +tp1034 +a(g701 +g1025 +tp1035 +a(g835 +V\u000a +p1036 +tp1037 +a(g436 +Vregion_selected +p1038 +tp1039 +a(g835 +g966 +tp1040 +a(g701 +g1020 +tp1041 +a(g835 +g966 +tp1042 +a(g744 +Vboolean +p1043 +tp1044 +a(g701 +g1025 +tp1045 +a(g835 +V\u000a +p1046 +tp1047 +a(g436 +Vm_mode +p1048 +tp1049 +a(g701 +g1020 +tp1050 +a(g835 +g966 +tp1051 +a(g701 +V{ +p1052 +tp1053 +a(g436 +Vmm102 +p1054 +tp1055 +a(g701 +g974 +tp1056 +a(g835 +g966 +tp1057 +a(g436 +Vmm103 +p1058 +tp1059 +a(g701 +g974 +tp1060 +a(g835 +g966 +tp1061 +a(g436 +Vmm601 +p1062 +tp1063 +a(g701 +V} +p1064 +tp1065 +a(g701 +g1025 +tp1066 +a(g835 +V\u000a +p1067 +tp1068 +a(g436 +Vr +p1069 +tp1070 +a(g701 +g1020 +tp1071 +a(g835 +g966 +tp1072 +a(g701 +g1052 +tp1073 +a(g436 +Vreg-1 +p1074 +tp1075 +a(g701 +g974 +tp1076 +a(g835 +g966 +tp1077 +a(g436 +Vreg0 +p1078 +tp1079 +a(g701 +g974 +tp1080 +a(g835 +g966 +tp1081 +a(g436 +Vreg1 +p1082 +tp1083 +a(g701 +g974 +tp1084 +a(g835 +g966 +tp1085 +a(g436 +Vreg2 +p1086 +tp1087 +a(g701 +g974 +tp1088 +a(g835 +g966 +tp1089 +a(g436 +Vreg3 +p1090 +tp1091 +a(g701 +g974 +tp1092 +a(g835 +g966 +tp1093 +a(g436 +Vreg102 +p1094 +tp1095 +a(g701 +g1064 +tp1096 +a(g701 +g1025 +tp1097 +a(g835 +V\u000a +p1098 +tp1099 +a(g436 +Vstep +p1100 +tp1101 +a(g835 +g966 +tp1102 +a(g701 +g1020 +tp1103 +a(g835 +g966 +tp1104 +a(g701 +g1052 +tp1105 +a(g32 +V1 +p1106 +tp1107 +a(g701 +g974 +tp1108 +a(g32 +V2 +p1109 +tp1110 +a(g701 +g974 +tp1111 +a(g32 +V3 +p1112 +tp1113 +a(g701 +g974 +tp1114 +a(g32 +V4 +p1115 +tp1116 +a(g701 +g974 +tp1117 +a(g32 +V5 +p1118 +tp1119 +a(g701 +g974 +tp1120 +a(g32 +V6 +p1121 +tp1122 +a(g701 +g974 +tp1123 +a(g32 +V7 +p1124 +tp1125 +a(g701 +g974 +tp1126 +a(g32 +V8 +p1127 +tp1128 +a(g701 +g974 +tp1129 +a(g32 +V9 +p1130 +tp1131 +a(g701 +g974 +tp1132 +a(g32 +V10 +p1133 +tp1134 +a(g701 +g974 +tp1135 +a(g835 +g966 +tp1136 +a(g436 +Vexit +p1137 +tp1138 +a(g701 +g974 +tp1139 +a(g835 +g966 +tp1140 +a(g436 +Vundef +p1141 +tp1142 +a(g701 +g1064 +tp1143 +a(g701 +g1025 +tp1144 +a(g835 +V\u000a\u000a +p1145 +tp1146 +a(g805 +VASSIGN +p1147 +tp1148 +a(g835 +V\u000a +p1149 +tp1150 +a(g677 +Vinit +p1151 +tp1152 +a(g701 +g970 +tp1153 +a(g436 +Vcont_3EO_start +p1154 +tp1155 +a(g701 +g1011 +tp1156 +a(g835 +g966 +tp1157 +a(g408 +V:= +p1158 +tp1159 +a(g835 +g966 +tp1160 +a(g759 +VFALSE +p1161 +tp1162 +a(g701 +g1025 +tp1163 +a(g835 +V\u000a +p1164 +tp1165 +a(g677 +Vinit +p1166 +tp1167 +a(g701 +g970 +tp1168 +a(g436 +Vm_mode +p1169 +tp1170 +a(g701 +g1011 +tp1171 +a(g835 +g966 +tp1172 +a(g408 +V:= +p1173 +tp1174 +a(g835 +g966 +tp1175 +a(g701 +g1052 +tp1176 +a(g436 +Vmm102 +p1177 +tp1178 +a(g701 +g974 +tp1179 +a(g835 +g966 +tp1180 +a(g436 +Vmm103 +p1181 +tp1182 +a(g701 +g1064 +tp1183 +a(g701 +g1025 +tp1184 +a(g835 +V\u000a +p1185 +tp1186 +a(g677 +Vinit +p1187 +tp1188 +a(g701 +g970 +tp1189 +a(g436 +Vregion_selected +p1190 +tp1191 +a(g701 +g1011 +tp1192 +a(g835 +g966 +tp1193 +a(g408 +V:= +p1194 +tp1195 +a(g835 +g966 +tp1196 +a(g759 +VFALSE +p1197 +tp1198 +a(g701 +g1025 +tp1199 +a(g835 +V\u000a +p1200 +tp1201 +a(g677 +Vinit +p1202 +tp1203 +a(g701 +g970 +tp1204 +a(g436 +VRTLS_abort_declared +p1205 +tp1206 +a(g701 +g1011 +tp1207 +a(g835 +g966 +tp1208 +a(g408 +V:= +p1209 +tp1210 +a(g835 +g966 +tp1211 +a(g759 +VFALSE +p1212 +tp1213 +a(g701 +g1025 +tp1214 +a(g835 +V\u000a +p1215 +tp1216 +a(g677 +Vinit +p1217 +tp1218 +a(g701 +g970 +tp1219 +a(g436 +g1069 +tp1220 +a(g701 +g1011 +tp1221 +a(g835 +g966 +tp1222 +a(g408 +V:= +p1223 +tp1224 +a(g835 +g966 +tp1225 +a(g436 +Vreg-1 +p1226 +tp1227 +a(g701 +g1025 +tp1228 +a(g835 +V\u000a +p1229 +tp1230 +a(g677 +Vinit +p1231 +tp1232 +a(g701 +g970 +tp1233 +a(g436 +Vstep +p1234 +tp1235 +a(g701 +g1011 +tp1236 +a(g835 +g966 +tp1237 +a(g408 +V:= +p1238 +tp1239 +a(g835 +g966 +tp1240 +a(g436 +Vundef +p1241 +tp1242 +a(g701 +g1025 +tp1243 +a(g835 +V\u000a\u000a +p1244 +tp1245 +a(g422 +Vnext +p1246 +tp1247 +a(g701 +g970 +tp1248 +a(g436 +Vstep +p1249 +tp1250 +a(g701 +g1011 +tp1251 +a(g835 +g966 +tp1252 +a(g408 +V:= +p1253 +tp1254 +a(g835 +V \u000a +p1255 +tp1256 +a(g740 +Vcase +p1257 +tp1258 +a(g835 +V\u000a +p1259 +tp1260 +a(g436 +Vstep +p1261 +tp1262 +a(g835 +g966 +tp1263 +a(g408 +V= +p1264 +tp1265 +a(g835 +g966 +tp1266 +a(g32 +g1106 +tp1267 +a(g835 +g966 +tp1268 +a(g408 +V& +p1269 +tp1270 +a(g835 +g966 +tp1271 +a(g436 +Vm_mode +p1272 +tp1273 +a(g835 +g966 +tp1274 +a(g408 +g1264 +tp1275 +a(g835 +g966 +tp1276 +a(g436 +Vmm102 +p1277 +tp1278 +a(g835 +g966 +tp1279 +a(g701 +g1020 +tp1280 +a(g835 +g966 +tp1281 +a(g436 +Vexit +p1282 +tp1283 +a(g701 +g1025 +tp1284 +a(g835 +V\u000a +p1285 +tp1286 +a(g436 +Vstep +p1287 +tp1288 +a(g835 +g966 +tp1289 +a(g408 +g1264 +tp1290 +a(g835 +g966 +tp1291 +a(g32 +g1106 +tp1292 +a(g835 +g966 +tp1293 +a(g701 +g1020 +tp1294 +a(g835 +g966 +tp1295 +a(g32 +g1109 +tp1296 +a(g701 +g1025 +tp1297 +a(g835 +V\u000a +p1298 +tp1299 +a(g436 +Vstep +p1300 +tp1301 +a(g835 +g966 +tp1302 +a(g408 +g1264 +tp1303 +a(g835 +g966 +tp1304 +a(g32 +g1109 +tp1305 +a(g835 +g966 +tp1306 +a(g408 +g1269 +tp1307 +a(g835 +g966 +tp1308 +a(g436 +Vsmode5 +p1309 +tp1310 +a(g835 +g966 +tp1311 +a(g701 +g1020 +tp1312 +a(g835 +g966 +tp1313 +a(g32 +g1118 +tp1314 +a(g701 +g1025 +tp1315 +a(g835 +V\u000a +p1316 +tp1317 +a(g436 +Vstep +p1318 +tp1319 +a(g835 +g966 +tp1320 +a(g408 +g1264 +tp1321 +a(g835 +g966 +tp1322 +a(g32 +g1109 +tp1323 +a(g835 +g966 +tp1324 +a(g408 +g1269 +tp1325 +a(g835 +g966 +tp1326 +a(g436 +Vvel +p1327 +tp1328 +a(g835 +g966 +tp1329 +a(g408 +g1264 +tp1330 +a(g835 +g966 +tp1331 +a(g436 +VGRT_vi_3eo_max +p1332 +tp1333 +a(g701 +g1020 +tp1334 +a(g835 +g966 +tp1335 +a(g436 +Vexit +p1336 +tp1337 +a(g701 +g1025 +tp1338 +a(g835 +V\u000a +p1339 +tp1340 +a(g436 +Vstep +p1341 +tp1342 +a(g835 +g966 +tp1343 +a(g408 +g1264 +tp1344 +a(g835 +g966 +tp1345 +a(g32 +g1109 +tp1346 +a(g835 +g966 +tp1347 +a(g701 +g1020 +tp1348 +a(g835 +g966 +tp1349 +a(g32 +g1112 +tp1350 +a(g701 +g1025 +tp1351 +a(g835 +V\u000a +p1352 +tp1353 +a(g436 +Vstep +p1354 +tp1355 +a(g835 +g966 +tp1356 +a(g408 +g1264 +tp1357 +a(g835 +g966 +tp1358 +a(g32 +g1112 +tp1359 +a(g835 +g966 +tp1360 +a(g408 +g1269 +tp1361 +a(g835 +g966 +tp1362 +a(g436 +Vvel +p1363 +tp1364 +a(g835 +g966 +tp1365 +a(g408 +g1264 +tp1366 +a(g835 +g966 +tp1367 +a(g436 +VLEQ_vi_3eo_min +p1368 +tp1369 +a(g835 +g966 +tp1370 +a(g701 +g1020 +tp1371 +a(g835 +g966 +tp1372 +a(g32 +g1121 +tp1373 +a(g701 +g1025 +tp1374 +a(g835 +V\u000a +p1375 +tp1376 +a(g436 +Vstep +p1377 +tp1378 +a(g835 +g966 +tp1379 +a(g408 +g1264 +tp1380 +a(g835 +g966 +tp1381 +a(g32 +g1112 +tp1382 +a(g835 +g966 +tp1383 +a(g701 +g1020 +tp1384 +a(g835 +g966 +tp1385 +a(g32 +g1115 +tp1386 +a(g701 +g1025 +tp1387 +a(g835 +V\u000a +p1388 +tp1389 +a(g436 +Vstep +p1390 +tp1391 +a(g835 +g966 +tp1392 +a(g408 +g1264 +tp1393 +a(g835 +g966 +tp1394 +a(g32 +g1115 +tp1395 +a(g835 +g966 +tp1396 +a(g408 +g1269 +tp1397 +a(g835 +g966 +tp1398 +a(g436 +Vapogee_alt_LT_alt_ref +p1399 +tp1400 +a(g701 +g1020 +tp1401 +a(g835 +g966 +tp1402 +a(g436 +Vexit +p1403 +tp1404 +a(g701 +g1025 +tp1405 +a(g835 +V\u000a +p1406 +tp1407 +a(g436 +Vstep +p1408 +tp1409 +a(g835 +g966 +tp1410 +a(g408 +g1264 +tp1411 +a(g835 +g966 +tp1412 +a(g32 +g1115 +tp1413 +a(g835 +g966 +tp1414 +a(g701 +g1020 +tp1415 +a(g835 +g966 +tp1416 +a(g32 +g1121 +tp1417 +a(g701 +g1025 +tp1418 +a(g835 +V\u000a +p1419 +tp1420 +a(g436 +Vstep +p1421 +tp1422 +a(g835 +g966 +tp1423 +a(g408 +g1264 +tp1424 +a(g835 +g966 +tp1425 +a(g32 +g1118 +tp1426 +a(g835 +g966 +tp1427 +a(g701 +g1020 +tp1428 +a(g835 +g966 +tp1429 +a(g32 +g1121 +tp1430 +a(g701 +g1025 +tp1431 +a(g835 +V\u000a +p1432 +tp1433 +a(g436 +Vstep +p1434 +tp1435 +a(g835 +g966 +tp1436 +a(g408 +g1264 +tp1437 +a(g835 +g966 +tp1438 +a(g32 +g1121 +tp1439 +a(g835 +g966 +tp1440 +a(g408 +g1269 +tp1441 +a(g835 +g966 +tp1442 +a(g436 +g1069 +tp1443 +a(g835 +g966 +tp1444 +a(g408 +g1264 +tp1445 +a(g835 +g966 +tp1446 +a(g436 +Vreg0 +p1447 +tp1448 +a(g835 +g966 +tp1449 +a(g701 +g1020 +tp1450 +a(g835 +g966 +tp1451 +a(g436 +Vexit +p1452 +tp1453 +a(g701 +g1025 +tp1454 +a(g835 +V\u000a +p1455 +tp1456 +a(g436 +Vstep +p1457 +tp1458 +a(g835 +g966 +tp1459 +a(g408 +g1264 +tp1460 +a(g835 +g966 +tp1461 +a(g32 +g1121 +tp1462 +a(g835 +g966 +tp1463 +a(g701 +g1020 +tp1464 +a(g835 +g966 +tp1465 +a(g32 +g1124 +tp1466 +a(g701 +g1025 +tp1467 +a(g835 +V\u000a +p1468 +tp1469 +a(g436 +Vstep +p1470 +tp1471 +a(g835 +g966 +tp1472 +a(g408 +g1264 +tp1473 +a(g835 +g966 +tp1474 +a(g32 +g1124 +tp1475 +a(g835 +g966 +tp1476 +a(g701 +g1020 +tp1477 +a(g835 +g966 +tp1478 +a(g32 +g1127 +tp1479 +a(g701 +g1025 +tp1480 +a(g835 +V\u000a +p1481 +tp1482 +a(g436 +Vstep +p1483 +tp1484 +a(g835 +g966 +tp1485 +a(g408 +g1264 +tp1486 +a(g835 +g966 +tp1487 +a(g32 +g1127 +tp1488 +a(g835 +g966 +tp1489 +a(g408 +g1269 +tp1490 +a(g835 +g966 +tp1491 +a(g436 +Vq_bar +p1492 +tp1493 +a(g835 +g966 +tp1494 +a(g408 +g1264 +tp1495 +a(g835 +g966 +tp1496 +a(g436 +VGRT_qbar_reg3 +p1497 +tp1498 +a(g835 +g966 +tp1499 +a(g408 +g1269 +tp1500 +a(g835 +g966 +tp1501 +a(g408 +V! +p1502 +tp1503 +a(g436 +Vhigh_rate_sep +p1504 +tp1505 +a(g835 +g966 +tp1506 +a(g701 +g1020 +tp1507 +a(g835 +g966 +tp1508 +a(g32 +V10 +p1509 +tp1510 +a(g701 +g1025 +tp1511 +a(g835 +V\u000a +p1512 +tp1513 +a(g436 +Vstep +p1514 +tp1515 +a(g835 +g966 +tp1516 +a(g408 +g1264 +tp1517 +a(g835 +g966 +tp1518 +a(g32 +g1127 +tp1519 +a(g835 +g966 +tp1520 +a(g701 +g1020 +tp1521 +a(g835 +g966 +tp1522 +a(g32 +g1130 +tp1523 +a(g701 +g1025 +tp1524 +a(g835 +V\u000a +p1525 +tp1526 +a(g436 +Vstep +p1527 +tp1528 +a(g835 +g966 +tp1529 +a(g408 +g1264 +tp1530 +a(g835 +g966 +tp1531 +a(g32 +g1130 +tp1532 +a(g835 +g966 +tp1533 +a(g701 +g1020 +tp1534 +a(g835 +g966 +tp1535 +a(g32 +V10 +p1536 +tp1537 +a(g701 +g1025 +tp1538 +a(g835 +V\u000a +p1539 +tp1540 +a(g436 +Vstep +p1541 +tp1542 +a(g835 +g966 +tp1543 +a(g408 +g1264 +tp1544 +a(g835 +g966 +tp1545 +a(g32 +V10 +p1546 +tp1547 +a(g701 +g1020 +tp1548 +a(g835 +g966 +tp1549 +a(g436 +Vexit +p1550 +tp1551 +a(g701 +g1025 +tp1552 +a(g835 +V\u000a +p1553 +tp1554 +a(g422 +Vnext +p1555 +tp1556 +a(g701 +g970 +tp1557 +a(g436 +Vstart +p1558 +tp1559 +a(g701 +g1011 +tp1560 +a(g701 +g1020 +tp1561 +a(g835 +g966 +tp1562 +a(g32 +g1106 +tp1563 +a(g701 +g1025 +tp1564 +a(g835 +V\u000a +p1565 +tp1566 +a(g436 +Vstep +p1567 +tp1568 +a(g835 +g966 +tp1569 +a(g408 +g1264 +tp1570 +a(g835 +g966 +tp1571 +a(g436 +Vexit +p1572 +tp1573 +a(g835 +g966 +tp1574 +a(g701 +g1020 +tp1575 +a(g835 +g966 +tp1576 +a(g436 +Vundef +p1577 +tp1578 +a(g701 +g1025 +tp1579 +a(g835 +V\u000a +p1580 +tp1581 +a(g759 +VTRUE +p1582 +tp1583 +a(g701 +g1020 +tp1584 +a(g835 +g966 +tp1585 +a(g436 +Vstep +p1586 +tp1587 +a(g701 +g1025 +tp1588 +a(g835 +V\u000a +p1589 +tp1590 +a(g740 +Vesac +p1591 +tp1592 +a(g701 +g1025 +tp1593 +a(g835 +V\u000a\u000a +p1594 +tp1595 +a(g422 +Vnext +p1596 +tp1597 +a(g701 +g970 +tp1598 +a(g436 +Vcont_3EO_start +p1599 +tp1600 +a(g701 +g1011 +tp1601 +a(g835 +g966 +tp1602 +a(g408 +V:= +p1603 +tp1604 +a(g835 +V\u000a +p1605 +tp1606 +a(g740 +Vcase +p1607 +tp1608 +a(g835 +V \u000a +p1609 +tp1610 +a(g436 +Vstep +p1611 +tp1612 +a(g835 +g966 +tp1613 +a(g408 +g1264 +tp1614 +a(g835 +g966 +tp1615 +a(g32 +g1106 +tp1616 +a(g835 +g966 +tp1617 +a(g408 +g1269 +tp1618 +a(g835 +g966 +tp1619 +a(g436 +Vm_mode +p1620 +tp1621 +a(g835 +g966 +tp1622 +a(g408 +g1264 +tp1623 +a(g835 +g966 +tp1624 +a(g436 +Vmm102 +p1625 +tp1626 +a(g835 +g966 +tp1627 +a(g701 +g1020 +tp1628 +a(g835 +g966 +tp1629 +a(g759 +VTRUE +p1630 +tp1631 +a(g701 +g1025 +tp1632 +a(g835 +V\u000a +p1633 +tp1634 +a(g436 +Vstep +p1635 +tp1636 +a(g835 +g966 +tp1637 +a(g408 +g1264 +tp1638 +a(g835 +g966 +tp1639 +a(g32 +V10 +p1640 +tp1641 +a(g835 +g966 +tp1642 +a(g408 +g1269 +tp1643 +a(g835 +g966 +tp1644 +a(g436 +Vmeco_confirmed +p1645 +tp1646 +a(g835 +g966 +tp1647 +a(g701 +g1020 +tp1648 +a(g835 +g966 +tp1649 +a(g759 +VTRUE +p1650 +tp1651 +a(g701 +g1025 +tp1652 +a(g835 +V\u000a +p1653 +tp1654 +a(g759 +VTRUE +p1655 +tp1656 +a(g835 +g966 +tp1657 +a(g701 +g1020 +tp1658 +a(g835 +g966 +tp1659 +a(g436 +Vcont_3EO_start +p1660 +tp1661 +a(g701 +g1025 +tp1662 +a(g835 +V\u000a +p1663 +tp1664 +a(g740 +Vesac +p1665 +tp1666 +a(g701 +g1025 +tp1667 +a(g835 +V\u000a\u000a +p1668 +tp1669 +a(g422 +Vnext +p1670 +tp1671 +a(g701 +g970 +tp1672 +a(g436 +g1069 +tp1673 +a(g701 +g1011 +tp1674 +a(g835 +g966 +tp1675 +a(g408 +V:= +p1676 +tp1677 +a(g835 +V\u000a +p1678 +tp1679 +a(g740 +Vcase +p1680 +tp1681 +a(g835 +V\u000a +p1682 +tp1683 +a(g436 +Vstep +p1684 +tp1685 +a(g835 +g966 +tp1686 +a(g408 +g1264 +tp1687 +a(g835 +g966 +tp1688 +a(g32 +g1106 +tp1689 +a(g835 +g966 +tp1690 +a(g408 +g1269 +tp1691 +a(g835 +g966 +tp1692 +a(g436 +Vm_mode +p1693 +tp1694 +a(g835 +g966 +tp1695 +a(g408 +g1264 +tp1696 +a(g835 +g966 +tp1697 +a(g436 +Vmm102 +p1698 +tp1699 +a(g835 +g966 +tp1700 +a(g701 +g1020 +tp1701 +a(g835 +g966 +tp1702 +a(g436 +Vreg102 +p1703 +tp1704 +a(g701 +g1025 +tp1705 +a(g835 +V\u000a +p1706 +tp1707 +a(g436 +Vstep +p1708 +tp1709 +a(g835 +g966 +tp1710 +a(g408 +g1264 +tp1711 +a(g835 +g966 +tp1712 +a(g32 +g1109 +tp1713 +a(g835 +g966 +tp1714 +a(g408 +g1269 +tp1715 +a(g835 +g966 +tp1716 +a(g408 +g1502 +tp1717 +a(g436 +Vsmode5 +p1718 +tp1719 +a(g835 +g966 +tp1720 +a(g408 +g1269 +tp1721 +a(g835 +g966 +tp1722 +a(g436 +Vvel +p1723 +tp1724 +a(g835 +g966 +tp1725 +a(g408 +g1264 +tp1726 +a(g835 +g966 +tp1727 +a(g436 +VGRT_vi_3eo_max +p1728 +tp1729 +a(g701 +g1020 +tp1730 +a(g835 +g966 +tp1731 +a(g436 +Vreg0 +p1732 +tp1733 +a(g701 +g1025 +tp1734 +a(g835 +V\u000a +p1735 +tp1736 +a(g436 +Vstep +p1737 +tp1738 +a(g835 +g966 +tp1739 +a(g408 +g1264 +tp1740 +a(g835 +g966 +tp1741 +a(g32 +g1115 +tp1742 +a(g835 +g966 +tp1743 +a(g408 +g1269 +tp1744 +a(g835 +g966 +tp1745 +a(g436 +Vapogee_alt_LT_alt_ref +p1746 +tp1747 +a(g701 +g1020 +tp1748 +a(g835 +g966 +tp1749 +a(g436 +Vreg0 +p1750 +tp1751 +a(g701 +g1025 +tp1752 +a(g835 +V\u000a +p1753 +tp1754 +a(g436 +Vstep +p1755 +tp1756 +a(g835 +g966 +tp1757 +a(g408 +g1264 +tp1758 +a(g835 +g966 +tp1759 +a(g32 +g1118 +tp1760 +a(g835 +g966 +tp1761 +a(g408 +g1269 +tp1762 +a(g835 +g966 +tp1763 +a(g436 +Vv_horiz_dnrng_LT_0 +p1764 +tp1765 +a(g835 +g966 +tp1766 +a(g408 +g1269 +tp1767 +a(g835 +g966 +tp1768 +a(g436 +Vdelta_r_GRT_del_r_usp +p1769 +tp1770 +a(g835 +g966 +tp1771 +a(g701 +g1020 +tp1772 +a(g835 +g966 +tp1773 +a(g436 +Vreg0 +p1774 +tp1775 +a(g701 +g1025 +tp1776 +a(g835 +V\u000a +p1777 +tp1778 +a(g436 +Vstep +p1779 +tp1780 +a(g835 +g966 +tp1781 +a(g408 +g1264 +tp1782 +a(g835 +g966 +tp1783 +a(g32 +g1127 +tp1784 +a(g835 +g966 +tp1785 +a(g408 +g1269 +tp1786 +a(g835 +g966 +tp1787 +a(g436 +Vq_bar +p1788 +tp1789 +a(g835 +g966 +tp1790 +a(g408 +g1264 +tp1791 +a(g835 +g966 +tp1792 +a(g436 +VGRT_qbar_reg3 +p1793 +tp1794 +a(g835 +g966 +tp1795 +a(g408 +g1269 +tp1796 +a(g835 +g966 +tp1797 +a(g408 +g1502 +tp1798 +a(g436 +Vhigh_rate_sep +p1799 +tp1800 +a(g835 +g966 +tp1801 +a(g701 +g1020 +tp1802 +a(g835 +g966 +tp1803 +a(g436 +Vreg3 +p1804 +tp1805 +a(g701 +g1025 +tp1806 +a(g835 +V\u000a +p1807 +tp1808 +a(g436 +Vstep +p1809 +tp1810 +a(g835 +g966 +tp1811 +a(g408 +g1264 +tp1812 +a(g835 +g966 +tp1813 +a(g32 +g1130 +tp1814 +a(g701 +g1020 +tp1815 +a(g835 +g966 +tp1816 +a(g740 +Vcase +p1817 +tp1818 +a(g835 +V\u000a +p1819 +tp1820 +a(g701 +g970 +tp1821 +a(g436 +Vh_dot_LT_hdot_reg2 +p1822 +tp1823 +a(g835 +g966 +tp1824 +a(g408 +g1269 +tp1825 +a(g835 +g966 +tp1826 +a(g436 +Valpha_n_GRT_alpha_reg2 +p1827 +tp1828 +a(g835 +g966 +tp1829 +a(g408 +g1269 +tp1830 +a(g835 +V\u000a +p1831 +tp1832 +a(g436 +Vq_bar +p1833 +tp1834 +a(g835 +g966 +tp1835 +a(g408 +g1264 +tp1836 +a(g835 +g966 +tp1837 +a(g436 +VGRT_qbar_reg1 +p1838 +tp1839 +a(g701 +g1011 +tp1840 +a(g835 +g966 +tp1841 +a(g408 +V| +p1842 +tp1843 +a(g835 +g966 +tp1844 +a(g436 +Vhigh_rate_sep +p1845 +tp1846 +a(g835 +g966 +tp1847 +a(g701 +g1020 +tp1848 +a(g835 +V +p1849 +tp1850 +a(g436 +Vreg2 +p1851 +tp1852 +a(g701 +g1025 +tp1853 +a(g835 +V\u000a +p1854 +tp1855 +a(g759 +VTRUE +p1856 +tp1857 +a(g835 +g966 +tp1858 +a(g701 +g1020 +tp1859 +a(g835 +g966 +tp1860 +a(g436 +Vreg1 +p1861 +tp1862 +a(g701 +g1025 +tp1863 +a(g835 +V\u000a +p1864 +tp1865 +a(g740 +Vesac +p1866 +tp1867 +a(g701 +g1025 +tp1868 +a(g835 +V\u000a +p1869 +tp1870 +a(g422 +Vnext +p1871 +tp1872 +a(g701 +g970 +tp1873 +a(g436 +Vstep +p1874 +tp1875 +a(g701 +g1011 +tp1876 +a(g835 +g966 +tp1877 +a(g408 +g1264 +tp1878 +a(g835 +g966 +tp1879 +a(g32 +g1106 +tp1880 +a(g835 +g966 +tp1881 +a(g701 +g1020 +tp1882 +a(g835 +g966 +tp1883 +a(g436 +Vreg-1 +p1884 +tp1885 +a(g701 +g1025 +tp1886 +a(g835 +V\u000a +p1887 +tp1888 +a(g759 +VTRUE +p1889 +tp1890 +a(g701 +g1020 +tp1891 +a(g835 +g966 +tp1892 +a(g436 +g1069 +tp1893 +a(g701 +g1025 +tp1894 +a(g835 +V\u000a +p1895 +tp1896 +a(g740 +Vesac +p1897 +tp1898 +a(g701 +g1025 +tp1899 +a(g835 +V\u000a\u000a +p1900 +tp1901 +a(g422 +Vnext +p1902 +tp1903 +a(g701 +g970 +tp1904 +a(g436 +VRTLS_abort_declared +p1905 +tp1906 +a(g701 +g1011 +tp1907 +a(g835 +g966 +tp1908 +a(g408 +V:= +p1909 +tp1910 +a(g835 +V\u000a +p1911 +tp1912 +a(g740 +Vcase +p1913 +tp1914 +a(g835 +V\u000a +p1915 +tp1916 +a(g436 +Vstep +p1917 +tp1918 +a(g835 +g966 +tp1919 +a(g408 +g1264 +tp1920 +a(g835 +g966 +tp1921 +a(g32 +V10 +p1922 +tp1923 +a(g835 +g966 +tp1924 +a(g408 +g1269 +tp1925 +a(g835 +g966 +tp1926 +a(g436 +Vmeco_confirmed +p1927 +tp1928 +a(g835 +g966 +tp1929 +a(g408 +g1269 +tp1930 +a(g835 +g966 +tp1931 +a(g436 +Vm_mode +p1932 +tp1933 +a(g835 +g966 +tp1934 +a(g408 +g1264 +tp1935 +a(g835 +g966 +tp1936 +a(g436 +Vmm103 +p1937 +tp1938 +a(g835 +g966 +tp1939 +a(g701 +g1020 +tp1940 +a(g835 +g966 +tp1941 +a(g759 +VTRUE +p1942 +tp1943 +a(g701 +g1025 +tp1944 +a(g835 +V\u000a +p1945 +tp1946 +a(g759 +VTRUE +p1947 +tp1948 +a(g701 +g1020 +tp1949 +a(g835 +g966 +tp1950 +a(g436 +VRTLS_abort_declared +p1951 +tp1952 +a(g701 +g1025 +tp1953 +a(g835 +V\u000a +p1954 +tp1955 +a(g740 +Vesac +p1956 +tp1957 +a(g701 +g1025 +tp1958 +a(g835 +V\u000a\u000a +p1959 +tp1960 +a(g422 +Vnext +p1961 +tp1962 +a(g701 +g970 +tp1963 +a(g436 +Vm_mode +p1964 +tp1965 +a(g701 +g1011 +tp1966 +a(g835 +g966 +tp1967 +a(g408 +V:= +p1968 +tp1969 +a(g835 +V\u000a +p1970 +tp1971 +a(g740 +Vcase +p1972 +tp1973 +a(g835 +V\u000a +p1974 +tp1975 +a(g436 +Vstep +p1976 +tp1977 +a(g835 +g966 +tp1978 +a(g408 +g1264 +tp1979 +a(g835 +g966 +tp1980 +a(g32 +V10 +p1981 +tp1982 +a(g835 +g966 +tp1983 +a(g408 +g1269 +tp1984 +a(g835 +g966 +tp1985 +a(g436 +Vmeco_confirmed +p1986 +tp1987 +a(g835 +g966 +tp1988 +a(g408 +g1269 +tp1989 +a(g835 +g966 +tp1990 +a(g436 +Vm_mode +p1991 +tp1992 +a(g835 +g966 +tp1993 +a(g408 +g1264 +tp1994 +a(g835 +g966 +tp1995 +a(g436 +Vmm103 +p1996 +tp1997 +a(g835 +g966 +tp1998 +a(g701 +g1020 +tp1999 +a(g835 +g966 +tp2000 +a(g436 +Vmm601 +p2001 +tp2002 +a(g701 +g1025 +tp2003 +a(g835 +V\u000a +p2004 +tp2005 +a(g759 +VTRUE +p2006 +tp2007 +a(g701 +g1020 +tp2008 +a(g835 +g966 +tp2009 +a(g436 +Vm_mode +p2010 +tp2011 +a(g701 +g1025 +tp2012 +a(g835 +V\u000a +p2013 +tp2014 +a(g740 +Vesac +p2015 +tp2016 +a(g701 +g1025 +tp2017 +a(g835 +V\u000a\u000a +p2018 +tp2019 +a(g422 +Vnext +p2020 +tp2021 +a(g701 +g970 +tp2022 +a(g436 +Vregion_selected +p2023 +tp2024 +a(g701 +g1011 +tp2025 +a(g835 +g966 +tp2026 +a(g408 +V:= +p2027 +tp2028 +a(g835 +V\u000a +p2029 +tp2030 +a(g740 +Vcase +p2031 +tp2032 +a(g835 +V\u000a +p2033 +tp2034 +a(g422 +Vnext +p2035 +tp2036 +a(g701 +g970 +tp2037 +a(g436 +Vstep +p2038 +tp2039 +a(g701 +g1011 +tp2040 +a(g835 +g966 +tp2041 +a(g408 +g1264 +tp2042 +a(g835 +g966 +tp2043 +a(g32 +g1106 +tp2044 +a(g835 +g966 +tp2045 +a(g701 +g1020 +tp2046 +a(g835 +g966 +tp2047 +a(g759 +VFALSE +p2048 +tp2049 +a(g701 +g1025 +tp2050 +a(g835 +V\u000a +p2051 +tp2052 +a(g422 +Vnext +p2053 +tp2054 +a(g701 +g970 +tp2055 +a(g436 +Vstep +p2056 +tp2057 +a(g701 +g1011 +tp2058 +a(g835 +g966 +tp2059 +a(g408 +g1264 +tp2060 +a(g835 +g966 +tp2061 +a(g436 +Vexit +p2062 +tp2063 +a(g835 +g966 +tp2064 +a(g701 +g1020 +tp2065 +a(g835 +g966 +tp2066 +a(g759 +VTRUE +p2067 +tp2068 +a(g701 +g1025 +tp2069 +a(g835 +V\u000a +p2070 +tp2071 +a(g759 +VTRUE +p2072 +tp2073 +a(g835 +g966 +tp2074 +a(g701 +g1020 +tp2075 +a(g835 +g966 +tp2076 +a(g436 +Vregion_selected +p2077 +tp2078 +a(g701 +g1025 +tp2079 +a(g835 +V\u000a +p2080 +tp2081 +a(g740 +Vesac +p2082 +tp2083 +a(g701 +g1025 +tp2084 +a(g835 +V\u000a\u000a +p2085 +tp2086 +a(g805 +VMODULE +p2087 +tp2088 +a(g835 +g966 +tp2089 +a(g436 +Vcont_3eo_guide +p2090 +tp2091 +a(g701 +g970 +tp2092 +a(g436 +Vstart +p2093 +tp2094 +a(g701 +g974 +tp2095 +a(g436 +Vcont_3EO_start +p2096 +tp2097 +a(g701 +g974 +tp2098 +a(g835 +g966 +tp2099 +a(g436 +Vmode_select_completed +p2100 +tp2101 +a(g701 +g974 +tp2102 +a(g835 +g966 +tp2103 +a(g436 +Vet_sep_cmd +p2104 +tp2105 +a(g701 +g974 +tp2106 +a(g835 +V\u000a +p2107 +tp2108 +a(g436 +Vh_dot_LT_0 +p2109 +tp2110 +a(g701 +g974 +tp2111 +a(g835 +g966 +tp2112 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p2113 +tp2114 +a(g701 +g974 +tp2115 +a(g835 +g966 +tp2116 +a(g436 +Vm_mode +p2117 +tp2118 +a(g701 +g974 +tp2119 +a(g835 +g966 +tp2120 +a(g436 +Vr0 +p2121 +tp2122 +a(g701 +g974 +tp2123 +a(g835 +V\u000a +p2124 +tp2125 +a(g436 +Vcont_minus_z_compl +p2126 +tp2127 +a(g701 +g974 +tp2128 +a(g835 +g966 +tp2129 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p2130 +tp2131 +a(g701 +g974 +tp2132 +a(g835 +V \u000a +p2133 +tp2134 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p2135 +tp2136 +a(g701 +g974 +tp2137 +a(g835 +g966 +tp2138 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p2139 +tp2140 +a(g701 +g974 +tp2141 +a(g835 +V\u000a +p2142 +tp2143 +a(g436 +Vexcess_OMS_propellant +p2144 +tp2145 +a(g701 +g974 +tp2146 +a(g835 +g966 +tp2147 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p2148 +tp2149 +a(g701 +g974 +tp2150 +a(g835 +V\u000a +p2151 +tp2152 +a(g436 +Ventry_mnvr_couter_LE_0 +p2153 +tp2154 +a(g701 +g974 +tp2155 +a(g835 +g966 +tp2156 +a(g436 +Vrcs_all_jet_inhibit +p2157 +tp2158 +a(g701 +g974 +tp2159 +a(g835 +V \u000a +p2160 +tp2161 +a(g436 +Valt_GRT_alt_min_102_dump +p2162 +tp2163 +a(g701 +g974 +tp2164 +a(g835 +g966 +tp2165 +a(g436 +Vt_nav-t_gmtlo_LT_t_dmp_last +p2166 +tp2167 +a(g701 +g974 +tp2168 +a(g835 +V\u000a +p2169 +tp2170 +a(g436 +Vpre_sep +p2171 +tp2172 +a(g701 +g974 +tp2173 +a(g835 +g966 +tp2174 +a(g436 +Vcond_18 +p2175 +tp2176 +a(g701 +g974 +tp2177 +a(g835 +g966 +tp2178 +a(g436 +Vq_orb_LT_0 +p2179 +tp2180 +a(g701 +g974 +tp2181 +a(g835 +g966 +tp2182 +a(g436 +VABS_alf_err_LT_alf_sep_err +p2183 +tp2184 +a(g701 +g974 +tp2185 +a(g835 +V\u000a +p2186 +tp2187 +a(g436 +Vcond_20b +p2188 +tp2189 +a(g701 +g974 +tp2190 +a(g835 +g966 +tp2191 +a(g436 +Vcond_21 +p2192 +tp2193 +a(g701 +g974 +tp2194 +a(g835 +g966 +tp2195 +a(g436 +VABS_beta_n_GRT_beta_max +p2196 +tp2197 +a(g701 +g974 +tp2198 +a(g835 +g966 +tp2199 +a(g436 +Vcond_24 +p2200 +tp2201 +a(g701 +g974 +tp2202 +a(g835 +g966 +tp2203 +a(g436 +Vcond_26 +p2204 +tp2205 +a(g701 +g974 +tp2206 +a(g835 +V \u000a +p2207 +tp2208 +a(g436 +Vcond_27 +p2209 +tp2210 +a(g701 +g974 +tp2211 +a(g835 +g966 +tp2212 +a(g436 +Vcond_29 +p2213 +tp2214 +a(g701 +g974 +tp2215 +a(g835 +g966 +tp2216 +a(g436 +Vmm602_OK +p2217 +tp2218 +a(g701 +g1011 +tp2219 +a(g835 +V\u000a +p2220 +tp2221 +a(g805 +VVAR +p2222 +tp2223 +a(g835 +V\u000a +p2224 +tp2225 +a(g436 +Vstep +p2226 +tp2227 +a(g701 +g1020 +tp2228 +a(g835 +g966 +tp2229 +a(g701 +g1052 +tp2230 +a(g32 +g1106 +tp2231 +a(g701 +g974 +tp2232 +a(g436 +Va1 +p2233 +tp2234 +a(g701 +g974 +tp2235 +a(g32 +g1109 +tp2236 +a(g701 +g974 +tp2237 +a(g32 +g1112 +tp2238 +a(g701 +g974 +tp2239 +a(g32 +g1115 +tp2240 +a(g701 +g974 +tp2241 +a(g32 +g1118 +tp2242 +a(g701 +g974 +tp2243 +a(g32 +g1121 +tp2244 +a(g701 +g974 +tp2245 +a(g32 +g1124 +tp2246 +a(g701 +g974 +tp2247 +a(g32 +g1127 +tp2248 +a(g701 +g974 +tp2249 +a(g32 +g1130 +tp2250 +a(g701 +g974 +tp2251 +a(g32 +V10 +p2252 +tp2253 +a(g701 +g974 +tp2254 +a(g32 +V11 +p2255 +tp2256 +a(g701 +g974 +tp2257 +a(g32 +V12 +p2258 +tp2259 +a(g701 +g974 +tp2260 +a(g32 +V13 +p2261 +tp2262 +a(g701 +g974 +tp2263 +a(g32 +V14 +p2264 +tp2265 +a(g701 +g974 +tp2266 +a(g32 +V15 +p2267 +tp2268 +a(g701 +g974 +tp2269 +a(g32 +V16 +p2270 +tp2271 +a(g701 +g974 +tp2272 +a(g32 +V17 +p2273 +tp2274 +a(g701 +g974 +tp2275 +a(g32 +V18 +p2276 +tp2277 +a(g701 +g974 +tp2278 +a(g32 +V19 +p2279 +tp2280 +a(g701 +g974 +tp2281 +a(g32 +V20 +p2282 +tp2283 +a(g701 +g974 +tp2284 +a(g835 +V\u000a +p2285 +tp2286 +a(g436 +Vb20 +p2287 +tp2288 +a(g701 +g974 +tp2289 +a(g835 +g966 +tp2290 +a(g436 +Vc20 +p2291 +tp2292 +a(g701 +g974 +tp2293 +a(g835 +g966 +tp2294 +a(g436 +Vd20 +p2295 +tp2296 +a(g701 +g974 +tp2297 +a(g835 +g966 +tp2298 +a(g32 +V21 +p2299 +tp2300 +a(g701 +g974 +tp2301 +a(g32 +V22 +p2302 +tp2303 +a(g701 +g974 +tp2304 +a(g32 +V23 +p2305 +tp2306 +a(g701 +g974 +tp2307 +a(g32 +V24 +p2308 +tp2309 +a(g701 +g974 +tp2310 +a(g32 +V25 +p2311 +tp2312 +a(g701 +g974 +tp2313 +a(g32 +V26 +p2314 +tp2315 +a(g701 +g974 +tp2316 +a(g32 +V27 +p2317 +tp2318 +a(g701 +g974 +tp2319 +a(g32 +V28 +p2320 +tp2321 +a(g701 +g974 +tp2322 +a(g32 +V29 +p2323 +tp2324 +a(g701 +g974 +tp2325 +a(g436 +Vexit +p2326 +tp2327 +a(g701 +g974 +tp2328 +a(g835 +g966 +tp2329 +a(g436 +Vundef +p2330 +tp2331 +a(g701 +g1064 +tp2332 +a(g701 +g1025 +tp2333 +a(g835 +V\u000a +p2334 +tp2335 +a(g436 +Vcall_RTLS_abort_task +p2336 +tp2337 +a(g835 +g966 +tp2338 +a(g701 +g1020 +tp2339 +a(g835 +g966 +tp2340 +a(g744 +Vboolean +p2341 +tp2342 +a(g701 +g1025 +tp2343 +a(g835 +V\u000a +p2344 +tp2345 +a(g436 +Vfirst3 +p2346 +tp2347 +a(g701 +g1020 +tp2348 +a(g835 +g966 +tp2349 +a(g744 +Vboolean +p2350 +tp2351 +a(g701 +g1025 +tp2352 +a(g835 +g966 +tp2353 +a(g7 +V-- indicates if it is the first pass\u000a +p2354 +tp2355 +a(g835 +V +p2356 +tp2357 +a(g436 +Vfirst8 +p2358 +tp2359 +a(g701 +g1020 +tp2360 +a(g835 +g966 +tp2361 +a(g744 +Vboolean +p2362 +tp2363 +a(g701 +g1025 +tp2364 +a(g835 +V\u000a +p2365 +tp2366 +a(g436 +Vfirst27 +p2367 +tp2368 +a(g701 +g1020 +tp2369 +a(g835 +g966 +tp2370 +a(g744 +Vboolean +p2371 +tp2372 +a(g701 +g1025 +tp2373 +a(g835 +V\u000a +p2374 +tp2375 +a(g436 +Vs_unconv +p2376 +tp2377 +a(g835 +g966 +tp2378 +a(g701 +g1020 +tp2379 +a(g835 +g966 +tp2380 +a(g744 +Vboolean +p2381 +tp2382 +a(g701 +g1025 +tp2383 +a(g835 +V\u000a +p2384 +tp2385 +a(g436 +Vmode_2_indicator +p2386 +tp2387 +a(g835 +g966 +tp2388 +a(g701 +g1020 +tp2389 +a(g835 +g966 +tp2390 +a(g744 +Vboolean +p2391 +tp2392 +a(g701 +g1025 +tp2393 +a(g835 +V\u000a +p2394 +tp2395 +a(g436 +Vet_sep_man_initiate +p2396 +tp2397 +a(g835 +g966 +tp2398 +a(g701 +g1020 +tp2399 +a(g835 +g966 +tp2400 +a(g744 +Vboolean +p2401 +tp2402 +a(g701 +g1025 +tp2403 +a(g835 +V\u000a +p2404 +tp2405 +a(g436 +Vemerg_sep +p2406 +tp2407 +a(g835 +g966 +tp2408 +a(g701 +g1020 +tp2409 +a(g835 +g966 +tp2410 +a(g744 +Vboolean +p2411 +tp2412 +a(g701 +g1025 +tp2413 +a(g835 +V\u000a +p2414 +tp2415 +a(g436 +Vcont_3eo_pr_delay +p2416 +tp2417 +a(g835 +g966 +tp2418 +a(g701 +g1020 +tp2419 +a(g835 +g966 +tp2420 +a(g701 +g1052 +tp2421 +a(g436 +Vminus_z_reg1 +p2422 +tp2423 +a(g701 +g974 +tp2424 +a(g835 +g966 +tp2425 +a(g436 +Vminus_z_reg2 +p2426 +tp2427 +a(g701 +g974 +tp2428 +a(g835 +V \u000a +p2429 +tp2430 +a(g436 +Vminus_z_reg3 +p2431 +tp2432 +a(g701 +g974 +tp2433 +a(g835 +g966 +tp2434 +a(g436 +Vminus_z_reg4 +p2435 +tp2436 +a(g701 +g974 +tp2437 +a(g835 +g966 +tp2438 +a(g436 +Vminus_z_reg102 +p2439 +tp2440 +a(g701 +g974 +tp2441 +a(g835 +g966 +tp2442 +a(g32 +V0 +p2443 +tp2444 +a(g701 +g974 +tp2445 +a(g835 +g966 +tp2446 +a(g32 +g1118 +tp2447 +a(g701 +g1064 +tp2448 +a(g701 +g1025 +tp2449 +a(g835 +V\u000a +p2450 +tp2451 +a(g436 +Vetsep_y_drift +p2452 +tp2453 +a(g835 +g966 +tp2454 +a(g701 +g1020 +tp2455 +a(g835 +g966 +tp2456 +a(g701 +g1052 +tp2457 +a(g436 +Vundef +p2458 +tp2459 +a(g701 +g974 +tp2460 +a(g835 +g966 +tp2461 +a(g436 +Vminus_z_reg1 +p2462 +tp2463 +a(g701 +g974 +tp2464 +a(g835 +g966 +tp2465 +a(g436 +Vminus_z_reg2 +p2466 +tp2467 +a(g701 +g974 +tp2468 +a(g835 +V \u000a +p2469 +tp2470 +a(g436 +Vminus_z_reg3 +p2471 +tp2472 +a(g701 +g974 +tp2473 +a(g835 +g966 +tp2474 +a(g436 +Vminus_z_reg4 +p2475 +tp2476 +a(g701 +g974 +tp2477 +a(g835 +g966 +tp2478 +a(g436 +Vminus_z_reg102 +p2479 +tp2480 +a(g701 +g974 +tp2481 +a(g835 +g966 +tp2482 +a(g32 +g2443 +tp2483 +a(g701 +g1064 +tp2484 +a(g701 +g1025 +tp2485 +a(g835 +V\u000a +p2486 +tp2487 +a(g436 +Vfwd_rcs_dump_enable +p2488 +tp2489 +a(g835 +g966 +tp2490 +a(g701 +g1020 +tp2491 +a(g835 +g966 +tp2492 +a(g744 +Vboolean +p2493 +tp2494 +a(g701 +g1025 +tp2495 +a(g835 +V\u000a +p2496 +tp2497 +a(g436 +Vfcs_accept_icnct +p2498 +tp2499 +a(g835 +g966 +tp2500 +a(g701 +g1020 +tp2501 +a(g835 +g966 +tp2502 +a(g744 +Vboolean +p2503 +tp2504 +a(g701 +g1025 +tp2505 +a(g835 +V\u000a +p2506 +tp2507 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p2508 +tp2509 +a(g835 +g966 +tp2510 +a(g701 +g1020 +tp2511 +a(g835 +g966 +tp2512 +a(g744 +Vboolean +p2513 +tp2514 +a(g701 +g1025 +tp2515 +a(g835 +V\u000a +p2516 +tp2517 +a(g436 +Vorbiter_dump_ena +p2518 +tp2519 +a(g835 +g966 +tp2520 +a(g701 +g1020 +tp2521 +a(g835 +g966 +tp2522 +a(g744 +Vboolean +p2523 +tp2524 +a(g701 +g1025 +tp2525 +a(g835 +V\u000a +p2526 +tp2527 +a(g436 +Vfrz_3eo +p2528 +tp2529 +a(g835 +g966 +tp2530 +a(g701 +g1020 +tp2531 +a(g835 +g966 +tp2532 +a(g744 +Vboolean +p2533 +tp2534 +a(g701 +g1025 +tp2535 +a(g835 +V\u000a +p2536 +tp2537 +a(g436 +Vhigh_rate_sep +p2538 +tp2539 +a(g701 +g1020 +tp2540 +a(g835 +g966 +tp2541 +a(g744 +Vboolean +p2542 +tp2543 +a(g701 +g1025 +tp2544 +a(g835 +V\u000a +p2545 +tp2546 +a(g436 +Ventry_gains +p2547 +tp2548 +a(g835 +g966 +tp2549 +a(g701 +g1020 +tp2550 +a(g835 +g966 +tp2551 +a(g744 +Vboolean +p2552 +tp2553 +a(g701 +g1025 +tp2554 +a(g835 +V\u000a +p2555 +tp2556 +a(g436 +Vcont_sep_cplt +p2557 +tp2558 +a(g835 +g966 +tp2559 +a(g701 +g1020 +tp2560 +a(g835 +g966 +tp2561 +a(g744 +Vboolean +p2562 +tp2563 +a(g701 +g1025 +tp2564 +a(g835 +V\u000a +p2565 +tp2566 +a(g436 +Vpch_cmd_reg4 +p2567 +tp2568 +a(g835 +g966 +tp2569 +a(g701 +g1020 +tp2570 +a(g835 +g966 +tp2571 +a(g744 +Vboolean +p2572 +tp2573 +a(g701 +g1025 +tp2574 +a(g835 +V\u000a +p2575 +tp2576 +a(g436 +Valpha_ok +p2577 +tp2578 +a(g835 +g966 +tp2579 +a(g701 +g1020 +tp2580 +a(g835 +g966 +tp2581 +a(g744 +Vboolean +p2582 +tp2583 +a(g701 +g1025 +tp2584 +a(g835 +V\u000a +p2585 +tp2586 +a(g436 +g1069 +tp2587 +a(g835 +g966 +tp2588 +a(g701 +g1020 +tp2589 +a(g835 +V +p2590 +tp2591 +a(g701 +g1052 +tp2592 +a(g436 +Vreg-1 +p2593 +tp2594 +a(g701 +g974 +tp2595 +a(g835 +g966 +tp2596 +a(g436 +Vreg0 +p2597 +tp2598 +a(g701 +g974 +tp2599 +a(g835 +g966 +tp2600 +a(g436 +Vreg1 +p2601 +tp2602 +a(g701 +g974 +tp2603 +a(g835 +g966 +tp2604 +a(g436 +Vreg2 +p2605 +tp2606 +a(g701 +g974 +tp2607 +a(g835 +g966 +tp2608 +a(g436 +Vreg3 +p2609 +tp2610 +a(g701 +g974 +tp2611 +a(g835 +g966 +tp2612 +a(g436 +Vreg4 +p2613 +tp2614 +a(g701 +g974 +tp2615 +a(g835 +g966 +tp2616 +a(g436 +Vreg102 +p2617 +tp2618 +a(g701 +g1064 +tp2619 +a(g701 +g1025 +tp2620 +a(g835 +V\u000a +p2621 +tp2622 +a(g436 +Vearly_sep +p2623 +tp2624 +a(g835 +g966 +tp2625 +a(g701 +g1020 +tp2626 +a(g835 +g966 +tp2627 +a(g744 +Vboolean +p2628 +tp2629 +a(g701 +g1025 +tp2630 +a(g835 +V\u000a +p2631 +tp2632 +a(g7 +V--------------------------------------------\u000a +p2633 +tp2634 +a(g7 +V----- Additional Variables -----------------\u000a +p2635 +tp2636 +a(g7 +V--------------------------------------------\u000a +p2637 +tp2638 +a(g835 +V +p2639 +tp2640 +a(g436 +Vrtls_lo_f_d_delay +p2641 +tp2642 +a(g835 +g966 +tp2643 +a(g701 +g1020 +tp2644 +a(g835 +g966 +tp2645 +a(g701 +g1052 +tp2646 +a(g436 +Vundef +p2647 +tp2648 +a(g701 +g974 +tp2649 +a(g835 +g966 +tp2650 +a(g32 +g2443 +tp2651 +a(g701 +g1064 +tp2652 +a(g701 +g1025 +tp2653 +a(g835 +V\u000a +p2654 +tp2655 +a(g436 +Vwcb2 +p2656 +tp2657 +a(g835 +g966 +tp2658 +a(g701 +g1020 +tp2659 +a(g835 +g966 +tp2660 +a(g701 +g1052 +tp2661 +a(g436 +Vundef +p2662 +tp2663 +a(g701 +g974 +tp2664 +a(g835 +g966 +tp2665 +a(g436 +Vreg1_0 +p2666 +tp2667 +a(g701 +g974 +tp2668 +a(g835 +g966 +tp2669 +a(g436 +Vreg2_neg4 +p2670 +tp2671 +a(g701 +g974 +tp2672 +a(g835 +g966 +tp2673 +a(g436 +Vwcb2_3eo +p2674 +tp2675 +a(g701 +g974 +tp2676 +a(g835 +g966 +tp2677 +a(g436 +Vreg4_0 +p2678 +tp2679 +a(g701 +g974 +tp2680 +a(g835 +V \u000a +p2681 +tp2682 +a(g436 +Vreg102_undef +p2683 +tp2684 +a(g701 +g974 +tp2685 +a(g835 +g966 +tp2686 +a(g436 +Vpost_sep_0 +p2687 +tp2688 +a(g701 +g1064 +tp2689 +a(g701 +g1025 +tp2690 +a(g835 +V\u000a +p2691 +tp2692 +a(g436 +Vq_gcb_i +p2693 +tp2694 +a(g835 +g966 +tp2695 +a(g701 +g1020 +tp2696 +a(g835 +g966 +tp2697 +a(g701 +g1052 +tp2698 +a(g436 +Vundef +p2699 +tp2700 +a(g701 +g974 +tp2701 +a(g835 +g966 +tp2702 +a(g436 +Vquat_reg1 +p2703 +tp2704 +a(g701 +g974 +tp2705 +a(g835 +g966 +tp2706 +a(g436 +Vquat_reg2 +p2707 +tp2708 +a(g701 +g974 +tp2709 +a(g835 +g966 +tp2710 +a(g436 +Vquat_reg3 +p2711 +tp2712 +a(g701 +g974 +tp2713 +a(g835 +g966 +tp2714 +a(g436 +Vquat_reg4 +p2715 +tp2716 +a(g701 +g974 +tp2717 +a(g835 +V \u000a +p2718 +tp2719 +a(g436 +Vquat_reg102_undef +p2720 +tp2721 +a(g701 +g974 +tp2722 +a(g835 +g966 +tp2723 +a(g436 +Vquat_entry_M50_to_cmdbody +p2724 +tp2725 +a(g701 +g1064 +tp2726 +a(g701 +g1025 +tp2727 +a(g835 +V\u000a +p2728 +tp2729 +a(g436 +Voms_nz_lim +p2730 +tp2731 +a(g835 +g966 +tp2732 +a(g701 +g1020 +tp2733 +a(g835 +g966 +tp2734 +a(g701 +g1052 +tp2735 +a(g436 +Vundef +p2736 +tp2737 +a(g701 +g974 +tp2738 +a(g835 +g966 +tp2739 +a(g436 +Voms_nz_lim_3eo +p2740 +tp2741 +a(g701 +g974 +tp2742 +a(g835 +g966 +tp2743 +a(g436 +Voms_nz_lim_iload +p2744 +tp2745 +a(g701 +g974 +tp2746 +a(g835 +g966 +tp2747 +a(g436 +Voms_nz_lim_std +p2748 +tp2749 +a(g701 +g1064 +tp2750 +a(g701 +g1025 +tp2751 +a(g835 +V\u000a +p2752 +tp2753 +a(g436 +Vcontingency_nz_lim +p2754 +tp2755 +a(g835 +g966 +tp2756 +a(g701 +g1020 +tp2757 +a(g835 +g966 +tp2758 +a(g701 +g1052 +tp2759 +a(g436 +Vundef +p2760 +tp2761 +a(g701 +g974 +tp2762 +a(g835 +g966 +tp2763 +a(g436 +Vcontingency_nz_lim_3eo +p2764 +tp2765 +a(g701 +g974 +tp2766 +a(g835 +V \u000a +p2767 +tp2768 +a(g436 +Vcontingency_nz_lim_iload +p2769 +tp2770 +a(g701 +g974 +tp2771 +a(g835 +g966 +tp2772 +a(g436 +Vcontingency_nz_lim_std +p2773 +tp2774 +a(g701 +g1064 +tp2775 +a(g701 +g1025 +tp2776 +a(g835 +V\u000a \u000a\u000a\u000a +p2777 +tp2778 +a(g805 +VASSIGN +p2779 +tp2780 +a(g835 +V\u000a +p2781 +tp2782 +a(g677 +Vinit +p2783 +tp2784 +a(g701 +g970 +tp2785 +a(g436 +Ventry_gains +p2786 +tp2787 +a(g701 +g1011 +tp2788 +a(g835 +g966 +tp2789 +a(g408 +V:= +p2790 +tp2791 +a(g835 +g966 +tp2792 +a(g759 +VFALSE +p2793 +tp2794 +a(g701 +g1025 +tp2795 +a(g835 +V\u000a +p2796 +tp2797 +a(g677 +Vinit +p2798 +tp2799 +a(g701 +g970 +tp2800 +a(g436 +Vfrz_3eo +p2801 +tp2802 +a(g701 +g1011 +tp2803 +a(g835 +g966 +tp2804 +a(g408 +V:= +p2805 +tp2806 +a(g835 +g966 +tp2807 +a(g759 +VFALSE +p2808 +tp2809 +a(g701 +g1025 +tp2810 +a(g835 +V\u000a +p2811 +tp2812 +a(g677 +Vinit +p2813 +tp2814 +a(g701 +g970 +tp2815 +a(g436 +Vcont_3eo_pr_delay +p2816 +tp2817 +a(g701 +g1011 +tp2818 +a(g835 +g966 +tp2819 +a(g408 +V:= +p2820 +tp2821 +a(g835 +g966 +tp2822 +a(g32 +g1118 +tp2823 +a(g701 +g1025 +tp2824 +a(g835 +V\u000a +p2825 +tp2826 +a(g677 +Vinit +p2827 +tp2828 +a(g701 +g970 +tp2829 +a(g436 +Vetsep_y_drift +p2830 +tp2831 +a(g701 +g1011 +tp2832 +a(g835 +g966 +tp2833 +a(g408 +V:= +p2834 +tp2835 +a(g835 +g966 +tp2836 +a(g436 +Vundef +p2837 +tp2838 +a(g701 +g1025 +tp2839 +a(g835 +V\u000a +p2840 +tp2841 +a(g677 +Vinit +p2842 +tp2843 +a(g701 +g970 +tp2844 +a(g436 +g1069 +tp2845 +a(g701 +g1011 +tp2846 +a(g835 +g966 +tp2847 +a(g408 +V:= +p2848 +tp2849 +a(g835 +g966 +tp2850 +a(g436 +Vreg-1 +p2851 +tp2852 +a(g701 +g1025 +tp2853 +a(g835 +V\u000a +p2854 +tp2855 +a(g677 +Vinit +p2856 +tp2857 +a(g701 +g970 +tp2858 +a(g436 +Vstep +p2859 +tp2860 +a(g701 +g1011 +tp2861 +a(g835 +g966 +tp2862 +a(g408 +V:= +p2863 +tp2864 +a(g835 +g966 +tp2865 +a(g436 +Vundef +p2866 +tp2867 +a(g701 +g1025 +tp2868 +a(g835 +V\u000a +p2869 +tp2870 +a(g677 +Vinit +p2871 +tp2872 +a(g701 +g970 +tp2873 +a(g436 +Vcall_RTLS_abort_task +p2874 +tp2875 +a(g701 +g1011 +tp2876 +a(g835 +g966 +tp2877 +a(g408 +V:= +p2878 +tp2879 +a(g835 +g966 +tp2880 +a(g759 +VFALSE +p2881 +tp2882 +a(g701 +g1025 +tp2883 +a(g835 +V\u000a +p2884 +tp2885 +a(g677 +Vinit +p2886 +tp2887 +a(g701 +g970 +tp2888 +a(g436 +Vfirst3 +p2889 +tp2890 +a(g701 +g1011 +tp2891 +a(g835 +g966 +tp2892 +a(g408 +V:= +p2893 +tp2894 +a(g835 +g966 +tp2895 +a(g759 +VTRUE +p2896 +tp2897 +a(g701 +g1025 +tp2898 +a(g835 +V\u000a +p2899 +tp2900 +a(g677 +Vinit +p2901 +tp2902 +a(g701 +g970 +tp2903 +a(g436 +Vfirst8 +p2904 +tp2905 +a(g701 +g1011 +tp2906 +a(g835 +g966 +tp2907 +a(g408 +V:= +p2908 +tp2909 +a(g835 +g966 +tp2910 +a(g759 +VTRUE +p2911 +tp2912 +a(g701 +g1025 +tp2913 +a(g835 +V\u000a +p2914 +tp2915 +a(g677 +Vinit +p2916 +tp2917 +a(g701 +g970 +tp2918 +a(g436 +Vfirst27 +p2919 +tp2920 +a(g701 +g1011 +tp2921 +a(g835 +g966 +tp2922 +a(g408 +V:= +p2923 +tp2924 +a(g835 +g966 +tp2925 +a(g759 +VTRUE +p2926 +tp2927 +a(g701 +g1025 +tp2928 +a(g835 +V\u000a +p2929 +tp2930 +a(g677 +Vinit +p2931 +tp2932 +a(g701 +g970 +tp2933 +a(g436 +Vcont_sep_cplt +p2934 +tp2935 +a(g701 +g1011 +tp2936 +a(g835 +g966 +tp2937 +a(g408 +V:= +p2938 +tp2939 +a(g835 +g966 +tp2940 +a(g759 +VFALSE +p2941 +tp2942 +a(g701 +g1025 +tp2943 +a(g835 +V\u000a +p2944 +tp2945 +a(g677 +Vinit +p2946 +tp2947 +a(g701 +g970 +tp2948 +a(g436 +Vet_sep_man_initiate +p2949 +tp2950 +a(g701 +g1011 +tp2951 +a(g835 +g966 +tp2952 +a(g408 +V:= +p2953 +tp2954 +a(g835 +g966 +tp2955 +a(g759 +VFALSE +p2956 +tp2957 +a(g701 +g1025 +tp2958 +a(g835 +V\u000a +p2959 +tp2960 +a(g677 +Vinit +p2961 +tp2962 +a(g701 +g970 +tp2963 +a(g436 +Valpha_ok +p2964 +tp2965 +a(g701 +g1011 +tp2966 +a(g835 +g966 +tp2967 +a(g408 +V:= +p2968 +tp2969 +a(g835 +g966 +tp2970 +a(g759 +VFALSE +p2971 +tp2972 +a(g701 +g1025 +tp2973 +a(g835 +V\u000a +p2974 +tp2975 +a(g677 +Vinit +p2976 +tp2977 +a(g701 +g970 +tp2978 +a(g436 +Vpch_cmd_reg4 +p2979 +tp2980 +a(g701 +g1011 +tp2981 +a(g835 +g966 +tp2982 +a(g408 +V:= +p2983 +tp2984 +a(g835 +g966 +tp2985 +a(g759 +VFALSE +p2986 +tp2987 +a(g701 +g1025 +tp2988 +a(g835 +V\u000a\u000a +p2989 +tp2990 +a(g7 +V-- Assumed initializations:\u000a +p2991 +tp2992 +a(g835 +V\u000a +p2993 +tp2994 +a(g677 +Vinit +p2995 +tp2996 +a(g701 +g970 +tp2997 +a(g436 +Vrtls_lo_f_d_delay +p2998 +tp2999 +a(g701 +g1011 +tp3000 +a(g835 +g966 +tp3001 +a(g408 +V:= +p3002 +tp3003 +a(g835 +g966 +tp3004 +a(g436 +Vundef +p3005 +tp3006 +a(g701 +g1025 +tp3007 +a(g835 +V\u000a +p3008 +tp3009 +a(g677 +Vinit +p3010 +tp3011 +a(g701 +g970 +tp3012 +a(g436 +Vwcb2 +p3013 +tp3014 +a(g701 +g1011 +tp3015 +a(g835 +g966 +tp3016 +a(g408 +V:= +p3017 +tp3018 +a(g835 +g966 +tp3019 +a(g436 +Vundef +p3020 +tp3021 +a(g701 +g1025 +tp3022 +a(g835 +V\u000a +p3023 +tp3024 +a(g677 +Vinit +p3025 +tp3026 +a(g701 +g970 +tp3027 +a(g436 +Vq_gcb_i +p3028 +tp3029 +a(g701 +g1011 +tp3030 +a(g835 +g966 +tp3031 +a(g408 +V:= +p3032 +tp3033 +a(g835 +g966 +tp3034 +a(g436 +Vundef +p3035 +tp3036 +a(g701 +g1025 +tp3037 +a(g835 +V\u000a +p3038 +tp3039 +a(g677 +Vinit +p3040 +tp3041 +a(g701 +g970 +tp3042 +a(g436 +Voms_nz_lim +p3043 +tp3044 +a(g701 +g1011 +tp3045 +a(g835 +g966 +tp3046 +a(g408 +V:= +p3047 +tp3048 +a(g835 +g966 +tp3049 +a(g436 +Vundef +p3050 +tp3051 +a(g701 +g1025 +tp3052 +a(g835 +V\u000a +p3053 +tp3054 +a(g677 +Vinit +p3055 +tp3056 +a(g701 +g970 +tp3057 +a(g436 +Vcontingency_nz_lim +p3058 +tp3059 +a(g701 +g1011 +tp3060 +a(g835 +g966 +tp3061 +a(g408 +V:= +p3062 +tp3063 +a(g835 +g966 +tp3064 +a(g436 +Vundef +p3065 +tp3066 +a(g701 +g1025 +tp3067 +a(g835 +V\u000a +p3068 +tp3069 +a(g677 +Vinit +p3070 +tp3071 +a(g701 +g970 +tp3072 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p3073 +tp3074 +a(g701 +g1011 +tp3075 +a(g835 +g966 +tp3076 +a(g408 +V:= +p3077 +tp3078 +a(g835 +g966 +tp3079 +a(g759 +VFALSE +p3080 +tp3081 +a(g701 +g1025 +tp3082 +a(g835 +V\u000a +p3083 +tp3084 +a(g677 +Vinit +p3085 +tp3086 +a(g701 +g970 +tp3087 +a(g436 +Vorbiter_dump_ena +p3088 +tp3089 +a(g701 +g1011 +tp3090 +a(g835 +g966 +tp3091 +a(g408 +V:= +p3092 +tp3093 +a(g835 +g966 +tp3094 +a(g759 +VFALSE +p3095 +tp3096 +a(g701 +g1025 +tp3097 +a(g835 +V\u000a +p3098 +tp3099 +a(g7 +V-- init(early_sep) := FALSE;\u000a +p3100 +tp3101 +a(g835 +V\u000a +p3102 +tp3103 +a(g7 +V-------------\u000a +p3104 +tp3105 +a(g835 +V\u000a +p3106 +tp3107 +a(g422 +Vnext +p3108 +tp3109 +a(g701 +g970 +tp3110 +a(g436 +Vstep +p3111 +tp3112 +a(g701 +g1011 +tp3113 +a(g835 +g966 +tp3114 +a(g408 +V:= +p3115 +tp3116 +a(g835 +g966 +tp3117 +a(g436 +Vnextstep +p3118 +tp3119 +a(g701 +g1025 +tp3120 +a(g835 +V\u000a\u000a +p3121 +tp3122 +a(g422 +Vnext +p3123 +tp3124 +a(g701 +g970 +tp3125 +a(g436 +g1069 +tp3126 +a(g701 +g1011 +tp3127 +a(g835 +g966 +tp3128 +a(g408 +V:= +p3129 +tp3130 +a(g835 +V\u000a +p3131 +tp3132 +a(g740 +Vcase +p3133 +tp3134 +a(g835 +V\u000a +p3135 +tp3136 +a(g436 +Vstep +p3137 +tp3138 +a(g835 +g966 +tp3139 +a(g408 +g1264 +tp3140 +a(g835 +g966 +tp3141 +a(g436 +Va1 +p3142 +tp3143 +a(g835 +g966 +tp3144 +a(g408 +g1269 +tp3145 +a(g835 +g966 +tp3146 +a(g701 +g970 +tp3147 +a(g436 +Vcont_3EO_start +p3148 +tp3149 +a(g835 +g966 +tp3150 +a(g408 +g1842 +tp3151 +a(g835 +g966 +tp3152 +a(g436 +Vmode_select_completed +p3153 +tp3154 +a(g701 +g1011 +tp3155 +a(g835 +g966 +tp3156 +a(g701 +g1020 +tp3157 +a(g835 +g966 +tp3158 +a(g436 +Vr0 +p3159 +tp3160 +a(g701 +g1025 +tp3161 +a(g835 +V\u000a +p3162 +tp3163 +a(g436 +Vstep +p3164 +tp3165 +a(g835 +g966 +tp3166 +a(g408 +g1264 +tp3167 +a(g835 +g966 +tp3168 +a(g32 +V21 +p3169 +tp3170 +a(g835 +g966 +tp3171 +a(g408 +g1269 +tp3172 +a(g835 +g966 +tp3173 +a(g436 +Vcond_21 +p3174 +tp3175 +a(g835 +g966 +tp3176 +a(g701 +g1020 +tp3177 +a(g835 +g966 +tp3178 +a(g436 +Vreg4 +p3179 +tp3180 +a(g701 +g1025 +tp3181 +a(g835 +V\u000a +p3182 +tp3183 +a(g436 +Vstep +p3184 +tp3185 +a(g835 +g966 +tp3186 +a(g408 +g1264 +tp3187 +a(g835 +g966 +tp3188 +a(g32 +V23 +p3189 +tp3190 +a(g835 +g966 +tp3191 +a(g408 +g1269 +tp3192 +a(g835 +g966 +tp3193 +a(g436 +VABS_beta_n_GRT_beta_max +p3194 +tp3195 +a(g835 +g966 +tp3196 +a(g408 +g1269 +tp3197 +a(g835 +g966 +tp3198 +a(g408 +g1502 +tp3199 +a(g436 +Vhigh_rate_sep +p3200 +tp3201 +a(g835 +g966 +tp3202 +a(g701 +g1020 +tp3203 +a(g835 +g966 +tp3204 +a(g436 +Vreg1 +p3205 +tp3206 +a(g701 +g1025 +tp3207 +a(g835 +V\u000a +p3208 +tp3209 +a(g759 +VTRUE +p3210 +tp3211 +a(g835 +g966 +tp3212 +a(g701 +g1020 +tp3213 +a(g835 +g966 +tp3214 +a(g436 +g1069 +tp3215 +a(g701 +g1025 +tp3216 +a(g835 +V\u000a +p3217 +tp3218 +a(g740 +Vesac +p3219 +tp3220 +a(g701 +g1025 +tp3221 +a(g835 +V\u000a\u000a +p3222 +tp3223 +a(g422 +Vnext +p3224 +tp3225 +a(g701 +g970 +tp3226 +a(g436 +Vfirst3 +p3227 +tp3228 +a(g701 +g1011 +tp3229 +a(g835 +g966 +tp3230 +a(g408 +V:= +p3231 +tp3232 +a(g835 +V\u000a +p3233 +tp3234 +a(g740 +Vcase +p3235 +tp3236 +a(g835 +V\u000a +p3237 +tp3238 +a(g436 +Vstep +p3239 +tp3240 +a(g835 +g966 +tp3241 +a(g408 +g1264 +tp3242 +a(g835 +g966 +tp3243 +a(g32 +g1112 +tp3244 +a(g835 +g966 +tp3245 +a(g408 +g1269 +tp3246 +a(g835 +g966 +tp3247 +a(g436 +Vcont_3EO_start +p3248 +tp3249 +a(g835 +g966 +tp3250 +a(g701 +g1020 +tp3251 +a(g835 +g966 +tp3252 +a(g759 +VFALSE +p3253 +tp3254 +a(g701 +g1025 +tp3255 +a(g835 +V\u000a +p3256 +tp3257 +a(g759 +VTRUE +p3258 +tp3259 +a(g835 +g966 +tp3260 +a(g701 +g1020 +tp3261 +a(g835 +g966 +tp3262 +a(g436 +Vfirst3 +p3263 +tp3264 +a(g701 +g1025 +tp3265 +a(g835 +V\u000a +p3266 +tp3267 +a(g740 +Vesac +p3268 +tp3269 +a(g701 +g1025 +tp3270 +a(g835 +V\u000a\u000a +p3271 +tp3272 +a(g422 +Vnext +p3273 +tp3274 +a(g701 +g970 +tp3275 +a(g436 +Vfirst8 +p3276 +tp3277 +a(g701 +g1011 +tp3278 +a(g835 +g966 +tp3279 +a(g408 +V:= +p3280 +tp3281 +a(g835 +V\u000a +p3282 +tp3283 +a(g740 +Vcase +p3284 +tp3285 +a(g835 +V\u000a +p3286 +tp3287 +a(g436 +Vstep +p3288 +tp3289 +a(g835 +g966 +tp3290 +a(g408 +g1264 +tp3291 +a(g835 +g966 +tp3292 +a(g32 +g1127 +tp3293 +a(g835 +g966 +tp3294 +a(g408 +g1269 +tp3295 +a(g835 +g966 +tp3296 +a(g436 +Vexcess_OMS_propellant +p3297 +tp3298 +a(g835 +g966 +tp3299 +a(g408 +g1269 +tp3300 +a(g835 +g966 +tp3301 +a(g436 +Vcont_3EO_start +p3302 +tp3303 +a(g835 +g966 +tp3304 +a(g701 +g1020 +tp3305 +a(g835 +g966 +tp3306 +a(g759 +VFALSE +p3307 +tp3308 +a(g701 +g1025 +tp3309 +a(g835 +V\u000a +p3310 +tp3311 +a(g759 +VTRUE +p3312 +tp3313 +a(g835 +g966 +tp3314 +a(g701 +g1020 +tp3315 +a(g835 +g966 +tp3316 +a(g436 +Vfirst8 +p3317 +tp3318 +a(g701 +g1025 +tp3319 +a(g835 +V\u000a +p3320 +tp3321 +a(g740 +Vesac +p3322 +tp3323 +a(g701 +g1025 +tp3324 +a(g835 +V\u000a\u000a +p3325 +tp3326 +a(g422 +Vnext +p3327 +tp3328 +a(g701 +g970 +tp3329 +a(g436 +Vfirst27 +p3330 +tp3331 +a(g701 +g1011 +tp3332 +a(g835 +g966 +tp3333 +a(g408 +V:= +p3334 +tp3335 +a(g835 +V\u000a +p3336 +tp3337 +a(g740 +Vcase +p3338 +tp3339 +a(g835 +V\u000a +p3340 +tp3341 +a(g436 +Vstep +p3342 +tp3343 +a(g835 +g966 +tp3344 +a(g408 +g1264 +tp3345 +a(g835 +g966 +tp3346 +a(g32 +V27 +p3347 +tp3348 +a(g835 +g966 +tp3349 +a(g701 +g1020 +tp3350 +a(g835 +g966 +tp3351 +a(g759 +VFALSE +p3352 +tp3353 +a(g701 +g1025 +tp3354 +a(g835 +V\u000a +p3355 +tp3356 +a(g759 +VTRUE +p3357 +tp3358 +a(g701 +g1020 +tp3359 +a(g835 +g966 +tp3360 +a(g436 +Vfirst27 +p3361 +tp3362 +a(g701 +g1025 +tp3363 +a(g835 +V\u000a +p3364 +tp3365 +a(g740 +Vesac +p3366 +tp3367 +a(g701 +g1025 +tp3368 +a(g835 +V\u000a\u000a +p3369 +tp3370 +a(g422 +Vnext +p3371 +tp3372 +a(g701 +g970 +tp3373 +a(g436 +Vs_unconv +p3374 +tp3375 +a(g701 +g1011 +tp3376 +a(g835 +g966 +tp3377 +a(g408 +V:= +p3378 +tp3379 +a(g835 +V\u000a +p3380 +tp3381 +a(g740 +Vcase +p3382 +tp3383 +a(g835 +V\u000a +p3384 +tp3385 +a(g436 +Vstep +p3386 +tp3387 +a(g835 +g966 +tp3388 +a(g408 +g1264 +tp3389 +a(g835 +g966 +tp3390 +a(g32 +g1112 +tp3391 +a(g835 +g966 +tp3392 +a(g701 +g1020 +tp3393 +a(g835 +g966 +tp3394 +a(g759 +VFALSE +p3395 +tp3396 +a(g701 +g1025 +tp3397 +a(g835 +V\u000a +p3398 +tp3399 +a(g759 +VTRUE +p3400 +tp3401 +a(g835 +g966 +tp3402 +a(g701 +g1020 +tp3403 +a(g835 +g966 +tp3404 +a(g436 +Vs_unconv +p3405 +tp3406 +a(g701 +g1025 +tp3407 +a(g835 +V\u000a +p3408 +tp3409 +a(g740 +Vesac +p3410 +tp3411 +a(g701 +g1025 +tp3412 +a(g835 +V\u000a\u000a +p3413 +tp3414 +a(g422 +Vnext +p3415 +tp3416 +a(g701 +g970 +tp3417 +a(g436 +Vcall_RTLS_abort_task +p3418 +tp3419 +a(g701 +g1011 +tp3420 +a(g835 +g966 +tp3421 +a(g408 +V:= +p3422 +tp3423 +a(g835 +V \u000a +p3424 +tp3425 +a(g740 +Vcase +p3426 +tp3427 +a(g835 +V\u000a +p3428 +tp3429 +a(g436 +Vstep +p3430 +tp3431 +a(g835 +g966 +tp3432 +a(g408 +g1264 +tp3433 +a(g835 +g966 +tp3434 +a(g32 +g1112 +tp3435 +a(g835 +g966 +tp3436 +a(g701 +g1020 +tp3437 +a(g835 +g966 +tp3438 +a(g759 +VTRUE +p3439 +tp3440 +a(g701 +g1025 +tp3441 +a(g835 +V\u000a +p3442 +tp3443 +a(g759 +VTRUE +p3444 +tp3445 +a(g835 +g966 +tp3446 +a(g701 +g1020 +tp3447 +a(g835 +g966 +tp3448 +a(g436 +Vcall_RTLS_abort_task +p3449 +tp3450 +a(g701 +g1025 +tp3451 +a(g835 +V\u000a +p3452 +tp3453 +a(g740 +Vesac +p3454 +tp3455 +a(g701 +g1025 +tp3456 +a(g835 +V\u000a\u000a +p3457 +tp3458 +a(g422 +Vnext +p3459 +tp3460 +a(g701 +g970 +tp3461 +a(g436 +Vmode_2_indicator +p3462 +tp3463 +a(g701 +g1011 +tp3464 +a(g835 +g966 +tp3465 +a(g408 +V:= +p3466 +tp3467 +a(g835 +V\u000a +p3468 +tp3469 +a(g740 +Vcase +p3470 +tp3471 +a(g835 +V\u000a +p3472 +tp3473 +a(g436 +Vstep +p3474 +tp3475 +a(g835 +g966 +tp3476 +a(g408 +g1264 +tp3477 +a(g835 +g966 +tp3478 +a(g32 +g1115 +tp3479 +a(g835 +g966 +tp3480 +a(g701 +g1020 +tp3481 +a(g835 +g966 +tp3482 +a(g759 +VTRUE +p3483 +tp3484 +a(g701 +g1025 +tp3485 +a(g835 +V\u000a +p3486 +tp3487 +a(g759 +VTRUE +p3488 +tp3489 +a(g835 +g966 +tp3490 +a(g701 +g1020 +tp3491 +a(g835 +g966 +tp3492 +a(g436 +Vmode_2_indicator +p3493 +tp3494 +a(g701 +g1025 +tp3495 +a(g835 +V\u000a +p3496 +tp3497 +a(g740 +Vesac +p3498 +tp3499 +a(g701 +g1025 +tp3500 +a(g835 +V\u000a\u000a +p3501 +tp3502 +a(g422 +Vnext +p3503 +tp3504 +a(g701 +g970 +tp3505 +a(g436 +Vet_sep_man_initiate +p3506 +tp3507 +a(g701 +g1011 +tp3508 +a(g835 +g966 +tp3509 +a(g408 +V:= +p3510 +tp3511 +a(g835 +V\u000a +p3512 +tp3513 +a(g740 +Vcase +p3514 +tp3515 +a(g835 +V\u000a +p3516 +tp3517 +a(g436 +Vstep +p3518 +tp3519 +a(g835 +g966 +tp3520 +a(g408 +g1264 +tp3521 +a(g835 +g966 +tp3522 +a(g32 +g1118 +tp3523 +a(g835 +g966 +tp3524 +a(g408 +g1269 +tp3525 +a(g835 +g966 +tp3526 +a(g436 +Vh_dot_LT_0 +p3527 +tp3528 +a(g835 +g966 +tp3529 +a(g408 +g1269 +tp3530 +a(g835 +g966 +tp3531 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p3532 +tp3533 +a(g835 +g966 +tp3534 +a(g408 +g1269 +tp3535 +a(g835 +g966 +tp3536 +a(g436 +Vm_mode +p3537 +tp3538 +a(g835 +g966 +tp3539 +a(g408 +g1502 +tp3540 +a(g408 +g1264 +tp3541 +a(g835 +g966 +tp3542 +a(g436 +Vmm102 +p3543 +tp3544 +a(g835 +g966 +tp3545 +a(g701 +g1020 +tp3546 +a(g835 +g966 +tp3547 +a(g759 +VTRUE +p3548 +tp3549 +a(g701 +g1025 +tp3550 +a(g835 +V\u000a +p3551 +tp3552 +a(g436 +Vstep +p3553 +tp3554 +a(g835 +g966 +tp3555 +a(g408 +g1264 +tp3556 +a(g835 +g966 +tp3557 +a(g32 +V14 +p3558 +tp3559 +a(g835 +g966 +tp3560 +a(g408 +g1269 +tp3561 +a(g835 +g966 +tp3562 +a(g436 +Vpre_sep +p3563 +tp3564 +a(g835 +g966 +tp3565 +a(g701 +g1020 +tp3566 +a(g835 +g966 +tp3567 +a(g759 +VTRUE +p3568 +tp3569 +a(g701 +g1025 +tp3570 +a(g835 +V\u000a +p3571 +tp3572 +a(g436 +Vstep +p3573 +tp3574 +a(g835 +g966 +tp3575 +a(g408 +g1264 +tp3576 +a(g835 +g966 +tp3577 +a(g32 +V19 +p3578 +tp3579 +a(g835 +g966 +tp3580 +a(g408 +g1269 +tp3581 +a(g835 +g966 +tp3582 +a(g436 +Vq_orb_LT_0 +p3583 +tp3584 +a(g835 +g966 +tp3585 +a(g701 +g1020 +tp3586 +a(g835 +g966 +tp3587 +a(g759 +VTRUE +p3588 +tp3589 +a(g701 +g1025 +tp3590 +a(g835 +V\u000a +p3591 +tp3592 +a(g436 +Vstep +p3593 +tp3594 +a(g835 +g966 +tp3595 +a(g408 +g1264 +tp3596 +a(g835 +g966 +tp3597 +a(g436 +Vd20 +p3598 +tp3599 +a(g835 +g966 +tp3600 +a(g701 +g1020 +tp3601 +a(g835 +g966 +tp3602 +a(g759 +VTRUE +p3603 +tp3604 +a(g701 +g1025 +tp3605 +a(g835 +V\u000a +p3606 +tp3607 +a(g436 +Vstep +p3608 +tp3609 +a(g835 +g966 +tp3610 +a(g408 +g1264 +tp3611 +a(g835 +g966 +tp3612 +a(g32 +V26 +p3613 +tp3614 +a(g835 +g966 +tp3615 +a(g408 +g1269 +tp3616 +a(g835 +g966 +tp3617 +a(g436 +Vcond_26 +p3618 +tp3619 +a(g835 +g966 +tp3620 +a(g701 +g1020 +tp3621 +a(g835 +g966 +tp3622 +a(g759 +VTRUE +p3623 +tp3624 +a(g701 +g1025 +tp3625 +a(g835 +V\u000a +p3626 +tp3627 +a(g436 +Vstep +p3628 +tp3629 +a(g835 +g966 +tp3630 +a(g408 +g1264 +tp3631 +a(g835 +g966 +tp3632 +a(g32 +V29 +p3633 +tp3634 +a(g835 +g966 +tp3635 +a(g408 +g1269 +tp3636 +a(g835 +g966 +tp3637 +a(g436 +Vcond_29 +p3638 +tp3639 +a(g835 +g966 +tp3640 +a(g701 +g1020 +tp3641 +a(g835 +g966 +tp3642 +a(g759 +VTRUE +p3643 +tp3644 +a(g701 +g1025 +tp3645 +a(g835 +V\u000a +p3646 +tp3647 +a(g759 +VTRUE +p3648 +tp3649 +a(g835 +g966 +tp3650 +a(g701 +g1020 +tp3651 +a(g835 +g966 +tp3652 +a(g436 +Vet_sep_man_initiate +p3653 +tp3654 +a(g701 +g1025 +tp3655 +a(g835 +V\u000a +p3656 +tp3657 +a(g740 +Vesac +p3658 +tp3659 +a(g701 +g1025 +tp3660 +a(g835 +V\u000a\u000a +p3661 +tp3662 +a(g422 +Vnext +p3663 +tp3664 +a(g701 +g970 +tp3665 +a(g436 +Vemerg_sep +p3666 +tp3667 +a(g701 +g1011 +tp3668 +a(g835 +g966 +tp3669 +a(g408 +V:= +p3670 +tp3671 +a(g835 +V\u000a +p3672 +tp3673 +a(g740 +Vcase +p3674 +tp3675 +a(g835 +V\u000a +p3676 +tp3677 +a(g422 +Vnext +p3678 +tp3679 +a(g701 +g970 +tp3680 +a(g436 +Vstep +p3681 +tp3682 +a(g701 +g1011 +tp3683 +a(g835 +g966 +tp3684 +a(g408 +g1264 +tp3685 +a(g835 +g966 +tp3686 +a(g32 +g1106 +tp3687 +a(g835 +g966 +tp3688 +a(g701 +g1020 +tp3689 +a(g835 +g966 +tp3690 +a(g759 +VFALSE +p3691 +tp3692 +a(g701 +g1025 +tp3693 +a(g835 +V\u000a +p3694 +tp3695 +a(g436 +Vstep +p3696 +tp3697 +a(g835 +g966 +tp3698 +a(g408 +g1264 +tp3699 +a(g835 +g966 +tp3700 +a(g32 +g1118 +tp3701 +a(g835 +g966 +tp3702 +a(g408 +g1269 +tp3703 +a(g835 +g966 +tp3704 +a(g436 +Vh_dot_LT_0 +p3705 +tp3706 +a(g835 +g966 +tp3707 +a(g408 +g1269 +tp3708 +a(g835 +g966 +tp3709 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p3710 +tp3711 +a(g835 +V +p3712 +tp3713 +a(g408 +g1269 +tp3714 +a(g835 +g966 +tp3715 +a(g436 +Vm_mode +p3716 +tp3717 +a(g835 +g966 +tp3718 +a(g408 +g1502 +tp3719 +a(g408 +g1264 +tp3720 +a(g835 +g966 +tp3721 +a(g436 +Vmm102 +p3722 +tp3723 +a(g701 +g1020 +tp3724 +a(g835 +g966 +tp3725 +a(g759 +VTRUE +p3726 +tp3727 +a(g701 +g1025 +tp3728 +a(g835 +V\u000a +p3729 +tp3730 +a(g759 +VTRUE +p3731 +tp3732 +a(g835 +g966 +tp3733 +a(g701 +g1020 +tp3734 +a(g835 +g966 +tp3735 +a(g436 +Vemerg_sep +p3736 +tp3737 +a(g701 +g1025 +tp3738 +a(g835 +V\u000a +p3739 +tp3740 +a(g740 +Vesac +p3741 +tp3742 +a(g701 +g1025 +tp3743 +a(g835 +V\u000a\u000a +p3744 +tp3745 +a(g422 +Vnext +p3746 +tp3747 +a(g701 +g970 +tp3748 +a(g436 +Vcont_3eo_pr_delay +p3749 +tp3750 +a(g701 +g1011 +tp3751 +a(g835 +g966 +tp3752 +a(g408 +V:= +p3753 +tp3754 +a(g835 +V\u000a +p3755 +tp3756 +a(g740 +Vcase +p3757 +tp3758 +a(g835 +V\u000a +p3759 +tp3760 +a(g422 +Vnext +p3761 +tp3762 +a(g701 +g970 +tp3763 +a(g436 +Vstep +p3764 +tp3765 +a(g701 +g1011 +tp3766 +a(g835 +g966 +tp3767 +a(g408 +g1264 +tp3768 +a(g835 +g966 +tp3769 +a(g32 +g1106 +tp3770 +a(g835 +g966 +tp3771 +a(g701 +g1020 +tp3772 +a(g835 +g966 +tp3773 +a(g32 +g1118 +tp3774 +a(g701 +g1025 +tp3775 +a(g835 +V\u000a +p3776 +tp3777 +a(g436 +Vstep +p3778 +tp3779 +a(g835 +g966 +tp3780 +a(g408 +g1264 +tp3781 +a(g835 +g966 +tp3782 +a(g32 +g1118 +tp3783 +a(g835 +g966 +tp3784 +a(g408 +g1269 +tp3785 +a(g835 +g966 +tp3786 +a(g436 +Vh_dot_LT_0 +p3787 +tp3788 +a(g835 +g966 +tp3789 +a(g408 +g1269 +tp3790 +a(g835 +g966 +tp3791 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p3792 +tp3793 +a(g835 +g966 +tp3794 +a(g408 +g1269 +tp3795 +a(g835 +g966 +tp3796 +a(g436 +Vm_mode +p3797 +tp3798 +a(g835 +g966 +tp3799 +a(g408 +g1502 +tp3800 +a(g408 +g1264 +tp3801 +a(g835 +g966 +tp3802 +a(g436 +Vmm102 +p3803 +tp3804 +a(g835 +g966 +tp3805 +a(g701 +g1020 +tp3806 +a(g835 +V \u000a +p3807 +tp3808 +a(g436 +Vminus_z_reg3 +p3809 +tp3810 +a(g701 +g1025 +tp3811 +a(g835 +V\u000a +p3812 +tp3813 +a(g436 +Vstep +p3814 +tp3815 +a(g835 +g966 +tp3816 +a(g408 +g1264 +tp3817 +a(g835 +g966 +tp3818 +a(g32 +g1124 +tp3819 +a(g835 +g966 +tp3820 +a(g408 +g1269 +tp3821 +a(g835 +g966 +tp3822 +a(g408 +g1502 +tp3823 +a(g436 +Vcont_minus_z_compl +p3824 +tp3825 +a(g835 +g966 +tp3826 +a(g408 +g1269 +tp3827 +a(g835 +g966 +tp3828 +a(g436 +g1069 +tp3829 +a(g835 +g966 +tp3830 +a(g408 +g1264 +tp3831 +a(g835 +g966 +tp3832 +a(g436 +Vreg102 +p3833 +tp3834 +a(g835 +g966 +tp3835 +a(g408 +g1269 +tp3836 +a(g835 +V \u000a +p3837 +tp3838 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p3839 +tp3840 +a(g835 +g966 +tp3841 +a(g408 +g1269 +tp3842 +a(g835 +V\u000a +p3843 +tp3844 +a(g701 +g970 +tp3845 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p3846 +tp3847 +a(g835 +g966 +tp3848 +a(g408 +g1842 +tp3849 +a(g835 +g966 +tp3850 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p3851 +tp3852 +a(g701 +g1011 +tp3853 +a(g835 +g966 +tp3854 +a(g701 +g1020 +tp3855 +a(g835 +g966 +tp3856 +a(g32 +g2443 +tp3857 +a(g701 +g1025 +tp3858 +a(g835 +V\u000a +p3859 +tp3860 +a(g436 +Vstep +p3861 +tp3862 +a(g835 +g966 +tp3863 +a(g408 +g1264 +tp3864 +a(g835 +g966 +tp3865 +a(g32 +V14 +p3866 +tp3867 +a(g835 +g966 +tp3868 +a(g408 +g1269 +tp3869 +a(g835 +g966 +tp3870 +a(g436 +Vpre_sep +p3871 +tp3872 +a(g835 +g966 +tp3873 +a(g701 +g1020 +tp3874 +a(g835 +g966 +tp3875 +a(g436 +Vminus_z_reg102 +p3876 +tp3877 +a(g701 +g1025 +tp3878 +a(g835 +V\u000a +p3879 +tp3880 +a(g436 +Vstep +p3881 +tp3882 +a(g835 +g966 +tp3883 +a(g408 +g1264 +tp3884 +a(g835 +g966 +tp3885 +a(g32 +V19 +p3886 +tp3887 +a(g835 +g966 +tp3888 +a(g408 +g1269 +tp3889 +a(g835 +g966 +tp3890 +a(g436 +Vq_orb_LT_0 +p3891 +tp3892 +a(g835 +g966 +tp3893 +a(g701 +g1020 +tp3894 +a(g835 +g966 +tp3895 +a(g436 +Vminus_z_reg4 +p3896 +tp3897 +a(g701 +g1025 +tp3898 +a(g835 +V\u000a +p3899 +tp3900 +a(g436 +Vstep +p3901 +tp3902 +a(g835 +g966 +tp3903 +a(g408 +g1264 +tp3904 +a(g835 +g966 +tp3905 +a(g436 +Vd20 +p3906 +tp3907 +a(g835 +g966 +tp3908 +a(g701 +g1020 +tp3909 +a(g835 +g966 +tp3910 +a(g436 +Vminus_z_reg3 +p3911 +tp3912 +a(g701 +g1025 +tp3913 +a(g835 +V\u000a +p3914 +tp3915 +a(g436 +Vstep +p3916 +tp3917 +a(g835 +g966 +tp3918 +a(g408 +g1264 +tp3919 +a(g835 +g966 +tp3920 +a(g32 +V26 +p3921 +tp3922 +a(g835 +g966 +tp3923 +a(g408 +g1269 +tp3924 +a(g835 +g966 +tp3925 +a(g436 +Vcond_26 +p3926 +tp3927 +a(g835 +g966 +tp3928 +a(g701 +g1020 +tp3929 +a(g835 +g966 +tp3930 +a(g436 +Vminus_z_reg2 +p3931 +tp3932 +a(g701 +g1025 +tp3933 +a(g835 +V\u000a +p3934 +tp3935 +a(g436 +Vstep +p3936 +tp3937 +a(g835 +g966 +tp3938 +a(g408 +g1264 +tp3939 +a(g835 +g966 +tp3940 +a(g32 +V27 +p3941 +tp3942 +a(g835 +g966 +tp3943 +a(g408 +g1269 +tp3944 +a(g835 +g966 +tp3945 +a(g436 +Vfirst27 +p3946 +tp3947 +a(g835 +g966 +tp3948 +a(g701 +g1020 +tp3949 +a(g835 +g966 +tp3950 +a(g436 +Vminus_z_reg1 +p3951 +tp3952 +a(g701 +g1025 +tp3953 +a(g835 +V\u000a +p3954 +tp3955 +a(g759 +VTRUE +p3956 +tp3957 +a(g835 +g966 +tp3958 +a(g701 +g1020 +tp3959 +a(g835 +g966 +tp3960 +a(g436 +Vcont_3eo_pr_delay +p3961 +tp3962 +a(g701 +g1025 +tp3963 +a(g835 +V\u000a +p3964 +tp3965 +a(g740 +Vesac +p3966 +tp3967 +a(g701 +g1025 +tp3968 +a(g835 +V\u000a\u000a +p3969 +tp3970 +a(g422 +Vnext +p3971 +tp3972 +a(g701 +g970 +tp3973 +a(g436 +Vetsep_y_drift +p3974 +tp3975 +a(g701 +g1011 +tp3976 +a(g835 +g966 +tp3977 +a(g408 +V:= +p3978 +tp3979 +a(g835 +V\u000a +p3980 +tp3981 +a(g740 +Vcase +p3982 +tp3983 +a(g835 +V\u000a +p3984 +tp3985 +a(g436 +Vstep +p3986 +tp3987 +a(g835 +g966 +tp3988 +a(g408 +g1264 +tp3989 +a(g835 +g966 +tp3990 +a(g32 +g1118 +tp3991 +a(g835 +g966 +tp3992 +a(g408 +g1269 +tp3993 +a(g835 +g966 +tp3994 +a(g436 +Vh_dot_LT_0 +p3995 +tp3996 +a(g835 +g966 +tp3997 +a(g408 +g1269 +tp3998 +a(g835 +g966 +tp3999 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p4000 +tp4001 +a(g835 +g966 +tp4002 +a(g408 +g1269 +tp4003 +a(g835 +g966 +tp4004 +a(g436 +Vm_mode +p4005 +tp4006 +a(g835 +g966 +tp4007 +a(g408 +g1502 +tp4008 +a(g408 +g1264 +tp4009 +a(g835 +g966 +tp4010 +a(g436 +Vmm102 +p4011 +tp4012 +a(g835 +g966 +tp4013 +a(g701 +g1020 +tp4014 +a(g835 +V \u000a +p4015 +tp4016 +a(g436 +Vminus_z_reg3 +p4017 +tp4018 +a(g701 +g1025 +tp4019 +a(g835 +V\u000a +p4020 +tp4021 +a(g436 +Vstep +p4022 +tp4023 +a(g835 +g966 +tp4024 +a(g408 +g1264 +tp4025 +a(g835 +g966 +tp4026 +a(g32 +g1124 +tp4027 +a(g835 +g966 +tp4028 +a(g408 +g1269 +tp4029 +a(g835 +g966 +tp4030 +a(g408 +g1502 +tp4031 +a(g436 +Vcont_minus_z_compl +p4032 +tp4033 +a(g835 +g966 +tp4034 +a(g408 +g1269 +tp4035 +a(g835 +g966 +tp4036 +a(g436 +g1069 +tp4037 +a(g835 +g966 +tp4038 +a(g408 +g1264 +tp4039 +a(g835 +g966 +tp4040 +a(g436 +Vreg102 +p4041 +tp4042 +a(g835 +g966 +tp4043 +a(g408 +g1269 +tp4044 +a(g835 +V \u000a +p4045 +tp4046 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p4047 +tp4048 +a(g835 +g966 +tp4049 +a(g408 +g1269 +tp4050 +a(g835 +V\u000a +p4051 +tp4052 +a(g701 +g970 +tp4053 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p4054 +tp4055 +a(g835 +g966 +tp4056 +a(g408 +g1842 +tp4057 +a(g835 +g966 +tp4058 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p4059 +tp4060 +a(g701 +g1011 +tp4061 +a(g835 +g966 +tp4062 +a(g701 +g1020 +tp4063 +a(g835 +g966 +tp4064 +a(g32 +g2443 +tp4065 +a(g701 +g1025 +tp4066 +a(g835 +V\u000a +p4067 +tp4068 +a(g436 +Vstep +p4069 +tp4070 +a(g835 +g966 +tp4071 +a(g408 +g1264 +tp4072 +a(g835 +g966 +tp4073 +a(g32 +V14 +p4074 +tp4075 +a(g835 +g966 +tp4076 +a(g408 +g1269 +tp4077 +a(g835 +g966 +tp4078 +a(g436 +Vpre_sep +p4079 +tp4080 +a(g835 +g966 +tp4081 +a(g701 +g1020 +tp4082 +a(g835 +g966 +tp4083 +a(g436 +Vminus_z_reg102 +p4084 +tp4085 +a(g701 +g1025 +tp4086 +a(g835 +V\u000a +p4087 +tp4088 +a(g436 +Vstep +p4089 +tp4090 +a(g835 +g966 +tp4091 +a(g408 +g1264 +tp4092 +a(g835 +g966 +tp4093 +a(g32 +V19 +p4094 +tp4095 +a(g835 +g966 +tp4096 +a(g408 +g1269 +tp4097 +a(g835 +g966 +tp4098 +a(g436 +Vq_orb_LT_0 +p4099 +tp4100 +a(g835 +g966 +tp4101 +a(g701 +g1020 +tp4102 +a(g835 +g966 +tp4103 +a(g436 +Vminus_z_reg4 +p4104 +tp4105 +a(g701 +g1025 +tp4106 +a(g835 +V\u000a +p4107 +tp4108 +a(g436 +Vstep +p4109 +tp4110 +a(g835 +g966 +tp4111 +a(g408 +g1264 +tp4112 +a(g835 +g966 +tp4113 +a(g436 +Vd20 +p4114 +tp4115 +a(g835 +g966 +tp4116 +a(g701 +g1020 +tp4117 +a(g835 +g966 +tp4118 +a(g436 +Vminus_z_reg3 +p4119 +tp4120 +a(g701 +g1025 +tp4121 +a(g835 +V\u000a +p4122 +tp4123 +a(g436 +Vstep +p4124 +tp4125 +a(g835 +g966 +tp4126 +a(g408 +g1264 +tp4127 +a(g835 +g966 +tp4128 +a(g32 +V26 +p4129 +tp4130 +a(g835 +g966 +tp4131 +a(g408 +g1269 +tp4132 +a(g835 +g966 +tp4133 +a(g436 +Vcond_26 +p4134 +tp4135 +a(g835 +g966 +tp4136 +a(g701 +g1020 +tp4137 +a(g835 +g966 +tp4138 +a(g436 +Vminus_z_reg2 +p4139 +tp4140 +a(g701 +g1025 +tp4141 +a(g835 +V\u000a +p4142 +tp4143 +a(g436 +Vstep +p4144 +tp4145 +a(g835 +g966 +tp4146 +a(g408 +g1264 +tp4147 +a(g835 +g966 +tp4148 +a(g32 +V27 +p4149 +tp4150 +a(g835 +g966 +tp4151 +a(g408 +g1269 +tp4152 +a(g835 +g966 +tp4153 +a(g436 +Vfirst27 +p4154 +tp4155 +a(g835 +g966 +tp4156 +a(g701 +g1020 +tp4157 +a(g835 +g966 +tp4158 +a(g436 +Vminus_z_reg1 +p4159 +tp4160 +a(g701 +g1025 +tp4161 +a(g835 +V\u000a +p4162 +tp4163 +a(g759 +VTRUE +p4164 +tp4165 +a(g835 +g966 +tp4166 +a(g701 +g1020 +tp4167 +a(g835 +g966 +tp4168 +a(g436 +Vetsep_y_drift +p4169 +tp4170 +a(g701 +g1025 +tp4171 +a(g835 +V\u000a +p4172 +tp4173 +a(g740 +Vesac +p4174 +tp4175 +a(g701 +g1025 +tp4176 +a(g835 +V\u000a\u000a +p4177 +tp4178 +a(g422 +Vnext +p4179 +tp4180 +a(g701 +g970 +tp4181 +a(g436 +Vfwd_rcs_dump_enable +p4182 +tp4183 +a(g701 +g1011 +tp4184 +a(g835 +g966 +tp4185 +a(g408 +V:= +p4186 +tp4187 +a(g835 +V\u000a +p4188 +tp4189 +a(g740 +Vcase +p4190 +tp4191 +a(g835 +V\u000a +p4192 +tp4193 +a(g436 +Vstep +p4194 +tp4195 +a(g835 +g966 +tp4196 +a(g408 +g1264 +tp4197 +a(g835 +g966 +tp4198 +a(g32 +g1127 +tp4199 +a(g835 +g966 +tp4200 +a(g408 +g1269 +tp4201 +a(g835 +g966 +tp4202 +a(g436 +Vexcess_OMS_propellant +p4203 +tp4204 +a(g835 +g966 +tp4205 +a(g408 +g1269 +tp4206 +a(g835 +g966 +tp4207 +a(g436 +Vfirst8 +p4208 +tp4209 +a(g835 +g966 +tp4210 +a(g701 +g1020 +tp4211 +a(g835 +g966 +tp4212 +a(g759 +VFALSE +p4213 +tp4214 +a(g701 +g1025 +tp4215 +a(g835 +V\u000a +p4216 +tp4217 +a(g759 +VTRUE +p4218 +tp4219 +a(g835 +g966 +tp4220 +a(g701 +g1020 +tp4221 +a(g835 +g966 +tp4222 +a(g436 +Vfwd_rcs_dump_enable +p4223 +tp4224 +a(g701 +g1025 +tp4225 +a(g835 +V\u000a +p4226 +tp4227 +a(g740 +Vesac +p4228 +tp4229 +a(g701 +g1025 +tp4230 +a(g835 +V\u000a\u000a +p4231 +tp4232 +a(g422 +Vnext +p4233 +tp4234 +a(g701 +g970 +tp4235 +a(g436 +Vfcs_accept_icnct +p4236 +tp4237 +a(g701 +g1011 +tp4238 +a(g835 +g966 +tp4239 +a(g408 +V:= +p4240 +tp4241 +a(g835 +V\u000a +p4242 +tp4243 +a(g740 +Vcase +p4244 +tp4245 +a(g835 +V\u000a +p4246 +tp4247 +a(g436 +Vstep +p4248 +tp4249 +a(g835 +g966 +tp4250 +a(g408 +g1264 +tp4251 +a(g835 +g966 +tp4252 +a(g32 +g1130 +tp4253 +a(g835 +g966 +tp4254 +a(g408 +g1269 +tp4255 +a(g835 +g966 +tp4256 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p4257 +tp4258 +a(g835 +g966 +tp4259 +a(g408 +g1269 +tp4260 +a(g835 +g966 +tp4261 +a(g436 +g1069 +tp4262 +a(g835 +g966 +tp4263 +a(g408 +g1502 +tp4264 +a(g408 +g1264 +tp4265 +a(g835 +g966 +tp4266 +a(g436 +Vreg102 +p4267 +tp4268 +a(g835 +g966 +tp4269 +a(g701 +g1020 +tp4270 +a(g835 +g966 +tp4271 +a(g759 +VTRUE +p4272 +tp4273 +a(g701 +g1025 +tp4274 +a(g835 +V\u000a +p4275 +tp4276 +a(g759 +VTRUE +p4277 +tp4278 +a(g835 +g966 +tp4279 +a(g701 +g1020 +tp4280 +a(g835 +g966 +tp4281 +a(g436 +Vfcs_accept_icnct +p4282 +tp4283 +a(g701 +g1025 +tp4284 +a(g835 +V\u000a +p4285 +tp4286 +a(g740 +Vesac +p4287 +tp4288 +a(g701 +g1025 +tp4289 +a(g835 +V\u000a\u000a +p4290 +tp4291 +a(g422 +Vnext +p4292 +tp4293 +a(g701 +g970 +tp4294 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p4295 +tp4296 +a(g701 +g1011 +tp4297 +a(g835 +g966 +tp4298 +a(g408 +V:= +p4299 +tp4300 +a(g835 +V\u000a +p4301 +tp4302 +a(g740 +Vcase +p4303 +tp4304 +a(g835 +V\u000a +p4305 +tp4306 +a(g7 +V-- next(step) = 1 & oms_rcs_i_c_inh_ena_cmd : {0,1};\u000a +p4307 +tp4308 +a(g835 +V +p4309 +tp4310 +a(g422 +Vnext +p4311 +tp4312 +a(g701 +g970 +tp4313 +a(g436 +Vstep +p4314 +tp4315 +a(g701 +g1011 +tp4316 +a(g835 +g966 +tp4317 +a(g408 +g1264 +tp4318 +a(g835 +g966 +tp4319 +a(g32 +g1106 +tp4320 +a(g835 +g966 +tp4321 +a(g408 +g1269 +tp4322 +a(g835 +g966 +tp4323 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p4324 +tp4325 +a(g835 +g966 +tp4326 +a(g701 +g1020 +tp4327 +a(g835 +g966 +tp4328 +a(g759 +VFALSE +p4329 +tp4330 +a(g701 +g1025 +tp4331 +a(g835 +g966 +tp4332 +a(g7 +V-- Assumed initialization\u000a +p4333 +tp4334 +a(g835 +V +p4335 +tp4336 +a(g436 +Vstep +p4337 +tp4338 +a(g835 +g966 +tp4339 +a(g408 +g1264 +tp4340 +a(g835 +g966 +tp4341 +a(g32 +g1130 +tp4342 +a(g835 +g966 +tp4343 +a(g408 +g1269 +tp4344 +a(g835 +g966 +tp4345 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p4346 +tp4347 +a(g835 +g966 +tp4348 +a(g408 +g1269 +tp4349 +a(g835 +g966 +tp4350 +a(g436 +g1069 +tp4351 +a(g835 +g966 +tp4352 +a(g408 +g1502 +tp4353 +a(g408 +g1264 +tp4354 +a(g835 +g966 +tp4355 +a(g436 +Vreg102 +p4356 +tp4357 +a(g835 +g966 +tp4358 +a(g701 +g1020 +tp4359 +a(g835 +g966 +tp4360 +a(g759 +VTRUE +p4361 +tp4362 +a(g701 +g1025 +tp4363 +a(g835 +V\u000a +p4364 +tp4365 +a(g759 +VTRUE +p4366 +tp4367 +a(g835 +g966 +tp4368 +a(g701 +g1020 +tp4369 +a(g835 +g966 +tp4370 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p4371 +tp4372 +a(g701 +g1025 +tp4373 +a(g835 +V\u000a +p4374 +tp4375 +a(g740 +Vesac +p4376 +tp4377 +a(g701 +g1025 +tp4378 +a(g835 +V\u000a\u000a +p4379 +tp4380 +a(g422 +Vnext +p4381 +tp4382 +a(g701 +g970 +tp4383 +a(g436 +Vorbiter_dump_ena +p4384 +tp4385 +a(g701 +g1011 +tp4386 +a(g835 +g966 +tp4387 +a(g408 +V:= +p4388 +tp4389 +a(g835 +V\u000a +p4390 +tp4391 +a(g740 +Vcase +p4392 +tp4393 +a(g835 +V\u000a +p4394 +tp4395 +a(g422 +Vnext +p4396 +tp4397 +a(g701 +g970 +tp4398 +a(g436 +Vstart +p4399 +tp4400 +a(g701 +g1011 +tp4401 +a(g835 +g966 +tp4402 +a(g408 +g1264 +tp4403 +a(g835 +g966 +tp4404 +a(g759 +VTRUE +p4405 +tp4406 +a(g835 +g966 +tp4407 +a(g701 +g1020 +tp4408 +a(g835 +g966 +tp4409 +a(g759 +VFALSE +p4410 +tp4411 +a(g701 +g1025 +tp4412 +a(g835 +V +p4413 +tp4414 +a(g7 +V-- Assumed initialization\u000a +p4415 +tp4416 +a(g835 +V +p4417 +tp4418 +a(g436 +Vstep +p4419 +tp4420 +a(g835 +g966 +tp4421 +a(g408 +g1264 +tp4422 +a(g835 +g966 +tp4423 +a(g32 +g1130 +tp4424 +a(g835 +g966 +tp4425 +a(g408 +g1269 +tp4426 +a(g835 +g966 +tp4427 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p4428 +tp4429 +a(g835 +g966 +tp4430 +a(g408 +g1269 +tp4431 +a(g835 +g966 +tp4432 +a(g436 +g1069 +tp4433 +a(g835 +g966 +tp4434 +a(g408 +g1502 +tp4435 +a(g408 +g1264 +tp4436 +a(g835 +g966 +tp4437 +a(g436 +Vreg102 +p4438 +tp4439 +a(g835 +g966 +tp4440 +a(g701 +g1020 +tp4441 +a(g835 +g966 +tp4442 +a(g759 +VTRUE +p4443 +tp4444 +a(g701 +g1025 +tp4445 +a(g835 +V\u000a +p4446 +tp4447 +a(g436 +Vstep +p4448 +tp4449 +a(g835 +g966 +tp4450 +a(g408 +g1264 +tp4451 +a(g835 +g966 +tp4452 +a(g32 +V13 +p4453 +tp4454 +a(g835 +g966 +tp4455 +a(g408 +g1269 +tp4456 +a(g835 +g966 +tp4457 +a(g436 +Valt_GRT_alt_min_102_dump +p4458 +tp4459 +a(g835 +g966 +tp4460 +a(g408 +g1269 +tp4461 +a(g835 +g966 +tp4462 +a(g436 +Vt_nav-t_gmtlo_LT_t_dmp_last +p4463 +tp4464 +a(g835 +g966 +tp4465 +a(g701 +g1020 +tp4466 +a(g835 +g966 +tp4467 +a(g759 +VTRUE +p4468 +tp4469 +a(g701 +g1025 +tp4470 +a(g835 +V\u000a +p4471 +tp4472 +a(g759 +VTRUE +p4473 +tp4474 +a(g835 +g966 +tp4475 +a(g701 +g1020 +tp4476 +a(g835 +g966 +tp4477 +a(g436 +Vorbiter_dump_ena +p4478 +tp4479 +a(g701 +g1025 +tp4480 +a(g835 +V\u000a +p4481 +tp4482 +a(g740 +Vesac +p4483 +tp4484 +a(g701 +g1025 +tp4485 +a(g835 +V\u000a\u000a +p4486 +tp4487 +a(g422 +Vnext +p4488 +tp4489 +a(g701 +g970 +tp4490 +a(g436 +Vfrz_3eo +p4491 +tp4492 +a(g701 +g1011 +tp4493 +a(g835 +g966 +tp4494 +a(g408 +V:= +p4495 +tp4496 +a(g835 +V\u000a +p4497 +tp4498 +a(g740 +Vcase +p4499 +tp4500 +a(g835 +V\u000a +p4501 +tp4502 +a(g422 +Vnext +p4503 +tp4504 +a(g701 +g970 +tp4505 +a(g436 +Vstep +p4506 +tp4507 +a(g701 +g1011 +tp4508 +a(g835 +g966 +tp4509 +a(g408 +g1264 +tp4510 +a(g835 +g966 +tp4511 +a(g32 +g1106 +tp4512 +a(g835 +g966 +tp4513 +a(g701 +g1020 +tp4514 +a(g835 +g966 +tp4515 +a(g759 +VFALSE +p4516 +tp4517 +a(g701 +g1025 +tp4518 +a(g835 +V\u000a +p4519 +tp4520 +a(g436 +Vstep +p4521 +tp4522 +a(g835 +g966 +tp4523 +a(g408 +g1264 +tp4524 +a(g835 +g966 +tp4525 +a(g32 +V10 +p4526 +tp4527 +a(g835 +g966 +tp4528 +a(g408 +g1269 +tp4529 +a(g835 +g966 +tp4530 +a(g436 +Ventry_mnvr_couter_LE_0 +p4531 +tp4532 +a(g835 +g966 +tp4533 +a(g408 +g1269 +tp4534 +a(g835 +g966 +tp4535 +a(g408 +g1502 +tp4536 +a(g436 +Vrcs_all_jet_inhibit +p4537 +tp4538 +a(g835 +g966 +tp4539 +a(g701 +g1020 +tp4540 +a(g835 +g966 +tp4541 +a(g759 +VFALSE +p4542 +tp4543 +a(g701 +g1025 +tp4544 +a(g835 +V\u000a +p4545 +tp4546 +a(g436 +Vstep +p4547 +tp4548 +a(g835 +g966 +tp4549 +a(g408 +g1264 +tp4550 +a(g835 +g966 +tp4551 +a(g32 +V28 +p4552 +tp4553 +a(g835 +g966 +tp4554 +a(g408 +g1269 +tp4555 +a(g835 +g966 +tp4556 +a(g408 +g1502 +tp4557 +a(g436 +Vet_sep_man_initiate +p4558 +tp4559 +a(g835 +g966 +tp4560 +a(g701 +g1020 +tp4561 +a(g835 +g966 +tp4562 +a(g759 +VTRUE +p4563 +tp4564 +a(g701 +g1025 +tp4565 +a(g835 +V\u000a +p4566 +tp4567 +a(g759 +VTRUE +p4568 +tp4569 +a(g835 +g966 +tp4570 +a(g701 +g1020 +tp4571 +a(g835 +g966 +tp4572 +a(g436 +Vfrz_3eo +p4573 +tp4574 +a(g701 +g1025 +tp4575 +a(g835 +V\u000a +p4576 +tp4577 +a(g740 +Vesac +p4578 +tp4579 +a(g701 +g1025 +tp4580 +a(g835 +V\u000a\u000a +p4581 +tp4582 +a(g422 +Vnext +p4583 +tp4584 +a(g701 +g970 +tp4585 +a(g436 +Vhigh_rate_sep +p4586 +tp4587 +a(g701 +g1011 +tp4588 +a(g835 +g966 +tp4589 +a(g408 +V:= +p4590 +tp4591 +a(g835 +V\u000a +p4592 +tp4593 +a(g740 +Vcase +p4594 +tp4595 +a(g835 +V\u000a +p4596 +tp4597 +a(g436 +Vstep +p4598 +tp4599 +a(g835 +g966 +tp4600 +a(g408 +g1264 +tp4601 +a(g835 +g966 +tp4602 +a(g32 +V10 +p4603 +tp4604 +a(g835 +g966 +tp4605 +a(g408 +g1269 +tp4606 +a(g835 +g966 +tp4607 +a(g436 +Ventry_mnvr_couter_LE_0 +p4608 +tp4609 +a(g835 +g966 +tp4610 +a(g408 +g1269 +tp4611 +a(g835 +g966 +tp4612 +a(g408 +g1502 +tp4613 +a(g436 +Vrcs_all_jet_inhibit +p4614 +tp4615 +a(g835 +g966 +tp4616 +a(g701 +g1020 +tp4617 +a(g835 +g966 +tp4618 +a(g759 +VFALSE +p4619 +tp4620 +a(g701 +g1025 +tp4621 +a(g835 +V\u000a +p4622 +tp4623 +a(g436 +Vstep +p4624 +tp4625 +a(g835 +g966 +tp4626 +a(g408 +g1264 +tp4627 +a(g835 +g966 +tp4628 +a(g32 +V25 +p4629 +tp4630 +a(g835 +g966 +tp4631 +a(g701 +g1020 +tp4632 +a(g835 +g966 +tp4633 +a(g759 +VTRUE +p4634 +tp4635 +a(g701 +g1025 +tp4636 +a(g835 +V\u000a +p4637 +tp4638 +a(g759 +VTRUE +p4639 +tp4640 +a(g835 +g966 +tp4641 +a(g701 +g1020 +tp4642 +a(g835 +g966 +tp4643 +a(g436 +Vhigh_rate_sep +p4644 +tp4645 +a(g701 +g1025 +tp4646 +a(g835 +V\u000a +p4647 +tp4648 +a(g740 +Vesac +p4649 +tp4650 +a(g701 +g1025 +tp4651 +a(g835 +V\u000a\u000a +p4652 +tp4653 +a(g422 +Vnext +p4654 +tp4655 +a(g701 +g970 +tp4656 +a(g436 +Ventry_gains +p4657 +tp4658 +a(g701 +g1011 +tp4659 +a(g835 +g966 +tp4660 +a(g408 +V:= +p4661 +tp4662 +a(g835 +V\u000a +p4663 +tp4664 +a(g740 +Vcase +p4665 +tp4666 +a(g835 +V\u000a +p4667 +tp4668 +a(g422 +Vnext +p4669 +tp4670 +a(g701 +g970 +tp4671 +a(g436 +Vstep +p4672 +tp4673 +a(g701 +g1011 +tp4674 +a(g835 +g966 +tp4675 +a(g408 +g1264 +tp4676 +a(g835 +g966 +tp4677 +a(g32 +g1106 +tp4678 +a(g835 +g966 +tp4679 +a(g701 +g1020 +tp4680 +a(g835 +g966 +tp4681 +a(g759 +VFALSE +p4682 +tp4683 +a(g701 +g1025 +tp4684 +a(g835 +V\u000a +p4685 +tp4686 +a(g436 +Vstep +p4687 +tp4688 +a(g835 +g966 +tp4689 +a(g408 +g1264 +tp4690 +a(g835 +g966 +tp4691 +a(g32 +V10 +p4692 +tp4693 +a(g835 +g966 +tp4694 +a(g408 +g1269 +tp4695 +a(g835 +g966 +tp4696 +a(g436 +Ventry_mnvr_couter_LE_0 +p4697 +tp4698 +a(g835 +g966 +tp4699 +a(g408 +g1269 +tp4700 +a(g835 +g966 +tp4701 +a(g408 +g1502 +tp4702 +a(g436 +Vrcs_all_jet_inhibit +p4703 +tp4704 +a(g835 +g966 +tp4705 +a(g701 +g1020 +tp4706 +a(g835 +g966 +tp4707 +a(g759 +VTRUE +p4708 +tp4709 +a(g701 +g1025 +tp4710 +a(g835 +V\u000a +p4711 +tp4712 +a(g759 +VTRUE +p4713 +tp4714 +a(g835 +g966 +tp4715 +a(g701 +g1020 +tp4716 +a(g835 +g966 +tp4717 +a(g436 +Ventry_gains +p4718 +tp4719 +a(g701 +g1025 +tp4720 +a(g835 +V\u000a +p4721 +tp4722 +a(g740 +Vesac +p4723 +tp4724 +a(g701 +g1025 +tp4725 +a(g835 +V\u000a\u000a +p4726 +tp4727 +a(g422 +Vnext +p4728 +tp4729 +a(g701 +g970 +tp4730 +a(g436 +Vcont_sep_cplt +p4731 +tp4732 +a(g701 +g1011 +tp4733 +a(g835 +g966 +tp4734 +a(g408 +V:= +p4735 +tp4736 +a(g835 +V\u000a +p4737 +tp4738 +a(g740 +Vcase +p4739 +tp4740 +a(g835 +V\u000a +p4741 +tp4742 +a(g422 +Vnext +p4743 +tp4744 +a(g701 +g970 +tp4745 +a(g436 +Vstep +p4746 +tp4747 +a(g701 +g1011 +tp4748 +a(g835 +g966 +tp4749 +a(g408 +g1264 +tp4750 +a(g835 +g966 +tp4751 +a(g32 +g1106 +tp4752 +a(g835 +g966 +tp4753 +a(g701 +g1020 +tp4754 +a(g835 +g966 +tp4755 +a(g759 +VFALSE +p4756 +tp4757 +a(g701 +g1025 +tp4758 +a(g835 +V\u000a +p4759 +tp4760 +a(g436 +Vstep +p4761 +tp4762 +a(g835 +g966 +tp4763 +a(g408 +g1264 +tp4764 +a(g835 +g966 +tp4765 +a(g32 +V12 +p4766 +tp4767 +a(g835 +g966 +tp4768 +a(g408 +g1269 +tp4769 +a(g835 +g966 +tp4770 +a(g436 +Vmm602_OK +p4771 +tp4772 +a(g835 +g966 +tp4773 +a(g701 +g1020 +tp4774 +a(g835 +g966 +tp4775 +a(g759 +VTRUE +p4776 +tp4777 +a(g701 +g1025 +tp4778 +a(g835 +V\u000a +p4779 +tp4780 +a(g759 +VTRUE +p4781 +tp4782 +a(g835 +g966 +tp4783 +a(g701 +g1020 +tp4784 +a(g835 +g966 +tp4785 +a(g436 +Vcont_sep_cplt +p4786 +tp4787 +a(g701 +g1025 +tp4788 +a(g835 +V\u000a +p4789 +tp4790 +a(g740 +Vesac +p4791 +tp4792 +a(g701 +g1025 +tp4793 +a(g835 +V\u000a\u000a +p4794 +tp4795 +a(g422 +Vnext +p4796 +tp4797 +a(g701 +g970 +tp4798 +a(g436 +Vpch_cmd_reg4 +p4799 +tp4800 +a(g701 +g1011 +tp4801 +a(g835 +g966 +tp4802 +a(g408 +V:= +p4803 +tp4804 +a(g835 +V \u000a +p4805 +tp4806 +a(g740 +Vcase +p4807 +tp4808 +a(g835 +V\u000a +p4809 +tp4810 +a(g422 +Vnext +p4811 +tp4812 +a(g701 +g970 +tp4813 +a(g436 +Vstep +p4814 +tp4815 +a(g701 +g1011 +tp4816 +a(g835 +g966 +tp4817 +a(g408 +g1264 +tp4818 +a(g835 +g966 +tp4819 +a(g32 +g1106 +tp4820 +a(g835 +g966 +tp4821 +a(g701 +g1020 +tp4822 +a(g835 +g966 +tp4823 +a(g759 +VFALSE +p4824 +tp4825 +a(g701 +g1025 +tp4826 +a(g835 +V\u000a +p4827 +tp4828 +a(g436 +Vstep +p4829 +tp4830 +a(g835 +g966 +tp4831 +a(g408 +g1264 +tp4832 +a(g835 +g966 +tp4833 +a(g32 +V18 +p4834 +tp4835 +a(g835 +g966 +tp4836 +a(g408 +g1269 +tp4837 +a(g835 +g966 +tp4838 +a(g408 +g1502 +tp4839 +a(g436 +Vpch_cmd_reg4 +p4840 +tp4841 +a(g835 +g966 +tp4842 +a(g408 +g1269 +tp4843 +a(g835 +g966 +tp4844 +a(g436 +Vcond_18 +p4845 +tp4846 +a(g835 +g966 +tp4847 +a(g701 +g1020 +tp4848 +a(g835 +g966 +tp4849 +a(g759 +VTRUE +p4850 +tp4851 +a(g701 +g1025 +tp4852 +a(g835 +V\u000a +p4853 +tp4854 +a(g759 +VTRUE +p4855 +tp4856 +a(g835 +g966 +tp4857 +a(g701 +g1020 +tp4858 +a(g835 +g966 +tp4859 +a(g436 +Vpch_cmd_reg4 +p4860 +tp4861 +a(g701 +g1025 +tp4862 +a(g835 +V\u000a +p4863 +tp4864 +a(g740 +Vesac +p4865 +tp4866 +a(g701 +g1025 +tp4867 +a(g835 +V\u000a\u000a +p4868 +tp4869 +a(g422 +Vnext +p4870 +tp4871 +a(g701 +g970 +tp4872 +a(g436 +Valpha_ok +p4873 +tp4874 +a(g701 +g1011 +tp4875 +a(g835 +g966 +tp4876 +a(g408 +V:= +p4877 +tp4878 +a(g835 +V\u000a +p4879 +tp4880 +a(g740 +Vcase +p4881 +tp4882 +a(g835 +V\u000a +p4883 +tp4884 +a(g422 +Vnext +p4885 +tp4886 +a(g701 +g970 +tp4887 +a(g436 +Vstep +p4888 +tp4889 +a(g701 +g1011 +tp4890 +a(g835 +g966 +tp4891 +a(g408 +g1264 +tp4892 +a(g835 +g966 +tp4893 +a(g32 +g1106 +tp4894 +a(g835 +g966 +tp4895 +a(g701 +g1020 +tp4896 +a(g835 +g966 +tp4897 +a(g759 +VFALSE +p4898 +tp4899 +a(g701 +g1025 +tp4900 +a(g835 +V\u000a +p4901 +tp4902 +a(g436 +Vstep +p4903 +tp4904 +a(g835 +g966 +tp4905 +a(g408 +g1264 +tp4906 +a(g835 +g966 +tp4907 +a(g32 +V20 +p4908 +tp4909 +a(g835 +g966 +tp4910 +a(g408 +g1269 +tp4911 +a(g835 +g966 +tp4912 +a(g436 +VABS_alf_err_LT_alf_sep_err +p4913 +tp4914 +a(g835 +g966 +tp4915 +a(g701 +g1020 +tp4916 +a(g835 +g966 +tp4917 +a(g759 +VTRUE +p4918 +tp4919 +a(g701 +g1025 +tp4920 +a(g835 +V\u000a +p4921 +tp4922 +a(g759 +VTRUE +p4923 +tp4924 +a(g835 +g966 +tp4925 +a(g701 +g1020 +tp4926 +a(g835 +g966 +tp4927 +a(g436 +Valpha_ok +p4928 +tp4929 +a(g701 +g1025 +tp4930 +a(g835 +V\u000a +p4931 +tp4932 +a(g740 +Vesac +p4933 +tp4934 +a(g701 +g1025 +tp4935 +a(g835 +V\u000a\u000a +p4936 +tp4937 +a(g422 +Vnext +p4938 +tp4939 +a(g701 +g970 +tp4940 +a(g436 +Vearly_sep +p4941 +tp4942 +a(g701 +g1011 +tp4943 +a(g835 +g966 +tp4944 +a(g408 +V:= +p4945 +tp4946 +a(g835 +V\u000a +p4947 +tp4948 +a(g740 +Vcase +p4949 +tp4950 +a(g835 +V\u000a +p4951 +tp4952 +a(g436 +Vstep +p4953 +tp4954 +a(g835 +g966 +tp4955 +a(g408 +g1264 +tp4956 +a(g835 +g966 +tp4957 +a(g32 +V27 +p4958 +tp4959 +a(g835 +g966 +tp4960 +a(g408 +g1269 +tp4961 +a(g835 +g966 +tp4962 +a(g436 +Vfirst27 +p4963 +tp4964 +a(g835 +g966 +tp4965 +a(g701 +g1020 +tp4966 +a(g835 +V \u000a +p4967 +tp4968 +a(g740 +Vcase +p4969 +tp4970 +a(g835 +V \u000a +p4971 +tp4972 +a(g436 +Vcond_27 +p4973 +tp4974 +a(g835 +g966 +tp4975 +a(g701 +g1020 +tp4976 +a(g835 +g966 +tp4977 +a(g759 +VTRUE +p4978 +tp4979 +a(g701 +g1025 +tp4980 +a(g835 +V \u000a +p4981 +tp4982 +a(g759 +VTRUE +p4983 +tp4984 +a(g835 +g966 +tp4985 +a(g701 +g1020 +tp4986 +a(g835 +g966 +tp4987 +a(g759 +VFALSE +p4988 +tp4989 +a(g701 +g1025 +tp4990 +a(g835 +V \u000a +p4991 +tp4992 +a(g740 +Vesac +p4993 +tp4994 +a(g701 +g1025 +tp4995 +a(g835 +V\u000a +p4996 +tp4997 +a(g759 +VTRUE +p4998 +tp4999 +a(g835 +g966 +tp5000 +a(g701 +g1020 +tp5001 +a(g835 +g966 +tp5002 +a(g436 +Vearly_sep +p5003 +tp5004 +a(g701 +g1025 +tp5005 +a(g835 +V\u000a +p5006 +tp5007 +a(g740 +Vesac +p5008 +tp5009 +a(g701 +g1025 +tp5010 +a(g835 +V \u000a\u000a +p5011 +tp5012 +a(g7 +V--------------------------------------------\u000a +p5013 +tp5014 +a(g7 +V----- Additional Variables -----------------\u000a +p5015 +tp5016 +a(g7 +V--------------------------------------------\u000a +p5017 +tp5018 +a(g835 +V\u000a +p5019 +tp5020 +a(g422 +Vnext +p5021 +tp5022 +a(g701 +g970 +tp5023 +a(g436 +Vrtls_lo_f_d_delay +p5024 +tp5025 +a(g701 +g1011 +tp5026 +a(g835 +g966 +tp5027 +a(g408 +V:= +p5028 +tp5029 +a(g835 +V\u000a +p5030 +tp5031 +a(g740 +Vcase +p5032 +tp5033 +a(g835 +V\u000a +p5034 +tp5035 +a(g422 +Vnext +p5036 +tp5037 +a(g701 +g970 +tp5038 +a(g436 +Vstart +p5039 +tp5040 +a(g701 +g1011 +tp5041 +a(g835 +g966 +tp5042 +a(g408 +g1264 +tp5043 +a(g835 +g966 +tp5044 +a(g759 +VTRUE +p5045 +tp5046 +a(g835 +g966 +tp5047 +a(g701 +g1020 +tp5048 +a(g835 +g966 +tp5049 +a(g436 +Vundef +p5050 +tp5051 +a(g701 +g1025 +tp5052 +a(g835 +V +p5053 +tp5054 +a(g7 +V-- Assumed initialization\u000a +p5055 +tp5056 +a(g835 +V +p5057 +tp5058 +a(g436 +Vstep +p5059 +tp5060 +a(g835 +g966 +tp5061 +a(g408 +g1264 +tp5062 +a(g835 +g966 +tp5063 +a(g32 +g1127 +tp5064 +a(g835 +g966 +tp5065 +a(g408 +g1269 +tp5066 +a(g835 +g966 +tp5067 +a(g436 +Vfirst8 +p5068 +tp5069 +a(g835 +g966 +tp5070 +a(g408 +g1269 +tp5071 +a(g835 +g966 +tp5072 +a(g436 +Vexcess_OMS_propellant +p5073 +tp5074 +a(g835 +g966 +tp5075 +a(g701 +g1020 +tp5076 +a(g835 +g966 +tp5077 +a(g32 +g2443 +tp5078 +a(g701 +g1025 +tp5079 +a(g835 +V\u000a +p5080 +tp5081 +a(g759 +VTRUE +p5082 +tp5083 +a(g835 +g966 +tp5084 +a(g701 +g1020 +tp5085 +a(g835 +g966 +tp5086 +a(g436 +Vrtls_lo_f_d_delay +p5087 +tp5088 +a(g701 +g1025 +tp5089 +a(g835 +V\u000a +p5090 +tp5091 +a(g740 +Vesac +p5092 +tp5093 +a(g701 +g1025 +tp5094 +a(g835 +V\u000a\u000a +p5095 +tp5096 +a(g422 +Vnext +p5097 +tp5098 +a(g701 +g970 +tp5099 +a(g436 +Vwcb2 +p5100 +tp5101 +a(g701 +g1011 +tp5102 +a(g835 +g966 +tp5103 +a(g408 +V:= +p5104 +tp5105 +a(g835 +V\u000a +p5106 +tp5107 +a(g740 +Vcase +p5108 +tp5109 +a(g835 +V\u000a +p5110 +tp5111 +a(g422 +Vnext +p5112 +tp5113 +a(g701 +g970 +tp5114 +a(g436 +Vstart +p5115 +tp5116 +a(g701 +g1011 +tp5117 +a(g835 +g966 +tp5118 +a(g408 +g1264 +tp5119 +a(g835 +g966 +tp5120 +a(g759 +VTRUE +p5121 +tp5122 +a(g835 +g966 +tp5123 +a(g701 +g1020 +tp5124 +a(g835 +g966 +tp5125 +a(g436 +Vundef +p5126 +tp5127 +a(g701 +g1025 +tp5128 +a(g835 +V +p5129 +tp5130 +a(g7 +V-- Assumed initialization\u000a +p5131 +tp5132 +a(g835 +V +p5133 +tp5134 +a(g436 +Vstep +p5135 +tp5136 +a(g835 +g966 +tp5137 +a(g408 +g1264 +tp5138 +a(g835 +g966 +tp5139 +a(g32 +V10 +p5140 +tp5141 +a(g835 +g966 +tp5142 +a(g408 +g1269 +tp5143 +a(g835 +g966 +tp5144 +a(g436 +Ventry_mnvr_couter_LE_0 +p5145 +tp5146 +a(g835 +g966 +tp5147 +a(g701 +g1020 +tp5148 +a(g835 +g966 +tp5149 +a(g436 +Vpost_sep_0 +p5150 +tp5151 +a(g701 +g1025 +tp5152 +a(g835 +V\u000a +p5153 +tp5154 +a(g436 +Vstep +p5155 +tp5156 +a(g835 +g966 +tp5157 +a(g408 +g1264 +tp5158 +a(g835 +g966 +tp5159 +a(g32 +V12 +p5160 +tp5161 +a(g835 +g966 +tp5162 +a(g701 +g1020 +tp5163 +a(g835 +g966 +tp5164 +a(g740 +Vcase +p5165 +tp5166 +a(g835 +V\u000a +p5167 +tp5168 +a(g436 +g1069 +tp5169 +a(g835 +g966 +tp5170 +a(g408 +g1264 +tp5171 +a(g835 +g966 +tp5172 +a(g436 +Vreg4 +p5173 +tp5174 +a(g835 +g966 +tp5175 +a(g701 +g1020 +tp5176 +a(g835 +g966 +tp5177 +a(g436 +Vreg4_0 +p5178 +tp5179 +a(g701 +g1025 +tp5180 +a(g835 +V\u000a +p5181 +tp5182 +a(g759 +VTRUE +p5183 +tp5184 +a(g835 +g966 +tp5185 +a(g701 +g1020 +tp5186 +a(g835 +g966 +tp5187 +a(g436 +Vwcb2_3eo +p5188 +tp5189 +a(g701 +g1025 +tp5190 +a(g835 +V\u000a +p5191 +tp5192 +a(g740 +Vesac +p5193 +tp5194 +a(g701 +g1025 +tp5195 +a(g835 +V\u000a +p5196 +tp5197 +a(g436 +Vstep +p5198 +tp5199 +a(g835 +g966 +tp5200 +a(g408 +g1264 +tp5201 +a(g835 +g966 +tp5202 +a(g32 +V14 +p5203 +tp5204 +a(g835 +g966 +tp5205 +a(g408 +g1269 +tp5206 +a(g835 +g966 +tp5207 +a(g436 +Vpre_sep +p5208 +tp5209 +a(g835 +g966 +tp5210 +a(g701 +g1020 +tp5211 +a(g835 +g966 +tp5212 +a(g436 +Vreg102_undef +p5213 +tp5214 +a(g701 +g1025 +tp5215 +a(g835 +V\u000a +p5216 +tp5217 +a(g436 +Vstep +p5218 +tp5219 +a(g835 +g966 +tp5220 +a(g408 +g1264 +tp5221 +a(g835 +g966 +tp5222 +a(g32 +V15 +p5223 +tp5224 +a(g835 +g966 +tp5225 +a(g701 +g1020 +tp5226 +a(g835 +g966 +tp5227 +a(g740 +Vcase +p5228 +tp5229 +a(g835 +V\u000a +p5230 +tp5231 +a(g436 +g1069 +tp5232 +a(g835 +g966 +tp5233 +a(g408 +g1264 +tp5234 +a(g835 +g966 +tp5235 +a(g436 +Vreg4 +p5236 +tp5237 +a(g835 +g966 +tp5238 +a(g701 +g1020 +tp5239 +a(g835 +g966 +tp5240 +a(g436 +Vreg4_0 +p5241 +tp5242 +a(g701 +g1025 +tp5243 +a(g835 +V\u000a +p5244 +tp5245 +a(g759 +VTRUE +p5246 +tp5247 +a(g835 +g966 +tp5248 +a(g701 +g1020 +tp5249 +a(g835 +g966 +tp5250 +a(g436 +Vwcb2_3eo +p5251 +tp5252 +a(g701 +g1025 +tp5253 +a(g835 +V\u000a +p5254 +tp5255 +a(g740 +Vesac +p5256 +tp5257 +a(g701 +g1025 +tp5258 +a(g835 +V\u000a +p5259 +tp5260 +a(g436 +Vstep +p5261 +tp5262 +a(g835 +g966 +tp5263 +a(g408 +g1264 +tp5264 +a(g835 +g966 +tp5265 +a(g32 +V25 +p5266 +tp5267 +a(g835 +g966 +tp5268 +a(g701 +g1020 +tp5269 +a(g835 +g966 +tp5270 +a(g436 +Vreg2_neg4 +p5271 +tp5272 +a(g701 +g1025 +tp5273 +a(g835 +V\u000a +p5274 +tp5275 +a(g759 +VTRUE +p5276 +tp5277 +a(g835 +g966 +tp5278 +a(g701 +g1020 +tp5279 +a(g835 +g966 +tp5280 +a(g436 +Vwcb2 +p5281 +tp5282 +a(g701 +g1025 +tp5283 +a(g835 +V\u000a +p5284 +tp5285 +a(g740 +Vesac +p5286 +tp5287 +a(g701 +g1025 +tp5288 +a(g835 +V\u000a\u000a +p5289 +tp5290 +a(g422 +Vnext +p5291 +tp5292 +a(g701 +g970 +tp5293 +a(g436 +Vq_gcb_i +p5294 +tp5295 +a(g701 +g1011 +tp5296 +a(g835 +g966 +tp5297 +a(g408 +V:= +p5298 +tp5299 +a(g835 +V\u000a +p5300 +tp5301 +a(g740 +Vcase +p5302 +tp5303 +a(g835 +V\u000a +p5304 +tp5305 +a(g422 +Vnext +p5306 +tp5307 +a(g701 +g970 +tp5308 +a(g436 +Vstart +p5309 +tp5310 +a(g701 +g1011 +tp5311 +a(g835 +g966 +tp5312 +a(g408 +g1264 +tp5313 +a(g835 +g966 +tp5314 +a(g759 +VTRUE +p5315 +tp5316 +a(g835 +g966 +tp5317 +a(g701 +g1020 +tp5318 +a(g835 +g966 +tp5319 +a(g436 +Vundef +p5320 +tp5321 +a(g701 +g1025 +tp5322 +a(g835 +V +p5323 +tp5324 +a(g7 +V-- Assumed initialization\u000a +p5325 +tp5326 +a(g835 +V +p5327 +tp5328 +a(g436 +Vstep +p5329 +tp5330 +a(g835 +g966 +tp5331 +a(g408 +g1264 +tp5332 +a(g835 +g966 +tp5333 +a(g32 +V11 +p5334 +tp5335 +a(g835 +g966 +tp5336 +a(g701 +g1020 +tp5337 +a(g835 +g966 +tp5338 +a(g436 +Vquat_entry_M50_to_cmdbody +p5339 +tp5340 +a(g701 +g1025 +tp5341 +a(g835 +V\u000a +p5342 +tp5343 +a(g436 +Vstep +p5344 +tp5345 +a(g835 +g966 +tp5346 +a(g408 +g1264 +tp5347 +a(g835 +g966 +tp5348 +a(g32 +V14 +p5349 +tp5350 +a(g835 +g966 +tp5351 +a(g408 +g1269 +tp5352 +a(g835 +g966 +tp5353 +a(g436 +Vpre_sep +p5354 +tp5355 +a(g835 +g966 +tp5356 +a(g701 +g1020 +tp5357 +a(g835 +g966 +tp5358 +a(g436 +Vquat_reg102_undef +p5359 +tp5360 +a(g701 +g1025 +tp5361 +a(g835 +V\u000a +p5362 +tp5363 +a(g436 +Vstep +p5364 +tp5365 +a(g835 +g966 +tp5366 +a(g408 +g1264 +tp5367 +a(g835 +g966 +tp5368 +a(g32 +V16 +p5369 +tp5370 +a(g835 +g966 +tp5371 +a(g701 +g1020 +tp5372 +a(g835 +g966 +tp5373 +a(g740 +Vcase +p5374 +tp5375 +a(g835 +V \u000a +p5376 +tp5377 +a(g436 +g1069 +tp5378 +a(g835 +g966 +tp5379 +a(g408 +g1264 +tp5380 +a(g835 +g966 +tp5381 +a(g436 +Vreg4 +p5382 +tp5383 +a(g835 +g966 +tp5384 +a(g701 +g1020 +tp5385 +a(g835 +g966 +tp5386 +a(g436 +Vquat_reg4 +p5387 +tp5388 +a(g701 +g1025 +tp5389 +a(g835 +V\u000a +p5390 +tp5391 +a(g759 +VTRUE +p5392 +tp5393 +a(g835 +g966 +tp5394 +a(g701 +g1020 +tp5395 +a(g835 +g966 +tp5396 +a(g436 +Vquat_reg3 +p5397 +tp5398 +a(g701 +g1025 +tp5399 +a(g835 +V\u000a +p5400 +tp5401 +a(g740 +Vesac +p5402 +tp5403 +a(g701 +g1025 +tp5404 +a(g835 +V\u000a +p5405 +tp5406 +a(g436 +Vstep +p5407 +tp5408 +a(g835 +g966 +tp5409 +a(g408 +g1264 +tp5410 +a(g835 +g966 +tp5411 +a(g32 +V22 +p5412 +tp5413 +a(g835 +g966 +tp5414 +a(g701 +g1020 +tp5415 +a(g835 +g966 +tp5416 +a(g436 +Vquat_reg2 +p5417 +tp5418 +a(g701 +g1025 +tp5419 +a(g835 +V\u000a\u000a +p5420 +tp5421 +a(g7 +V-- Without this step the value "quat_reg2" would remain in "reg1":\u000a +p5422 +tp5423 +a(g7 +V-- step = 23 & ABS_beta_n_GRT_beta_max & !high_rate_sep : undef;\u000a +p5424 +tp5425 +a(g835 +V \u000a +p5426 +tp5427 +a(g759 +VTRUE +p5428 +tp5429 +a(g835 +g966 +tp5430 +a(g701 +g1020 +tp5431 +a(g835 +g966 +tp5432 +a(g436 +Vq_gcb_i +p5433 +tp5434 +a(g701 +g1025 +tp5435 +a(g835 +V\u000a +p5436 +tp5437 +a(g740 +Vesac +p5438 +tp5439 +a(g701 +g1025 +tp5440 +a(g835 +V\u000a\u000a +p5441 +tp5442 +a(g422 +Vnext +p5443 +tp5444 +a(g701 +g970 +tp5445 +a(g436 +Voms_nz_lim +p5446 +tp5447 +a(g701 +g1011 +tp5448 +a(g835 +g966 +tp5449 +a(g408 +V:= +p5450 +tp5451 +a(g835 +V\u000a +p5452 +tp5453 +a(g740 +Vcase +p5454 +tp5455 +a(g835 +V\u000a +p5456 +tp5457 +a(g422 +Vnext +p5458 +tp5459 +a(g701 +g970 +tp5460 +a(g436 +Vstart +p5461 +tp5462 +a(g701 +g1011 +tp5463 +a(g835 +g966 +tp5464 +a(g408 +g1264 +tp5465 +a(g835 +g966 +tp5466 +a(g759 +VTRUE +p5467 +tp5468 +a(g835 +g966 +tp5469 +a(g701 +g1020 +tp5470 +a(g835 +g966 +tp5471 +a(g436 +Vundef +p5472 +tp5473 +a(g701 +g1025 +tp5474 +a(g835 +V +p5475 +tp5476 +a(g7 +V-- Assumed initialization\u000a +p5477 +tp5478 +a(g835 +V +p5479 +tp5480 +a(g436 +Vstep +p5481 +tp5482 +a(g835 +g966 +tp5483 +a(g408 +g1264 +tp5484 +a(g835 +g966 +tp5485 +a(g32 +g1130 +tp5486 +a(g835 +g966 +tp5487 +a(g408 +g1269 +tp5488 +a(g835 +g966 +tp5489 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p5490 +tp5491 +a(g835 +g966 +tp5492 +a(g408 +g1269 +tp5493 +a(g835 +g966 +tp5494 +a(g436 +g1069 +tp5495 +a(g835 +g966 +tp5496 +a(g408 +g1502 +tp5497 +a(g408 +g1264 +tp5498 +a(g835 +g966 +tp5499 +a(g436 +Vreg102 +p5500 +tp5501 +a(g835 +g966 +tp5502 +a(g701 +g1020 +tp5503 +a(g835 +g966 +tp5504 +a(g436 +Voms_nz_lim_3eo +p5505 +tp5506 +a(g701 +g1025 +tp5507 +a(g835 +V\u000a +p5508 +tp5509 +a(g436 +Vstep +p5510 +tp5511 +a(g835 +g966 +tp5512 +a(g408 +g1264 +tp5513 +a(g835 +g966 +tp5514 +a(g32 +V12 +p5515 +tp5516 +a(g835 +g966 +tp5517 +a(g408 +g1269 +tp5518 +a(g835 +g966 +tp5519 +a(g436 +Vmm602_OK +p5520 +tp5521 +a(g835 +g966 +tp5522 +a(g701 +g1020 +tp5523 +a(g835 +g966 +tp5524 +a(g436 +Voms_nz_lim_std +p5525 +tp5526 +a(g701 +g1025 +tp5527 +a(g835 +V\u000a +p5528 +tp5529 +a(g759 +VTRUE +p5530 +tp5531 +a(g835 +g966 +tp5532 +a(g701 +g1020 +tp5533 +a(g835 +g966 +tp5534 +a(g436 +Voms_nz_lim +p5535 +tp5536 +a(g701 +g1025 +tp5537 +a(g835 +V\u000a +p5538 +tp5539 +a(g740 +Vesac +p5540 +tp5541 +a(g701 +g1025 +tp5542 +a(g835 +V\u000a\u000a +p5543 +tp5544 +a(g422 +Vnext +p5545 +tp5546 +a(g701 +g970 +tp5547 +a(g436 +Vcontingency_nz_lim +p5548 +tp5549 +a(g701 +g1011 +tp5550 +a(g835 +g966 +tp5551 +a(g408 +V:= +p5552 +tp5553 +a(g835 +V\u000a +p5554 +tp5555 +a(g740 +Vcase +p5556 +tp5557 +a(g835 +V\u000a +p5558 +tp5559 +a(g422 +Vnext +p5560 +tp5561 +a(g701 +g970 +tp5562 +a(g436 +Vstart +p5563 +tp5564 +a(g701 +g1011 +tp5565 +a(g835 +g966 +tp5566 +a(g408 +g1264 +tp5567 +a(g835 +g966 +tp5568 +a(g759 +VTRUE +p5569 +tp5570 +a(g835 +g966 +tp5571 +a(g701 +g1020 +tp5572 +a(g835 +g966 +tp5573 +a(g436 +Vundef +p5574 +tp5575 +a(g701 +g1025 +tp5576 +a(g835 +V +p5577 +tp5578 +a(g7 +V-- Assumed initialization\u000a +p5579 +tp5580 +a(g835 +V +p5581 +tp5582 +a(g436 +Vstep +p5583 +tp5584 +a(g835 +g966 +tp5585 +a(g408 +g1264 +tp5586 +a(g835 +g966 +tp5587 +a(g32 +g1130 +tp5588 +a(g835 +g966 +tp5589 +a(g408 +g1269 +tp5590 +a(g835 +g966 +tp5591 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p5592 +tp5593 +a(g835 +g966 +tp5594 +a(g408 +g1269 +tp5595 +a(g835 +g966 +tp5596 +a(g436 +g1069 +tp5597 +a(g835 +g966 +tp5598 +a(g408 +g1502 +tp5599 +a(g408 +g1264 +tp5600 +a(g835 +g966 +tp5601 +a(g436 +Vreg102 +p5602 +tp5603 +a(g835 +g966 +tp5604 +a(g701 +g1020 +tp5605 +a(g835 +V \u000a +p5606 +tp5607 +a(g436 +Vcontingency_nz_lim_3eo +p5608 +tp5609 +a(g701 +g1025 +tp5610 +a(g835 +V\u000a +p5611 +tp5612 +a(g436 +Vstep +p5613 +tp5614 +a(g835 +g966 +tp5615 +a(g408 +g1264 +tp5616 +a(g835 +g966 +tp5617 +a(g32 +V12 +p5618 +tp5619 +a(g835 +g966 +tp5620 +a(g408 +g1269 +tp5621 +a(g835 +g966 +tp5622 +a(g436 +Vmm602_OK +p5623 +tp5624 +a(g835 +g966 +tp5625 +a(g701 +g1020 +tp5626 +a(g835 +g966 +tp5627 +a(g436 +Vcontingency_nz_lim_std +p5628 +tp5629 +a(g701 +g1025 +tp5630 +a(g835 +V\u000a +p5631 +tp5632 +a(g759 +VTRUE +p5633 +tp5634 +a(g835 +g966 +tp5635 +a(g701 +g1020 +tp5636 +a(g835 +g966 +tp5637 +a(g436 +Vcontingency_nz_lim +p5638 +tp5639 +a(g701 +g1025 +tp5640 +a(g835 +V\u000a +p5641 +tp5642 +a(g740 +Vesac +p5643 +tp5644 +a(g701 +g1025 +tp5645 +a(g835 +V\u000a\u000a +p5646 +tp5647 +a(g805 +VDEFINE +p5648 +tp5649 +a(g835 +V\u000a +p5650 +tp5651 +a(g436 +Vfinished +p5652 +tp5653 +a(g835 +g966 +tp5654 +a(g408 +V:= +p5655 +tp5656 +a(g835 +g966 +tp5657 +a(g436 +Vstep +p5658 +tp5659 +a(g835 +g966 +tp5660 +a(g408 +g1264 +tp5661 +a(g835 +g966 +tp5662 +a(g436 +Vexit +p5663 +tp5664 +a(g701 +g1025 +tp5665 +a(g835 +V\u000a +p5666 +tp5667 +a(g436 +Vidle +p5668 +tp5669 +a(g835 +g966 +tp5670 +a(g408 +V:= +p5671 +tp5672 +a(g835 +g966 +tp5673 +a(g436 +Vstep +p5674 +tp5675 +a(g835 +g966 +tp5676 +a(g408 +g1264 +tp5677 +a(g835 +g966 +tp5678 +a(g436 +Vundef +p5679 +tp5680 +a(g701 +g1025 +tp5681 +a(g835 +V\u000a\u000a +p5682 +tp5683 +a(g436 +Vstart_cont_3eo_mode_select +p5684 +tp5685 +a(g835 +g966 +tp5686 +a(g408 +V:= +p5687 +tp5688 +a(g835 +V \u000a +p5689 +tp5690 +a(g740 +Vcase +p5691 +tp5692 +a(g835 +V\u000a +p5693 +tp5694 +a(g436 +Vstep +p5695 +tp5696 +a(g835 +g966 +tp5697 +a(g408 +g1264 +tp5698 +a(g835 +g966 +tp5699 +a(g32 +g1106 +tp5700 +a(g835 +g966 +tp5701 +a(g408 +g1269 +tp5702 +a(g835 +g966 +tp5703 +a(g408 +g1502 +tp5704 +a(g436 +Vcont_3EO_start +p5705 +tp5706 +a(g835 +g966 +tp5707 +a(g701 +g1020 +tp5708 +a(g835 +g966 +tp5709 +a(g759 +VTRUE +p5710 +tp5711 +a(g701 +g1025 +tp5712 +a(g835 +V\u000a +p5713 +tp5714 +a(g759 +VTRUE +p5715 +tp5716 +a(g835 +g966 +tp5717 +a(g701 +g1020 +tp5718 +a(g835 +g966 +tp5719 +a(g759 +VFALSE +p5720 +tp5721 +a(g701 +g1025 +tp5722 +a(g835 +V\u000a +p5723 +tp5724 +a(g740 +Vesac +p5725 +tp5726 +a(g701 +g1025 +tp5727 +a(g835 +V\u000a\u000a +p5728 +tp5729 +a(g436 +Vnextstep +p5730 +tp5731 +a(g835 +g966 +tp5732 +a(g408 +V:= +p5733 +tp5734 +a(g835 +V\u000a +p5735 +tp5736 +a(g740 +Vcase +p5737 +tp5738 +a(g835 +V\u000a +p5739 +tp5740 +a(g436 +Vstep +p5741 +tp5742 +a(g835 +g966 +tp5743 +a(g408 +g1264 +tp5744 +a(g835 +g966 +tp5745 +a(g32 +g1106 +tp5746 +a(g835 +g966 +tp5747 +a(g701 +g1020 +tp5748 +a(g835 +g966 +tp5749 +a(g436 +Va1 +p5750 +tp5751 +a(g701 +g1025 +tp5752 +a(g835 +V\u000a +p5753 +tp5754 +a(g436 +Vstep +p5755 +tp5756 +a(g835 +g966 +tp5757 +a(g408 +g1264 +tp5758 +a(g835 +g966 +tp5759 +a(g436 +Va1 +p5760 +tp5761 +a(g835 +g966 +tp5762 +a(g701 +g1020 +tp5763 +a(g835 +g966 +tp5764 +a(g740 +Vcase +p5765 +tp5766 +a(g835 +V\u000a +p5767 +tp5768 +a(g701 +g970 +tp5769 +a(g436 +Vcont_3EO_start +p5770 +tp5771 +a(g835 +g966 +tp5772 +a(g408 +g1842 +tp5773 +a(g835 +g966 +tp5774 +a(g436 +Vmode_select_completed +p5775 +tp5776 +a(g701 +g1011 +tp5777 +a(g835 +g966 +tp5778 +a(g701 +g1020 +tp5779 +a(g835 +g966 +tp5780 +a(g32 +g1109 +tp5781 +a(g701 +g1025 +tp5782 +a(g835 +V\u000a +p5783 +tp5784 +a(g759 +VTRUE +p5785 +tp5786 +a(g835 +g966 +tp5787 +a(g701 +g1020 +tp5788 +a(g835 +g966 +tp5789 +a(g436 +Vstep +p5790 +tp5791 +a(g701 +g1025 +tp5792 +a(g835 +V\u000a +p5793 +tp5794 +a(g740 +Vesac +p5795 +tp5796 +a(g701 +g1025 +tp5797 +a(g835 +V\u000a +p5798 +tp5799 +a(g436 +Vstep +p5800 +tp5801 +a(g835 +g966 +tp5802 +a(g408 +g1264 +tp5803 +a(g835 +g966 +tp5804 +a(g32 +g1109 +tp5805 +a(g835 +g966 +tp5806 +a(g701 +g1020 +tp5807 +a(g835 +g966 +tp5808 +a(g740 +Vcase +p5809 +tp5810 +a(g835 +V \u000a +p5811 +tp5812 +a(g408 +g1502 +tp5813 +a(g436 +Vcont_3EO_start +p5814 +tp5815 +a(g835 +g966 +tp5816 +a(g701 +g1020 +tp5817 +a(g835 +g966 +tp5818 +a(g436 +Vexit +p5819 +tp5820 +a(g701 +g1025 +tp5821 +a(g835 +V\u000a +p5822 +tp5823 +a(g436 +Vfirst3 +p5824 +tp5825 +a(g835 +g966 +tp5826 +a(g701 +g1020 +tp5827 +a(g835 +g966 +tp5828 +a(g32 +g1112 +tp5829 +a(g701 +g1025 +tp5830 +a(g835 +V\u000a +p5831 +tp5832 +a(g759 +VTRUE +p5833 +tp5834 +a(g701 +g1020 +tp5835 +a(g835 +g966 +tp5836 +a(g32 +g1115 +tp5837 +a(g701 +g1025 +tp5838 +a(g835 +V\u000a +p5839 +tp5840 +a(g740 +Vesac +p5841 +tp5842 +a(g701 +g1025 +tp5843 +a(g835 +V\u000a +p5844 +tp5845 +a(g436 +Vstep +p5846 +tp5847 +a(g835 +g966 +tp5848 +a(g408 +g1264 +tp5849 +a(g835 +g966 +tp5850 +a(g32 +g1112 +tp5851 +a(g835 +g966 +tp5852 +a(g701 +g1020 +tp5853 +a(g835 +g966 +tp5854 +a(g32 +g1115 +tp5855 +a(g701 +g1025 +tp5856 +a(g835 +V\u000a +p5857 +tp5858 +a(g436 +Vstep +p5859 +tp5860 +a(g835 +g966 +tp5861 +a(g408 +g1264 +tp5862 +a(g835 +g966 +tp5863 +a(g32 +g1115 +tp5864 +a(g835 +g966 +tp5865 +a(g701 +g1020 +tp5866 +a(g835 +g966 +tp5867 +a(g740 +Vcase +p5868 +tp5869 +a(g835 +V\u000a +p5870 +tp5871 +a(g436 +Vet_sep_cmd +p5872 +tp5873 +a(g835 +g966 +tp5874 +a(g701 +g1020 +tp5875 +a(g835 +g966 +tp5876 +a(g32 +g1124 +tp5877 +a(g701 +g1025 +tp5878 +a(g835 +V\u000a +p5879 +tp5880 +a(g759 +VTRUE +p5881 +tp5882 +a(g835 +g966 +tp5883 +a(g701 +g1020 +tp5884 +a(g835 +g966 +tp5885 +a(g32 +g1118 +tp5886 +a(g701 +g1025 +tp5887 +a(g835 +V\u000a +p5888 +tp5889 +a(g740 +Vesac +p5890 +tp5891 +a(g701 +g1025 +tp5892 +a(g835 +V\u000a +p5893 +tp5894 +a(g436 +Vstep +p5895 +tp5896 +a(g835 +g966 +tp5897 +a(g408 +g1264 +tp5898 +a(g835 +g966 +tp5899 +a(g32 +g1118 +tp5900 +a(g835 +g966 +tp5901 +a(g701 +g1020 +tp5902 +a(g835 +g966 +tp5903 +a(g740 +Vcase +p5904 +tp5905 +a(g835 +V\u000a +p5906 +tp5907 +a(g436 +Vh_dot_LT_0 +p5908 +tp5909 +a(g835 +g966 +tp5910 +a(g408 +g1269 +tp5911 +a(g835 +g966 +tp5912 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p5913 +tp5914 +a(g835 +g966 +tp5915 +a(g408 +g1269 +tp5916 +a(g835 +V \u000a +p5917 +tp5918 +a(g436 +Vm_mode +p5919 +tp5920 +a(g835 +g966 +tp5921 +a(g408 +g1502 +tp5922 +a(g408 +g1264 +tp5923 +a(g835 +g966 +tp5924 +a(g436 +Vmm102 +p5925 +tp5926 +a(g835 +g966 +tp5927 +a(g701 +g1020 +tp5928 +a(g835 +g966 +tp5929 +a(g436 +Vexit +p5930 +tp5931 +a(g701 +g1025 +tp5932 +a(g835 +V\u000a +p5933 +tp5934 +a(g759 +VTRUE +p5935 +tp5936 +a(g835 +g966 +tp5937 +a(g701 +g1020 +tp5938 +a(g835 +g966 +tp5939 +a(g32 +g1121 +tp5940 +a(g701 +g1025 +tp5941 +a(g835 +V\u000a +p5942 +tp5943 +a(g740 +Vesac +p5944 +tp5945 +a(g701 +g1025 +tp5946 +a(g835 +V\u000a +p5947 +tp5948 +a(g436 +Vstep +p5949 +tp5950 +a(g835 +g966 +tp5951 +a(g408 +g1264 +tp5952 +a(g835 +g966 +tp5953 +a(g32 +g1121 +tp5954 +a(g835 +g966 +tp5955 +a(g701 +g1020 +tp5956 +a(g835 +V \u000a +p5957 +tp5958 +a(g740 +Vcase +p5959 +tp5960 +a(g835 +V\u000a +p5961 +tp5962 +a(g436 +g1069 +tp5963 +a(g835 +g966 +tp5964 +a(g408 +g1264 +tp5965 +a(g835 +g966 +tp5966 +a(g436 +Vreg102 +p5967 +tp5968 +a(g835 +g966 +tp5969 +a(g701 +g1020 +tp5970 +a(g835 +g966 +tp5971 +a(g32 +V13 +p5972 +tp5973 +a(g701 +g1025 +tp5974 +a(g835 +V\u000a +p5975 +tp5976 +a(g436 +g1069 +tp5977 +a(g835 +g966 +tp5978 +a(g422 +Vin +p5979 +tp5980 +a(g835 +g966 +tp5981 +a(g701 +g1052 +tp5982 +a(g436 +Vreg3 +p5983 +tp5984 +a(g701 +g974 +tp5985 +a(g835 +g966 +tp5986 +a(g436 +Vreg4 +p5987 +tp5988 +a(g701 +g1064 +tp5989 +a(g835 +g966 +tp5990 +a(g701 +g1020 +tp5991 +a(g835 +g966 +tp5992 +a(g32 +V15 +p5993 +tp5994 +a(g701 +g1025 +tp5995 +a(g835 +V\u000a +p5996 +tp5997 +a(g436 +g1069 +tp5998 +a(g835 +g966 +tp5999 +a(g408 +g1264 +tp6000 +a(g835 +g966 +tp6001 +a(g436 +Vreg2 +p6002 +tp6003 +a(g835 +g966 +tp6004 +a(g701 +g1020 +tp6005 +a(g835 +g966 +tp6006 +a(g32 +V22 +p6007 +tp6008 +a(g701 +g1025 +tp6009 +a(g835 +V\u000a +p6010 +tp6011 +a(g436 +g1069 +tp6012 +a(g835 +g966 +tp6013 +a(g408 +g1264 +tp6014 +a(g835 +g966 +tp6015 +a(g436 +Vreg1 +p6016 +tp6017 +a(g835 +g966 +tp6018 +a(g701 +g1020 +tp6019 +a(g835 +g966 +tp6020 +a(g32 +V27 +p6021 +tp6022 +a(g701 +g1025 +tp6023 +a(g835 +V\u000a +p6024 +tp6025 +a(g759 +VTRUE +p6026 +tp6027 +a(g835 +g966 +tp6028 +a(g701 +g1020 +tp6029 +a(g835 +g966 +tp6030 +a(g436 +Vexit +p6031 +tp6032 +a(g701 +g1025 +tp6033 +a(g835 +V\u000a +p6034 +tp6035 +a(g740 +Vesac +p6036 +tp6037 +a(g701 +g1025 +tp6038 +a(g835 +V\u000a +p6039 +tp6040 +a(g436 +Vstep +p6041 +tp6042 +a(g835 +g966 +tp6043 +a(g408 +g1264 +tp6044 +a(g835 +g966 +tp6045 +a(g32 +g1124 +tp6046 +a(g835 +g966 +tp6047 +a(g701 +g1020 +tp6048 +a(g835 +g966 +tp6049 +a(g740 +Vcase +p6050 +tp6051 +a(g835 +V\u000a +p6052 +tp6053 +a(g436 +Vcont_minus_z_compl +p6054 +tp6055 +a(g835 +g966 +tp6056 +a(g701 +g1020 +tp6057 +a(g835 +g966 +tp6058 +a(g32 +g1127 +tp6059 +a(g701 +g1025 +tp6060 +a(g835 +V\u000a +p6061 +tp6062 +a(g759 +VTRUE +p6063 +tp6064 +a(g835 +g966 +tp6065 +a(g701 +g1020 +tp6066 +a(g835 +g966 +tp6067 +a(g436 +Vexit +p6068 +tp6069 +a(g701 +g1025 +tp6070 +a(g835 +V\u000a +p6071 +tp6072 +a(g740 +Vesac +p6073 +tp6074 +a(g701 +g1025 +tp6075 +a(g835 +V\u000a +p6076 +tp6077 +a(g436 +Vstep +p6078 +tp6079 +a(g835 +g966 +tp6080 +a(g408 +g1264 +tp6081 +a(g835 +g966 +tp6082 +a(g32 +g1127 +tp6083 +a(g835 +g966 +tp6084 +a(g701 +g1020 +tp6085 +a(g835 +g966 +tp6086 +a(g740 +Vcase +p6087 +tp6088 +a(g835 +V\u000a +p6089 +tp6090 +a(g436 +Vexcess_OMS_propellant +p6091 +tp6092 +a(g835 +g966 +tp6093 +a(g408 +g1269 +tp6094 +a(g835 +g966 +tp6095 +a(g436 +Vfirst8 +p6096 +tp6097 +a(g835 +g966 +tp6098 +a(g701 +g1020 +tp6099 +a(g835 +g966 +tp6100 +a(g32 +g1130 +tp6101 +a(g701 +g1025 +tp6102 +a(g835 +V\u000a +p6103 +tp6104 +a(g759 +VTRUE +p6105 +tp6106 +a(g835 +g966 +tp6107 +a(g701 +g1020 +tp6108 +a(g835 +g966 +tp6109 +a(g32 +V10 +p6110 +tp6111 +a(g701 +g1025 +tp6112 +a(g835 +V\u000a +p6113 +tp6114 +a(g740 +Vesac +p6115 +tp6116 +a(g701 +g1025 +tp6117 +a(g835 +V\u000a +p6118 +tp6119 +a(g436 +Vstep +p6120 +tp6121 +a(g835 +g966 +tp6122 +a(g408 +g1264 +tp6123 +a(g835 +g966 +tp6124 +a(g32 +g1130 +tp6125 +a(g835 +g966 +tp6126 +a(g701 +g1020 +tp6127 +a(g835 +g966 +tp6128 +a(g436 +Vexit +p6129 +tp6130 +a(g701 +g1025 +tp6131 +a(g835 +V\u000a +p6132 +tp6133 +a(g436 +Vstep +p6134 +tp6135 +a(g835 +g966 +tp6136 +a(g408 +g1264 +tp6137 +a(g835 +g966 +tp6138 +a(g32 +V10 +p6139 +tp6140 +a(g835 +g966 +tp6141 +a(g701 +g1020 +tp6142 +a(g835 +g966 +tp6143 +a(g740 +Vcase +p6144 +tp6145 +a(g835 +V\u000a +p6146 +tp6147 +a(g408 +g1502 +tp6148 +a(g436 +Ventry_mnvr_couter_LE_0 +p6149 +tp6150 +a(g835 +g966 +tp6151 +a(g408 +g1842 +tp6152 +a(g835 +g966 +tp6153 +a(g436 +Vrcs_all_jet_inhibit +p6154 +tp6155 +a(g835 +g966 +tp6156 +a(g701 +g1020 +tp6157 +a(g835 +g966 +tp6158 +a(g436 +Vexit +p6159 +tp6160 +a(g701 +g1025 +tp6161 +a(g835 +V\u000a +p6162 +tp6163 +a(g759 +VTRUE +p6164 +tp6165 +a(g835 +g966 +tp6166 +a(g701 +g1020 +tp6167 +a(g835 +g966 +tp6168 +a(g32 +V11 +p6169 +tp6170 +a(g701 +g1025 +tp6171 +a(g835 +V\u000a +p6172 +tp6173 +a(g740 +Vesac +p6174 +tp6175 +a(g701 +g1025 +tp6176 +a(g835 +V\u000a +p6177 +tp6178 +a(g436 +Vstep +p6179 +tp6180 +a(g835 +g966 +tp6181 +a(g408 +g1264 +tp6182 +a(g835 +g966 +tp6183 +a(g32 +V11 +p6184 +tp6185 +a(g835 +g966 +tp6186 +a(g701 +g1020 +tp6187 +a(g835 +g966 +tp6188 +a(g32 +V12 +p6189 +tp6190 +a(g701 +g1025 +tp6191 +a(g835 +V\u000a +p6192 +tp6193 +a(g436 +Vstep +p6194 +tp6195 +a(g835 +g966 +tp6196 +a(g408 +g1264 +tp6197 +a(g835 +g966 +tp6198 +a(g32 +V12 +p6199 +tp6200 +a(g835 +g966 +tp6201 +a(g701 +g1020 +tp6202 +a(g835 +g966 +tp6203 +a(g436 +Vexit +p6204 +tp6205 +a(g701 +g1025 +tp6206 +a(g835 +V\u000a +p6207 +tp6208 +a(g436 +Vstep +p6209 +tp6210 +a(g835 +g966 +tp6211 +a(g408 +g1264 +tp6212 +a(g835 +g966 +tp6213 +a(g32 +V13 +p6214 +tp6215 +a(g835 +g966 +tp6216 +a(g701 +g1020 +tp6217 +a(g835 +g966 +tp6218 +a(g32 +V14 +p6219 +tp6220 +a(g701 +g1025 +tp6221 +a(g835 +V\u000a +p6222 +tp6223 +a(g436 +Vstep +p6224 +tp6225 +a(g835 +g966 +tp6226 +a(g408 +g1264 +tp6227 +a(g835 +g966 +tp6228 +a(g32 +V14 +p6229 +tp6230 +a(g835 +g966 +tp6231 +a(g701 +g1020 +tp6232 +a(g835 +g966 +tp6233 +a(g436 +Vexit +p6234 +tp6235 +a(g701 +g1025 +tp6236 +a(g835 +V\u000a +p6237 +tp6238 +a(g436 +Vstep +p6239 +tp6240 +a(g835 +g966 +tp6241 +a(g408 +g1264 +tp6242 +a(g835 +g966 +tp6243 +a(g32 +V15 +p6244 +tp6245 +a(g835 +g966 +tp6246 +a(g701 +g1020 +tp6247 +a(g835 +g966 +tp6248 +a(g32 +V16 +p6249 +tp6250 +a(g701 +g1025 +tp6251 +a(g835 +V\u000a +p6252 +tp6253 +a(g436 +Vstep +p6254 +tp6255 +a(g835 +g966 +tp6256 +a(g408 +g1264 +tp6257 +a(g835 +g966 +tp6258 +a(g32 +V16 +p6259 +tp6260 +a(g835 +g966 +tp6261 +a(g701 +g1020 +tp6262 +a(g835 +g966 +tp6263 +a(g32 +V17 +p6264 +tp6265 +a(g701 +g1025 +tp6266 +a(g835 +V\u000a +p6267 +tp6268 +a(g436 +Vstep +p6269 +tp6270 +a(g835 +g966 +tp6271 +a(g408 +g1264 +tp6272 +a(g835 +g966 +tp6273 +a(g32 +V17 +p6274 +tp6275 +a(g835 +g966 +tp6276 +a(g701 +g1020 +tp6277 +a(g835 +g966 +tp6278 +a(g740 +Vcase +p6279 +tp6280 +a(g835 +V\u000a +p6281 +tp6282 +a(g436 +g1069 +tp6283 +a(g835 +g966 +tp6284 +a(g408 +g1264 +tp6285 +a(g835 +g966 +tp6286 +a(g436 +Vreg4 +p6287 +tp6288 +a(g835 +g966 +tp6289 +a(g701 +g1020 +tp6290 +a(g835 +g966 +tp6291 +a(g32 +V18 +p6292 +tp6293 +a(g701 +g1025 +tp6294 +a(g835 +V\u000a +p6295 +tp6296 +a(g759 +VTRUE +p6297 +tp6298 +a(g835 +g966 +tp6299 +a(g701 +g1020 +tp6300 +a(g835 +g966 +tp6301 +a(g32 +V20 +p6302 +tp6303 +a(g701 +g1025 +tp6304 +a(g835 +V\u000a +p6305 +tp6306 +a(g740 +Vesac +p6307 +tp6308 +a(g701 +g1025 +tp6309 +a(g835 +V\u000a +p6310 +tp6311 +a(g436 +Vstep +p6312 +tp6313 +a(g835 +g966 +tp6314 +a(g408 +g1264 +tp6315 +a(g835 +g966 +tp6316 +a(g32 +V18 +p6317 +tp6318 +a(g835 +g966 +tp6319 +a(g701 +g1020 +tp6320 +a(g835 +g966 +tp6321 +a(g740 +Vcase +p6322 +tp6323 +a(g835 +V\u000a +p6324 +tp6325 +a(g436 +Vpch_cmd_reg4 +p6326 +tp6327 +a(g835 +g966 +tp6328 +a(g408 +g1842 +tp6329 +a(g835 +g966 +tp6330 +a(g436 +Vcond_18 +p6331 +tp6332 +a(g835 +g966 +tp6333 +a(g701 +g1020 +tp6334 +a(g835 +g966 +tp6335 +a(g32 +V19 +p6336 +tp6337 +a(g701 +g1025 +tp6338 +a(g835 +V\u000a +p6339 +tp6340 +a(g759 +VTRUE +p6341 +tp6342 +a(g835 +g966 +tp6343 +a(g701 +g1020 +tp6344 +a(g835 +g966 +tp6345 +a(g436 +Vexit +p6346 +tp6347 +a(g701 +g1025 +tp6348 +a(g835 +V\u000a +p6349 +tp6350 +a(g740 +Vesac +p6351 +tp6352 +a(g701 +g1025 +tp6353 +a(g835 +V\u000a +p6354 +tp6355 +a(g436 +Vstep +p6356 +tp6357 +a(g835 +g966 +tp6358 +a(g408 +g1264 +tp6359 +a(g835 +g966 +tp6360 +a(g32 +V19 +p6361 +tp6362 +a(g835 +g966 +tp6363 +a(g701 +g1020 +tp6364 +a(g835 +g966 +tp6365 +a(g436 +Vexit +p6366 +tp6367 +a(g701 +g1025 +tp6368 +a(g835 +V\u000a +p6369 +tp6370 +a(g436 +Vstep +p6371 +tp6372 +a(g835 +g966 +tp6373 +a(g408 +g1264 +tp6374 +a(g835 +g966 +tp6375 +a(g32 +V20 +p6376 +tp6377 +a(g835 +g966 +tp6378 +a(g701 +g1020 +tp6379 +a(g835 +g966 +tp6380 +a(g740 +Vcase +p6381 +tp6382 +a(g835 +V\u000a +p6383 +tp6384 +a(g436 +VABS_alf_err_LT_alf_sep_err +p6385 +tp6386 +a(g835 +g966 +tp6387 +a(g701 +g1020 +tp6388 +a(g835 +g966 +tp6389 +a(g436 +Vb20 +p6390 +tp6391 +a(g701 +g1025 +tp6392 +a(g835 +V\u000a +p6393 +tp6394 +a(g759 +VTRUE +p6395 +tp6396 +a(g835 +g966 +tp6397 +a(g701 +g1020 +tp6398 +a(g835 +g966 +tp6399 +a(g436 +Vc20 +p6400 +tp6401 +a(g701 +g1025 +tp6402 +a(g835 +V\u000a +p6403 +tp6404 +a(g740 +Vesac +p6405 +tp6406 +a(g701 +g1025 +tp6407 +a(g835 +V\u000a +p6408 +tp6409 +a(g436 +Vstep +p6410 +tp6411 +a(g835 +g966 +tp6412 +a(g408 +g1264 +tp6413 +a(g835 +g966 +tp6414 +a(g436 +Vb20 +p6415 +tp6416 +a(g835 +g966 +tp6417 +a(g701 +g1020 +tp6418 +a(g835 +g966 +tp6419 +a(g740 +Vcase +p6420 +tp6421 +a(g835 +V\u000a +p6422 +tp6423 +a(g436 +Vcond_20b +p6424 +tp6425 +a(g835 +g966 +tp6426 +a(g701 +g1020 +tp6427 +a(g835 +g966 +tp6428 +a(g436 +Vd20 +p6429 +tp6430 +a(g701 +g1025 +tp6431 +a(g835 +V\u000a +p6432 +tp6433 +a(g759 +VTRUE +p6434 +tp6435 +a(g835 +g966 +tp6436 +a(g701 +g1020 +tp6437 +a(g835 +g966 +tp6438 +a(g436 +Vexit +p6439 +tp6440 +a(g701 +g1025 +tp6441 +a(g835 +V\u000a +p6442 +tp6443 +a(g740 +Vesac +p6444 +tp6445 +a(g701 +g1025 +tp6446 +a(g835 +V\u000a +p6447 +tp6448 +a(g436 +Vstep +p6449 +tp6450 +a(g835 +g966 +tp6451 +a(g408 +g1264 +tp6452 +a(g835 +g966 +tp6453 +a(g436 +Vc20 +p6454 +tp6455 +a(g835 +g966 +tp6456 +a(g701 +g1020 +tp6457 +a(g835 +g966 +tp6458 +a(g740 +Vcase +p6459 +tp6460 +a(g835 +V\u000a +p6461 +tp6462 +a(g436 +Valpha_ok +p6463 +tp6464 +a(g835 +g966 +tp6465 +a(g701 +g1020 +tp6466 +a(g835 +g966 +tp6467 +a(g436 +Vd20 +p6468 +tp6469 +a(g701 +g1025 +tp6470 +a(g835 +V\u000a +p6471 +tp6472 +a(g759 +VTRUE +p6473 +tp6474 +a(g835 +g966 +tp6475 +a(g701 +g1020 +tp6476 +a(g835 +g966 +tp6477 +a(g32 +V21 +p6478 +tp6479 +a(g701 +g1025 +tp6480 +a(g835 +V\u000a +p6481 +tp6482 +a(g740 +Vesac +p6483 +tp6484 +a(g701 +g1025 +tp6485 +a(g835 +V\u000a +p6486 +tp6487 +a(g436 +Vstep +p6488 +tp6489 +a(g835 +g966 +tp6490 +a(g408 +g1264 +tp6491 +a(g835 +g966 +tp6492 +a(g436 +Vd20 +p6493 +tp6494 +a(g835 +g966 +tp6495 +a(g701 +g1020 +tp6496 +a(g835 +g966 +tp6497 +a(g436 +Vexit +p6498 +tp6499 +a(g701 +g1025 +tp6500 +a(g835 +V\u000a +p6501 +tp6502 +a(g759 +VTRUE +p6503 +tp6504 +a(g835 +g966 +tp6505 +a(g701 +g1020 +tp6506 +a(g835 +g966 +tp6507 +a(g436 +Vnextstep21 +p6508 +tp6509 +a(g701 +g1025 +tp6510 +a(g835 +V\u000a +p6511 +tp6512 +a(g740 +Vesac +p6513 +tp6514 +a(g701 +g1025 +tp6515 +a(g835 +V\u000a\u000a +p6516 +tp6517 +a(g436 +Vnextstep21 +p6518 +tp6519 +a(g835 +g966 +tp6520 +a(g408 +V:= +p6521 +tp6522 +a(g835 +V\u000a +p6523 +tp6524 +a(g740 +Vcase +p6525 +tp6526 +a(g835 +V\u000a +p6527 +tp6528 +a(g436 +Vstep +p6529 +tp6530 +a(g835 +g966 +tp6531 +a(g408 +g1264 +tp6532 +a(g835 +g966 +tp6533 +a(g32 +V21 +p6534 +tp6535 +a(g835 +g966 +tp6536 +a(g701 +g1020 +tp6537 +a(g835 +g966 +tp6538 +a(g740 +Vcase +p6539 +tp6540 +a(g835 +V\u000a +p6541 +tp6542 +a(g436 +Vcond_21 +p6543 +tp6544 +a(g835 +g966 +tp6545 +a(g701 +g1020 +tp6546 +a(g835 +g966 +tp6547 +a(g32 +V15 +p6548 +tp6549 +a(g701 +g1025 +tp6550 +a(g835 +V\u000a +p6551 +tp6552 +a(g759 +VTRUE +p6553 +tp6554 +a(g835 +g966 +tp6555 +a(g701 +g1020 +tp6556 +a(g835 +g966 +tp6557 +a(g436 +Vexit +p6558 +tp6559 +a(g701 +g1025 +tp6560 +a(g835 +V\u000a +p6561 +tp6562 +a(g740 +Vesac +p6563 +tp6564 +a(g701 +g1025 +tp6565 +a(g835 +V\u000a +p6566 +tp6567 +a(g436 +Vstep +p6568 +tp6569 +a(g835 +g966 +tp6570 +a(g408 +g1264 +tp6571 +a(g835 +g966 +tp6572 +a(g32 +V22 +p6573 +tp6574 +a(g835 +g966 +tp6575 +a(g701 +g1020 +tp6576 +a(g835 +g966 +tp6577 +a(g32 +V23 +p6578 +tp6579 +a(g701 +g1025 +tp6580 +a(g835 +V\u000a +p6581 +tp6582 +a(g436 +Vstep +p6583 +tp6584 +a(g835 +g966 +tp6585 +a(g408 +g1264 +tp6586 +a(g835 +g966 +tp6587 +a(g32 +V23 +p6588 +tp6589 +a(g835 +g966 +tp6590 +a(g701 +g1020 +tp6591 +a(g835 +g966 +tp6592 +a(g740 +Vcase +p6593 +tp6594 +a(g835 +V\u000a +p6595 +tp6596 +a(g436 +VABS_beta_n_GRT_beta_max +p6597 +tp6598 +a(g835 +g966 +tp6599 +a(g408 +g1269 +tp6600 +a(g835 +g966 +tp6601 +a(g408 +g1502 +tp6602 +a(g436 +Vhigh_rate_sep +p6603 +tp6604 +a(g835 +g966 +tp6605 +a(g701 +g1020 +tp6606 +a(g835 +g966 +tp6607 +a(g32 +V27 +p6608 +tp6609 +a(g701 +g1025 +tp6610 +a(g835 +V\u000a +p6611 +tp6612 +a(g759 +VTRUE +p6613 +tp6614 +a(g835 +g966 +tp6615 +a(g701 +g1020 +tp6616 +a(g835 +g966 +tp6617 +a(g32 +V24 +p6618 +tp6619 +a(g701 +g1025 +tp6620 +a(g835 +V\u000a +p6621 +tp6622 +a(g740 +Vesac +p6623 +tp6624 +a(g701 +g1025 +tp6625 +a(g835 +V\u000a +p6626 +tp6627 +a(g436 +Vstep +p6628 +tp6629 +a(g835 +g966 +tp6630 +a(g408 +g1264 +tp6631 +a(g835 +g966 +tp6632 +a(g32 +V24 +p6633 +tp6634 +a(g835 +g966 +tp6635 +a(g701 +g1020 +tp6636 +a(g835 +g966 +tp6637 +a(g740 +Vcase +p6638 +tp6639 +a(g835 +V\u000a +p6640 +tp6641 +a(g436 +Vcond_24 +p6642 +tp6643 +a(g835 +g966 +tp6644 +a(g408 +g1842 +tp6645 +a(g835 +g966 +tp6646 +a(g436 +Vhigh_rate_sep +p6647 +tp6648 +a(g835 +g966 +tp6649 +a(g701 +g1020 +tp6650 +a(g835 +g966 +tp6651 +a(g32 +V25 +p6652 +tp6653 +a(g701 +g1025 +tp6654 +a(g835 +V\u000a +p6655 +tp6656 +a(g759 +VTRUE +p6657 +tp6658 +a(g835 +g966 +tp6659 +a(g701 +g1020 +tp6660 +a(g835 +g966 +tp6661 +a(g436 +Vexit +p6662 +tp6663 +a(g701 +g1025 +tp6664 +a(g835 +V\u000a +p6665 +tp6666 +a(g740 +Vesac +p6667 +tp6668 +a(g701 +g1025 +tp6669 +a(g835 +V\u000a +p6670 +tp6671 +a(g436 +Vstep +p6672 +tp6673 +a(g835 +g966 +tp6674 +a(g408 +g1264 +tp6675 +a(g835 +g966 +tp6676 +a(g32 +V25 +p6677 +tp6678 +a(g835 +g966 +tp6679 +a(g701 +g1020 +tp6680 +a(g835 +g966 +tp6681 +a(g32 +V26 +p6682 +tp6683 +a(g701 +g1025 +tp6684 +a(g835 +V\u000a +p6685 +tp6686 +a(g436 +Vstep +p6687 +tp6688 +a(g835 +g966 +tp6689 +a(g408 +g1264 +tp6690 +a(g835 +g966 +tp6691 +a(g32 +V26 +p6692 +tp6693 +a(g835 +g966 +tp6694 +a(g701 +g1020 +tp6695 +a(g835 +g966 +tp6696 +a(g436 +Vexit +p6697 +tp6698 +a(g701 +g1025 +tp6699 +a(g835 +V\u000a +p6700 +tp6701 +a(g436 +Vstep +p6702 +tp6703 +a(g835 +g966 +tp6704 +a(g408 +g1264 +tp6705 +a(g835 +g966 +tp6706 +a(g32 +V27 +p6707 +tp6708 +a(g835 +g966 +tp6709 +a(g701 +g1020 +tp6710 +a(g835 +g966 +tp6711 +a(g32 +V28 +p6712 +tp6713 +a(g701 +g1025 +tp6714 +a(g835 +V\u000a +p6715 +tp6716 +a(g436 +Vstep +p6717 +tp6718 +a(g835 +g966 +tp6719 +a(g408 +g1264 +tp6720 +a(g835 +g966 +tp6721 +a(g32 +V28 +p6722 +tp6723 +a(g835 +g966 +tp6724 +a(g701 +g1020 +tp6725 +a(g835 +g966 +tp6726 +a(g740 +Vcase +p6727 +tp6728 +a(g835 +V\u000a +p6729 +tp6730 +a(g408 +g1502 +tp6731 +a(g436 +Vet_sep_man_initiate +p6732 +tp6733 +a(g835 +g966 +tp6734 +a(g701 +g1020 +tp6735 +a(g835 +g966 +tp6736 +a(g32 +V29 +p6737 +tp6738 +a(g701 +g1025 +tp6739 +a(g835 +V\u000a +p6740 +tp6741 +a(g759 +VTRUE +p6742 +tp6743 +a(g835 +g966 +tp6744 +a(g701 +g1020 +tp6745 +a(g835 +g966 +tp6746 +a(g436 +Vexit +p6747 +tp6748 +a(g701 +g1025 +tp6749 +a(g835 +V\u000a +p6750 +tp6751 +a(g740 +Vesac +p6752 +tp6753 +a(g701 +g1025 +tp6754 +a(g835 +V\u000a +p6755 +tp6756 +a(g436 +Vstep +p6757 +tp6758 +a(g835 +g966 +tp6759 +a(g408 +g1264 +tp6760 +a(g835 +g966 +tp6761 +a(g32 +V29 +p6762 +tp6763 +a(g835 +g966 +tp6764 +a(g701 +g1020 +tp6765 +a(g835 +g966 +tp6766 +a(g436 +Vexit +p6767 +tp6768 +a(g701 +g1025 +tp6769 +a(g835 +V\u000a +p6770 +tp6771 +a(g436 +Vstart +p6772 +tp6773 +a(g835 +g966 +tp6774 +a(g701 +g1020 +tp6775 +a(g835 +g966 +tp6776 +a(g32 +g1106 +tp6777 +a(g701 +g1025 +tp6778 +a(g835 +V\u000a +p6779 +tp6780 +a(g436 +Vstep +p6781 +tp6782 +a(g835 +g966 +tp6783 +a(g408 +g1264 +tp6784 +a(g835 +g966 +tp6785 +a(g436 +Vexit +p6786 +tp6787 +a(g835 +g966 +tp6788 +a(g701 +g1020 +tp6789 +a(g835 +g966 +tp6790 +a(g436 +Vundef +p6791 +tp6792 +a(g701 +g1025 +tp6793 +a(g835 +V\u000a +p6794 +tp6795 +a(g759 +VTRUE +p6796 +tp6797 +a(g835 +g966 +tp6798 +a(g701 +g1020 +tp6799 +a(g835 +g966 +tp6800 +a(g436 +Vstep +p6801 +tp6802 +a(g701 +g1025 +tp6803 +a(g835 +V\u000a +p6804 +tp6805 +a(g740 +Vesac +p6806 +tp6807 +a(g701 +g1025 +tp6808 +a(g835 +V\u000a\u000a +p6809 +tp6810 +a(g436 +Vpost_sep_mode +p6811 +tp6812 +a(g835 +g966 +tp6813 +a(g408 +V:= +p6814 +tp6815 +a(g835 +g966 +tp6816 +a(g436 +Vstep +p6817 +tp6818 +a(g835 +g966 +tp6819 +a(g422 +Vin +p6820 +tp6821 +a(g835 +g966 +tp6822 +a(g701 +g1052 +tp6823 +a(g32 +g1124 +tp6824 +a(g701 +g974 +tp6825 +a(g32 +g1127 +tp6826 +a(g701 +g974 +tp6827 +a(g32 +g1130 +tp6828 +a(g701 +g974 +tp6829 +a(g32 +V10 +p6830 +tp6831 +a(g701 +g974 +tp6832 +a(g32 +V11 +p6833 +tp6834 +a(g701 +g974 +tp6835 +a(g32 +V12 +p6836 +tp6837 +a(g701 +g1064 +tp6838 +a(g701 +g1025 +tp6839 +a(g835 +V\u000a\u000a +p6840 +tp6841 +a(g7 +V------------------------------------------------------------------\u000a +p6842 +tp6843 +a(g7 +V------------------------------------------------------------------\u000a +p6844 +tp6845 +a(g835 +V\u000a +p6846 +tp6847 +a(g805 +VMODULE +p6848 +tp6849 +a(g835 +g966 +tp6850 +a(g436 +Vmain +p6851 +tp6852 +a(g835 +V\u000a +p6853 +tp6854 +a(g805 +VVAR +p6855 +tp6856 +a(g835 +V\u000a +p6857 +tp6858 +a(g436 +Vsmode5 +p6859 +tp6860 +a(g701 +g1020 +tp6861 +a(g835 +g966 +tp6862 +a(g744 +Vboolean +p6863 +tp6864 +a(g701 +g1025 +tp6865 +a(g835 +V\u000a +p6866 +tp6867 +a(g436 +Vvel +p6868 +tp6869 +a(g835 +g966 +tp6870 +a(g701 +g1020 +tp6871 +a(g835 +g966 +tp6872 +a(g701 +g1052 +tp6873 +a(g436 +VGRT_vi_3eo_max +p6874 +tp6875 +a(g701 +g974 +tp6876 +a(g835 +g966 +tp6877 +a(g436 +VGRT_vi_3eo_min +p6878 +tp6879 +a(g701 +g974 +tp6880 +a(g835 +g966 +tp6881 +a(g436 +VLEQ_vi_3eo_min +p6882 +tp6883 +a(g701 +g1064 +tp6884 +a(g701 +g1025 +tp6885 +a(g835 +V\u000a +p6886 +tp6887 +a(g436 +Vq_bar +p6888 +tp6889 +a(g701 +g1020 +tp6890 +a(g835 +g966 +tp6891 +a(g701 +g1052 +tp6892 +a(g436 +VGRT_qbar_reg3 +p6893 +tp6894 +a(g701 +g974 +tp6895 +a(g835 +g966 +tp6896 +a(g436 +VGRT_qbar_reg1 +p6897 +tp6898 +a(g701 +g974 +tp6899 +a(g835 +g966 +tp6900 +a(g436 +VLEQ_qbar_reg1 +p6901 +tp6902 +a(g701 +g1064 +tp6903 +a(g701 +g1025 +tp6904 +a(g835 +V\u000a +p6905 +tp6906 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p6907 +tp6908 +a(g835 +g966 +tp6909 +a(g701 +g1020 +tp6910 +a(g835 +g966 +tp6911 +a(g744 +Vboolean +p6912 +tp6913 +a(g701 +g1025 +tp6914 +a(g835 +V\u000a +p6915 +tp6916 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p6917 +tp6918 +a(g835 +g966 +tp6919 +a(g701 +g1020 +tp6920 +a(g835 +g966 +tp6921 +a(g744 +Vboolean +p6922 +tp6923 +a(g701 +g1025 +tp6924 +a(g835 +V\u000a +p6925 +tp6926 +a(g436 +Vapogee_alt_LT_alt_ref +p6927 +tp6928 +a(g835 +g966 +tp6929 +a(g701 +g1020 +tp6930 +a(g835 +g966 +tp6931 +a(g744 +Vboolean +p6932 +tp6933 +a(g701 +g1025 +tp6934 +a(g835 +V\u000a +p6935 +tp6936 +a(g436 +Vh_dot_LT_hdot_reg2 +p6937 +tp6938 +a(g835 +g966 +tp6939 +a(g701 +g1020 +tp6940 +a(g835 +g966 +tp6941 +a(g744 +Vboolean +p6942 +tp6943 +a(g701 +g1025 +tp6944 +a(g835 +V\u000a +p6945 +tp6946 +a(g436 +Vh_dot_LT_0 +p6947 +tp6948 +a(g835 +g966 +tp6949 +a(g701 +g1020 +tp6950 +a(g835 +g966 +tp6951 +a(g744 +Vboolean +p6952 +tp6953 +a(g701 +g1025 +tp6954 +a(g835 +V\u000a +p6955 +tp6956 +a(g436 +Valpha_n_GRT_alpha_reg2 +p6957 +tp6958 +a(g835 +g966 +tp6959 +a(g701 +g1020 +tp6960 +a(g835 +g966 +tp6961 +a(g744 +Vboolean +p6962 +tp6963 +a(g701 +g1025 +tp6964 +a(g835 +V\u000a +p6965 +tp6966 +a(g436 +Vdelta_r_GRT_del_r_usp +p6967 +tp6968 +a(g835 +g966 +tp6969 +a(g701 +g1020 +tp6970 +a(g835 +g966 +tp6971 +a(g744 +Vboolean +p6972 +tp6973 +a(g701 +g1025 +tp6974 +a(g835 +V\u000a +p6975 +tp6976 +a(g436 +Vv_horiz_dnrng_LT_0 +p6977 +tp6978 +a(g701 +g1020 +tp6979 +a(g835 +g966 +tp6980 +a(g744 +Vboolean +p6981 +tp6982 +a(g701 +g1025 +tp6983 +a(g835 +V\u000a +p6984 +tp6985 +a(g436 +Vmeco_confirmed +p6986 +tp6987 +a(g701 +g1020 +tp6988 +a(g835 +g966 +tp6989 +a(g744 +Vboolean +p6990 +tp6991 +a(g701 +g1025 +tp6992 +a(g835 +V\u000a +p6993 +tp6994 +a(g436 +Vet_sep_cmd +p6995 +tp6996 +a(g835 +g966 +tp6997 +a(g701 +g1020 +tp6998 +a(g835 +g966 +tp6999 +a(g744 +Vboolean +p7000 +tp7001 +a(g701 +g1025 +tp7002 +a(g835 +V\u000a +p7003 +tp7004 +a(g436 +Vcont_minus_z_compl +p7005 +tp7006 +a(g835 +g966 +tp7007 +a(g701 +g1020 +tp7008 +a(g835 +g966 +tp7009 +a(g744 +Vboolean +p7010 +tp7011 +a(g701 +g1025 +tp7012 +a(g835 +V\u000a +p7013 +tp7014 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p7015 +tp7016 +a(g835 +g966 +tp7017 +a(g701 +g1020 +tp7018 +a(g835 +g966 +tp7019 +a(g744 +Vboolean +p7020 +tp7021 +a(g701 +g1025 +tp7022 +a(g835 +V\u000a +p7023 +tp7024 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p7025 +tp7026 +a(g835 +g966 +tp7027 +a(g701 +g1020 +tp7028 +a(g835 +g966 +tp7029 +a(g744 +Vboolean +p7030 +tp7031 +a(g701 +g1025 +tp7032 +a(g835 +V\u000a +p7033 +tp7034 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p7035 +tp7036 +a(g835 +g966 +tp7037 +a(g701 +g1020 +tp7038 +a(g835 +g966 +tp7039 +a(g744 +Vboolean +p7040 +tp7041 +a(g701 +g1025 +tp7042 +a(g835 +V\u000a +p7043 +tp7044 +a(g436 +Vexcess_OMS_propellant +p7045 +tp7046 +a(g835 +g966 +tp7047 +a(g701 +g1020 +tp7048 +a(g835 +g966 +tp7049 +a(g744 +Vboolean +p7050 +tp7051 +a(g701 +g1025 +tp7052 +a(g835 +V\u000a +p7053 +tp7054 +a(g436 +Ventry_mnvr_couter_LE_0 +p7055 +tp7056 +a(g835 +g966 +tp7057 +a(g701 +g1020 +tp7058 +a(g835 +g966 +tp7059 +a(g744 +Vboolean +p7060 +tp7061 +a(g701 +g1025 +tp7062 +a(g835 +V\u000a +p7063 +tp7064 +a(g436 +Vrcs_all_jet_inhibit +p7065 +tp7066 +a(g835 +g966 +tp7067 +a(g701 +g1020 +tp7068 +a(g835 +g966 +tp7069 +a(g744 +Vboolean +p7070 +tp7071 +a(g701 +g1025 +tp7072 +a(g835 +V\u000a +p7073 +tp7074 +a(g436 +Valt_GRT_alt_min_102_dump +p7075 +tp7076 +a(g835 +g966 +tp7077 +a(g701 +g1020 +tp7078 +a(g835 +g966 +tp7079 +a(g744 +Vboolean +p7080 +tp7081 +a(g701 +g1025 +tp7082 +a(g835 +V\u000a +p7083 +tp7084 +a(g436 +Vt_nav-t_gmtlo_LT_t_dmp_last +p7085 +tp7086 +a(g835 +g966 +tp7087 +a(g701 +g1020 +tp7088 +a(g835 +g966 +tp7089 +a(g744 +Vboolean +p7090 +tp7091 +a(g701 +g1025 +tp7092 +a(g835 +V\u000a +p7093 +tp7094 +a(g436 +Vpre_sep +p7095 +tp7096 +a(g835 +g966 +tp7097 +a(g701 +g1020 +tp7098 +a(g835 +g966 +tp7099 +a(g744 +Vboolean +p7100 +tp7101 +a(g701 +g1025 +tp7102 +a(g835 +V\u000a +p7103 +tp7104 +a(g436 +Vcond_18 +p7105 +tp7106 +a(g835 +g966 +tp7107 +a(g701 +g1020 +tp7108 +a(g835 +g966 +tp7109 +a(g744 +Vboolean +p7110 +tp7111 +a(g701 +g1025 +tp7112 +a(g835 +V\u000a +p7113 +tp7114 +a(g436 +Vq_orb_LT_0 +p7115 +tp7116 +a(g835 +g966 +tp7117 +a(g701 +g1020 +tp7118 +a(g835 +g966 +tp7119 +a(g744 +Vboolean +p7120 +tp7121 +a(g701 +g1025 +tp7122 +a(g835 +V\u000a +p7123 +tp7124 +a(g436 +VABS_alf_err_LT_alf_sep_err +p7125 +tp7126 +a(g835 +g966 +tp7127 +a(g701 +g1020 +tp7128 +a(g835 +g966 +tp7129 +a(g744 +Vboolean +p7130 +tp7131 +a(g701 +g1025 +tp7132 +a(g835 +V\u000a +p7133 +tp7134 +a(g436 +Vcond_20b +p7135 +tp7136 +a(g835 +g966 +tp7137 +a(g701 +g1020 +tp7138 +a(g835 +g966 +tp7139 +a(g744 +Vboolean +p7140 +tp7141 +a(g701 +g1025 +tp7142 +a(g835 +V\u000a +p7143 +tp7144 +a(g436 +Vcond_21 +p7145 +tp7146 +a(g835 +g966 +tp7147 +a(g701 +g1020 +tp7148 +a(g835 +g966 +tp7149 +a(g744 +Vboolean +p7150 +tp7151 +a(g701 +g1025 +tp7152 +a(g835 +V\u000a +p7153 +tp7154 +a(g436 +VABS_beta_n_GRT_beta_max +p7155 +tp7156 +a(g835 +g966 +tp7157 +a(g701 +g1020 +tp7158 +a(g835 +g966 +tp7159 +a(g744 +Vboolean +p7160 +tp7161 +a(g701 +g1025 +tp7162 +a(g835 +V\u000a +p7163 +tp7164 +a(g436 +Vcond_24 +p7165 +tp7166 +a(g835 +g966 +tp7167 +a(g701 +g1020 +tp7168 +a(g835 +g966 +tp7169 +a(g744 +Vboolean +p7170 +tp7171 +a(g701 +g1025 +tp7172 +a(g835 +V\u000a +p7173 +tp7174 +a(g436 +Vcond_26 +p7175 +tp7176 +a(g835 +g966 +tp7177 +a(g701 +g1020 +tp7178 +a(g835 +g966 +tp7179 +a(g744 +Vboolean +p7180 +tp7181 +a(g701 +g1025 +tp7182 +a(g835 +V\u000a +p7183 +tp7184 +a(g436 +Vcond_27 +p7185 +tp7186 +a(g835 +g966 +tp7187 +a(g701 +g1020 +tp7188 +a(g835 +g966 +tp7189 +a(g744 +Vboolean +p7190 +tp7191 +a(g701 +g1025 +tp7192 +a(g835 +V\u000a +p7193 +tp7194 +a(g436 +Vcond_29 +p7195 +tp7196 +a(g835 +g966 +tp7197 +a(g701 +g1020 +tp7198 +a(g835 +g966 +tp7199 +a(g744 +Vboolean +p7200 +tp7201 +a(g701 +g1025 +tp7202 +a(g835 +V\u000a +p7203 +tp7204 +a(g436 +Vmm602_OK +p7205 +tp7206 +a(g835 +g966 +tp7207 +a(g701 +g1020 +tp7208 +a(g835 +g966 +tp7209 +a(g744 +Vboolean +p7210 +tp7211 +a(g701 +g1025 +tp7212 +a(g835 +V\u000a +p7213 +tp7214 +a(g436 +Vstart_guide +p7215 +tp7216 +a(g835 +g966 +tp7217 +a(g701 +g1020 +tp7218 +a(g835 +g966 +tp7219 +a(g744 +Vboolean +p7220 +tp7221 +a(g701 +g1025 +tp7222 +a(g835 +V\u000a +p7223 +tp7224 +a(g436 +Vmated_coast_mnvr +p7225 +tp7226 +a(g835 +g966 +tp7227 +a(g701 +g1020 +tp7228 +a(g835 +g966 +tp7229 +a(g744 +Vboolean +p7230 +tp7231 +a(g701 +g1025 +tp7232 +a(g835 +V\u000a\u000a +p7233 +tp7234 +a(g436 +Vcs +p7235 +tp7236 +a(g701 +g1020 +tp7237 +a(g835 +g966 +tp7238 +a(g436 +Vcont_3eo_mode_select +p7239 +tp7240 +a(g701 +g970 +tp7241 +a(g436 +Vcg +p7242 +tp7243 +a(g701 +V. +p7244 +tp7245 +a(g436 +Vstart_cont_3eo_mode_select +p7246 +tp7247 +a(g701 +g974 +tp7248 +a(g835 +V\u000a +p7249 +tp7250 +a(g436 +Vsmode5 +p7251 +tp7252 +a(g701 +g974 +tp7253 +a(g436 +Vvel +p7254 +tp7255 +a(g701 +g974 +tp7256 +a(g436 +Vq_bar +p7257 +tp7258 +a(g701 +g974 +tp7259 +a(g436 +Vapogee_alt_LT_alt_ref +p7260 +tp7261 +a(g701 +g974 +tp7262 +a(g835 +V\u000a +p7263 +tp7264 +a(g436 +Vh_dot_LT_hdot_reg2 +p7265 +tp7266 +a(g701 +g974 +tp7267 +a(g436 +Valpha_n_GRT_alpha_reg2 +p7268 +tp7269 +a(g701 +g974 +tp7270 +a(g835 +V\u000a +p7271 +tp7272 +a(g436 +Vdelta_r_GRT_del_r_usp +p7273 +tp7274 +a(g701 +g974 +tp7275 +a(g436 +Vv_horiz_dnrng_LT_0 +p7276 +tp7277 +a(g701 +g974 +tp7278 +a(g835 +V\u000a +p7279 +tp7280 +a(g436 +Vcg +p7281 +tp7282 +a(g701 +g7244 +tp7283 +a(g436 +Vhigh_rate_sep +p7284 +tp7285 +a(g701 +g974 +tp7286 +a(g436 +Vmeco_confirmed +p7287 +tp7288 +a(g701 +g1011 +tp7289 +a(g701 +g1025 +tp7290 +a(g835 +V\u000a\u000a +p7291 +tp7292 +a(g436 +Vcg +p7293 +tp7294 +a(g701 +g1020 +tp7295 +a(g835 +g966 +tp7296 +a(g436 +Vcont_3eo_guide +p7297 +tp7298 +a(g701 +g970 +tp7299 +a(g436 +Vstart_guide +p7300 +tp7301 +a(g701 +g974 +tp7302 +a(g835 +V\u000a +p7303 +tp7304 +a(g436 +Vcs +p7305 +tp7306 +a(g701 +g7244 +tp7307 +a(g436 +Vcont_3EO_start +p7308 +tp7309 +a(g701 +g974 +tp7310 +a(g835 +g966 +tp7311 +a(g436 +Vcs +p7312 +tp7313 +a(g701 +g7244 +tp7314 +a(g436 +Vregion_selected +p7315 +tp7316 +a(g701 +g974 +tp7317 +a(g835 +g966 +tp7318 +a(g436 +Vet_sep_cmd +p7319 +tp7320 +a(g701 +g974 +tp7321 +a(g835 +V\u000a +p7322 +tp7323 +a(g436 +Vh_dot_LT_0 +p7324 +tp7325 +a(g701 +g974 +tp7326 +a(g835 +g966 +tp7327 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p7328 +tp7329 +a(g701 +g974 +tp7330 +a(g835 +g966 +tp7331 +a(g436 +Vcs +p7332 +tp7333 +a(g701 +g7244 +tp7334 +a(g436 +Vm_mode +p7335 +tp7336 +a(g701 +g974 +tp7337 +a(g835 +g966 +tp7338 +a(g436 +Vcs +p7339 +tp7340 +a(g701 +g7244 +tp7341 +a(g436 +g1069 +tp7342 +a(g701 +g974 +tp7343 +a(g835 +V\u000a +p7344 +tp7345 +a(g436 +Vcont_minus_z_compl +p7346 +tp7347 +a(g701 +g974 +tp7348 +a(g835 +g966 +tp7349 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p7350 +tp7351 +a(g701 +g974 +tp7352 +a(g835 +V \u000a +p7353 +tp7354 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p7355 +tp7356 +a(g701 +g974 +tp7357 +a(g835 +g966 +tp7358 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p7359 +tp7360 +a(g701 +g974 +tp7361 +a(g835 +V\u000a +p7362 +tp7363 +a(g436 +Vexcess_OMS_propellant +p7364 +tp7365 +a(g701 +g974 +tp7366 +a(g835 +g966 +tp7367 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p7368 +tp7369 +a(g701 +g974 +tp7370 +a(g835 +V\u000a +p7371 +tp7372 +a(g436 +Ventry_mnvr_couter_LE_0 +p7373 +tp7374 +a(g701 +g974 +tp7375 +a(g835 +g966 +tp7376 +a(g436 +Vrcs_all_jet_inhibit +p7377 +tp7378 +a(g701 +g974 +tp7379 +a(g835 +V \u000a +p7380 +tp7381 +a(g436 +Valt_GRT_alt_min_102_dump +p7382 +tp7383 +a(g701 +g974 +tp7384 +a(g835 +g966 +tp7385 +a(g436 +Vt_nav-t_gmtlo_LT_t_dmp_last +p7386 +tp7387 +a(g701 +g974 +tp7388 +a(g835 +V\u000a +p7389 +tp7390 +a(g436 +Vpre_sep +p7391 +tp7392 +a(g701 +g974 +tp7393 +a(g835 +g966 +tp7394 +a(g436 +Vcond_18 +p7395 +tp7396 +a(g701 +g974 +tp7397 +a(g835 +g966 +tp7398 +a(g436 +Vq_orb_LT_0 +p7399 +tp7400 +a(g701 +g974 +tp7401 +a(g835 +g966 +tp7402 +a(g436 +VABS_alf_err_LT_alf_sep_err +p7403 +tp7404 +a(g701 +g974 +tp7405 +a(g835 +V\u000a +p7406 +tp7407 +a(g436 +Vcond_20b +p7408 +tp7409 +a(g701 +g974 +tp7410 +a(g835 +g966 +tp7411 +a(g436 +Vcond_21 +p7412 +tp7413 +a(g701 +g974 +tp7414 +a(g835 +g966 +tp7415 +a(g436 +VABS_beta_n_GRT_beta_max +p7416 +tp7417 +a(g701 +g974 +tp7418 +a(g835 +g966 +tp7419 +a(g436 +Vcond_24 +p7420 +tp7421 +a(g701 +g974 +tp7422 +a(g835 +g966 +tp7423 +a(g436 +Vcond_26 +p7424 +tp7425 +a(g701 +g974 +tp7426 +a(g835 +V \u000a +p7427 +tp7428 +a(g436 +Vcond_27 +p7429 +tp7430 +a(g701 +g974 +tp7431 +a(g835 +g966 +tp7432 +a(g436 +Vcond_29 +p7433 +tp7434 +a(g701 +g974 +tp7435 +a(g835 +g966 +tp7436 +a(g436 +Vmm602_OK +p7437 +tp7438 +a(g701 +g1011 +tp7439 +a(g701 +g1025 +tp7440 +a(g835 +V\u000a\u000a +p7441 +tp7442 +a(g805 +VASSIGN +p7443 +tp7444 +a(g835 +V\u000a +p7445 +tp7446 +a(g677 +Vinit +p7447 +tp7448 +a(g701 +g970 +tp7449 +a(g436 +Vstart_guide +p7450 +tp7451 +a(g701 +g1011 +tp7452 +a(g835 +g966 +tp7453 +a(g408 +V:= +p7454 +tp7455 +a(g835 +g966 +tp7456 +a(g759 +VFALSE +p7457 +tp7458 +a(g701 +g1025 +tp7459 +a(g835 +V\u000a +p7460 +tp7461 +a(g677 +Vinit +p7462 +tp7463 +a(g701 +g970 +tp7464 +a(g436 +Vmated_coast_mnvr +p7465 +tp7466 +a(g701 +g1011 +tp7467 +a(g835 +g966 +tp7468 +a(g408 +V:= +p7469 +tp7470 +a(g835 +g966 +tp7471 +a(g759 +VFALSE +p7472 +tp7473 +a(g701 +g1025 +tp7474 +a(g835 +V\u000a\u000a +p7475 +tp7476 +a(g422 +Vnext +p7477 +tp7478 +a(g701 +g970 +tp7479 +a(g436 +Ventry_mnvr_couter_LE_0 +p7480 +tp7481 +a(g701 +g1011 +tp7482 +a(g835 +g966 +tp7483 +a(g408 +V:= +p7484 +tp7485 +a(g835 +V \u000a +p7486 +tp7487 +a(g740 +Vcase +p7488 +tp7489 +a(g835 +V\u000a +p7490 +tp7491 +a(g408 +g1502 +tp7492 +a(g436 +Ventry_mnvr_couter_LE_0 +p7493 +tp7494 +a(g835 +g966 +tp7495 +a(g701 +g1020 +tp7496 +a(g835 +g966 +tp7497 +a(g701 +g1052 +tp7498 +a(g759 +VFALSE +p7499 +tp7500 +a(g701 +g974 +tp7501 +a(g835 +g966 +tp7502 +a(g759 +VTRUE +p7503 +tp7504 +a(g701 +g1064 +tp7505 +a(g701 +g1025 +tp7506 +a(g835 +V\u000a +p7507 +tp7508 +a(g759 +VTRUE +p7509 +tp7510 +a(g835 +g966 +tp7511 +a(g701 +g1020 +tp7512 +a(g835 +g966 +tp7513 +a(g759 +VTRUE +p7514 +tp7515 +a(g701 +g1025 +tp7516 +a(g835 +V\u000a +p7517 +tp7518 +a(g740 +Vesac +p7519 +tp7520 +a(g701 +g1025 +tp7521 +a(g835 +V\u000a\u000a +p7522 +tp7523 +a(g7 +V---------------------------------------------------------------------\u000a +p7524 +tp7525 +a(g7 +V---------------------------------------------------------------------\u000a +p7526 +tp7527 +a(g835 +g966 +tp7528 +a(g422 +Vnext +p7529 +tp7530 +a(g701 +g970 +tp7531 +a(g436 +Vstart_guide +p7532 +tp7533 +a(g701 +g1011 +tp7534 +a(g835 +g966 +tp7535 +a(g408 +V:= +p7536 +tp7537 +a(g835 +V\u000a +p7538 +tp7539 +a(g740 +Vcase +p7540 +tp7541 +a(g835 +V\u000a +p7542 +tp7543 +a(g436 +Vstart_guide +p7544 +tp7545 +a(g835 +g966 +tp7546 +a(g701 +g1020 +tp7547 +a(g835 +g966 +tp7548 +a(g759 +VFALSE +p7549 +tp7550 +a(g701 +g1025 +tp7551 +a(g835 +V\u000a +p7552 +tp7553 +a(g408 +g1502 +tp7554 +a(g436 +Vcg +p7555 +tp7556 +a(g701 +g7244 +tp7557 +a(g436 +Vidle +p7558 +tp7559 +a(g835 +V +p7560 +tp7561 +a(g701 +g1020 +tp7562 +a(g835 +g966 +tp7563 +a(g759 +VFALSE +p7564 +tp7565 +a(g701 +g1025 +tp7566 +a(g835 +V\u000a +p7567 +tp7568 +a(g759 +VTRUE +p7569 +tp7570 +a(g835 +g966 +tp7571 +a(g701 +g1020 +tp7572 +a(g835 +g966 +tp7573 +a(g701 +g1052 +tp7574 +a(g759 +VFALSE +p7575 +tp7576 +a(g701 +g974 +tp7577 +a(g835 +g966 +tp7578 +a(g759 +VTRUE +p7579 +tp7580 +a(g701 +g1064 +tp7581 +a(g701 +g1025 +tp7582 +a(g835 +V\u000a +p7583 +tp7584 +a(g740 +Vesac +p7585 +tp7586 +a(g701 +g1025 +tp7587 +a(g835 +V\u000a\u000a +p7588 +tp7589 +a(g422 +Vnext +p7590 +tp7591 +a(g701 +g970 +tp7592 +a(g436 +Vsmode5 +p7593 +tp7594 +a(g701 +g1011 +tp7595 +a(g835 +g966 +tp7596 +a(g408 +V:= +p7597 +tp7598 +a(g835 +V \u000a +p7599 +tp7600 +a(g740 +Vcase +p7601 +tp7602 +a(g835 +V\u000a +p7603 +tp7604 +a(g436 +Vfixed_values +p7605 +tp7606 +a(g835 +g966 +tp7607 +a(g701 +g1020 +tp7608 +a(g835 +g966 +tp7609 +a(g436 +Vsmode5 +p7610 +tp7611 +a(g701 +g1025 +tp7612 +a(g835 +V\u000a +p7613 +tp7614 +a(g436 +Vcg +p7615 +tp7616 +a(g701 +g7244 +tp7617 +a(g436 +Vidle +p7618 +tp7619 +a(g835 +g966 +tp7620 +a(g701 +g1020 +tp7621 +a(g835 +g966 +tp7622 +a(g701 +g1052 +tp7623 +a(g835 +g966 +tp7624 +a(g759 +VFALSE +p7625 +tp7626 +a(g701 +g974 +tp7627 +a(g835 +g966 +tp7628 +a(g759 +VTRUE +p7629 +tp7630 +a(g835 +g966 +tp7631 +a(g701 +g1064 +tp7632 +a(g701 +g1025 +tp7633 +a(g835 +V\u000a +p7634 +tp7635 +a(g759 +VTRUE +p7636 +tp7637 +a(g835 +g966 +tp7638 +a(g701 +g1020 +tp7639 +a(g835 +g966 +tp7640 +a(g436 +Vsmode5 +p7641 +tp7642 +a(g701 +g1025 +tp7643 +a(g835 +V\u000a +p7644 +tp7645 +a(g740 +Vesac +p7646 +tp7647 +a(g701 +g1025 +tp7648 +a(g835 +V\u000a\u000a +p7649 +tp7650 +a(g422 +Vnext +p7651 +tp7652 +a(g701 +g970 +tp7653 +a(g436 +Vvel +p7654 +tp7655 +a(g701 +g1011 +tp7656 +a(g835 +g966 +tp7657 +a(g408 +V:= +p7658 +tp7659 +a(g835 +V\u000a +p7660 +tp7661 +a(g740 +Vcase +p7662 +tp7663 +a(g835 +V\u000a +p7664 +tp7665 +a(g436 +Vfixed_values +p7666 +tp7667 +a(g835 +g966 +tp7668 +a(g701 +g1020 +tp7669 +a(g835 +V +p7670 +tp7671 +a(g436 +Vvel +p7672 +tp7673 +a(g701 +g1025 +tp7674 +a(g835 +V\u000a +p7675 +tp7676 +a(g436 +Vcg +p7677 +tp7678 +a(g701 +g7244 +tp7679 +a(g436 +Vidle +p7680 +tp7681 +a(g835 +g966 +tp7682 +a(g701 +g1020 +tp7683 +a(g835 +g966 +tp7684 +a(g701 +g1052 +tp7685 +a(g436 +VGRT_vi_3eo_max +p7686 +tp7687 +a(g701 +g974 +tp7688 +a(g835 +g966 +tp7689 +a(g436 +VGRT_vi_3eo_min +p7690 +tp7691 +a(g701 +g974 +tp7692 +a(g835 +g966 +tp7693 +a(g436 +VLEQ_vi_3eo_min +p7694 +tp7695 +a(g701 +g1064 +tp7696 +a(g701 +g1025 +tp7697 +a(g835 +V\u000a +p7698 +tp7699 +a(g759 +VTRUE +p7700 +tp7701 +a(g835 +g966 +tp7702 +a(g701 +g1020 +tp7703 +a(g835 +g966 +tp7704 +a(g436 +Vvel +p7705 +tp7706 +a(g701 +g1025 +tp7707 +a(g835 +V\u000a +p7708 +tp7709 +a(g740 +Vesac +p7710 +tp7711 +a(g701 +g1025 +tp7712 +a(g835 +V\u000a\u000a +p7713 +tp7714 +a(g422 +Vnext +p7715 +tp7716 +a(g701 +g970 +tp7717 +a(g436 +Vq_bar +p7718 +tp7719 +a(g701 +g1011 +tp7720 +a(g835 +g966 +tp7721 +a(g408 +V:= +p7722 +tp7723 +a(g835 +V \u000a +p7724 +tp7725 +a(g740 +Vcase +p7726 +tp7727 +a(g835 +V\u000a +p7728 +tp7729 +a(g436 +Vfixed_values +p7730 +tp7731 +a(g835 +g966 +tp7732 +a(g701 +g1020 +tp7733 +a(g835 +g966 +tp7734 +a(g436 +Vq_bar +p7735 +tp7736 +a(g701 +g1025 +tp7737 +a(g835 +V\u000a +p7738 +tp7739 +a(g436 +Vcg +p7740 +tp7741 +a(g701 +g7244 +tp7742 +a(g436 +Vidle +p7743 +tp7744 +a(g835 +g966 +tp7745 +a(g701 +g1020 +tp7746 +a(g835 +g966 +tp7747 +a(g701 +g1052 +tp7748 +a(g436 +VGRT_qbar_reg3 +p7749 +tp7750 +a(g701 +g974 +tp7751 +a(g835 +g966 +tp7752 +a(g436 +VGRT_qbar_reg1 +p7753 +tp7754 +a(g701 +g974 +tp7755 +a(g835 +g966 +tp7756 +a(g436 +VLEQ_qbar_reg1 +p7757 +tp7758 +a(g701 +g1064 +tp7759 +a(g701 +g1025 +tp7760 +a(g835 +V\u000a +p7761 +tp7762 +a(g759 +VTRUE +p7763 +tp7764 +a(g835 +g966 +tp7765 +a(g701 +g1020 +tp7766 +a(g835 +g966 +tp7767 +a(g436 +Vq_bar +p7768 +tp7769 +a(g701 +g1025 +tp7770 +a(g835 +V\u000a +p7771 +tp7772 +a(g740 +Vesac +p7773 +tp7774 +a(g701 +g1025 +tp7775 +a(g835 +V\u000a\u000a +p7776 +tp7777 +a(g422 +Vnext +p7778 +tp7779 +a(g701 +g970 +tp7780 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p7781 +tp7782 +a(g701 +g1011 +tp7783 +a(g835 +g966 +tp7784 +a(g408 +V:= +p7785 +tp7786 +a(g835 +V \u000a +p7787 +tp7788 +a(g740 +Vcase +p7789 +tp7790 +a(g835 +V\u000a +p7791 +tp7792 +a(g436 +Vfixed_values +p7793 +tp7794 +a(g835 +g966 +tp7795 +a(g701 +g1020 +tp7796 +a(g835 +g966 +tp7797 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p7798 +tp7799 +a(g701 +g1025 +tp7800 +a(g835 +V\u000a +p7801 +tp7802 +a(g436 +Vcg +p7803 +tp7804 +a(g701 +g7244 +tp7805 +a(g436 +Vidle +p7806 +tp7807 +a(g835 +g966 +tp7808 +a(g701 +g1020 +tp7809 +a(g835 +g966 +tp7810 +a(g701 +g1052 +tp7811 +a(g835 +g966 +tp7812 +a(g759 +VFALSE +p7813 +tp7814 +a(g701 +g974 +tp7815 +a(g835 +g966 +tp7816 +a(g759 +VTRUE +p7817 +tp7818 +a(g835 +g966 +tp7819 +a(g701 +g1064 +tp7820 +a(g701 +g1025 +tp7821 +a(g835 +V\u000a +p7822 +tp7823 +a(g759 +VTRUE +p7824 +tp7825 +a(g835 +g966 +tp7826 +a(g701 +g1020 +tp7827 +a(g835 +g966 +tp7828 +a(g436 +Vq_bar_a_GRT_qbar_max_sep +p7829 +tp7830 +a(g701 +g1025 +tp7831 +a(g835 +V\u000a +p7832 +tp7833 +a(g740 +Vesac +p7834 +tp7835 +a(g701 +g1025 +tp7836 +a(g835 +V\u000a\u000a +p7837 +tp7838 +a(g422 +Vnext +p7839 +tp7840 +a(g701 +g970 +tp7841 +a(g436 +Vapogee_alt_LT_alt_ref +p7842 +tp7843 +a(g701 +g1011 +tp7844 +a(g835 +g966 +tp7845 +a(g408 +V:= +p7846 +tp7847 +a(g835 +V \u000a +p7848 +tp7849 +a(g740 +Vcase +p7850 +tp7851 +a(g835 +V\u000a +p7852 +tp7853 +a(g436 +Vfixed_values +p7854 +tp7855 +a(g835 +g966 +tp7856 +a(g701 +g1020 +tp7857 +a(g835 +g966 +tp7858 +a(g436 +Vapogee_alt_LT_alt_ref +p7859 +tp7860 +a(g701 +g1025 +tp7861 +a(g835 +V\u000a +p7862 +tp7863 +a(g436 +Vcg +p7864 +tp7865 +a(g701 +g7244 +tp7866 +a(g436 +Vidle +p7867 +tp7868 +a(g835 +g966 +tp7869 +a(g701 +g1020 +tp7870 +a(g835 +g966 +tp7871 +a(g701 +g1052 +tp7872 +a(g835 +g966 +tp7873 +a(g759 +VFALSE +p7874 +tp7875 +a(g701 +g974 +tp7876 +a(g835 +g966 +tp7877 +a(g759 +VTRUE +p7878 +tp7879 +a(g835 +g966 +tp7880 +a(g701 +g1064 +tp7881 +a(g701 +g1025 +tp7882 +a(g835 +V\u000a +p7883 +tp7884 +a(g759 +VTRUE +p7885 +tp7886 +a(g835 +g966 +tp7887 +a(g701 +g1020 +tp7888 +a(g835 +g966 +tp7889 +a(g436 +Vapogee_alt_LT_alt_ref +p7890 +tp7891 +a(g701 +g1025 +tp7892 +a(g835 +V\u000a +p7893 +tp7894 +a(g740 +Vesac +p7895 +tp7896 +a(g701 +g1025 +tp7897 +a(g835 +V\u000a\u000a +p7898 +tp7899 +a(g422 +Vnext +p7900 +tp7901 +a(g701 +g970 +tp7902 +a(g436 +Vh_dot_LT_hdot_reg2 +p7903 +tp7904 +a(g701 +g1011 +tp7905 +a(g835 +g966 +tp7906 +a(g408 +V:= +p7907 +tp7908 +a(g835 +V \u000a +p7909 +tp7910 +a(g740 +Vcase +p7911 +tp7912 +a(g835 +V\u000a +p7913 +tp7914 +a(g436 +Vfixed_values +p7915 +tp7916 +a(g835 +g966 +tp7917 +a(g701 +g1020 +tp7918 +a(g835 +g966 +tp7919 +a(g436 +Vh_dot_LT_hdot_reg2 +p7920 +tp7921 +a(g701 +g1025 +tp7922 +a(g835 +V\u000a +p7923 +tp7924 +a(g436 +Vcg +p7925 +tp7926 +a(g701 +g7244 +tp7927 +a(g436 +Vidle +p7928 +tp7929 +a(g835 +g966 +tp7930 +a(g701 +g1020 +tp7931 +a(g835 +g966 +tp7932 +a(g701 +g1052 +tp7933 +a(g835 +g966 +tp7934 +a(g759 +VFALSE +p7935 +tp7936 +a(g701 +g974 +tp7937 +a(g835 +g966 +tp7938 +a(g759 +VTRUE +p7939 +tp7940 +a(g835 +g966 +tp7941 +a(g701 +g1064 +tp7942 +a(g701 +g1025 +tp7943 +a(g835 +V\u000a +p7944 +tp7945 +a(g759 +VTRUE +p7946 +tp7947 +a(g835 +g966 +tp7948 +a(g701 +g1020 +tp7949 +a(g835 +g966 +tp7950 +a(g436 +Vh_dot_LT_hdot_reg2 +p7951 +tp7952 +a(g701 +g1025 +tp7953 +a(g835 +V\u000a +p7954 +tp7955 +a(g740 +Vesac +p7956 +tp7957 +a(g701 +g1025 +tp7958 +a(g835 +V\u000a\u000a +p7959 +tp7960 +a(g422 +Vnext +p7961 +tp7962 +a(g701 +g970 +tp7963 +a(g436 +Vh_dot_LT_0 +p7964 +tp7965 +a(g701 +g1011 +tp7966 +a(g835 +g966 +tp7967 +a(g408 +V:= +p7968 +tp7969 +a(g835 +V \u000a +p7970 +tp7971 +a(g740 +Vcase +p7972 +tp7973 +a(g835 +V\u000a +p7974 +tp7975 +a(g436 +Vfixed_values +p7976 +tp7977 +a(g835 +g966 +tp7978 +a(g701 +g1020 +tp7979 +a(g835 +V +p7980 +tp7981 +a(g436 +Vh_dot_LT_0 +p7982 +tp7983 +a(g701 +g1025 +tp7984 +a(g835 +V\u000a +p7985 +tp7986 +a(g436 +Vcg +p7987 +tp7988 +a(g701 +g7244 +tp7989 +a(g436 +Vidle +p7990 +tp7991 +a(g835 +g966 +tp7992 +a(g701 +g1020 +tp7993 +a(g835 +g966 +tp7994 +a(g701 +g1052 +tp7995 +a(g835 +g966 +tp7996 +a(g759 +VFALSE +p7997 +tp7998 +a(g701 +g974 +tp7999 +a(g835 +g966 +tp8000 +a(g759 +VTRUE +p8001 +tp8002 +a(g835 +g966 +tp8003 +a(g701 +g1064 +tp8004 +a(g701 +g1025 +tp8005 +a(g835 +V\u000a +p8006 +tp8007 +a(g759 +VTRUE +p8008 +tp8009 +a(g835 +g966 +tp8010 +a(g701 +g1020 +tp8011 +a(g835 +g966 +tp8012 +a(g436 +Vh_dot_LT_0 +p8013 +tp8014 +a(g701 +g1025 +tp8015 +a(g835 +V\u000a +p8016 +tp8017 +a(g740 +Vesac +p8018 +tp8019 +a(g701 +g1025 +tp8020 +a(g835 +V\u000a\u000a +p8021 +tp8022 +a(g422 +Vnext +p8023 +tp8024 +a(g701 +g970 +tp8025 +a(g436 +Valpha_n_GRT_alpha_reg2 +p8026 +tp8027 +a(g701 +g1011 +tp8028 +a(g835 +g966 +tp8029 +a(g408 +V:= +p8030 +tp8031 +a(g835 +V \u000a +p8032 +tp8033 +a(g740 +Vcase +p8034 +tp8035 +a(g835 +V\u000a +p8036 +tp8037 +a(g436 +Vfixed_values +p8038 +tp8039 +a(g835 +g966 +tp8040 +a(g701 +g1020 +tp8041 +a(g835 +g966 +tp8042 +a(g436 +Valpha_n_GRT_alpha_reg2 +p8043 +tp8044 +a(g701 +g1025 +tp8045 +a(g835 +V\u000a +p8046 +tp8047 +a(g436 +Vcg +p8048 +tp8049 +a(g701 +g7244 +tp8050 +a(g436 +Vidle +p8051 +tp8052 +a(g835 +g966 +tp8053 +a(g701 +g1020 +tp8054 +a(g835 +g966 +tp8055 +a(g701 +g1052 +tp8056 +a(g835 +g966 +tp8057 +a(g759 +VFALSE +p8058 +tp8059 +a(g701 +g974 +tp8060 +a(g835 +g966 +tp8061 +a(g759 +VTRUE +p8062 +tp8063 +a(g835 +g966 +tp8064 +a(g701 +g1064 +tp8065 +a(g701 +g1025 +tp8066 +a(g835 +V\u000a +p8067 +tp8068 +a(g759 +VTRUE +p8069 +tp8070 +a(g835 +g966 +tp8071 +a(g701 +g1020 +tp8072 +a(g835 +g966 +tp8073 +a(g436 +Valpha_n_GRT_alpha_reg2 +p8074 +tp8075 +a(g701 +g1025 +tp8076 +a(g835 +V\u000a +p8077 +tp8078 +a(g740 +Vesac +p8079 +tp8080 +a(g701 +g1025 +tp8081 +a(g835 +V\u000a\u000a +p8082 +tp8083 +a(g422 +Vnext +p8084 +tp8085 +a(g701 +g970 +tp8086 +a(g436 +Vdelta_r_GRT_del_r_usp +p8087 +tp8088 +a(g701 +g1011 +tp8089 +a(g835 +g966 +tp8090 +a(g408 +V:= +p8091 +tp8092 +a(g835 +V \u000a +p8093 +tp8094 +a(g740 +Vcase +p8095 +tp8096 +a(g835 +V\u000a +p8097 +tp8098 +a(g436 +Vfixed_values +p8099 +tp8100 +a(g835 +g966 +tp8101 +a(g701 +g1020 +tp8102 +a(g835 +g966 +tp8103 +a(g436 +Vdelta_r_GRT_del_r_usp +p8104 +tp8105 +a(g701 +g1025 +tp8106 +a(g835 +V\u000a +p8107 +tp8108 +a(g436 +Vcg +p8109 +tp8110 +a(g701 +g7244 +tp8111 +a(g436 +Vidle +p8112 +tp8113 +a(g835 +g966 +tp8114 +a(g701 +g1020 +tp8115 +a(g835 +g966 +tp8116 +a(g701 +g1052 +tp8117 +a(g835 +g966 +tp8118 +a(g759 +VFALSE +p8119 +tp8120 +a(g701 +g974 +tp8121 +a(g835 +g966 +tp8122 +a(g759 +VTRUE +p8123 +tp8124 +a(g835 +g966 +tp8125 +a(g701 +g1064 +tp8126 +a(g701 +g1025 +tp8127 +a(g835 +V\u000a +p8128 +tp8129 +a(g759 +VTRUE +p8130 +tp8131 +a(g835 +g966 +tp8132 +a(g701 +g1020 +tp8133 +a(g835 +g966 +tp8134 +a(g436 +Vdelta_r_GRT_del_r_usp +p8135 +tp8136 +a(g701 +g1025 +tp8137 +a(g835 +V\u000a +p8138 +tp8139 +a(g740 +Vesac +p8140 +tp8141 +a(g701 +g1025 +tp8142 +a(g835 +V\u000a\u000a +p8143 +tp8144 +a(g422 +Vnext +p8145 +tp8146 +a(g701 +g970 +tp8147 +a(g436 +Vv_horiz_dnrng_LT_0 +p8148 +tp8149 +a(g701 +g1011 +tp8150 +a(g835 +g966 +tp8151 +a(g408 +V:= +p8152 +tp8153 +a(g835 +V \u000a +p8154 +tp8155 +a(g740 +Vcase +p8156 +tp8157 +a(g835 +V\u000a +p8158 +tp8159 +a(g436 +Vfixed_values +p8160 +tp8161 +a(g835 +g966 +tp8162 +a(g701 +g1020 +tp8163 +a(g835 +g966 +tp8164 +a(g436 +Vv_horiz_dnrng_LT_0 +p8165 +tp8166 +a(g701 +g1025 +tp8167 +a(g835 +V\u000a +p8168 +tp8169 +a(g436 +Vcg +p8170 +tp8171 +a(g701 +g7244 +tp8172 +a(g436 +Vidle +p8173 +tp8174 +a(g835 +g966 +tp8175 +a(g701 +g1020 +tp8176 +a(g835 +g966 +tp8177 +a(g701 +g1052 +tp8178 +a(g835 +g966 +tp8179 +a(g759 +VFALSE +p8180 +tp8181 +a(g701 +g974 +tp8182 +a(g835 +g966 +tp8183 +a(g759 +VTRUE +p8184 +tp8185 +a(g835 +g966 +tp8186 +a(g701 +g1064 +tp8187 +a(g701 +g1025 +tp8188 +a(g835 +V\u000a +p8189 +tp8190 +a(g759 +VTRUE +p8191 +tp8192 +a(g835 +g966 +tp8193 +a(g701 +g1020 +tp8194 +a(g835 +g966 +tp8195 +a(g436 +Vv_horiz_dnrng_LT_0 +p8196 +tp8197 +a(g701 +g1025 +tp8198 +a(g835 +V\u000a +p8199 +tp8200 +a(g740 +Vesac +p8201 +tp8202 +a(g701 +g1025 +tp8203 +a(g835 +V\u000a\u000a +p8204 +tp8205 +a(g422 +Vnext +p8206 +tp8207 +a(g701 +g970 +tp8208 +a(g436 +Vmeco_confirmed +p8209 +tp8210 +a(g701 +g1011 +tp8211 +a(g835 +g966 +tp8212 +a(g408 +V:= +p8213 +tp8214 +a(g835 +V \u000a +p8215 +tp8216 +a(g740 +Vcase +p8217 +tp8218 +a(g835 +V\u000a +p8219 +tp8220 +a(g436 +Vfixed_values +p8221 +tp8222 +a(g835 +g966 +tp8223 +a(g701 +g1020 +tp8224 +a(g835 +g966 +tp8225 +a(g436 +Vmeco_confirmed +p8226 +tp8227 +a(g701 +g1025 +tp8228 +a(g835 +V\u000a +p8229 +tp8230 +a(g436 +Vmeco_confirmed +p8231 +tp8232 +a(g835 +g966 +tp8233 +a(g701 +g1020 +tp8234 +a(g835 +g966 +tp8235 +a(g759 +VTRUE +p8236 +tp8237 +a(g701 +g1025 +tp8238 +a(g835 +V\u000a +p8239 +tp8240 +a(g436 +Vcg +p8241 +tp8242 +a(g701 +g7244 +tp8243 +a(g436 +Vidle +p8244 +tp8245 +a(g835 +g966 +tp8246 +a(g701 +g1020 +tp8247 +a(g835 +g966 +tp8248 +a(g701 +g1052 +tp8249 +a(g835 +g966 +tp8250 +a(g759 +VFALSE +p8251 +tp8252 +a(g701 +g974 +tp8253 +a(g835 +g966 +tp8254 +a(g759 +VTRUE +p8255 +tp8256 +a(g835 +g966 +tp8257 +a(g701 +g1064 +tp8258 +a(g701 +g1025 +tp8259 +a(g835 +V\u000a +p8260 +tp8261 +a(g759 +VTRUE +p8262 +tp8263 +a(g835 +g966 +tp8264 +a(g701 +g1020 +tp8265 +a(g835 +g966 +tp8266 +a(g436 +Vmeco_confirmed +p8267 +tp8268 +a(g701 +g1025 +tp8269 +a(g835 +V\u000a +p8270 +tp8271 +a(g740 +Vesac +p8272 +tp8273 +a(g701 +g1025 +tp8274 +a(g835 +V\u000a\u000a +p8275 +tp8276 +a(g422 +Vnext +p8277 +tp8278 +a(g701 +g970 +tp8279 +a(g436 +Vet_sep_cmd +p8280 +tp8281 +a(g701 +g1011 +tp8282 +a(g835 +g966 +tp8283 +a(g408 +V:= +p8284 +tp8285 +a(g835 +V \u000a +p8286 +tp8287 +a(g740 +Vcase +p8288 +tp8289 +a(g835 +V\u000a +p8290 +tp8291 +a(g436 +Vfixed_values +p8292 +tp8293 +a(g835 +g966 +tp8294 +a(g701 +g1020 +tp8295 +a(g835 +g966 +tp8296 +a(g436 +Vet_sep_cmd +p8297 +tp8298 +a(g701 +g1025 +tp8299 +a(g835 +V\u000a +p8300 +tp8301 +a(g436 +Vet_sep_cmd +p8302 +tp8303 +a(g835 +g966 +tp8304 +a(g701 +g1020 +tp8305 +a(g835 +g966 +tp8306 +a(g759 +VTRUE +p8307 +tp8308 +a(g701 +g1025 +tp8309 +a(g835 +V\u000a +p8310 +tp8311 +a(g436 +Vcg +p8312 +tp8313 +a(g701 +g7244 +tp8314 +a(g436 +Vidle +p8315 +tp8316 +a(g835 +g966 +tp8317 +a(g701 +g1020 +tp8318 +a(g835 +g966 +tp8319 +a(g701 +g1052 +tp8320 +a(g835 +g966 +tp8321 +a(g759 +VFALSE +p8322 +tp8323 +a(g701 +g974 +tp8324 +a(g835 +g966 +tp8325 +a(g759 +VTRUE +p8326 +tp8327 +a(g835 +g966 +tp8328 +a(g701 +g1064 +tp8329 +a(g701 +g1025 +tp8330 +a(g835 +V\u000a +p8331 +tp8332 +a(g759 +VTRUE +p8333 +tp8334 +a(g835 +g966 +tp8335 +a(g701 +g1020 +tp8336 +a(g835 +g966 +tp8337 +a(g436 +Vet_sep_cmd +p8338 +tp8339 +a(g701 +g1025 +tp8340 +a(g835 +V\u000a +p8341 +tp8342 +a(g740 +Vesac +p8343 +tp8344 +a(g701 +g1025 +tp8345 +a(g835 +V\u000a\u000a +p8346 +tp8347 +a(g422 +Vnext +p8348 +tp8349 +a(g701 +g970 +tp8350 +a(g436 +Vcont_minus_z_compl +p8351 +tp8352 +a(g701 +g1011 +tp8353 +a(g835 +g966 +tp8354 +a(g408 +V:= +p8355 +tp8356 +a(g835 +V \u000a +p8357 +tp8358 +a(g740 +Vcase +p8359 +tp8360 +a(g835 +V\u000a +p8361 +tp8362 +a(g436 +Vfixed_values +p8363 +tp8364 +a(g835 +g966 +tp8365 +a(g701 +g1020 +tp8366 +a(g835 +g966 +tp8367 +a(g436 +Vcont_minus_z_compl +p8368 +tp8369 +a(g701 +g1025 +tp8370 +a(g835 +V\u000a +p8371 +tp8372 +a(g436 +Vcg +p8373 +tp8374 +a(g701 +g7244 +tp8375 +a(g436 +Vidle +p8376 +tp8377 +a(g835 +g966 +tp8378 +a(g701 +g1020 +tp8379 +a(g835 +g966 +tp8380 +a(g701 +g1052 +tp8381 +a(g835 +g966 +tp8382 +a(g759 +VFALSE +p8383 +tp8384 +a(g701 +g974 +tp8385 +a(g835 +g966 +tp8386 +a(g759 +VTRUE +p8387 +tp8388 +a(g835 +g966 +tp8389 +a(g701 +g1064 +tp8390 +a(g701 +g1025 +tp8391 +a(g835 +V\u000a +p8392 +tp8393 +a(g759 +VTRUE +p8394 +tp8395 +a(g835 +g966 +tp8396 +a(g701 +g1020 +tp8397 +a(g835 +g966 +tp8398 +a(g436 +Vcont_minus_z_compl +p8399 +tp8400 +a(g701 +g1025 +tp8401 +a(g835 +V\u000a +p8402 +tp8403 +a(g740 +Vesac +p8404 +tp8405 +a(g701 +g1025 +tp8406 +a(g835 +V\u000a\u000a +p8407 +tp8408 +a(g422 +Vnext +p8409 +tp8410 +a(g701 +g970 +tp8411 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p8412 +tp8413 +a(g701 +g1011 +tp8414 +a(g835 +g966 +tp8415 +a(g408 +V:= +p8416 +tp8417 +a(g835 +V \u000a +p8418 +tp8419 +a(g740 +Vcase +p8420 +tp8421 +a(g835 +V\u000a +p8422 +tp8423 +a(g436 +Vfixed_values +p8424 +tp8425 +a(g835 +g966 +tp8426 +a(g701 +g1020 +tp8427 +a(g835 +g966 +tp8428 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p8429 +tp8430 +a(g701 +g1025 +tp8431 +a(g835 +V\u000a +p8432 +tp8433 +a(g436 +Vcg +p8434 +tp8435 +a(g701 +g7244 +tp8436 +a(g436 +Vidle +p8437 +tp8438 +a(g835 +g966 +tp8439 +a(g701 +g1020 +tp8440 +a(g835 +g966 +tp8441 +a(g701 +g1052 +tp8442 +a(g835 +g966 +tp8443 +a(g759 +VFALSE +p8444 +tp8445 +a(g701 +g974 +tp8446 +a(g835 +g966 +tp8447 +a(g759 +VTRUE +p8448 +tp8449 +a(g835 +g966 +tp8450 +a(g701 +g1064 +tp8451 +a(g701 +g1025 +tp8452 +a(g835 +V\u000a +p8453 +tp8454 +a(g759 +VTRUE +p8455 +tp8456 +a(g835 +g966 +tp8457 +a(g701 +g1020 +tp8458 +a(g835 +g966 +tp8459 +a(g436 +Vt_nav-t_et_sep_GRT_dt_min_z_102 +p8460 +tp8461 +a(g701 +g1025 +tp8462 +a(g835 +V\u000a +p8463 +tp8464 +a(g740 +Vesac +p8465 +tp8466 +a(g701 +g1025 +tp8467 +a(g835 +V\u000a\u000a +p8468 +tp8469 +a(g422 +Vnext +p8470 +tp8471 +a(g701 +g970 +tp8472 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p8473 +tp8474 +a(g701 +g1011 +tp8475 +a(g835 +g966 +tp8476 +a(g408 +V:= +p8477 +tp8478 +a(g835 +V\u000a +p8479 +tp8480 +a(g740 +Vcase +p8481 +tp8482 +a(g835 +V\u000a +p8483 +tp8484 +a(g436 +Vfixed_values +p8485 +tp8486 +a(g835 +g966 +tp8487 +a(g701 +g1020 +tp8488 +a(g835 +g966 +tp8489 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p8490 +tp8491 +a(g701 +g1025 +tp8492 +a(g835 +V\u000a +p8493 +tp8494 +a(g436 +Vcg +p8495 +tp8496 +a(g701 +g7244 +tp8497 +a(g436 +Vidle +p8498 +tp8499 +a(g835 +g966 +tp8500 +a(g701 +g1020 +tp8501 +a(g835 +g966 +tp8502 +a(g701 +g1052 +tp8503 +a(g835 +g966 +tp8504 +a(g759 +VFALSE +p8505 +tp8506 +a(g701 +g974 +tp8507 +a(g835 +g966 +tp8508 +a(g759 +VTRUE +p8509 +tp8510 +a(g835 +g966 +tp8511 +a(g701 +g1064 +tp8512 +a(g701 +g1025 +tp8513 +a(g835 +V\u000a +p8514 +tp8515 +a(g759 +VTRUE +p8516 +tp8517 +a(g835 +g966 +tp8518 +a(g701 +g1020 +tp8519 +a(g835 +g966 +tp8520 +a(g436 +VABS_q_orb_GRT_q_minus_z_max +p8521 +tp8522 +a(g701 +g1025 +tp8523 +a(g835 +V\u000a +p8524 +tp8525 +a(g740 +Vesac +p8526 +tp8527 +a(g701 +g1025 +tp8528 +a(g835 +V\u000a\u000a +p8529 +tp8530 +a(g422 +Vnext +p8531 +tp8532 +a(g701 +g970 +tp8533 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p8534 +tp8535 +a(g701 +g1011 +tp8536 +a(g835 +g966 +tp8537 +a(g408 +V:= +p8538 +tp8539 +a(g835 +V\u000a +p8540 +tp8541 +a(g740 +Vcase +p8542 +tp8543 +a(g835 +V\u000a +p8544 +tp8545 +a(g436 +Vfixed_values +p8546 +tp8547 +a(g835 +g966 +tp8548 +a(g701 +g1020 +tp8549 +a(g835 +g966 +tp8550 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p8551 +tp8552 +a(g701 +g1025 +tp8553 +a(g835 +V\u000a +p8554 +tp8555 +a(g436 +Vcg +p8556 +tp8557 +a(g701 +g7244 +tp8558 +a(g436 +Vidle +p8559 +tp8560 +a(g835 +g966 +tp8561 +a(g701 +g1020 +tp8562 +a(g835 +g966 +tp8563 +a(g701 +g1052 +tp8564 +a(g835 +g966 +tp8565 +a(g759 +VFALSE +p8566 +tp8567 +a(g701 +g974 +tp8568 +a(g835 +g966 +tp8569 +a(g759 +VTRUE +p8570 +tp8571 +a(g835 +g966 +tp8572 +a(g701 +g1064 +tp8573 +a(g701 +g1025 +tp8574 +a(g835 +V\u000a +p8575 +tp8576 +a(g759 +VTRUE +p8577 +tp8578 +a(g835 +g966 +tp8579 +a(g701 +g1020 +tp8580 +a(g835 +g966 +tp8581 +a(g436 +VABS_r_orb_GRT_r_minus_z_max +p8582 +tp8583 +a(g701 +g1025 +tp8584 +a(g835 +V\u000a +p8585 +tp8586 +a(g740 +Vesac +p8587 +tp8588 +a(g701 +g1025 +tp8589 +a(g835 +V\u000a\u000a +p8590 +tp8591 +a(g422 +Vnext +p8592 +tp8593 +a(g701 +g970 +tp8594 +a(g436 +Vexcess_OMS_propellant +p8595 +tp8596 +a(g701 +g1011 +tp8597 +a(g835 +g966 +tp8598 +a(g408 +V:= +p8599 +tp8600 +a(g835 +V\u000a +p8601 +tp8602 +a(g740 +Vcase +p8603 +tp8604 +a(g835 +V\u000a +p8605 +tp8606 +a(g436 +Vfixed_values +p8607 +tp8608 +a(g835 +g966 +tp8609 +a(g701 +g1020 +tp8610 +a(g835 +g966 +tp8611 +a(g436 +Vexcess_OMS_propellant +p8612 +tp8613 +a(g701 +g1025 +tp8614 +a(g835 +V\u000a +p8615 +tp8616 +a(g436 +Vcg +p8617 +tp8618 +a(g701 +g7244 +tp8619 +a(g436 +Vidle +p8620 +tp8621 +a(g835 +g966 +tp8622 +a(g408 +g1269 +tp8623 +a(g835 +g966 +tp8624 +a(g436 +Vexcess_OMS_propellant +p8625 +tp8626 +a(g835 +g966 +tp8627 +a(g701 +g1020 +tp8628 +a(g835 +g966 +tp8629 +a(g701 +g1052 +tp8630 +a(g835 +g966 +tp8631 +a(g759 +VFALSE +p8632 +tp8633 +a(g701 +g974 +tp8634 +a(g835 +g966 +tp8635 +a(g759 +VTRUE +p8636 +tp8637 +a(g835 +g966 +tp8638 +a(g701 +g1064 +tp8639 +a(g701 +g1025 +tp8640 +a(g835 +V\u000a +p8641 +tp8642 +a(g759 +VTRUE +p8643 +tp8644 +a(g835 +g966 +tp8645 +a(g701 +g1020 +tp8646 +a(g835 +g966 +tp8647 +a(g436 +Vexcess_OMS_propellant +p8648 +tp8649 +a(g701 +g1025 +tp8650 +a(g835 +V\u000a +p8651 +tp8652 +a(g740 +Vesac +p8653 +tp8654 +a(g701 +g1025 +tp8655 +a(g835 +V\u000a\u000a +p8656 +tp8657 +a(g422 +Vnext +p8658 +tp8659 +a(g701 +g970 +tp8660 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p8661 +tp8662 +a(g701 +g1011 +tp8663 +a(g835 +g966 +tp8664 +a(g408 +V:= +p8665 +tp8666 +a(g835 +V\u000a +p8667 +tp8668 +a(g740 +Vcase +p8669 +tp8670 +a(g835 +V\u000a +p8671 +tp8672 +a(g436 +Vfixed_values +p8673 +tp8674 +a(g835 +g966 +tp8675 +a(g701 +g1020 +tp8676 +a(g835 +g966 +tp8677 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p8678 +tp8679 +a(g701 +g1025 +tp8680 +a(g835 +V\u000a +p8681 +tp8682 +a(g436 +Vcg +p8683 +tp8684 +a(g701 +g7244 +tp8685 +a(g436 +Vidle +p8686 +tp8687 +a(g835 +g966 +tp8688 +a(g701 +g1020 +tp8689 +a(g835 +g966 +tp8690 +a(g701 +g1052 +tp8691 +a(g835 +g966 +tp8692 +a(g759 +VFALSE +p8693 +tp8694 +a(g701 +g974 +tp8695 +a(g835 +g966 +tp8696 +a(g759 +VTRUE +p8697 +tp8698 +a(g835 +g966 +tp8699 +a(g701 +g1064 +tp8700 +a(g701 +g1025 +tp8701 +a(g835 +V\u000a +p8702 +tp8703 +a(g759 +VTRUE +p8704 +tp8705 +a(g835 +g966 +tp8706 +a(g701 +g1020 +tp8707 +a(g835 +g966 +tp8708 +a(g436 +Vq_bar_a_LT_qbar_oms_dump +p8709 +tp8710 +a(g701 +g1025 +tp8711 +a(g835 +V\u000a +p8712 +tp8713 +a(g740 +Vesac +p8714 +tp8715 +a(g701 +g1025 +tp8716 +a(g835 +V\u000a\u000a +p8717 +tp8718 +a(g422 +Vnext +p8719 +tp8720 +a(g701 +g970 +tp8721 +a(g436 +Vrcs_all_jet_inhibit +p8722 +tp8723 +a(g701 +g1011 +tp8724 +a(g835 +g966 +tp8725 +a(g408 +V:= +p8726 +tp8727 +a(g835 +V\u000a +p8728 +tp8729 +a(g740 +Vcase +p8730 +tp8731 +a(g835 +V\u000a +p8732 +tp8733 +a(g436 +Vfixed_values +p8734 +tp8735 +a(g835 +g966 +tp8736 +a(g701 +g1020 +tp8737 +a(g835 +g966 +tp8738 +a(g436 +Vrcs_all_jet_inhibit +p8739 +tp8740 +a(g701 +g1025 +tp8741 +a(g835 +V\u000a +p8742 +tp8743 +a(g436 +Vcg +p8744 +tp8745 +a(g701 +g7244 +tp8746 +a(g436 +Vidle +p8747 +tp8748 +a(g835 +g966 +tp8749 +a(g701 +g1020 +tp8750 +a(g835 +g966 +tp8751 +a(g701 +g1052 +tp8752 +a(g835 +g966 +tp8753 +a(g759 +VFALSE +p8754 +tp8755 +a(g701 +g974 +tp8756 +a(g835 +g966 +tp8757 +a(g759 +VTRUE +p8758 +tp8759 +a(g835 +g966 +tp8760 +a(g701 +g1064 +tp8761 +a(g701 +g1025 +tp8762 +a(g835 +V\u000a +p8763 +tp8764 +a(g759 +VTRUE +p8765 +tp8766 +a(g835 +g966 +tp8767 +a(g701 +g1020 +tp8768 +a(g835 +g966 +tp8769 +a(g436 +Vrcs_all_jet_inhibit +p8770 +tp8771 +a(g701 +g1025 +tp8772 +a(g835 +V\u000a +p8773 +tp8774 +a(g740 +Vesac +p8775 +tp8776 +a(g701 +g1025 +tp8777 +a(g835 +V\u000a\u000a +p8778 +tp8779 +a(g422 +Vnext +p8780 +tp8781 +a(g701 +g970 +tp8782 +a(g436 +Valt_GRT_alt_min_102_dump +p8783 +tp8784 +a(g701 +g1011 +tp8785 +a(g835 +g966 +tp8786 +a(g408 +V:= +p8787 +tp8788 +a(g835 +V\u000a +p8789 +tp8790 +a(g740 +Vcase +p8791 +tp8792 +a(g835 +V\u000a +p8793 +tp8794 +a(g436 +Vfixed_values +p8795 +tp8796 +a(g835 +g966 +tp8797 +a(g701 +g1020 +tp8798 +a(g835 +g966 +tp8799 +a(g436 +Valt_GRT_alt_min_102_dump +p8800 +tp8801 +a(g701 +g1025 +tp8802 +a(g835 +V\u000a +p8803 +tp8804 +a(g436 +Vcg +p8805 +tp8806 +a(g701 +g7244 +tp8807 +a(g436 +Vidle +p8808 +tp8809 +a(g835 +g966 +tp8810 +a(g701 +g1020 +tp8811 +a(g835 +g966 +tp8812 +a(g701 +g1052 +tp8813 +a(g835 +g966 +tp8814 +a(g759 +VFALSE +p8815 +tp8816 +a(g701 +g974 +tp8817 +a(g835 +g966 +tp8818 +a(g759 +VTRUE +p8819 +tp8820 +a(g835 +g966 +tp8821 +a(g701 +g1064 +tp8822 +a(g701 +g1025 +tp8823 +a(g835 +V\u000a +p8824 +tp8825 +a(g759 +VTRUE +p8826 +tp8827 +a(g835 +g966 +tp8828 +a(g701 +g1020 +tp8829 +a(g835 +g966 +tp8830 +a(g436 +Valt_GRT_alt_min_102_dump +p8831 +tp8832 +a(g701 +g1025 +tp8833 +a(g835 +V\u000a +p8834 +tp8835 +a(g740 +Vesac +p8836 +tp8837 +a(g701 +g1025 +tp8838 +a(g835 +V\u000a\u000a +p8839 +tp8840 +a(g422 +Vnext +p8841 +tp8842 +a(g701 +g970 +tp8843 +a(g436 +Vt_nav-t_gmtlo_LT_t_dmp_last +p8844 +tp8845 +a(g701 +g1011 +tp8846 +a(g835 +g966 +tp8847 +a(g408 +V:= +p8848 +tp8849 +a(g835 +V\u000a +p8850 +tp8851 +a(g740 +Vcase +p8852 +tp8853 +a(g835 +V\u000a +p8854 +tp8855 +a(g436 +Vfixed_values +p8856 +tp8857 +a(g835 +g966 +tp8858 +a(g701 +g1020 +tp8859 +a(g835 +g966 +tp8860 +a(g436 +Vt_nav-t_gmtlo_LT_t_dmp_last +p8861 +tp8862 +a(g701 +g1025 +tp8863 +a(g835 +V\u000a +p8864 +tp8865 +a(g436 +Vcg +p8866 +tp8867 +a(g701 +g7244 +tp8868 +a(g436 +Vidle +p8869 +tp8870 +a(g835 +g966 +tp8871 +a(g701 +g1020 +tp8872 +a(g835 +g966 +tp8873 +a(g701 +g1052 +tp8874 +a(g835 +g966 +tp8875 +a(g759 +VFALSE +p8876 +tp8877 +a(g701 +g974 +tp8878 +a(g835 +g966 +tp8879 +a(g759 +VTRUE +p8880 +tp8881 +a(g835 +g966 +tp8882 +a(g701 +g1064 +tp8883 +a(g701 +g1025 +tp8884 +a(g835 +V\u000a +p8885 +tp8886 +a(g759 +VTRUE +p8887 +tp8888 +a(g835 +g966 +tp8889 +a(g701 +g1020 +tp8890 +a(g835 +g966 +tp8891 +a(g436 +Vt_nav-t_gmtlo_LT_t_dmp_last +p8892 +tp8893 +a(g701 +g1025 +tp8894 +a(g835 +V\u000a +p8895 +tp8896 +a(g740 +Vesac +p8897 +tp8898 +a(g701 +g1025 +tp8899 +a(g835 +V\u000a\u000a +p8900 +tp8901 +a(g422 +Vnext +p8902 +tp8903 +a(g701 +g970 +tp8904 +a(g436 +Vpre_sep +p8905 +tp8906 +a(g701 +g1011 +tp8907 +a(g835 +g966 +tp8908 +a(g408 +V:= +p8909 +tp8910 +a(g835 +V\u000a +p8911 +tp8912 +a(g740 +Vcase +p8913 +tp8914 +a(g835 +V\u000a +p8915 +tp8916 +a(g436 +Vfixed_values +p8917 +tp8918 +a(g835 +g966 +tp8919 +a(g701 +g1020 +tp8920 +a(g835 +g966 +tp8921 +a(g436 +Vpre_sep +p8922 +tp8923 +a(g701 +g1025 +tp8924 +a(g835 +V\u000a +p8925 +tp8926 +a(g436 +Vcg +p8927 +tp8928 +a(g701 +g7244 +tp8929 +a(g436 +Vidle +p8930 +tp8931 +a(g835 +g966 +tp8932 +a(g701 +g1020 +tp8933 +a(g835 +g966 +tp8934 +a(g701 +g1052 +tp8935 +a(g835 +g966 +tp8936 +a(g759 +VFALSE +p8937 +tp8938 +a(g701 +g974 +tp8939 +a(g835 +g966 +tp8940 +a(g759 +VTRUE +p8941 +tp8942 +a(g835 +g966 +tp8943 +a(g701 +g1064 +tp8944 +a(g701 +g1025 +tp8945 +a(g835 +V\u000a +p8946 +tp8947 +a(g759 +VTRUE +p8948 +tp8949 +a(g835 +g966 +tp8950 +a(g701 +g1020 +tp8951 +a(g835 +g966 +tp8952 +a(g436 +Vpre_sep +p8953 +tp8954 +a(g701 +g1025 +tp8955 +a(g835 +V\u000a +p8956 +tp8957 +a(g740 +Vesac +p8958 +tp8959 +a(g701 +g1025 +tp8960 +a(g835 +V\u000a\u000a +p8961 +tp8962 +a(g422 +Vnext +p8963 +tp8964 +a(g701 +g970 +tp8965 +a(g436 +Vcond_18 +p8966 +tp8967 +a(g701 +g1011 +tp8968 +a(g835 +g966 +tp8969 +a(g408 +V:= +p8970 +tp8971 +a(g835 +V\u000a +p8972 +tp8973 +a(g740 +Vcase +p8974 +tp8975 +a(g835 +V\u000a +p8976 +tp8977 +a(g436 +Vfixed_values +p8978 +tp8979 +a(g835 +g966 +tp8980 +a(g701 +g1020 +tp8981 +a(g835 +g966 +tp8982 +a(g436 +Vcond_18 +p8983 +tp8984 +a(g701 +g1025 +tp8985 +a(g835 +V\u000a +p8986 +tp8987 +a(g436 +Vcg +p8988 +tp8989 +a(g701 +g7244 +tp8990 +a(g436 +Vidle +p8991 +tp8992 +a(g835 +g966 +tp8993 +a(g701 +g1020 +tp8994 +a(g835 +g966 +tp8995 +a(g701 +g1052 +tp8996 +a(g835 +g966 +tp8997 +a(g759 +VFALSE +p8998 +tp8999 +a(g701 +g974 +tp9000 +a(g835 +g966 +tp9001 +a(g759 +VTRUE +p9002 +tp9003 +a(g835 +g966 +tp9004 +a(g701 +g1064 +tp9005 +a(g701 +g1025 +tp9006 +a(g835 +V\u000a +p9007 +tp9008 +a(g759 +VTRUE +p9009 +tp9010 +a(g835 +g966 +tp9011 +a(g701 +g1020 +tp9012 +a(g835 +g966 +tp9013 +a(g436 +Vcond_18 +p9014 +tp9015 +a(g701 +g1025 +tp9016 +a(g835 +V\u000a +p9017 +tp9018 +a(g740 +Vesac +p9019 +tp9020 +a(g701 +g1025 +tp9021 +a(g835 +V\u000a\u000a +p9022 +tp9023 +a(g422 +Vnext +p9024 +tp9025 +a(g701 +g970 +tp9026 +a(g436 +Vq_orb_LT_0 +p9027 +tp9028 +a(g701 +g1011 +tp9029 +a(g835 +g966 +tp9030 +a(g408 +V:= +p9031 +tp9032 +a(g835 +V\u000a +p9033 +tp9034 +a(g740 +Vcase +p9035 +tp9036 +a(g835 +V\u000a +p9037 +tp9038 +a(g436 +Vfixed_values +p9039 +tp9040 +a(g835 +g966 +tp9041 +a(g701 +g1020 +tp9042 +a(g835 +g966 +tp9043 +a(g436 +Vq_orb_LT_0 +p9044 +tp9045 +a(g701 +g1025 +tp9046 +a(g835 +V\u000a +p9047 +tp9048 +a(g436 +Vcg +p9049 +tp9050 +a(g701 +g7244 +tp9051 +a(g436 +Vidle +p9052 +tp9053 +a(g835 +g966 +tp9054 +a(g701 +g1020 +tp9055 +a(g835 +g966 +tp9056 +a(g701 +g1052 +tp9057 +a(g835 +g966 +tp9058 +a(g759 +VFALSE +p9059 +tp9060 +a(g701 +g974 +tp9061 +a(g835 +g966 +tp9062 +a(g759 +VTRUE +p9063 +tp9064 +a(g835 +g966 +tp9065 +a(g701 +g1064 +tp9066 +a(g701 +g1025 +tp9067 +a(g835 +V\u000a +p9068 +tp9069 +a(g759 +VTRUE +p9070 +tp9071 +a(g835 +g966 +tp9072 +a(g701 +g1020 +tp9073 +a(g835 +g966 +tp9074 +a(g436 +Vq_orb_LT_0 +p9075 +tp9076 +a(g701 +g1025 +tp9077 +a(g835 +V\u000a +p9078 +tp9079 +a(g740 +Vesac +p9080 +tp9081 +a(g701 +g1025 +tp9082 +a(g835 +V\u000a\u000a +p9083 +tp9084 +a(g422 +Vnext +p9085 +tp9086 +a(g701 +g970 +tp9087 +a(g436 +VABS_alf_err_LT_alf_sep_err +p9088 +tp9089 +a(g701 +g1011 +tp9090 +a(g835 +g966 +tp9091 +a(g408 +V:= +p9092 +tp9093 +a(g835 +V\u000a +p9094 +tp9095 +a(g740 +Vcase +p9096 +tp9097 +a(g835 +V\u000a +p9098 +tp9099 +a(g436 +Vfixed_values +p9100 +tp9101 +a(g835 +g966 +tp9102 +a(g701 +g1020 +tp9103 +a(g835 +g966 +tp9104 +a(g436 +VABS_alf_err_LT_alf_sep_err +p9105 +tp9106 +a(g701 +g1025 +tp9107 +a(g835 +V\u000a +p9108 +tp9109 +a(g436 +Vcg +p9110 +tp9111 +a(g701 +g7244 +tp9112 +a(g436 +Vidle +p9113 +tp9114 +a(g835 +g966 +tp9115 +a(g701 +g1020 +tp9116 +a(g835 +g966 +tp9117 +a(g701 +g1052 +tp9118 +a(g835 +g966 +tp9119 +a(g759 +VFALSE +p9120 +tp9121 +a(g701 +g974 +tp9122 +a(g835 +g966 +tp9123 +a(g759 +VTRUE +p9124 +tp9125 +a(g835 +g966 +tp9126 +a(g701 +g1064 +tp9127 +a(g701 +g1025 +tp9128 +a(g835 +V\u000a +p9129 +tp9130 +a(g759 +VTRUE +p9131 +tp9132 +a(g835 +g966 +tp9133 +a(g701 +g1020 +tp9134 +a(g835 +g966 +tp9135 +a(g436 +VABS_alf_err_LT_alf_sep_err +p9136 +tp9137 +a(g701 +g1025 +tp9138 +a(g835 +V\u000a +p9139 +tp9140 +a(g740 +Vesac +p9141 +tp9142 +a(g701 +g1025 +tp9143 +a(g835 +V\u000a\u000a +p9144 +tp9145 +a(g422 +Vnext +p9146 +tp9147 +a(g701 +g970 +tp9148 +a(g436 +Vcond_20b +p9149 +tp9150 +a(g701 +g1011 +tp9151 +a(g835 +g966 +tp9152 +a(g408 +V:= +p9153 +tp9154 +a(g835 +V\u000a +p9155 +tp9156 +a(g740 +Vcase +p9157 +tp9158 +a(g835 +V\u000a +p9159 +tp9160 +a(g436 +Vfixed_values +p9161 +tp9162 +a(g835 +g966 +tp9163 +a(g701 +g1020 +tp9164 +a(g835 +g966 +tp9165 +a(g436 +Vcond_20b +p9166 +tp9167 +a(g701 +g1025 +tp9168 +a(g835 +V\u000a +p9169 +tp9170 +a(g436 +Vcg +p9171 +tp9172 +a(g701 +g7244 +tp9173 +a(g436 +Vidle +p9174 +tp9175 +a(g835 +g966 +tp9176 +a(g701 +g1020 +tp9177 +a(g835 +g966 +tp9178 +a(g701 +g1052 +tp9179 +a(g835 +g966 +tp9180 +a(g759 +VFALSE +p9181 +tp9182 +a(g701 +g974 +tp9183 +a(g835 +g966 +tp9184 +a(g759 +VTRUE +p9185 +tp9186 +a(g835 +g966 +tp9187 +a(g701 +g1064 +tp9188 +a(g701 +g1025 +tp9189 +a(g835 +V\u000a +p9190 +tp9191 +a(g759 +VTRUE +p9192 +tp9193 +a(g835 +g966 +tp9194 +a(g701 +g1020 +tp9195 +a(g835 +g966 +tp9196 +a(g436 +Vcond_20b +p9197 +tp9198 +a(g701 +g1025 +tp9199 +a(g835 +V\u000a +p9200 +tp9201 +a(g740 +Vesac +p9202 +tp9203 +a(g701 +g1025 +tp9204 +a(g835 +V\u000a\u000a +p9205 +tp9206 +a(g422 +Vnext +p9207 +tp9208 +a(g701 +g970 +tp9209 +a(g436 +Vcond_21 +p9210 +tp9211 +a(g701 +g1011 +tp9212 +a(g835 +g966 +tp9213 +a(g408 +V:= +p9214 +tp9215 +a(g835 +V\u000a +p9216 +tp9217 +a(g740 +Vcase +p9218 +tp9219 +a(g835 +V\u000a +p9220 +tp9221 +a(g436 +Vfixed_values +p9222 +tp9223 +a(g835 +g966 +tp9224 +a(g701 +g1020 +tp9225 +a(g835 +g966 +tp9226 +a(g436 +Vcond_21 +p9227 +tp9228 +a(g701 +g1025 +tp9229 +a(g835 +V\u000a +p9230 +tp9231 +a(g436 +Vcg +p9232 +tp9233 +a(g701 +g7244 +tp9234 +a(g436 +Vidle +p9235 +tp9236 +a(g835 +g966 +tp9237 +a(g701 +g1020 +tp9238 +a(g835 +g966 +tp9239 +a(g701 +g1052 +tp9240 +a(g835 +g966 +tp9241 +a(g759 +VFALSE +p9242 +tp9243 +a(g701 +g974 +tp9244 +a(g835 +g966 +tp9245 +a(g759 +VTRUE +p9246 +tp9247 +a(g835 +g966 +tp9248 +a(g701 +g1064 +tp9249 +a(g701 +g1025 +tp9250 +a(g835 +V\u000a +p9251 +tp9252 +a(g759 +VTRUE +p9253 +tp9254 +a(g835 +g966 +tp9255 +a(g701 +g1020 +tp9256 +a(g835 +g966 +tp9257 +a(g436 +Vcond_21 +p9258 +tp9259 +a(g701 +g1025 +tp9260 +a(g835 +V\u000a +p9261 +tp9262 +a(g740 +Vesac +p9263 +tp9264 +a(g701 +g1025 +tp9265 +a(g835 +V\u000a\u000a +p9266 +tp9267 +a(g422 +Vnext +p9268 +tp9269 +a(g701 +g970 +tp9270 +a(g436 +VABS_beta_n_GRT_beta_max +p9271 +tp9272 +a(g701 +g1011 +tp9273 +a(g835 +g966 +tp9274 +a(g408 +V:= +p9275 +tp9276 +a(g835 +V\u000a +p9277 +tp9278 +a(g740 +Vcase +p9279 +tp9280 +a(g835 +V\u000a +p9281 +tp9282 +a(g436 +Vfixed_values +p9283 +tp9284 +a(g835 +g966 +tp9285 +a(g701 +g1020 +tp9286 +a(g835 +g966 +tp9287 +a(g436 +VABS_beta_n_GRT_beta_max +p9288 +tp9289 +a(g701 +g1025 +tp9290 +a(g835 +V\u000a +p9291 +tp9292 +a(g436 +Vcg +p9293 +tp9294 +a(g701 +g7244 +tp9295 +a(g436 +Vidle +p9296 +tp9297 +a(g835 +g966 +tp9298 +a(g701 +g1020 +tp9299 +a(g835 +g966 +tp9300 +a(g701 +g1052 +tp9301 +a(g835 +g966 +tp9302 +a(g759 +VFALSE +p9303 +tp9304 +a(g701 +g974 +tp9305 +a(g835 +g966 +tp9306 +a(g759 +VTRUE +p9307 +tp9308 +a(g835 +g966 +tp9309 +a(g701 +g1064 +tp9310 +a(g701 +g1025 +tp9311 +a(g835 +V\u000a +p9312 +tp9313 +a(g759 +VTRUE +p9314 +tp9315 +a(g835 +g966 +tp9316 +a(g701 +g1020 +tp9317 +a(g835 +g966 +tp9318 +a(g436 +VABS_beta_n_GRT_beta_max +p9319 +tp9320 +a(g701 +g1025 +tp9321 +a(g835 +V\u000a +p9322 +tp9323 +a(g740 +Vesac +p9324 +tp9325 +a(g701 +g1025 +tp9326 +a(g835 +V\u000a\u000a +p9327 +tp9328 +a(g422 +Vnext +p9329 +tp9330 +a(g701 +g970 +tp9331 +a(g436 +Vcond_24 +p9332 +tp9333 +a(g701 +g1011 +tp9334 +a(g835 +g966 +tp9335 +a(g408 +V:= +p9336 +tp9337 +a(g835 +V\u000a +p9338 +tp9339 +a(g740 +Vcase +p9340 +tp9341 +a(g835 +V\u000a +p9342 +tp9343 +a(g436 +Vfixed_values +p9344 +tp9345 +a(g835 +g966 +tp9346 +a(g701 +g1020 +tp9347 +a(g835 +g966 +tp9348 +a(g436 +Vcond_24 +p9349 +tp9350 +a(g701 +g1025 +tp9351 +a(g835 +V\u000a +p9352 +tp9353 +a(g436 +Vcg +p9354 +tp9355 +a(g701 +g7244 +tp9356 +a(g436 +Vidle +p9357 +tp9358 +a(g835 +g966 +tp9359 +a(g701 +g1020 +tp9360 +a(g835 +g966 +tp9361 +a(g701 +g1052 +tp9362 +a(g835 +g966 +tp9363 +a(g759 +VFALSE +p9364 +tp9365 +a(g701 +g974 +tp9366 +a(g835 +g966 +tp9367 +a(g759 +VTRUE +p9368 +tp9369 +a(g835 +g966 +tp9370 +a(g701 +g1064 +tp9371 +a(g701 +g1025 +tp9372 +a(g835 +V\u000a +p9373 +tp9374 +a(g759 +VTRUE +p9375 +tp9376 +a(g835 +g966 +tp9377 +a(g701 +g1020 +tp9378 +a(g835 +g966 +tp9379 +a(g436 +Vcond_24 +p9380 +tp9381 +a(g701 +g1025 +tp9382 +a(g835 +V\u000a +p9383 +tp9384 +a(g740 +Vesac +p9385 +tp9386 +a(g701 +g1025 +tp9387 +a(g835 +V\u000a\u000a +p9388 +tp9389 +a(g422 +Vnext +p9390 +tp9391 +a(g701 +g970 +tp9392 +a(g436 +Vcond_26 +p9393 +tp9394 +a(g701 +g1011 +tp9395 +a(g835 +g966 +tp9396 +a(g408 +V:= +p9397 +tp9398 +a(g835 +V\u000a +p9399 +tp9400 +a(g740 +Vcase +p9401 +tp9402 +a(g835 +V\u000a +p9403 +tp9404 +a(g436 +Vfixed_values +p9405 +tp9406 +a(g835 +g966 +tp9407 +a(g701 +g1020 +tp9408 +a(g835 +g966 +tp9409 +a(g436 +Vcond_26 +p9410 +tp9411 +a(g701 +g1025 +tp9412 +a(g835 +V\u000a +p9413 +tp9414 +a(g436 +Vcg +p9415 +tp9416 +a(g701 +g7244 +tp9417 +a(g436 +Vidle +p9418 +tp9419 +a(g835 +g966 +tp9420 +a(g701 +g1020 +tp9421 +a(g835 +g966 +tp9422 +a(g701 +g1052 +tp9423 +a(g835 +g966 +tp9424 +a(g759 +VFALSE +p9425 +tp9426 +a(g701 +g974 +tp9427 +a(g835 +g966 +tp9428 +a(g759 +VTRUE +p9429 +tp9430 +a(g835 +g966 +tp9431 +a(g701 +g1064 +tp9432 +a(g701 +g1025 +tp9433 +a(g835 +V\u000a +p9434 +tp9435 +a(g759 +VTRUE +p9436 +tp9437 +a(g835 +g966 +tp9438 +a(g701 +g1020 +tp9439 +a(g835 +g966 +tp9440 +a(g436 +Vcond_26 +p9441 +tp9442 +a(g701 +g1025 +tp9443 +a(g835 +V\u000a +p9444 +tp9445 +a(g740 +Vesac +p9446 +tp9447 +a(g701 +g1025 +tp9448 +a(g835 +V\u000a\u000a +p9449 +tp9450 +a(g422 +Vnext +p9451 +tp9452 +a(g701 +g970 +tp9453 +a(g436 +Vcond_27 +p9454 +tp9455 +a(g701 +g1011 +tp9456 +a(g835 +g966 +tp9457 +a(g408 +V:= +p9458 +tp9459 +a(g835 +V\u000a +p9460 +tp9461 +a(g740 +Vcase +p9462 +tp9463 +a(g835 +V\u000a +p9464 +tp9465 +a(g436 +Vfixed_values +p9466 +tp9467 +a(g835 +g966 +tp9468 +a(g701 +g1020 +tp9469 +a(g835 +g966 +tp9470 +a(g436 +Vcond_27 +p9471 +tp9472 +a(g701 +g1025 +tp9473 +a(g835 +V\u000a +p9474 +tp9475 +a(g436 +Vcg +p9476 +tp9477 +a(g701 +g7244 +tp9478 +a(g436 +Vidle +p9479 +tp9480 +a(g835 +g966 +tp9481 +a(g701 +g1020 +tp9482 +a(g835 +g966 +tp9483 +a(g701 +g1052 +tp9484 +a(g835 +g966 +tp9485 +a(g759 +VFALSE +p9486 +tp9487 +a(g701 +g974 +tp9488 +a(g835 +g966 +tp9489 +a(g759 +VTRUE +p9490 +tp9491 +a(g835 +g966 +tp9492 +a(g701 +g1064 +tp9493 +a(g701 +g1025 +tp9494 +a(g835 +V\u000a +p9495 +tp9496 +a(g759 +VTRUE +p9497 +tp9498 +a(g835 +g966 +tp9499 +a(g701 +g1020 +tp9500 +a(g835 +g966 +tp9501 +a(g436 +Vcond_27 +p9502 +tp9503 +a(g701 +g1025 +tp9504 +a(g835 +V\u000a +p9505 +tp9506 +a(g740 +Vesac +p9507 +tp9508 +a(g701 +g1025 +tp9509 +a(g835 +V\u000a\u000a +p9510 +tp9511 +a(g422 +Vnext +p9512 +tp9513 +a(g701 +g970 +tp9514 +a(g436 +Vcond_29 +p9515 +tp9516 +a(g701 +g1011 +tp9517 +a(g835 +g966 +tp9518 +a(g408 +V:= +p9519 +tp9520 +a(g835 +V\u000a +p9521 +tp9522 +a(g740 +Vcase +p9523 +tp9524 +a(g835 +V\u000a +p9525 +tp9526 +a(g436 +Vfixed_values +p9527 +tp9528 +a(g835 +g966 +tp9529 +a(g701 +g1020 +tp9530 +a(g835 +g966 +tp9531 +a(g436 +Vcond_29 +p9532 +tp9533 +a(g701 +g1025 +tp9534 +a(g835 +V\u000a +p9535 +tp9536 +a(g436 +Vcg +p9537 +tp9538 +a(g701 +g7244 +tp9539 +a(g436 +Vidle +p9540 +tp9541 +a(g835 +g966 +tp9542 +a(g701 +g1020 +tp9543 +a(g835 +g966 +tp9544 +a(g701 +g1052 +tp9545 +a(g835 +g966 +tp9546 +a(g759 +VFALSE +p9547 +tp9548 +a(g701 +g974 +tp9549 +a(g835 +g966 +tp9550 +a(g759 +VTRUE +p9551 +tp9552 +a(g835 +g966 +tp9553 +a(g701 +g1064 +tp9554 +a(g701 +g1025 +tp9555 +a(g835 +V\u000a +p9556 +tp9557 +a(g759 +VTRUE +p9558 +tp9559 +a(g835 +g966 +tp9560 +a(g701 +g1020 +tp9561 +a(g835 +g966 +tp9562 +a(g436 +Vcond_29 +p9563 +tp9564 +a(g701 +g1025 +tp9565 +a(g835 +V\u000a +p9566 +tp9567 +a(g740 +Vesac +p9568 +tp9569 +a(g701 +g1025 +tp9570 +a(g835 +V\u000a\u000a +p9571 +tp9572 +a(g422 +Vnext +p9573 +tp9574 +a(g701 +g970 +tp9575 +a(g436 +Vmm602_OK +p9576 +tp9577 +a(g701 +g1011 +tp9578 +a(g835 +g966 +tp9579 +a(g408 +V:= +p9580 +tp9581 +a(g835 +V\u000a +p9582 +tp9583 +a(g740 +Vcase +p9584 +tp9585 +a(g835 +V\u000a +p9586 +tp9587 +a(g436 +Vfixed_values +p9588 +tp9589 +a(g835 +g966 +tp9590 +a(g701 +g1020 +tp9591 +a(g835 +g966 +tp9592 +a(g436 +Vmm602_OK +p9593 +tp9594 +a(g701 +g1025 +tp9595 +a(g835 +V\u000a +p9596 +tp9597 +a(g436 +Vcg +p9598 +tp9599 +a(g701 +g7244 +tp9600 +a(g436 +Vidle +p9601 +tp9602 +a(g835 +g966 +tp9603 +a(g701 +g1020 +tp9604 +a(g835 +g966 +tp9605 +a(g701 +g1052 +tp9606 +a(g835 +g966 +tp9607 +a(g759 +VFALSE +p9608 +tp9609 +a(g701 +g974 +tp9610 +a(g835 +g966 +tp9611 +a(g759 +VTRUE +p9612 +tp9613 +a(g835 +g966 +tp9614 +a(g701 +g1064 +tp9615 +a(g701 +g1025 +tp9616 +a(g835 +V\u000a +p9617 +tp9618 +a(g759 +VTRUE +p9619 +tp9620 +a(g835 +g966 +tp9621 +a(g701 +g1020 +tp9622 +a(g835 +V +p9623 +tp9624 +a(g436 +Vmm602_OK +p9625 +tp9626 +a(g701 +g1025 +tp9627 +a(g835 +V\u000a +p9628 +tp9629 +a(g740 +Vesac +p9630 +tp9631 +a(g701 +g1025 +tp9632 +a(g835 +V\u000a\u000a +p9633 +tp9634 +a(g422 +Vnext +p9635 +tp9636 +a(g701 +g970 +tp9637 +a(g436 +Vmated_coast_mnvr +p9638 +tp9639 +a(g701 +g1011 +tp9640 +a(g835 +g966 +tp9641 +a(g408 +V:= +p9642 +tp9643 +a(g835 +V\u000a +p9644 +tp9645 +a(g740 +Vcase +p9646 +tp9647 +a(g835 +V\u000a +p9648 +tp9649 +a(g422 +Vnext +p9650 +tp9651 +a(g701 +g970 +tp9652 +a(g436 +Vcg +p9653 +tp9654 +a(g701 +g7244 +tp9655 +a(g436 +Vstep +p9656 +tp9657 +a(g701 +g1011 +tp9658 +a(g835 +g966 +tp9659 +a(g408 +g1264 +tp9660 +a(g835 +g966 +tp9661 +a(g32 +g1106 +tp9662 +a(g835 +g966 +tp9663 +a(g701 +g1020 +tp9664 +a(g835 +g966 +tp9665 +a(g759 +VFALSE +p9666 +tp9667 +a(g701 +g1025 +tp9668 +a(g835 +V\u000a +p9669 +tp9670 +a(g436 +Vcg +p9671 +tp9672 +a(g701 +g7244 +tp9673 +a(g436 +Vstep +p9674 +tp9675 +a(g835 +g966 +tp9676 +a(g408 +g1264 +tp9677 +a(g835 +g966 +tp9678 +a(g32 +g1121 +tp9679 +a(g835 +g966 +tp9680 +a(g408 +g1269 +tp9681 +a(g835 +g966 +tp9682 +a(g436 +Vcg +p9683 +tp9684 +a(g701 +g7244 +tp9685 +a(g436 +g1069 +tp9686 +a(g835 +g966 +tp9687 +a(g422 +Vin +p9688 +tp9689 +a(g835 +g966 +tp9690 +a(g701 +g1052 +tp9691 +a(g436 +Vreg1 +p9692 +tp9693 +a(g701 +g974 +tp9694 +a(g835 +g966 +tp9695 +a(g436 +Vreg2 +p9696 +tp9697 +a(g701 +g974 +tp9698 +a(g835 +g966 +tp9699 +a(g436 +Vreg3 +p9700 +tp9701 +a(g701 +g974 +tp9702 +a(g835 +g966 +tp9703 +a(g436 +Vreg4 +p9704 +tp9705 +a(g701 +g974 +tp9706 +a(g835 +g966 +tp9707 +a(g436 +Vreg102 +p9708 +tp9709 +a(g701 +g1064 +tp9710 +a(g835 +g966 +tp9711 +a(g701 +g1020 +tp9712 +a(g835 +g966 +tp9713 +a(g759 +VTRUE +p9714 +tp9715 +a(g701 +g1025 +tp9716 +a(g835 +V\u000a +p9717 +tp9718 +a(g759 +VTRUE +p9719 +tp9720 +a(g835 +g966 +tp9721 +a(g701 +g1020 +tp9722 +a(g835 +g966 +tp9723 +a(g436 +Vmated_coast_mnvr +p9724 +tp9725 +a(g701 +g1025 +tp9726 +a(g835 +V \u000a +p9727 +tp9728 +a(g740 +Vesac +p9729 +tp9730 +a(g701 +g1025 +tp9731 +a(g835 +V\u000a\u000a +p9732 +tp9733 +a(g7 +V---------------------------------------------------------------------\u000a +p9734 +tp9735 +a(g7 +V---------------------------------------------------------------------\u000a +p9736 +tp9737 +a(g805 +VDEFINE +p9738 +tp9739 +a(g835 +V\u000a +p9740 +tp9741 +a(g436 +Vfixed_values +p9742 +tp9743 +a(g835 +g966 +tp9744 +a(g408 +V:= +p9745 +tp9746 +a(g835 +g966 +tp9747 +a(g759 +VFALSE +p9748 +tp9749 +a(g701 +g1025 +tp9750 +a(g835 +V\u000a\u000a +p9751 +tp9752 +a(g436 +Voutput_ok +p9753 +tp9754 +a(g835 +g966 +tp9755 +a(g408 +V:= +p9756 +tp9757 +a(g835 +V\u000a +p9758 +tp9759 +a(g740 +Vcase +p9760 +tp9761 +a(g835 +V\u000a +p9762 +tp9763 +a(g436 +Vcg +p9764 +tp9765 +a(g701 +g7244 +tp9766 +a(g436 +Vq_gcb_i +p9767 +tp9768 +a(g835 +g966 +tp9769 +a(g408 +g1264 +tp9770 +a(g835 +g966 +tp9771 +a(g436 +Vundef +p9772 +tp9773 +a(g835 +g966 +tp9774 +a(g408 +g1842 +tp9775 +a(g835 +g966 +tp9776 +a(g436 +Vcg +p9777 +tp9778 +a(g701 +g7244 +tp9779 +a(g436 +Vwcb2 +p9780 +tp9781 +a(g835 +g966 +tp9782 +a(g408 +g1264 +tp9783 +a(g835 +g966 +tp9784 +a(g436 +Vundef +p9785 +tp9786 +a(g835 +g966 +tp9787 +a(g408 +g1842 +tp9788 +a(g835 +V \u000a +p9789 +tp9790 +a(g436 +Vcg +p9791 +tp9792 +a(g701 +g7244 +tp9793 +a(g436 +Vcont_3eo_pr_delay +p9794 +tp9795 +a(g835 +g966 +tp9796 +a(g408 +g1264 +tp9797 +a(g835 +g966 +tp9798 +a(g32 +g1118 +tp9799 +a(g835 +g966 +tp9800 +a(g408 +g1842 +tp9801 +a(g835 +V \u000a +p9802 +tp9803 +a(g436 +Vcg +p9804 +tp9805 +a(g701 +g7244 +tp9806 +a(g436 +Vetsep_y_drift +p9807 +tp9808 +a(g835 +g966 +tp9809 +a(g408 +g1264 +tp9810 +a(g835 +g966 +tp9811 +a(g436 +Vundef +p9812 +tp9813 +a(g835 +g966 +tp9814 +a(g701 +g1020 +tp9815 +a(g835 +V\u000a +p9816 +tp9817 +a(g740 +Vcase +p9818 +tp9819 +a(g835 +V\u000a +p9820 +tp9821 +a(g408 +g1502 +tp9822 +a(g436 +Vmated_coast_mnvr +p9823 +tp9824 +a(g701 +g1020 +tp9825 +a(g835 +g966 +tp9826 +a(g32 +g1106 +tp9827 +a(g701 +g1025 +tp9828 +a(g835 +V\u000a +p9829 +tp9830 +a(g759 +VTRUE +p9831 +tp9832 +a(g835 +g966 +tp9833 +a(g701 +g1020 +tp9834 +a(g835 +g966 +tp9835 +a(g436 +Vundef +p9836 +tp9837 +a(g701 +g1025 +tp9838 +a(g835 +V\u000a +p9839 +tp9840 +a(g740 +Vesac +p9841 +tp9842 +a(g701 +g1025 +tp9843 +a(g835 +V\u000a +p9844 +tp9845 +a(g408 +g1502 +tp9846 +a(g436 +Vmated_coast_mnvr +p9847 +tp9848 +a(g701 +g1020 +tp9849 +a(g835 +g966 +tp9850 +a(g436 +Vtoint +p9851 +tp9852 +a(g701 +g970 +tp9853 +a(g436 +Vcg +p9854 +tp9855 +a(g701 +g7244 +tp9856 +a(g436 +Vq_gcb_i +p9857 +tp9858 +a(g835 +g966 +tp9859 +a(g408 +g1264 +tp9860 +a(g835 +g966 +tp9861 +a(g436 +Vquat_entry_M50_to_cmdbody +p9862 +tp9863 +a(g835 +g966 +tp9864 +a(g408 +g1269 +tp9865 +a(g835 +V \u000a +p9866 +tp9867 +a(g436 +Vcg +p9868 +tp9869 +a(g701 +g7244 +tp9870 +a(g436 +Vwcb2 +p9871 +tp9872 +a(g835 +g966 +tp9873 +a(g408 +g1264 +tp9874 +a(g835 +g966 +tp9875 +a(g436 +Vpost_sep_0 +p9876 +tp9877 +a(g701 +g1011 +tp9878 +a(g701 +g1025 +tp9879 +a(g835 +V \u000a +p9880 +tp9881 +a(g7 +V-- reg1 never happens?\u000a +p9882 +tp9883 +a(g7 +V-- cg.r = reg1 : (cg.q_gcb_i = quat_reg1 & cg.wcb2 = reg1_0 &\u000a +p9884 +tp9885 +a(g7 +V-- cg.cont_3eo_pr_delay = minus_z_reg1 &\u000a +p9886 +tp9887 +a(g7 +V-- cg.etsep_y_drift = minus_z_reg1) | cg.emerg_sep;\u000a +p9888 +tp9889 +a(g835 +V +p9890 +tp9891 +a(g436 +Vcg +p9892 +tp9893 +a(g701 +g7244 +tp9894 +a(g436 +g1069 +tp9895 +a(g835 +g966 +tp9896 +a(g408 +g1264 +tp9897 +a(g835 +g966 +tp9898 +a(g436 +Vreg2 +p9899 +tp9900 +a(g835 +g966 +tp9901 +a(g701 +g1020 +tp9902 +a(g835 +g966 +tp9903 +a(g436 +Vtoint +p9904 +tp9905 +a(g701 +g970 +tp9906 +a(g701 +g970 +tp9907 +a(g436 +Vcg +p9908 +tp9909 +a(g701 +g7244 +tp9910 +a(g436 +Vq_gcb_i +p9911 +tp9912 +a(g835 +g966 +tp9913 +a(g408 +g1264 +tp9914 +a(g835 +g966 +tp9915 +a(g436 +Vquat_reg2 +p9916 +tp9917 +a(g835 +g966 +tp9918 +a(g408 +g1269 +tp9919 +a(g835 +g966 +tp9920 +a(g436 +Vcg +p9921 +tp9922 +a(g701 +g7244 +tp9923 +a(g436 +Vwcb2 +p9924 +tp9925 +a(g835 +g966 +tp9926 +a(g408 +g1264 +tp9927 +a(g835 +g966 +tp9928 +a(g436 +Vreg2_neg4 +p9929 +tp9930 +a(g835 +g966 +tp9931 +a(g408 +g1269 +tp9932 +a(g835 +V\u000a +p9933 +tp9934 +a(g436 +Vcg +p9935 +tp9936 +a(g701 +g7244 +tp9937 +a(g436 +Vcont_3eo_pr_delay +p9938 +tp9939 +a(g835 +g966 +tp9940 +a(g408 +g1264 +tp9941 +a(g835 +g966 +tp9942 +a(g436 +Vminus_z_reg2 +p9943 +tp9944 +a(g835 +g966 +tp9945 +a(g408 +g1269 +tp9946 +a(g835 +V \u000a +p9947 +tp9948 +a(g436 +Vcg +p9949 +tp9950 +a(g701 +g7244 +tp9951 +a(g436 +Vetsep_y_drift +p9952 +tp9953 +a(g835 +g966 +tp9954 +a(g408 +g1264 +tp9955 +a(g835 +g966 +tp9956 +a(g436 +Vminus_z_reg2 +p9957 +tp9958 +a(g701 +g1011 +tp9959 +a(g835 +g966 +tp9960 +a(g408 +g1842 +tp9961 +a(g835 +g966 +tp9962 +a(g436 +Vcg +p9963 +tp9964 +a(g701 +g7244 +tp9965 +a(g436 +Vemerg_sep +p9966 +tp9967 +a(g701 +g1011 +tp9968 +a(g701 +g1025 +tp9969 +a(g835 +V\u000a\u000a +p9970 +tp9971 +a(g436 +Vcg +p9972 +tp9973 +a(g701 +g7244 +tp9974 +a(g436 +g1069 +tp9975 +a(g835 +g966 +tp9976 +a(g408 +g1264 +tp9977 +a(g835 +g966 +tp9978 +a(g436 +Vreg3 +p9979 +tp9980 +a(g835 +g966 +tp9981 +a(g701 +g1020 +tp9982 +a(g835 +g966 +tp9983 +a(g436 +Vtoint +p9984 +tp9985 +a(g701 +g970 +tp9986 +a(g701 +g970 +tp9987 +a(g436 +Vcg +p9988 +tp9989 +a(g701 +g7244 +tp9990 +a(g436 +Vq_gcb_i +p9991 +tp9992 +a(g835 +g966 +tp9993 +a(g408 +g1264 +tp9994 +a(g835 +g966 +tp9995 +a(g436 +Vquat_reg3 +p9996 +tp9997 +a(g835 +g966 +tp9998 +a(g408 +g1269 +tp9999 +a(g835 +g966 +tp10000 +a(g436 +Vcg +p10001 +tp10002 +a(g701 +g7244 +tp10003 +a(g436 +Vwcb2 +p10004 +tp10005 +a(g835 +g966 +tp10006 +a(g408 +g1264 +tp10007 +a(g835 +g966 +tp10008 +a(g436 +Vwcb2_3eo +p10009 +tp10010 +a(g835 +g966 +tp10011 +a(g408 +g1269 +tp10012 +a(g835 +V\u000a +p10013 +tp10014 +a(g436 +Vcg +p10015 +tp10016 +a(g701 +g7244 +tp10017 +a(g436 +Vcont_3eo_pr_delay +p10018 +tp10019 +a(g835 +g966 +tp10020 +a(g408 +g1264 +tp10021 +a(g835 +g966 +tp10022 +a(g436 +Vminus_z_reg3 +p10023 +tp10024 +a(g835 +g966 +tp10025 +a(g408 +g1269 +tp10026 +a(g835 +V \u000a +p10027 +tp10028 +a(g436 +Vcg +p10029 +tp10030 +a(g701 +g7244 +tp10031 +a(g436 +Vetsep_y_drift +p10032 +tp10033 +a(g835 +g966 +tp10034 +a(g408 +g1264 +tp10035 +a(g835 +g966 +tp10036 +a(g436 +Vminus_z_reg3 +p10037 +tp10038 +a(g701 +g1011 +tp10039 +a(g835 +g966 +tp10040 +a(g408 +g1842 +tp10041 +a(g835 +g966 +tp10042 +a(g436 +Vcg +p10043 +tp10044 +a(g701 +g7244 +tp10045 +a(g436 +Vemerg_sep +p10046 +tp10047 +a(g701 +g1011 +tp10048 +a(g701 +g1025 +tp10049 +a(g835 +V\u000a +p10050 +tp10051 +a(g436 +Vcg +p10052 +tp10053 +a(g701 +g7244 +tp10054 +a(g436 +g1069 +tp10055 +a(g835 +g966 +tp10056 +a(g408 +g1264 +tp10057 +a(g835 +g966 +tp10058 +a(g436 +Vreg4 +p10059 +tp10060 +a(g835 +g966 +tp10061 +a(g701 +g1020 +tp10062 +a(g835 +g966 +tp10063 +a(g436 +Vtoint +p10064 +tp10065 +a(g701 +g970 +tp10066 +a(g701 +g970 +tp10067 +a(g436 +Vcg +p10068 +tp10069 +a(g701 +g7244 +tp10070 +a(g436 +Vq_gcb_i +p10071 +tp10072 +a(g835 +g966 +tp10073 +a(g408 +g1264 +tp10074 +a(g835 +g966 +tp10075 +a(g436 +Vquat_reg4 +p10076 +tp10077 +a(g835 +g966 +tp10078 +a(g408 +g1269 +tp10079 +a(g835 +g966 +tp10080 +a(g436 +Vcg +p10081 +tp10082 +a(g701 +g7244 +tp10083 +a(g436 +Vwcb2 +p10084 +tp10085 +a(g835 +g966 +tp10086 +a(g408 +g1264 +tp10087 +a(g835 +g966 +tp10088 +a(g436 +Vreg4_0 +p10089 +tp10090 +a(g835 +g966 +tp10091 +a(g408 +g1269 +tp10092 +a(g835 +V\u000a +p10093 +tp10094 +a(g436 +Vcg +p10095 +tp10096 +a(g701 +g7244 +tp10097 +a(g436 +Vcont_3eo_pr_delay +p10098 +tp10099 +a(g835 +g966 +tp10100 +a(g408 +g1264 +tp10101 +a(g835 +g966 +tp10102 +a(g436 +Vminus_z_reg4 +p10103 +tp10104 +a(g835 +g966 +tp10105 +a(g408 +g1269 +tp10106 +a(g835 +V \u000a +p10107 +tp10108 +a(g436 +Vcg +p10109 +tp10110 +a(g701 +g7244 +tp10111 +a(g436 +Vetsep_y_drift +p10112 +tp10113 +a(g835 +g966 +tp10114 +a(g408 +g1264 +tp10115 +a(g835 +g966 +tp10116 +a(g436 +Vminus_z_reg4 +p10117 +tp10118 +a(g701 +g1011 +tp10119 +a(g835 +g966 +tp10120 +a(g408 +g1842 +tp10121 +a(g835 +g966 +tp10122 +a(g436 +Vcg +p10123 +tp10124 +a(g701 +g7244 +tp10125 +a(g436 +Vemerg_sep +p10126 +tp10127 +a(g701 +g1011 +tp10128 +a(g701 +g1025 +tp10129 +a(g835 +V\u000a +p10130 +tp10131 +a(g436 +Vcg +p10132 +tp10133 +a(g701 +g7244 +tp10134 +a(g436 +g1069 +tp10135 +a(g835 +g966 +tp10136 +a(g408 +g1264 +tp10137 +a(g835 +g966 +tp10138 +a(g436 +Vreg102 +p10139 +tp10140 +a(g835 +g966 +tp10141 +a(g701 +g1020 +tp10142 +a(g835 +g966 +tp10143 +a(g436 +Vtoint +p10144 +tp10145 +a(g701 +g970 +tp10146 +a(g701 +g970 +tp10147 +a(g436 +Vcg +p10148 +tp10149 +a(g701 +g7244 +tp10150 +a(g436 +Vq_gcb_i +p10151 +tp10152 +a(g835 +g966 +tp10153 +a(g408 +g1264 +tp10154 +a(g835 +g966 +tp10155 +a(g436 +Vquat_reg102_undef +p10156 +tp10157 +a(g835 +g966 +tp10158 +a(g408 +g1269 +tp10159 +a(g835 +V \u000a +p10160 +tp10161 +a(g436 +Vcg +p10162 +tp10163 +a(g701 +g7244 +tp10164 +a(g436 +Vwcb2 +p10165 +tp10166 +a(g835 +g966 +tp10167 +a(g408 +g1264 +tp10168 +a(g835 +g966 +tp10169 +a(g436 +Vreg102_undef +p10170 +tp10171 +a(g835 +g966 +tp10172 +a(g408 +g1269 +tp10173 +a(g835 +V\u000a +p10174 +tp10175 +a(g436 +Vcg +p10176 +tp10177 +a(g701 +g7244 +tp10178 +a(g436 +Vcont_3eo_pr_delay +p10179 +tp10180 +a(g835 +g966 +tp10181 +a(g408 +g1264 +tp10182 +a(g835 +g966 +tp10183 +a(g436 +Vminus_z_reg102 +p10184 +tp10185 +a(g835 +g966 +tp10186 +a(g408 +g1269 +tp10187 +a(g835 +V \u000a +p10188 +tp10189 +a(g436 +Vcg +p10190 +tp10191 +a(g701 +g7244 +tp10192 +a(g436 +Vetsep_y_drift +p10193 +tp10194 +a(g835 +g966 +tp10195 +a(g408 +g1264 +tp10196 +a(g835 +g966 +tp10197 +a(g436 +Vminus_z_reg102 +p10198 +tp10199 +a(g701 +g1011 +tp10200 +a(g835 +g966 +tp10201 +a(g408 +g1842 +tp10202 +a(g835 +g966 +tp10203 +a(g436 +Vcg +p10204 +tp10205 +a(g701 +g7244 +tp10206 +a(g436 +Vemerg_sep +p10207 +tp10208 +a(g701 +g1011 +tp10209 +a(g701 +g1025 +tp10210 +a(g835 +V\u000a +p10211 +tp10212 +a(g759 +VTRUE +p10213 +tp10214 +a(g835 +g966 +tp10215 +a(g701 +g1020 +tp10216 +a(g835 +g966 +tp10217 +a(g32 +g2443 +tp10218 +a(g701 +g1025 +tp10219 +a(g835 +V\u000a +p10220 +tp10221 +a(g740 +Vesac +p10222 +tp10223 +a(g701 +g1025 +tp10224 +a(g835 +V\u000a\u000a +p10225 +tp10226 +a(g7 +V---------------------------------------------------------------------\u000a +p10227 +tp10228 +a(g7 +V-------- Specifications ---------------------------------------------\u000a +p10229 +tp10230 +a(g7 +V---------------------------------------------------------------------\u000a +p10231 +tp10232 +a(g835 +V\u000a +p10233 +tp10234 +a(g7 +V-- Contingency Guide terminates\u000a +p10235 +tp10236 +a(g835 +V\u000a +p10237 +tp10238 +a(g805 +VSPEC +p10239 +tp10240 +a(g835 +g966 +tp10241 +a(g422 +VAG +p10242 +tp10243 +a(g701 +g970 +tp10244 +a(g408 +g1502 +tp10245 +a(g436 +Vcg +p10246 +tp10247 +a(g701 +g7244 +tp10248 +a(g436 +Vidle +p10249 +tp10250 +a(g835 +g966 +tp10251 +a(g408 +V- +p10252 +tp10253 +a(g408 +V> +p10254 +tp10255 +a(g835 +g966 +tp10256 +a(g422 +VAF +p10257 +tp10258 +a(g701 +g970 +tp10259 +a(g436 +Vcg +p10260 +tp10261 +a(g701 +g7244 +tp10262 +a(g436 +Vfinished +p10263 +tp10264 +a(g701 +g1011 +tp10265 +a(g701 +g1011 +tp10266 +a(g835 +V\u000a\u000a +p10267 +tp10268 +a(g7 +V-- Contingency guide can be executed infinitely often\u000a +p10269 +tp10270 +a(g835 +V\u000a +p10271 +tp10272 +a(g805 +VSPEC +p10273 +tp10274 +a(g835 +g966 +tp10275 +a(g422 +VAG +p10276 +tp10277 +a(g701 +g970 +tp10278 +a(g835 +g966 +tp10279 +a(g701 +g970 +tp10280 +a(g436 +Vcg +p10281 +tp10282 +a(g701 +g7244 +tp10283 +a(g436 +Vidle +p10284 +tp10285 +a(g835 +g966 +tp10286 +a(g408 +g1842 +tp10287 +a(g835 +g966 +tp10288 +a(g436 +Vcg +p10289 +tp10290 +a(g701 +g7244 +tp10291 +a(g436 +Vfinished +p10292 +tp10293 +a(g701 +g1011 +tp10294 +a(g835 +g966 +tp10295 +a(g408 +g10252 +tp10296 +a(g408 +g10254 +tp10297 +a(g835 +V \u000a +p10298 +tp10299 +a(g422 +VEF +p10300 +tp10301 +a(g701 +g970 +tp10302 +a(g408 +g1502 +tp10303 +a(g701 +g970 +tp10304 +a(g436 +Vcg +p10305 +tp10306 +a(g701 +g7244 +tp10307 +a(g436 +Vidle +p10308 +tp10309 +a(g835 +g966 +tp10310 +a(g408 +g1842 +tp10311 +a(g835 +g966 +tp10312 +a(g436 +Vcg +p10313 +tp10314 +a(g701 +g7244 +tp10315 +a(g436 +Vfinished +p10316 +tp10317 +a(g701 +g1011 +tp10318 +a(g835 +g966 +tp10319 +a(g408 +g1269 +tp10320 +a(g835 +g966 +tp10321 +a(g422 +VEF +p10322 +tp10323 +a(g701 +g970 +tp10324 +a(g436 +Vcg +p10325 +tp10326 +a(g701 +g7244 +tp10327 +a(g436 +Vfinished +p10328 +tp10329 +a(g701 +g1011 +tp10330 +a(g701 +g1011 +tp10331 +a(g701 +g1011 +tp10332 +a(g835 +V\u000a\u000a +p10333 +tp10334 +a(g7 +V-- Contingency mode select task works fine\u000a +p10335 +tp10336 +a(g835 +V\u000a +p10337 +tp10338 +a(g805 +VSPEC +p10339 +tp10340 +a(g835 +g966 +tp10341 +a(g422 +VAG +p10342 +tp10343 +a(g701 +g970 +tp10344 +a(g436 +Vcs +p10345 +tp10346 +a(g701 +g7244 +tp10347 +a(g436 +Vcont_3EO_start +p10348 +tp10349 +a(g835 +g966 +tp10350 +a(g408 +g1269 +tp10351 +a(g835 +g966 +tp10352 +a(g436 +Vcs +p10353 +tp10354 +a(g701 +g7244 +tp10355 +a(g436 +Vregion_selected +p10356 +tp10357 +a(g835 +g966 +tp10358 +a(g408 +g10252 +tp10359 +a(g408 +g10254 +tp10360 +a(g835 +V \u000a +p10361 +tp10362 +a(g701 +g970 +tp10363 +a(g701 +g970 +tp10364 +a(g436 +Vcs +p10365 +tp10366 +a(g701 +g7244 +tp10367 +a(g436 +Vm_mode +p10368 +tp10369 +a(g835 +g966 +tp10370 +a(g408 +g1264 +tp10371 +a(g835 +g966 +tp10372 +a(g436 +Vmm102 +p10373 +tp10374 +a(g835 +g966 +tp10375 +a(g408 +g1842 +tp10376 +a(g835 +g966 +tp10377 +a(g436 +Vmeco_confirmed +p10378 +tp10379 +a(g701 +g1011 +tp10380 +a(g835 +g966 +tp10381 +a(g408 +g1269 +tp10382 +a(g835 +V\u000a +p10383 +tp10384 +a(g436 +Vcs +p10385 +tp10386 +a(g701 +g7244 +tp10387 +a(g436 +g1069 +tp10388 +a(g835 +g966 +tp10389 +a(g408 +g1502 +tp10390 +a(g408 +g1264 +tp10391 +a(g835 +g966 +tp10392 +a(g436 +Vreg-1 +p10393 +tp10394 +a(g835 +g966 +tp10395 +a(g408 +g1269 +tp10396 +a(g835 +g966 +tp10397 +a(g436 +Vcs +p10398 +tp10399 +a(g701 +g7244 +tp10400 +a(g436 +g1069 +tp10401 +a(g835 +g966 +tp10402 +a(g408 +g1502 +tp10403 +a(g408 +g1264 +tp10404 +a(g835 +g966 +tp10405 +a(g436 +Vreg0 +p10406 +tp10407 +a(g701 +g1011 +tp10408 +a(g701 +g1011 +tp10409 +a(g835 +V\u000a\u000a +p10410 +tp10411 +a(g7 +V-- Bad (initial) value never happens again once region is computed\u000a +p10412 +tp10413 +a(g7 +V-- unless we restart the task\u000a +p10414 +tp10415 +a(g835 +V\u000a +p10416 +tp10417 +a(g7 +V--SPEC AG(cs.r != reg-1 -> !E[!cg.start_cont_3eo_mode_select U \u000a +p10418 +tp10419 +a(g7 +V-- cs.r = reg-1 & !cg.start_cont_3eo_mode_select])\u000a +p10420 +tp10421 +a(g835 +V\u000a +p10422 +tp10423 +a(g7 +V-- Comment out each of the regions and see if this is still true\u000a +p10424 +tp10425 +a(g7 +V-- (Check, if ALL of the regions can happen)\u000a +p10426 +tp10427 +a(g835 +V\u000a +p10428 +tp10429 +a(g7 +V--SPEC AG(cs.r in {reg-1\u000a +p10430 +tp10431 +a(g7 +V-- ,reg0\u000a +p10432 +tp10433 +a(g7 +V-- ,reg1\u000a +p10434 +tp10435 +a(g7 +V-- ,reg2\u000a +p10436 +tp10437 +a(g7 +V-- ,reg3\u000a +p10438 +tp10439 +a(g7 +V-- ,reg102\u000a +p10440 +tp10441 +a(g7 +V-- })\u000a +p10442 +tp10443 +a(g835 +V\u000a +p10444 +tp10445 +a(g7 +V-- Comment out each of the regions and see if this is still true\u000a +p10446 +tp10447 +a(g7 +V-- (Check, if ALL of the regions can happen)\u000a +p10448 +tp10449 +a(g835 +V\u000a +p10450 +tp10451 +a(g7 +V--SPEC AG(cg.r in {reg-1\u000a +p10452 +tp10453 +a(g7 +V-- ,reg0\u000a +p10454 +tp10455 +a(g7 +V-- ,reg1\u000a +p10456 +tp10457 +a(g7 +V-- ,reg2\u000a +p10458 +tp10459 +a(g7 +V-- ,reg3\u000a +p10460 +tp10461 +a(g7 +V-- ,reg4\u000a +p10462 +tp10463 +a(g7 +V-- ,reg102\u000a +p10464 +tp10465 +a(g7 +V-- })\u000a +p10466 +tp10467 +a(g835 +V\u000a +p10468 +tp10469 +a(g7 +V-- Mode_select starts at the next step after its "start" bit is set:\u000a +p10470 +tp10471 +a(g835 +V\u000a +p10472 +tp10473 +a(g7 +V--SPEC AG(!cg.start_cont_3eo_mode_select -> \u000a +p10474 +tp10475 +a(g7 +V-- AX(cg.start_cont_3eo_mode_select & cs.step in {exit, undef} -> \u000a +p10476 +tp10477 +a(g7 +V-- AX(cs.step = 1 & !cs.region_selected)))\u000a +p10478 +tp10479 +a(g835 +V\u000a +p10480 +tp10481 +a(g7 +V-- During major mode 103, the inertial velocity is monitored.\u000a +p10482 +tp10483 +a(g7 +V-- Below an I-loaded velocity, a MECO would constitute a contingency\u000a +p10484 +tp10485 +a(g7 +V-- abort. (Must NOT be in SMODE=5 (??))\u000a +p10486 +tp10487 +a(g835 +V\u000a +p10488 +tp10489 +a(g805 +VSPEC +p10490 +tp10491 +a(g835 +g966 +tp10492 +a(g422 +VAG +p10493 +tp10494 +a(g701 +g970 +tp10495 +a(g436 +Vcg +p10496 +tp10497 +a(g701 +g7244 +tp10498 +a(g436 +Vstart_cont_3eo_mode_select +p10499 +tp10500 +a(g835 +g966 +tp10501 +a(g408 +g1269 +tp10502 +a(g835 +g966 +tp10503 +a(g436 +Vcs +p10504 +tp10505 +a(g701 +g7244 +tp10506 +a(g436 +Vm_mode +p10507 +tp10508 +a(g835 +g966 +tp10509 +a(g408 +g1264 +tp10510 +a(g835 +g966 +tp10511 +a(g436 +Vmm103 +p10512 +tp10513 +a(g835 +g966 +tp10514 +a(g408 +g1269 +tp10515 +a(g835 +V \u000a +p10516 +tp10517 +a(g436 +Vvel +p10518 +tp10519 +a(g835 +g966 +tp10520 +a(g408 +g1264 +tp10521 +a(g835 +g966 +tp10522 +a(g436 +VLEQ_vi_3eo_min +p10523 +tp10524 +a(g835 +g966 +tp10525 +a(g408 +g1269 +tp10526 +a(g835 +g966 +tp10527 +a(g436 +Vmeco_confirmed +p10528 +tp10529 +a(g835 +g966 +tp10530 +a(g408 +g1269 +tp10531 +a(g835 +g966 +tp10532 +a(g408 +g1502 +tp10533 +a(g436 +Vsmode5 +p10534 +tp10535 +a(g835 +g966 +tp10536 +a(g408 +g10252 +tp10537 +a(g408 +g10254 +tp10538 +a(g835 +V \u000a +p10539 +tp10540 +a(g422 +VA +p10541 +tp10542 +a(g701 +V[ +p10543 +tp10544 +a(g408 +g1502 +tp10545 +a(g436 +Vcs +p10546 +tp10547 +a(g701 +g7244 +tp10548 +a(g436 +Vregion_selected +p10549 +tp10550 +a(g835 +g966 +tp10551 +a(g422 +VU +p10552 +tp10553 +a(g835 +g966 +tp10554 +a(g436 +Vcs +p10555 +tp10556 +a(g701 +g7244 +tp10557 +a(g436 +Vregion_selected +p10558 +tp10559 +a(g835 +g966 +tp10560 +a(g408 +g1269 +tp10561 +a(g835 +g966 +tp10562 +a(g436 +Vcs +p10563 +tp10564 +a(g701 +g7244 +tp10565 +a(g436 +Vcont_3EO_start +p10566 +tp10567 +a(g701 +V] +p10568 +tp10569 +a(g701 +g1011 +tp10570 +a(g835 +V\u000a\u000a +p10571 +tp10572 +a(g7 +V-- Above a certain inertial velocity (in mode 103), the 3E/O field\u000a +p10573 +tp10574 +a(g7 +V-- is blanked, indicating that a MECO at this point would not require\u000a +p10575 +tp10576 +a(g7 +V-- an OPS 6 contingency abort.\u000a +p10577 +tp10578 +a(g835 +V\u000a +p10579 +tp10580 +a(g805 +VSPEC +p10581 +tp10582 +a(g835 +g966 +tp10583 +a(g422 +VAG +p10584 +tp10585 +a(g701 +g970 +tp10586 +a(g436 +Vcs +p10587 +tp10588 +a(g701 +g7244 +tp10589 +a(g436 +Vregion_selected +p10590 +tp10591 +a(g835 +g966 +tp10592 +a(g408 +g10252 +tp10593 +a(g408 +g10254 +tp10594 +a(g835 +V \u000a +p10595 +tp10596 +a(g701 +g970 +tp10597 +a(g436 +Vcs +p10598 +tp10599 +a(g701 +g7244 +tp10600 +a(g436 +Vm_mode +p10601 +tp10602 +a(g835 +g966 +tp10603 +a(g408 +g1264 +tp10604 +a(g835 +g966 +tp10605 +a(g436 +Vmm103 +p10606 +tp10607 +a(g835 +g966 +tp10608 +a(g408 +g1269 +tp10609 +a(g835 +g966 +tp10610 +a(g436 +Vvel +p10611 +tp10612 +a(g835 +g966 +tp10613 +a(g408 +g1264 +tp10614 +a(g835 +g966 +tp10615 +a(g436 +VGRT_vi_3eo_max +p10616 +tp10617 +a(g835 +g966 +tp10618 +a(g408 +g10252 +tp10619 +a(g408 +g10254 +tp10620 +a(g835 +g966 +tp10621 +a(g408 +g1502 +tp10622 +a(g436 +Vcs +p10623 +tp10624 +a(g701 +g7244 +tp10625 +a(g436 +Vcont_3EO_start +p10626 +tp10627 +a(g701 +g1011 +tp10628 +a(g701 +g1011 +tp10629 +a(g835 +V\u000a\u000a +p10630 +tp10631 +a(g7 +V-- Between the two velocities, an apogee altitude - velocity curve is \u000a +p10632 +tp10633 +a(g7 +V-- constructed based on the current inertial velocity. If the apogee\u000a +p10634 +tp10635 +a(g7 +V-- altitude is above this curve, a contingency abort capability is\u000a +p10636 +tp10637 +a(g7 +V-- still required and a 3E/O region index will be calculated. \u000a +p10638 +tp10639 +a(g7 +V-- Otherwise, the 3E/O field is blanked out and no further contingency\u000a +p10640 +tp10641 +a(g7 +V-- abort calculations will be performed. (Must NOT be in SMODE=5 (??))\u000a +p10642 +tp10643 +a(g835 +V\u000a +p10644 +tp10645 +a(g805 +VSPEC +p10646 +tp10647 +a(g835 +g966 +tp10648 +a(g422 +VAG +p10649 +tp10650 +a(g701 +g970 +tp10651 +a(g436 +Vcg +p10652 +tp10653 +a(g701 +g7244 +tp10654 +a(g436 +Vstart_cont_3eo_mode_select +p10655 +tp10656 +a(g835 +g966 +tp10657 +a(g408 +g1269 +tp10658 +a(g835 +g966 +tp10659 +a(g436 +Vcs +p10660 +tp10661 +a(g701 +g7244 +tp10662 +a(g436 +Vm_mode +p10663 +tp10664 +a(g835 +g966 +tp10665 +a(g408 +g1264 +tp10666 +a(g835 +g966 +tp10667 +a(g436 +Vmm103 +p10668 +tp10669 +a(g835 +g966 +tp10670 +a(g408 +g1269 +tp10671 +a(g835 +V \u000a +p10672 +tp10673 +a(g436 +Vvel +p10674 +tp10675 +a(g835 +g966 +tp10676 +a(g408 +g1264 +tp10677 +a(g835 +g966 +tp10678 +a(g436 +VGRT_vi_3eo_min +p10679 +tp10680 +a(g835 +g966 +tp10681 +a(g408 +g1269 +tp10682 +a(g835 +g966 +tp10683 +a(g436 +Vmeco_confirmed +p10684 +tp10685 +a(g835 +g966 +tp10686 +a(g408 +g1269 +tp10687 +a(g835 +g966 +tp10688 +a(g408 +g1502 +tp10689 +a(g436 +Vsmode5 +p10690 +tp10691 +a(g835 +g966 +tp10692 +a(g408 +g10252 +tp10693 +a(g408 +g10254 +tp10694 +a(g835 +V\u000a +p10695 +tp10696 +a(g422 +g10541 +tp10697 +a(g701 +g10543 +tp10698 +a(g408 +g1502 +tp10699 +a(g436 +Vcs +p10700 +tp10701 +a(g701 +g7244 +tp10702 +a(g436 +Vregion_selected +p10703 +tp10704 +a(g835 +g966 +tp10705 +a(g422 +g10552 +tp10706 +a(g835 +g966 +tp10707 +a(g436 +Vcs +p10708 +tp10709 +a(g701 +g7244 +tp10710 +a(g436 +Vregion_selected +p10711 +tp10712 +a(g835 +g966 +tp10713 +a(g408 +g1269 +tp10714 +a(g835 +V \u000a +p10715 +tp10716 +a(g436 +Vapogee_alt_LT_alt_ref +p10717 +tp10718 +a(g835 +g966 +tp10719 +a(g408 +g1264 +tp10720 +a(g835 +g966 +tp10721 +a(g408 +g1502 +tp10722 +a(g436 +Vcs +p10723 +tp10724 +a(g701 +g7244 +tp10725 +a(g436 +Vcont_3EO_start +p10726 +tp10727 +a(g701 +g10568 +tp10728 +a(g701 +g1011 +tp10729 +a(g835 +V\u000a\u000a +p10730 +tp10731 +a(g7 +V-- For an RTLS trajectory (SMODE=5), a check is made on the downrange\u000a +p10732 +tp10733 +a(g7 +V-- velocity to see if the vehicle is heading away from the landing site.\u000a +p10734 +tp10735 +a(g7 +V-- If this is the case, a 3E/O region index is calculated. If the vehicle\u000a +p10736 +tp10737 +a(g7 +V-- is heading back to the landing site, and the current range to the MECO\u000a +p10738 +tp10739 +a(g7 +V-- R-V line is greater than an I-loaded value, a 3E/O region index is\u000a +p10740 +tp10741 +a(g7 +V-- calculated. Otherwise, an intact abort is possible and the 3E/O field\u000a +p10742 +tp10743 +a(g7 +V-- is blanked.\u000a +p10744 +tp10745 +a(g835 +V\u000a +p10746 +tp10747 +a(g805 +VSPEC +p10748 +tp10749 +a(g835 +g966 +tp10750 +a(g422 +VAG +p10751 +tp10752 +a(g701 +g970 +tp10753 +a(g436 +Vcg +p10754 +tp10755 +a(g701 +g7244 +tp10756 +a(g436 +Vstart_cont_3eo_mode_select +p10757 +tp10758 +a(g835 +g966 +tp10759 +a(g408 +g1269 +tp10760 +a(g835 +g966 +tp10761 +a(g436 +Vsmode5 +p10762 +tp10763 +a(g835 +g966 +tp10764 +a(g408 +g1269 +tp10765 +a(g835 +V +p10766 +tp10767 +a(g436 +Vmeco_confirmed +p10768 +tp10769 +a(g835 +g966 +tp10770 +a(g408 +g1269 +tp10771 +a(g835 +V\u000a +p10772 +tp10773 +a(g701 +g970 +tp10774 +a(g408 +g1502 +tp10775 +a(g436 +Vv_horiz_dnrng_LT_0 +p10776 +tp10777 +a(g835 +g966 +tp10778 +a(g408 +g1842 +tp10779 +a(g835 +g966 +tp10780 +a(g408 +g1502 +tp10781 +a(g436 +Vdelta_r_GRT_del_r_usp +p10782 +tp10783 +a(g701 +g1011 +tp10784 +a(g835 +g966 +tp10785 +a(g408 +g10252 +tp10786 +a(g408 +g10254 +tp10787 +a(g835 +V \u000a +p10788 +tp10789 +a(g422 +g10541 +tp10790 +a(g701 +g10543 +tp10791 +a(g408 +g1502 +tp10792 +a(g436 +Vcs +p10793 +tp10794 +a(g701 +g7244 +tp10795 +a(g436 +Vregion_selected +p10796 +tp10797 +a(g835 +g966 +tp10798 +a(g422 +g10552 +tp10799 +a(g835 +g966 +tp10800 +a(g436 +Vcs +p10801 +tp10802 +a(g701 +g7244 +tp10803 +a(g436 +Vregion_selected +p10804 +tp10805 +a(g835 +g966 +tp10806 +a(g408 +g1269 +tp10807 +a(g835 +g966 +tp10808 +a(g436 +Vcs +p10809 +tp10810 +a(g701 +g7244 +tp10811 +a(g436 +Vcont_3EO_start +p10812 +tp10813 +a(g701 +g10568 +tp10814 +a(g701 +g1011 +tp10815 +a(g835 +V\u000a\u000a +p10816 +tp10817 +a(g7 +V-- If this task is called prior to SRB separation [mm102], the 3E/O region\u000a +p10818 +tp10819 +a(g7 +V-- index is set to 102 and the 3E/O contingency flag is set.\u000a +p10820 +tp10821 +a(g835 +V\u000a +p10822 +tp10823 +a(g805 +VSPEC +p10824 +tp10825 +a(g835 +g966 +tp10826 +a(g422 +VAG +p10827 +tp10828 +a(g701 +g970 +tp10829 +a(g436 +Vcs +p10830 +tp10831 +a(g701 +g7244 +tp10832 +a(g436 +Vm_mode +p10833 +tp10834 +a(g835 +g966 +tp10835 +a(g408 +g1264 +tp10836 +a(g835 +g966 +tp10837 +a(g436 +Vmm102 +p10838 +tp10839 +a(g835 +g966 +tp10840 +a(g408 +g1269 +tp10841 +a(g835 +g966 +tp10842 +a(g436 +Vcg +p10843 +tp10844 +a(g701 +g7244 +tp10845 +a(g436 +Vstart_cont_3eo_mode_select +p10846 +tp10847 +a(g835 +g966 +tp10848 +a(g408 +g10252 +tp10849 +a(g408 +g10254 +tp10850 +a(g835 +V \u000a +p10851 +tp10852 +a(g422 +VAX +p10853 +tp10854 +a(g835 +g966 +tp10855 +a(g701 +g970 +tp10856 +a(g422 +g10541 +tp10857 +a(g835 +g966 +tp10858 +a(g701 +g10543 +tp10859 +a(g835 +g966 +tp10860 +a(g408 +g1502 +tp10861 +a(g436 +Vcs +p10862 +tp10863 +a(g701 +g7244 +tp10864 +a(g436 +Vregion_selected +p10865 +tp10866 +a(g835 +g966 +tp10867 +a(g422 +g10552 +tp10868 +a(g835 +g966 +tp10869 +a(g436 +Vcs +p10870 +tp10871 +a(g701 +g7244 +tp10872 +a(g436 +Vregion_selected +p10873 +tp10874 +a(g835 +g966 +tp10875 +a(g408 +g1269 +tp10876 +a(g835 +V \u000a +p10877 +tp10878 +a(g436 +Vcs +p10879 +tp10880 +a(g701 +g7244 +tp10881 +a(g436 +g1069 +tp10882 +a(g835 +g966 +tp10883 +a(g408 +g1264 +tp10884 +a(g835 +g966 +tp10885 +a(g436 +Vreg102 +p10886 +tp10887 +a(g835 +g966 +tp10888 +a(g408 +g1269 +tp10889 +a(g835 +g966 +tp10890 +a(g436 +Vcs +p10891 +tp10892 +a(g701 +g7244 +tp10893 +a(g436 +Vcont_3EO_start +p10894 +tp10895 +a(g701 +g10568 +tp10896 +a(g701 +g1011 +tp10897 +a(g701 +g1011 +tp10898 +a(g835 +V\u000a\u000a +p10899 +tp10900 +a(g7 +V-- After SRB separation, on every pass that the 3E/O region index is\u000a +p10901 +tp10902 +a(g7 +V-- calculated, a check is made to see if MECO confirmed has occured. If\u000a +p10903 +tp10904 +a(g7 +V-- so, a check is made to see if the major mode is 103. If so, an RTLS is\u000a +p10905 +tp10906 +a(g7 +V-- automatically invoked to transition to major mode 601.\u000a +p10907 +tp10908 +a(g835 +V\u000a +p10909 +tp10910 +a(g805 +VSPEC +p10911 +tp10912 +a(g835 +g966 +tp10913 +a(g422 +VAG +p10914 +tp10915 +a(g701 +g970 +tp10916 +a(g408 +g1502 +tp10917 +a(g436 +Vcs +p10918 +tp10919 +a(g701 +g7244 +tp10920 +a(g436 +Vregion_selected +p10921 +tp10922 +a(g835 +g966 +tp10923 +a(g408 +g1269 +tp10924 +a(g835 +g966 +tp10925 +a(g436 +Vcs +p10926 +tp10927 +a(g701 +g7244 +tp10928 +a(g436 +Vm_mode +p10929 +tp10930 +a(g835 +g966 +tp10931 +a(g408 +g1264 +tp10932 +a(g835 +g966 +tp10933 +a(g436 +Vmm103 +p10934 +tp10935 +a(g835 +g966 +tp10936 +a(g408 +g1269 +tp10937 +a(g835 +g966 +tp10938 +a(g436 +Vmeco_confirmed +p10939 +tp10940 +a(g835 +g966 +tp10941 +a(g408 +g10252 +tp10942 +a(g408 +g10254 +tp10943 +a(g835 +V\u000a +p10944 +tp10945 +a(g422 +g10541 +tp10946 +a(g701 +g10543 +tp10947 +a(g408 +g1502 +tp10948 +a(g436 +Vcs +p10949 +tp10950 +a(g701 +g7244 +tp10951 +a(g436 +Vregion_selected +p10952 +tp10953 +a(g835 +g966 +tp10954 +a(g422 +g10552 +tp10955 +a(g835 +g966 +tp10956 +a(g436 +Vcs +p10957 +tp10958 +a(g701 +g7244 +tp10959 +a(g436 +Vregion_selected +p10960 +tp10961 +a(g835 +g966 +tp10962 +a(g408 +g1269 +tp10963 +a(g835 +g966 +tp10964 +a(g436 +Vcs +p10965 +tp10966 +a(g701 +g7244 +tp10967 +a(g436 +g1069 +tp10968 +a(g835 +g966 +tp10969 +a(g408 +g1502 +tp10970 +a(g408 +g1264 +tp10971 +a(g835 +g966 +tp10972 +a(g436 +Vreg0 +p10973 +tp10974 +a(g835 +g966 +tp10975 +a(g408 +g10252 +tp10976 +a(g408 +g10254 +tp10977 +a(g835 +V \u000a +p10978 +tp10979 +a(g436 +Vcs +p10980 +tp10981 +a(g701 +g7244 +tp10982 +a(g436 +Vm_mode +p10983 +tp10984 +a(g835 +g966 +tp10985 +a(g408 +g1264 +tp10986 +a(g835 +g966 +tp10987 +a(g436 +Vmm601 +p10988 +tp10989 +a(g835 +g966 +tp10990 +a(g408 +g1269 +tp10991 +a(g835 +g966 +tp10992 +a(g436 +Vcs +p10993 +tp10994 +a(g701 +g7244 +tp10995 +a(g436 +VRTLS_abort_declared +p10996 +tp10997 +a(g701 +g10568 +tp10998 +a(g701 +g1011 +tp10999 +a(g835 +V\u000a\u000a +p11000 +tp11001 +a(g7 +V-- Once the 3E/O contingency flag has been set, this task is no longer\u000a +p11002 +tp11003 +a(g7 +V-- executed.\u000a +p11004 +tp11005 +a(g835 +V\u000a +p11006 +tp11007 +a(g805 +VSPEC +p11008 +tp11009 +a(g835 +g966 +tp11010 +a(g422 +VAG +p11011 +tp11012 +a(g701 +g970 +tp11013 +a(g436 +Vcs +p11014 +tp11015 +a(g701 +g7244 +tp11016 +a(g436 +Vcont_3EO_start +p11017 +tp11018 +a(g835 +g966 +tp11019 +a(g408 +g10252 +tp11020 +a(g408 +g10254 +tp11021 +a(g835 +g966 +tp11022 +a(g422 +VAG +p11023 +tp11024 +a(g701 +g970 +tp11025 +a(g408 +g1502 +tp11026 +a(g436 +Vcg +p11027 +tp11028 +a(g701 +g7244 +tp11029 +a(g436 +Vstart_cont_3eo_mode_select +p11030 +tp11031 +a(g701 +g1011 +tp11032 +a(g701 +g1011 +tp11033 +a(g835 +V\u000a\u000a +p11034 +tp11035 +a(g7 +V-- If MECO confirmed occurs in MM103 and an OPS 6 contingency abort\u000a +p11036 +tp11037 +a(g7 +V-- procedure is still required, contingency 3E/O guidance sets the\u000a +p11038 +tp11039 +a(g7 +V-- CONT_3EO_START flag ON. Contingency 3E/O guidance then switches\u000a +p11040 +tp11041 +a(g7 +V-- from its display support function into an actual auto guidance\u000a +p11042 +tp11043 +a(g7 +V-- steering process. [...] Contingency 3E/O guidance sets the RTLS abort\u000a +p11044 +tp11045 +a(g7 +V-- declared flag and the MSC performs the transition from from major mode\u000a +p11046 +tp11047 +a(g7 +V-- 103 to 601.\u000a +p11048 +tp11049 +a(g835 +V\u000a +p11050 +tp11051 +a(g805 +VSPEC +p11052 +tp11053 +a(g835 +g966 +tp11054 +a(g422 +VAG +p11055 +tp11056 +a(g701 +g970 +tp11057 +a(g408 +g1502 +tp11058 +a(g436 +Vcg +p11059 +tp11060 +a(g701 +g7244 +tp11061 +a(g436 +Vidle +p11062 +tp11063 +a(g835 +g966 +tp11064 +a(g408 +g1269 +tp11065 +a(g835 +g966 +tp11066 +a(g408 +g1502 +tp11067 +a(g436 +Vcg +p11068 +tp11069 +a(g701 +g7244 +tp11070 +a(g436 +Vfinished +p11071 +tp11072 +a(g835 +g966 +tp11073 +a(g408 +g1269 +tp11074 +a(g835 +g966 +tp11075 +a(g408 +g1502 +tp11076 +a(g436 +Vcs +p11077 +tp11078 +a(g701 +g7244 +tp11079 +a(g436 +Vregion_selected +p11080 +tp11081 +a(g835 +g966 +tp11082 +a(g408 +g1269 +tp11083 +a(g835 +g966 +tp11084 +a(g436 +Vcs +p11085 +tp11086 +a(g701 +g7244 +tp11087 +a(g436 +Vm_mode +p11088 +tp11089 +a(g835 +g966 +tp11090 +a(g408 +g1264 +tp11091 +a(g835 +g966 +tp11092 +a(g436 +Vmm103 +p11093 +tp11094 +a(g835 +g966 +tp11095 +a(g408 +g10252 +tp11096 +a(g408 +g10254 +tp11097 +a(g835 +V \u000a +p11098 +tp11099 +a(g422 +g10541 +tp11100 +a(g701 +g10543 +tp11101 +a(g835 +g966 +tp11102 +a(g408 +g1502 +tp11103 +a(g436 +Vcg +p11104 +tp11105 +a(g701 +g7244 +tp11106 +a(g436 +Vfinished +p11107 +tp11108 +a(g835 +g966 +tp11109 +a(g422 +g10552 +tp11110 +a(g835 +g966 +tp11111 +a(g436 +Vcg +p11112 +tp11113 +a(g701 +g7244 +tp11114 +a(g436 +Vfinished +p11115 +tp11116 +a(g835 +g966 +tp11117 +a(g408 +g1269 +tp11118 +a(g835 +g966 +tp11119 +a(g436 +Vcs +p11120 +tp11121 +a(g701 +g7244 +tp11122 +a(g436 +Vregion_selected +p11123 +tp11124 +a(g835 +g966 +tp11125 +a(g408 +g1269 +tp11126 +a(g835 +V \u000a +p11127 +tp11128 +a(g701 +g970 +tp11129 +a(g436 +Vcs +p11130 +tp11131 +a(g701 +g7244 +tp11132 +a(g436 +Vcont_3EO_start +p11133 +tp11134 +a(g835 +g966 +tp11135 +a(g408 +g10252 +tp11136 +a(g408 +g10254 +tp11137 +a(g835 +g966 +tp11138 +a(g436 +Vcs +p11139 +tp11140 +a(g701 +g7244 +tp11141 +a(g436 +Vm_mode +p11142 +tp11143 +a(g835 +g966 +tp11144 +a(g408 +g1264 +tp11145 +a(g835 +g966 +tp11146 +a(g436 +Vmm601 +p11147 +tp11148 +a(g835 +g966 +tp11149 +a(g408 +g1269 +tp11150 +a(g835 +g966 +tp11151 +a(g436 +Vcs +p11152 +tp11153 +a(g701 +g7244 +tp11154 +a(g436 +VRTLS_abort_declared +p11155 +tp11156 +a(g701 +g1011 +tp11157 +a(g835 +g966 +tp11158 +a(g701 +g10568 +tp11159 +a(g701 +g1011 +tp11160 +a(g835 +V\u000a\u000a +p11161 +tp11162 +a(g7 +V-- If MECO confirmed occurs in a major mode 601 and a contingency abort\u000a +p11163 +tp11164 +a(g7 +V-- procedure is still required, contingency 3E/O guidance sets the\u000a +p11165 +tp11166 +a(g7 +V-- CONT_3EO_START flag ON. [...] Contingency 3E/O guidance then commands\u000a +p11167 +tp11168 +a(g7 +V-- 3E/O auto maneuvers in major mode 601. [What are these maneuvers??]\u000a +p11169 +tp11170 +a(g835 +V\u000a +p11171 +tp11172 +a(g805 +VSPEC +p11173 +tp11174 +a(g835 +g966 +tp11175 +a(g422 +VAG +p11176 +tp11177 +a(g701 +g970 +tp11178 +a(g436 +Vcg +p11179 +tp11180 +a(g701 +g7244 +tp11181 +a(g436 +Vfinished +p11182 +tp11183 +a(g835 +g966 +tp11184 +a(g408 +g1269 +tp11185 +a(g835 +g966 +tp11186 +a(g436 +Vcs +p11187 +tp11188 +a(g701 +g7244 +tp11189 +a(g436 +Vm_mode +p11190 +tp11191 +a(g835 +g966 +tp11192 +a(g408 +g1264 +tp11193 +a(g835 +g966 +tp11194 +a(g436 +Vmm601 +p11195 +tp11196 +a(g835 +g966 +tp11197 +a(g408 +g1269 +tp11198 +a(g835 +g966 +tp11199 +a(g408 +g1502 +tp11200 +a(g436 +Vet_sep_cmd +p11201 +tp11202 +a(g835 +g966 +tp11203 +a(g408 +g1269 +tp11204 +a(g835 +V\u000a +p11205 +tp11206 +a(g436 +Vmeco_confirmed +p11207 +tp11208 +a(g835 +V +p11209 +tp11210 +a(g408 +g1269 +tp11211 +a(g835 +g966 +tp11212 +a(g436 +Vcs +p11213 +tp11214 +a(g701 +g7244 +tp11215 +a(g436 +Vcont_3EO_start +p11216 +tp11217 +a(g835 +g966 +tp11218 +a(g408 +g10252 +tp11219 +a(g408 +g10254 +tp11220 +a(g835 +V \u000a +p11221 +tp11222 +a(g436 +Vcg +p11223 +tp11224 +a(g701 +g7244 +tp11225 +a(g436 +Vq_gcb_i +p11226 +tp11227 +a(g835 +g966 +tp11228 +a(g422 +Vin +p11229 +tp11230 +a(g835 +g966 +tp11231 +a(g701 +g1052 +tp11232 +a(g436 +Vquat_reg1 +p11233 +tp11234 +a(g701 +g974 +tp11235 +a(g835 +g966 +tp11236 +a(g436 +Vquat_reg2 +p11237 +tp11238 +a(g701 +g974 +tp11239 +a(g835 +g966 +tp11240 +a(g436 +Vquat_reg3 +p11241 +tp11242 +a(g701 +g974 +tp11243 +a(g835 +g966 +tp11244 +a(g436 +Vquat_reg4 +p11245 +tp11246 +a(g701 +g974 +tp11247 +a(g835 +g966 +tp11248 +a(g436 +Vundef +p11249 +tp11250 +a(g701 +g1064 +tp11251 +a(g835 +V\u000a +p11252 +tp11253 +a(g408 +g1842 +tp11254 +a(g835 +g966 +tp11255 +a(g436 +Vcg +p11256 +tp11257 +a(g701 +g7244 +tp11258 +a(g436 +Vemerg_sep +p11259 +tp11260 +a(g701 +g1011 +tp11261 +a(g835 +V\u000a\u000a +p11262 +tp11263 +a(g7 +V-- If MECO confirmed occurs in a first stage (MM102) [...], contingency\u000a +p11264 +tp11265 +a(g7 +V-- 3E/O guidance will command a fast ET separation during SRB tailoff in\u000a +p11266 +tp11267 +a(g7 +V-- major mode 102. CONT 3E/O GUID will then command maneuver post-sep in\u000a +p11268 +tp11269 +a(g7 +V-- MM601 (???). [ I'm not sure what indicates fast ET sep.: emerg_sep or\u000a +p11270 +tp11271 +a(g7 +V-- early_sep, or what? ]\u000a +p11272 +tp11273 +a(g835 +V\u000a +p11274 +tp11275 +a(g805 +VSPEC +p11276 +tp11277 +a(g835 +g966 +tp11278 +a(g422 +VAG +p11279 +tp11280 +a(g701 +g970 +tp11281 +a(g436 +Vcg +p11282 +tp11283 +a(g701 +g7244 +tp11284 +a(g436 +Vfinished +p11285 +tp11286 +a(g835 +g966 +tp11287 +a(g408 +g1269 +tp11288 +a(g835 +g966 +tp11289 +a(g436 +Vcs +p11290 +tp11291 +a(g701 +g7244 +tp11292 +a(g436 +Vm_mode +p11293 +tp11294 +a(g835 +g966 +tp11295 +a(g408 +g1264 +tp11296 +a(g835 +g966 +tp11297 +a(g436 +Vmm102 +p11298 +tp11299 +a(g835 +g966 +tp11300 +a(g408 +g1269 +tp11301 +a(g835 +g966 +tp11302 +a(g436 +Vmeco_confirmed +p11303 +tp11304 +a(g835 +g966 +tp11305 +a(g408 +g1269 +tp11306 +a(g835 +g966 +tp11307 +a(g436 +Vpre_sep +p11308 +tp11309 +a(g835 +g966 +tp11310 +a(g408 +g10252 +tp11311 +a(g408 +g10254 +tp11312 +a(g835 +V\u000a +p11313 +tp11314 +a(g436 +Vcg +p11315 +tp11316 +a(g701 +g7244 +tp11317 +a(g436 +Vemerg_sep +p11318 +tp11319 +a(g835 +g966 +tp11320 +a(g408 +g1842 +tp11321 +a(g835 +g966 +tp11322 +a(g436 +Vet_sep_cmd +p11323 +tp11324 +a(g835 +V\u000a +p11325 +tp11326 +a(g408 +g1842 +tp11327 +a(g835 +g966 +tp11328 +a(g436 +Vcg +p11329 +tp11330 +a(g701 +g7244 +tp11331 +a(g436 +Vet_sep_man_initiate +p11332 +tp11333 +a(g835 +V\u000a +p11334 +tp11335 +a(g408 +g1842 +tp11336 +a(g835 +g966 +tp11337 +a(g436 +Vcg +p11338 +tp11339 +a(g701 +g7244 +tp11340 +a(g436 +Vearly_sep +p11341 +tp11342 +a(g835 +V\u000a +p11343 +tp11344 +a(g701 +g1011 +tp11345 +a(g835 +V\u000a\u000a +p11346 +tp11347 +a(g7 +V---------------------------------------------\u000a +p11348 +tp11349 +a(g7 +V-- Invariants from Murphi code --------------\u000a +p11350 +tp11351 +a(g7 +V---------------------------------------------\u000a +p11352 +tp11353 +a(g835 +V\u000a +p11354 +tp11355 +a(g7 +V--SPEC AG(cg.finished -> (output_ok != 0 | (output_ok = undef & \u000a +p11356 +tp11357 +a(g7 +V-- (cg.emerg_sep | !cg.cont_sep_cplt))))\u000a +p11358 +tp11359 +a(g835 +V\u000a +p11360 +tp11361 +a(g7 +V--SPEC AG(!cg.finished & !cg.idle -> !mated_coast_mnvr | !et_sep_cmd)\u000a +p11362 +tp11363 +a(g835 +V\u000a +p11364 +tp11365 +a(g7 +V-- Stronger version !!!\u000a +p11366 +tp11367 +a(g835 +V\u000a +p11368 +tp11369 +a(g805 +VSPEC +p11370 +tp11371 +a(g835 +g966 +tp11372 +a(g422 +VAG +p11373 +tp11374 +a(g701 +g970 +tp11375 +a(g436 +Vcg +p11376 +tp11377 +a(g701 +g7244 +tp11378 +a(g436 +Vfinished +p11379 +tp11380 +a(g835 +g966 +tp11381 +a(g408 +g10252 +tp11382 +a(g408 +g10254 +tp11383 +a(g835 +g966 +tp11384 +a(g436 +Voutput_ok +p11385 +tp11386 +a(g835 +g966 +tp11387 +a(g408 +g1502 +tp11388 +a(g408 +g1264 +tp11389 +a(g835 +g966 +tp11390 +a(g32 +g2443 +tp11391 +a(g701 +g1011 +tp11392 +a(g835 +V\u000a\u000a +p11393 +tp11394 +a(g7 +V-- Contingency Guidance shall command an ET separation \u000a +p11395 +tp11396 +a(g7 +V-- [under certain conditions :-].\u000a +p11397 +tp11398 +a(g835 +V\u000a +p11399 +tp11400 +a(g805 +VSPEC +p11401 +tp11402 +a(g835 +g966 +tp11403 +a(g422 +VAG +p11404 +tp11405 +a(g701 +g970 +tp11406 +a(g436 +Vcs +p11407 +tp11408 +a(g701 +g7244 +tp11409 +a(g436 +Vcont_3EO_start +p11410 +tp11411 +a(g835 +g966 +tp11412 +a(g408 +g1269 +tp11413 +a(g835 +g966 +tp11414 +a(g436 +Vcg +p11415 +tp11416 +a(g701 +g7244 +tp11417 +a(g436 +Vfinished +p11418 +tp11419 +a(g835 +g966 +tp11420 +a(g408 +g1269 +tp11421 +a(g835 +V \u000a +p11422 +tp11423 +a(g701 +g970 +tp11424 +a(g436 +Vcg +p11425 +tp11426 +a(g701 +g7244 +tp11427 +a(g436 +g1069 +tp11428 +a(g835 +g966 +tp11429 +a(g408 +g1264 +tp11430 +a(g835 +g966 +tp11431 +a(g436 +Vreg1 +p11432 +tp11433 +a(g835 +g966 +tp11434 +a(g408 +g10252 +tp11435 +a(g408 +g10254 +tp11436 +a(g835 +g966 +tp11437 +a(g436 +Vcond_29 +p11438 +tp11439 +a(g701 +g1011 +tp11440 +a(g835 +g966 +tp11441 +a(g408 +g1269 +tp11442 +a(g835 +V \u000a +p11443 +tp11444 +a(g701 +g970 +tp11445 +a(g436 +Vcg +p11446 +tp11447 +a(g701 +g7244 +tp11448 +a(g436 +g1069 +tp11449 +a(g835 +g966 +tp11450 +a(g408 +g1264 +tp11451 +a(g835 +g966 +tp11452 +a(g436 +Vreg2 +p11453 +tp11454 +a(g835 +g966 +tp11455 +a(g408 +g10252 +tp11456 +a(g408 +g10254 +tp11457 +a(g835 +g966 +tp11458 +a(g436 +Vcond_24 +p11459 +tp11460 +a(g835 +g966 +tp11461 +a(g408 +g1269 +tp11462 +a(g835 +g966 +tp11463 +a(g436 +Vcond_26 +p11464 +tp11465 +a(g701 +g1011 +tp11466 +a(g835 +g966 +tp11467 +a(g408 +g1269 +tp11468 +a(g835 +V\u000a +p11469 +tp11470 +a(g701 +g970 +tp11471 +a(g436 +Vcg +p11472 +tp11473 +a(g701 +g7244 +tp11474 +a(g436 +g1069 +tp11475 +a(g835 +g966 +tp11476 +a(g408 +g1264 +tp11477 +a(g835 +g966 +tp11478 +a(g436 +Vreg3 +p11479 +tp11480 +a(g835 +g966 +tp11481 +a(g408 +g10252 +tp11482 +a(g408 +g10254 +tp11483 +a(g835 +g966 +tp11484 +a(g436 +Vcg +p11485 +tp11486 +a(g701 +g7244 +tp11487 +a(g436 +Valpha_ok +p11488 +tp11489 +a(g835 +g966 +tp11490 +a(g408 +g1269 +tp11491 +a(g835 +V\u000a +p11492 +tp11493 +a(g701 +g970 +tp11494 +a(g436 +VABS_alf_err_LT_alf_sep_err +p11495 +tp11496 +a(g835 +g966 +tp11497 +a(g408 +g10252 +tp11498 +a(g408 +g10254 +tp11499 +a(g835 +g966 +tp11500 +a(g436 +Vcond_20b +p11501 +tp11502 +a(g701 +g1011 +tp11503 +a(g701 +g1011 +tp11504 +a(g835 +g966 +tp11505 +a(g408 +g1269 +tp11506 +a(g835 +V\u000a +p11507 +tp11508 +a(g701 +g970 +tp11509 +a(g436 +Vcg +p11510 +tp11511 +a(g701 +g7244 +tp11512 +a(g436 +g1069 +tp11513 +a(g835 +g966 +tp11514 +a(g408 +g1264 +tp11515 +a(g835 +g966 +tp11516 +a(g436 +Vreg4 +p11517 +tp11518 +a(g835 +g966 +tp11519 +a(g408 +g10252 +tp11520 +a(g408 +g10254 +tp11521 +a(g835 +g966 +tp11522 +a(g436 +Vcond_18 +p11523 +tp11524 +a(g835 +g966 +tp11525 +a(g408 +g1269 +tp11526 +a(g835 +g966 +tp11527 +a(g436 +Vq_orb_LT_0 +p11528 +tp11529 +a(g701 +g1011 +tp11530 +a(g835 +g966 +tp11531 +a(g408 +g1269 +tp11532 +a(g835 +V\u000a +p11533 +tp11534 +a(g701 +g970 +tp11535 +a(g436 +Vcg +p11536 +tp11537 +a(g701 +g7244 +tp11538 +a(g436 +g1069 +tp11539 +a(g835 +g966 +tp11540 +a(g408 +g1264 +tp11541 +a(g835 +g966 +tp11542 +a(g436 +Vreg102 +p11543 +tp11544 +a(g835 +g966 +tp11545 +a(g408 +g10252 +tp11546 +a(g408 +g10254 +tp11547 +a(g835 +g966 +tp11548 +a(g436 +Vpre_sep +p11549 +tp11550 +a(g701 +g1011 +tp11551 +a(g835 +g966 +tp11552 +a(g408 +g10252 +tp11553 +a(g408 +g10254 +tp11554 +a(g835 +V\u000a +p11555 +tp11556 +a(g436 +Vet_sep_cmd +p11557 +tp11558 +a(g835 +g966 +tp11559 +a(g408 +g1842 +tp11560 +a(g835 +g966 +tp11561 +a(g436 +Vcg +p11562 +tp11563 +a(g701 +g7244 +tp11564 +a(g436 +Vet_sep_man_initiate +p11565 +tp11566 +a(g835 +V\u000a +p11567 +tp11568 +a(g408 +g1842 +tp11569 +a(g835 +g966 +tp11570 +a(g436 +Vcg +p11571 +tp11572 +a(g701 +g7244 +tp11573 +a(g436 +Vearly_sep +p11574 +tp11575 +a(g835 +V \u000a +p11576 +tp11577 +a(g408 +g1842 +tp11578 +a(g835 +g966 +tp11579 +a(g436 +Vcg +p11580 +tp11581 +a(g701 +g7244 +tp11582 +a(g436 +Vemerg_sep +p11583 +tp11584 +a(g835 +V\u000a +p11585 +tp11586 +a(g701 +g1011 +tp11587 +a(g835 +V\u000a\u000a +p11588 +tp11589 +a(g7 +V-- Contingency Guidance shall command at most one interconnected OMS dump.\u000a +p11590 +tp11591 +a(g835 +V\u000a +p11592 +tp11593 +a(g805 +VSPEC +p11594 +tp11595 +a(g835 +g966 +tp11596 +a(g422 +VAG +p11597 +tp11598 +a(g701 +g970 +tp11599 +a(g436 +Vcg +p11600 +tp11601 +a(g701 +g7244 +tp11602 +a(g436 +Vfinished +p11603 +tp11604 +a(g835 +g966 +tp11605 +a(g408 +g1269 +tp11606 +a(g835 +g966 +tp11607 +a(g436 +Vcg +p11608 +tp11609 +a(g701 +g7244 +tp11610 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p11611 +tp11612 +a(g835 +g966 +tp11613 +a(g408 +g10252 +tp11614 +a(g408 +g10254 +tp11615 +a(g835 +V \u000a +p11616 +tp11617 +a(g422 +VAG +p11618 +tp11619 +a(g701 +g970 +tp11620 +a(g408 +g1502 +tp11621 +a(g436 +Vcg +p11622 +tp11623 +a(g701 +g7244 +tp11624 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p11625 +tp11626 +a(g835 +g966 +tp11627 +a(g408 +g10252 +tp11628 +a(g408 +g10254 +tp11629 +a(g835 +g966 +tp11630 +a(g422 +VAG +p11631 +tp11632 +a(g701 +g970 +tp11633 +a(g408 +g1502 +tp11634 +a(g436 +Vcg +p11635 +tp11636 +a(g701 +g7244 +tp11637 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p11638 +tp11639 +a(g701 +g1011 +tp11640 +a(g701 +g1011 +tp11641 +a(g701 +g1011 +tp11642 +a(g835 +V\u000a\u000a +p11643 +tp11644 +a(g7 +V-- Contingency Guidance shall command a transition to glide RTLS\u000a +p11645 +tp11646 +a(g7 +V-- (flight mode 602)\u000a +p11647 +tp11648 +a(g835 +V\u000a +p11649 +tp11650 +a(g805 +VSPEC +p11651 +tp11652 +a(g835 +g966 +tp11653 +a(g422 +VAG +p11654 +tp11655 +a(g701 +g970 +tp11656 +a(g436 +Vcg +p11657 +tp11658 +a(g701 +g7244 +tp11659 +a(g436 +Vfinished +p11660 +tp11661 +a(g835 +g966 +tp11662 +a(g408 +g1269 +tp11663 +a(g835 +g966 +tp11664 +a(g436 +Vcs +p11665 +tp11666 +a(g701 +g7244 +tp11667 +a(g436 +Vm_mode +p11668 +tp11669 +a(g835 +g966 +tp11670 +a(g408 +g1264 +tp11671 +a(g835 +g966 +tp11672 +a(g436 +Vmm601 +p11673 +tp11674 +a(g835 +g966 +tp11675 +a(g408 +g10252 +tp11676 +a(g408 +g10254 +tp11677 +a(g835 +V \u000a +p11678 +tp11679 +a(g7 +V--cg.cont_sep_cplt | cg.emerg_sep |\u000a +p11680 +tp11681 +a(g835 +V +p11682 +tp11683 +a(g436 +Vcg +p11684 +tp11685 +a(g701 +g7244 +tp11686 +a(g436 +Vcall_RTLS_abort_task +p11687 +tp11688 +a(g701 +g1011 +tp11689 +a(g835 +V\u000a\u000a +p11690 +tp11691 +a(g7 +V-- Paper, p. 28, unstated assumption 2: at step 6 the region is\u000a +p11692 +tp11693 +a(g7 +V-- among 102, 1-4.\u000a +p11694 +tp11695 +a(g835 +V\u000a +p11696 +tp11697 +a(g805 +VSPEC +p11698 +tp11699 +a(g835 +g966 +tp11700 +a(g422 +VAG +p11701 +tp11702 +a(g701 +g970 +tp11703 +a(g436 +Vcg +p11704 +tp11705 +a(g701 +g7244 +tp11706 +a(g436 +Vstep +p11707 +tp11708 +a(g835 +g966 +tp11709 +a(g408 +g1264 +tp11710 +a(g835 +g966 +tp11711 +a(g32 +g1121 +tp11712 +a(g835 +g966 +tp11713 +a(g408 +g10252 +tp11714 +a(g408 +g10254 +tp11715 +a(g835 +g966 +tp11716 +a(g436 +Vcg +p11717 +tp11718 +a(g701 +g7244 +tp11719 +a(g436 +g1069 +tp11720 +a(g835 +g966 +tp11721 +a(g422 +Vin +p11722 +tp11723 +a(g835 +g966 +tp11724 +a(g701 +g1052 +tp11725 +a(g436 +Vreg102 +p11726 +tp11727 +a(g701 +g974 +tp11728 +a(g835 +g966 +tp11729 +a(g436 +Vreg1 +p11730 +tp11731 +a(g701 +g974 +tp11732 +a(g835 +g966 +tp11733 +a(g436 +Vreg2 +p11734 +tp11735 +a(g701 +g974 +tp11736 +a(g835 +g966 +tp11737 +a(g436 +Vreg3 +p11738 +tp11739 +a(g701 +g974 +tp11740 +a(g835 +g966 +tp11741 +a(g436 +Vreg4 +p11742 +tp11743 +a(g701 +g1064 +tp11744 +a(g701 +g1011 +tp11745 +a(g835 +V\u000a\u000a +p11746 +tp11747 +a(g7 +V-- The transition to mode 602 shall not occur until the entry maneuver\u000a +p11748 +tp11749 +a(g7 +V-- has been calculated\u000a +p11750 +tp11751 +a(g835 +V\u000a +p11752 +tp11753 +a(g805 +VSPEC +p11754 +tp11755 +a(g835 +g966 +tp11756 +a(g408 +g1502 +tp11757 +a(g422 +VE +p11758 +tp11759 +a(g701 +g10543 +tp11760 +a(g436 +Vcg +p11761 +tp11762 +a(g701 +g7244 +tp11763 +a(g436 +Vq_gcb_i +p11764 +tp11765 +a(g835 +g966 +tp11766 +a(g408 +g1264 +tp11767 +a(g835 +g966 +tp11768 +a(g436 +Vundef +p11769 +tp11770 +a(g835 +g966 +tp11771 +a(g422 +g10552 +tp11772 +a(g835 +g966 +tp11773 +a(g436 +Vcg +p11774 +tp11775 +a(g701 +g7244 +tp11776 +a(g436 +Vcont_sep_cplt +p11777 +tp11778 +a(g835 +g966 +tp11779 +a(g408 +g1269 +tp11780 +a(g835 +g966 +tp11781 +a(g436 +Vcg +p11782 +tp11783 +a(g701 +g7244 +tp11784 +a(g436 +Vq_gcb_i +p11785 +tp11786 +a(g835 +g966 +tp11787 +a(g408 +g1264 +tp11788 +a(g835 +g966 +tp11789 +a(g436 +Vundef +p11790 +tp11791 +a(g701 +g10568 +tp11792 +a(g835 +V\u000a\u000a +p11793 +tp11794 +a(g7 +V-- The entry maneuver calculations shall not commence until the OMS/RCS\u000a +p11795 +tp11796 +a(g7 +V-- interconnect, if any, is complete (??? What does it exactly mean???)\u000a +p11797 +tp11798 +a(g7 +V-- !!!\u000a +p11799 +tp11800 +a(g7 +V--SPEC AG(cg.oms_rcs_i_c_inh_ena_cmd -> \u000a +p11801 +tp11802 +a(g7 +V-- !E[cg.oms_rcs_i_c_inh_ena_cmd U \u000a +p11803 +tp11804 +a(g7 +V-- cg.q_gcb_i != undef & cg.oms_rcs_i_c_inh_ena_cmd])\u000a +p11805 +tp11806 +a(g835 +V\u000a +p11807 +tp11808 +a(g805 +VSPEC +p11809 +tp11810 +a(g835 +g966 +tp11811 +a(g422 +VAG +p11812 +tp11813 +a(g701 +g970 +tp11814 +a(g436 +Vcg +p11815 +tp11816 +a(g701 +g7244 +tp11817 +a(g436 +Voms_rcs_i_c_inh_ena_cmd +p11818 +tp11819 +a(g835 +g966 +tp11820 +a(g408 +g10252 +tp11821 +a(g408 +g10254 +tp11822 +a(g835 +V \u000a +p11823 +tp11824 +a(g408 +g1502 +tp11825 +a(g422 +g11758 +tp11826 +a(g701 +g10543 +tp11827 +a(g436 +Vrcs_all_jet_inhibit +p11828 +tp11829 +a(g835 +g966 +tp11830 +a(g422 +g10552 +tp11831 +a(g835 +V \u000a +p11832 +tp11833 +a(g436 +Vcg +p11834 +tp11835 +a(g701 +g7244 +tp11836 +a(g436 +Vq_gcb_i +p11837 +tp11838 +a(g835 +g966 +tp11839 +a(g408 +g1502 +tp11840 +a(g408 +g1264 +tp11841 +a(g835 +g966 +tp11842 +a(g436 +Vundef +p11843 +tp11844 +a(g835 +g966 +tp11845 +a(g408 +g1269 +tp11846 +a(g835 +g966 +tp11847 +a(g436 +Vrcs_all_jet_inhibit +p11848 +tp11849 +a(g701 +g10568 +tp11850 +a(g701 +g1011 +tp11851 +a(g835 +V\u000a\u000a +p11852 +tp11853 +a(g7 +V-- The OMS dump shall not be considered until the -Z translation is complete.\u000a +p11854 +tp11855 +a(g835 +V\u000a +p11856 +tp11857 +a(g805 +VSPEC +p11858 +tp11859 +a(g835 +g966 +tp11860 +a(g408 +g1502 +tp11861 +a(g422 +g11758 +tp11862 +a(g701 +g10543 +tp11863 +a(g408 +g1502 +tp11864 +a(g436 +Vcont_minus_z_compl +p11865 +tp11866 +a(g835 +g966 +tp11867 +a(g408 +g1269 +tp11868 +a(g835 +g966 +tp11869 +a(g436 +Vcg +p11870 +tp11871 +a(g701 +g7244 +tp11872 +a(g436 +g1069 +tp11873 +a(g835 +g966 +tp11874 +a(g408 +g1502 +tp11875 +a(g408 +g1264 +tp11876 +a(g835 +g966 +tp11877 +a(g436 +Vreg102 +p11878 +tp11879 +a(g835 +g966 +tp11880 +a(g422 +g10552 +tp11881 +a(g835 +g966 +tp11882 +a(g436 +Vcg +p11883 +tp11884 +a(g701 +g7244 +tp11885 +a(g436 +Vorbiter_dump_ena +p11886 +tp11887 +a(g701 +g10568 +tp11888 +a(g835 +V\u000a\u000a +p11889 +tp11890 +a(g7 +V-- Completion of -Z translation shall not be checked until ET separation\u000a +p11891 +tp11892 +a(g7 +V-- has been commanded\u000a +p11893 +tp11894 +a(g835 +V\u000a +p11895 +tp11896 +a(g805 +VSPEC +p11897 +tp11898 +a(g835 +g966 +tp11899 +a(g408 +g1502 +tp11900 +a(g422 +g11758 +tp11901 +a(g701 +g10543 +tp11902 +a(g408 +g1502 +tp11903 +a(g436 +Vet_sep_cmd +p11904 +tp11905 +a(g835 +g966 +tp11906 +a(g422 +g10552 +tp11907 +a(g835 +g966 +tp11908 +a(g436 +Vcg +p11909 +tp11910 +a(g701 +g7244 +tp11911 +a(g436 +Vstep +p11912 +tp11913 +a(g835 +g966 +tp11914 +a(g408 +g1264 +tp11915 +a(g835 +g966 +tp11916 +a(g32 +g1124 +tp11917 +a(g701 +g10568 +tp11918 +a(g835 +V\u000a\u000a +p11919 +tp11920 +a(g7 +V-- ET separation shall be commanded if and only if an abort maneuver\u000a +p11921 +tp11922 +a(g7 +V-- region is assigned [and again there are *certain conditions*].\u000a +p11923 +tp11924 +a(g835 +V\u000a +p11925 +tp11926 +a(g805 +VSPEC +p11927 +tp11928 +a(g835 +g966 +tp11929 +a(g422 +VAG +p11930 +tp11931 +a(g701 +g970 +tp11932 +a(g436 +Vcg +p11933 +tp11934 +a(g701 +g7244 +tp11935 +a(g436 +Vfinished +p11936 +tp11937 +a(g835 +g966 +tp11938 +a(g408 +g1269 +tp11939 +a(g835 +g966 +tp11940 +a(g436 +Vcs +p11941 +tp11942 +a(g701 +g7244 +tp11943 +a(g436 +Vcont_3EO_start +p11944 +tp11945 +a(g835 +g966 +tp11946 +a(g408 +g1269 +tp11947 +a(g835 +V \u000a +p11948 +tp11949 +a(g701 +g970 +tp11950 +a(g436 +Vcg +p11951 +tp11952 +a(g701 +g7244 +tp11953 +a(g436 +g1069 +tp11954 +a(g835 +g966 +tp11955 +a(g408 +g1264 +tp11956 +a(g835 +g966 +tp11957 +a(g436 +Vreg1 +p11958 +tp11959 +a(g835 +g966 +tp11960 +a(g408 +g10252 +tp11961 +a(g408 +g10254 +tp11962 +a(g835 +g966 +tp11963 +a(g436 +Vcond_29 +p11964 +tp11965 +a(g701 +g1011 +tp11966 +a(g835 +g966 +tp11967 +a(g408 +g1269 +tp11968 +a(g835 +V \u000a +p11969 +tp11970 +a(g701 +g970 +tp11971 +a(g436 +Vcg +p11972 +tp11973 +a(g701 +g7244 +tp11974 +a(g436 +g1069 +tp11975 +a(g835 +g966 +tp11976 +a(g408 +g1264 +tp11977 +a(g835 +g966 +tp11978 +a(g436 +Vreg2 +p11979 +tp11980 +a(g835 +g966 +tp11981 +a(g408 +g10252 +tp11982 +a(g408 +g10254 +tp11983 +a(g835 +g966 +tp11984 +a(g436 +Vcond_24 +p11985 +tp11986 +a(g835 +g966 +tp11987 +a(g408 +g1269 +tp11988 +a(g835 +g966 +tp11989 +a(g436 +Vcond_26 +p11990 +tp11991 +a(g701 +g1011 +tp11992 +a(g835 +g966 +tp11993 +a(g408 +g1269 +tp11994 +a(g835 +V\u000a +p11995 +tp11996 +a(g701 +g970 +tp11997 +a(g436 +Vcg +p11998 +tp11999 +a(g701 +g7244 +tp12000 +a(g436 +g1069 +tp12001 +a(g835 +g966 +tp12002 +a(g408 +g1264 +tp12003 +a(g835 +g966 +tp12004 +a(g436 +Vreg3 +p12005 +tp12006 +a(g835 +g966 +tp12007 +a(g408 +g10252 +tp12008 +a(g408 +g10254 +tp12009 +a(g835 +g966 +tp12010 +a(g436 +Vcg +p12011 +tp12012 +a(g701 +g7244 +tp12013 +a(g436 +Valpha_ok +p12014 +tp12015 +a(g835 +g966 +tp12016 +a(g408 +g1269 +tp12017 +a(g835 +V\u000a +p12018 +tp12019 +a(g701 +g970 +tp12020 +a(g436 +VABS_alf_err_LT_alf_sep_err +p12021 +tp12022 +a(g835 +g966 +tp12023 +a(g408 +g10252 +tp12024 +a(g408 +g10254 +tp12025 +a(g835 +g966 +tp12026 +a(g436 +Vcond_20b +p12027 +tp12028 +a(g701 +g1011 +tp12029 +a(g701 +g1011 +tp12030 +a(g835 +g966 +tp12031 +a(g408 +g1269 +tp12032 +a(g835 +V\u000a +p12033 +tp12034 +a(g701 +g970 +tp12035 +a(g436 +Vcg +p12036 +tp12037 +a(g701 +g7244 +tp12038 +a(g436 +g1069 +tp12039 +a(g835 +g966 +tp12040 +a(g408 +g1264 +tp12041 +a(g835 +g966 +tp12042 +a(g436 +Vreg4 +p12043 +tp12044 +a(g835 +g966 +tp12045 +a(g408 +g10252 +tp12046 +a(g408 +g10254 +tp12047 +a(g835 +g966 +tp12048 +a(g436 +Vcond_18 +p12049 +tp12050 +a(g835 +g966 +tp12051 +a(g408 +g1269 +tp12052 +a(g835 +g966 +tp12053 +a(g436 +Vq_orb_LT_0 +p12054 +tp12055 +a(g701 +g1011 +tp12056 +a(g835 +g966 +tp12057 +a(g408 +g1269 +tp12058 +a(g835 +V\u000a +p12059 +tp12060 +a(g701 +g970 +tp12061 +a(g436 +Vcg +p12062 +tp12063 +a(g701 +g7244 +tp12064 +a(g436 +g1069 +tp12065 +a(g835 +g966 +tp12066 +a(g408 +g1264 +tp12067 +a(g835 +g966 +tp12068 +a(g436 +Vreg102 +p12069 +tp12070 +a(g835 +g966 +tp12071 +a(g408 +g10252 +tp12072 +a(g408 +g10254 +tp12073 +a(g835 +g966 +tp12074 +a(g436 +Vpre_sep +p12075 +tp12076 +a(g701 +g1011 +tp12077 +a(g835 +g966 +tp12078 +a(g408 +g10252 +tp12079 +a(g408 +g10254 +tp12080 +a(g835 +V \u000a +p12081 +tp12082 +a(g701 +g970 +tp12083 +a(g436 +Vcg +p12084 +tp12085 +a(g701 +g7244 +tp12086 +a(g436 +Vet_sep_man_initiate +p12087 +tp12088 +a(g835 +g966 +tp12089 +a(g408 +g1842 +tp12090 +a(g835 +g966 +tp12091 +a(g436 +Vet_sep_cmd +p12092 +tp12093 +a(g835 +V\u000a +p12094 +tp12095 +a(g408 +V< +p12096 +tp12097 +a(g408 +g10252 +tp12098 +a(g408 +g10254 +tp12099 +a(g835 +g966 +tp12100 +a(g436 +Vcg +p12101 +tp12102 +a(g701 +g7244 +tp12103 +a(g436 +g1069 +tp12104 +a(g835 +g966 +tp12105 +a(g422 +Vin +p12106 +tp12107 +a(g835 +g966 +tp12108 +a(g701 +g1052 +tp12109 +a(g436 +Vreg1 +p12110 +tp12111 +a(g701 +g974 +tp12112 +a(g835 +g966 +tp12113 +a(g436 +Vreg2 +p12114 +tp12115 +a(g701 +g974 +tp12116 +a(g835 +g966 +tp12117 +a(g436 +Vreg3 +p12118 +tp12119 +a(g701 +g974 +tp12120 +a(g835 +g966 +tp12121 +a(g436 +Vreg4 +p12122 +tp12123 +a(g701 +g974 +tp12124 +a(g835 +g966 +tp12125 +a(g436 +Vreg102 +p12126 +tp12127 +a(g701 +g1064 +tp12128 +a(g701 +g1011 +tp12129 +a(g701 +g1011 +tp12130 +a(g835 +V\u000a\u000a +p12131 +tp12132 +a(g7 +V-- The assigned region can not change arbitrarily.\u000a +p12133 +tp12134 +a(g835 +V\u000a +p12135 +tp12136 +a(g7 +V-- Regions 1 and 2 may interchange, but will not switch to any other region:\u000a +p12137 +tp12138 +a(g835 +V\u000a +p12139 +tp12140 +a(g805 +VSPEC +p12141 +tp12142 +a(g835 +g966 +tp12143 +a(g422 +VAG +p12144 +tp12145 +a(g701 +g970 +tp12146 +a(g436 +Vcg +p12147 +tp12148 +a(g701 +g7244 +tp12149 +a(g436 +Vfinished +p12150 +tp12151 +a(g835 +g966 +tp12152 +a(g408 +g1269 +tp12153 +a(g835 +g966 +tp12154 +a(g436 +Vcs +p12155 +tp12156 +a(g701 +g7244 +tp12157 +a(g436 +Vcont_3EO_start +p12158 +tp12159 +a(g835 +g966 +tp12160 +a(g408 +g1269 +tp12161 +a(g835 +g966 +tp12162 +a(g436 +Vcg +p12163 +tp12164 +a(g701 +g7244 +tp12165 +a(g436 +g1069 +tp12166 +a(g835 +g966 +tp12167 +a(g422 +Vin +p12168 +tp12169 +a(g835 +g966 +tp12170 +a(g701 +g1052 +tp12171 +a(g436 +Vreg1 +p12172 +tp12173 +a(g701 +g974 +tp12174 +a(g436 +Vreg2 +p12175 +tp12176 +a(g701 +g1064 +tp12177 +a(g835 +g966 +tp12178 +a(g408 +g10252 +tp12179 +a(g408 +g10254 +tp12180 +a(g835 +V \u000a +p12181 +tp12182 +a(g422 +VAG +p12183 +tp12184 +a(g701 +g970 +tp12185 +a(g436 +Vcg +p12186 +tp12187 +a(g701 +g7244 +tp12188 +a(g436 +Vfinished +p12189 +tp12190 +a(g835 +g966 +tp12191 +a(g408 +g10252 +tp12192 +a(g408 +g10254 +tp12193 +a(g835 +g966 +tp12194 +a(g436 +Vcg +p12195 +tp12196 +a(g701 +g7244 +tp12197 +a(g436 +g1069 +tp12198 +a(g835 +g966 +tp12199 +a(g422 +Vin +p12200 +tp12201 +a(g835 +g966 +tp12202 +a(g701 +g1052 +tp12203 +a(g436 +Vreg1 +p12204 +tp12205 +a(g701 +g974 +tp12206 +a(g436 +Vreg2 +p12207 +tp12208 +a(g701 +g1064 +tp12209 +a(g701 +g1011 +tp12210 +a(g701 +g1011 +tp12211 +a(g835 +V\u000a\u000a +p12212 +tp12213 +a(g7 +V-- Regions 3 and 4 may interchange, but will not switch to any other region:\u000a +p12214 +tp12215 +a(g835 +V\u000a +p12216 +tp12217 +a(g805 +VSPEC +p12218 +tp12219 +a(g835 +g966 +tp12220 +a(g422 +VAG +p12221 +tp12222 +a(g701 +g970 +tp12223 +a(g436 +Vcg +p12224 +tp12225 +a(g701 +g7244 +tp12226 +a(g436 +Vfinished +p12227 +tp12228 +a(g835 +g966 +tp12229 +a(g408 +g1269 +tp12230 +a(g835 +g966 +tp12231 +a(g436 +Vcs +p12232 +tp12233 +a(g701 +g7244 +tp12234 +a(g436 +Vcont_3EO_start +p12235 +tp12236 +a(g835 +g966 +tp12237 +a(g408 +g1269 +tp12238 +a(g835 +g966 +tp12239 +a(g436 +Vcg +p12240 +tp12241 +a(g701 +g7244 +tp12242 +a(g436 +g1069 +tp12243 +a(g835 +g966 +tp12244 +a(g422 +Vin +p12245 +tp12246 +a(g835 +g966 +tp12247 +a(g701 +g1052 +tp12248 +a(g436 +Vreg3 +p12249 +tp12250 +a(g701 +g974 +tp12251 +a(g436 +Vreg4 +p12252 +tp12253 +a(g701 +g1064 +tp12254 +a(g835 +g966 +tp12255 +a(g408 +g10252 +tp12256 +a(g408 +g10254 +tp12257 +a(g835 +V \u000a +p12258 +tp12259 +a(g422 +VAG +p12260 +tp12261 +a(g701 +g970 +tp12262 +a(g436 +Vcg +p12263 +tp12264 +a(g701 +g7244 +tp12265 +a(g436 +Vfinished +p12266 +tp12267 +a(g835 +g966 +tp12268 +a(g408 +g10252 +tp12269 +a(g408 +g10254 +tp12270 +a(g835 +g966 +tp12271 +a(g436 +Vcg +p12272 +tp12273 +a(g701 +g7244 +tp12274 +a(g436 +g1069 +tp12275 +a(g835 +g966 +tp12276 +a(g422 +Vin +p12277 +tp12278 +a(g835 +g966 +tp12279 +a(g701 +g1052 +tp12280 +a(g436 +Vreg3 +p12281 +tp12282 +a(g701 +g974 +tp12283 +a(g436 +Vreg4 +p12284 +tp12285 +a(g701 +g1064 +tp12286 +a(g701 +g1011 +tp12287 +a(g701 +g1011 +tp12288 +a(g835 +V\u000a\u000a +p12289 +tp12290 +a(g7 +V-- Region 102 never changes:\u000a +p12291 +tp12292 +a(g835 +V\u000a +p12293 +tp12294 +a(g805 +VSPEC +p12295 +tp12296 +a(g835 +g966 +tp12297 +a(g422 +VAG +p12298 +tp12299 +a(g701 +g970 +tp12300 +a(g436 +Vcg +p12301 +tp12302 +a(g701 +g7244 +tp12303 +a(g436 +Vfinished +p12304 +tp12305 +a(g835 +g966 +tp12306 +a(g408 +g1269 +tp12307 +a(g835 +g966 +tp12308 +a(g436 +Vcg +p12309 +tp12310 +a(g701 +g7244 +tp12311 +a(g436 +g1069 +tp12312 +a(g835 +g966 +tp12313 +a(g408 +g1264 +tp12314 +a(g835 +g966 +tp12315 +a(g436 +Vreg102 +p12316 +tp12317 +a(g835 +g966 +tp12318 +a(g408 +g10252 +tp12319 +a(g408 +g10254 +tp12320 +a(g835 +g966 +tp12321 +a(g422 +VAG +p12322 +tp12323 +a(g701 +g970 +tp12324 +a(g436 +Vcg +p12325 +tp12326 +a(g701 +g7244 +tp12327 +a(g436 +Vfinished +p12328 +tp12329 +a(g835 +g966 +tp12330 +a(g408 +g10252 +tp12331 +a(g408 +g10254 +tp12332 +a(g835 +g966 +tp12333 +a(g436 +Vcg +p12334 +tp12335 +a(g701 +g7244 +tp12336 +a(g436 +g1069 +tp12337 +a(g835 +g966 +tp12338 +a(g408 +g1264 +tp12339 +a(g835 +g966 +tp12340 +a(g436 +Vreg102 +p12341 +tp12342 +a(g701 +g1011 +tp12343 +a(g701 +g1011 +tp12344 +a(g835 +V\u000a +p12345 +tp12346 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hash_syntax.rb b/tests/examplefiles/output/hash_syntax.rb new file mode 100644 index 0000000..1fdff2b --- /dev/null +++ b/tests/examplefiles/output/hash_syntax.rb @@ -0,0 +1,2503 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV{ +p956 +tp957 +a(g892 +V +p958 +tp959 +a(g104 +V:old_syntax +p960 +tp961 +a(g892 +g958 +tp962 +a(g400 +V= +p963 +tp964 +a(g400 +V> +p965 +tp966 +a(g892 +g958 +tp967 +a(g217 +V'ok' +p968 +tp969 +a(g892 +g958 +tp970 +a(g7 +V} +p971 +tp972 +a(g892 +V\u000a +p973 +tp974 +a(g7 +g956 +tp975 +a(g892 +g958 +tp976 +a(g217 +V'stings as key' +p977 +tp978 +a(g892 +g958 +tp979 +a(g400 +g963 +tp980 +a(g400 +g965 +tp981 +a(g892 +g958 +tp982 +a(g217 +V'should be ok' +p983 +tp984 +a(g892 +g958 +tp985 +a(g7 +g971 +tp986 +a(g892 +V\u000a +p987 +tp988 +a(g7 +g956 +tp989 +a(g892 +g958 +tp990 +a(g104 +Vnew_syntax +p991 +tp992 +a(g7 +V: +p993 +tp994 +a(g892 +g958 +tp995 +a(g217 +V'broken until now' +p996 +tp997 +a(g892 +g958 +tp998 +a(g7 +g971 +tp999 +a(g892 +V\u000a +p1000 +tp1001 +a(g7 +g956 +tp1002 +a(g892 +g958 +tp1003 +a(g104 +Vwithoutunderscore +p1004 +tp1005 +a(g7 +g993 +tp1006 +a(g892 +g958 +tp1007 +a(g217 +V'should be ok' +p1008 +tp1009 +a(g892 +g958 +tp1010 +a(g7 +g971 +tp1011 +a(g892 +V\u000a +p1012 +tp1013 +a(g7 +g956 +tp1014 +a(g892 +g958 +tp1015 +a(g104 +V_underscoreinfront +p1016 +tp1017 +a(g7 +g993 +tp1018 +a(g892 +g958 +tp1019 +a(g217 +V'might be ok, if I understand the pygments code correct' +p1020 +tp1021 +a(g892 +g958 +tp1022 +a(g7 +g971 +tp1023 +a(g892 +V\u000a +p1024 +tp1025 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hello-world.puzzlet.aheui b/tests/examplefiles/output/hello-world.puzzlet.aheui new file mode 100644 index 0000000..84ae062 --- /dev/null +++ b/tests/examplefiles/output/hello-world.puzzlet.aheui @@ -0,0 +1,2903 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Operator' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsg4 +g7 +sg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp397 +tp398 +Rp399 +(dp400 +S'Variable' +p401 +g1 +(g2 +g3 +(g173 +g401 +tp402 +tp403 +Rp404 +(dp405 +g9 +g399 +sS'Class' +p406 +g1 +(g2 +g3 +(g173 +g401 +g406 +tp407 +tp408 +Rp409 +(dp410 +g9 +g404 +sg29 +g30 +((lp411 +tp412 +Rp413 +sbsS'Anonymous' +p414 +g1 +(g2 +g3 +(g173 +g401 +g414 +tp415 +tp416 +Rp417 +(dp418 +g9 +g404 +sg29 +g30 +((lp419 +tp420 +Rp421 +sbsS'Instance' +p422 +g1 +(g2 +g3 +(g173 +g401 +g422 +tp423 +tp424 +Rp425 +(dp426 +g9 +g404 +sg29 +g30 +((lp427 +tp428 +Rp429 +sbsS'Global' +p430 +g1 +(g2 +g3 +(g173 +g401 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g404 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsg29 +g30 +((lp438 +g417 +ag425 +ag1 +(g2 +g3 +(g173 +g401 +S'Magic' +p439 +tp440 +tp441 +Rp442 +(dp443 +g9 +g404 +sg29 +g30 +((lp444 +tp445 +Rp446 +sbag433 +ag409 +atp447 +Rp448 +sg439 +g442 +sbsg4 +g1 +(g2 +g3 +(g173 +g4 +tp449 +tp450 +Rp451 +(dp452 +g9 +g399 +sg29 +g30 +((lp453 +tp454 +Rp455 +sbsS'Decorator' +p456 +g1 +(g2 +g3 +(g173 +g456 +tp457 +tp458 +Rp459 +(dp460 +g9 +g399 +sg29 +g30 +((lp461 +tp462 +Rp463 +sbsS'Entity' +p464 +g1 +(g2 +g3 +(g173 +g464 +tp465 +tp466 +Rp467 +(dp468 +g9 +g399 +sS'DBS' +p469 +g1 +(g2 +g3 +(g173 +g464 +g469 +tp470 +tp471 +Rp472 +(dp473 +g9 +g467 +sg29 +g30 +((lp474 +tp475 +Rp476 +sbsg29 +g30 +((lp477 +g472 +atp478 +Rp479 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp480 +tp481 +Rp482 +(dp483 +g9 +g399 +sg29 +g30 +((lp484 +tp485 +Rp486 +sbsS'Property' +p487 +g1 +(g2 +g3 +(g173 +g487 +tp488 +tp489 +Rp490 +(dp491 +g9 +g399 +sg29 +g30 +((lp492 +tp493 +Rp494 +sbsS'Pseudo' +p495 +g1 +(g2 +g3 +(g173 +g495 +tp496 +tp497 +Rp498 +(dp499 +g9 +g399 +sg29 +g30 +((lp500 +tp501 +Rp502 +sbsS'Type' +p503 +g1 +(g2 +g3 +(g173 +g503 +tp504 +tp505 +Rp506 +(dp507 +g9 +g399 +sg29 +g30 +((lp508 +tp509 +Rp510 +sbsS'Classes' +p511 +g1 +(g2 +g3 +(g173 +g511 +tp512 +tp513 +Rp514 +(dp515 +g9 +g399 +sg29 +g30 +((lp516 +tp517 +Rp518 +sbsS'Tag' +p519 +g1 +(g2 +g3 +(g173 +g519 +tp520 +tp521 +Rp522 +(dp523 +g9 +g399 +sg29 +g30 +((lp524 +tp525 +Rp526 +sbsS'Constant' +p527 +g1 +(g2 +g3 +(g173 +g527 +tp528 +tp529 +Rp530 +(dp531 +g9 +g399 +sg29 +g30 +((lp532 +tp533 +Rp534 +sbsS'Function' +p535 +g1 +(g2 +g3 +(g173 +g535 +tp536 +tp537 +Rp538 +(dp539 +g9 +g399 +sg29 +g30 +((lp540 +g1 +(g2 +g3 +(g173 +g535 +g439 +tp541 +tp542 +Rp543 +(dp544 +g9 +g538 +sg29 +g30 +((lp545 +tp546 +Rp547 +sbatp548 +Rp549 +sg439 +g543 +sbsS'Blubb' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g399 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Label' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g399 +sg29 +g30 +((lp563 +tp564 +Rp565 +sbsS'Field' +p566 +g1 +(g2 +g3 +(g173 +g566 +tp567 +tp568 +Rp569 +(dp570 +g9 +g399 +sg29 +g30 +((lp571 +tp572 +Rp573 +sbsS'Exception' +p574 +g1 +(g2 +g3 +(g173 +g574 +tp575 +tp576 +Rp577 +(dp578 +g9 +g399 +sg29 +g30 +((lp579 +tp580 +Rp581 +sbsS'Namespace' +p582 +g1 +(g2 +g3 +(g173 +g582 +tp583 +tp584 +Rp585 +(dp586 +g9 +g399 +sg29 +g30 +((lp587 +tp588 +Rp589 +sbsg29 +g30 +((lp590 +g459 +ag553 +ag498 +ag467 +ag404 +ag577 +ag490 +ag522 +ag538 +ag514 +ag1 +(g2 +g3 +(g173 +g406 +tp591 +tp592 +Rp593 +(dp594 +g9 +g399 +sg469 +g1 +(g2 +g3 +(g173 +g406 +g469 +tp595 +tp596 +Rp597 +(dp598 +g9 +g593 +sg29 +g30 +((lp599 +tp600 +Rp601 +sbsg29 +g30 +((lp602 +g1 +(g2 +g3 +(g173 +g406 +S'Start' +p603 +tp604 +tp605 +Rp606 +(dp607 +g9 +g593 +sg29 +g30 +((lp608 +tp609 +Rp610 +sbag597 +atp611 +Rp612 +sg603 +g606 +sbag1 +(g2 +g3 +(g173 +g57 +tp613 +tp614 +Rp615 +(dp616 +g9 +g399 +sS'Member' +p617 +g1 +(g2 +g3 +(g173 +g57 +g617 +tp618 +tp619 +Rp620 +(dp621 +g9 +g615 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g620 +atp626 +Rp627 +sbag561 +ag451 +ag585 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p628 +tp629 +tp630 +Rp631 +(dp632 +g9 +g399 +sg401 +g1 +(g2 +g3 +(g173 +g628 +g401 +tp633 +tp634 +Rp635 +(dp636 +g9 +g631 +sg29 +g30 +((lp637 +tp638 +Rp639 +sbsg29 +g30 +((lp640 +g635 +atp641 +Rp642 +sbag530 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p643 +tp644 +tp645 +Rp646 +(dp647 +g9 +g399 +sg503 +g1 +(g2 +g3 +(g173 +g643 +g503 +tp648 +tp649 +Rp650 +(dp651 +g9 +g646 +sg29 +g30 +((lp652 +tp653 +Rp654 +sbsg29 +g30 +((lp655 +g1 +(g2 +g3 +(g173 +g643 +g495 +tp656 +tp657 +Rp658 +(dp659 +g9 +g646 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbag650 +atp663 +Rp664 +sg495 +g658 +sbag569 +ag506 +ag482 +atp665 +Rp666 +sg9 +g11 +sg406 +g593 +sg643 +g646 +sg628 +g631 +sg57 +g615 +sbsS'Punctuation' +p667 +g1 +(g2 +g3 +(g667 +tp668 +tp669 +Rp670 +(dp671 +g9 +g11 +sg29 +g30 +((lp672 +g1 +(g2 +g3 +(g667 +S'Indicator' +p673 +tp674 +tp675 +Rp676 +(dp677 +g9 +g670 +sg29 +g30 +((lp678 +tp679 +Rp680 +sbatp681 +Rp682 +sg673 +g676 +sbsS'Comment' +p683 +g1 +(g2 +g3 +(g683 +tp684 +tp685 +Rp686 +(dp687 +S'Multi' +p688 +g1 +(g2 +g3 +(g683 +g688 +tp689 +tp690 +Rp691 +(dp692 +g9 +g686 +sg29 +g30 +((lp693 +tp694 +Rp695 +sbsg9 +g11 +sS'Special' +p696 +g1 +(g2 +g3 +(g683 +g696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g686 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbsS'Hashbang' +p704 +g1 +(g2 +g3 +(g683 +g704 +tp705 +tp706 +Rp707 +(dp708 +g9 +g686 +sg29 +g30 +((lp709 +tp710 +Rp711 +sbsS'Preproc' +p712 +g1 +(g2 +g3 +(g683 +g712 +tp713 +tp714 +Rp715 +(dp716 +g9 +g686 +sg29 +g30 +((lp717 +tp718 +Rp719 +sbsg214 +g1 +(g2 +g3 +(g683 +g214 +tp720 +tp721 +Rp722 +(dp723 +g9 +g686 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Directive' +p727 +g1 +(g2 +g3 +(g683 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g686 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsg206 +g1 +(g2 +g3 +(g683 +g206 +tp735 +tp736 +Rp737 +(dp738 +g9 +g686 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsS'Singleline' +p742 +g1 +(g2 +g3 +(g683 +g742 +tp743 +tp744 +Rp745 +(dp746 +g9 +g686 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Multiline' +p750 +g1 +(g2 +g3 +(g683 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g686 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg29 +g30 +((lp758 +g737 +ag730 +ag707 +ag691 +ag745 +ag715 +ag753 +ag722 +ag1 +(g2 +g3 +(g683 +S'PreprocFile' +p759 +tp760 +tp761 +Rp762 +(dp763 +g9 +g686 +sg29 +g30 +((lp764 +tp765 +Rp766 +sbag1 +(g2 +g3 +(g683 +S'SingleLine' +p767 +tp768 +tp769 +Rp770 +(dp771 +g9 +g686 +sg29 +g30 +((lp772 +tp773 +Rp774 +sbag699 +atp775 +Rp776 +sg759 +g762 +sg767 +g770 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp777 +tp778 +Rp779 +(dp780 +g9 +g11 +sg29 +g30 +((lp781 +tp782 +Rp783 +sbsg370 +g1 +(g2 +g3 +(g370 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsS'Token' +p791 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp792 +tp793 +Rp794 +(dp795 +g9 +g11 +sg29 +g30 +((lp796 +tp797 +Rp798 +sbsg29 +g30 +((lp799 +g399 +ag779 +ag1 +(g2 +g3 +(S'Keyword' +p800 +tp801 +tp802 +Rp803 +(dp804 +g9 +g11 +sg503 +g1 +(g2 +g3 +(g800 +g503 +tp805 +tp806 +Rp807 +(dp808 +g9 +g803 +sg29 +g30 +((lp809 +tp810 +Rp811 +sbsS'Control' +p812 +g1 +(g2 +g3 +(g800 +g812 +tp813 +tp814 +Rp815 +(dp816 +g9 +g803 +sg29 +g30 +((lp817 +tp818 +Rp819 +sbsg527 +g1 +(g2 +g3 +(g800 +g527 +tp820 +tp821 +Rp822 +(dp823 +g9 +g803 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg582 +g1 +(g2 +g3 +(g800 +g582 +tp827 +tp828 +Rp829 +(dp830 +g9 +g803 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsS'PreProc' +p834 +g1 +(g2 +g3 +(g800 +g834 +tp835 +tp836 +Rp837 +(dp838 +g9 +g803 +sg29 +g30 +((lp839 +tp840 +Rp841 +sbsg495 +g1 +(g2 +g3 +(g800 +g495 +tp842 +tp843 +Rp844 +(dp845 +g9 +g803 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsS'Reserved' +p849 +g1 +(g2 +g3 +(g800 +g849 +tp850 +tp851 +Rp852 +(dp853 +g9 +g803 +sg29 +g30 +((lp854 +tp855 +Rp856 +sbsg29 +g30 +((lp857 +g829 +ag1 +(g2 +g3 +(g800 +S'Word' +p858 +tp859 +tp860 +Rp861 +(dp862 +g9 +g803 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbag815 +ag1 +(g2 +g3 +(g800 +S'Declaration' +p866 +tp867 +tp868 +Rp869 +(dp870 +g9 +g803 +sg29 +g30 +((lp871 +tp872 +Rp873 +sbag1 +(g2 +g3 +(g800 +g800 +tp874 +tp875 +Rp876 +(dp877 +g9 +g803 +sg29 +g30 +((lp878 +tp879 +Rp880 +sbag844 +ag822 +ag807 +ag852 +ag837 +atp881 +Rp882 +sg800 +g876 +sg866 +g869 +sg858 +g861 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p883 +tp884 +tp885 +Rp886 +(dp887 +S'Beer' +p888 +g1 +(g2 +g3 +(g883 +g888 +tp889 +tp890 +Rp891 +(dp892 +g9 +g886 +sg29 +g30 +((lp893 +tp894 +Rp895 +sbsS'Whitespace' +p896 +g1 +(g2 +g3 +(g883 +g896 +tp897 +tp898 +Rp899 +(dp900 +g9 +g886 +sg29 +g30 +((lp901 +tp902 +Rp903 +sbsg9 +g11 +sS'Root' +p904 +g1 +(g2 +g3 +(g883 +g904 +tp905 +tp906 +Rp907 +(dp908 +g9 +g886 +sg29 +g30 +((lp909 +tp910 +Rp911 +sbsg101 +g1 +(g2 +g3 +(g883 +g101 +tp912 +tp913 +Rp914 +(dp915 +g9 +g886 +sg29 +g30 +((lp916 +tp917 +Rp918 +sbsg667 +g1 +(g2 +g3 +(g883 +g667 +tp919 +tp920 +Rp921 +(dp922 +g9 +g886 +sg29 +g30 +((lp923 +tp924 +Rp925 +sbsg29 +g30 +((lp926 +g907 +ag914 +ag921 +ag899 +ag891 +ag1 +(g2 +g3 +(g883 +S'Rag' +p927 +tp928 +tp929 +Rp930 +(dp931 +g9 +g886 +sg29 +g30 +((lp932 +tp933 +Rp934 +sbatp935 +Rp936 +sg927 +g930 +sbag7 +ag794 +ag670 +ag686 +ag786 +ag39 +atp937 +Rp938 +sg800 +g803 +sg883 +g886 +sbsg469 +g1 +(g2 +g3 +(g4 +g469 +tp939 +tp940 +Rp941 +(dp942 +g9 +g7 +sg29 +g30 +((lp943 +tp944 +Rp945 +sbsg29 +g30 +((lp946 +g941 +ag1 +(g2 +g3 +(g4 +g858 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg858 +g949 +sbV\ubc24 +p956 +tp957 +a(g7 +V\ubc23 +p958 +tp959 +a(g7 +V\ub530 +p960 +tp961 +a(g7 +V\ube60 +p962 +tp963 +a(g7 +V\ubc23 +p964 +tp965 +a(g7 +V\ubc1f +p966 +tp967 +a(g7 +V\ub530 +p968 +tp969 +a(g7 +V\ubfcc +p970 +tp971 +a(g886 +V\u000a +p972 +tp973 +a(g7 +V\ube60 +p974 +tp975 +a(g7 +V\ub9e3 +p976 +tp977 +a(g7 +V\ud30c +p978 +tp979 +a(g7 +V\ube68 +p980 +tp981 +a(g7 +V\ubc1b +p982 +tp983 +a(g7 +V\ubc24 +p984 +tp985 +a(g7 +V\ub69c +p986 +tp987 +a(g7 +V\ubb4f +p988 +tp989 +a(g886 +V\u000a +p990 +tp991 +a(g7 +V\ub3cb +p992 +tp993 +a(g7 +V\ubc2c +p994 +tp995 +a(g7 +V\ud0d5 +p996 +tp997 +a(g7 +V\ube60 +p998 +tp999 +a(g7 +V\ub9e3 +p1000 +tp1001 +a(g7 +V\ubd8f +p1002 +tp1003 +a(g7 +V\ub450 +p1004 +tp1005 +a(g7 +V\ubd87 +p1006 +tp1007 +a(g886 +V\u000a +p1008 +tp1009 +a(g7 +V\ubcfb +p1010 +tp1011 +a(g7 +V\ubac3 +p1012 +tp1013 +a(g7 +V\ubc15 +p1014 +tp1015 +a(g7 +V\ubc1c +p1016 +tp1017 +a(g7 +V\ub6b7 +p1018 +tp1019 +a(g7 +V\ud22c +p1020 +tp1021 +a(g7 +V\ubb4f +p1022 +tp1023 +a(g7 +V\ubd96 +p1024 +tp1025 +a(g886 +V\u000a +p1026 +tp1027 +a(g7 +V\ubac3 +p1028 +tp1029 +a(g7 +V\ub3c4 +p1030 +tp1031 +a(g7 +V\ubac3 +p1032 +tp1033 +a(g7 +V\ud76c +p1034 +tp1035 +a(g7 +V\uba53 +p1036 +tp1037 +a(g7 +V\ubb4f +p1038 +tp1039 +a(g7 +V\ubb4f +p1040 +tp1041 +a(g7 +V\ubd98 +p1042 +tp1043 +a(g886 +V\u000a +p1044 +tp1045 +a(g7 +V\ubac3 +p1046 +tp1047 +a(g7 +V\ubd0c +p1048 +tp1049 +a(g7 +V\ud1a0 +p1050 +tp1051 +a(g7 +V\ubc94 +p1052 +tp1053 +a(g7 +V\ub354 +p1054 +tp1055 +a(g7 +V\ubc8c +p1056 +tp1057 +a(g7 +V\ubfcc +p1058 +tp1059 +a(g7 +V\ub69c +p1060 +tp1061 +a(g886 +V\u000a +p1062 +tp1063 +a(g7 +V\ubf51 +p1064 +tp1065 +a(g7 +V\ubf40 +p1066 +tp1067 +a(g7 +V\uba53 +p1068 +tp1069 +a(g7 +V\uba53 +p1070 +tp1071 +a(g7 +V\ub354 +p1072 +tp1073 +a(g7 +V\ubc93 +p1074 +tp1075 +a(g7 +V\ubed0 +p1076 +tp1077 +a(g7 +V\ub6a0 +p1078 +tp1079 +a(g886 +V\u000a +p1080 +tp1081 +a(g7 +V\ubf40 +p1082 +tp1083 +a(g7 +V\ub369 +p1084 +tp1085 +a(g7 +V\ubc90 +p1086 +tp1087 +a(g7 +V\uba53 +p1088 +tp1089 +a(g7 +V\ubed0 +p1090 +tp1091 +a(g7 +V\ub355 +p1092 +tp1093 +a(g7 +V\ub354 +p1094 +tp1095 +a(g7 +V\ubc85 +p1096 +tp1097 +a(g886 +V\u000a +p1098 +tp1099 +a(g886 +V\u000a +p1100 +tp1101 +a(g686 +Vh +p1102 +tp1103 +a(g686 +Vt +p1104 +tp1105 +a(g686 +g1104 +tp1106 +a(g686 +Vp +p1107 +tp1108 +a(g686 +Vs +p1109 +tp1110 +a(g686 +V: +p1111 +tp1112 +a(g686 +V/ +p1113 +tp1114 +a(g686 +g1113 +tp1115 +a(g686 +Vg +p1116 +tp1117 +a(g686 +Vi +p1118 +tp1119 +a(g686 +g1104 +tp1120 +a(g686 +g1102 +tp1121 +a(g686 +Vu +p1122 +tp1123 +a(g686 +Vb +p1124 +tp1125 +a(g686 +V. +p1126 +tp1127 +a(g686 +Vc +p1128 +tp1129 +a(g686 +Vo +p1130 +tp1131 +a(g686 +Vm +p1132 +tp1133 +a(g686 +g1113 +tp1134 +a(g686 +Va +p1135 +tp1136 +a(g686 +g1102 +tp1137 +a(g686 +Ve +p1138 +tp1139 +a(g686 +g1122 +tp1140 +a(g686 +g1118 +tp1141 +a(g686 +g1113 +tp1142 +a(g686 +g1109 +tp1143 +a(g686 +Vn +p1144 +tp1145 +a(g686 +g1118 +tp1146 +a(g686 +g1107 +tp1147 +a(g686 +g1107 +tp1148 +a(g686 +g1138 +tp1149 +a(g686 +g1104 +tp1150 +a(g686 +g1109 +tp1151 +a(g686 +g1113 +tp1152 +a(g686 +g1124 +tp1153 +a(g686 +Vl +p1154 +tp1155 +a(g686 +g1130 +tp1156 +a(g686 +g1124 +tp1157 +a(g686 +g1113 +tp1158 +a(g686 +g1132 +tp1159 +a(g686 +g1135 +tp1160 +a(g686 +g1109 +tp1161 +a(g686 +g1104 +tp1162 +a(g686 +g1138 +tp1163 +a(g686 +Vr +p1164 +tp1165 +a(g686 +g1113 +tp1166 +a(g686 +g1102 +tp1167 +a(g686 +g1138 +tp1168 +a(g686 +g1154 +tp1169 +a(g686 +g1154 +tp1170 +a(g686 +g1130 +tp1171 +a(g686 +V- +p1172 +tp1173 +a(g686 +Vw +p1174 +tp1175 +a(g686 +g1130 +tp1176 +a(g686 +g1164 +tp1177 +a(g686 +g1154 +tp1178 +a(g686 +Vd +p1179 +tp1180 +a(g686 +g1113 +tp1181 +a(g686 +g1102 +tp1182 +a(g686 +g1138 +tp1183 +a(g686 +g1154 +tp1184 +a(g686 +g1154 +tp1185 +a(g686 +g1130 +tp1186 +a(g686 +g1172 +tp1187 +a(g686 +g1174 +tp1188 +a(g686 +g1130 +tp1189 +a(g686 +g1164 +tp1190 +a(g686 +g1154 +tp1191 +a(g686 +g1179 +tp1192 +a(g686 +g1126 +tp1193 +a(g686 +g1107 +tp1194 +a(g686 +g1122 +tp1195 +a(g686 +Vz +p1196 +tp1197 +a(g686 +g1196 +tp1198 +a(g686 +g1154 +tp1199 +a(g686 +g1138 +tp1200 +a(g686 +g1104 +tp1201 +a(g686 +g1126 +tp1202 +a(g686 +g1135 +tp1203 +a(g686 +g1102 +tp1204 +a(g686 +g1138 +tp1205 +a(g686 +g1122 +tp1206 +a(g686 +g1118 +tp1207 +a(g886 +V\u000a +p1208 +tp1209 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hello.at b/tests/examplefiles/output/hello.at new file mode 100644 index 0000000..b02f8c3 --- /dev/null +++ b/tests/examplefiles/output/hello.at @@ -0,0 +1,2502 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVdef +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g428 +Vme +p960 +tp961 +a(g826 +g958 +tp962 +a(g400 +V:= +p963 +tp964 +a(g826 +g958 +tp965 +a(g669 +Vobject: +p966 +tp967 +a(g826 +g958 +tp968 +a(g693 +V{ +p969 +tp970 +a(g826 +V\u000a +p971 +tp972 +a(g7 +Vdef +p973 +tp974 +a(g826 +g958 +tp975 +a(g428 +Vname +p976 +tp977 +a(g826 +g958 +tp978 +a(g400 +V:= +p979 +tp980 +a(g826 +g958 +tp981 +a(g76 +V"Kevin" +p982 +tp983 +a(g693 +V; +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g7 +Vdef +p988 +tp989 +a(g826 +g958 +tp990 +a(g428 +VsayHello +p991 +tp992 +a(g693 +V( +p993 +tp994 +a(g428 +VpeerName +p995 +tp996 +a(g693 +V) +p997 +tp998 +a(g826 +g958 +tp999 +a(g693 +g969 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g428 +Vsystem +p1003 +tp1004 +a(g400 +V. +p1005 +tp1006 +a(g428 +Vprintln +p1007 +tp1008 +a(g693 +g993 +tp1009 +a(g428 +VpeerName +p1010 +tp1011 +a(g826 +g958 +tp1012 +a(g400 +V+ +p1013 +tp1014 +a(g826 +g958 +tp1015 +a(g76 +V" says hello!" +p1016 +tp1017 +a(g693 +g997 +tp1018 +a(g693 +g984 +tp1019 +a(g826 +V\u000a +p1020 +tp1021 +a(g693 +V} +p1022 +tp1023 +a(g693 +g984 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g693 +g1022 +tp1027 +a(g693 +g984 +tp1028 +a(g826 +V\u000a +p1029 +tp1030 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hello.golo b/tests/examplefiles/output/hello.golo new file mode 100644 index 0000000..5fc7f82 --- /dev/null +++ b/tests/examplefiles/output/hello.golo @@ -0,0 +1,2437 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVmodule +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g612 +Vhello.World +p960 +tp961 +a(g830 +V\u000a +p962 +tp963 +a(g830 +V\u000a +p964 +tp965 +a(g939 +Vfunction +p966 +tp967 +a(g830 +g958 +tp968 +a(g566 +Vmain +p969 +tp970 +a(g830 +g958 +tp971 +a(g405 +V= +p972 +tp973 +a(g830 +g958 +tp974 +a(g697 +V| +p975 +tp976 +a(g428 +Vargs +p977 +tp978 +a(g697 +g975 +tp979 +a(g830 +g958 +tp980 +a(g697 +V{ +p981 +tp982 +a(g830 +V\u000a +p983 +tp984 +a(g830 +V +p985 +tp986 +a(g673 +Vprintln +p987 +tp988 +a(g697 +V( +p989 +tp990 +a(g81 +V" +p991 +tp992 +a(g81 +VHello world! +p993 +tp994 +a(g149 +g991 +tp995 +a(g697 +V) +p996 +tp997 +a(g830 +V\u000a +p998 +tp999 +a(g697 +V} +p1000 +tp1001 +a(g830 +V\u000a +p1002 +tp1003 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hello.lsl b/tests/examplefiles/output/hello.lsl new file mode 100644 index 0000000..6be07ef --- /dev/null +++ b/tests/examplefiles/output/hello.lsl @@ -0,0 +1,2537 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Class' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sg5 +g1 +(g2 +g3 +(g4 +g15 +g5 +tp20 +tp21 +Rp22 +(dp23 +g10 +g18 +sS'subtypes' +p24 +c__builtin__ +set +p25 +((lp26 +tp27 +Rp28 +sbsS'Anonymous' +p29 +g1 +(g2 +g3 +(g4 +g15 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g18 +sg24 +g25 +((lp34 +tp35 +Rp36 +sbsS'Instance' +p37 +g1 +(g2 +g3 +(g4 +g15 +g37 +tp38 +tp39 +Rp40 +(dp41 +g10 +g18 +sg24 +g25 +((lp42 +tp43 +Rp44 +sbsS'Global' +p45 +g1 +(g2 +g3 +(g4 +g15 +g45 +tp46 +tp47 +Rp48 +(dp49 +g10 +g18 +sg24 +g25 +((lp50 +tp51 +Rp52 +sbsg24 +g25 +((lp53 +g32 +ag40 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g18 +sg24 +g25 +((lp59 +tp60 +Rp61 +sbag48 +ag22 +atp62 +Rp63 +sg54 +g57 +sbsS'Operator' +p64 +g1 +(g2 +g3 +(g4 +g64 +tp65 +tp66 +Rp67 +(dp68 +g10 +g13 +sg24 +g25 +((lp69 +tp70 +Rp71 +sbsS'Decorator' +p72 +g1 +(g2 +g3 +(g4 +g72 +tp73 +tp74 +Rp75 +(dp76 +g10 +g13 +sg24 +g25 +((lp77 +tp78 +Rp79 +sbsS'Entity' +p80 +g1 +(g2 +g3 +(g4 +g80 +tp81 +tp82 +Rp83 +(dp84 +g10 +g13 +sS'DBS' +p85 +g1 +(g2 +g3 +(g4 +g80 +g85 +tp86 +tp87 +Rp88 +(dp89 +g10 +g83 +sg24 +g25 +((lp90 +tp91 +Rp92 +sbsg24 +g25 +((lp93 +g88 +atp94 +Rp95 +sbsS'Symbol' +p96 +g1 +(g2 +g3 +(g4 +g96 +tp97 +tp98 +Rp99 +(dp100 +g10 +g13 +sg24 +g25 +((lp101 +tp102 +Rp103 +sbsS'Property' +p104 +g1 +(g2 +g3 +(g4 +g104 +tp105 +tp106 +Rp107 +(dp108 +g10 +g13 +sg24 +g25 +((lp109 +tp110 +Rp111 +sbsS'Pseudo' +p112 +g1 +(g2 +g3 +(g4 +g112 +tp113 +tp114 +Rp115 +(dp116 +g10 +g13 +sg24 +g25 +((lp117 +tp118 +Rp119 +sbsS'Type' +p120 +g1 +(g2 +g3 +(g4 +g120 +tp121 +tp122 +Rp123 +(dp124 +g10 +g13 +sg24 +g25 +((lp125 +tp126 +Rp127 +sbsS'Classes' +p128 +g1 +(g2 +g3 +(g4 +g128 +tp129 +tp130 +Rp131 +(dp132 +g10 +g13 +sg24 +g25 +((lp133 +tp134 +Rp135 +sbsS'Tag' +p136 +g1 +(g2 +g3 +(g4 +g136 +tp137 +tp138 +Rp139 +(dp140 +g10 +g13 +sg24 +g25 +((lp141 +tp142 +Rp143 +sbsS'Constant' +p144 +g1 +(g2 +g3 +(g4 +g144 +tp145 +tp146 +Rp147 +(dp148 +g10 +g13 +sg24 +g25 +((lp149 +tp150 +Rp151 +sbsS'Function' +p152 +g1 +(g2 +g3 +(g4 +g152 +tp153 +tp154 +Rp155 +(dp156 +g10 +g13 +sg24 +g25 +((lp157 +g1 +(g2 +g3 +(g4 +g152 +g54 +tp158 +tp159 +Rp160 +(dp161 +g10 +g155 +sg24 +g25 +((lp162 +tp163 +Rp164 +sbatp165 +Rp166 +sg54 +g160 +sbsS'Blubb' +p167 +g1 +(g2 +g3 +(g4 +g167 +tp168 +tp169 +Rp170 +(dp171 +g10 +g13 +sg24 +g25 +((lp172 +tp173 +Rp174 +sbsS'Label' +p175 +g1 +(g2 +g3 +(g4 +g175 +tp176 +tp177 +Rp178 +(dp179 +g10 +g13 +sg24 +g25 +((lp180 +tp181 +Rp182 +sbsS'Field' +p183 +g1 +(g2 +g3 +(g4 +g183 +tp184 +tp185 +Rp186 +(dp187 +g10 +g13 +sg24 +g25 +((lp188 +tp189 +Rp190 +sbsS'Exception' +p191 +g1 +(g2 +g3 +(g4 +g191 +tp192 +tp193 +Rp194 +(dp195 +g10 +g13 +sg24 +g25 +((lp196 +tp197 +Rp198 +sbsS'Namespace' +p199 +g1 +(g2 +g3 +(g4 +g199 +tp200 +tp201 +Rp202 +(dp203 +g10 +g13 +sg24 +g25 +((lp204 +tp205 +Rp206 +sbsg24 +g25 +((lp207 +g75 +ag170 +ag115 +ag83 +ag18 +ag194 +ag107 +ag139 +ag155 +ag131 +ag8 +ag1 +(g2 +g3 +(g4 +S'Other' +p208 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sS'Member' +p213 +g1 +(g2 +g3 +(g4 +g208 +g213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g211 +sg24 +g25 +((lp218 +tp219 +Rp220 +sbsg24 +g25 +((lp221 +g216 +atp222 +Rp223 +sbag178 +ag67 +ag202 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p224 +tp225 +tp226 +Rp227 +(dp228 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g224 +g15 +tp229 +tp230 +Rp231 +(dp232 +g10 +g227 +sg24 +g25 +((lp233 +tp234 +Rp235 +sbsg24 +g25 +((lp236 +g231 +atp237 +Rp238 +sbag147 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg120 +g1 +(g2 +g3 +(g4 +g239 +g120 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg24 +g25 +((lp248 +tp249 +Rp250 +sbsg24 +g25 +((lp251 +g1 +(g2 +g3 +(g4 +g239 +g112 +tp252 +tp253 +Rp254 +(dp255 +g10 +g242 +sg24 +g25 +((lp256 +tp257 +Rp258 +sbag246 +atp259 +Rp260 +sg112 +g254 +sbag186 +ag123 +ag99 +atp261 +Rp262 +sg10 +g1 +(g2 +g3 +(ttp263 +Rp264 +(dp265 +S'Number' +p266 +g1 +(g2 +g3 +(S'Literal' +p267 +g266 +tp268 +tp269 +Rp270 +(dp271 +S'Integer' +p272 +g1 +(g2 +g3 +(g267 +g266 +g272 +tp273 +tp274 +Rp275 +(dp276 +g10 +g270 +sS'Long' +p277 +g1 +(g2 +g3 +(g267 +g266 +g272 +g277 +tp278 +tp279 +Rp280 +(dp281 +g10 +g275 +sg24 +g25 +((lp282 +tp283 +Rp284 +sbsg24 +g25 +((lp285 +g280 +atp286 +Rp287 +sbsg10 +g1 +(g2 +g3 +(g267 +tp288 +tp289 +Rp290 +(dp291 +S'Scalar' +p292 +g1 +(g2 +g3 +(g267 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg24 +g25 +((lp297 +g1 +(g2 +g3 +(g267 +g292 +S'Plain' +p298 +tp299 +tp300 +Rp301 +(dp302 +g10 +g295 +sg24 +g25 +((lp303 +tp304 +Rp305 +sbatp306 +Rp307 +sg298 +g301 +sbsg266 +g270 +sg10 +g264 +sg208 +g1 +(g2 +g3 +(g267 +g208 +tp308 +tp309 +Rp310 +(dp311 +g10 +g290 +sg24 +g25 +((lp312 +tp313 +Rp314 +sbsS'Char' +p315 +g1 +(g2 +g3 +(g267 +g315 +tp316 +tp317 +Rp318 +(dp319 +g10 +g290 +sg24 +g25 +((lp320 +tp321 +Rp322 +sbsS'String' +p323 +g1 +(g2 +g3 +(g267 +g323 +tp324 +tp325 +Rp326 +(dp327 +g315 +g1 +(g2 +g3 +(g267 +g323 +g315 +tp328 +tp329 +Rp330 +(dp331 +g10 +g326 +sg24 +g25 +((lp332 +tp333 +Rp334 +sbsS'Backtick' +p335 +g1 +(g2 +g3 +(g267 +g323 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g326 +sg24 +g25 +((lp340 +tp341 +Rp342 +sbsS'Heredoc' +p343 +g1 +(g2 +g3 +(g267 +g323 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g326 +sg24 +g25 +((lp348 +tp349 +Rp350 +sbsg96 +g1 +(g2 +g3 +(g267 +g323 +g96 +tp351 +tp352 +Rp353 +(dp354 +g10 +g326 +sg24 +g25 +((lp355 +tp356 +Rp357 +sbsS'Interpol' +p358 +g1 +(g2 +g3 +(g267 +g323 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g326 +sg24 +g25 +((lp363 +tp364 +Rp365 +sbsS'Delimiter' +p366 +g1 +(g2 +g3 +(g267 +g323 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g326 +sg24 +g25 +((lp371 +tp372 +Rp373 +sbsS'Boolean' +p374 +g1 +(g2 +g3 +(g267 +g323 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g326 +sg24 +g25 +((lp379 +tp380 +Rp381 +sbsS'Character' +p382 +g1 +(g2 +g3 +(g267 +g323 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g326 +sg24 +g25 +((lp387 +tp388 +Rp389 +sbsS'Double' +p390 +g1 +(g2 +g3 +(g267 +g323 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g326 +sg24 +g25 +((lp395 +tp396 +Rp397 +sbsS'Delimeter' +p398 +g1 +(g2 +g3 +(g267 +g323 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g326 +sg24 +g25 +((lp403 +tp404 +Rp405 +sbsS'Atom' +p406 +g1 +(g2 +g3 +(g267 +g323 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g326 +sg24 +g25 +((lp411 +tp412 +Rp413 +sbsS'Affix' +p414 +g1 +(g2 +g3 +(g267 +g323 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g326 +sg24 +g25 +((lp419 +tp420 +Rp421 +sbsg4 +g1 +(g2 +g3 +(g267 +g323 +g4 +tp422 +tp423 +Rp424 +(dp425 +g10 +g326 +sg24 +g25 +((lp426 +tp427 +Rp428 +sbsS'Regex' +p429 +g1 +(g2 +g3 +(g267 +g323 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g326 +sg24 +g25 +((lp434 +tp435 +Rp436 +sbsS'Interp' +p437 +g1 +(g2 +g3 +(g267 +g323 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g326 +sg24 +g25 +((lp442 +tp443 +Rp444 +sbsS'Escape' +p445 +g1 +(g2 +g3 +(g267 +g323 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g326 +sg24 +g25 +((lp450 +tp451 +Rp452 +sbsg24 +g25 +((lp453 +g369 +ag353 +ag432 +ag1 +(g2 +g3 +(g267 +g323 +S'Doc' +p454 +tp455 +tp456 +Rp457 +(dp458 +g10 +g326 +sg24 +g25 +((lp459 +tp460 +Rp461 +sbag385 +ag377 +ag393 +ag361 +ag409 +ag401 +ag424 +ag448 +ag1 +(g2 +g3 +(g267 +g323 +S'Single' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g326 +sg24 +g25 +((lp467 +tp468 +Rp469 +sbag1 +(g2 +g3 +(g267 +g323 +g208 +tp470 +tp471 +Rp472 +(dp473 +g10 +g326 +sg24 +g25 +((lp474 +tp475 +Rp476 +sbag440 +ag338 +ag417 +ag1 +(g2 +g3 +(g267 +g323 +S'Moment' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g326 +sg24 +g25 +((lp482 +tp483 +Rp484 +sbag330 +ag346 +atp485 +Rp486 +sg462 +g465 +sg477 +g480 +sg10 +g290 +sg208 +g472 +sg454 +g457 +sbsg24 +g25 +((lp487 +g326 +ag318 +ag310 +ag1 +(g2 +g3 +(g267 +S'Date' +p488 +tp489 +tp490 +Rp491 +(dp492 +g10 +g290 +sg24 +g25 +((lp493 +tp494 +Rp495 +sbag295 +ag270 +atp496 +Rp497 +sg488 +g491 +sbsS'Bin' +p498 +g1 +(g2 +g3 +(g267 +g266 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g270 +sg24 +g25 +((lp503 +tp504 +Rp505 +sbsS'Radix' +p506 +g1 +(g2 +g3 +(g267 +g266 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g270 +sg24 +g25 +((lp511 +tp512 +Rp513 +sbsS'Oct' +p514 +g1 +(g2 +g3 +(g267 +g266 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g270 +sg24 +g25 +((lp519 +tp520 +Rp521 +sbsS'Dec' +p522 +g1 +(g2 +g3 +(g267 +g266 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g270 +sg24 +g25 +((lp527 +tp528 +Rp529 +sbsS'Hex' +p530 +g1 +(g2 +g3 +(g267 +g266 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g270 +sg24 +g25 +((lp535 +tp536 +Rp537 +sbsg24 +g25 +((lp538 +g275 +ag509 +ag525 +ag1 +(g2 +g3 +(g267 +g266 +S'Decimal' +p539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g270 +sg24 +g25 +((lp544 +tp545 +Rp546 +sbag501 +ag1 +(g2 +g3 +(g267 +g266 +S'Float' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g270 +sg24 +g25 +((lp552 +tp553 +Rp554 +sbag517 +ag533 +atp555 +Rp556 +sg539 +g542 +sg547 +g550 +sbsS'Generic' +p557 +g1 +(g2 +g3 +(g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g264 +sS'Deleted' +p562 +g1 +(g2 +g3 +(g557 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g560 +sg24 +g25 +((lp567 +tp568 +Rp569 +sbsS'Subheading' +p570 +g1 +(g2 +g3 +(g557 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g560 +sg24 +g25 +((lp575 +tp576 +Rp577 +sbsS'Heading' +p578 +g1 +(g2 +g3 +(g557 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g560 +sg24 +g25 +((lp583 +tp584 +Rp585 +sbsS'Emph' +p586 +g1 +(g2 +g3 +(g557 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g560 +sg24 +g25 +((lp591 +tp592 +Rp593 +sbsS'Prompt' +p594 +g1 +(g2 +g3 +(g557 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g560 +sg24 +g25 +((lp599 +tp600 +Rp601 +sbsS'Inserted' +p602 +g1 +(g2 +g3 +(g557 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g560 +sg24 +g25 +((lp607 +tp608 +Rp609 +sbsS'Strong' +p610 +g1 +(g2 +g3 +(g557 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g560 +sg24 +g25 +((lp615 +tp616 +Rp617 +sbsS'Error' +p618 +g1 +(g2 +g3 +(g557 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g560 +sg24 +g25 +((lp623 +tp624 +Rp625 +sbsS'Traceback' +p626 +g1 +(g2 +g3 +(g557 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g560 +sg24 +g25 +((lp631 +tp632 +Rp633 +sbsg24 +g25 +((lp634 +g581 +ag573 +ag1 +(g2 +g3 +(g557 +S'Output' +p635 +tp636 +tp637 +Rp638 +(dp639 +g10 +g560 +sg24 +g25 +((lp640 +tp641 +Rp642 +sbag613 +ag589 +ag621 +ag629 +ag605 +ag597 +ag565 +atp643 +Rp644 +sg635 +g638 +sbsg64 +g1 +(g2 +g3 +(g64 +tp645 +tp646 +Rp647 +(dp648 +g10 +g264 +sg85 +g1 +(g2 +g3 +(g64 +g85 +tp649 +tp650 +Rp651 +(dp652 +g10 +g647 +sg24 +g25 +((lp653 +tp654 +Rp655 +sbsg24 +g25 +((lp656 +g651 +ag1 +(g2 +g3 +(g64 +S'Word' +p657 +tp658 +tp659 +Rp660 +(dp661 +g10 +g647 +sg24 +g25 +((lp662 +tp663 +Rp664 +sbatp665 +Rp666 +sg657 +g660 +sbsg323 +g326 +sg4 +g13 +sS'Punctuation' +p667 +g1 +(g2 +g3 +(g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g264 +sg24 +g25 +((lp672 +g1 +(g2 +g3 +(g667 +S'Indicator' +p673 +tp674 +tp675 +Rp676 +(dp677 +g10 +g670 +sg24 +g25 +((lp678 +tp679 +Rp680 +sbatp681 +Rp682 +sg673 +g676 +sbsS'Comment' +p683 +g1 +(g2 +g3 +(g683 +tp684 +tp685 +Rp686 +(dp687 +S'Multi' +p688 +g1 +(g2 +g3 +(g683 +g688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g686 +sg24 +g25 +((lp693 +tp694 +Rp695 +sbsg10 +g264 +sS'Special' +p696 +g1 +(g2 +g3 +(g683 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g686 +sg24 +g25 +((lp701 +tp702 +Rp703 +sbsS'Hashbang' +p704 +g1 +(g2 +g3 +(g683 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g686 +sg24 +g25 +((lp709 +tp710 +Rp711 +sbsS'Preproc' +p712 +g1 +(g2 +g3 +(g683 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g686 +sg24 +g25 +((lp717 +tp718 +Rp719 +sbsg462 +g1 +(g2 +g3 +(g683 +g462 +tp720 +tp721 +Rp722 +(dp723 +g10 +g686 +sg24 +g25 +((lp724 +tp725 +Rp726 +sbsS'Directive' +p727 +g1 +(g2 +g3 +(g683 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g686 +sg24 +g25 +((lp732 +tp733 +Rp734 +sbsg454 +g1 +(g2 +g3 +(g683 +g454 +tp735 +tp736 +Rp737 +(dp738 +g10 +g686 +sg24 +g25 +((lp739 +tp740 +Rp741 +sbsS'Singleline' +p742 +g1 +(g2 +g3 +(g683 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g686 +sg24 +g25 +((lp747 +tp748 +Rp749 +sbsS'Multiline' +p750 +g1 +(g2 +g3 +(g683 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g686 +sg24 +g25 +((lp755 +tp756 +Rp757 +sbsg24 +g25 +((lp758 +g737 +ag730 +ag707 +ag691 +ag745 +ag715 +ag753 +ag722 +ag1 +(g2 +g3 +(g683 +S'PreprocFile' +p759 +tp760 +tp761 +Rp762 +(dp763 +g10 +g686 +sg24 +g25 +((lp764 +tp765 +Rp766 +sbag1 +(g2 +g3 +(g683 +S'SingleLine' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g686 +sg24 +g25 +((lp772 +tp773 +Rp774 +sbag699 +atp775 +Rp776 +sg759 +g762 +sg767 +g770 +sbsg267 +g290 +sg208 +g1 +(g2 +g3 +(g208 +tp777 +tp778 +Rp779 +(dp780 +g10 +g264 +sg24 +g25 +((lp781 +tp782 +Rp783 +sbsg618 +g1 +(g2 +g3 +(g618 +tp784 +tp785 +Rp786 +(dp787 +g10 +g264 +sg24 +g25 +((lp788 +tp789 +Rp790 +sbsS'Token' +p791 +g264 +sg445 +g1 +(g2 +g3 +(g445 +tp792 +tp793 +Rp794 +(dp795 +g10 +g264 +sg24 +g25 +((lp796 +tp797 +Rp798 +sbsg24 +g25 +((lp799 +g13 +ag779 +ag1 +(g2 +g3 +(S'Keyword' +p800 +tp801 +tp802 +Rp803 +(dp804 +g10 +g264 +sg120 +g1 +(g2 +g3 +(g800 +g120 +tp805 +tp806 +Rp807 +(dp808 +g10 +g803 +sg24 +g25 +((lp809 +tp810 +Rp811 +sbsS'Control' +p812 +g1 +(g2 +g3 +(g800 +g812 +tp813 +tp814 +Rp815 +(dp816 +g10 +g803 +sg24 +g25 +((lp817 +tp818 +Rp819 +sbsg144 +g1 +(g2 +g3 +(g800 +g144 +tp820 +tp821 +Rp822 +(dp823 +g10 +g803 +sg24 +g25 +((lp824 +tp825 +Rp826 +sbsg199 +g1 +(g2 +g3 +(g800 +g199 +tp827 +tp828 +Rp829 +(dp830 +g10 +g803 +sg24 +g25 +((lp831 +tp832 +Rp833 +sbsS'PreProc' +p834 +g1 +(g2 +g3 +(g800 +g834 +tp835 +tp836 +Rp837 +(dp838 +g10 +g803 +sg24 +g25 +((lp839 +tp840 +Rp841 +sbsg112 +g1 +(g2 +g3 +(g800 +g112 +tp842 +tp843 +Rp844 +(dp845 +g10 +g803 +sg24 +g25 +((lp846 +tp847 +Rp848 +sbsS'Reserved' +p849 +g1 +(g2 +g3 +(g800 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g803 +sg24 +g25 +((lp854 +tp855 +Rp856 +sbsg24 +g25 +((lp857 +g829 +ag1 +(g2 +g3 +(g800 +g657 +tp858 +tp859 +Rp860 +(dp861 +g10 +g803 +sg24 +g25 +((lp862 +tp863 +Rp864 +sbag815 +ag1 +(g2 +g3 +(g800 +S'Declaration' +p865 +tp866 +tp867 +Rp868 +(dp869 +g10 +g803 +sg24 +g25 +((lp870 +tp871 +Rp872 +sbag1 +(g2 +g3 +(g800 +g800 +tp873 +tp874 +Rp875 +(dp876 +g10 +g803 +sg24 +g25 +((lp877 +tp878 +Rp879 +sbag844 +ag822 +ag807 +ag852 +ag837 +atp880 +Rp881 +sg800 +g875 +sg865 +g868 +sg657 +g860 +sbag560 +ag1 +(g2 +g3 +(S'Text' +p882 +tp883 +tp884 +Rp885 +(dp886 +S'Beer' +p887 +g1 +(g2 +g3 +(g882 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g885 +sg24 +g25 +((lp892 +tp893 +Rp894 +sbsS'Whitespace' +p895 +g1 +(g2 +g3 +(g882 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g885 +sg24 +g25 +((lp900 +tp901 +Rp902 +sbsg10 +g264 +sS'Root' +p903 +g1 +(g2 +g3 +(g882 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g885 +sg24 +g25 +((lp908 +tp909 +Rp910 +sbsg96 +g1 +(g2 +g3 +(g882 +g96 +tp911 +tp912 +Rp913 +(dp914 +g10 +g885 +sg24 +g25 +((lp915 +tp916 +Rp917 +sbsg667 +g1 +(g2 +g3 +(g882 +g667 +tp918 +tp919 +Rp920 +(dp921 +g10 +g885 +sg24 +g25 +((lp922 +tp923 +Rp924 +sbsg24 +g25 +((lp925 +g906 +ag913 +ag920 +ag898 +ag890 +ag1 +(g2 +g3 +(g882 +S'Rag' +p926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g885 +sg24 +g25 +((lp931 +tp932 +Rp933 +sbatp934 +Rp935 +sg926 +g929 +sbag647 +ag794 +ag670 +ag686 +ag786 +ag290 +atp936 +Rp937 +sg800 +g803 +sg882 +g885 +sbsg5 +g8 +sg239 +g242 +sg224 +g227 +sg208 +g211 +sbsg85 +g1 +(g2 +g3 +(g4 +g5 +g85 +tp938 +tp939 +Rp940 +(dp941 +g10 +g8 +sg24 +g25 +((lp942 +tp943 +Rp944 +sbsg24 +g25 +((lp945 +g1 +(g2 +g3 +(g4 +g5 +S'Start' +p946 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg24 +g25 +((lp951 +tp952 +Rp953 +sbag940 +atp954 +Rp955 +sg946 +g949 +sbVdefault +p956 +tp957 +a(g898 +V\u000a +p958 +tp959 +a(g670 +V{ +p960 +tp961 +a(g898 +V\u000a +p962 +tp963 +a(g898 +V +p964 +tp965 +a(g242 +Vstate_entry +p966 +tp967 +a(g670 +V( +p968 +tp969 +a(g670 +V) +p970 +tp971 +a(g898 +V\u000a +p972 +tp973 +a(g898 +V +p974 +tp975 +a(g670 +g960 +tp976 +a(g898 +V\u000a +p977 +tp978 +a(g898 +V +p979 +tp980 +a(g155 +VllSay +p981 +tp982 +a(g670 +g968 +tp983 +a(g275 +V0 +p984 +tp985 +a(g670 +V, +p986 +tp987 +a(g898 +V +p988 +tp989 +a(g393 +V" +p990 +tp991 +a(g393 +VHello, Avatar! +p992 +tp993 +a(g393 +g990 +tp994 +a(g670 +g970 +tp995 +a(g670 +V; +p996 +tp997 +a(g898 +V\u000a +p998 +tp999 +a(g898 +V +p1000 +tp1001 +a(g670 +V} +p1002 +tp1003 +a(g898 +V\u000a +p1004 +tp1005 +a(g898 +V \u000a +p1006 +tp1007 +a(g242 +Vtouch_start +p1008 +tp1009 +a(g670 +g968 +tp1010 +a(g807 +Vinteger +p1011 +tp1012 +a(g898 +g988 +tp1013 +a(g18 +Vtotal_number +p1014 +tp1015 +a(g670 +g970 +tp1016 +a(g898 +V\u000a +p1017 +tp1018 +a(g898 +V +p1019 +tp1020 +a(g670 +g960 +tp1021 +a(g898 +V\u000a +p1022 +tp1023 +a(g898 +V +p1024 +tp1025 +a(g155 +VllSay +p1026 +tp1027 +a(g670 +g968 +tp1028 +a(g275 +g984 +tp1029 +a(g670 +g986 +tp1030 +a(g898 +g988 +tp1031 +a(g393 +g990 +tp1032 +a(g393 +VTouched. +p1033 +tp1034 +a(g393 +g990 +tp1035 +a(g670 +g970 +tp1036 +a(g670 +g996 +tp1037 +a(g898 +V\u000a +p1038 +tp1039 +a(g898 +V +p1040 +tp1041 +a(g670 +g1002 +tp1042 +a(g898 +V\u000a +p1043 +tp1044 +a(g670 +g1002 +tp1045 +a(g898 +V\u000a +p1046 +tp1047 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hello.smali b/tests/examplefiles/output/hello.smali new file mode 100644 index 0000000..c2893fc --- /dev/null +++ b/tests/examplefiles/output/hello.smali @@ -0,0 +1,2927 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# To Recreate:\u000a +p956 +tp957 +a(g7 +V#\u000a +p958 +tp959 +a(g7 +V# echo -e 'class hello {\u005cn public static void main(String[] args) {\u005cn\u000a +p960 +tp961 +a(g7 +V# System.out.println("hi");\u005cn }\u005cn}\u005cn' > hello.java\u000a +p962 +tp963 +a(g7 +V# javac -target 1.4 -source 1.4 hello.java\u000a +p964 +tp965 +a(g7 +V# dx --dex --output=hello.dex hello.class\u000a +p966 +tp967 +a(g7 +V# baksmali hello.dex\u000a +p968 +tp969 +a(g7 +V# cat out/hello.smali\u000a +p970 +tp971 +a(g822 +V\u000a +p972 +tp973 +a(g740 +V.class +p974 +tp975 +a(g822 +V +p976 +tp977 +a(g744 +VL +p978 +tp979 +a(g624 +Vhello +p980 +tp981 +a(g822 +V; +p982 +tp983 +a(g822 +V\u000a +p984 +tp985 +a(g740 +V.super +p986 +tp987 +a(g822 +g976 +tp988 +a(g744 +g978 +tp989 +a(g822 +Vjava/lang/ +p990 +tp991 +a(g624 +VObject +p992 +tp993 +a(g822 +g982 +tp994 +a(g822 +V\u000a +p995 +tp996 +a(g740 +V.source +p997 +tp998 +a(g822 +g976 +tp999 +a(g84 +V"hello.java" +p1000 +tp1001 +a(g822 +V\u000a +p1002 +tp1003 +a(g822 +V\u000a +p1004 +tp1005 +a(g822 +V\u000a +p1006 +tp1007 +a(g7 +V# direct methods\u000a +p1008 +tp1009 +a(g740 +V.method +p1010 +tp1011 +a(g822 +g976 +tp1012 +a(g740 +Vconstructor +p1013 +tp1014 +a(g822 +g976 +tp1015 +a(g569 +V +p1016 +tp1017 +a(g701 +V( +p1018 +tp1019 +a(g701 +V) +p1020 +tp1021 +a(g744 +VV +p1022 +tp1023 +a(g822 +V\u000a +p1024 +tp1025 +a(g740 +V .registers +p1026 +tp1027 +a(g822 +g976 +tp1028 +a(g32 +V1 +p1029 +tp1030 +a(g822 +V\u000a +p1031 +tp1032 +a(g822 +V\u000a +p1033 +tp1034 +a(g740 +V .prologue +p1035 +tp1036 +a(g822 +V\u000a +p1037 +tp1038 +a(g740 +V .line +p1039 +tp1040 +a(g822 +g976 +tp1041 +a(g32 +g1029 +tp1042 +a(g822 +V\u000a +p1043 +tp1044 +a(g822 +V +p1045 +tp1046 +a(g822 +Vinvoke-direct +p1047 +tp1048 +a(g701 +V{ +p1049 +tp1050 +a(g677 +Vp0 +p1051 +tp1052 +a(g701 +V} +p1053 +tp1054 +a(g701 +V, +p1055 +tp1056 +a(g822 +g976 +tp1057 +a(g744 +g978 +tp1058 +a(g822 +Vjava/lang/ +p1059 +tp1060 +a(g624 +VObject +p1061 +tp1062 +a(g822 +g982 +tp1063 +a(g701 +V-> +p1064 +tp1065 +a(g569 +V +p1066 +tp1067 +a(g701 +g1018 +tp1068 +a(g701 +g1020 +tp1069 +a(g744 +g1022 +tp1070 +a(g822 +V\u000a +p1071 +tp1072 +a(g822 +V\u000a +p1073 +tp1074 +a(g822 +V +p1075 +tp1076 +a(g822 +Vreturn-void\u000a +p1077 +tp1078 +a(g740 +V.end method +p1079 +tp1080 +a(g822 +V\u000a +p1081 +tp1082 +a(g822 +V\u000a +p1083 +tp1084 +a(g740 +V.method +p1085 +tp1086 +a(g822 +g976 +tp1087 +a(g740 +Vpublic +p1088 +tp1089 +a(g822 +g976 +tp1090 +a(g740 +Vstatic +p1091 +tp1092 +a(g822 +g976 +tp1093 +a(g569 +Vmain +p1094 +tp1095 +a(g701 +g1018 +tp1096 +a(g744 +V[ +p1097 +tp1098 +a(g744 +g978 +tp1099 +a(g822 +Vjava/lang/ +p1100 +tp1101 +a(g624 +VString +p1102 +tp1103 +a(g822 +g982 +tp1104 +a(g701 +g1020 +tp1105 +a(g744 +g1022 +tp1106 +a(g822 +V\u000a +p1107 +tp1108 +a(g740 +V .registers +p1109 +tp1110 +a(g822 +g976 +tp1111 +a(g32 +V3 +p1112 +tp1113 +a(g822 +V\u000a +p1114 +tp1115 +a(g740 +V .parameter +p1116 +tp1117 +a(g822 +V\u000a +p1118 +tp1119 +a(g822 +V\u000a +p1120 +tp1121 +a(g740 +V .prologue +p1122 +tp1123 +a(g822 +V\u000a +p1124 +tp1125 +a(g740 +V .line +p1126 +tp1127 +a(g822 +g976 +tp1128 +a(g32 +g1112 +tp1129 +a(g822 +V\u000a +p1130 +tp1131 +a(g822 +V +p1132 +tp1133 +a(g822 +Vsget-object +p1134 +tp1135 +a(g677 +Vv0 +p1136 +tp1137 +a(g701 +g1055 +tp1138 +a(g822 +g976 +tp1139 +a(g744 +g978 +tp1140 +a(g822 +Vjava/lang/ +p1141 +tp1142 +a(g624 +VSystem +p1143 +tp1144 +a(g822 +g982 +tp1145 +a(g701 +V-> +p1146 +tp1147 +a(g436 +Vout +p1148 +tp1149 +a(g701 +V: +p1150 +tp1151 +a(g744 +g978 +tp1152 +a(g822 +Vjava/io/ +p1153 +tp1154 +a(g624 +VPrintStream +p1155 +tp1156 +a(g822 +g982 +tp1157 +a(g822 +V\u000a +p1158 +tp1159 +a(g822 +V\u000a +p1160 +tp1161 +a(g822 +V +p1162 +tp1163 +a(g822 +Vconst-string +p1164 +tp1165 +a(g677 +Vv1 +p1166 +tp1167 +a(g701 +g1055 +tp1168 +a(g822 +g976 +tp1169 +a(g84 +V"hi" +p1170 +tp1171 +a(g822 +V\u000a +p1172 +tp1173 +a(g822 +V\u000a +p1174 +tp1175 +a(g822 +V +p1176 +tp1177 +a(g822 +Vinvoke-virtual +p1178 +tp1179 +a(g701 +g1049 +tp1180 +a(g677 +Vv0 +p1181 +tp1182 +a(g701 +g1055 +tp1183 +a(g822 +g976 +tp1184 +a(g677 +Vv1 +p1185 +tp1186 +a(g701 +g1053 +tp1187 +a(g701 +g1055 +tp1188 +a(g822 +g976 +tp1189 +a(g744 +g978 +tp1190 +a(g822 +Vjava/io/ +p1191 +tp1192 +a(g624 +VPrintStream +p1193 +tp1194 +a(g822 +g982 +tp1195 +a(g701 +V-> +p1196 +tp1197 +a(g569 +Vprintln +p1198 +tp1199 +a(g701 +g1018 +tp1200 +a(g744 +g978 +tp1201 +a(g822 +Vjava/lang/ +p1202 +tp1203 +a(g624 +VString +p1204 +tp1205 +a(g822 +g982 +tp1206 +a(g701 +g1020 +tp1207 +a(g744 +g1022 +tp1208 +a(g822 +V\u000a +p1209 +tp1210 +a(g822 +V\u000a +p1211 +tp1212 +a(g740 +V .line +p1213 +tp1214 +a(g822 +g976 +tp1215 +a(g32 +V4 +p1216 +tp1217 +a(g822 +V\u000a +p1218 +tp1219 +a(g822 +V +p1220 +tp1221 +a(g822 +Vreturn-void\u000a +p1222 +tp1223 +a(g740 +V.end method +p1224 +tp1225 +a(g822 +V\u000a +p1226 +tp1227 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hello.sp b/tests/examplefiles/output/hello.sp new file mode 100644 index 0000000..0f237a9 --- /dev/null +++ b/tests/examplefiles/output/hello.sp @@ -0,0 +1,2439 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# +p956 +tp957 +a(g8 +Vinclude +p958 +tp959 +a(g8 +V\u000a +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g898 +V// Single line comment\u000a +p964 +tp965 +a(g929 +V/* Multi line\u000a comment */ +p966 +tp967 +a(g827 +V\u000a +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g745 +Vpublic +p972 +tp973 +a(g827 +V +p974 +tp975 +a(g682 +VOnPluginStart +p976 +tp977 +a(g706 +V( +p978 +tp979 +a(g706 +V) +p980 +tp981 +a(g827 +g974 +tp982 +a(g706 +V{ +p983 +tp984 +a(g827 +V\u000a +p985 +tp986 +a(g827 +V +p987 +tp988 +a(g682 +VPrintToServer +p989 +tp990 +a(g706 +g978 +tp991 +a(g89 +V" +p992 +tp993 +a(g89 +VHello. +p994 +tp995 +a(g89 +g992 +tp996 +a(g706 +g980 +tp997 +a(g706 +V; +p998 +tp999 +a(g827 +V\u000a +p1000 +tp1001 +a(g706 +V} +p1002 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hexdump_debugexe b/tests/examplefiles/output/hexdump_debugexe new file mode 100644 index 0000000..3837dfd --- /dev/null +++ b/tests/examplefiles/output/hexdump_debugexe @@ -0,0 +1,44889 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV0000 +p956 +tp957 +a(g685 +V: +p958 +tp959 +a(g8 +V0000 +p960 +tp961 +a(g900 +V +p962 +tp963 +a(g900 +g962 +tp964 +a(g548 +V2F +p965 +tp966 +a(g900 +g962 +tp967 +a(g548 +V2F +p968 +tp969 +a(g900 +g962 +tp970 +a(g548 +V20 +p971 +tp972 +a(g900 +g962 +tp973 +a(g548 +V43 +p974 +tp975 +a(g900 +g962 +tp976 +a(g548 +V72 +p977 +tp978 +a(g900 +g962 +tp979 +a(g548 +V65 +p980 +tp981 +a(g900 +g962 +tp982 +a(g548 +V61 +p983 +tp984 +a(g900 +g962 +tp985 +a(g548 +V74 +p986 +tp987 +a(g685 +V- +p988 +tp989 +a(g548 +V65 +p990 +tp991 +a(g900 +g962 +tp992 +a(g548 +V64 +p993 +tp994 +a(g900 +g962 +tp995 +a(g548 +V20 +p996 +tp997 +a(g900 +g962 +tp998 +a(g548 +V62 +p999 +tp1000 +a(g900 +g962 +tp1001 +a(g548 +V79 +p1002 +tp1003 +a(g900 +g962 +tp1004 +a(g548 +V20 +p1005 +tp1006 +a(g900 +g962 +tp1007 +a(g548 +V4C +p1008 +tp1009 +a(g900 +g962 +tp1010 +a(g548 +V69 +p1011 +tp1012 +a(g900 +V +p1013 +tp1014 +a(g341 +V// Created by Li +p1015 +tp1016 +a(g900 +V\u000a +p1017 +tp1018 +a(g8 +V0000 +p1019 +tp1020 +a(g685 +g958 +tp1021 +a(g8 +V0010 +p1022 +tp1023 +a(g900 +g962 +tp1024 +a(g900 +g962 +tp1025 +a(g548 +V6F +p1026 +tp1027 +a(g900 +g962 +tp1028 +a(g548 +V6E +p1029 +tp1030 +a(g900 +g962 +tp1031 +a(g548 +V65 +p1032 +tp1033 +a(g900 +g962 +tp1034 +a(g548 +V6C +p1035 +tp1036 +a(g900 +g962 +tp1037 +a(g548 +V6C +p1038 +tp1039 +a(g900 +g962 +tp1040 +a(g548 +V6F +p1041 +tp1042 +a(g900 +g962 +tp1043 +a(g548 +V20 +p1044 +tp1045 +a(g900 +g962 +tp1046 +a(g548 +V4C +p1047 +tp1048 +a(g685 +g988 +tp1049 +a(g548 +V75 +p1050 +tp1051 +a(g900 +g962 +tp1052 +a(g548 +V6E +p1053 +tp1054 +a(g900 +g962 +tp1055 +a(g548 +V65 +p1056 +tp1057 +a(g900 +g962 +tp1058 +a(g548 +V73 +p1059 +tp1060 +a(g900 +g962 +tp1061 +a(g548 +V75 +p1062 +tp1063 +a(g900 +g962 +tp1064 +a(g548 +V20 +p1065 +tp1066 +a(g900 +g962 +tp1067 +a(g548 +V61 +p1068 +tp1069 +a(g900 +g962 +tp1070 +a(g548 +V6E +p1071 +tp1072 +a(g900 +V +p1073 +tp1074 +a(g341 +Vonello Lunesu an +p1075 +tp1076 +a(g900 +V\u000a +p1077 +tp1078 +a(g8 +V0000 +p1079 +tp1080 +a(g685 +g958 +tp1081 +a(g8 +V0020 +p1082 +tp1083 +a(g900 +g962 +tp1084 +a(g900 +g962 +tp1085 +a(g548 +V64 +p1086 +tp1087 +a(g900 +g962 +tp1088 +a(g548 +V20 +p1089 +tp1090 +a(g900 +g962 +tp1091 +a(g548 +V70 +p1092 +tp1093 +a(g900 +g962 +tp1094 +a(g548 +V6C +p1095 +tp1096 +a(g900 +g962 +tp1097 +a(g548 +V61 +p1098 +tp1099 +a(g900 +g962 +tp1100 +a(g548 +V63 +p1101 +tp1102 +a(g900 +g962 +tp1103 +a(g548 +V65 +p1104 +tp1105 +a(g900 +g962 +tp1106 +a(g548 +V64 +p1107 +tp1108 +a(g685 +g988 +tp1109 +a(g548 +V20 +p1110 +tp1111 +a(g900 +g962 +tp1112 +a(g548 +V69 +p1113 +tp1114 +a(g900 +g962 +tp1115 +a(g548 +V6E +p1116 +tp1117 +a(g900 +g962 +tp1118 +a(g548 +V20 +p1119 +tp1120 +a(g900 +g962 +tp1121 +a(g548 +V74 +p1122 +tp1123 +a(g900 +g962 +tp1124 +a(g548 +V68 +p1125 +tp1126 +a(g900 +g962 +tp1127 +a(g548 +V65 +p1128 +tp1129 +a(g900 +g962 +tp1130 +a(g548 +V20 +p1131 +tp1132 +a(g900 +V +p1133 +tp1134 +a(g341 +Vd placed in the +p1135 +tp1136 +a(g900 +V\u000a +p1137 +tp1138 +a(g8 +V0000 +p1139 +tp1140 +a(g685 +g958 +tp1141 +a(g8 +V0030 +p1142 +tp1143 +a(g900 +g962 +tp1144 +a(g900 +g962 +tp1145 +a(g548 +V70 +p1146 +tp1147 +a(g900 +g962 +tp1148 +a(g548 +V75 +p1149 +tp1150 +a(g900 +g962 +tp1151 +a(g548 +V62 +p1152 +tp1153 +a(g900 +g962 +tp1154 +a(g548 +V6C +p1155 +tp1156 +a(g900 +g962 +tp1157 +a(g548 +V69 +p1158 +tp1159 +a(g900 +g962 +tp1160 +a(g548 +V63 +p1161 +tp1162 +a(g900 +g962 +tp1163 +a(g548 +V20 +p1164 +tp1165 +a(g900 +g962 +tp1166 +a(g548 +V64 +p1167 +tp1168 +a(g685 +g988 +tp1169 +a(g548 +V6F +p1170 +tp1171 +a(g900 +g962 +tp1172 +a(g548 +V6D +p1173 +tp1174 +a(g900 +g962 +tp1175 +a(g548 +V61 +p1176 +tp1177 +a(g900 +g962 +tp1178 +a(g548 +V69 +p1179 +tp1180 +a(g900 +g962 +tp1181 +a(g548 +V6E +p1182 +tp1183 +a(g900 +g962 +tp1184 +a(g548 +V2E +p1185 +tp1186 +a(g900 +g962 +tp1187 +a(g548 +V0A +p1188 +tp1189 +a(g900 +g962 +tp1190 +a(g548 +V2F +p1191 +tp1192 +a(g900 +V +p1193 +tp1194 +a(g341 +Vpublic domain.\u25d9/ +p1195 +tp1196 +a(g900 +V\u000a +p1197 +tp1198 +a(g8 +V0000 +p1199 +tp1200 +a(g685 +g958 +tp1201 +a(g8 +V0040 +p1202 +tp1203 +a(g900 +g962 +tp1204 +a(g900 +g962 +tp1205 +a(g548 +V2F +p1206 +tp1207 +a(g900 +g962 +tp1208 +a(g548 +V20 +p1209 +tp1210 +a(g900 +g962 +tp1211 +a(g548 +V54 +p1212 +tp1213 +a(g900 +g962 +tp1214 +a(g548 +V68 +p1215 +tp1216 +a(g900 +g962 +tp1217 +a(g548 +V69 +p1218 +tp1219 +a(g900 +g962 +tp1220 +a(g548 +V73 +p1221 +tp1222 +a(g900 +g962 +tp1223 +a(g548 +V20 +p1224 +tp1225 +a(g900 +g962 +tp1226 +a(g548 +V66 +p1227 +tp1228 +a(g685 +g988 +tp1229 +a(g548 +V69 +p1230 +tp1231 +a(g900 +g962 +tp1232 +a(g548 +V6C +p1233 +tp1234 +a(g900 +g962 +tp1235 +a(g548 +V65 +p1236 +tp1237 +a(g900 +g962 +tp1238 +a(g548 +V20 +p1239 +tp1240 +a(g900 +g962 +tp1241 +a(g548 +V68 +p1242 +tp1243 +a(g900 +g962 +tp1244 +a(g548 +V61 +p1245 +tp1246 +a(g900 +g962 +tp1247 +a(g548 +V73 +p1248 +tp1249 +a(g900 +g962 +tp1250 +a(g548 +V20 +p1251 +tp1252 +a(g900 +V +p1253 +tp1254 +a(g341 +V/ This file has +p1255 +tp1256 +a(g900 +V\u000a +p1257 +tp1258 +a(g8 +V0000 +p1259 +tp1260 +a(g685 +g958 +tp1261 +a(g8 +V0050 +p1262 +tp1263 +a(g900 +g962 +tp1264 +a(g900 +g962 +tp1265 +a(g548 +V62 +p1266 +tp1267 +a(g900 +g962 +tp1268 +a(g548 +V65 +p1269 +tp1270 +a(g900 +g962 +tp1271 +a(g548 +V65 +p1272 +tp1273 +a(g900 +g962 +tp1274 +a(g548 +V6E +p1275 +tp1276 +a(g900 +g962 +tp1277 +a(g548 +V20 +p1278 +tp1279 +a(g900 +g962 +tp1280 +a(g548 +V6D +p1281 +tp1282 +a(g900 +g962 +tp1283 +a(g548 +V6F +p1284 +tp1285 +a(g900 +g962 +tp1286 +a(g548 +V64 +p1287 +tp1288 +a(g685 +g988 +tp1289 +a(g548 +V69 +p1290 +tp1291 +a(g900 +g962 +tp1292 +a(g548 +V66 +p1293 +tp1294 +a(g900 +g962 +tp1295 +a(g548 +V69 +p1296 +tp1297 +a(g900 +g962 +tp1298 +a(g548 +V65 +p1299 +tp1300 +a(g900 +g962 +tp1301 +a(g548 +V64 +p1302 +tp1303 +a(g900 +g962 +tp1304 +a(g548 +V20 +p1305 +tp1306 +a(g900 +g962 +tp1307 +a(g548 +V66 +p1308 +tp1309 +a(g900 +g962 +tp1310 +a(g548 +V72 +p1311 +tp1312 +a(g900 +V +p1313 +tp1314 +a(g341 +Vbeen modified fr +p1315 +tp1316 +a(g900 +V\u000a +p1317 +tp1318 +a(g8 +V0000 +p1319 +tp1320 +a(g685 +g958 +tp1321 +a(g8 +V0060 +p1322 +tp1323 +a(g900 +g962 +tp1324 +a(g900 +g962 +tp1325 +a(g548 +V6F +p1326 +tp1327 +a(g900 +g962 +tp1328 +a(g548 +V6D +p1329 +tp1330 +a(g900 +g962 +tp1331 +a(g548 +V20 +p1332 +tp1333 +a(g900 +g962 +tp1334 +a(g548 +V69 +p1335 +tp1336 +a(g900 +g962 +tp1337 +a(g548 +V74 +p1338 +tp1339 +a(g900 +g962 +tp1340 +a(g548 +V73 +p1341 +tp1342 +a(g900 +g962 +tp1343 +a(g548 +V20 +p1344 +tp1345 +a(g900 +g962 +tp1346 +a(g548 +V6F +p1347 +tp1348 +a(g685 +g988 +tp1349 +a(g548 +V72 +p1350 +tp1351 +a(g900 +g962 +tp1352 +a(g548 +V69 +p1353 +tp1354 +a(g900 +g962 +tp1355 +a(g548 +V67 +p1356 +tp1357 +a(g900 +g962 +tp1358 +a(g548 +V69 +p1359 +tp1360 +a(g900 +g962 +tp1361 +a(g548 +V6E +p1362 +tp1363 +a(g900 +g962 +tp1364 +a(g548 +V61 +p1365 +tp1366 +a(g900 +g962 +tp1367 +a(g548 +V6C +p1368 +tp1369 +a(g900 +g962 +tp1370 +a(g548 +V20 +p1371 +tp1372 +a(g900 +V +p1373 +tp1374 +a(g341 +Vom its original +p1375 +tp1376 +a(g900 +V\u000a +p1377 +tp1378 +a(g8 +V0000 +p1379 +tp1380 +a(g685 +g958 +tp1381 +a(g8 +V0070 +p1382 +tp1383 +a(g900 +g962 +tp1384 +a(g900 +g962 +tp1385 +a(g548 +V76 +p1386 +tp1387 +a(g900 +g962 +tp1388 +a(g548 +V65 +p1389 +tp1390 +a(g900 +g962 +tp1391 +a(g548 +V72 +p1392 +tp1393 +a(g900 +g962 +tp1394 +a(g548 +V73 +p1395 +tp1396 +a(g900 +g962 +tp1397 +a(g548 +V69 +p1398 +tp1399 +a(g900 +g962 +tp1400 +a(g548 +V6F +p1401 +tp1402 +a(g900 +g962 +tp1403 +a(g548 +V6E +p1404 +tp1405 +a(g900 +g962 +tp1406 +a(g548 +V2E +p1407 +tp1408 +a(g685 +g988 +tp1409 +a(g548 +V0A +p1410 +tp1411 +a(g900 +g962 +tp1412 +a(g548 +V2F +p1413 +tp1414 +a(g900 +g962 +tp1415 +a(g548 +V2F +p1416 +tp1417 +a(g900 +g962 +tp1418 +a(g548 +V20 +p1419 +tp1420 +a(g900 +g962 +tp1421 +a(g548 +V49 +p1422 +tp1423 +a(g900 +g962 +tp1424 +a(g548 +V74 +p1425 +tp1426 +a(g900 +g962 +tp1427 +a(g548 +V20 +p1428 +tp1429 +a(g900 +g962 +tp1430 +a(g548 +V68 +p1431 +tp1432 +a(g900 +V +p1433 +tp1434 +a(g341 +Vversion.\u25d9// It h +p1435 +tp1436 +a(g900 +V\u000a +p1437 +tp1438 +a(g8 +V0000 +p1439 +tp1440 +a(g685 +g958 +tp1441 +a(g8 +V0080 +p1442 +tp1443 +a(g900 +g962 +tp1444 +a(g900 +g962 +tp1445 +a(g548 +V61 +p1446 +tp1447 +a(g900 +g962 +tp1448 +a(g548 +V73 +p1449 +tp1450 +a(g900 +g962 +tp1451 +a(g548 +V20 +p1452 +tp1453 +a(g900 +g962 +tp1454 +a(g548 +V62 +p1455 +tp1456 +a(g900 +g962 +tp1457 +a(g548 +V65 +p1458 +tp1459 +a(g900 +g962 +tp1460 +a(g548 +V65 +p1461 +tp1462 +a(g900 +g962 +tp1463 +a(g548 +V6E +p1464 +tp1465 +a(g900 +g962 +tp1466 +a(g548 +V20 +p1467 +tp1468 +a(g685 +g988 +tp1469 +a(g548 +V66 +p1470 +tp1471 +a(g900 +g962 +tp1472 +a(g548 +V6F +p1473 +tp1474 +a(g900 +g962 +tp1475 +a(g548 +V72 +p1476 +tp1477 +a(g900 +g962 +tp1478 +a(g548 +V6D +p1479 +tp1480 +a(g900 +g962 +tp1481 +a(g548 +V61 +p1482 +tp1483 +a(g900 +g962 +tp1484 +a(g548 +V74 +p1485 +tp1486 +a(g900 +g962 +tp1487 +a(g548 +V74 +p1488 +tp1489 +a(g900 +g962 +tp1490 +a(g548 +V65 +p1491 +tp1492 +a(g900 +V +p1493 +tp1494 +a(g341 +Vas been formatte +p1495 +tp1496 +a(g900 +V\u000a +p1497 +tp1498 +a(g8 +V0000 +p1499 +tp1500 +a(g685 +g958 +tp1501 +a(g8 +V0090 +p1502 +tp1503 +a(g900 +g962 +tp1504 +a(g900 +g962 +tp1505 +a(g548 +V64 +p1506 +tp1507 +a(g900 +g962 +tp1508 +a(g548 +V20 +p1509 +tp1510 +a(g900 +g962 +tp1511 +a(g548 +V74 +p1512 +tp1513 +a(g900 +g962 +tp1514 +a(g548 +V6F +p1515 +tp1516 +a(g900 +g962 +tp1517 +a(g548 +V20 +p1518 +tp1519 +a(g900 +g962 +tp1520 +a(g548 +V66 +p1521 +tp1522 +a(g900 +g962 +tp1523 +a(g548 +V69 +p1524 +tp1525 +a(g900 +g962 +tp1526 +a(g548 +V74 +p1527 +tp1528 +a(g685 +g988 +tp1529 +a(g548 +V20 +p1530 +tp1531 +a(g900 +g962 +tp1532 +a(g548 +V79 +p1533 +tp1534 +a(g900 +g962 +tp1535 +a(g548 +V6F +p1536 +tp1537 +a(g900 +g962 +tp1538 +a(g548 +V75 +p1539 +tp1540 +a(g900 +g962 +tp1541 +a(g548 +V72 +p1542 +tp1543 +a(g900 +g962 +tp1544 +a(g548 +V20 +p1545 +tp1546 +a(g900 +g962 +tp1547 +a(g548 +V73 +p1548 +tp1549 +a(g900 +g962 +tp1550 +a(g548 +V63 +p1551 +tp1552 +a(g900 +V +p1553 +tp1554 +a(g341 +Vd to fit your sc +p1555 +tp1556 +a(g900 +V\u000a +p1557 +tp1558 +a(g8 +V0000 +p1559 +tp1560 +a(g685 +g958 +tp1561 +a(g8 +V00A0 +p1562 +tp1563 +a(g900 +g962 +tp1564 +a(g900 +g962 +tp1565 +a(g548 +V72 +p1566 +tp1567 +a(g900 +g962 +tp1568 +a(g548 +V65 +p1569 +tp1570 +a(g900 +g962 +tp1571 +a(g548 +V65 +p1572 +tp1573 +a(g900 +g962 +tp1574 +a(g548 +V6E +p1575 +tp1576 +a(g900 +g962 +tp1577 +a(g548 +V2E +p1578 +tp1579 +a(g900 +g962 +tp1580 +a(g548 +V0A +p1581 +tp1582 +a(g900 +g962 +tp1583 +a(g548 +V6D +p1584 +tp1585 +a(g900 +g962 +tp1586 +a(g548 +V6F +p1587 +tp1588 +a(g685 +g988 +tp1589 +a(g548 +V64 +p1590 +tp1591 +a(g900 +g962 +tp1592 +a(g548 +V75 +p1593 +tp1594 +a(g900 +g962 +tp1595 +a(g548 +V6C +p1596 +tp1597 +a(g900 +g962 +tp1598 +a(g548 +V65 +p1599 +tp1600 +a(g900 +g962 +tp1601 +a(g548 +V20 +p1602 +tp1603 +a(g900 +g962 +tp1604 +a(g548 +V70 +p1605 +tp1606 +a(g900 +g962 +tp1607 +a(g548 +V68 +p1608 +tp1609 +a(g900 +g962 +tp1610 +a(g548 +V6F +p1611 +tp1612 +a(g900 +V +p1613 +tp1614 +a(g341 +Vreen.\u25d9module pho +p1615 +tp1616 +a(g900 +V\u000a +p1617 +tp1618 +a(g8 +V0000 +p1619 +tp1620 +a(g685 +g958 +tp1621 +a(g8 +V00B0 +p1622 +tp1623 +a(g900 +g962 +tp1624 +a(g900 +g962 +tp1625 +a(g548 +V6E +p1626 +tp1627 +a(g900 +g962 +tp1628 +a(g548 +V65 +p1629 +tp1630 +a(g900 +g962 +tp1631 +a(g548 +V6E +p1632 +tp1633 +a(g900 +g962 +tp1634 +a(g548 +V6F +p1635 +tp1636 +a(g900 +g962 +tp1637 +a(g548 +V3B +p1638 +tp1639 +a(g900 +g962 +tp1640 +a(g548 +V20 +p1641 +tp1642 +a(g900 +g962 +tp1643 +a(g548 +V20 +p1644 +tp1645 +a(g900 +g962 +tp1646 +a(g548 +V20 +p1647 +tp1648 +a(g685 +g988 +tp1649 +a(g548 +V20 +p1650 +tp1651 +a(g900 +g962 +tp1652 +a(g548 +V20 +p1653 +tp1654 +a(g900 +g962 +tp1655 +a(g548 +V2F +p1656 +tp1657 +a(g900 +g962 +tp1658 +a(g548 +V2F +p1659 +tp1660 +a(g900 +g962 +tp1661 +a(g548 +V20 +p1662 +tp1663 +a(g900 +g962 +tp1664 +a(g548 +V6F +p1665 +tp1666 +a(g900 +g962 +tp1667 +a(g548 +V70 +p1668 +tp1669 +a(g900 +g962 +tp1670 +a(g548 +V74 +p1671 +tp1672 +a(g900 +V +p1673 +tp1674 +a(g341 +Vneno; // opt +p1675 +tp1676 +a(g900 +V\u000a +p1677 +tp1678 +a(g8 +V0000 +p1679 +tp1680 +a(g685 +g958 +tp1681 +a(g8 +V00C0 +p1682 +tp1683 +a(g900 +g962 +tp1684 +a(g900 +g962 +tp1685 +a(g548 +V69 +p1686 +tp1687 +a(g900 +g962 +tp1688 +a(g548 +V6F +p1689 +tp1690 +a(g900 +g962 +tp1691 +a(g548 +V6E +p1692 +tp1693 +a(g900 +g962 +tp1694 +a(g548 +V61 +p1695 +tp1696 +a(g900 +g962 +tp1697 +a(g548 +V6C +p1698 +tp1699 +a(g900 +g962 +tp1700 +a(g548 +V0A +p1701 +tp1702 +a(g900 +g962 +tp1703 +a(g548 +V69 +p1704 +tp1705 +a(g900 +g962 +tp1706 +a(g548 +V6D +p1707 +tp1708 +a(g685 +g988 +tp1709 +a(g548 +V70 +p1710 +tp1711 +a(g900 +g962 +tp1712 +a(g548 +V6F +p1713 +tp1714 +a(g900 +g962 +tp1715 +a(g548 +V72 +p1716 +tp1717 +a(g900 +g962 +tp1718 +a(g548 +V74 +p1719 +tp1720 +a(g900 +g962 +tp1721 +a(g548 +V20 +p1722 +tp1723 +a(g900 +g962 +tp1724 +a(g548 +V73 +p1725 +tp1726 +a(g900 +g962 +tp1727 +a(g548 +V74 +p1728 +tp1729 +a(g900 +g962 +tp1730 +a(g548 +V64 +p1731 +tp1732 +a(g900 +V +p1733 +tp1734 +a(g341 +Vional\u25d9import std +p1735 +tp1736 +a(g900 +V\u000a +p1737 +tp1738 +a(g8 +V0000 +p1739 +tp1740 +a(g685 +g958 +tp1741 +a(g8 +V00D0 +p1742 +tp1743 +a(g900 +g962 +tp1744 +a(g900 +g962 +tp1745 +a(g548 +V2E +p1746 +tp1747 +a(g900 +g962 +tp1748 +a(g548 +V73 +p1749 +tp1750 +a(g900 +g962 +tp1751 +a(g548 +V74 +p1752 +tp1753 +a(g900 +g962 +tp1754 +a(g548 +V64 +p1755 +tp1756 +a(g900 +g962 +tp1757 +a(g548 +V69 +p1758 +tp1759 +a(g900 +g962 +tp1760 +a(g548 +V6F +p1761 +tp1762 +a(g900 +g962 +tp1763 +a(g548 +V3B +p1764 +tp1765 +a(g900 +g962 +tp1766 +a(g548 +V20 +p1767 +tp1768 +a(g685 +g988 +tp1769 +a(g548 +V20 +p1770 +tp1771 +a(g900 +g962 +tp1772 +a(g548 +V20 +p1773 +tp1774 +a(g900 +g962 +tp1775 +a(g548 +V2F +p1776 +tp1777 +a(g900 +g962 +tp1778 +a(g548 +V2F +p1779 +tp1780 +a(g900 +g962 +tp1781 +a(g548 +V20 +p1782 +tp1783 +a(g900 +g962 +tp1784 +a(g548 +V77 +p1785 +tp1786 +a(g900 +g962 +tp1787 +a(g548 +V72 +p1788 +tp1789 +a(g900 +g962 +tp1790 +a(g548 +V69 +p1791 +tp1792 +a(g900 +V +p1793 +tp1794 +a(g341 +V.stdio; // wri +p1795 +tp1796 +a(g900 +V\u000a +p1797 +tp1798 +a(g8 +V0000 +p1799 +tp1800 +a(g685 +g958 +tp1801 +a(g8 +V00E0 +p1802 +tp1803 +a(g900 +g962 +tp1804 +a(g900 +g962 +tp1805 +a(g548 +V74 +p1806 +tp1807 +a(g900 +g962 +tp1808 +a(g548 +V65 +p1809 +tp1810 +a(g900 +g962 +tp1811 +a(g548 +V66 +p1812 +tp1813 +a(g900 +g962 +tp1814 +a(g548 +V6C +p1815 +tp1816 +a(g900 +g962 +tp1817 +a(g548 +V6E +p1818 +tp1819 +a(g900 +g962 +tp1820 +a(g548 +V20 +p1821 +tp1822 +a(g900 +g962 +tp1823 +a(g548 +V20 +p1824 +tp1825 +a(g900 +g962 +tp1826 +a(g548 +V20 +p1827 +tp1828 +a(g685 +g988 +tp1829 +a(g548 +V20 +p1830 +tp1831 +a(g900 +g962 +tp1832 +a(g548 +V20 +p1833 +tp1834 +a(g900 +g962 +tp1835 +a(g548 +V0A +p1836 +tp1837 +a(g900 +g962 +tp1838 +a(g548 +V69 +p1839 +tp1840 +a(g900 +g962 +tp1841 +a(g548 +V6D +p1842 +tp1843 +a(g900 +g962 +tp1844 +a(g548 +V70 +p1845 +tp1846 +a(g900 +g962 +tp1847 +a(g548 +V6F +p1848 +tp1849 +a(g900 +g962 +tp1850 +a(g548 +V72 +p1851 +tp1852 +a(g900 +V +p1853 +tp1854 +a(g341 +Vtefln \u25d9impor +p1855 +tp1856 +a(g900 +V\u000a +p1857 +tp1858 +a(g8 +V0000 +p1859 +tp1860 +a(g685 +g958 +tp1861 +a(g8 +V00F0 +p1862 +tp1863 +a(g900 +g962 +tp1864 +a(g900 +g962 +tp1865 +a(g548 +V74 +p1866 +tp1867 +a(g900 +g962 +tp1868 +a(g548 +V20 +p1869 +tp1870 +a(g900 +g962 +tp1871 +a(g548 +V73 +p1872 +tp1873 +a(g900 +g962 +tp1874 +a(g548 +V74 +p1875 +tp1876 +a(g900 +g962 +tp1877 +a(g548 +V64 +p1878 +tp1879 +a(g900 +g962 +tp1880 +a(g548 +V2E +p1881 +tp1882 +a(g900 +g962 +tp1883 +a(g548 +V63 +p1884 +tp1885 +a(g900 +g962 +tp1886 +a(g548 +V74 +p1887 +tp1888 +a(g685 +g988 +tp1889 +a(g548 +V79 +p1890 +tp1891 +a(g900 +g962 +tp1892 +a(g548 +V70 +p1893 +tp1894 +a(g900 +g962 +tp1895 +a(g548 +V65 +p1896 +tp1897 +a(g900 +g962 +tp1898 +a(g548 +V3B +p1899 +tp1900 +a(g900 +g962 +tp1901 +a(g548 +V20 +p1902 +tp1903 +a(g900 +g962 +tp1904 +a(g548 +V20 +p1905 +tp1906 +a(g900 +g962 +tp1907 +a(g548 +V20 +p1908 +tp1909 +a(g900 +g962 +tp1910 +a(g548 +V2F +p1911 +tp1912 +a(g900 +V +p1913 +tp1914 +a(g341 +Vt std.ctype; / +p1915 +tp1916 +a(g900 +V\u000a +p1917 +tp1918 +a(g8 +V0000 +p1919 +tp1920 +a(g685 +g958 +tp1921 +a(g8 +V0100 +p1922 +tp1923 +a(g900 +g962 +tp1924 +a(g900 +g962 +tp1925 +a(g548 +V2F +p1926 +tp1927 +a(g900 +g962 +tp1928 +a(g548 +V20 +p1929 +tp1930 +a(g900 +g962 +tp1931 +a(g548 +V69 +p1932 +tp1933 +a(g900 +g962 +tp1934 +a(g548 +V73 +p1935 +tp1936 +a(g900 +g962 +tp1937 +a(g548 +V64 +p1938 +tp1939 +a(g900 +g962 +tp1940 +a(g548 +V69 +p1941 +tp1942 +a(g900 +g962 +tp1943 +a(g548 +V67 +p1944 +tp1945 +a(g900 +g962 +tp1946 +a(g548 +V69 +p1947 +tp1948 +a(g685 +g988 +tp1949 +a(g548 +V74 +p1950 +tp1951 +a(g900 +g962 +tp1952 +a(g548 +V20 +p1953 +tp1954 +a(g900 +g962 +tp1955 +a(g548 +V20 +p1956 +tp1957 +a(g900 +g962 +tp1958 +a(g548 +V20 +p1959 +tp1960 +a(g900 +g962 +tp1961 +a(g548 +V20 +p1962 +tp1963 +a(g900 +g962 +tp1964 +a(g548 +V20 +p1965 +tp1966 +a(g900 +g962 +tp1967 +a(g548 +V0A +p1968 +tp1969 +a(g900 +g962 +tp1970 +a(g548 +V69 +p1971 +tp1972 +a(g900 +V +p1973 +tp1974 +a(g341 +V/ isdigit \u25d9i +p1975 +tp1976 +a(g900 +V\u000a +p1977 +tp1978 +a(g8 +V0000 +p1979 +tp1980 +a(g685 +g958 +tp1981 +a(g8 +V0110 +p1982 +tp1983 +a(g900 +g962 +tp1984 +a(g900 +g962 +tp1985 +a(g548 +V6D +p1986 +tp1987 +a(g900 +g962 +tp1988 +a(g548 +V70 +p1989 +tp1990 +a(g900 +g962 +tp1991 +a(g548 +V6F +p1992 +tp1993 +a(g900 +g962 +tp1994 +a(g548 +V72 +p1995 +tp1996 +a(g900 +g962 +tp1997 +a(g548 +V74 +p1998 +tp1999 +a(g900 +g962 +tp2000 +a(g548 +V20 +p2001 +tp2002 +a(g900 +g962 +tp2003 +a(g548 +V73 +p2004 +tp2005 +a(g900 +g962 +tp2006 +a(g548 +V74 +p2007 +tp2008 +a(g685 +g988 +tp2009 +a(g548 +V64 +p2010 +tp2011 +a(g900 +g962 +tp2012 +a(g548 +V2E +p2013 +tp2014 +a(g900 +g962 +tp2015 +a(g548 +V73 +p2016 +tp2017 +a(g900 +g962 +tp2018 +a(g548 +V74 +p2019 +tp2020 +a(g900 +g962 +tp2021 +a(g548 +V72 +p2022 +tp2023 +a(g900 +g962 +tp2024 +a(g548 +V65 +p2025 +tp2026 +a(g900 +g962 +tp2027 +a(g548 +V61 +p2028 +tp2029 +a(g900 +g962 +tp2030 +a(g548 +V6D +p2031 +tp2032 +a(g900 +V +p2033 +tp2034 +a(g341 +Vmport std.stream +p2035 +tp2036 +a(g900 +V\u000a +p2037 +tp2038 +a(g8 +V0000 +p2039 +tp2040 +a(g685 +g958 +tp2041 +a(g8 +V0120 +p2042 +tp2043 +a(g900 +g962 +tp2044 +a(g900 +g962 +tp2045 +a(g548 +V3B +p2046 +tp2047 +a(g900 +g962 +tp2048 +a(g548 +V20 +p2049 +tp2050 +a(g900 +g962 +tp2051 +a(g548 +V20 +p2052 +tp2053 +a(g900 +g962 +tp2054 +a(g548 +V2F +p2055 +tp2056 +a(g900 +g962 +tp2057 +a(g548 +V2F +p2058 +tp2059 +a(g900 +g962 +tp2060 +a(g548 +V20 +p2061 +tp2062 +a(g900 +g962 +tp2063 +a(g548 +V42 +p2064 +tp2065 +a(g900 +g962 +tp2066 +a(g548 +V75 +p2067 +tp2068 +a(g685 +g988 +tp2069 +a(g548 +V66 +p2070 +tp2071 +a(g900 +g962 +tp2072 +a(g548 +V66 +p2073 +tp2074 +a(g900 +g962 +tp2075 +a(g548 +V65 +p2076 +tp2077 +a(g900 +g962 +tp2078 +a(g548 +V72 +p2079 +tp2080 +a(g900 +g962 +tp2081 +a(g548 +V65 +p2082 +tp2083 +a(g900 +g962 +tp2084 +a(g548 +V64 +p2085 +tp2086 +a(g900 +g962 +tp2087 +a(g548 +V46 +p2088 +tp2089 +a(g900 +g962 +tp2090 +a(g548 +V69 +p2091 +tp2092 +a(g900 +V +p2093 +tp2094 +a(g341 +V; // BufferedFi +p2095 +tp2096 +a(g900 +V\u000a +p2097 +tp2098 +a(g8 +V0000 +p2099 +tp2100 +a(g685 +g958 +tp2101 +a(g8 +V0130 +p2102 +tp2103 +a(g900 +g962 +tp2104 +a(g900 +g962 +tp2105 +a(g548 +V6C +p2106 +tp2107 +a(g900 +g962 +tp2108 +a(g548 +V65 +p2109 +tp2110 +a(g900 +g962 +tp2111 +a(g548 +V0A +p2112 +tp2113 +a(g900 +g962 +tp2114 +a(g548 +V0A +p2115 +tp2116 +a(g900 +g962 +tp2117 +a(g548 +V2F +p2118 +tp2119 +a(g900 +g962 +tp2120 +a(g548 +V2F +p2121 +tp2122 +a(g900 +g962 +tp2123 +a(g548 +V20 +p2124 +tp2125 +a(g900 +g962 +tp2126 +a(g548 +V4A +p2127 +tp2128 +a(g685 +g988 +tp2129 +a(g548 +V75 +p2130 +tp2131 +a(g900 +g962 +tp2132 +a(g548 +V73 +p2133 +tp2134 +a(g900 +g962 +tp2135 +a(g548 +V74 +p2136 +tp2137 +a(g900 +g962 +tp2138 +a(g548 +V20 +p2139 +tp2140 +a(g900 +g962 +tp2141 +a(g548 +V66 +p2142 +tp2143 +a(g900 +g962 +tp2144 +a(g548 +V6F +p2145 +tp2146 +a(g900 +g962 +tp2147 +a(g548 +V72 +p2148 +tp2149 +a(g900 +g962 +tp2150 +a(g548 +V20 +p2151 +tp2152 +a(g900 +V +p2153 +tp2154 +a(g341 +Vle\u25d9\u25d9// Just for +p2155 +tp2156 +a(g900 +V\u000a +p2157 +tp2158 +a(g8 +V0000 +p2159 +tp2160 +a(g685 +g958 +tp2161 +a(g8 +V0140 +p2162 +tp2163 +a(g900 +g962 +tp2164 +a(g900 +g962 +tp2165 +a(g548 +V72 +p2166 +tp2167 +a(g900 +g962 +tp2168 +a(g548 +V65 +p2169 +tp2170 +a(g900 +g962 +tp2171 +a(g548 +V61 +p2172 +tp2173 +a(g900 +g962 +tp2174 +a(g548 +V64 +p2175 +tp2176 +a(g900 +g962 +tp2177 +a(g548 +V61 +p2178 +tp2179 +a(g900 +g962 +tp2180 +a(g548 +V62 +p2181 +tp2182 +a(g900 +g962 +tp2183 +a(g548 +V69 +p2184 +tp2185 +a(g900 +g962 +tp2186 +a(g548 +V6C +p2187 +tp2188 +a(g685 +g988 +tp2189 +a(g548 +V69 +p2190 +tp2191 +a(g900 +g962 +tp2192 +a(g548 +V74 +p2193 +tp2194 +a(g900 +g962 +tp2195 +a(g548 +V79 +p2196 +tp2197 +a(g900 +g962 +tp2198 +a(g548 +V20 +p2199 +tp2200 +a(g900 +g962 +tp2201 +a(g548 +V28 +p2202 +tp2203 +a(g900 +g962 +tp2204 +a(g548 +V69 +p2205 +tp2206 +a(g900 +g962 +tp2207 +a(g548 +V6D +p2208 +tp2209 +a(g900 +g962 +tp2210 +a(g548 +V61 +p2211 +tp2212 +a(g900 +V +p2213 +tp2214 +a(g341 +Vreadability (ima +p2215 +tp2216 +a(g900 +V\u000a +p2217 +tp2218 +a(g8 +V0000 +p2219 +tp2220 +a(g685 +g958 +tp2221 +a(g8 +V0150 +p2222 +tp2223 +a(g900 +g962 +tp2224 +a(g900 +g962 +tp2225 +a(g548 +V67 +p2226 +tp2227 +a(g900 +g962 +tp2228 +a(g548 +V69 +p2229 +tp2230 +a(g900 +g962 +tp2231 +a(g548 +V6E +p2232 +tp2233 +a(g900 +g962 +tp2234 +a(g548 +V65 +p2235 +tp2236 +a(g900 +g962 +tp2237 +a(g548 +V20 +p2238 +tp2239 +a(g900 +g962 +tp2240 +a(g548 +V63 +p2241 +tp2242 +a(g900 +g962 +tp2243 +a(g548 +V68 +p2244 +tp2245 +a(g900 +g962 +tp2246 +a(g548 +V61 +p2247 +tp2248 +a(g685 +g988 +tp2249 +a(g548 +V72 +p2250 +tp2251 +a(g900 +g962 +tp2252 +a(g548 +V5B +p2253 +tp2254 +a(g900 +g962 +tp2255 +a(g548 +V5D +p2256 +tp2257 +a(g900 +g962 +tp2258 +a(g548 +V5B +p2259 +tp2260 +a(g900 +g962 +tp2261 +a(g548 +V5D +p2262 +tp2263 +a(g900 +g962 +tp2264 +a(g548 +V5B +p2265 +tp2266 +a(g900 +g962 +tp2267 +a(g548 +V63 +p2268 +tp2269 +a(g900 +g962 +tp2270 +a(g548 +V68 +p2271 +tp2272 +a(g900 +V +p2273 +tp2274 +a(g341 +Vgine char[][][ch +p2275 +tp2276 +a(g900 +V\u000a +p2277 +tp2278 +a(g8 +V0000 +p2279 +tp2280 +a(g685 +g958 +tp2281 +a(g8 +V0160 +p2282 +tp2283 +a(g900 +g962 +tp2284 +a(g900 +g962 +tp2285 +a(g548 +V61 +p2286 +tp2287 +a(g900 +g962 +tp2288 +a(g548 +V72 +p2289 +tp2290 +a(g900 +g962 +tp2291 +a(g548 +V5B +p2292 +tp2293 +a(g900 +g962 +tp2294 +a(g548 +V5D +p2295 +tp2296 +a(g900 +g962 +tp2297 +a(g548 +V5D +p2298 +tp2299 +a(g900 +g962 +tp2300 +a(g548 +V29 +p2301 +tp2302 +a(g900 +g962 +tp2303 +a(g548 +V20 +p2304 +tp2305 +a(g900 +g962 +tp2306 +a(g548 +V20 +p2307 +tp2308 +a(g685 +g988 +tp2309 +a(g548 +V20 +p2310 +tp2311 +a(g900 +g962 +tp2312 +a(g548 +V20 +p2313 +tp2314 +a(g900 +g962 +tp2315 +a(g548 +V0A +p2316 +tp2317 +a(g900 +g962 +tp2318 +a(g548 +V61 +p2319 +tp2320 +a(g900 +g962 +tp2321 +a(g548 +V6C +p2322 +tp2323 +a(g900 +g962 +tp2324 +a(g548 +V69 +p2325 +tp2326 +a(g900 +g962 +tp2327 +a(g548 +V61 +p2328 +tp2329 +a(g900 +g962 +tp2330 +a(g548 +V73 +p2331 +tp2332 +a(g900 +V +p2333 +tp2334 +a(g341 +Var[]]) \u25d9alias +p2335 +tp2336 +a(g900 +V\u000a +p2337 +tp2338 +a(g8 +V0000 +p2339 +tp2340 +a(g685 +g958 +tp2341 +a(g8 +V0170 +p2342 +tp2343 +a(g900 +g962 +tp2344 +a(g900 +g962 +tp2345 +a(g548 +V20 +p2346 +tp2347 +a(g900 +g962 +tp2348 +a(g548 +V63 +p2349 +tp2350 +a(g900 +g962 +tp2351 +a(g548 +V68 +p2352 +tp2353 +a(g900 +g962 +tp2354 +a(g548 +V61 +p2355 +tp2356 +a(g900 +g962 +tp2357 +a(g548 +V72 +p2358 +tp2359 +a(g900 +g962 +tp2360 +a(g548 +V5B +p2361 +tp2362 +a(g900 +g962 +tp2363 +a(g548 +V5D +p2364 +tp2365 +a(g900 +g962 +tp2366 +a(g548 +V20 +p2367 +tp2368 +a(g685 +g988 +tp2369 +a(g548 +V73 +p2370 +tp2371 +a(g900 +g962 +tp2372 +a(g548 +V74 +p2373 +tp2374 +a(g900 +g962 +tp2375 +a(g548 +V72 +p2376 +tp2377 +a(g900 +g962 +tp2378 +a(g548 +V69 +p2379 +tp2380 +a(g900 +g962 +tp2381 +a(g548 +V6E +p2382 +tp2383 +a(g900 +g962 +tp2384 +a(g548 +V67 +p2385 +tp2386 +a(g900 +g962 +tp2387 +a(g548 +V3B +p2388 +tp2389 +a(g900 +g962 +tp2390 +a(g548 +V0A +p2391 +tp2392 +a(g900 +V +p2393 +tp2394 +a(g341 +V char[] string;\u25d9 +p2395 +tp2396 +a(g900 +V\u000a +p2397 +tp2398 +a(g8 +V0000 +p2399 +tp2400 +a(g685 +g958 +tp2401 +a(g8 +V0180 +p2402 +tp2403 +a(g900 +g962 +tp2404 +a(g900 +g962 +tp2405 +a(g548 +V61 +p2406 +tp2407 +a(g900 +g962 +tp2408 +a(g548 +V6C +p2409 +tp2410 +a(g900 +g962 +tp2411 +a(g548 +V69 +p2412 +tp2413 +a(g900 +g962 +tp2414 +a(g548 +V61 +p2415 +tp2416 +a(g900 +g962 +tp2417 +a(g548 +V73 +p2418 +tp2419 +a(g900 +g962 +tp2420 +a(g548 +V20 +p2421 +tp2422 +a(g900 +g962 +tp2423 +a(g548 +V73 +p2424 +tp2425 +a(g900 +g962 +tp2426 +a(g548 +V74 +p2427 +tp2428 +a(g685 +g988 +tp2429 +a(g548 +V72 +p2430 +tp2431 +a(g900 +g962 +tp2432 +a(g548 +V69 +p2433 +tp2434 +a(g900 +g962 +tp2435 +a(g548 +V6E +p2436 +tp2437 +a(g900 +g962 +tp2438 +a(g548 +V67 +p2439 +tp2440 +a(g900 +g962 +tp2441 +a(g548 +V5B +p2442 +tp2443 +a(g900 +g962 +tp2444 +a(g548 +V5D +p2445 +tp2446 +a(g900 +g962 +tp2447 +a(g548 +V20 +p2448 +tp2449 +a(g900 +g962 +tp2450 +a(g548 +V73 +p2451 +tp2452 +a(g900 +V +p2453 +tp2454 +a(g341 +Valias string[] s +p2455 +tp2456 +a(g900 +V\u000a +p2457 +tp2458 +a(g8 +V0000 +p2459 +tp2460 +a(g685 +g958 +tp2461 +a(g8 +V0190 +p2462 +tp2463 +a(g900 +g962 +tp2464 +a(g900 +g962 +tp2465 +a(g548 +V74 +p2466 +tp2467 +a(g900 +g962 +tp2468 +a(g548 +V72 +p2469 +tp2470 +a(g900 +g962 +tp2471 +a(g548 +V69 +p2472 +tp2473 +a(g900 +g962 +tp2474 +a(g548 +V6E +p2475 +tp2476 +a(g900 +g962 +tp2477 +a(g548 +V67 +p2478 +tp2479 +a(g900 +g962 +tp2480 +a(g548 +V61 +p2481 +tp2482 +a(g900 +g962 +tp2483 +a(g548 +V72 +p2484 +tp2485 +a(g900 +g962 +tp2486 +a(g548 +V72 +p2487 +tp2488 +a(g685 +g988 +tp2489 +a(g548 +V61 +p2490 +tp2491 +a(g900 +g962 +tp2492 +a(g548 +V79 +p2493 +tp2494 +a(g900 +g962 +tp2495 +a(g548 +V3B +p2496 +tp2497 +a(g900 +g962 +tp2498 +a(g548 +V0A +p2499 +tp2500 +a(g900 +g962 +tp2501 +a(g548 +V0A +p2502 +tp2503 +a(g900 +g962 +tp2504 +a(g548 +V2F +p2505 +tp2506 +a(g900 +g962 +tp2507 +a(g548 +V2F +p2508 +tp2509 +a(g900 +g962 +tp2510 +a(g548 +V2F +p2511 +tp2512 +a(g900 +V +p2513 +tp2514 +a(g341 +Vtringarray;\u25d9\u25d9/// +p2515 +tp2516 +a(g900 +V\u000a +p2517 +tp2518 +a(g8 +V0000 +p2519 +tp2520 +a(g685 +g958 +tp2521 +a(g8 +V01A0 +p2522 +tp2523 +a(g900 +g962 +tp2524 +a(g900 +g962 +tp2525 +a(g548 +V20 +p2526 +tp2527 +a(g900 +g962 +tp2528 +a(g548 +V53 +p2529 +tp2530 +a(g900 +g962 +tp2531 +a(g548 +V74 +p2532 +tp2533 +a(g900 +g962 +tp2534 +a(g548 +V72 +p2535 +tp2536 +a(g900 +g962 +tp2537 +a(g548 +V69 +p2538 +tp2539 +a(g900 +g962 +tp2540 +a(g548 +V70 +p2541 +tp2542 +a(g900 +g962 +tp2543 +a(g548 +V73 +p2544 +tp2545 +a(g900 +g962 +tp2546 +a(g548 +V20 +p2547 +tp2548 +a(g685 +g988 +tp2549 +a(g548 +V6E +p2550 +tp2551 +a(g900 +g962 +tp2552 +a(g548 +V6F +p2553 +tp2554 +a(g900 +g962 +tp2555 +a(g548 +V6E +p2556 +tp2557 +a(g900 +g962 +tp2558 +a(g548 +V2D +p2559 +tp2560 +a(g900 +g962 +tp2561 +a(g548 +V64 +p2562 +tp2563 +a(g900 +g962 +tp2564 +a(g548 +V69 +p2565 +tp2566 +a(g900 +g962 +tp2567 +a(g548 +V67 +p2568 +tp2569 +a(g900 +g962 +tp2570 +a(g548 +V69 +p2571 +tp2572 +a(g900 +V +p2573 +tp2574 +a(g341 +V Strips non-digi +p2575 +tp2576 +a(g900 +V\u000a +p2577 +tp2578 +a(g8 +V0000 +p2579 +tp2580 +a(g685 +g958 +tp2581 +a(g8 +V01B0 +p2582 +tp2583 +a(g900 +g962 +tp2584 +a(g900 +g962 +tp2585 +a(g548 +V74 +p2586 +tp2587 +a(g900 +g962 +tp2588 +a(g548 +V20 +p2589 +tp2590 +a(g900 +g962 +tp2591 +a(g548 +V63 +p2592 +tp2593 +a(g900 +g962 +tp2594 +a(g548 +V68 +p2595 +tp2596 +a(g900 +g962 +tp2597 +a(g548 +V61 +p2598 +tp2599 +a(g900 +g962 +tp2600 +a(g548 +V72 +p2601 +tp2602 +a(g900 +g962 +tp2603 +a(g548 +V61 +p2604 +tp2605 +a(g900 +g962 +tp2606 +a(g548 +V63 +p2607 +tp2608 +a(g685 +g988 +tp2609 +a(g548 +V74 +p2610 +tp2611 +a(g900 +g962 +tp2612 +a(g548 +V65 +p2613 +tp2614 +a(g900 +g962 +tp2615 +a(g548 +V72 +p2616 +tp2617 +a(g900 +g962 +tp2618 +a(g548 +V73 +p2619 +tp2620 +a(g900 +g962 +tp2621 +a(g548 +V20 +p2622 +tp2623 +a(g900 +g962 +tp2624 +a(g548 +V66 +p2625 +tp2626 +a(g900 +g962 +tp2627 +a(g548 +V72 +p2628 +tp2629 +a(g900 +g962 +tp2630 +a(g548 +V6F +p2631 +tp2632 +a(g900 +V +p2633 +tp2634 +a(g341 +Vt characters fro +p2635 +tp2636 +a(g900 +V\u000a +p2637 +tp2638 +a(g8 +V0000 +p2639 +tp2640 +a(g685 +g958 +tp2641 +a(g8 +V01C0 +p2642 +tp2643 +a(g900 +g962 +tp2644 +a(g900 +g962 +tp2645 +a(g548 +V6D +p2646 +tp2647 +a(g900 +g962 +tp2648 +a(g548 +V20 +p2649 +tp2650 +a(g900 +g962 +tp2651 +a(g548 +V74 +p2652 +tp2653 +a(g900 +g962 +tp2654 +a(g548 +V68 +p2655 +tp2656 +a(g900 +g962 +tp2657 +a(g548 +V65 +p2658 +tp2659 +a(g900 +g962 +tp2660 +a(g548 +V20 +p2661 +tp2662 +a(g900 +g962 +tp2663 +a(g548 +V73 +p2664 +tp2665 +a(g900 +g962 +tp2666 +a(g548 +V74 +p2667 +tp2668 +a(g685 +g988 +tp2669 +a(g548 +V72 +p2670 +tp2671 +a(g900 +g962 +tp2672 +a(g548 +V69 +p2673 +tp2674 +a(g900 +g962 +tp2675 +a(g548 +V6E +p2676 +tp2677 +a(g900 +g962 +tp2678 +a(g548 +V67 +p2679 +tp2680 +a(g900 +g962 +tp2681 +a(g548 +V20 +p2682 +tp2683 +a(g900 +g962 +tp2684 +a(g548 +V28 +p2685 +tp2686 +a(g900 +g962 +tp2687 +a(g548 +V43 +p2688 +tp2689 +a(g900 +g962 +tp2690 +a(g548 +V4F +p2691 +tp2692 +a(g900 +V +p2693 +tp2694 +a(g341 +Vm the string (CO +p2695 +tp2696 +a(g900 +V\u000a +p2697 +tp2698 +a(g8 +V0000 +p2699 +tp2700 +a(g685 +g958 +tp2701 +a(g8 +V01D0 +p2702 +tp2703 +a(g900 +g962 +tp2704 +a(g900 +g962 +tp2705 +a(g548 +V57 +p2706 +tp2707 +a(g900 +g962 +tp2708 +a(g548 +V29 +p2709 +tp2710 +a(g900 +g962 +tp2711 +a(g548 +V0A +p2712 +tp2713 +a(g900 +g962 +tp2714 +a(g548 +V73 +p2715 +tp2716 +a(g900 +g962 +tp2717 +a(g548 +V74 +p2718 +tp2719 +a(g900 +g962 +tp2720 +a(g548 +V72 +p2721 +tp2722 +a(g900 +g962 +tp2723 +a(g548 +V69 +p2724 +tp2725 +a(g900 +g962 +tp2726 +a(g548 +V6E +p2727 +tp2728 +a(g685 +g988 +tp2729 +a(g548 +V67 +p2730 +tp2731 +a(g900 +g962 +tp2732 +a(g548 +V20 +p2733 +tp2734 +a(g900 +g962 +tp2735 +a(g548 +V73 +p2736 +tp2737 +a(g900 +g962 +tp2738 +a(g548 +V74 +p2739 +tp2740 +a(g900 +g962 +tp2741 +a(g548 +V72 +p2742 +tp2743 +a(g900 +g962 +tp2744 +a(g548 +V69 +p2745 +tp2746 +a(g900 +g962 +tp2747 +a(g548 +V70 +p2748 +tp2749 +a(g900 +g962 +tp2750 +a(g548 +V4E +p2751 +tp2752 +a(g900 +V +p2753 +tp2754 +a(g341 +VW)\u25d9string stripN +p2755 +tp2756 +a(g900 +V\u000a +p2757 +tp2758 +a(g8 +V0000 +p2759 +tp2760 +a(g685 +g958 +tp2761 +a(g8 +V01E0 +p2762 +tp2763 +a(g900 +g962 +tp2764 +a(g900 +g962 +tp2765 +a(g548 +V6F +p2766 +tp2767 +a(g900 +g962 +tp2768 +a(g548 +V6E +p2769 +tp2770 +a(g900 +g962 +tp2771 +a(g548 +V44 +p2772 +tp2773 +a(g900 +g962 +tp2774 +a(g548 +V69 +p2775 +tp2776 +a(g900 +g962 +tp2777 +a(g548 +V67 +p2778 +tp2779 +a(g900 +g962 +tp2780 +a(g548 +V69 +p2781 +tp2782 +a(g900 +g962 +tp2783 +a(g548 +V74 +p2784 +tp2785 +a(g900 +g962 +tp2786 +a(g548 +V28 +p2787 +tp2788 +a(g685 +g988 +tp2789 +a(g548 +V20 +p2790 +tp2791 +a(g900 +g962 +tp2792 +a(g548 +V69 +p2793 +tp2794 +a(g900 +g962 +tp2795 +a(g548 +V6E +p2796 +tp2797 +a(g900 +g962 +tp2798 +a(g548 +V20 +p2799 +tp2800 +a(g900 +g962 +tp2801 +a(g548 +V73 +p2802 +tp2803 +a(g900 +g962 +tp2804 +a(g548 +V74 +p2805 +tp2806 +a(g900 +g962 +tp2807 +a(g548 +V72 +p2808 +tp2809 +a(g900 +g962 +tp2810 +a(g548 +V69 +p2811 +tp2812 +a(g900 +V +p2813 +tp2814 +a(g341 +VonDigit( in stri +p2815 +tp2816 +a(g900 +V\u000a +p2817 +tp2818 +a(g8 +V0000 +p2819 +tp2820 +a(g685 +g958 +tp2821 +a(g8 +V01F0 +p2822 +tp2823 +a(g900 +g962 +tp2824 +a(g900 +g962 +tp2825 +a(g548 +V6E +p2826 +tp2827 +a(g900 +g962 +tp2828 +a(g548 +V67 +p2829 +tp2830 +a(g900 +g962 +tp2831 +a(g548 +V20 +p2832 +tp2833 +a(g900 +g962 +tp2834 +a(g548 +V6C +p2835 +tp2836 +a(g900 +g962 +tp2837 +a(g548 +V69 +p2838 +tp2839 +a(g900 +g962 +tp2840 +a(g548 +V6E +p2841 +tp2842 +a(g900 +g962 +tp2843 +a(g548 +V65 +p2844 +tp2845 +a(g900 +g962 +tp2846 +a(g548 +V20 +p2847 +tp2848 +a(g685 +g988 +tp2849 +a(g548 +V29 +p2850 +tp2851 +a(g900 +g962 +tp2852 +a(g548 +V20 +p2853 +tp2854 +a(g900 +g962 +tp2855 +a(g548 +V0A +p2856 +tp2857 +a(g900 +g962 +tp2858 +a(g548 +V7B +p2859 +tp2860 +a(g900 +g962 +tp2861 +a(g548 +V0A +p2862 +tp2863 +a(g900 +g962 +tp2864 +a(g548 +V20 +p2865 +tp2866 +a(g900 +g962 +tp2867 +a(g548 +V20 +p2868 +tp2869 +a(g900 +g962 +tp2870 +a(g548 +V20 +p2871 +tp2872 +a(g900 +V +p2873 +tp2874 +a(g341 +Vng line ) \u25d9{\u25d9 +p2875 +tp2876 +a(g900 +V\u000a +p2877 +tp2878 +a(g8 +V0000 +p2879 +tp2880 +a(g685 +g958 +tp2881 +a(g8 +V0200 +p2882 +tp2883 +a(g900 +g962 +tp2884 +a(g900 +g962 +tp2885 +a(g548 +V20 +p2886 +tp2887 +a(g900 +g962 +tp2888 +a(g548 +V73 +p2889 +tp2890 +a(g900 +g962 +tp2891 +a(g548 +V74 +p2892 +tp2893 +a(g900 +g962 +tp2894 +a(g548 +V72 +p2895 +tp2896 +a(g900 +g962 +tp2897 +a(g548 +V69 +p2898 +tp2899 +a(g900 +g962 +tp2900 +a(g548 +V6E +p2901 +tp2902 +a(g900 +g962 +tp2903 +a(g548 +V67 +p2904 +tp2905 +a(g900 +g962 +tp2906 +a(g548 +V20 +p2907 +tp2908 +a(g685 +g988 +tp2909 +a(g548 +V72 +p2910 +tp2911 +a(g900 +g962 +tp2912 +a(g548 +V65 +p2913 +tp2914 +a(g900 +g962 +tp2915 +a(g548 +V74 +p2916 +tp2917 +a(g900 +g962 +tp2918 +a(g548 +V3B +p2919 +tp2920 +a(g900 +g962 +tp2921 +a(g548 +V0A +p2922 +tp2923 +a(g900 +g962 +tp2924 +a(g548 +V20 +p2925 +tp2926 +a(g900 +g962 +tp2927 +a(g548 +V20 +p2928 +tp2929 +a(g900 +g962 +tp2930 +a(g548 +V20 +p2931 +tp2932 +a(g900 +V +p2933 +tp2934 +a(g341 +V string ret;\u25d9 +p2935 +tp2936 +a(g900 +V\u000a +p2937 +tp2938 +a(g8 +V0000 +p2939 +tp2940 +a(g685 +g958 +tp2941 +a(g8 +V0210 +p2942 +tp2943 +a(g900 +g962 +tp2944 +a(g900 +g962 +tp2945 +a(g548 +V20 +p2946 +tp2947 +a(g900 +g962 +tp2948 +a(g548 +V66 +p2949 +tp2950 +a(g900 +g962 +tp2951 +a(g548 +V6F +p2952 +tp2953 +a(g900 +g962 +tp2954 +a(g548 +V72 +p2955 +tp2956 +a(g900 +g962 +tp2957 +a(g548 +V65 +p2958 +tp2959 +a(g900 +g962 +tp2960 +a(g548 +V61 +p2961 +tp2962 +a(g900 +g962 +tp2963 +a(g548 +V63 +p2964 +tp2965 +a(g900 +g962 +tp2966 +a(g548 +V68 +p2967 +tp2968 +a(g685 +g988 +tp2969 +a(g548 +V28 +p2970 +tp2971 +a(g900 +g962 +tp2972 +a(g548 +V75 +p2973 +tp2974 +a(g900 +g962 +tp2975 +a(g548 +V69 +p2976 +tp2977 +a(g900 +g962 +tp2978 +a(g548 +V6E +p2979 +tp2980 +a(g900 +g962 +tp2981 +a(g548 +V74 +p2982 +tp2983 +a(g900 +g962 +tp2984 +a(g548 +V20 +p2985 +tp2986 +a(g900 +g962 +tp2987 +a(g548 +V69 +p2988 +tp2989 +a(g900 +g962 +tp2990 +a(g548 +V2C +p2991 +tp2992 +a(g900 +V +p2993 +tp2994 +a(g341 +V foreach(uint i, +p2995 +tp2996 +a(g900 +V\u000a +p2997 +tp2998 +a(g8 +V0000 +p2999 +tp3000 +a(g685 +g958 +tp3001 +a(g8 +V0220 +p3002 +tp3003 +a(g900 +g962 +tp3004 +a(g900 +g962 +tp3005 +a(g548 +V20 +p3006 +tp3007 +a(g900 +g962 +tp3008 +a(g548 +V63 +p3009 +tp3010 +a(g900 +g962 +tp3011 +a(g548 +V3B +p3012 +tp3013 +a(g900 +g962 +tp3014 +a(g548 +V20 +p3015 +tp3016 +a(g900 +g962 +tp3017 +a(g548 +V6C +p3018 +tp3019 +a(g900 +g962 +tp3020 +a(g548 +V69 +p3021 +tp3022 +a(g900 +g962 +tp3023 +a(g548 +V6E +p3024 +tp3025 +a(g900 +g962 +tp3026 +a(g548 +V65 +p3027 +tp3028 +a(g685 +g988 +tp3029 +a(g548 +V29 +p3030 +tp3031 +a(g900 +g962 +tp3032 +a(g548 +V20 +p3033 +tp3034 +a(g900 +g962 +tp3035 +a(g548 +V7B +p3036 +tp3037 +a(g900 +g962 +tp3038 +a(g548 +V0A +p3039 +tp3040 +a(g900 +g962 +tp3041 +a(g548 +V20 +p3042 +tp3043 +a(g900 +g962 +tp3044 +a(g548 +V20 +p3045 +tp3046 +a(g900 +g962 +tp3047 +a(g548 +V20 +p3048 +tp3049 +a(g900 +g962 +tp3050 +a(g548 +V20 +p3051 +tp3052 +a(g900 +V +p3053 +tp3054 +a(g341 +V c; line) {\u25d9 +p3055 +tp3056 +a(g900 +V\u000a +p3057 +tp3058 +a(g8 +V0000 +p3059 +tp3060 +a(g685 +g958 +tp3061 +a(g8 +V0230 +p3062 +tp3063 +a(g900 +g962 +tp3064 +a(g900 +g962 +tp3065 +a(g548 +V20 +p3066 +tp3067 +a(g900 +g962 +tp3068 +a(g548 +V20 +p3069 +tp3070 +a(g900 +g962 +tp3071 +a(g548 +V20 +p3072 +tp3073 +a(g900 +g962 +tp3074 +a(g548 +V20 +p3075 +tp3076 +a(g900 +g962 +tp3077 +a(g548 +V2F +p3078 +tp3079 +a(g900 +g962 +tp3080 +a(g548 +V2F +p3081 +tp3082 +a(g900 +g962 +tp3083 +a(g548 +V20 +p3084 +tp3085 +a(g900 +g962 +tp3086 +a(g548 +V45 +p3087 +tp3088 +a(g685 +g988 +tp3089 +a(g548 +V72 +p3090 +tp3091 +a(g900 +g962 +tp3092 +a(g548 +V72 +p3093 +tp3094 +a(g900 +g962 +tp3095 +a(g548 +V6F +p3096 +tp3097 +a(g900 +g962 +tp3098 +a(g548 +V72 +p3099 +tp3100 +a(g900 +g962 +tp3101 +a(g548 +V3A +p3102 +tp3103 +a(g900 +g962 +tp3104 +a(g548 +V20 +p3105 +tp3106 +a(g900 +g962 +tp3107 +a(g548 +V73 +p3108 +tp3109 +a(g900 +g962 +tp3110 +a(g548 +V74 +p3111 +tp3112 +a(g900 +V +p3113 +tp3114 +a(g341 +V // Error: st +p3115 +tp3116 +a(g900 +V\u000a +p3117 +tp3118 +a(g8 +V0000 +p3119 +tp3120 +a(g685 +g958 +tp3121 +a(g8 +V0240 +p3122 +tp3123 +a(g900 +g962 +tp3124 +a(g900 +g962 +tp3125 +a(g548 +V64 +p3126 +tp3127 +a(g900 +g962 +tp3128 +a(g548 +V2E +p3129 +tp3130 +a(g900 +g962 +tp3131 +a(g548 +V63 +p3132 +tp3133 +a(g900 +g962 +tp3134 +a(g548 +V74 +p3135 +tp3136 +a(g900 +g962 +tp3137 +a(g548 +V79 +p3138 +tp3139 +a(g900 +g962 +tp3140 +a(g548 +V70 +p3141 +tp3142 +a(g900 +g962 +tp3143 +a(g548 +V65 +p3144 +tp3145 +a(g900 +g962 +tp3146 +a(g548 +V2E +p3147 +tp3148 +a(g685 +g988 +tp3149 +a(g548 +V69 +p3150 +tp3151 +a(g900 +g962 +tp3152 +a(g548 +V73 +p3153 +tp3154 +a(g900 +g962 +tp3155 +a(g548 +V64 +p3156 +tp3157 +a(g900 +g962 +tp3158 +a(g548 +V69 +p3159 +tp3160 +a(g900 +g962 +tp3161 +a(g548 +V67 +p3162 +tp3163 +a(g900 +g962 +tp3164 +a(g548 +V69 +p3165 +tp3166 +a(g900 +g962 +tp3167 +a(g548 +V74 +p3168 +tp3169 +a(g900 +g962 +tp3170 +a(g548 +V20 +p3171 +tp3172 +a(g900 +V +p3173 +tp3174 +a(g341 +Vd.ctype.isdigit +p3175 +tp3176 +a(g900 +V\u000a +p3177 +tp3178 +a(g8 +V0000 +p3179 +tp3180 +a(g685 +g958 +tp3181 +a(g8 +V0250 +p3182 +tp3183 +a(g900 +g962 +tp3184 +a(g900 +g962 +tp3185 +a(g548 +V61 +p3186 +tp3187 +a(g900 +g962 +tp3188 +a(g548 +V74 +p3189 +tp3190 +a(g900 +g962 +tp3191 +a(g548 +V20 +p3192 +tp3193 +a(g900 +g962 +tp3194 +a(g548 +V43 +p3195 +tp3196 +a(g900 +g962 +tp3197 +a(g548 +V3A +p3198 +tp3199 +a(g900 +g962 +tp3200 +a(g548 +V5C +p3201 +tp3202 +a(g900 +g962 +tp3203 +a(g548 +V64 +p3204 +tp3205 +a(g900 +g962 +tp3206 +a(g548 +V6D +p3207 +tp3208 +a(g685 +g988 +tp3209 +a(g548 +V64 +p3210 +tp3211 +a(g900 +g962 +tp3212 +a(g548 +V5C +p3213 +tp3214 +a(g900 +g962 +tp3215 +a(g548 +V73 +p3216 +tp3217 +a(g900 +g962 +tp3218 +a(g548 +V72 +p3219 +tp3220 +a(g900 +g962 +tp3221 +a(g548 +V63 +p3222 +tp3223 +a(g900 +g962 +tp3224 +a(g548 +V5C +p3225 +tp3226 +a(g900 +g962 +tp3227 +a(g548 +V70 +p3228 +tp3229 +a(g900 +g962 +tp3230 +a(g548 +V68 +p3231 +tp3232 +a(g900 +V +p3233 +tp3234 +a(g341 +Vat C:\u005cdmd\u005csrc\u005cph +p3235 +tp3236 +a(g900 +V\u000a +p3237 +tp3238 +a(g8 +V0000 +p3239 +tp3240 +a(g685 +g958 +tp3241 +a(g8 +V0260 +p3242 +tp3243 +a(g900 +g962 +tp3244 +a(g900 +g962 +tp3245 +a(g548 +V6F +p3246 +tp3247 +a(g900 +g962 +tp3248 +a(g548 +V62 +p3249 +tp3250 +a(g900 +g962 +tp3251 +a(g548 +V6F +p3252 +tp3253 +a(g900 +g962 +tp3254 +a(g548 +V73 +p3255 +tp3256 +a(g900 +g962 +tp3257 +a(g548 +V5C +p3258 +tp3259 +a(g900 +g962 +tp3260 +a(g548 +V73 +p3261 +tp3262 +a(g900 +g962 +tp3263 +a(g548 +V74 +p3264 +tp3265 +a(g900 +g962 +tp3266 +a(g548 +V64 +p3267 +tp3268 +a(g685 +g988 +tp3269 +a(g548 +V5C +p3270 +tp3271 +a(g900 +g962 +tp3272 +a(g548 +V63 +p3273 +tp3274 +a(g900 +g962 +tp3275 +a(g548 +V74 +p3276 +tp3277 +a(g900 +g962 +tp3278 +a(g548 +V79 +p3279 +tp3280 +a(g900 +g962 +tp3281 +a(g548 +V70 +p3282 +tp3283 +a(g900 +g962 +tp3284 +a(g548 +V65 +p3285 +tp3286 +a(g900 +g962 +tp3287 +a(g548 +V2E +p3288 +tp3289 +a(g900 +g962 +tp3290 +a(g548 +V64 +p3291 +tp3292 +a(g900 +V +p3293 +tp3294 +a(g341 +Vobos\u005cstd\u005cctype.d +p3295 +tp3296 +a(g900 +V\u000a +p3297 +tp3298 +a(g8 +V0000 +p3299 +tp3300 +a(g685 +g958 +tp3301 +a(g8 +V0270 +p3302 +tp3303 +a(g900 +g962 +tp3304 +a(g900 +g962 +tp3305 +a(g548 +V28 +p3306 +tp3307 +a(g900 +g962 +tp3308 +a(g548 +V33 +p3309 +tp3310 +a(g900 +g962 +tp3311 +a(g548 +V37 +p3312 +tp3313 +a(g900 +g962 +tp3314 +a(g548 +V29 +p3315 +tp3316 +a(g900 +g962 +tp3317 +a(g548 +V20 +p3318 +tp3319 +a(g900 +g962 +tp3320 +a(g548 +V0A +p3321 +tp3322 +a(g900 +g962 +tp3323 +a(g548 +V20 +p3324 +tp3325 +a(g900 +g962 +tp3326 +a(g548 +V20 +p3327 +tp3328 +a(g685 +g988 +tp3329 +a(g548 +V20 +p3330 +tp3331 +a(g900 +g962 +tp3332 +a(g548 +V20 +p3333 +tp3334 +a(g900 +g962 +tp3335 +a(g548 +V20 +p3336 +tp3337 +a(g900 +g962 +tp3338 +a(g548 +V20 +p3339 +tp3340 +a(g900 +g962 +tp3341 +a(g548 +V20 +p3342 +tp3343 +a(g900 +g962 +tp3344 +a(g548 +V20 +p3345 +tp3346 +a(g900 +g962 +tp3347 +a(g548 +V2F +p3348 +tp3349 +a(g900 +g962 +tp3350 +a(g548 +V2F +p3351 +tp3352 +a(g900 +V +p3353 +tp3354 +a(g341 +V(37) \u25d9 // +p3355 +tp3356 +a(g900 +V\u000a +p3357 +tp3358 +a(g8 +V0000 +p3359 +tp3360 +a(g685 +g958 +tp3361 +a(g8 +V0280 +p3362 +tp3363 +a(g900 +g962 +tp3364 +a(g900 +g962 +tp3365 +a(g548 +V20 +p3366 +tp3367 +a(g900 +g962 +tp3368 +a(g548 +V63 +p3369 +tp3370 +a(g900 +g962 +tp3371 +a(g548 +V6F +p3372 +tp3373 +a(g900 +g962 +tp3374 +a(g548 +V6E +p3375 +tp3376 +a(g900 +g962 +tp3377 +a(g548 +V66 +p3378 +tp3379 +a(g900 +g962 +tp3380 +a(g548 +V6C +p3381 +tp3382 +a(g900 +g962 +tp3383 +a(g548 +V69 +p3384 +tp3385 +a(g900 +g962 +tp3386 +a(g548 +V63 +p3387 +tp3388 +a(g685 +g988 +tp3389 +a(g548 +V74 +p3390 +tp3391 +a(g900 +g962 +tp3392 +a(g548 +V73 +p3393 +tp3394 +a(g900 +g962 +tp3395 +a(g548 +V20 +p3396 +tp3397 +a(g900 +g962 +tp3398 +a(g548 +V77 +p3399 +tp3400 +a(g900 +g962 +tp3401 +a(g548 +V69 +p3402 +tp3403 +a(g900 +g962 +tp3404 +a(g548 +V74 +p3405 +tp3406 +a(g900 +g962 +tp3407 +a(g548 +V68 +p3408 +tp3409 +a(g900 +g962 +tp3410 +a(g548 +V20 +p3411 +tp3412 +a(g900 +V +p3413 +tp3414 +a(g341 +V conflicts with +p3415 +tp3416 +a(g900 +V\u000a +p3417 +tp3418 +a(g8 +V0000 +p3419 +tp3420 +a(g685 +g958 +tp3421 +a(g8 +V0290 +p3422 +tp3423 +a(g900 +g962 +tp3424 +a(g900 +g962 +tp3425 +a(g548 +V73 +p3426 +tp3427 +a(g900 +g962 +tp3428 +a(g548 +V74 +p3429 +tp3430 +a(g900 +g962 +tp3431 +a(g548 +V64 +p3432 +tp3433 +a(g900 +g962 +tp3434 +a(g548 +V2E +p3435 +tp3436 +a(g900 +g962 +tp3437 +a(g548 +V73 +p3438 +tp3439 +a(g900 +g962 +tp3440 +a(g548 +V74 +p3441 +tp3442 +a(g900 +g962 +tp3443 +a(g548 +V72 +p3444 +tp3445 +a(g900 +g962 +tp3446 +a(g548 +V65 +p3447 +tp3448 +a(g685 +g988 +tp3449 +a(g548 +V61 +p3450 +tp3451 +a(g900 +g962 +tp3452 +a(g548 +V6D +p3453 +tp3454 +a(g900 +g962 +tp3455 +a(g548 +V2E +p3456 +tp3457 +a(g900 +g962 +tp3458 +a(g548 +V69 +p3459 +tp3460 +a(g900 +g962 +tp3461 +a(g548 +V73 +p3462 +tp3463 +a(g900 +g962 +tp3464 +a(g548 +V64 +p3465 +tp3466 +a(g900 +g962 +tp3467 +a(g548 +V69 +p3468 +tp3469 +a(g900 +g962 +tp3470 +a(g548 +V67 +p3471 +tp3472 +a(g900 +V +p3473 +tp3474 +a(g341 +Vstd.stream.isdig +p3475 +tp3476 +a(g900 +V\u000a +p3477 +tp3478 +a(g8 +V0000 +p3479 +tp3480 +a(g685 +g958 +tp3481 +a(g8 +V02A0 +p3482 +tp3483 +a(g900 +g962 +tp3484 +a(g900 +g962 +tp3485 +a(g548 +V69 +p3486 +tp3487 +a(g900 +g962 +tp3488 +a(g548 +V74 +p3489 +tp3490 +a(g900 +g962 +tp3491 +a(g548 +V20 +p3492 +tp3493 +a(g900 +g962 +tp3494 +a(g548 +V61 +p3495 +tp3496 +a(g900 +g962 +tp3497 +a(g548 +V74 +p3498 +tp3499 +a(g900 +g962 +tp3500 +a(g548 +V20 +p3501 +tp3502 +a(g900 +g962 +tp3503 +a(g548 +V43 +p3504 +tp3505 +a(g900 +g962 +tp3506 +a(g548 +V3A +p3507 +tp3508 +a(g685 +g988 +tp3509 +a(g548 +V5C +p3510 +tp3511 +a(g900 +g962 +tp3512 +a(g548 +V64 +p3513 +tp3514 +a(g900 +g962 +tp3515 +a(g548 +V6D +p3516 +tp3517 +a(g900 +g962 +tp3518 +a(g548 +V64 +p3519 +tp3520 +a(g900 +g962 +tp3521 +a(g548 +V5C +p3522 +tp3523 +a(g900 +g962 +tp3524 +a(g548 +V73 +p3525 +tp3526 +a(g900 +g962 +tp3527 +a(g548 +V72 +p3528 +tp3529 +a(g900 +g962 +tp3530 +a(g548 +V63 +p3531 +tp3532 +a(g900 +V +p3533 +tp3534 +a(g341 +Vit at C:\u005cdmd\u005csrc +p3535 +tp3536 +a(g900 +V\u000a +p3537 +tp3538 +a(g8 +V0000 +p3539 +tp3540 +a(g685 +g958 +tp3541 +a(g8 +V02B0 +p3542 +tp3543 +a(g900 +g962 +tp3544 +a(g900 +g962 +tp3545 +a(g548 +V5C +p3546 +tp3547 +a(g900 +g962 +tp3548 +a(g548 +V70 +p3549 +tp3550 +a(g900 +g962 +tp3551 +a(g548 +V68 +p3552 +tp3553 +a(g900 +g962 +tp3554 +a(g548 +V6F +p3555 +tp3556 +a(g900 +g962 +tp3557 +a(g548 +V62 +p3558 +tp3559 +a(g900 +g962 +tp3560 +a(g548 +V6F +p3561 +tp3562 +a(g900 +g962 +tp3563 +a(g548 +V73 +p3564 +tp3565 +a(g900 +g962 +tp3566 +a(g548 +V5C +p3567 +tp3568 +a(g685 +g988 +tp3569 +a(g548 +V73 +p3570 +tp3571 +a(g900 +g962 +tp3572 +a(g548 +V74 +p3573 +tp3574 +a(g900 +g962 +tp3575 +a(g548 +V64 +p3576 +tp3577 +a(g900 +g962 +tp3578 +a(g548 +V5C +p3579 +tp3580 +a(g900 +g962 +tp3581 +a(g548 +V73 +p3582 +tp3583 +a(g900 +g962 +tp3584 +a(g548 +V74 +p3585 +tp3586 +a(g900 +g962 +tp3587 +a(g548 +V72 +p3588 +tp3589 +a(g900 +g962 +tp3590 +a(g548 +V65 +p3591 +tp3592 +a(g900 +V +p3593 +tp3594 +a(g341 +V\u005cphobos\u005cstd\u005cstre +p3595 +tp3596 +a(g900 +V\u000a +p3597 +tp3598 +a(g8 +V0000 +p3599 +tp3600 +a(g685 +g958 +tp3601 +a(g8 +V02C0 +p3602 +tp3603 +a(g900 +g962 +tp3604 +a(g900 +g962 +tp3605 +a(g548 +V61 +p3606 +tp3607 +a(g900 +g962 +tp3608 +a(g548 +V6D +p3609 +tp3610 +a(g900 +g962 +tp3611 +a(g548 +V2E +p3612 +tp3613 +a(g900 +g962 +tp3614 +a(g548 +V64 +p3615 +tp3616 +a(g900 +g962 +tp3617 +a(g548 +V28 +p3618 +tp3619 +a(g900 +g962 +tp3620 +a(g548 +V32 +p3621 +tp3622 +a(g900 +g962 +tp3623 +a(g548 +V39 +p3624 +tp3625 +a(g900 +g962 +tp3626 +a(g548 +V32 +p3627 +tp3628 +a(g685 +g988 +tp3629 +a(g548 +V34 +p3630 +tp3631 +a(g900 +g962 +tp3632 +a(g548 +V29 +p3633 +tp3634 +a(g900 +g962 +tp3635 +a(g548 +V0A +p3636 +tp3637 +a(g900 +g962 +tp3638 +a(g548 +V20 +p3639 +tp3640 +a(g900 +g962 +tp3641 +a(g548 +V20 +p3642 +tp3643 +a(g900 +g962 +tp3644 +a(g548 +V20 +p3645 +tp3646 +a(g900 +g962 +tp3647 +a(g548 +V20 +p3648 +tp3649 +a(g900 +g962 +tp3650 +a(g548 +V20 +p3651 +tp3652 +a(g900 +V +p3653 +tp3654 +a(g341 +Vam.d(2924)\u25d9 +p3655 +tp3656 +a(g900 +V\u000a +p3657 +tp3658 +a(g8 +V0000 +p3659 +tp3660 +a(g685 +g958 +tp3661 +a(g8 +V02D0 +p3662 +tp3663 +a(g900 +g962 +tp3664 +a(g900 +g962 +tp3665 +a(g548 +V20 +p3666 +tp3667 +a(g900 +g962 +tp3668 +a(g548 +V20 +p3669 +tp3670 +a(g900 +g962 +tp3671 +a(g548 +V20 +p3672 +tp3673 +a(g900 +g962 +tp3674 +a(g548 +V69 +p3675 +tp3676 +a(g900 +g962 +tp3677 +a(g548 +V66 +p3678 +tp3679 +a(g900 +g962 +tp3680 +a(g548 +V20 +p3681 +tp3682 +a(g900 +g962 +tp3683 +a(g548 +V28 +p3684 +tp3685 +a(g900 +g962 +tp3686 +a(g548 +V21 +p3687 +tp3688 +a(g685 +g988 +tp3689 +a(g548 +V73 +p3690 +tp3691 +a(g900 +g962 +tp3692 +a(g548 +V74 +p3693 +tp3694 +a(g900 +g962 +tp3695 +a(g548 +V64 +p3696 +tp3697 +a(g900 +g962 +tp3698 +a(g548 +V2E +p3699 +tp3700 +a(g900 +g962 +tp3701 +a(g548 +V63 +p3702 +tp3703 +a(g900 +g962 +tp3704 +a(g548 +V74 +p3705 +tp3706 +a(g900 +g962 +tp3707 +a(g548 +V79 +p3708 +tp3709 +a(g900 +g962 +tp3710 +a(g548 +V70 +p3711 +tp3712 +a(g900 +V +p3713 +tp3714 +a(g341 +V if (!std.ctyp +p3715 +tp3716 +a(g900 +V\u000a +p3717 +tp3718 +a(g8 +V0000 +p3719 +tp3720 +a(g685 +g958 +tp3721 +a(g8 +V02E0 +p3722 +tp3723 +a(g900 +g962 +tp3724 +a(g900 +g962 +tp3725 +a(g548 +V65 +p3726 +tp3727 +a(g900 +g962 +tp3728 +a(g548 +V2E +p3729 +tp3730 +a(g900 +g962 +tp3731 +a(g548 +V69 +p3732 +tp3733 +a(g900 +g962 +tp3734 +a(g548 +V73 +p3735 +tp3736 +a(g900 +g962 +tp3737 +a(g548 +V64 +p3738 +tp3739 +a(g900 +g962 +tp3740 +a(g548 +V69 +p3741 +tp3742 +a(g900 +g962 +tp3743 +a(g548 +V67 +p3744 +tp3745 +a(g900 +g962 +tp3746 +a(g548 +V69 +p3747 +tp3748 +a(g685 +g988 +tp3749 +a(g548 +V74 +p3750 +tp3751 +a(g900 +g962 +tp3752 +a(g548 +V28 +p3753 +tp3754 +a(g900 +g962 +tp3755 +a(g548 +V63 +p3756 +tp3757 +a(g900 +g962 +tp3758 +a(g548 +V29 +p3759 +tp3760 +a(g900 +g962 +tp3761 +a(g548 +V29 +p3762 +tp3763 +a(g900 +g962 +tp3764 +a(g548 +V20 +p3765 +tp3766 +a(g900 +g962 +tp3767 +a(g548 +V7B +p3768 +tp3769 +a(g900 +g962 +tp3770 +a(g548 +V0A +p3771 +tp3772 +a(g900 +V +p3773 +tp3774 +a(g341 +Ve.isdigit(c)) {\u25d9 +p3775 +tp3776 +a(g900 +V\u000a +p3777 +tp3778 +a(g8 +V0000 +p3779 +tp3780 +a(g685 +g958 +tp3781 +a(g8 +V02F0 +p3782 +tp3783 +a(g900 +g962 +tp3784 +a(g900 +g962 +tp3785 +a(g548 +V20 +p3786 +tp3787 +a(g900 +g962 +tp3788 +a(g548 +V20 +p3789 +tp3790 +a(g900 +g962 +tp3791 +a(g548 +V20 +p3792 +tp3793 +a(g900 +g962 +tp3794 +a(g548 +V20 +p3795 +tp3796 +a(g900 +g962 +tp3797 +a(g548 +V20 +p3798 +tp3799 +a(g900 +g962 +tp3800 +a(g548 +V20 +p3801 +tp3802 +a(g900 +g962 +tp3803 +a(g548 +V20 +p3804 +tp3805 +a(g900 +g962 +tp3806 +a(g548 +V20 +p3807 +tp3808 +a(g685 +g988 +tp3809 +a(g548 +V20 +p3810 +tp3811 +a(g900 +g962 +tp3812 +a(g548 +V20 +p3813 +tp3814 +a(g900 +g962 +tp3815 +a(g548 +V20 +p3816 +tp3817 +a(g900 +g962 +tp3818 +a(g548 +V20 +p3819 +tp3820 +a(g900 +g962 +tp3821 +a(g548 +V69 +p3822 +tp3823 +a(g900 +g962 +tp3824 +a(g548 +V66 +p3825 +tp3826 +a(g900 +g962 +tp3827 +a(g548 +V20 +p3828 +tp3829 +a(g900 +g962 +tp3830 +a(g548 +V28 +p3831 +tp3832 +a(g900 +V +p3833 +tp3834 +a(g341 +V if ( +p3835 +tp3836 +a(g900 +V\u000a +p3837 +tp3838 +a(g8 +V0000 +p3839 +tp3840 +a(g685 +g958 +tp3841 +a(g8 +V0300 +p3842 +tp3843 +a(g900 +g962 +tp3844 +a(g900 +g962 +tp3845 +a(g548 +V21 +p3846 +tp3847 +a(g900 +g962 +tp3848 +a(g548 +V72 +p3849 +tp3850 +a(g900 +g962 +tp3851 +a(g548 +V65 +p3852 +tp3853 +a(g900 +g962 +tp3854 +a(g548 +V74 +p3855 +tp3856 +a(g900 +g962 +tp3857 +a(g548 +V29 +p3858 +tp3859 +a(g900 +g962 +tp3860 +a(g548 +V0A +p3861 +tp3862 +a(g900 +g962 +tp3863 +a(g548 +V20 +p3864 +tp3865 +a(g900 +g962 +tp3866 +a(g548 +V20 +p3867 +tp3868 +a(g685 +g988 +tp3869 +a(g548 +V20 +p3870 +tp3871 +a(g900 +g962 +tp3872 +a(g548 +V20 +p3873 +tp3874 +a(g900 +g962 +tp3875 +a(g548 +V20 +p3876 +tp3877 +a(g900 +g962 +tp3878 +a(g548 +V20 +p3879 +tp3880 +a(g900 +g962 +tp3881 +a(g548 +V20 +p3882 +tp3883 +a(g900 +g962 +tp3884 +a(g548 +V20 +p3885 +tp3886 +a(g900 +g962 +tp3887 +a(g548 +V20 +p3888 +tp3889 +a(g900 +g962 +tp3890 +a(g548 +V20 +p3891 +tp3892 +a(g900 +V +p3893 +tp3894 +a(g341 +V!ret)\u25d9 +p3895 +tp3896 +a(g900 +V\u000a +p3897 +tp3898 +a(g8 +V0000 +p3899 +tp3900 +a(g685 +g958 +tp3901 +a(g8 +V0310 +p3902 +tp3903 +a(g900 +g962 +tp3904 +a(g900 +g962 +tp3905 +a(g548 +V20 +p3906 +tp3907 +a(g900 +g962 +tp3908 +a(g548 +V20 +p3909 +tp3910 +a(g900 +g962 +tp3911 +a(g548 +V20 +p3912 +tp3913 +a(g900 +g962 +tp3914 +a(g548 +V20 +p3915 +tp3916 +a(g900 +g962 +tp3917 +a(g548 +V20 +p3918 +tp3919 +a(g900 +g962 +tp3920 +a(g548 +V20 +p3921 +tp3922 +a(g900 +g962 +tp3923 +a(g548 +V72 +p3924 +tp3925 +a(g900 +g962 +tp3926 +a(g548 +V65 +p3927 +tp3928 +a(g685 +g988 +tp3929 +a(g548 +V74 +p3930 +tp3931 +a(g900 +g962 +tp3932 +a(g548 +V20 +p3933 +tp3934 +a(g900 +g962 +tp3935 +a(g548 +V3D +p3936 +tp3937 +a(g900 +g962 +tp3938 +a(g548 +V20 +p3939 +tp3940 +a(g900 +g962 +tp3941 +a(g548 +V6C +p3942 +tp3943 +a(g900 +g962 +tp3944 +a(g548 +V69 +p3945 +tp3946 +a(g900 +g962 +tp3947 +a(g548 +V6E +p3948 +tp3949 +a(g900 +g962 +tp3950 +a(g548 +V65 +p3951 +tp3952 +a(g900 +V +p3953 +tp3954 +a(g341 +V ret = line +p3955 +tp3956 +a(g900 +V\u000a +p3957 +tp3958 +a(g8 +V0000 +p3959 +tp3960 +a(g685 +g958 +tp3961 +a(g8 +V0320 +p3962 +tp3963 +a(g900 +g962 +tp3964 +a(g900 +g962 +tp3965 +a(g548 +V5B +p3966 +tp3967 +a(g900 +g962 +tp3968 +a(g548 +V30 +p3969 +tp3970 +a(g900 +g962 +tp3971 +a(g548 +V2E +p3972 +tp3973 +a(g900 +g962 +tp3974 +a(g548 +V2E +p3975 +tp3976 +a(g900 +g962 +tp3977 +a(g548 +V69 +p3978 +tp3979 +a(g900 +g962 +tp3980 +a(g548 +V5D +p3981 +tp3982 +a(g900 +g962 +tp3983 +a(g548 +V3B +p3984 +tp3985 +a(g900 +g962 +tp3986 +a(g548 +V20 +p3987 +tp3988 +a(g685 +g988 +tp3989 +a(g548 +V20 +p3990 +tp3991 +a(g900 +g962 +tp3992 +a(g548 +V20 +p3993 +tp3994 +a(g900 +g962 +tp3995 +a(g548 +V20 +p3996 +tp3997 +a(g900 +g962 +tp3998 +a(g548 +V0A +p3999 +tp4000 +a(g900 +g962 +tp4001 +a(g548 +V20 +p4002 +tp4003 +a(g900 +g962 +tp4004 +a(g548 +V20 +p4005 +tp4006 +a(g900 +g962 +tp4007 +a(g548 +V20 +p4008 +tp4009 +a(g900 +g962 +tp4010 +a(g548 +V20 +p4011 +tp4012 +a(g900 +V +p4013 +tp4014 +a(g341 +V[0..i]; \u25d9 +p4015 +tp4016 +a(g900 +V\u000a +p4017 +tp4018 +a(g8 +V0000 +p4019 +tp4020 +a(g685 +g958 +tp4021 +a(g8 +V0330 +p4022 +tp4023 +a(g900 +g962 +tp4024 +a(g900 +g962 +tp4025 +a(g548 +V20 +p4026 +tp4027 +a(g900 +g962 +tp4028 +a(g548 +V20 +p4029 +tp4030 +a(g900 +g962 +tp4031 +a(g548 +V20 +p4032 +tp4033 +a(g900 +g962 +tp4034 +a(g548 +V20 +p4035 +tp4036 +a(g900 +g962 +tp4037 +a(g548 +V7D +p4038 +tp4039 +a(g900 +g962 +tp4040 +a(g548 +V20 +p4041 +tp4042 +a(g900 +g962 +tp4043 +a(g548 +V20 +p4044 +tp4045 +a(g900 +g962 +tp4046 +a(g548 +V20 +p4047 +tp4048 +a(g685 +g988 +tp4049 +a(g548 +V20 +p4050 +tp4051 +a(g900 +g962 +tp4052 +a(g548 +V0A +p4053 +tp4054 +a(g900 +g962 +tp4055 +a(g548 +V20 +p4056 +tp4057 +a(g900 +g962 +tp4058 +a(g548 +V20 +p4059 +tp4060 +a(g900 +g962 +tp4061 +a(g548 +V20 +p4062 +tp4063 +a(g900 +g962 +tp4064 +a(g548 +V20 +p4065 +tp4066 +a(g900 +g962 +tp4067 +a(g548 +V20 +p4068 +tp4069 +a(g900 +g962 +tp4070 +a(g548 +V20 +p4071 +tp4072 +a(g900 +V +p4073 +tp4074 +a(g341 +V } \u25d9 +p4075 +tp4076 +a(g900 +V\u000a +p4077 +tp4078 +a(g8 +V0000 +p4079 +tp4080 +a(g685 +g958 +tp4081 +a(g8 +V0340 +p4082 +tp4083 +a(g900 +g962 +tp4084 +a(g900 +g962 +tp4085 +a(g548 +V20 +p4086 +tp4087 +a(g900 +g962 +tp4088 +a(g548 +V20 +p4089 +tp4090 +a(g900 +g962 +tp4091 +a(g548 +V65 +p4092 +tp4093 +a(g900 +g962 +tp4094 +a(g548 +V6C +p4095 +tp4096 +a(g900 +g962 +tp4097 +a(g548 +V73 +p4098 +tp4099 +a(g900 +g962 +tp4100 +a(g548 +V65 +p4101 +tp4102 +a(g900 +g962 +tp4103 +a(g548 +V20 +p4104 +tp4105 +a(g900 +g962 +tp4106 +a(g548 +V69 +p4107 +tp4108 +a(g685 +g988 +tp4109 +a(g548 +V66 +p4110 +tp4111 +a(g900 +g962 +tp4112 +a(g548 +V20 +p4113 +tp4114 +a(g900 +g962 +tp4115 +a(g548 +V28 +p4116 +tp4117 +a(g900 +g962 +tp4118 +a(g548 +V72 +p4119 +tp4120 +a(g900 +g962 +tp4121 +a(g548 +V65 +p4122 +tp4123 +a(g900 +g962 +tp4124 +a(g548 +V74 +p4125 +tp4126 +a(g900 +g962 +tp4127 +a(g548 +V29 +p4128 +tp4129 +a(g900 +g962 +tp4130 +a(g548 +V0A +p4131 +tp4132 +a(g900 +V +p4133 +tp4134 +a(g341 +V else if (ret)\u25d9 +p4135 +tp4136 +a(g900 +V\u000a +p4137 +tp4138 +a(g8 +V0000 +p4139 +tp4140 +a(g685 +g958 +tp4141 +a(g8 +V0350 +p4142 +tp4143 +a(g900 +g962 +tp4144 +a(g900 +g962 +tp4145 +a(g548 +V20 +p4146 +tp4147 +a(g900 +g962 +tp4148 +a(g548 +V20 +p4149 +tp4150 +a(g900 +g962 +tp4151 +a(g548 +V20 +p4152 +tp4153 +a(g900 +g962 +tp4154 +a(g548 +V20 +p4155 +tp4156 +a(g900 +g962 +tp4157 +a(g548 +V20 +p4158 +tp4159 +a(g900 +g962 +tp4160 +a(g548 +V20 +p4161 +tp4162 +a(g900 +g962 +tp4163 +a(g548 +V20 +p4164 +tp4165 +a(g900 +g962 +tp4166 +a(g548 +V20 +p4167 +tp4168 +a(g685 +g988 +tp4169 +a(g548 +V20 +p4170 +tp4171 +a(g900 +g962 +tp4172 +a(g548 +V20 +p4173 +tp4174 +a(g900 +g962 +tp4175 +a(g548 +V20 +p4176 +tp4177 +a(g900 +g962 +tp4178 +a(g548 +V20 +p4179 +tp4180 +a(g900 +g962 +tp4181 +a(g548 +V72 +p4182 +tp4183 +a(g900 +g962 +tp4184 +a(g548 +V65 +p4185 +tp4186 +a(g900 +g962 +tp4187 +a(g548 +V74 +p4188 +tp4189 +a(g900 +g962 +tp4190 +a(g548 +V20 +p4191 +tp4192 +a(g900 +V +p4193 +tp4194 +a(g341 +V ret +p4195 +tp4196 +a(g900 +V\u000a +p4197 +tp4198 +a(g8 +V0000 +p4199 +tp4200 +a(g685 +g958 +tp4201 +a(g8 +V0360 +p4202 +tp4203 +a(g900 +g962 +tp4204 +a(g900 +g962 +tp4205 +a(g548 +V7E +p4206 +tp4207 +a(g900 +g962 +tp4208 +a(g548 +V3D +p4209 +tp4210 +a(g900 +g962 +tp4211 +a(g548 +V20 +p4212 +tp4213 +a(g900 +g962 +tp4214 +a(g548 +V63 +p4215 +tp4216 +a(g900 +g962 +tp4217 +a(g548 +V3B +p4218 +tp4219 +a(g900 +g962 +tp4220 +a(g548 +V20 +p4221 +tp4222 +a(g900 +g962 +tp4223 +a(g548 +V20 +p4224 +tp4225 +a(g900 +g962 +tp4226 +a(g548 +V20 +p4227 +tp4228 +a(g685 +g988 +tp4229 +a(g548 +V20 +p4230 +tp4231 +a(g900 +g962 +tp4232 +a(g548 +V0A +p4233 +tp4234 +a(g900 +g962 +tp4235 +a(g548 +V20 +p4236 +tp4237 +a(g900 +g962 +tp4238 +a(g548 +V20 +p4239 +tp4240 +a(g900 +g962 +tp4241 +a(g548 +V20 +p4242 +tp4243 +a(g900 +g962 +tp4244 +a(g548 +V20 +p4245 +tp4246 +a(g900 +g962 +tp4247 +a(g548 +V7D +p4248 +tp4249 +a(g900 +g962 +tp4250 +a(g548 +V20 +p4251 +tp4252 +a(g900 +V +p4253 +tp4254 +a(g341 +V~= c; \u25d9 } +p4255 +tp4256 +a(g900 +V\u000a +p4257 +tp4258 +a(g8 +V0000 +p4259 +tp4260 +a(g685 +g958 +tp4261 +a(g8 +V0370 +p4262 +tp4263 +a(g900 +g962 +tp4264 +a(g900 +g962 +tp4265 +a(g548 +V20 +p4266 +tp4267 +a(g900 +g962 +tp4268 +a(g548 +V20 +p4269 +tp4270 +a(g900 +g962 +tp4271 +a(g548 +V20 +p4272 +tp4273 +a(g900 +g962 +tp4274 +a(g548 +V0A +p4275 +tp4276 +a(g900 +g962 +tp4277 +a(g548 +V20 +p4278 +tp4279 +a(g900 +g962 +tp4280 +a(g548 +V20 +p4281 +tp4282 +a(g900 +g962 +tp4283 +a(g548 +V20 +p4284 +tp4285 +a(g900 +g962 +tp4286 +a(g548 +V20 +p4287 +tp4288 +a(g685 +g988 +tp4289 +a(g548 +V72 +p4290 +tp4291 +a(g900 +g962 +tp4292 +a(g548 +V65 +p4293 +tp4294 +a(g900 +g962 +tp4295 +a(g548 +V74 +p4296 +tp4297 +a(g900 +g962 +tp4298 +a(g548 +V75 +p4299 +tp4300 +a(g900 +g962 +tp4301 +a(g548 +V72 +p4302 +tp4303 +a(g900 +g962 +tp4304 +a(g548 +V6E +p4305 +tp4306 +a(g900 +g962 +tp4307 +a(g548 +V20 +p4308 +tp4309 +a(g900 +g962 +tp4310 +a(g548 +V72 +p4311 +tp4312 +a(g900 +V +p4313 +tp4314 +a(g341 +V \u25d9 return r +p4315 +tp4316 +a(g900 +V\u000a +p4317 +tp4318 +a(g8 +V0000 +p4319 +tp4320 +a(g685 +g958 +tp4321 +a(g8 +V0380 +p4322 +tp4323 +a(g900 +g962 +tp4324 +a(g900 +g962 +tp4325 +a(g548 +V65 +p4326 +tp4327 +a(g900 +g962 +tp4328 +a(g548 +V74 +p4329 +tp4330 +a(g900 +g962 +tp4331 +a(g548 +V3F +p4332 +tp4333 +a(g900 +g962 +tp4334 +a(g548 +V72 +p4335 +tp4336 +a(g900 +g962 +tp4337 +a(g548 +V65 +p4338 +tp4339 +a(g900 +g962 +tp4340 +a(g548 +V74 +p4341 +tp4342 +a(g900 +g962 +tp4343 +a(g548 +V3A +p4344 +tp4345 +a(g900 +g962 +tp4346 +a(g548 +V6C +p4347 +tp4348 +a(g685 +g988 +tp4349 +a(g548 +V69 +p4350 +tp4351 +a(g900 +g962 +tp4352 +a(g548 +V6E +p4353 +tp4354 +a(g900 +g962 +tp4355 +a(g548 +V65 +p4356 +tp4357 +a(g900 +g962 +tp4358 +a(g548 +V3B +p4359 +tp4360 +a(g900 +g962 +tp4361 +a(g548 +V0A +p4362 +tp4363 +a(g900 +g962 +tp4364 +a(g548 +V7D +p4365 +tp4366 +a(g900 +g962 +tp4367 +a(g548 +V0A +p4368 +tp4369 +a(g900 +g962 +tp4370 +a(g548 +V0A +p4371 +tp4372 +a(g900 +V +p4373 +tp4374 +a(g341 +Vet?ret:line;\u25d9}\u25d9\u25d9 +p4375 +tp4376 +a(g900 +V\u000a +p4377 +tp4378 +a(g8 +V0000 +p4379 +tp4380 +a(g685 +g958 +tp4381 +a(g8 +V0390 +p4382 +tp4383 +a(g900 +g962 +tp4384 +a(g900 +g962 +tp4385 +a(g548 +V75 +p4386 +tp4387 +a(g900 +g962 +tp4388 +a(g548 +V6E +p4389 +tp4390 +a(g900 +g962 +tp4391 +a(g548 +V69 +p4392 +tp4393 +a(g900 +g962 +tp4394 +a(g548 +V74 +p4395 +tp4396 +a(g900 +g962 +tp4397 +a(g548 +V74 +p4398 +tp4399 +a(g900 +g962 +tp4400 +a(g548 +V65 +p4401 +tp4402 +a(g900 +g962 +tp4403 +a(g548 +V73 +p4404 +tp4405 +a(g900 +g962 +tp4406 +a(g548 +V74 +p4407 +tp4408 +a(g685 +g988 +tp4409 +a(g548 +V20 +p4410 +tp4411 +a(g900 +g962 +tp4412 +a(g548 +V7B +p4413 +tp4414 +a(g900 +g962 +tp4415 +a(g548 +V0A +p4416 +tp4417 +a(g900 +g962 +tp4418 +a(g548 +V20 +p4419 +tp4420 +a(g900 +g962 +tp4421 +a(g548 +V20 +p4422 +tp4423 +a(g900 +g962 +tp4424 +a(g548 +V20 +p4425 +tp4426 +a(g900 +g962 +tp4427 +a(g548 +V20 +p4428 +tp4429 +a(g900 +g962 +tp4430 +a(g548 +V61 +p4431 +tp4432 +a(g900 +V +p4433 +tp4434 +a(g341 +Vunittest {\u25d9 a +p4435 +tp4436 +a(g900 +V\u000a +p4437 +tp4438 +a(g8 +V0000 +p4439 +tp4440 +a(g685 +g958 +tp4441 +a(g8 +V03A0 +p4442 +tp4443 +a(g900 +g962 +tp4444 +a(g900 +g962 +tp4445 +a(g548 +V73 +p4446 +tp4447 +a(g900 +g962 +tp4448 +a(g548 +V73 +p4449 +tp4450 +a(g900 +g962 +tp4451 +a(g548 +V65 +p4452 +tp4453 +a(g900 +g962 +tp4454 +a(g548 +V72 +p4455 +tp4456 +a(g900 +g962 +tp4457 +a(g548 +V74 +p4458 +tp4459 +a(g900 +g962 +tp4460 +a(g548 +V28 +p4461 +tp4462 +a(g900 +g962 +tp4463 +a(g548 +V20 +p4464 +tp4465 +a(g900 +g962 +tp4466 +a(g548 +V73 +p4467 +tp4468 +a(g685 +g988 +tp4469 +a(g548 +V74 +p4470 +tp4471 +a(g900 +g962 +tp4472 +a(g548 +V72 +p4473 +tp4474 +a(g900 +g962 +tp4475 +a(g548 +V69 +p4476 +tp4477 +a(g900 +g962 +tp4478 +a(g548 +V70 +p4479 +tp4480 +a(g900 +g962 +tp4481 +a(g548 +V4E +p4482 +tp4483 +a(g900 +g962 +tp4484 +a(g548 +V6F +p4485 +tp4486 +a(g900 +g962 +tp4487 +a(g548 +V6E +p4488 +tp4489 +a(g900 +g962 +tp4490 +a(g548 +V44 +p4491 +tp4492 +a(g900 +V +p4493 +tp4494 +a(g341 +Vssert( stripNonD +p4495 +tp4496 +a(g900 +V\u000a +p4497 +tp4498 +a(g8 +V0000 +p4499 +tp4500 +a(g685 +g958 +tp4501 +a(g8 +V03B0 +p4502 +tp4503 +a(g900 +g962 +tp4504 +a(g900 +g962 +tp4505 +a(g548 +V69 +p4506 +tp4507 +a(g900 +g962 +tp4508 +a(g548 +V67 +p4509 +tp4510 +a(g900 +g962 +tp4511 +a(g548 +V69 +p4512 +tp4513 +a(g900 +g962 +tp4514 +a(g548 +V74 +p4515 +tp4516 +a(g900 +g962 +tp4517 +a(g548 +V28 +p4518 +tp4519 +a(g900 +g962 +tp4520 +a(g548 +V22 +p4521 +tp4522 +a(g900 +g962 +tp4523 +a(g548 +V61 +p4524 +tp4525 +a(g900 +g962 +tp4526 +a(g548 +V73 +p4527 +tp4528 +a(g685 +g988 +tp4529 +a(g548 +V64 +p4530 +tp4531 +a(g900 +g962 +tp4532 +a(g548 +V66 +p4533 +tp4534 +a(g900 +g962 +tp4535 +a(g548 +V22 +p4536 +tp4537 +a(g900 +g962 +tp4538 +a(g548 +V29 +p4539 +tp4540 +a(g900 +g962 +tp4541 +a(g548 +V20 +p4542 +tp4543 +a(g900 +g962 +tp4544 +a(g548 +V3D +p4545 +tp4546 +a(g900 +g962 +tp4547 +a(g548 +V3D +p4548 +tp4549 +a(g900 +g962 +tp4550 +a(g548 +V20 +p4551 +tp4552 +a(g900 +V +p4553 +tp4554 +a(g341 +Vigit("asdf") == +p4555 +tp4556 +a(g900 +V\u000a +p4557 +tp4558 +a(g8 +V0000 +p4559 +tp4560 +a(g685 +g958 +tp4561 +a(g8 +V03C0 +p4562 +tp4563 +a(g900 +g962 +tp4564 +a(g900 +g962 +tp4565 +a(g548 +V22 +p4566 +tp4567 +a(g900 +g962 +tp4568 +a(g548 +V22 +p4569 +tp4570 +a(g900 +g962 +tp4571 +a(g548 +V20 +p4572 +tp4573 +a(g900 +g962 +tp4574 +a(g548 +V20 +p4575 +tp4576 +a(g900 +g962 +tp4577 +a(g548 +V29 +p4578 +tp4579 +a(g900 +g962 +tp4580 +a(g548 +V3B +p4581 +tp4582 +a(g900 +g962 +tp4583 +a(g548 +V0A +p4584 +tp4585 +a(g900 +g962 +tp4586 +a(g548 +V20 +p4587 +tp4588 +a(g685 +g988 +tp4589 +a(g548 +V20 +p4590 +tp4591 +a(g900 +g962 +tp4592 +a(g548 +V20 +p4593 +tp4594 +a(g900 +g962 +tp4595 +a(g548 +V20 +p4596 +tp4597 +a(g900 +g962 +tp4598 +a(g548 +V61 +p4599 +tp4600 +a(g900 +g962 +tp4601 +a(g548 +V73 +p4602 +tp4603 +a(g900 +g962 +tp4604 +a(g548 +V73 +p4605 +tp4606 +a(g900 +g962 +tp4607 +a(g548 +V65 +p4608 +tp4609 +a(g900 +g962 +tp4610 +a(g548 +V72 +p4611 +tp4612 +a(g900 +V +p4613 +tp4614 +a(g341 +V"" );\u25d9 asser +p4615 +tp4616 +a(g900 +V\u000a +p4617 +tp4618 +a(g8 +V0000 +p4619 +tp4620 +a(g685 +g958 +tp4621 +a(g8 +V03D0 +p4622 +tp4623 +a(g900 +g962 +tp4624 +a(g900 +g962 +tp4625 +a(g548 +V74 +p4626 +tp4627 +a(g900 +g962 +tp4628 +a(g548 +V28 +p4629 +tp4630 +a(g900 +g962 +tp4631 +a(g548 +V20 +p4632 +tp4633 +a(g900 +g962 +tp4634 +a(g548 +V73 +p4635 +tp4636 +a(g900 +g962 +tp4637 +a(g548 +V74 +p4638 +tp4639 +a(g900 +g962 +tp4640 +a(g548 +V72 +p4641 +tp4642 +a(g900 +g962 +tp4643 +a(g548 +V69 +p4644 +tp4645 +a(g900 +g962 +tp4646 +a(g548 +V70 +p4647 +tp4648 +a(g685 +g988 +tp4649 +a(g548 +V4E +p4650 +tp4651 +a(g900 +g962 +tp4652 +a(g548 +V6F +p4653 +tp4654 +a(g900 +g962 +tp4655 +a(g548 +V6E +p4656 +tp4657 +a(g900 +g962 +tp4658 +a(g548 +V44 +p4659 +tp4660 +a(g900 +g962 +tp4661 +a(g548 +V69 +p4662 +tp4663 +a(g900 +g962 +tp4664 +a(g548 +V67 +p4665 +tp4666 +a(g900 +g962 +tp4667 +a(g548 +V69 +p4668 +tp4669 +a(g900 +g962 +tp4670 +a(g548 +V74 +p4671 +tp4672 +a(g900 +V +p4673 +tp4674 +a(g341 +Vt( stripNonDigit +p4675 +tp4676 +a(g900 +V\u000a +p4677 +tp4678 +a(g8 +V0000 +p4679 +tp4680 +a(g685 +g958 +tp4681 +a(g8 +V03E0 +p4682 +tp4683 +a(g900 +g962 +tp4684 +a(g900 +g962 +tp4685 +a(g548 +V28 +p4686 +tp4687 +a(g900 +g962 +tp4688 +a(g548 +V22 +p4689 +tp4690 +a(g900 +g962 +tp4691 +a(g548 +V5C +p4692 +tp4693 +a(g900 +g962 +tp4694 +a(g548 +V27 +p4695 +tp4696 +a(g900 +g962 +tp4697 +a(g548 +V31 +p4698 +tp4699 +a(g900 +g962 +tp4700 +a(g548 +V33 +p4701 +tp4702 +a(g900 +g962 +tp4703 +a(g548 +V2D +p4704 +tp4705 +a(g900 +g962 +tp4706 +a(g548 +V3D +p4707 +tp4708 +a(g685 +g988 +tp4709 +a(g548 +V32 +p4710 +tp4711 +a(g900 +g962 +tp4712 +a(g548 +V20 +p4713 +tp4714 +a(g900 +g962 +tp4715 +a(g548 +V34 +p4716 +tp4717 +a(g900 +g962 +tp4718 +a(g548 +V6B +p4719 +tp4720 +a(g900 +g962 +tp4721 +a(g548 +V6F +p4722 +tp4723 +a(g900 +g962 +tp4724 +a(g548 +V70 +p4725 +tp4726 +a(g900 +g962 +tp4727 +a(g548 +V22 +p4728 +tp4729 +a(g900 +g962 +tp4730 +a(g548 +V29 +p4731 +tp4732 +a(g900 +V +p4733 +tp4734 +a(g341 +V("\u005c'13-=2 4kop") +p4735 +tp4736 +a(g900 +V\u000a +p4737 +tp4738 +a(g8 +V0000 +p4739 +tp4740 +a(g685 +g958 +tp4741 +a(g8 +V03F0 +p4742 +tp4743 +a(g900 +g962 +tp4744 +a(g900 +g962 +tp4745 +a(g548 +V20 +p4746 +tp4747 +a(g900 +g962 +tp4748 +a(g548 +V3D +p4749 +tp4750 +a(g900 +g962 +tp4751 +a(g548 +V3D +p4752 +tp4753 +a(g900 +g962 +tp4754 +a(g548 +V20 +p4755 +tp4756 +a(g900 +g962 +tp4757 +a(g548 +V20 +p4758 +tp4759 +a(g900 +g962 +tp4760 +a(g548 +V22 +p4761 +tp4762 +a(g900 +g962 +tp4763 +a(g548 +V31 +p4764 +tp4765 +a(g900 +g962 +tp4766 +a(g548 +V33 +p4767 +tp4768 +a(g685 +g988 +tp4769 +a(g548 +V32 +p4770 +tp4771 +a(g900 +g962 +tp4772 +a(g548 +V34 +p4773 +tp4774 +a(g900 +g962 +tp4775 +a(g548 +V22 +p4776 +tp4777 +a(g900 +g962 +tp4778 +a(g548 +V20 +p4779 +tp4780 +a(g900 +g962 +tp4781 +a(g548 +V20 +p4782 +tp4783 +a(g900 +g962 +tp4784 +a(g548 +V29 +p4785 +tp4786 +a(g900 +g962 +tp4787 +a(g548 +V3B +p4788 +tp4789 +a(g900 +g962 +tp4790 +a(g548 +V0A +p4791 +tp4792 +a(g900 +V +p4793 +tp4794 +a(g341 +V == "1324" );\u25d9 +p4795 +tp4796 +a(g900 +V\u000a +p4797 +tp4798 +a(g8 +V0000 +p4799 +tp4800 +a(g685 +g958 +tp4801 +a(g8 +V0400 +p4802 +tp4803 +a(g900 +g962 +tp4804 +a(g900 +g962 +tp4805 +a(g548 +V7D +p4806 +tp4807 +a(g900 +g962 +tp4808 +a(g548 +V0A +p4809 +tp4810 +a(g900 +g962 +tp4811 +a(g548 +V0A +p4812 +tp4813 +a(g900 +g962 +tp4814 +a(g548 +V2F +p4815 +tp4816 +a(g900 +g962 +tp4817 +a(g548 +V2F +p4818 +tp4819 +a(g900 +g962 +tp4820 +a(g548 +V2F +p4821 +tp4822 +a(g900 +g962 +tp4823 +a(g548 +V20 +p4824 +tp4825 +a(g900 +g962 +tp4826 +a(g548 +V43 +p4827 +tp4828 +a(g685 +g988 +tp4829 +a(g548 +V6F +p4830 +tp4831 +a(g900 +g962 +tp4832 +a(g548 +V6E +p4833 +tp4834 +a(g900 +g962 +tp4835 +a(g548 +V76 +p4836 +tp4837 +a(g900 +g962 +tp4838 +a(g548 +V65 +p4839 +tp4840 +a(g900 +g962 +tp4841 +a(g548 +V72 +p4842 +tp4843 +a(g900 +g962 +tp4844 +a(g548 +V74 +p4845 +tp4846 +a(g900 +g962 +tp4847 +a(g548 +V73 +p4848 +tp4849 +a(g900 +g962 +tp4850 +a(g548 +V20 +p4851 +tp4852 +a(g900 +V +p4853 +tp4854 +a(g341 +V}\u25d9\u25d9/// Converts +p4855 +tp4856 +a(g900 +V\u000a +p4857 +tp4858 +a(g8 +V0000 +p4859 +tp4860 +a(g685 +g958 +tp4861 +a(g8 +V0410 +p4862 +tp4863 +a(g900 +g962 +tp4864 +a(g900 +g962 +tp4865 +a(g548 +V61 +p4866 +tp4867 +a(g900 +g962 +tp4868 +a(g548 +V20 +p4869 +tp4870 +a(g900 +g962 +tp4871 +a(g548 +V77 +p4872 +tp4873 +a(g900 +g962 +tp4874 +a(g548 +V6F +p4875 +tp4876 +a(g900 +g962 +tp4877 +a(g548 +V72 +p4878 +tp4879 +a(g900 +g962 +tp4880 +a(g548 +V64 +p4881 +tp4882 +a(g900 +g962 +tp4883 +a(g548 +V20 +p4884 +tp4885 +a(g900 +g962 +tp4886 +a(g548 +V69 +p4887 +tp4888 +a(g685 +g988 +tp4889 +a(g548 +V6E +p4890 +tp4891 +a(g900 +g962 +tp4892 +a(g548 +V74 +p4893 +tp4894 +a(g900 +g962 +tp4895 +a(g548 +V6F +p4896 +tp4897 +a(g900 +g962 +tp4898 +a(g548 +V20 +p4899 +tp4900 +a(g900 +g962 +tp4901 +a(g548 +V61 +p4902 +tp4903 +a(g900 +g962 +tp4904 +a(g548 +V20 +p4905 +tp4906 +a(g900 +g962 +tp4907 +a(g548 +V6E +p4908 +tp4909 +a(g900 +g962 +tp4910 +a(g548 +V75 +p4911 +tp4912 +a(g900 +V +p4913 +tp4914 +a(g341 +Va word into a nu +p4915 +tp4916 +a(g900 +V\u000a +p4917 +tp4918 +a(g8 +V0000 +p4919 +tp4920 +a(g685 +g958 +tp4921 +a(g8 +V0420 +p4922 +tp4923 +a(g900 +g962 +tp4924 +a(g900 +g962 +tp4925 +a(g548 +V6D +p4926 +tp4927 +a(g900 +g962 +tp4928 +a(g548 +V62 +p4929 +tp4930 +a(g900 +g962 +tp4931 +a(g548 +V65 +p4932 +tp4933 +a(g900 +g962 +tp4934 +a(g548 +V72 +p4935 +tp4936 +a(g900 +g962 +tp4937 +a(g548 +V2C +p4938 +tp4939 +a(g900 +g962 +tp4940 +a(g548 +V20 +p4941 +tp4942 +a(g900 +g962 +tp4943 +a(g548 +V69 +p4944 +tp4945 +a(g900 +g962 +tp4946 +a(g548 +V67 +p4947 +tp4948 +a(g685 +g988 +tp4949 +a(g548 +V6E +p4950 +tp4951 +a(g900 +g962 +tp4952 +a(g548 +V6F +p4953 +tp4954 +a(g900 +g962 +tp4955 +a(g548 +V72 +p4956 +tp4957 +a(g900 +g962 +tp4958 +a(g548 +V69 +p4959 +tp4960 +a(g900 +g962 +tp4961 +a(g548 +V6E +p4962 +tp4963 +a(g900 +g962 +tp4964 +a(g548 +V67 +p4965 +tp4966 +a(g900 +g962 +tp4967 +a(g548 +V20 +p4968 +tp4969 +a(g900 +g962 +tp4970 +a(g548 +V61 +p4971 +tp4972 +a(g900 +V +p4973 +tp4974 +a(g341 +Vmber, ignoring a +p4975 +tp4976 +a(g900 +V\u000a +p4977 +tp4978 +a(g8 +V0000 +p4979 +tp4980 +a(g685 +g958 +tp4981 +a(g8 +V0430 +p4982 +tp4983 +a(g900 +g962 +tp4984 +a(g900 +g962 +tp4985 +a(g548 +V6C +p4986 +tp4987 +a(g900 +g962 +tp4988 +a(g548 +V6C +p4989 +tp4990 +a(g900 +g962 +tp4991 +a(g548 +V20 +p4992 +tp4993 +a(g900 +g962 +tp4994 +a(g548 +V6E +p4995 +tp4996 +a(g900 +g962 +tp4997 +a(g548 +V6F +p4998 +tp4999 +a(g900 +g962 +tp5000 +a(g548 +V6E +p5001 +tp5002 +a(g900 +g962 +tp5003 +a(g548 +V20 +p5004 +tp5005 +a(g900 +g962 +tp5006 +a(g548 +V61 +p5007 +tp5008 +a(g685 +g988 +tp5009 +a(g548 +V6C +p5010 +tp5011 +a(g900 +g962 +tp5012 +a(g548 +V70 +p5013 +tp5014 +a(g900 +g962 +tp5015 +a(g548 +V68 +p5016 +tp5017 +a(g900 +g962 +tp5018 +a(g548 +V61 +p5019 +tp5020 +a(g900 +g962 +tp5021 +a(g548 +V20 +p5022 +tp5023 +a(g900 +g962 +tp5024 +a(g548 +V63 +p5025 +tp5026 +a(g900 +g962 +tp5027 +a(g548 +V68 +p5028 +tp5029 +a(g900 +g962 +tp5030 +a(g548 +V61 +p5031 +tp5032 +a(g900 +V +p5033 +tp5034 +a(g341 +Vll non alpha cha +p5035 +tp5036 +a(g900 +V\u000a +p5037 +tp5038 +a(g8 +V0000 +p5039 +tp5040 +a(g685 +g958 +tp5041 +a(g8 +V0440 +p5042 +tp5043 +a(g900 +g962 +tp5044 +a(g900 +g962 +tp5045 +a(g548 +V72 +p5046 +tp5047 +a(g900 +g962 +tp5048 +a(g548 +V61 +p5049 +tp5050 +a(g900 +g962 +tp5051 +a(g548 +V63 +p5052 +tp5053 +a(g900 +g962 +tp5054 +a(g548 +V74 +p5055 +tp5056 +a(g900 +g962 +tp5057 +a(g548 +V65 +p5058 +tp5059 +a(g900 +g962 +tp5060 +a(g548 +V72 +p5061 +tp5062 +a(g900 +g962 +tp5063 +a(g548 +V73 +p5064 +tp5065 +a(g900 +g962 +tp5066 +a(g548 +V20 +p5067 +tp5068 +a(g685 +g988 +tp5069 +a(g548 +V20 +p5070 +tp5071 +a(g900 +g962 +tp5072 +a(g548 +V0A +p5073 +tp5074 +a(g900 +g962 +tp5075 +a(g548 +V73 +p5076 +tp5077 +a(g900 +g962 +tp5078 +a(g548 +V74 +p5079 +tp5080 +a(g900 +g962 +tp5081 +a(g548 +V72 +p5082 +tp5083 +a(g900 +g962 +tp5084 +a(g548 +V69 +p5085 +tp5086 +a(g900 +g962 +tp5087 +a(g548 +V6E +p5088 +tp5089 +a(g900 +g962 +tp5090 +a(g548 +V67 +p5091 +tp5092 +a(g900 +V +p5093 +tp5094 +a(g341 +Vracters \u25d9string +p5095 +tp5096 +a(g900 +V\u000a +p5097 +tp5098 +a(g8 +V0000 +p5099 +tp5100 +a(g685 +g958 +tp5101 +a(g8 +V0450 +p5102 +tp5103 +a(g900 +g962 +tp5104 +a(g900 +g962 +tp5105 +a(g548 +V20 +p5106 +tp5107 +a(g900 +g962 +tp5108 +a(g548 +V77 +p5109 +tp5110 +a(g900 +g962 +tp5111 +a(g548 +V6F +p5112 +tp5113 +a(g900 +g962 +tp5114 +a(g548 +V72 +p5115 +tp5116 +a(g900 +g962 +tp5117 +a(g548 +V64 +p5118 +tp5119 +a(g900 +g962 +tp5120 +a(g548 +V54 +p5121 +tp5122 +a(g900 +g962 +tp5123 +a(g548 +V6F +p5124 +tp5125 +a(g900 +g962 +tp5126 +a(g548 +V4E +p5127 +tp5128 +a(g685 +g988 +tp5129 +a(g548 +V75 +p5130 +tp5131 +a(g900 +g962 +tp5132 +a(g548 +V6D +p5133 +tp5134 +a(g900 +g962 +tp5135 +a(g548 +V28 +p5136 +tp5137 +a(g900 +g962 +tp5138 +a(g548 +V20 +p5139 +tp5140 +a(g900 +g962 +tp5141 +a(g548 +V69 +p5142 +tp5143 +a(g900 +g962 +tp5144 +a(g548 +V6E +p5145 +tp5146 +a(g900 +g962 +tp5147 +a(g548 +V20 +p5148 +tp5149 +a(g900 +g962 +tp5150 +a(g548 +V73 +p5151 +tp5152 +a(g900 +V +p5153 +tp5154 +a(g341 +V wordToNum( in s +p5155 +tp5156 +a(g900 +V\u000a +p5157 +tp5158 +a(g8 +V0000 +p5159 +tp5160 +a(g685 +g958 +tp5161 +a(g8 +V0460 +p5162 +tp5163 +a(g900 +g962 +tp5164 +a(g900 +g962 +tp5165 +a(g548 +V74 +p5166 +tp5167 +a(g900 +g962 +tp5168 +a(g548 +V72 +p5169 +tp5170 +a(g900 +g962 +tp5171 +a(g548 +V69 +p5172 +tp5173 +a(g900 +g962 +tp5174 +a(g548 +V6E +p5175 +tp5176 +a(g900 +g962 +tp5177 +a(g548 +V67 +p5178 +tp5179 +a(g900 +g962 +tp5180 +a(g548 +V20 +p5181 +tp5182 +a(g900 +g962 +tp5183 +a(g548 +V77 +p5184 +tp5185 +a(g900 +g962 +tp5186 +a(g548 +V6F +p5187 +tp5188 +a(g685 +g988 +tp5189 +a(g548 +V72 +p5190 +tp5191 +a(g900 +g962 +tp5192 +a(g548 +V64 +p5193 +tp5194 +a(g900 +g962 +tp5195 +a(g548 +V20 +p5196 +tp5197 +a(g900 +g962 +tp5198 +a(g548 +V29 +p5199 +tp5200 +a(g900 +g962 +tp5201 +a(g548 +V0A +p5202 +tp5203 +a(g900 +g962 +tp5204 +a(g548 +V7B +p5205 +tp5206 +a(g900 +g962 +tp5207 +a(g548 +V0A +p5208 +tp5209 +a(g900 +g962 +tp5210 +a(g548 +V2F +p5211 +tp5212 +a(g900 +V +p5213 +tp5214 +a(g341 +Vtring word )\u25d9{\u25d9/ +p5215 +tp5216 +a(g900 +V\u000a +p5217 +tp5218 +a(g8 +V0000 +p5219 +tp5220 +a(g685 +g958 +tp5221 +a(g8 +V0470 +p5222 +tp5223 +a(g900 +g962 +tp5224 +a(g900 +g962 +tp5225 +a(g548 +V2F +p5226 +tp5227 +a(g900 +g962 +tp5228 +a(g548 +V20 +p5229 +tp5230 +a(g900 +g962 +tp5231 +a(g548 +V74 +p5232 +tp5233 +a(g900 +g962 +tp5234 +a(g548 +V72 +p5235 +tp5236 +a(g900 +g962 +tp5237 +a(g548 +V61 +p5238 +tp5239 +a(g900 +g962 +tp5240 +a(g548 +V6E +p5241 +tp5242 +a(g900 +g962 +tp5243 +a(g548 +V73 +p5244 +tp5245 +a(g900 +g962 +tp5246 +a(g548 +V6C +p5247 +tp5248 +a(g685 +g988 +tp5249 +a(g548 +V61 +p5250 +tp5251 +a(g900 +g962 +tp5252 +a(g548 +V74 +p5253 +tp5254 +a(g900 +g962 +tp5255 +a(g548 +V69 +p5256 +tp5257 +a(g900 +g962 +tp5258 +a(g548 +V6F +p5259 +tp5260 +a(g900 +g962 +tp5261 +a(g548 +V6E +p5262 +tp5263 +a(g900 +g962 +tp5264 +a(g548 +V20 +p5265 +tp5266 +a(g900 +g962 +tp5267 +a(g548 +V74 +p5268 +tp5269 +a(g900 +g962 +tp5270 +a(g548 +V61 +p5271 +tp5272 +a(g900 +V +p5273 +tp5274 +a(g341 +V/ translation ta +p5275 +tp5276 +a(g900 +V\u000a +p5277 +tp5278 +a(g8 +V0000 +p5279 +tp5280 +a(g685 +g958 +tp5281 +a(g8 +V0480 +p5282 +tp5283 +a(g900 +g962 +tp5284 +a(g900 +g962 +tp5285 +a(g548 +V62 +p5286 +tp5287 +a(g900 +g962 +tp5288 +a(g548 +V6C +p5289 +tp5290 +a(g900 +g962 +tp5291 +a(g548 +V65 +p5292 +tp5293 +a(g900 +g962 +tp5294 +a(g548 +V20 +p5295 +tp5296 +a(g900 +g962 +tp5297 +a(g548 +V66 +p5298 +tp5299 +a(g900 +g962 +tp5300 +a(g548 +V6F +p5301 +tp5302 +a(g900 +g962 +tp5303 +a(g548 +V72 +p5304 +tp5305 +a(g900 +g962 +tp5306 +a(g548 +V20 +p5307 +tp5308 +a(g685 +g988 +tp5309 +a(g548 +V74 +p5310 +tp5311 +a(g900 +g962 +tp5312 +a(g548 +V68 +p5313 +tp5314 +a(g900 +g962 +tp5315 +a(g548 +V65 +p5316 +tp5317 +a(g900 +g962 +tp5318 +a(g548 +V20 +p5319 +tp5320 +a(g900 +g962 +tp5321 +a(g548 +V74 +p5322 +tp5323 +a(g900 +g962 +tp5324 +a(g548 +V61 +p5325 +tp5326 +a(g900 +g962 +tp5327 +a(g548 +V73 +p5328 +tp5329 +a(g900 +g962 +tp5330 +a(g548 +V6B +p5331 +tp5332 +a(g900 +V +p5333 +tp5334 +a(g341 +Vble for the task +p5335 +tp5336 +a(g900 +V\u000a +p5337 +tp5338 +a(g8 +V0000 +p5339 +tp5340 +a(g685 +g958 +tp5341 +a(g8 +V0490 +p5342 +tp5343 +a(g900 +g962 +tp5344 +a(g900 +g962 +tp5345 +a(g548 +V20 +p5346 +tp5347 +a(g900 +g962 +tp5348 +a(g548 +V61 +p5349 +tp5350 +a(g900 +g962 +tp5351 +a(g548 +V74 +p5352 +tp5353 +a(g900 +g962 +tp5354 +a(g548 +V20 +p5355 +tp5356 +a(g900 +g962 +tp5357 +a(g548 +V68 +p5358 +tp5359 +a(g900 +g962 +tp5360 +a(g548 +V61 +p5361 +tp5362 +a(g900 +g962 +tp5363 +a(g548 +V6E +p5364 +tp5365 +a(g900 +g962 +tp5366 +a(g548 +V64 +p5367 +tp5368 +a(g685 +g988 +tp5369 +a(g548 +V0A +p5370 +tp5371 +a(g900 +g962 +tp5372 +a(g548 +V63 +p5373 +tp5374 +a(g900 +g962 +tp5375 +a(g548 +V6F +p5376 +tp5377 +a(g900 +g962 +tp5378 +a(g548 +V6E +p5379 +tp5380 +a(g900 +g962 +tp5381 +a(g548 +V73 +p5382 +tp5383 +a(g900 +g962 +tp5384 +a(g548 +V74 +p5385 +tp5386 +a(g900 +g962 +tp5387 +a(g548 +V20 +p5388 +tp5389 +a(g900 +g962 +tp5390 +a(g548 +V63 +p5391 +tp5392 +a(g900 +V +p5393 +tp5394 +a(g341 +V at hand\u25d9const c +p5395 +tp5396 +a(g900 +V\u000a +p5397 +tp5398 +a(g8 +V0000 +p5399 +tp5400 +a(g685 +g958 +tp5401 +a(g8 +V04A0 +p5402 +tp5403 +a(g900 +g962 +tp5404 +a(g900 +g962 +tp5405 +a(g548 +V68 +p5406 +tp5407 +a(g900 +g962 +tp5408 +a(g548 +V61 +p5409 +tp5410 +a(g900 +g962 +tp5411 +a(g548 +V72 +p5412 +tp5413 +a(g900 +g962 +tp5414 +a(g548 +V5B +p5415 +tp5416 +a(g900 +g962 +tp5417 +a(g548 +V32 +p5418 +tp5419 +a(g900 +g962 +tp5420 +a(g548 +V35 +p5421 +tp5422 +a(g900 +g962 +tp5423 +a(g548 +V36 +p5424 +tp5425 +a(g900 +g962 +tp5426 +a(g548 +V5D +p5427 +tp5428 +a(g685 +g988 +tp5429 +a(g548 +V20 +p5430 +tp5431 +a(g900 +g962 +tp5432 +a(g548 +V54 +p5433 +tp5434 +a(g900 +g962 +tp5435 +a(g548 +V52 +p5436 +tp5437 +a(g900 +g962 +tp5438 +a(g548 +V41 +p5439 +tp5440 +a(g900 +g962 +tp5441 +a(g548 +V4E +p5442 +tp5443 +a(g900 +g962 +tp5444 +a(g548 +V53 +p5445 +tp5446 +a(g900 +g962 +tp5447 +a(g548 +V4C +p5448 +tp5449 +a(g900 +g962 +tp5450 +a(g548 +V41 +p5451 +tp5452 +a(g900 +V +p5453 +tp5454 +a(g341 +Vhar[256] TRANSLA +p5455 +tp5456 +a(g900 +V\u000a +p5457 +tp5458 +a(g8 +V0000 +p5459 +tp5460 +a(g685 +g958 +tp5461 +a(g8 +V04B0 +p5462 +tp5463 +a(g900 +g962 +tp5464 +a(g900 +g962 +tp5465 +a(g548 +V54 +p5466 +tp5467 +a(g900 +g962 +tp5468 +a(g548 +V45 +p5469 +tp5470 +a(g900 +g962 +tp5471 +a(g548 +V20 +p5472 +tp5473 +a(g900 +g962 +tp5474 +a(g548 +V3D +p5475 +tp5476 +a(g900 +g962 +tp5477 +a(g548 +V20 +p5478 +tp5479 +a(g900 +g962 +tp5480 +a(g548 +V20 +p5481 +tp5482 +a(g900 +g962 +tp5483 +a(g548 +V20 +p5484 +tp5485 +a(g900 +g962 +tp5486 +a(g548 +V20 +p5487 +tp5488 +a(g685 +g988 +tp5489 +a(g548 +V0A +p5490 +tp5491 +a(g900 +g962 +tp5492 +a(g548 +V20 +p5493 +tp5494 +a(g900 +g962 +tp5495 +a(g548 +V20 +p5496 +tp5497 +a(g900 +g962 +tp5498 +a(g548 +V20 +p5499 +tp5500 +a(g900 +g962 +tp5501 +a(g548 +V20 +p5502 +tp5503 +a(g900 +g962 +tp5504 +a(g548 +V22 +p5505 +tp5506 +a(g900 +g962 +tp5507 +a(g548 +V20 +p5508 +tp5509 +a(g900 +g962 +tp5510 +a(g548 +V20 +p5511 +tp5512 +a(g900 +V +p5513 +tp5514 +a(g341 +VTE = \u25d9 " +p5515 +tp5516 +a(g900 +V\u000a +p5517 +tp5518 +a(g8 +V0000 +p5519 +tp5520 +a(g685 +g958 +tp5521 +a(g8 +V04C0 +p5522 +tp5523 +a(g900 +g962 +tp5524 +a(g900 +g962 +tp5525 +a(g548 +V20 +p5526 +tp5527 +a(g900 +g962 +tp5528 +a(g548 +V20 +p5529 +tp5530 +a(g900 +g962 +tp5531 +a(g548 +V20 +p5532 +tp5533 +a(g900 +g962 +tp5534 +a(g548 +V20 +p5535 +tp5536 +a(g900 +g962 +tp5537 +a(g548 +V20 +p5538 +tp5539 +a(g900 +g962 +tp5540 +a(g548 +V20 +p5541 +tp5542 +a(g900 +g962 +tp5543 +a(g548 +V20 +p5544 +tp5545 +a(g900 +g962 +tp5546 +a(g548 +V20 +p5547 +tp5548 +a(g685 +g988 +tp5549 +a(g548 +V20 +p5550 +tp5551 +a(g900 +g962 +tp5552 +a(g548 +V20 +p5553 +tp5554 +a(g900 +g962 +tp5555 +a(g548 +V20 +p5556 +tp5557 +a(g900 +g962 +tp5558 +a(g548 +V20 +p5559 +tp5560 +a(g900 +g962 +tp5561 +a(g548 +V20 +p5562 +tp5563 +a(g900 +g962 +tp5564 +a(g548 +V20 +p5565 +tp5566 +a(g900 +g962 +tp5567 +a(g548 +V20 +p5568 +tp5569 +a(g900 +g962 +tp5570 +a(g548 +V20 +p5571 +tp5572 +a(g900 +V +p5573 +tp5574 +a(g341 +V +p5575 +tp5576 +a(g900 +V\u000a +p5577 +tp5578 +a(g8 +V0000 +p5579 +tp5580 +a(g685 +g958 +tp5581 +a(g8 +V04D0 +p5582 +tp5583 +a(g900 +g962 +tp5584 +a(g900 +g962 +tp5585 +a(g548 +V20 +p5586 +tp5587 +a(g900 +g962 +tp5588 +a(g548 +V20 +p5589 +tp5590 +a(g900 +g962 +tp5591 +a(g548 +V20 +p5592 +tp5593 +a(g900 +g962 +tp5594 +a(g548 +V20 +p5595 +tp5596 +a(g900 +g962 +tp5597 +a(g548 +V20 +p5598 +tp5599 +a(g900 +g962 +tp5600 +a(g548 +V20 +p5601 +tp5602 +a(g900 +g962 +tp5603 +a(g548 +V20 +p5604 +tp5605 +a(g900 +g962 +tp5606 +a(g548 +V20 +p5607 +tp5608 +a(g685 +g988 +tp5609 +a(g548 +V20 +p5610 +tp5611 +a(g900 +g962 +tp5612 +a(g548 +V20 +p5613 +tp5614 +a(g900 +g962 +tp5615 +a(g548 +V20 +p5616 +tp5617 +a(g900 +g962 +tp5618 +a(g548 +V20 +p5619 +tp5620 +a(g900 +g962 +tp5621 +a(g548 +V20 +p5622 +tp5623 +a(g900 +g962 +tp5624 +a(g548 +V20 +p5625 +tp5626 +a(g900 +g962 +tp5627 +a(g548 +V22 +p5628 +tp5629 +a(g900 +g962 +tp5630 +a(g548 +V20 +p5631 +tp5632 +a(g900 +V +p5633 +tp5634 +a(g341 +V " +p5635 +tp5636 +a(g900 +V\u000a +p5637 +tp5638 +a(g8 +V0000 +p5639 +tp5640 +a(g685 +g958 +tp5641 +a(g8 +V04E0 +p5642 +tp5643 +a(g900 +g962 +tp5644 +a(g900 +g962 +tp5645 +a(g548 +V20 +p5646 +tp5647 +a(g900 +g962 +tp5648 +a(g548 +V2F +p5649 +tp5650 +a(g900 +g962 +tp5651 +a(g548 +V2F +p5652 +tp5653 +a(g900 +g962 +tp5654 +a(g548 +V20 +p5655 +tp5656 +a(g900 +g962 +tp5657 +a(g548 +V30 +p5658 +tp5659 +a(g900 +g962 +tp5660 +a(g548 +V20 +p5661 +tp5662 +a(g900 +g962 +tp5663 +a(g548 +V20 +p5664 +tp5665 +a(g900 +g962 +tp5666 +a(g548 +V20 +p5667 +tp5668 +a(g685 +g988 +tp5669 +a(g548 +V0A +p5670 +tp5671 +a(g900 +g962 +tp5672 +a(g548 +V20 +p5673 +tp5674 +a(g900 +g962 +tp5675 +a(g548 +V20 +p5676 +tp5677 +a(g900 +g962 +tp5678 +a(g548 +V20 +p5679 +tp5680 +a(g900 +g962 +tp5681 +a(g548 +V20 +p5682 +tp5683 +a(g900 +g962 +tp5684 +a(g548 +V22 +p5685 +tp5686 +a(g900 +g962 +tp5687 +a(g548 +V20 +p5688 +tp5689 +a(g900 +g962 +tp5690 +a(g548 +V20 +p5691 +tp5692 +a(g900 +V +p5693 +tp5694 +a(g341 +V // 0 \u25d9 " +p5695 +tp5696 +a(g900 +V\u000a +p5697 +tp5698 +a(g8 +V0000 +p5699 +tp5700 +a(g685 +g958 +tp5701 +a(g8 +V04F0 +p5702 +tp5703 +a(g900 +g962 +tp5704 +a(g900 +g962 +tp5705 +a(g548 +V20 +p5706 +tp5707 +a(g900 +g962 +tp5708 +a(g548 +V20 +p5709 +tp5710 +a(g900 +g962 +tp5711 +a(g548 +V20 +p5712 +tp5713 +a(g900 +g962 +tp5714 +a(g548 +V20 +p5715 +tp5716 +a(g900 +g962 +tp5717 +a(g548 +V20 +p5718 +tp5719 +a(g900 +g962 +tp5720 +a(g548 +V20 +p5721 +tp5722 +a(g900 +g962 +tp5723 +a(g548 +V20 +p5724 +tp5725 +a(g900 +g962 +tp5726 +a(g548 +V20 +p5727 +tp5728 +a(g685 +g988 +tp5729 +a(g548 +V20 +p5730 +tp5731 +a(g900 +g962 +tp5732 +a(g548 +V20 +p5733 +tp5734 +a(g900 +g962 +tp5735 +a(g548 +V20 +p5736 +tp5737 +a(g900 +g962 +tp5738 +a(g548 +V20 +p5739 +tp5740 +a(g900 +g962 +tp5741 +a(g548 +V20 +p5742 +tp5743 +a(g900 +g962 +tp5744 +a(g548 +V20 +p5745 +tp5746 +a(g900 +g962 +tp5747 +a(g548 +V30 +p5748 +tp5749 +a(g900 +g962 +tp5750 +a(g548 +V31 +p5751 +tp5752 +a(g900 +V +p5753 +tp5754 +a(g341 +V 01 +p5755 +tp5756 +a(g900 +V\u000a +p5757 +tp5758 +a(g8 +V0000 +p5759 +tp5760 +a(g685 +g958 +tp5761 +a(g8 +V0500 +p5762 +tp5763 +a(g900 +g962 +tp5764 +a(g900 +g962 +tp5765 +a(g548 +V32 +p5766 +tp5767 +a(g900 +g962 +tp5768 +a(g548 +V33 +p5769 +tp5770 +a(g900 +g962 +tp5771 +a(g548 +V34 +p5772 +tp5773 +a(g900 +g962 +tp5774 +a(g548 +V35 +p5775 +tp5776 +a(g900 +g962 +tp5777 +a(g548 +V36 +p5778 +tp5779 +a(g900 +g962 +tp5780 +a(g548 +V37 +p5781 +tp5782 +a(g900 +g962 +tp5783 +a(g548 +V38 +p5784 +tp5785 +a(g900 +g962 +tp5786 +a(g548 +V39 +p5787 +tp5788 +a(g685 +g988 +tp5789 +a(g548 +V20 +p5790 +tp5791 +a(g900 +g962 +tp5792 +a(g548 +V20 +p5793 +tp5794 +a(g900 +g962 +tp5795 +a(g548 +V20 +p5796 +tp5797 +a(g900 +g962 +tp5798 +a(g548 +V20 +p5799 +tp5800 +a(g900 +g962 +tp5801 +a(g548 +V20 +p5802 +tp5803 +a(g900 +g962 +tp5804 +a(g548 +V20 +p5805 +tp5806 +a(g900 +g962 +tp5807 +a(g548 +V22 +p5808 +tp5809 +a(g900 +g962 +tp5810 +a(g548 +V20 +p5811 +tp5812 +a(g900 +V +p5813 +tp5814 +a(g341 +V23456789 " +p5815 +tp5816 +a(g900 +V\u000a +p5817 +tp5818 +a(g8 +V0000 +p5819 +tp5820 +a(g685 +g958 +tp5821 +a(g8 +V0510 +p5822 +tp5823 +a(g900 +g962 +tp5824 +a(g900 +g962 +tp5825 +a(g548 +V20 +p5826 +tp5827 +a(g900 +g962 +tp5828 +a(g548 +V2F +p5829 +tp5830 +a(g900 +g962 +tp5831 +a(g548 +V2F +p5832 +tp5833 +a(g900 +g962 +tp5834 +a(g548 +V20 +p5835 +tp5836 +a(g900 +g962 +tp5837 +a(g548 +V33 +p5838 +tp5839 +a(g900 +g962 +tp5840 +a(g548 +V32 +p5841 +tp5842 +a(g900 +g962 +tp5843 +a(g548 +V20 +p5844 +tp5845 +a(g900 +g962 +tp5846 +a(g548 +V20 +p5847 +tp5848 +a(g685 +g988 +tp5849 +a(g548 +V20 +p5850 +tp5851 +a(g900 +g962 +tp5852 +a(g548 +V20 +p5853 +tp5854 +a(g900 +g962 +tp5855 +a(g548 +V20 +p5856 +tp5857 +a(g900 +g962 +tp5858 +a(g548 +V0A +p5859 +tp5860 +a(g900 +g962 +tp5861 +a(g548 +V20 +p5862 +tp5863 +a(g900 +g962 +tp5864 +a(g548 +V20 +p5865 +tp5866 +a(g900 +g962 +tp5867 +a(g548 +V20 +p5868 +tp5869 +a(g900 +g962 +tp5870 +a(g548 +V20 +p5871 +tp5872 +a(g900 +V +p5873 +tp5874 +a(g341 +V // 32 \u25d9 +p5875 +tp5876 +a(g900 +V\u000a +p5877 +tp5878 +a(g8 +V0000 +p5879 +tp5880 +a(g685 +g958 +tp5881 +a(g8 +V0520 +p5882 +tp5883 +a(g900 +g962 +tp5884 +a(g900 +g962 +tp5885 +a(g548 +V22 +p5886 +tp5887 +a(g900 +g962 +tp5888 +a(g548 +V20 +p5889 +tp5890 +a(g900 +g962 +tp5891 +a(g548 +V35 +p5892 +tp5893 +a(g900 +g962 +tp5894 +a(g548 +V37 +p5895 +tp5896 +a(g900 +g962 +tp5897 +a(g548 +V36 +p5898 +tp5899 +a(g900 +g962 +tp5900 +a(g548 +V33 +p5901 +tp5902 +a(g900 +g962 +tp5903 +a(g548 +V30 +p5904 +tp5905 +a(g900 +g962 +tp5906 +a(g548 +V34 +p5907 +tp5908 +a(g685 +g988 +tp5909 +a(g548 +V39 +p5910 +tp5911 +a(g900 +g962 +tp5912 +a(g548 +V39 +p5913 +tp5914 +a(g900 +g962 +tp5915 +a(g548 +V36 +p5916 +tp5917 +a(g900 +g962 +tp5918 +a(g548 +V31 +p5919 +tp5920 +a(g900 +g962 +tp5921 +a(g548 +V37 +p5922 +tp5923 +a(g900 +g962 +tp5924 +a(g548 +V38 +p5925 +tp5926 +a(g900 +g962 +tp5927 +a(g548 +V35 +p5928 +tp5929 +a(g900 +g962 +tp5930 +a(g548 +V31 +p5931 +tp5932 +a(g900 +V +p5933 +tp5934 +a(g341 +V" 57630499617851 +p5935 +tp5936 +a(g900 +V\u000a +p5937 +tp5938 +a(g8 +V0000 +p5939 +tp5940 +a(g685 +g958 +tp5941 +a(g8 +V0530 +p5942 +tp5943 +a(g900 +g962 +tp5944 +a(g900 +g962 +tp5945 +a(g548 +V38 +p5946 +tp5947 +a(g900 +g962 +tp5948 +a(g548 +V38 +p5949 +tp5950 +a(g900 +g962 +tp5951 +a(g548 +V31 +p5952 +tp5953 +a(g900 +g962 +tp5954 +a(g548 +V32 +p5955 +tp5956 +a(g900 +g962 +tp5957 +a(g548 +V33 +p5958 +tp5959 +a(g900 +g962 +tp5960 +a(g548 +V34 +p5961 +tp5962 +a(g900 +g962 +tp5963 +a(g548 +V37 +p5964 +tp5965 +a(g900 +g962 +tp5966 +a(g548 +V36 +p5967 +tp5968 +a(g685 +g988 +tp5969 +a(g548 +V32 +p5970 +tp5971 +a(g900 +g962 +tp5972 +a(g548 +V32 +p5973 +tp5974 +a(g900 +g962 +tp5975 +a(g548 +V33 +p5976 +tp5977 +a(g900 +g962 +tp5978 +a(g548 +V39 +p5979 +tp5980 +a(g900 +g962 +tp5981 +a(g548 +V20 +p5982 +tp5983 +a(g900 +g962 +tp5984 +a(g548 +V20 +p5985 +tp5986 +a(g900 +g962 +tp5987 +a(g548 +V20 +p5988 +tp5989 +a(g900 +g962 +tp5990 +a(g548 +V20 +p5991 +tp5992 +a(g900 +V +p5993 +tp5994 +a(g341 +V881234762239 +p5995 +tp5996 +a(g900 +V\u000a +p5997 +tp5998 +a(g8 +V0000 +p5999 +tp6000 +a(g685 +g958 +tp6001 +a(g8 +V0540 +p6002 +tp6003 +a(g900 +g962 +tp6004 +a(g900 +g962 +tp6005 +a(g548 +V20 +p6006 +tp6007 +a(g900 +g962 +tp6008 +a(g548 +V22 +p6009 +tp6010 +a(g900 +g962 +tp6011 +a(g548 +V20 +p6012 +tp6013 +a(g900 +g962 +tp6014 +a(g548 +V20 +p6015 +tp6016 +a(g900 +g962 +tp6017 +a(g548 +V2F +p6018 +tp6019 +a(g900 +g962 +tp6020 +a(g548 +V2F +p6021 +tp6022 +a(g900 +g962 +tp6023 +a(g548 +V20 +p6024 +tp6025 +a(g900 +g962 +tp6026 +a(g548 +V36 +p6027 +tp6028 +a(g685 +g988 +tp6029 +a(g548 +V34 +p6030 +tp6031 +a(g900 +g962 +tp6032 +a(g548 +V20 +p6033 +tp6034 +a(g900 +g962 +tp6035 +a(g548 +V20 +p6036 +tp6037 +a(g900 +g962 +tp6038 +a(g548 +V20 +p6039 +tp6040 +a(g900 +g962 +tp6041 +a(g548 +V0A +p6042 +tp6043 +a(g900 +g962 +tp6044 +a(g548 +V20 +p6045 +tp6046 +a(g900 +g962 +tp6047 +a(g548 +V20 +p6048 +tp6049 +a(g900 +g962 +tp6050 +a(g548 +V20 +p6051 +tp6052 +a(g900 +V +p6053 +tp6054 +a(g341 +V " // 64 \u25d9 +p6055 +tp6056 +a(g900 +V\u000a +p6057 +tp6058 +a(g8 +V0000 +p6059 +tp6060 +a(g685 +g958 +tp6061 +a(g8 +V0550 +p6062 +tp6063 +a(g900 +g962 +tp6064 +a(g900 +g962 +tp6065 +a(g548 +V20 +p6066 +tp6067 +a(g900 +g962 +tp6068 +a(g548 +V22 +p6069 +tp6070 +a(g900 +g962 +tp6071 +a(g548 +V20 +p6072 +tp6073 +a(g900 +g962 +tp6074 +a(g548 +V35 +p6075 +tp6076 +a(g900 +g962 +tp6077 +a(g548 +V37 +p6078 +tp6079 +a(g900 +g962 +tp6080 +a(g548 +V36 +p6081 +tp6082 +a(g900 +g962 +tp6083 +a(g548 +V33 +p6084 +tp6085 +a(g900 +g962 +tp6086 +a(g548 +V30 +p6087 +tp6088 +a(g685 +g988 +tp6089 +a(g548 +V34 +p6090 +tp6091 +a(g900 +g962 +tp6092 +a(g548 +V39 +p6093 +tp6094 +a(g900 +g962 +tp6095 +a(g548 +V39 +p6096 +tp6097 +a(g900 +g962 +tp6098 +a(g548 +V36 +p6099 +tp6100 +a(g900 +g962 +tp6101 +a(g548 +V31 +p6102 +tp6103 +a(g900 +g962 +tp6104 +a(g548 +V37 +p6105 +tp6106 +a(g900 +g962 +tp6107 +a(g548 +V38 +p6108 +tp6109 +a(g900 +g962 +tp6110 +a(g548 +V35 +p6111 +tp6112 +a(g900 +V +p6113 +tp6114 +a(g341 +V " 5763049961785 +p6115 +tp6116 +a(g900 +V\u000a +p6117 +tp6118 +a(g8 +V0000 +p6119 +tp6120 +a(g685 +g958 +tp6121 +a(g8 +V0560 +p6122 +tp6123 +a(g900 +g962 +tp6124 +a(g900 +g962 +tp6125 +a(g548 +V31 +p6126 +tp6127 +a(g900 +g962 +tp6128 +a(g548 +V38 +p6129 +tp6130 +a(g900 +g962 +tp6131 +a(g548 +V38 +p6132 +tp6133 +a(g900 +g962 +tp6134 +a(g548 +V31 +p6135 +tp6136 +a(g900 +g962 +tp6137 +a(g548 +V32 +p6138 +tp6139 +a(g900 +g962 +tp6140 +a(g548 +V33 +p6141 +tp6142 +a(g900 +g962 +tp6143 +a(g548 +V34 +p6144 +tp6145 +a(g900 +g962 +tp6146 +a(g548 +V37 +p6147 +tp6148 +a(g685 +g988 +tp6149 +a(g548 +V36 +p6150 +tp6151 +a(g900 +g962 +tp6152 +a(g548 +V32 +p6153 +tp6154 +a(g900 +g962 +tp6155 +a(g548 +V32 +p6156 +tp6157 +a(g900 +g962 +tp6158 +a(g548 +V33 +p6159 +tp6160 +a(g900 +g962 +tp6161 +a(g548 +V39 +p6162 +tp6163 +a(g900 +g962 +tp6164 +a(g548 +V20 +p6165 +tp6166 +a(g900 +g962 +tp6167 +a(g548 +V20 +p6168 +tp6169 +a(g900 +g962 +tp6170 +a(g548 +V20 +p6171 +tp6172 +a(g900 +V +p6173 +tp6174 +a(g341 +V1881234762239 +p6175 +tp6176 +a(g900 +V\u000a +p6177 +tp6178 +a(g8 +V0000 +p6179 +tp6180 +a(g685 +g958 +tp6181 +a(g8 +V0570 +p6182 +tp6183 +a(g900 +g962 +tp6184 +a(g900 +g962 +tp6185 +a(g548 +V20 +p6186 +tp6187 +a(g900 +g962 +tp6188 +a(g548 +V20 +p6189 +tp6190 +a(g900 +g962 +tp6191 +a(g548 +V22 +p6192 +tp6193 +a(g900 +g962 +tp6194 +a(g548 +V0A +p6195 +tp6196 +a(g900 +g962 +tp6197 +a(g548 +V20 +p6198 +tp6199 +a(g900 +g962 +tp6200 +a(g548 +V20 +p6201 +tp6202 +a(g900 +g962 +tp6203 +a(g548 +V20 +p6204 +tp6205 +a(g900 +g962 +tp6206 +a(g548 +V20 +p6207 +tp6208 +a(g685 +g988 +tp6209 +a(g548 +V22 +p6210 +tp6211 +a(g900 +g962 +tp6212 +a(g548 +V20 +p6213 +tp6214 +a(g900 +g962 +tp6215 +a(g548 +V20 +p6216 +tp6217 +a(g900 +g962 +tp6218 +a(g548 +V20 +p6219 +tp6220 +a(g900 +g962 +tp6221 +a(g548 +V20 +p6222 +tp6223 +a(g900 +g962 +tp6224 +a(g548 +V20 +p6225 +tp6226 +a(g900 +g962 +tp6227 +a(g548 +V20 +p6228 +tp6229 +a(g900 +g962 +tp6230 +a(g548 +V20 +p6231 +tp6232 +a(g900 +V +p6233 +tp6234 +a(g341 +V "\u25d9 " +p6235 +tp6236 +a(g900 +V\u000a +p6237 +tp6238 +a(g8 +V0000 +p6239 +tp6240 +a(g685 +g958 +tp6241 +a(g8 +V0580 +p6242 +tp6243 +a(g900 +g962 +tp6244 +a(g900 +g962 +tp6245 +a(g548 +V20 +p6246 +tp6247 +a(g900 +g962 +tp6248 +a(g548 +V20 +p6249 +tp6250 +a(g900 +g962 +tp6251 +a(g548 +V20 +p6252 +tp6253 +a(g900 +g962 +tp6254 +a(g548 +V20 +p6255 +tp6256 +a(g900 +g962 +tp6257 +a(g548 +V20 +p6258 +tp6259 +a(g900 +g962 +tp6260 +a(g548 +V20 +p6261 +tp6262 +a(g900 +g962 +tp6263 +a(g548 +V20 +p6264 +tp6265 +a(g900 +g962 +tp6266 +a(g548 +V20 +p6267 +tp6268 +a(g685 +g988 +tp6269 +a(g548 +V20 +p6270 +tp6271 +a(g900 +g962 +tp6272 +a(g548 +V20 +p6273 +tp6274 +a(g900 +g962 +tp6275 +a(g548 +V20 +p6276 +tp6277 +a(g900 +g962 +tp6278 +a(g548 +V20 +p6279 +tp6280 +a(g900 +g962 +tp6281 +a(g548 +V20 +p6282 +tp6283 +a(g900 +g962 +tp6284 +a(g548 +V20 +p6285 +tp6286 +a(g900 +g962 +tp6287 +a(g548 +V20 +p6288 +tp6289 +a(g900 +g962 +tp6290 +a(g548 +V20 +p6291 +tp6292 +a(g900 +V +p6293 +tp6294 +a(g341 +V +p6295 +tp6296 +a(g900 +V\u000a +p6297 +tp6298 +a(g8 +V0000 +p6299 +tp6300 +a(g685 +g958 +tp6301 +a(g8 +V0590 +p6302 +tp6303 +a(g900 +g962 +tp6304 +a(g900 +g962 +tp6305 +a(g548 +V20 +p6306 +tp6307 +a(g900 +g962 +tp6308 +a(g548 +V20 +p6309 +tp6310 +a(g900 +g962 +tp6311 +a(g548 +V20 +p6312 +tp6313 +a(g900 +g962 +tp6314 +a(g548 +V20 +p6315 +tp6316 +a(g900 +g962 +tp6317 +a(g548 +V20 +p6318 +tp6319 +a(g900 +g962 +tp6320 +a(g548 +V20 +p6321 +tp6322 +a(g900 +g962 +tp6323 +a(g548 +V20 +p6324 +tp6325 +a(g900 +g962 +tp6326 +a(g548 +V20 +p6327 +tp6328 +a(g685 +g988 +tp6329 +a(g548 +V20 +p6330 +tp6331 +a(g900 +g962 +tp6332 +a(g548 +V22 +p6333 +tp6334 +a(g900 +g962 +tp6335 +a(g548 +V0A +p6336 +tp6337 +a(g900 +g962 +tp6338 +a(g548 +V20 +p6339 +tp6340 +a(g900 +g962 +tp6341 +a(g548 +V20 +p6342 +tp6343 +a(g900 +g962 +tp6344 +a(g548 +V20 +p6345 +tp6346 +a(g900 +g962 +tp6347 +a(g548 +V20 +p6348 +tp6349 +a(g900 +g962 +tp6350 +a(g548 +V22 +p6351 +tp6352 +a(g900 +V +p6353 +tp6354 +a(g341 +V "\u25d9 " +p6355 +tp6356 +a(g900 +V\u000a +p6357 +tp6358 +a(g8 +V0000 +p6359 +tp6360 +a(g685 +g958 +tp6361 +a(g8 +V05A0 +p6362 +tp6363 +a(g900 +g962 +tp6364 +a(g900 +g962 +tp6365 +a(g548 +V20 +p6366 +tp6367 +a(g900 +g962 +tp6368 +a(g548 +V20 +p6369 +tp6370 +a(g900 +g962 +tp6371 +a(g548 +V20 +p6372 +tp6373 +a(g900 +g962 +tp6374 +a(g548 +V20 +p6375 +tp6376 +a(g900 +g962 +tp6377 +a(g548 +V20 +p6378 +tp6379 +a(g900 +g962 +tp6380 +a(g548 +V20 +p6381 +tp6382 +a(g900 +g962 +tp6383 +a(g548 +V20 +p6384 +tp6385 +a(g900 +g962 +tp6386 +a(g548 +V20 +p6387 +tp6388 +a(g685 +g988 +tp6389 +a(g548 +V20 +p6390 +tp6391 +a(g900 +g962 +tp6392 +a(g548 +V20 +p6393 +tp6394 +a(g900 +g962 +tp6395 +a(g548 +V20 +p6396 +tp6397 +a(g900 +g962 +tp6398 +a(g548 +V20 +p6399 +tp6400 +a(g900 +g962 +tp6401 +a(g548 +V20 +p6402 +tp6403 +a(g900 +g962 +tp6404 +a(g548 +V20 +p6405 +tp6406 +a(g900 +g962 +tp6407 +a(g548 +V20 +p6408 +tp6409 +a(g900 +g962 +tp6410 +a(g548 +V20 +p6411 +tp6412 +a(g900 +V +p6413 +tp6414 +a(g341 +V +p6415 +tp6416 +a(g900 +V\u000a +p6417 +tp6418 +a(g8 +V0000 +p6419 +tp6420 +a(g685 +g958 +tp6421 +a(g8 +V05B0 +p6422 +tp6423 +a(g900 +g962 +tp6424 +a(g900 +g962 +tp6425 +a(g548 +V20 +p6426 +tp6427 +a(g900 +g962 +tp6428 +a(g548 +V20 +p6429 +tp6430 +a(g900 +g962 +tp6431 +a(g548 +V20 +p6432 +tp6433 +a(g900 +g962 +tp6434 +a(g548 +V20 +p6435 +tp6436 +a(g900 +g962 +tp6437 +a(g548 +V20 +p6438 +tp6439 +a(g900 +g962 +tp6440 +a(g548 +V20 +p6441 +tp6442 +a(g900 +g962 +tp6443 +a(g548 +V20 +p6444 +tp6445 +a(g900 +g962 +tp6446 +a(g548 +V20 +p6447 +tp6448 +a(g685 +g988 +tp6449 +a(g548 +V20 +p6450 +tp6451 +a(g900 +g962 +tp6452 +a(g548 +V20 +p6453 +tp6454 +a(g900 +g962 +tp6455 +a(g548 +V20 +p6456 +tp6457 +a(g900 +g962 +tp6458 +a(g548 +V20 +p6459 +tp6460 +a(g900 +g962 +tp6461 +a(g548 +V20 +p6462 +tp6463 +a(g900 +g962 +tp6464 +a(g548 +V20 +p6465 +tp6466 +a(g900 +g962 +tp6467 +a(g548 +V20 +p6468 +tp6469 +a(g900 +g962 +tp6470 +a(g548 +V20 +p6471 +tp6472 +a(g900 +V +p6473 +tp6474 +a(g341 +V +p6475 +tp6476 +a(g900 +V\u000a +p6477 +tp6478 +a(g8 +V0000 +p6479 +tp6480 +a(g685 +g958 +tp6481 +a(g8 +V05C0 +p6482 +tp6483 +a(g900 +g962 +tp6484 +a(g900 +g962 +tp6485 +a(g548 +V22 +p6486 +tp6487 +a(g900 +g962 +tp6488 +a(g548 +V0A +p6489 +tp6490 +a(g900 +g962 +tp6491 +a(g548 +V20 +p6492 +tp6493 +a(g900 +g962 +tp6494 +a(g548 +V20 +p6495 +tp6496 +a(g900 +g962 +tp6497 +a(g548 +V20 +p6498 +tp6499 +a(g900 +g962 +tp6500 +a(g548 +V20 +p6501 +tp6502 +a(g900 +g962 +tp6503 +a(g548 +V22 +p6504 +tp6505 +a(g900 +g962 +tp6506 +a(g548 +V20 +p6507 +tp6508 +a(g685 +g988 +tp6509 +a(g548 +V20 +p6510 +tp6511 +a(g900 +g962 +tp6512 +a(g548 +V20 +p6513 +tp6514 +a(g900 +g962 +tp6515 +a(g548 +V20 +p6516 +tp6517 +a(g900 +g962 +tp6518 +a(g548 +V20 +p6519 +tp6520 +a(g900 +g962 +tp6521 +a(g548 +V20 +p6522 +tp6523 +a(g900 +g962 +tp6524 +a(g548 +V20 +p6525 +tp6526 +a(g900 +g962 +tp6527 +a(g548 +V20 +p6528 +tp6529 +a(g900 +g962 +tp6530 +a(g548 +V20 +p6531 +tp6532 +a(g900 +V +p6533 +tp6534 +a(g341 +V"\u25d9 " +p6535 +tp6536 +a(g900 +V\u000a +p6537 +tp6538 +a(g8 +V0000 +p6539 +tp6540 +a(g685 +g958 +tp6541 +a(g8 +V05D0 +p6542 +tp6543 +a(g900 +g962 +tp6544 +a(g900 +g962 +tp6545 +a(g548 +V20 +p6546 +tp6547 +a(g900 +g962 +tp6548 +a(g548 +V20 +p6549 +tp6550 +a(g900 +g962 +tp6551 +a(g548 +V20 +p6552 +tp6553 +a(g900 +g962 +tp6554 +a(g548 +V20 +p6555 +tp6556 +a(g900 +g962 +tp6557 +a(g548 +V20 +p6558 +tp6559 +a(g900 +g962 +tp6560 +a(g548 +V20 +p6561 +tp6562 +a(g900 +g962 +tp6563 +a(g548 +V20 +p6564 +tp6565 +a(g900 +g962 +tp6566 +a(g548 +V20 +p6567 +tp6568 +a(g685 +g988 +tp6569 +a(g548 +V20 +p6570 +tp6571 +a(g900 +g962 +tp6572 +a(g548 +V20 +p6573 +tp6574 +a(g900 +g962 +tp6575 +a(g548 +V20 +p6576 +tp6577 +a(g900 +g962 +tp6578 +a(g548 +V20 +p6579 +tp6580 +a(g900 +g962 +tp6581 +a(g548 +V20 +p6582 +tp6583 +a(g900 +g962 +tp6584 +a(g548 +V20 +p6585 +tp6586 +a(g900 +g962 +tp6587 +a(g548 +V20 +p6588 +tp6589 +a(g900 +g962 +tp6590 +a(g548 +V20 +p6591 +tp6592 +a(g900 +V +p6593 +tp6594 +a(g341 +V +p6595 +tp6596 +a(g900 +V\u000a +p6597 +tp6598 +a(g8 +V0000 +p6599 +tp6600 +a(g685 +g958 +tp6601 +a(g8 +V05E0 +p6602 +tp6603 +a(g900 +g962 +tp6604 +a(g900 +g962 +tp6605 +a(g548 +V20 +p6606 +tp6607 +a(g900 +g962 +tp6608 +a(g548 +V20 +p6609 +tp6610 +a(g900 +g962 +tp6611 +a(g548 +V20 +p6612 +tp6613 +a(g900 +g962 +tp6614 +a(g548 +V20 +p6615 +tp6616 +a(g900 +g962 +tp6617 +a(g548 +V20 +p6618 +tp6619 +a(g900 +g962 +tp6620 +a(g548 +V20 +p6621 +tp6622 +a(g900 +g962 +tp6623 +a(g548 +V20 +p6624 +tp6625 +a(g900 +g962 +tp6626 +a(g548 +V22 +p6627 +tp6628 +a(g685 +g988 +tp6629 +a(g548 +V20 +p6630 +tp6631 +a(g900 +g962 +tp6632 +a(g548 +V20 +p6633 +tp6634 +a(g900 +g962 +tp6635 +a(g548 +V20 +p6636 +tp6637 +a(g900 +g962 +tp6638 +a(g548 +V20 +p6639 +tp6640 +a(g900 +g962 +tp6641 +a(g548 +V0A +p6642 +tp6643 +a(g900 +g962 +tp6644 +a(g548 +V20 +p6645 +tp6646 +a(g900 +g962 +tp6647 +a(g548 +V20 +p6648 +tp6649 +a(g900 +g962 +tp6650 +a(g548 +V20 +p6651 +tp6652 +a(g900 +V +p6653 +tp6654 +a(g341 +V " \u25d9 +p6655 +tp6656 +a(g900 +V\u000a +p6657 +tp6658 +a(g8 +V0000 +p6659 +tp6660 +a(g685 +g958 +tp6661 +a(g8 +V05F0 +p6662 +tp6663 +a(g900 +g962 +tp6664 +a(g900 +g962 +tp6665 +a(g548 +V20 +p6666 +tp6667 +a(g900 +g962 +tp6668 +a(g548 +V22 +p6669 +tp6670 +a(g900 +g962 +tp6671 +a(g548 +V20 +p6672 +tp6673 +a(g900 +g962 +tp6674 +a(g548 +V20 +p6675 +tp6676 +a(g900 +g962 +tp6677 +a(g548 +V20 +p6678 +tp6679 +a(g900 +g962 +tp6680 +a(g548 +V20 +p6681 +tp6682 +a(g900 +g962 +tp6683 +a(g548 +V20 +p6684 +tp6685 +a(g900 +g962 +tp6686 +a(g548 +V20 +p6687 +tp6688 +a(g685 +g988 +tp6689 +a(g548 +V20 +p6690 +tp6691 +a(g900 +g962 +tp6692 +a(g548 +V20 +p6693 +tp6694 +a(g900 +g962 +tp6695 +a(g548 +V20 +p6696 +tp6697 +a(g900 +g962 +tp6698 +a(g548 +V20 +p6699 +tp6700 +a(g900 +g962 +tp6701 +a(g548 +V20 +p6702 +tp6703 +a(g900 +g962 +tp6704 +a(g548 +V20 +p6705 +tp6706 +a(g900 +g962 +tp6707 +a(g548 +V20 +p6708 +tp6709 +a(g900 +g962 +tp6710 +a(g548 +V20 +p6711 +tp6712 +a(g900 +V +p6713 +tp6714 +a(g341 +V " +p6715 +tp6716 +a(g900 +V\u000a +p6717 +tp6718 +a(g8 +V0000 +p6719 +tp6720 +a(g685 +g958 +tp6721 +a(g8 +V0600 +p6722 +tp6723 +a(g900 +g962 +tp6724 +a(g900 +g962 +tp6725 +a(g548 +V20 +p6726 +tp6727 +a(g900 +g962 +tp6728 +a(g548 +V20 +p6729 +tp6730 +a(g900 +g962 +tp6731 +a(g548 +V20 +p6732 +tp6733 +a(g900 +g962 +tp6734 +a(g548 +V20 +p6735 +tp6736 +a(g900 +g962 +tp6737 +a(g548 +V20 +p6738 +tp6739 +a(g900 +g962 +tp6740 +a(g548 +V20 +p6741 +tp6742 +a(g900 +g962 +tp6743 +a(g548 +V20 +p6744 +tp6745 +a(g900 +g962 +tp6746 +a(g548 +V20 +p6747 +tp6748 +a(g685 +g988 +tp6749 +a(g548 +V20 +p6750 +tp6751 +a(g900 +g962 +tp6752 +a(g548 +V20 +p6753 +tp6754 +a(g900 +g962 +tp6755 +a(g548 +V20 +p6756 +tp6757 +a(g900 +g962 +tp6758 +a(g548 +V20 +p6759 +tp6760 +a(g900 +g962 +tp6761 +a(g548 +V20 +p6762 +tp6763 +a(g900 +g962 +tp6764 +a(g548 +V20 +p6765 +tp6766 +a(g900 +g962 +tp6767 +a(g548 +V20 +p6768 +tp6769 +a(g900 +g962 +tp6770 +a(g548 +V20 +p6771 +tp6772 +a(g900 +V +p6773 +tp6774 +a(g341 +V +p6775 +tp6776 +a(g900 +V\u000a +p6777 +tp6778 +a(g8 +V0000 +p6779 +tp6780 +a(g685 +g958 +tp6781 +a(g8 +V0610 +p6782 +tp6783 +a(g900 +g962 +tp6784 +a(g900 +g962 +tp6785 +a(g548 +V20 +p6786 +tp6787 +a(g900 +g962 +tp6788 +a(g548 +V20 +p6789 +tp6790 +a(g900 +g962 +tp6791 +a(g548 +V22 +p6792 +tp6793 +a(g900 +g962 +tp6794 +a(g548 +V3B +p6795 +tp6796 +a(g900 +g962 +tp6797 +a(g548 +V0A +p6798 +tp6799 +a(g900 +g962 +tp6800 +a(g548 +V20 +p6801 +tp6802 +a(g900 +g962 +tp6803 +a(g548 +V20 +p6804 +tp6805 +a(g900 +g962 +tp6806 +a(g548 +V20 +p6807 +tp6808 +a(g685 +g988 +tp6809 +a(g548 +V20 +p6810 +tp6811 +a(g900 +g962 +tp6812 +a(g548 +V73 +p6813 +tp6814 +a(g900 +g962 +tp6815 +a(g548 +V74 +p6816 +tp6817 +a(g900 +g962 +tp6818 +a(g548 +V72 +p6819 +tp6820 +a(g900 +g962 +tp6821 +a(g548 +V69 +p6822 +tp6823 +a(g900 +g962 +tp6824 +a(g548 +V6E +p6825 +tp6826 +a(g900 +g962 +tp6827 +a(g548 +V67 +p6828 +tp6829 +a(g900 +g962 +tp6830 +a(g548 +V20 +p6831 +tp6832 +a(g900 +V +p6833 +tp6834 +a(g341 +V ";\u25d9 string +p6835 +tp6836 +a(g900 +V\u000a +p6837 +tp6838 +a(g8 +V0000 +p6839 +tp6840 +a(g685 +g958 +tp6841 +a(g8 +V0620 +p6842 +tp6843 +a(g900 +g962 +tp6844 +a(g900 +g962 +tp6845 +a(g548 +V72 +p6846 +tp6847 +a(g900 +g962 +tp6848 +a(g548 +V65 +p6849 +tp6850 +a(g900 +g962 +tp6851 +a(g548 +V74 +p6852 +tp6853 +a(g900 +g962 +tp6854 +a(g548 +V3B +p6855 +tp6856 +a(g900 +g962 +tp6857 +a(g548 +V0A +p6858 +tp6859 +a(g900 +g962 +tp6860 +a(g548 +V20 +p6861 +tp6862 +a(g900 +g962 +tp6863 +a(g548 +V20 +p6864 +tp6865 +a(g900 +g962 +tp6866 +a(g548 +V20 +p6867 +tp6868 +a(g685 +g988 +tp6869 +a(g548 +V20 +p6870 +tp6871 +a(g900 +g962 +tp6872 +a(g548 +V66 +p6873 +tp6874 +a(g900 +g962 +tp6875 +a(g548 +V6F +p6876 +tp6877 +a(g900 +g962 +tp6878 +a(g548 +V72 +p6879 +tp6880 +a(g900 +g962 +tp6881 +a(g548 +V65 +p6882 +tp6883 +a(g900 +g962 +tp6884 +a(g548 +V61 +p6885 +tp6886 +a(g900 +g962 +tp6887 +a(g548 +V63 +p6888 +tp6889 +a(g900 +g962 +tp6890 +a(g548 +V68 +p6891 +tp6892 +a(g900 +V +p6893 +tp6894 +a(g341 +Vret;\u25d9 foreach +p6895 +tp6896 +a(g900 +V\u000a +p6897 +tp6898 +a(g8 +V0000 +p6899 +tp6900 +a(g685 +g958 +tp6901 +a(g8 +V0630 +p6902 +tp6903 +a(g900 +g962 +tp6904 +a(g900 +g962 +tp6905 +a(g548 +V28 +p6906 +tp6907 +a(g900 +g962 +tp6908 +a(g548 +V63 +p6909 +tp6910 +a(g900 +g962 +tp6911 +a(g548 +V3B +p6912 +tp6913 +a(g900 +g962 +tp6914 +a(g548 +V20 +p6915 +tp6916 +a(g900 +g962 +tp6917 +a(g548 +V63 +p6918 +tp6919 +a(g900 +g962 +tp6920 +a(g548 +V61 +p6921 +tp6922 +a(g900 +g962 +tp6923 +a(g548 +V73 +p6924 +tp6925 +a(g900 +g962 +tp6926 +a(g548 +V74 +p6927 +tp6928 +a(g685 +g988 +tp6929 +a(g548 +V28 +p6930 +tp6931 +a(g900 +g962 +tp6932 +a(g548 +V75 +p6933 +tp6934 +a(g900 +g962 +tp6935 +a(g548 +V62 +p6936 +tp6937 +a(g900 +g962 +tp6938 +a(g548 +V79 +p6939 +tp6940 +a(g900 +g962 +tp6941 +a(g548 +V74 +p6942 +tp6943 +a(g900 +g962 +tp6944 +a(g548 +V65 +p6945 +tp6946 +a(g900 +g962 +tp6947 +a(g548 +V5B +p6948 +tp6949 +a(g900 +g962 +tp6950 +a(g548 +V5D +p6951 +tp6952 +a(g900 +V +p6953 +tp6954 +a(g341 +V(c; cast(ubyte[] +p6955 +tp6956 +a(g900 +V\u000a +p6957 +tp6958 +a(g8 +V0000 +p6959 +tp6960 +a(g685 +g958 +tp6961 +a(g8 +V0640 +p6962 +tp6963 +a(g900 +g962 +tp6964 +a(g900 +g962 +tp6965 +a(g548 +V29 +p6966 +tp6967 +a(g900 +g962 +tp6968 +a(g548 +V77 +p6969 +tp6970 +a(g900 +g962 +tp6971 +a(g548 +V6F +p6972 +tp6973 +a(g900 +g962 +tp6974 +a(g548 +V72 +p6975 +tp6976 +a(g900 +g962 +tp6977 +a(g548 +V64 +p6978 +tp6979 +a(g900 +g962 +tp6980 +a(g548 +V29 +p6981 +tp6982 +a(g900 +g962 +tp6983 +a(g548 +V0A +p6984 +tp6985 +a(g900 +g962 +tp6986 +a(g548 +V20 +p6987 +tp6988 +a(g685 +g988 +tp6989 +a(g548 +V20 +p6990 +tp6991 +a(g900 +g962 +tp6992 +a(g548 +V20 +p6993 +tp6994 +a(g900 +g962 +tp6995 +a(g548 +V20 +p6996 +tp6997 +a(g900 +g962 +tp6998 +a(g548 +V20 +p6999 +tp7000 +a(g900 +g962 +tp7001 +a(g548 +V20 +p7002 +tp7003 +a(g900 +g962 +tp7004 +a(g548 +V20 +p7005 +tp7006 +a(g900 +g962 +tp7007 +a(g548 +V20 +p7008 +tp7009 +a(g900 +g962 +tp7010 +a(g548 +V69 +p7011 +tp7012 +a(g900 +V +p7013 +tp7014 +a(g341 +V)word)\u25d9 i +p7015 +tp7016 +a(g900 +V\u000a +p7017 +tp7018 +a(g8 +V0000 +p7019 +tp7020 +a(g685 +g958 +tp7021 +a(g8 +V0650 +p7022 +tp7023 +a(g900 +g962 +tp7024 +a(g900 +g962 +tp7025 +a(g548 +V66 +p7026 +tp7027 +a(g900 +g962 +tp7028 +a(g548 +V20 +p7029 +tp7030 +a(g900 +g962 +tp7031 +a(g548 +V28 +p7032 +tp7033 +a(g900 +g962 +tp7034 +a(g548 +V54 +p7035 +tp7036 +a(g900 +g962 +tp7037 +a(g548 +V52 +p7038 +tp7039 +a(g900 +g962 +tp7040 +a(g548 +V41 +p7041 +tp7042 +a(g900 +g962 +tp7043 +a(g548 +V4E +p7044 +tp7045 +a(g900 +g962 +tp7046 +a(g548 +V53 +p7047 +tp7048 +a(g685 +g988 +tp7049 +a(g548 +V4C +p7050 +tp7051 +a(g900 +g962 +tp7052 +a(g548 +V41 +p7053 +tp7054 +a(g900 +g962 +tp7055 +a(g548 +V54 +p7056 +tp7057 +a(g900 +g962 +tp7058 +a(g548 +V45 +p7059 +tp7060 +a(g900 +g962 +tp7061 +a(g548 +V5B +p7062 +tp7063 +a(g900 +g962 +tp7064 +a(g548 +V63 +p7065 +tp7066 +a(g900 +g962 +tp7067 +a(g548 +V5D +p7068 +tp7069 +a(g900 +g962 +tp7070 +a(g548 +V20 +p7071 +tp7072 +a(g900 +V +p7073 +tp7074 +a(g341 +Vf (TRANSLATE[c] +p7075 +tp7076 +a(g900 +V\u000a +p7077 +tp7078 +a(g8 +V0000 +p7079 +tp7080 +a(g685 +g958 +tp7081 +a(g8 +V0660 +p7082 +tp7083 +a(g900 +g962 +tp7084 +a(g900 +g962 +tp7085 +a(g548 +V21 +p7086 +tp7087 +a(g900 +g962 +tp7088 +a(g548 +V3D +p7089 +tp7090 +a(g900 +g962 +tp7091 +a(g548 +V20 +p7092 +tp7093 +a(g900 +g962 +tp7094 +a(g548 +V27 +p7095 +tp7096 +a(g900 +g962 +tp7097 +a(g548 +V20 +p7098 +tp7099 +a(g900 +g962 +tp7100 +a(g548 +V27 +p7101 +tp7102 +a(g900 +g962 +tp7103 +a(g548 +V29 +p7104 +tp7105 +a(g900 +g962 +tp7106 +a(g548 +V0A +p7107 +tp7108 +a(g685 +g988 +tp7109 +a(g548 +V20 +p7110 +tp7111 +a(g900 +g962 +tp7112 +a(g548 +V20 +p7113 +tp7114 +a(g900 +g962 +tp7115 +a(g548 +V20 +p7116 +tp7117 +a(g900 +g962 +tp7118 +a(g548 +V20 +p7119 +tp7120 +a(g900 +g962 +tp7121 +a(g548 +V20 +p7122 +tp7123 +a(g900 +g962 +tp7124 +a(g548 +V20 +p7125 +tp7126 +a(g900 +g962 +tp7127 +a(g548 +V20 +p7128 +tp7129 +a(g900 +g962 +tp7130 +a(g548 +V20 +p7131 +tp7132 +a(g900 +V +p7133 +tp7134 +a(g341 +V!= ' ')\u25d9 +p7135 +tp7136 +a(g900 +V\u000a +p7137 +tp7138 +a(g8 +V0000 +p7139 +tp7140 +a(g685 +g958 +tp7141 +a(g8 +V0670 +p7142 +tp7143 +a(g900 +g962 +tp7144 +a(g900 +g962 +tp7145 +a(g548 +V20 +p7146 +tp7147 +a(g900 +g962 +tp7148 +a(g548 +V20 +p7149 +tp7150 +a(g900 +g962 +tp7151 +a(g548 +V20 +p7152 +tp7153 +a(g900 +g962 +tp7154 +a(g548 +V20 +p7155 +tp7156 +a(g900 +g962 +tp7157 +a(g548 +V72 +p7158 +tp7159 +a(g900 +g962 +tp7160 +a(g548 +V65 +p7161 +tp7162 +a(g900 +g962 +tp7163 +a(g548 +V74 +p7164 +tp7165 +a(g900 +g962 +tp7166 +a(g548 +V20 +p7167 +tp7168 +a(g685 +g988 +tp7169 +a(g548 +V7E +p7170 +tp7171 +a(g900 +g962 +tp7172 +a(g548 +V3D +p7173 +tp7174 +a(g900 +g962 +tp7175 +a(g548 +V20 +p7176 +tp7177 +a(g900 +g962 +tp7178 +a(g548 +V54 +p7179 +tp7180 +a(g900 +g962 +tp7181 +a(g548 +V52 +p7182 +tp7183 +a(g900 +g962 +tp7184 +a(g548 +V41 +p7185 +tp7186 +a(g900 +g962 +tp7187 +a(g548 +V4E +p7188 +tp7189 +a(g900 +g962 +tp7190 +a(g548 +V53 +p7191 +tp7192 +a(g900 +V +p7193 +tp7194 +a(g341 +V ret ~= TRANS +p7195 +tp7196 +a(g900 +V\u000a +p7197 +tp7198 +a(g8 +V0000 +p7199 +tp7200 +a(g685 +g958 +tp7201 +a(g8 +V0680 +p7202 +tp7203 +a(g900 +g962 +tp7204 +a(g900 +g962 +tp7205 +a(g548 +V4C +p7206 +tp7207 +a(g900 +g962 +tp7208 +a(g548 +V41 +p7209 +tp7210 +a(g900 +g962 +tp7211 +a(g548 +V54 +p7212 +tp7213 +a(g900 +g962 +tp7214 +a(g548 +V45 +p7215 +tp7216 +a(g900 +g962 +tp7217 +a(g548 +V5B +p7218 +tp7219 +a(g900 +g962 +tp7220 +a(g548 +V63 +p7221 +tp7222 +a(g900 +g962 +tp7223 +a(g548 +V5D +p7224 +tp7225 +a(g900 +g962 +tp7226 +a(g548 +V3B +p7227 +tp7228 +a(g685 +g988 +tp7229 +a(g548 +V0A +p7230 +tp7231 +a(g900 +g962 +tp7232 +a(g548 +V20 +p7233 +tp7234 +a(g900 +g962 +tp7235 +a(g548 +V20 +p7236 +tp7237 +a(g900 +g962 +tp7238 +a(g548 +V20 +p7239 +tp7240 +a(g900 +g962 +tp7241 +a(g548 +V20 +p7242 +tp7243 +a(g900 +g962 +tp7244 +a(g548 +V72 +p7245 +tp7246 +a(g900 +g962 +tp7247 +a(g548 +V65 +p7248 +tp7249 +a(g900 +g962 +tp7250 +a(g548 +V74 +p7251 +tp7252 +a(g900 +V +p7253 +tp7254 +a(g341 +VLATE[c];\u25d9 ret +p7255 +tp7256 +a(g900 +V\u000a +p7257 +tp7258 +a(g8 +V0000 +p7259 +tp7260 +a(g685 +g958 +tp7261 +a(g8 +V0690 +p7262 +tp7263 +a(g900 +g962 +tp7264 +a(g900 +g962 +tp7265 +a(g548 +V75 +p7266 +tp7267 +a(g900 +g962 +tp7268 +a(g548 +V72 +p7269 +tp7270 +a(g900 +g962 +tp7271 +a(g548 +V6E +p7272 +tp7273 +a(g900 +g962 +tp7274 +a(g548 +V20 +p7275 +tp7276 +a(g900 +g962 +tp7277 +a(g548 +V72 +p7278 +tp7279 +a(g900 +g962 +tp7280 +a(g548 +V65 +p7281 +tp7282 +a(g900 +g962 +tp7283 +a(g548 +V74 +p7284 +tp7285 +a(g900 +g962 +tp7286 +a(g548 +V3B +p7287 +tp7288 +a(g685 +g988 +tp7289 +a(g548 +V0A +p7290 +tp7291 +a(g900 +g962 +tp7292 +a(g548 +V7D +p7293 +tp7294 +a(g900 +g962 +tp7295 +a(g548 +V0A +p7296 +tp7297 +a(g900 +g962 +tp7298 +a(g548 +V0A +p7299 +tp7300 +a(g900 +g962 +tp7301 +a(g548 +V75 +p7302 +tp7303 +a(g900 +g962 +tp7304 +a(g548 +V6E +p7305 +tp7306 +a(g900 +g962 +tp7307 +a(g548 +V69 +p7308 +tp7309 +a(g900 +g962 +tp7310 +a(g548 +V74 +p7311 +tp7312 +a(g900 +V +p7313 +tp7314 +a(g341 +Vurn ret;\u25d9}\u25d9\u25d9unit +p7315 +tp7316 +a(g900 +V\u000a +p7317 +tp7318 +a(g8 +V0000 +p7319 +tp7320 +a(g685 +g958 +tp7321 +a(g8 +V06A0 +p7322 +tp7323 +a(g900 +g962 +tp7324 +a(g900 +g962 +tp7325 +a(g548 +V74 +p7326 +tp7327 +a(g900 +g962 +tp7328 +a(g548 +V65 +p7329 +tp7330 +a(g900 +g962 +tp7331 +a(g548 +V73 +p7332 +tp7333 +a(g900 +g962 +tp7334 +a(g548 +V74 +p7335 +tp7336 +a(g900 +g962 +tp7337 +a(g548 +V20 +p7338 +tp7339 +a(g900 +g962 +tp7340 +a(g548 +V7B +p7341 +tp7342 +a(g900 +g962 +tp7343 +a(g548 +V0A +p7344 +tp7345 +a(g900 +g962 +tp7346 +a(g548 +V20 +p7347 +tp7348 +a(g685 +g988 +tp7349 +a(g548 +V2F +p7350 +tp7351 +a(g900 +g962 +tp7352 +a(g548 +V2F +p7353 +tp7354 +a(g900 +g962 +tp7355 +a(g548 +V20 +p7356 +tp7357 +a(g900 +g962 +tp7358 +a(g548 +V54 +p7359 +tp7360 +a(g900 +g962 +tp7361 +a(g548 +V65 +p7362 +tp7363 +a(g900 +g962 +tp7364 +a(g548 +V73 +p7365 +tp7366 +a(g900 +g962 +tp7367 +a(g548 +V74 +p7368 +tp7369 +a(g900 +g962 +tp7370 +a(g548 +V20 +p7371 +tp7372 +a(g900 +V +p7373 +tp7374 +a(g341 +Vtest {\u25d9 // Test +p7375 +tp7376 +a(g900 +V\u000a +p7377 +tp7378 +a(g8 +V0000 +p7379 +tp7380 +a(g685 +g958 +tp7381 +a(g8 +V06B0 +p7382 +tp7383 +a(g900 +g962 +tp7384 +a(g900 +g962 +tp7385 +a(g548 +V77 +p7386 +tp7387 +a(g900 +g962 +tp7388 +a(g548 +V6F +p7389 +tp7390 +a(g900 +g962 +tp7391 +a(g548 +V72 +p7392 +tp7393 +a(g900 +g962 +tp7394 +a(g548 +V64 +p7395 +tp7396 +a(g900 +g962 +tp7397 +a(g548 +V54 +p7398 +tp7399 +a(g900 +g962 +tp7400 +a(g548 +V6F +p7401 +tp7402 +a(g900 +g962 +tp7403 +a(g548 +V4E +p7404 +tp7405 +a(g900 +g962 +tp7406 +a(g548 +V75 +p7407 +tp7408 +a(g685 +g988 +tp7409 +a(g548 +V6D +p7410 +tp7411 +a(g900 +g962 +tp7412 +a(g548 +V20 +p7413 +tp7414 +a(g900 +g962 +tp7415 +a(g548 +V75 +p7416 +tp7417 +a(g900 +g962 +tp7418 +a(g548 +V73 +p7419 +tp7420 +a(g900 +g962 +tp7421 +a(g548 +V69 +p7422 +tp7423 +a(g900 +g962 +tp7424 +a(g548 +V6E +p7425 +tp7426 +a(g900 +g962 +tp7427 +a(g548 +V67 +p7428 +tp7429 +a(g900 +g962 +tp7430 +a(g548 +V20 +p7431 +tp7432 +a(g900 +V +p7433 +tp7434 +a(g341 +VwordToNum using +p7435 +tp7436 +a(g900 +V\u000a +p7437 +tp7438 +a(g8 +V0000 +p7439 +tp7440 +a(g685 +g958 +tp7441 +a(g8 +V06C0 +p7442 +tp7443 +a(g900 +g962 +tp7444 +a(g900 +g962 +tp7445 +a(g548 +V74 +p7446 +tp7447 +a(g900 +g962 +tp7448 +a(g548 +V68 +p7449 +tp7450 +a(g900 +g962 +tp7451 +a(g548 +V65 +p7452 +tp7453 +a(g900 +g962 +tp7454 +a(g548 +V20 +p7455 +tp7456 +a(g900 +g962 +tp7457 +a(g548 +V74 +p7458 +tp7459 +a(g900 +g962 +tp7460 +a(g548 +V61 +p7461 +tp7462 +a(g900 +g962 +tp7463 +a(g548 +V62 +p7464 +tp7465 +a(g900 +g962 +tp7466 +a(g548 +V6C +p7467 +tp7468 +a(g685 +g988 +tp7469 +a(g548 +V65 +p7470 +tp7471 +a(g900 +g962 +tp7472 +a(g548 +V20 +p7473 +tp7474 +a(g900 +g962 +tp7475 +a(g548 +V66 +p7476 +tp7477 +a(g900 +g962 +tp7478 +a(g548 +V72 +p7479 +tp7480 +a(g900 +g962 +tp7481 +a(g548 +V6F +p7482 +tp7483 +a(g900 +g962 +tp7484 +a(g548 +V6D +p7485 +tp7486 +a(g900 +g962 +tp7487 +a(g548 +V20 +p7488 +tp7489 +a(g900 +g962 +tp7490 +a(g548 +V74 +p7491 +tp7492 +a(g900 +V +p7493 +tp7494 +a(g341 +Vthe table from t +p7495 +tp7496 +a(g900 +V\u000a +p7497 +tp7498 +a(g8 +V0000 +p7499 +tp7500 +a(g685 +g958 +tp7501 +a(g8 +V06D0 +p7502 +tp7503 +a(g900 +g962 +tp7504 +a(g900 +g962 +tp7505 +a(g548 +V68 +p7506 +tp7507 +a(g900 +g962 +tp7508 +a(g548 +V65 +p7509 +tp7510 +a(g900 +g962 +tp7511 +a(g548 +V20 +p7512 +tp7513 +a(g900 +g962 +tp7514 +a(g548 +V74 +p7515 +tp7516 +a(g900 +g962 +tp7517 +a(g548 +V61 +p7518 +tp7519 +a(g900 +g962 +tp7520 +a(g548 +V73 +p7521 +tp7522 +a(g900 +g962 +tp7523 +a(g548 +V6B +p7524 +tp7525 +a(g900 +g962 +tp7526 +a(g548 +V20 +p7527 +tp7528 +a(g685 +g988 +tp7529 +a(g548 +V64 +p7530 +tp7531 +a(g900 +g962 +tp7532 +a(g548 +V65 +p7533 +tp7534 +a(g900 +g962 +tp7535 +a(g548 +V73 +p7536 +tp7537 +a(g900 +g962 +tp7538 +a(g548 +V63 +p7539 +tp7540 +a(g900 +g962 +tp7541 +a(g548 +V72 +p7542 +tp7543 +a(g900 +g962 +tp7544 +a(g548 +V69 +p7545 +tp7546 +a(g900 +g962 +tp7547 +a(g548 +V70 +p7548 +tp7549 +a(g900 +g962 +tp7550 +a(g548 +V74 +p7551 +tp7552 +a(g900 +V +p7553 +tp7554 +a(g341 +Vhe task descript +p7555 +tp7556 +a(g900 +V\u000a +p7557 +tp7558 +a(g8 +V0000 +p7559 +tp7560 +a(g685 +g958 +tp7561 +a(g8 +V06E0 +p7562 +tp7563 +a(g900 +g962 +tp7564 +a(g900 +g962 +tp7565 +a(g548 +V69 +p7566 +tp7567 +a(g900 +g962 +tp7568 +a(g548 +V6F +p7569 +tp7570 +a(g900 +g962 +tp7571 +a(g548 +V6E +p7572 +tp7573 +a(g900 +g962 +tp7574 +a(g548 +V2E +p7575 +tp7576 +a(g900 +g962 +tp7577 +a(g548 +V0A +p7578 +tp7579 +a(g900 +g962 +tp7580 +a(g548 +V20 +p7581 +tp7582 +a(g900 +g962 +tp7583 +a(g548 +V61 +p7584 +tp7585 +a(g900 +g962 +tp7586 +a(g548 +V73 +p7587 +tp7588 +a(g685 +g988 +tp7589 +a(g548 +V73 +p7590 +tp7591 +a(g900 +g962 +tp7592 +a(g548 +V65 +p7593 +tp7594 +a(g900 +g962 +tp7595 +a(g548 +V72 +p7596 +tp7597 +a(g900 +g962 +tp7598 +a(g548 +V74 +p7599 +tp7600 +a(g900 +g962 +tp7601 +a(g548 +V28 +p7602 +tp7603 +a(g900 +g962 +tp7604 +a(g548 +V20 +p7605 +tp7606 +a(g900 +g962 +tp7607 +a(g548 +V22 +p7608 +tp7609 +a(g900 +g962 +tp7610 +a(g548 +V30 +p7611 +tp7612 +a(g900 +V +p7613 +tp7614 +a(g341 +Vion.\u25d9 assert( "0 +p7615 +tp7616 +a(g900 +V\u000a +p7617 +tp7618 +a(g8 +V0000 +p7619 +tp7620 +a(g685 +g958 +tp7621 +a(g8 +V06F0 +p7622 +tp7623 +a(g900 +g962 +tp7624 +a(g900 +g962 +tp7625 +a(g548 +V31 +p7626 +tp7627 +a(g900 +g962 +tp7628 +a(g548 +V31 +p7629 +tp7630 +a(g900 +g962 +tp7631 +a(g548 +V31 +p7632 +tp7633 +a(g900 +g962 +tp7634 +a(g548 +V32 +p7635 +tp7636 +a(g900 +g962 +tp7637 +a(g548 +V32 +p7638 +tp7639 +a(g900 +g962 +tp7640 +a(g548 +V32 +p7641 +tp7642 +a(g900 +g962 +tp7643 +a(g548 +V33 +p7644 +tp7645 +a(g900 +g962 +tp7646 +a(g548 +V33 +p7647 +tp7648 +a(g685 +g988 +tp7649 +a(g548 +V33 +p7650 +tp7651 +a(g900 +g962 +tp7652 +a(g548 +V34 +p7653 +tp7654 +a(g900 +g962 +tp7655 +a(g548 +V34 +p7656 +tp7657 +a(g900 +g962 +tp7658 +a(g548 +V35 +p7659 +tp7660 +a(g900 +g962 +tp7661 +a(g548 +V35 +p7662 +tp7663 +a(g900 +g962 +tp7664 +a(g548 +V36 +p7665 +tp7666 +a(g900 +g962 +tp7667 +a(g548 +V36 +p7668 +tp7669 +a(g900 +g962 +tp7670 +a(g548 +V36 +p7671 +tp7672 +a(g900 +V +p7673 +tp7674 +a(g341 +V1112223334455666 +p7675 +tp7676 +a(g900 +V\u000a +p7677 +tp7678 +a(g8 +V0000 +p7679 +tp7680 +a(g685 +g958 +tp7681 +a(g8 +V0700 +p7682 +tp7683 +a(g900 +g962 +tp7684 +a(g900 +g962 +tp7685 +a(g548 +V37 +p7686 +tp7687 +a(g900 +g962 +tp7688 +a(g548 +V37 +p7689 +tp7690 +a(g900 +g962 +tp7691 +a(g548 +V37 +p7692 +tp7693 +a(g900 +g962 +tp7694 +a(g548 +V38 +p7695 +tp7696 +a(g900 +g962 +tp7697 +a(g548 +V38 +p7698 +tp7699 +a(g900 +g962 +tp7700 +a(g548 +V38 +p7701 +tp7702 +a(g900 +g962 +tp7703 +a(g548 +V39 +p7704 +tp7705 +a(g900 +g962 +tp7706 +a(g548 +V39 +p7707 +tp7708 +a(g685 +g988 +tp7709 +a(g548 +V39 +p7710 +tp7711 +a(g900 +g962 +tp7712 +a(g548 +V22 +p7713 +tp7714 +a(g900 +g962 +tp7715 +a(g548 +V20 +p7716 +tp7717 +a(g900 +g962 +tp7718 +a(g548 +V3D +p7719 +tp7720 +a(g900 +g962 +tp7721 +a(g548 +V3D +p7722 +tp7723 +a(g900 +g962 +tp7724 +a(g548 +V0A +p7725 +tp7726 +a(g900 +g962 +tp7727 +a(g548 +V20 +p7728 +tp7729 +a(g900 +g962 +tp7730 +a(g548 +V20 +p7731 +tp7732 +a(g900 +V +p7733 +tp7734 +a(g341 +V777888999" ==\u25d9 +p7735 +tp7736 +a(g900 +V\u000a +p7737 +tp7738 +a(g8 +V0000 +p7739 +tp7740 +a(g685 +g958 +tp7741 +a(g8 +V0710 +p7742 +tp7743 +a(g900 +g962 +tp7744 +a(g900 +g962 +tp7745 +a(g548 +V20 +p7746 +tp7747 +a(g900 +g962 +tp7748 +a(g548 +V77 +p7749 +tp7750 +a(g900 +g962 +tp7751 +a(g548 +V6F +p7752 +tp7753 +a(g900 +g962 +tp7754 +a(g548 +V72 +p7755 +tp7756 +a(g900 +g962 +tp7757 +a(g548 +V64 +p7758 +tp7759 +a(g900 +g962 +tp7760 +a(g548 +V54 +p7761 +tp7762 +a(g900 +g962 +tp7763 +a(g548 +V6F +p7764 +tp7765 +a(g900 +g962 +tp7766 +a(g548 +V4E +p7767 +tp7768 +a(g685 +g988 +tp7769 +a(g548 +V75 +p7770 +tp7771 +a(g900 +g962 +tp7772 +a(g548 +V6D +p7773 +tp7774 +a(g900 +g962 +tp7775 +a(g548 +V28 +p7776 +tp7777 +a(g900 +g962 +tp7778 +a(g548 +V22 +p7779 +tp7780 +a(g900 +g962 +tp7781 +a(g548 +V45 +p7782 +tp7783 +a(g900 +g962 +tp7784 +a(g548 +V20 +p7785 +tp7786 +a(g900 +g962 +tp7787 +a(g548 +V7C +p7788 +tp7789 +a(g900 +g962 +tp7790 +a(g548 +V20 +p7791 +tp7792 +a(g900 +V +p7793 +tp7794 +a(g341 +V wordToNum("E | +p7795 +tp7796 +a(g900 +V\u000a +p7797 +tp7798 +a(g8 +V0000 +p7799 +tp7800 +a(g685 +g958 +tp7801 +a(g8 +V0720 +p7802 +tp7803 +a(g900 +g962 +tp7804 +a(g900 +g962 +tp7805 +a(g548 +V4A +p7806 +tp7807 +a(g900 +g962 +tp7808 +a(g548 +V20 +p7809 +tp7810 +a(g900 +g962 +tp7811 +a(g548 +V4E +p7812 +tp7813 +a(g900 +g962 +tp7814 +a(g548 +V20 +p7815 +tp7816 +a(g900 +g962 +tp7817 +a(g548 +V51 +p7818 +tp7819 +a(g900 +g962 +tp7820 +a(g548 +V20 +p7821 +tp7822 +a(g900 +g962 +tp7823 +a(g548 +V7C +p7824 +tp7825 +a(g900 +g962 +tp7826 +a(g548 +V20 +p7827 +tp7828 +a(g685 +g988 +tp7829 +a(g548 +V52 +p7830 +tp7831 +a(g900 +g962 +tp7832 +a(g548 +V20 +p7833 +tp7834 +a(g900 +g962 +tp7835 +a(g548 +V57 +p7836 +tp7837 +a(g900 +g962 +tp7838 +a(g548 +V20 +p7839 +tp7840 +a(g900 +g962 +tp7841 +a(g548 +V58 +p7842 +tp7843 +a(g900 +g962 +tp7844 +a(g548 +V20 +p7845 +tp7846 +a(g900 +g962 +tp7847 +a(g548 +V7C +p7848 +tp7849 +a(g900 +g962 +tp7850 +a(g548 +V20 +p7851 +tp7852 +a(g900 +V +p7853 +tp7854 +a(g341 +VJ N Q | R W X | +p7855 +tp7856 +a(g900 +V\u000a +p7857 +tp7858 +a(g8 +V0000 +p7859 +tp7860 +a(g685 +g958 +tp7861 +a(g8 +V0730 +p7862 +tp7863 +a(g900 +g962 +tp7864 +a(g900 +g962 +tp7865 +a(g548 +V44 +p7866 +tp7867 +a(g900 +g962 +tp7868 +a(g548 +V20 +p7869 +tp7870 +a(g900 +g962 +tp7871 +a(g548 +V53 +p7872 +tp7873 +a(g900 +g962 +tp7874 +a(g548 +V20 +p7875 +tp7876 +a(g900 +g962 +tp7877 +a(g548 +V59 +p7878 +tp7879 +a(g900 +g962 +tp7880 +a(g548 +V20 +p7881 +tp7882 +a(g900 +g962 +tp7883 +a(g548 +V7C +p7884 +tp7885 +a(g900 +g962 +tp7886 +a(g548 +V20 +p7887 +tp7888 +a(g685 +g988 +tp7889 +a(g548 +V46 +p7890 +tp7891 +a(g900 +g962 +tp7892 +a(g548 +V20 +p7893 +tp7894 +a(g900 +g962 +tp7895 +a(g548 +V54 +p7896 +tp7897 +a(g900 +g962 +tp7898 +a(g548 +V20 +p7899 +tp7900 +a(g900 +g962 +tp7901 +a(g548 +V7C +p7902 +tp7903 +a(g900 +g962 +tp7904 +a(g548 +V20 +p7905 +tp7906 +a(g900 +g962 +tp7907 +a(g548 +V41 +p7908 +tp7909 +a(g900 +g962 +tp7910 +a(g548 +V20 +p7911 +tp7912 +a(g900 +V +p7913 +tp7914 +a(g341 +VD S Y | F T | A +p7915 +tp7916 +a(g900 +V\u000a +p7917 +tp7918 +a(g8 +V0000 +p7919 +tp7920 +a(g685 +g958 +tp7921 +a(g8 +V0740 +p7922 +tp7923 +a(g900 +g962 +tp7924 +a(g900 +g962 +tp7925 +a(g548 +V4D +p7926 +tp7927 +a(g900 +g962 +tp7928 +a(g548 +V20 +p7929 +tp7930 +a(g900 +g962 +tp7931 +a(g548 +V7C +p7932 +tp7933 +a(g900 +g962 +tp7934 +a(g548 +V20 +p7935 +tp7936 +a(g900 +g962 +tp7937 +a(g548 +V43 +p7938 +tp7939 +a(g900 +g962 +tp7940 +a(g548 +V20 +p7941 +tp7942 +a(g900 +g962 +tp7943 +a(g548 +V49 +p7944 +tp7945 +a(g900 +g962 +tp7946 +a(g548 +V20 +p7947 +tp7948 +a(g685 +g988 +tp7949 +a(g548 +V56 +p7950 +tp7951 +a(g900 +g962 +tp7952 +a(g548 +V20 +p7953 +tp7954 +a(g900 +g962 +tp7955 +a(g548 +V7C +p7956 +tp7957 +a(g900 +g962 +tp7958 +a(g548 +V20 +p7959 +tp7960 +a(g900 +g962 +tp7961 +a(g548 +V42 +p7962 +tp7963 +a(g900 +g962 +tp7964 +a(g548 +V20 +p7965 +tp7966 +a(g900 +g962 +tp7967 +a(g548 +V4B +p7968 +tp7969 +a(g900 +g962 +tp7970 +a(g548 +V20 +p7971 +tp7972 +a(g900 +V +p7973 +tp7974 +a(g341 +VM | C I V | B K +p7975 +tp7976 +a(g900 +V\u000a +p7977 +tp7978 +a(g8 +V0000 +p7979 +tp7980 +a(g685 +g958 +tp7981 +a(g8 +V0750 +p7982 +tp7983 +a(g900 +g962 +tp7984 +a(g900 +g962 +tp7985 +a(g548 +V55 +p7986 +tp7987 +a(g900 +g962 +tp7988 +a(g548 +V20 +p7989 +tp7990 +a(g900 +g962 +tp7991 +a(g548 +V7C +p7992 +tp7993 +a(g900 +g962 +tp7994 +a(g548 +V20 +p7995 +tp7996 +a(g900 +g962 +tp7997 +a(g548 +V4C +p7998 +tp7999 +a(g900 +g962 +tp8000 +a(g548 +V20 +p8001 +tp8002 +a(g900 +g962 +tp8003 +a(g548 +V4F +p8004 +tp8005 +a(g900 +g962 +tp8006 +a(g548 +V20 +p8007 +tp8008 +a(g685 +g988 +tp8009 +a(g548 +V50 +p8010 +tp8011 +a(g900 +g962 +tp8012 +a(g548 +V20 +p8013 +tp8014 +a(g900 +g962 +tp8015 +a(g548 +V7C +p8016 +tp8017 +a(g900 +g962 +tp8018 +a(g548 +V20 +p8019 +tp8020 +a(g900 +g962 +tp8021 +a(g548 +V47 +p8022 +tp8023 +a(g900 +g962 +tp8024 +a(g548 +V20 +p8025 +tp8026 +a(g900 +g962 +tp8027 +a(g548 +V48 +p8028 +tp8029 +a(g900 +g962 +tp8030 +a(g548 +V20 +p8031 +tp8032 +a(g900 +V +p8033 +tp8034 +a(g341 +VU | L O P | G H +p8035 +tp8036 +a(g900 +V\u000a +p8037 +tp8038 +a(g8 +V0000 +p8039 +tp8040 +a(g685 +g958 +tp8041 +a(g8 +V0760 +p8042 +tp8043 +a(g900 +g962 +tp8044 +a(g900 +g962 +tp8045 +a(g548 +V5A +p8046 +tp8047 +a(g900 +g962 +tp8048 +a(g548 +V22 +p8049 +tp8050 +a(g900 +g962 +tp8051 +a(g548 +V29 +p8052 +tp8053 +a(g900 +g962 +tp8054 +a(g548 +V29 +p8055 +tp8056 +a(g900 +g962 +tp8057 +a(g548 +V3B +p8058 +tp8059 +a(g900 +g962 +tp8060 +a(g548 +V0A +p8061 +tp8062 +a(g900 +g962 +tp8063 +a(g548 +V20 +p8064 +tp8065 +a(g900 +g962 +tp8066 +a(g548 +V61 +p8067 +tp8068 +a(g685 +g988 +tp8069 +a(g548 +V73 +p8070 +tp8071 +a(g900 +g962 +tp8072 +a(g548 +V73 +p8073 +tp8074 +a(g900 +g962 +tp8075 +a(g548 +V65 +p8076 +tp8077 +a(g900 +g962 +tp8078 +a(g548 +V72 +p8079 +tp8080 +a(g900 +g962 +tp8081 +a(g548 +V74 +p8082 +tp8083 +a(g900 +g962 +tp8084 +a(g548 +V28 +p8085 +tp8086 +a(g900 +g962 +tp8087 +a(g548 +V20 +p8088 +tp8089 +a(g900 +g962 +tp8090 +a(g548 +V22 +p8091 +tp8092 +a(g900 +V +p8093 +tp8094 +a(g341 +VZ"));\u25d9 assert( " +p8095 +tp8096 +a(g900 +V\u000a +p8097 +tp8098 +a(g8 +V0000 +p8099 +tp8100 +a(g685 +g958 +tp8101 +a(g8 +V0770 +p8102 +tp8103 +a(g900 +g962 +tp8104 +a(g900 +g962 +tp8105 +a(g548 +V30 +p8106 +tp8107 +a(g900 +g962 +tp8108 +a(g548 +V31 +p8109 +tp8110 +a(g900 +g962 +tp8111 +a(g548 +V31 +p8112 +tp8113 +a(g900 +g962 +tp8114 +a(g548 +V31 +p8115 +tp8116 +a(g900 +g962 +tp8117 +a(g548 +V32 +p8118 +tp8119 +a(g900 +g962 +tp8120 +a(g548 +V32 +p8121 +tp8122 +a(g900 +g962 +tp8123 +a(g548 +V32 +p8124 +tp8125 +a(g900 +g962 +tp8126 +a(g548 +V33 +p8127 +tp8128 +a(g685 +g988 +tp8129 +a(g548 +V33 +p8130 +tp8131 +a(g900 +g962 +tp8132 +a(g548 +V33 +p8133 +tp8134 +a(g900 +g962 +tp8135 +a(g548 +V34 +p8136 +tp8137 +a(g900 +g962 +tp8138 +a(g548 +V34 +p8139 +tp8140 +a(g900 +g962 +tp8141 +a(g548 +V35 +p8142 +tp8143 +a(g900 +g962 +tp8144 +a(g548 +V35 +p8145 +tp8146 +a(g900 +g962 +tp8147 +a(g548 +V36 +p8148 +tp8149 +a(g900 +g962 +tp8150 +a(g548 +V36 +p8151 +tp8152 +a(g900 +V +p8153 +tp8154 +a(g341 +V0111222333445566 +p8155 +tp8156 +a(g900 +V\u000a +p8157 +tp8158 +a(g8 +V0000 +p8159 +tp8160 +a(g685 +g958 +tp8161 +a(g8 +V0780 +p8162 +tp8163 +a(g900 +g962 +tp8164 +a(g900 +g962 +tp8165 +a(g548 +V36 +p8166 +tp8167 +a(g900 +g962 +tp8168 +a(g548 +V37 +p8169 +tp8170 +a(g900 +g962 +tp8171 +a(g548 +V37 +p8172 +tp8173 +a(g900 +g962 +tp8174 +a(g548 +V37 +p8175 +tp8176 +a(g900 +g962 +tp8177 +a(g548 +V38 +p8178 +tp8179 +a(g900 +g962 +tp8180 +a(g548 +V38 +p8181 +tp8182 +a(g900 +g962 +tp8183 +a(g548 +V38 +p8184 +tp8185 +a(g900 +g962 +tp8186 +a(g548 +V39 +p8187 +tp8188 +a(g685 +g988 +tp8189 +a(g548 +V39 +p8190 +tp8191 +a(g900 +g962 +tp8192 +a(g548 +V39 +p8193 +tp8194 +a(g900 +g962 +tp8195 +a(g548 +V22 +p8196 +tp8197 +a(g900 +g962 +tp8198 +a(g548 +V20 +p8199 +tp8200 +a(g900 +g962 +tp8201 +a(g548 +V3D +p8202 +tp8203 +a(g900 +g962 +tp8204 +a(g548 +V3D +p8205 +tp8206 +a(g900 +g962 +tp8207 +a(g548 +V20 +p8208 +tp8209 +a(g900 +g962 +tp8210 +a(g548 +V0A +p8211 +tp8212 +a(g900 +V +p8213 +tp8214 +a(g341 +V6777888999" == \u25d9 +p8215 +tp8216 +a(g900 +V\u000a +p8217 +tp8218 +a(g8 +V0000 +p8219 +tp8220 +a(g685 +g958 +tp8221 +a(g8 +V0790 +p8222 +tp8223 +a(g900 +g962 +tp8224 +a(g900 +g962 +tp8225 +a(g548 +V20 +p8226 +tp8227 +a(g900 +g962 +tp8228 +a(g548 +V20 +p8229 +tp8230 +a(g900 +g962 +tp8231 +a(g548 +V20 +p8232 +tp8233 +a(g900 +g962 +tp8234 +a(g548 +V77 +p8235 +tp8236 +a(g900 +g962 +tp8237 +a(g548 +V6F +p8238 +tp8239 +a(g900 +g962 +tp8240 +a(g548 +V72 +p8241 +tp8242 +a(g900 +g962 +tp8243 +a(g548 +V64 +p8244 +tp8245 +a(g900 +g962 +tp8246 +a(g548 +V54 +p8247 +tp8248 +a(g685 +g988 +tp8249 +a(g548 +V6F +p8250 +tp8251 +a(g900 +g962 +tp8252 +a(g548 +V4E +p8253 +tp8254 +a(g900 +g962 +tp8255 +a(g548 +V75 +p8256 +tp8257 +a(g900 +g962 +tp8258 +a(g548 +V6D +p8259 +tp8260 +a(g900 +g962 +tp8261 +a(g548 +V28 +p8262 +tp8263 +a(g900 +g962 +tp8264 +a(g548 +V22 +p8265 +tp8266 +a(g900 +g962 +tp8267 +a(g548 +V65 +p8268 +tp8269 +a(g900 +g962 +tp8270 +a(g548 +V20 +p8271 +tp8272 +a(g900 +V +p8273 +tp8274 +a(g341 +V wordToNum("e +p8275 +tp8276 +a(g900 +V\u000a +p8277 +tp8278 +a(g8 +V0000 +p8279 +tp8280 +a(g685 +g958 +tp8281 +a(g8 +V07A0 +p8282 +tp8283 +a(g900 +g962 +tp8284 +a(g900 +g962 +tp8285 +a(g548 +V7C +p8286 +tp8287 +a(g900 +g962 +tp8288 +a(g548 +V20 +p8289 +tp8290 +a(g900 +g962 +tp8291 +a(g548 +V6A +p8292 +tp8293 +a(g900 +g962 +tp8294 +a(g548 +V20 +p8295 +tp8296 +a(g900 +g962 +tp8297 +a(g548 +V6E +p8298 +tp8299 +a(g900 +g962 +tp8300 +a(g548 +V20 +p8301 +tp8302 +a(g900 +g962 +tp8303 +a(g548 +V71 +p8304 +tp8305 +a(g900 +g962 +tp8306 +a(g548 +V20 +p8307 +tp8308 +a(g685 +g988 +tp8309 +a(g548 +V7C +p8310 +tp8311 +a(g900 +g962 +tp8312 +a(g548 +V20 +p8313 +tp8314 +a(g900 +g962 +tp8315 +a(g548 +V72 +p8316 +tp8317 +a(g900 +g962 +tp8318 +a(g548 +V20 +p8319 +tp8320 +a(g900 +g962 +tp8321 +a(g548 +V77 +p8322 +tp8323 +a(g900 +g962 +tp8324 +a(g548 +V20 +p8325 +tp8326 +a(g900 +g962 +tp8327 +a(g548 +V78 +p8328 +tp8329 +a(g900 +g962 +tp8330 +a(g548 +V20 +p8331 +tp8332 +a(g900 +V +p8333 +tp8334 +a(g341 +V| j n q | r w x +p8335 +tp8336 +a(g900 +V\u000a +p8337 +tp8338 +a(g8 +V0000 +p8339 +tp8340 +a(g685 +g958 +tp8341 +a(g8 +V07B0 +p8342 +tp8343 +a(g900 +g962 +tp8344 +a(g900 +g962 +tp8345 +a(g548 +V7C +p8346 +tp8347 +a(g900 +g962 +tp8348 +a(g548 +V20 +p8349 +tp8350 +a(g900 +g962 +tp8351 +a(g548 +V64 +p8352 +tp8353 +a(g900 +g962 +tp8354 +a(g548 +V20 +p8355 +tp8356 +a(g900 +g962 +tp8357 +a(g548 +V73 +p8358 +tp8359 +a(g900 +g962 +tp8360 +a(g548 +V20 +p8361 +tp8362 +a(g900 +g962 +tp8363 +a(g548 +V79 +p8364 +tp8365 +a(g900 +g962 +tp8366 +a(g548 +V20 +p8367 +tp8368 +a(g685 +g988 +tp8369 +a(g548 +V7C +p8370 +tp8371 +a(g900 +g962 +tp8372 +a(g548 +V20 +p8373 +tp8374 +a(g900 +g962 +tp8375 +a(g548 +V66 +p8376 +tp8377 +a(g900 +g962 +tp8378 +a(g548 +V20 +p8379 +tp8380 +a(g900 +g962 +tp8381 +a(g548 +V74 +p8382 +tp8383 +a(g900 +g962 +tp8384 +a(g548 +V20 +p8385 +tp8386 +a(g900 +g962 +tp8387 +a(g548 +V7C +p8388 +tp8389 +a(g900 +g962 +tp8390 +a(g548 +V20 +p8391 +tp8392 +a(g900 +V +p8393 +tp8394 +a(g341 +V| d s y | f t | +p8395 +tp8396 +a(g900 +V\u000a +p8397 +tp8398 +a(g8 +V0000 +p8399 +tp8400 +a(g685 +g958 +tp8401 +a(g8 +V07C0 +p8402 +tp8403 +a(g900 +g962 +tp8404 +a(g900 +g962 +tp8405 +a(g548 +V61 +p8406 +tp8407 +a(g900 +g962 +tp8408 +a(g548 +V20 +p8409 +tp8410 +a(g900 +g962 +tp8411 +a(g548 +V6D +p8412 +tp8413 +a(g900 +g962 +tp8414 +a(g548 +V20 +p8415 +tp8416 +a(g900 +g962 +tp8417 +a(g548 +V7C +p8418 +tp8419 +a(g900 +g962 +tp8420 +a(g548 +V20 +p8421 +tp8422 +a(g900 +g962 +tp8423 +a(g548 +V63 +p8424 +tp8425 +a(g900 +g962 +tp8426 +a(g548 +V20 +p8427 +tp8428 +a(g685 +g988 +tp8429 +a(g548 +V69 +p8430 +tp8431 +a(g900 +g962 +tp8432 +a(g548 +V20 +p8433 +tp8434 +a(g900 +g962 +tp8435 +a(g548 +V76 +p8436 +tp8437 +a(g900 +g962 +tp8438 +a(g548 +V20 +p8439 +tp8440 +a(g900 +g962 +tp8441 +a(g548 +V7C +p8442 +tp8443 +a(g900 +g962 +tp8444 +a(g548 +V20 +p8445 +tp8446 +a(g900 +g962 +tp8447 +a(g548 +V62 +p8448 +tp8449 +a(g900 +g962 +tp8450 +a(g548 +V20 +p8451 +tp8452 +a(g900 +V +p8453 +tp8454 +a(g341 +Va m | c i v | b +p8455 +tp8456 +a(g900 +V\u000a +p8457 +tp8458 +a(g8 +V0000 +p8459 +tp8460 +a(g685 +g958 +tp8461 +a(g8 +V07D0 +p8462 +tp8463 +a(g900 +g962 +tp8464 +a(g900 +g962 +tp8465 +a(g548 +V6B +p8466 +tp8467 +a(g900 +g962 +tp8468 +a(g548 +V20 +p8469 +tp8470 +a(g900 +g962 +tp8471 +a(g548 +V75 +p8472 +tp8473 +a(g900 +g962 +tp8474 +a(g548 +V20 +p8475 +tp8476 +a(g900 +g962 +tp8477 +a(g548 +V7C +p8478 +tp8479 +a(g900 +g962 +tp8480 +a(g548 +V20 +p8481 +tp8482 +a(g900 +g962 +tp8483 +a(g548 +V6C +p8484 +tp8485 +a(g900 +g962 +tp8486 +a(g548 +V20 +p8487 +tp8488 +a(g685 +g988 +tp8489 +a(g548 +V6F +p8490 +tp8491 +a(g900 +g962 +tp8492 +a(g548 +V20 +p8493 +tp8494 +a(g900 +g962 +tp8495 +a(g548 +V70 +p8496 +tp8497 +a(g900 +g962 +tp8498 +a(g548 +V20 +p8499 +tp8500 +a(g900 +g962 +tp8501 +a(g548 +V7C +p8502 +tp8503 +a(g900 +g962 +tp8504 +a(g548 +V20 +p8505 +tp8506 +a(g900 +g962 +tp8507 +a(g548 +V67 +p8508 +tp8509 +a(g900 +g962 +tp8510 +a(g548 +V20 +p8511 +tp8512 +a(g900 +V +p8513 +tp8514 +a(g341 +Vk u | l o p | g +p8515 +tp8516 +a(g900 +V\u000a +p8517 +tp8518 +a(g8 +V0000 +p8519 +tp8520 +a(g685 +g958 +tp8521 +a(g8 +V07E0 +p8522 +tp8523 +a(g900 +g962 +tp8524 +a(g900 +g962 +tp8525 +a(g548 +V68 +p8526 +tp8527 +a(g900 +g962 +tp8528 +a(g548 +V20 +p8529 +tp8530 +a(g900 +g962 +tp8531 +a(g548 +V7A +p8532 +tp8533 +a(g900 +g962 +tp8534 +a(g548 +V22 +p8535 +tp8536 +a(g900 +g962 +tp8537 +a(g548 +V29 +p8538 +tp8539 +a(g900 +g962 +tp8540 +a(g548 +V29 +p8541 +tp8542 +a(g900 +g962 +tp8543 +a(g548 +V3B +p8544 +tp8545 +a(g900 +g962 +tp8546 +a(g548 +V0A +p8547 +tp8548 +a(g685 +g988 +tp8549 +a(g548 +V20 +p8550 +tp8551 +a(g900 +g962 +tp8552 +a(g548 +V61 +p8553 +tp8554 +a(g900 +g962 +tp8555 +a(g548 +V73 +p8556 +tp8557 +a(g900 +g962 +tp8558 +a(g548 +V73 +p8559 +tp8560 +a(g900 +g962 +tp8561 +a(g548 +V65 +p8562 +tp8563 +a(g900 +g962 +tp8564 +a(g548 +V72 +p8565 +tp8566 +a(g900 +g962 +tp8567 +a(g548 +V74 +p8568 +tp8569 +a(g900 +g962 +tp8570 +a(g548 +V28 +p8571 +tp8572 +a(g900 +V +p8573 +tp8574 +a(g341 +Vh z"));\u25d9 assert( +p8575 +tp8576 +a(g900 +V\u000a +p8577 +tp8578 +a(g8 +V0000 +p8579 +tp8580 +a(g685 +g958 +tp8581 +a(g8 +V07F0 +p8582 +tp8583 +a(g900 +g962 +tp8584 +a(g900 +g962 +tp8585 +a(g548 +V20 +p8586 +tp8587 +a(g900 +g962 +tp8588 +a(g548 +V22 +p8589 +tp8590 +a(g900 +g962 +tp8591 +a(g548 +V30 +p8592 +tp8593 +a(g900 +g962 +tp8594 +a(g548 +V31 +p8595 +tp8596 +a(g900 +g962 +tp8597 +a(g548 +V32 +p8598 +tp8599 +a(g900 +g962 +tp8600 +a(g548 +V33 +p8601 +tp8602 +a(g900 +g962 +tp8603 +a(g548 +V34 +p8604 +tp8605 +a(g900 +g962 +tp8606 +a(g548 +V35 +p8607 +tp8608 +a(g685 +g988 +tp8609 +a(g548 +V36 +p8610 +tp8611 +a(g900 +g962 +tp8612 +a(g548 +V37 +p8613 +tp8614 +a(g900 +g962 +tp8615 +a(g548 +V38 +p8616 +tp8617 +a(g900 +g962 +tp8618 +a(g548 +V39 +p8619 +tp8620 +a(g900 +g962 +tp8621 +a(g548 +V22 +p8622 +tp8623 +a(g900 +g962 +tp8624 +a(g548 +V20 +p8625 +tp8626 +a(g900 +g962 +tp8627 +a(g548 +V3D +p8628 +tp8629 +a(g900 +g962 +tp8630 +a(g548 +V3D +p8631 +tp8632 +a(g900 +V +p8633 +tp8634 +a(g341 +V "0123456789" == +p8635 +tp8636 +a(g900 +V\u000a +p8637 +tp8638 +a(g8 +V0000 +p8639 +tp8640 +a(g685 +g958 +tp8641 +a(g8 +V0800 +p8642 +tp8643 +a(g900 +g962 +tp8644 +a(g900 +g962 +tp8645 +a(g548 +V20 +p8646 +tp8647 +a(g900 +g962 +tp8648 +a(g548 +V0A +p8649 +tp8650 +a(g900 +g962 +tp8651 +a(g548 +V20 +p8652 +tp8653 +a(g900 +g962 +tp8654 +a(g548 +V20 +p8655 +tp8656 +a(g900 +g962 +tp8657 +a(g548 +V20 +p8658 +tp8659 +a(g900 +g962 +tp8660 +a(g548 +V77 +p8661 +tp8662 +a(g900 +g962 +tp8663 +a(g548 +V6F +p8664 +tp8665 +a(g900 +g962 +tp8666 +a(g548 +V72 +p8667 +tp8668 +a(g685 +g988 +tp8669 +a(g548 +V64 +p8670 +tp8671 +a(g900 +g962 +tp8672 +a(g548 +V54 +p8673 +tp8674 +a(g900 +g962 +tp8675 +a(g548 +V6F +p8676 +tp8677 +a(g900 +g962 +tp8678 +a(g548 +V4E +p8679 +tp8680 +a(g900 +g962 +tp8681 +a(g548 +V75 +p8682 +tp8683 +a(g900 +g962 +tp8684 +a(g548 +V6D +p8685 +tp8686 +a(g900 +g962 +tp8687 +a(g548 +V28 +p8688 +tp8689 +a(g900 +g962 +tp8690 +a(g548 +V22 +p8691 +tp8692 +a(g900 +V +p8693 +tp8694 +a(g341 +V \u25d9 wordToNum(" +p8695 +tp8696 +a(g900 +V\u000a +p8697 +tp8698 +a(g8 +V0000 +p8699 +tp8700 +a(g685 +g958 +tp8701 +a(g8 +V0810 +p8702 +tp8703 +a(g900 +g962 +tp8704 +a(g900 +g962 +tp8705 +a(g548 +V30 +p8706 +tp8707 +a(g900 +g962 +tp8708 +a(g548 +V20 +p8709 +tp8710 +a(g900 +g962 +tp8711 +a(g548 +V7C +p8712 +tp8713 +a(g900 +g962 +tp8714 +a(g548 +V20 +p8715 +tp8716 +a(g900 +g962 +tp8717 +a(g548 +V20 +p8718 +tp8719 +a(g900 +g962 +tp8720 +a(g548 +V20 +p8721 +tp8722 +a(g900 +g962 +tp8723 +a(g548 +V31 +p8724 +tp8725 +a(g900 +g962 +tp8726 +a(g548 +V20 +p8727 +tp8728 +a(g685 +g988 +tp8729 +a(g548 +V20 +p8730 +tp8731 +a(g900 +g962 +tp8732 +a(g548 +V20 +p8733 +tp8734 +a(g900 +g962 +tp8735 +a(g548 +V7C +p8736 +tp8737 +a(g900 +g962 +tp8738 +a(g548 +V20 +p8739 +tp8740 +a(g900 +g962 +tp8741 +a(g548 +V20 +p8742 +tp8743 +a(g900 +g962 +tp8744 +a(g548 +V20 +p8745 +tp8746 +a(g900 +g962 +tp8747 +a(g548 +V32 +p8748 +tp8749 +a(g900 +g962 +tp8750 +a(g548 +V20 +p8751 +tp8752 +a(g900 +V +p8753 +tp8754 +a(g341 +V0 | 1 | 2 +p8755 +tp8756 +a(g900 +V\u000a +p8757 +tp8758 +a(g8 +V0000 +p8759 +tp8760 +a(g685 +g958 +tp8761 +a(g8 +V0820 +p8762 +tp8763 +a(g900 +g962 +tp8764 +a(g900 +g962 +tp8765 +a(g548 +V20 +p8766 +tp8767 +a(g900 +g962 +tp8768 +a(g548 +V20 +p8769 +tp8770 +a(g900 +g962 +tp8771 +a(g548 +V7C +p8772 +tp8773 +a(g900 +g962 +tp8774 +a(g548 +V20 +p8775 +tp8776 +a(g900 +g962 +tp8777 +a(g548 +V20 +p8778 +tp8779 +a(g900 +g962 +tp8780 +a(g548 +V20 +p8781 +tp8782 +a(g900 +g962 +tp8783 +a(g548 +V33 +p8784 +tp8785 +a(g900 +g962 +tp8786 +a(g548 +V20 +p8787 +tp8788 +a(g685 +g988 +tp8789 +a(g548 +V20 +p8790 +tp8791 +a(g900 +g962 +tp8792 +a(g548 +V20 +p8793 +tp8794 +a(g900 +g962 +tp8795 +a(g548 +V7C +p8796 +tp8797 +a(g900 +g962 +tp8798 +a(g548 +V20 +p8799 +tp8800 +a(g900 +g962 +tp8801 +a(g548 +V20 +p8802 +tp8803 +a(g900 +g962 +tp8804 +a(g548 +V34 +p8805 +tp8806 +a(g900 +g962 +tp8807 +a(g548 +V20 +p8808 +tp8809 +a(g900 +g962 +tp8810 +a(g548 +V20 +p8811 +tp8812 +a(g900 +V +p8813 +tp8814 +a(g341 +V | 3 | 4 +p8815 +tp8816 +a(g900 +V\u000a +p8817 +tp8818 +a(g8 +V0000 +p8819 +tp8820 +a(g685 +g958 +tp8821 +a(g8 +V0830 +p8822 +tp8823 +a(g900 +g962 +tp8824 +a(g900 +g962 +tp8825 +a(g548 +V7C +p8826 +tp8827 +a(g900 +g962 +tp8828 +a(g548 +V20 +p8829 +tp8830 +a(g900 +g962 +tp8831 +a(g548 +V20 +p8832 +tp8833 +a(g900 +g962 +tp8834 +a(g548 +V35 +p8835 +tp8836 +a(g900 +g962 +tp8837 +a(g548 +V20 +p8838 +tp8839 +a(g900 +g962 +tp8840 +a(g548 +V20 +p8841 +tp8842 +a(g900 +g962 +tp8843 +a(g548 +V7C +p8844 +tp8845 +a(g900 +g962 +tp8846 +a(g548 +V20 +p8847 +tp8848 +a(g685 +g988 +tp8849 +a(g548 +V20 +p8850 +tp8851 +a(g900 +g962 +tp8852 +a(g548 +V20 +p8853 +tp8854 +a(g900 +g962 +tp8855 +a(g548 +V36 +p8856 +tp8857 +a(g900 +g962 +tp8858 +a(g548 +V20 +p8859 +tp8860 +a(g900 +g962 +tp8861 +a(g548 +V20 +p8862 +tp8863 +a(g900 +g962 +tp8864 +a(g548 +V20 +p8865 +tp8866 +a(g900 +g962 +tp8867 +a(g548 +V7C +p8868 +tp8869 +a(g900 +g962 +tp8870 +a(g548 +V20 +p8871 +tp8872 +a(g900 +V +p8873 +tp8874 +a(g341 +V| 5 | 6 | +p8875 +tp8876 +a(g900 +V\u000a +p8877 +tp8878 +a(g8 +V0000 +p8879 +tp8880 +a(g685 +g958 +tp8881 +a(g8 +V0840 +p8882 +tp8883 +a(g900 +g962 +tp8884 +a(g900 +g962 +tp8885 +a(g548 +V20 +p8886 +tp8887 +a(g900 +g962 +tp8888 +a(g548 +V20 +p8889 +tp8890 +a(g900 +g962 +tp8891 +a(g548 +V37 +p8892 +tp8893 +a(g900 +g962 +tp8894 +a(g548 +V20 +p8895 +tp8896 +a(g900 +g962 +tp8897 +a(g548 +V20 +p8898 +tp8899 +a(g900 +g962 +tp8900 +a(g548 +V20 +p8901 +tp8902 +a(g900 +g962 +tp8903 +a(g548 +V7C +p8904 +tp8905 +a(g900 +g962 +tp8906 +a(g548 +V20 +p8907 +tp8908 +a(g685 +g988 +tp8909 +a(g548 +V20 +p8910 +tp8911 +a(g900 +g962 +tp8912 +a(g548 +V20 +p8913 +tp8914 +a(g900 +g962 +tp8915 +a(g548 +V38 +p8916 +tp8917 +a(g900 +g962 +tp8918 +a(g548 +V20 +p8919 +tp8920 +a(g900 +g962 +tp8921 +a(g548 +V20 +p8922 +tp8923 +a(g900 +g962 +tp8924 +a(g548 +V20 +p8925 +tp8926 +a(g900 +g962 +tp8927 +a(g548 +V7C +p8928 +tp8929 +a(g900 +g962 +tp8930 +a(g548 +V20 +p8931 +tp8932 +a(g900 +V +p8933 +tp8934 +a(g341 +V 7 | 8 | +p8935 +tp8936 +a(g900 +V\u000a +p8937 +tp8938 +a(g8 +V0000 +p8939 +tp8940 +a(g685 +g958 +tp8941 +a(g8 +V0850 +p8942 +tp8943 +a(g900 +g962 +tp8944 +a(g900 +g962 +tp8945 +a(g548 +V20 +p8946 +tp8947 +a(g900 +g962 +tp8948 +a(g548 +V20 +p8949 +tp8950 +a(g900 +g962 +tp8951 +a(g548 +V39 +p8952 +tp8953 +a(g900 +g962 +tp8954 +a(g548 +V22 +p8955 +tp8956 +a(g900 +g962 +tp8957 +a(g548 +V29 +p8958 +tp8959 +a(g900 +g962 +tp8960 +a(g548 +V29 +p8961 +tp8962 +a(g900 +g962 +tp8963 +a(g548 +V3B +p8964 +tp8965 +a(g900 +g962 +tp8966 +a(g548 +V0A +p8967 +tp8968 +a(g685 +g988 +tp8969 +a(g548 +V7D +p8970 +tp8971 +a(g900 +g962 +tp8972 +a(g548 +V0A +p8973 +tp8974 +a(g900 +g962 +tp8975 +a(g548 +V0A +p8976 +tp8977 +a(g900 +g962 +tp8978 +a(g548 +V76 +p8979 +tp8980 +a(g900 +g962 +tp8981 +a(g548 +V6F +p8982 +tp8983 +a(g900 +g962 +tp8984 +a(g548 +V69 +p8985 +tp8986 +a(g900 +g962 +tp8987 +a(g548 +V64 +p8988 +tp8989 +a(g900 +g962 +tp8990 +a(g548 +V20 +p8991 +tp8992 +a(g900 +V +p8993 +tp8994 +a(g341 +V 9"));\u25d9}\u25d9\u25d9void +p8995 +tp8996 +a(g900 +V\u000a +p8997 +tp8998 +a(g8 +V0000 +p8999 +tp9000 +a(g685 +g958 +tp9001 +a(g8 +V0860 +p9002 +tp9003 +a(g900 +g962 +tp9004 +a(g900 +g962 +tp9005 +a(g548 +V6D +p9006 +tp9007 +a(g900 +g962 +tp9008 +a(g548 +V61 +p9009 +tp9010 +a(g900 +g962 +tp9011 +a(g548 +V69 +p9012 +tp9013 +a(g900 +g962 +tp9014 +a(g548 +V6E +p9015 +tp9016 +a(g900 +g962 +tp9017 +a(g548 +V28 +p9018 +tp9019 +a(g900 +g962 +tp9020 +a(g548 +V20 +p9021 +tp9022 +a(g900 +g962 +tp9023 +a(g548 +V73 +p9024 +tp9025 +a(g900 +g962 +tp9026 +a(g548 +V74 +p9027 +tp9028 +a(g685 +g988 +tp9029 +a(g548 +V72 +p9030 +tp9031 +a(g900 +g962 +tp9032 +a(g548 +V69 +p9033 +tp9034 +a(g900 +g962 +tp9035 +a(g548 +V6E +p9036 +tp9037 +a(g900 +g962 +tp9038 +a(g548 +V67 +p9039 +tp9040 +a(g900 +g962 +tp9041 +a(g548 +V5B +p9042 +tp9043 +a(g900 +g962 +tp9044 +a(g548 +V5D +p9045 +tp9046 +a(g900 +g962 +tp9047 +a(g548 +V20 +p9048 +tp9049 +a(g900 +g962 +tp9050 +a(g548 +V61 +p9051 +tp9052 +a(g900 +V +p9053 +tp9054 +a(g341 +Vmain( string[] a +p9055 +tp9056 +a(g900 +V\u000a +p9057 +tp9058 +a(g8 +V0000 +p9059 +tp9060 +a(g685 +g958 +tp9061 +a(g8 +V0870 +p9062 +tp9063 +a(g900 +g962 +tp9064 +a(g900 +g962 +tp9065 +a(g548 +V72 +p9066 +tp9067 +a(g900 +g962 +tp9068 +a(g548 +V67 +p9069 +tp9070 +a(g900 +g962 +tp9071 +a(g548 +V73 +p9072 +tp9073 +a(g900 +g962 +tp9074 +a(g548 +V20 +p9075 +tp9076 +a(g900 +g962 +tp9077 +a(g548 +V29 +p9078 +tp9079 +a(g900 +g962 +tp9080 +a(g548 +V0A +p9081 +tp9082 +a(g900 +g962 +tp9083 +a(g548 +V7B +p9084 +tp9085 +a(g900 +g962 +tp9086 +a(g548 +V0A +p9087 +tp9088 +a(g685 +g988 +tp9089 +a(g548 +V20 +p9090 +tp9091 +a(g900 +g962 +tp9092 +a(g548 +V20 +p9093 +tp9094 +a(g900 +g962 +tp9095 +a(g548 +V20 +p9096 +tp9097 +a(g900 +g962 +tp9098 +a(g548 +V20 +p9099 +tp9100 +a(g900 +g962 +tp9101 +a(g548 +V2F +p9102 +tp9103 +a(g900 +g962 +tp9104 +a(g548 +V2F +p9105 +tp9106 +a(g900 +g962 +tp9107 +a(g548 +V20 +p9108 +tp9109 +a(g900 +g962 +tp9110 +a(g548 +V54 +p9111 +tp9112 +a(g900 +V +p9113 +tp9114 +a(g341 +Vrgs )\u25d9{\u25d9 // T +p9115 +tp9116 +a(g900 +V\u000a +p9117 +tp9118 +a(g8 +V0000 +p9119 +tp9120 +a(g685 +g958 +tp9121 +a(g8 +V0880 +p9122 +tp9123 +a(g900 +g962 +tp9124 +a(g900 +g962 +tp9125 +a(g548 +V68 +p9126 +tp9127 +a(g900 +g962 +tp9128 +a(g548 +V69 +p9129 +tp9130 +a(g900 +g962 +tp9131 +a(g548 +V73 +p9132 +tp9133 +a(g900 +g962 +tp9134 +a(g548 +V20 +p9135 +tp9136 +a(g900 +g962 +tp9137 +a(g548 +V61 +p9138 +tp9139 +a(g900 +g962 +tp9140 +a(g548 +V73 +p9141 +tp9142 +a(g900 +g962 +tp9143 +a(g548 +V73 +p9144 +tp9145 +a(g900 +g962 +tp9146 +a(g548 +V6F +p9147 +tp9148 +a(g685 +g988 +tp9149 +a(g548 +V63 +p9150 +tp9151 +a(g900 +g962 +tp9152 +a(g548 +V69 +p9153 +tp9154 +a(g900 +g962 +tp9155 +a(g548 +V61 +p9156 +tp9157 +a(g900 +g962 +tp9158 +a(g548 +V74 +p9159 +tp9160 +a(g900 +g962 +tp9161 +a(g548 +V69 +p9162 +tp9163 +a(g900 +g962 +tp9164 +a(g548 +V76 +p9165 +tp9166 +a(g900 +g962 +tp9167 +a(g548 +V65 +p9168 +tp9169 +a(g900 +g962 +tp9170 +a(g548 +V20 +p9171 +tp9172 +a(g900 +V +p9173 +tp9174 +a(g341 +Vhis associative +p9175 +tp9176 +a(g900 +V\u000a +p9177 +tp9178 +a(g8 +V0000 +p9179 +tp9180 +a(g685 +g958 +tp9181 +a(g8 +V0890 +p9182 +tp9183 +a(g900 +g962 +tp9184 +a(g900 +g962 +tp9185 +a(g548 +V61 +p9186 +tp9187 +a(g900 +g962 +tp9188 +a(g548 +V72 +p9189 +tp9190 +a(g900 +g962 +tp9191 +a(g548 +V72 +p9192 +tp9193 +a(g900 +g962 +tp9194 +a(g548 +V61 +p9195 +tp9196 +a(g900 +g962 +tp9197 +a(g548 +V79 +p9198 +tp9199 +a(g900 +g962 +tp9200 +a(g548 +V20 +p9201 +tp9202 +a(g900 +g962 +tp9203 +a(g548 +V6D +p9204 +tp9205 +a(g900 +g962 +tp9206 +a(g548 +V61 +p9207 +tp9208 +a(g685 +g988 +tp9209 +a(g548 +V70 +p9210 +tp9211 +a(g900 +g962 +tp9212 +a(g548 +V73 +p9213 +tp9214 +a(g900 +g962 +tp9215 +a(g548 +V20 +p9216 +tp9217 +a(g900 +g962 +tp9218 +a(g548 +V61 +p9219 +tp9220 +a(g900 +g962 +tp9221 +a(g548 +V20 +p9222 +tp9223 +a(g900 +g962 +tp9224 +a(g548 +V6E +p9225 +tp9226 +a(g900 +g962 +tp9227 +a(g548 +V75 +p9228 +tp9229 +a(g900 +g962 +tp9230 +a(g548 +V6D +p9231 +tp9232 +a(g900 +V +p9233 +tp9234 +a(g341 +Varray maps a num +p9235 +tp9236 +a(g900 +V\u000a +p9237 +tp9238 +a(g8 +V0000 +p9239 +tp9240 +a(g685 +g958 +tp9241 +a(g8 +V08A0 +p9242 +tp9243 +a(g900 +g962 +tp9244 +a(g900 +g962 +tp9245 +a(g548 +V62 +p9246 +tp9247 +a(g900 +g962 +tp9248 +a(g548 +V65 +p9249 +tp9250 +a(g900 +g962 +tp9251 +a(g548 +V72 +p9252 +tp9253 +a(g900 +g962 +tp9254 +a(g548 +V20 +p9255 +tp9256 +a(g900 +g962 +tp9257 +a(g548 +V74 +p9258 +tp9259 +a(g900 +g962 +tp9260 +a(g548 +V6F +p9261 +tp9262 +a(g900 +g962 +tp9263 +a(g548 +V20 +p9264 +tp9265 +a(g900 +g962 +tp9266 +a(g548 +V61 +p9267 +tp9268 +a(g685 +g988 +tp9269 +a(g548 +V6E +p9270 +tp9271 +a(g900 +g962 +tp9272 +a(g548 +V20 +p9273 +tp9274 +a(g900 +g962 +tp9275 +a(g548 +V61 +p9276 +tp9277 +a(g900 +g962 +tp9278 +a(g548 +V72 +p9279 +tp9280 +a(g900 +g962 +tp9281 +a(g548 +V72 +p9282 +tp9283 +a(g900 +g962 +tp9284 +a(g548 +V61 +p9285 +tp9286 +a(g900 +g962 +tp9287 +a(g548 +V79 +p9288 +tp9289 +a(g900 +g962 +tp9290 +a(g548 +V20 +p9291 +tp9292 +a(g900 +V +p9293 +tp9294 +a(g341 +Vber to an array +p9295 +tp9296 +a(g900 +V\u000a +p9297 +tp9298 +a(g8 +V0000 +p9299 +tp9300 +a(g685 +g958 +tp9301 +a(g8 +V08B0 +p9302 +tp9303 +a(g900 +g962 +tp9304 +a(g900 +g962 +tp9305 +a(g548 +V6F +p9306 +tp9307 +a(g900 +g962 +tp9308 +a(g548 +V66 +p9309 +tp9310 +a(g900 +g962 +tp9311 +a(g548 +V20 +p9312 +tp9313 +a(g900 +g962 +tp9314 +a(g548 +V77 +p9315 +tp9316 +a(g900 +g962 +tp9317 +a(g548 +V6F +p9318 +tp9319 +a(g900 +g962 +tp9320 +a(g548 +V72 +p9321 +tp9322 +a(g900 +g962 +tp9323 +a(g548 +V64 +p9324 +tp9325 +a(g900 +g962 +tp9326 +a(g548 +V73 +p9327 +tp9328 +a(g685 +g988 +tp9329 +a(g548 +V2E +p9330 +tp9331 +a(g900 +g962 +tp9332 +a(g548 +V20 +p9333 +tp9334 +a(g900 +g962 +tp9335 +a(g548 +V20 +p9336 +tp9337 +a(g900 +g962 +tp9338 +a(g548 +V20 +p9339 +tp9340 +a(g900 +g962 +tp9341 +a(g548 +V20 +p9342 +tp9343 +a(g900 +g962 +tp9344 +a(g548 +V0A +p9345 +tp9346 +a(g900 +g962 +tp9347 +a(g548 +V20 +p9348 +tp9349 +a(g900 +g962 +tp9350 +a(g548 +V20 +p9351 +tp9352 +a(g900 +V +p9353 +tp9354 +a(g341 +Vof words. \u25d9 +p9355 +tp9356 +a(g900 +V\u000a +p9357 +tp9358 +a(g8 +V0000 +p9359 +tp9360 +a(g685 +g958 +tp9361 +a(g8 +V08C0 +p9362 +tp9363 +a(g900 +g962 +tp9364 +a(g900 +g962 +tp9365 +a(g548 +V20 +p9366 +tp9367 +a(g900 +g962 +tp9368 +a(g548 +V20 +p9369 +tp9370 +a(g900 +g962 +tp9371 +a(g548 +V73 +p9372 +tp9373 +a(g900 +g962 +tp9374 +a(g548 +V74 +p9375 +tp9376 +a(g900 +g962 +tp9377 +a(g548 +V72 +p9378 +tp9379 +a(g900 +g962 +tp9380 +a(g548 +V69 +p9381 +tp9382 +a(g900 +g962 +tp9383 +a(g548 +V6E +p9384 +tp9385 +a(g900 +g962 +tp9386 +a(g548 +V67 +p9387 +tp9388 +a(g685 +g988 +tp9389 +a(g548 +V61 +p9390 +tp9391 +a(g900 +g962 +tp9392 +a(g548 +V72 +p9393 +tp9394 +a(g900 +g962 +tp9395 +a(g548 +V72 +p9396 +tp9397 +a(g900 +g962 +tp9398 +a(g548 +V61 +p9399 +tp9400 +a(g900 +g962 +tp9401 +a(g548 +V79 +p9402 +tp9403 +a(g900 +g962 +tp9404 +a(g548 +V5B +p9405 +tp9406 +a(g900 +g962 +tp9407 +a(g548 +V73 +p9408 +tp9409 +a(g900 +g962 +tp9410 +a(g548 +V74 +p9411 +tp9412 +a(g900 +V +p9413 +tp9414 +a(g341 +V stringarray[st +p9415 +tp9416 +a(g900 +V\u000a +p9417 +tp9418 +a(g8 +V0000 +p9419 +tp9420 +a(g685 +g958 +tp9421 +a(g8 +V08D0 +p9422 +tp9423 +a(g900 +g962 +tp9424 +a(g900 +g962 +tp9425 +a(g548 +V72 +p9426 +tp9427 +a(g900 +g962 +tp9428 +a(g548 +V69 +p9429 +tp9430 +a(g900 +g962 +tp9431 +a(g548 +V6E +p9432 +tp9433 +a(g900 +g962 +tp9434 +a(g548 +V67 +p9435 +tp9436 +a(g900 +g962 +tp9437 +a(g548 +V5D +p9438 +tp9439 +a(g900 +g962 +tp9440 +a(g548 +V20 +p9441 +tp9442 +a(g900 +g962 +tp9443 +a(g548 +V20 +p9444 +tp9445 +a(g900 +g962 +tp9446 +a(g548 +V20 +p9447 +tp9448 +a(g685 +g988 +tp9449 +a(g548 +V20 +p9450 +tp9451 +a(g900 +g962 +tp9452 +a(g548 +V6E +p9453 +tp9454 +a(g900 +g962 +tp9455 +a(g548 +V75 +p9456 +tp9457 +a(g900 +g962 +tp9458 +a(g548 +V6D +p9459 +tp9460 +a(g900 +g962 +tp9461 +a(g548 +V32 +p9462 +tp9463 +a(g900 +g962 +tp9464 +a(g548 +V77 +p9465 +tp9466 +a(g900 +g962 +tp9467 +a(g548 +V6F +p9468 +tp9469 +a(g900 +g962 +tp9470 +a(g548 +V72 +p9471 +tp9472 +a(g900 +V +p9473 +tp9474 +a(g341 +Vring] num2wor +p9475 +tp9476 +a(g900 +V\u000a +p9477 +tp9478 +a(g8 +V0000 +p9479 +tp9480 +a(g685 +g958 +tp9481 +a(g8 +V08E0 +p9482 +tp9483 +a(g900 +g962 +tp9484 +a(g900 +g962 +tp9485 +a(g548 +V64 +p9486 +tp9487 +a(g900 +g962 +tp9488 +a(g548 +V73 +p9489 +tp9490 +a(g900 +g962 +tp9491 +a(g548 +V3B +p9492 +tp9493 +a(g900 +g962 +tp9494 +a(g548 +V0A +p9495 +tp9496 +a(g900 +g962 +tp9497 +a(g548 +V0A +p9498 +tp9499 +a(g900 +g962 +tp9500 +a(g548 +V20 +p9501 +tp9502 +a(g900 +g962 +tp9503 +a(g548 +V20 +p9504 +tp9505 +a(g900 +g962 +tp9506 +a(g548 +V20 +p9507 +tp9508 +a(g685 +g988 +tp9509 +a(g548 +V20 +p9510 +tp9511 +a(g900 +g962 +tp9512 +a(g548 +V66 +p9513 +tp9514 +a(g900 +g962 +tp9515 +a(g548 +V6F +p9516 +tp9517 +a(g900 +g962 +tp9518 +a(g548 +V72 +p9519 +tp9520 +a(g900 +g962 +tp9521 +a(g548 +V65 +p9522 +tp9523 +a(g900 +g962 +tp9524 +a(g548 +V61 +p9525 +tp9526 +a(g900 +g962 +tp9527 +a(g548 +V63 +p9528 +tp9529 +a(g900 +g962 +tp9530 +a(g548 +V68 +p9531 +tp9532 +a(g900 +V +p9533 +tp9534 +a(g341 +Vds;\u25d9\u25d9 foreach +p9535 +tp9536 +a(g900 +V\u000a +p9537 +tp9538 +a(g8 +V0000 +p9539 +tp9540 +a(g685 +g958 +tp9541 +a(g8 +V08F0 +p9542 +tp9543 +a(g900 +g962 +tp9544 +a(g900 +g962 +tp9545 +a(g548 +V28 +p9546 +tp9547 +a(g900 +g962 +tp9548 +a(g548 +V73 +p9549 +tp9550 +a(g900 +g962 +tp9551 +a(g548 +V74 +p9552 +tp9553 +a(g900 +g962 +tp9554 +a(g548 +V72 +p9555 +tp9556 +a(g900 +g962 +tp9557 +a(g548 +V69 +p9558 +tp9559 +a(g900 +g962 +tp9560 +a(g548 +V6E +p9561 +tp9562 +a(g900 +g962 +tp9563 +a(g548 +V67 +p9564 +tp9565 +a(g900 +g962 +tp9566 +a(g548 +V20 +p9567 +tp9568 +a(g685 +g988 +tp9569 +a(g548 +V77 +p9570 +tp9571 +a(g900 +g962 +tp9572 +a(g548 +V6F +p9573 +tp9574 +a(g900 +g962 +tp9575 +a(g548 +V72 +p9576 +tp9577 +a(g900 +g962 +tp9578 +a(g548 +V64 +p9579 +tp9580 +a(g900 +g962 +tp9581 +a(g548 +V3B +p9582 +tp9583 +a(g900 +g962 +tp9584 +a(g548 +V20 +p9585 +tp9586 +a(g900 +g962 +tp9587 +a(g548 +V6E +p9588 +tp9589 +a(g900 +g962 +tp9590 +a(g548 +V65 +p9591 +tp9592 +a(g900 +V +p9593 +tp9594 +a(g341 +V(string word; ne +p9595 +tp9596 +a(g900 +V\u000a +p9597 +tp9598 +a(g8 +V0000 +p9599 +tp9600 +a(g685 +g958 +tp9601 +a(g8 +V0900 +p9602 +tp9603 +a(g900 +g962 +tp9604 +a(g900 +g962 +tp9605 +a(g548 +V77 +p9606 +tp9607 +a(g900 +g962 +tp9608 +a(g548 +V20 +p9609 +tp9610 +a(g900 +g962 +tp9611 +a(g548 +V42 +p9612 +tp9613 +a(g900 +g962 +tp9614 +a(g548 +V75 +p9615 +tp9616 +a(g900 +g962 +tp9617 +a(g548 +V66 +p9618 +tp9619 +a(g900 +g962 +tp9620 +a(g548 +V66 +p9621 +tp9622 +a(g900 +g962 +tp9623 +a(g548 +V65 +p9624 +tp9625 +a(g900 +g962 +tp9626 +a(g548 +V72 +p9627 +tp9628 +a(g685 +g988 +tp9629 +a(g548 +V65 +p9630 +tp9631 +a(g900 +g962 +tp9632 +a(g548 +V64 +p9633 +tp9634 +a(g900 +g962 +tp9635 +a(g548 +V46 +p9636 +tp9637 +a(g900 +g962 +tp9638 +a(g548 +V69 +p9639 +tp9640 +a(g900 +g962 +tp9641 +a(g548 +V6C +p9642 +tp9643 +a(g900 +g962 +tp9644 +a(g548 +V65 +p9645 +tp9646 +a(g900 +g962 +tp9647 +a(g548 +V28 +p9648 +tp9649 +a(g900 +g962 +tp9650 +a(g548 +V22 +p9651 +tp9652 +a(g900 +V +p9653 +tp9654 +a(g341 +Vw BufferedFile(" +p9655 +tp9656 +a(g900 +V\u000a +p9657 +tp9658 +a(g8 +V0000 +p9659 +tp9660 +a(g685 +g958 +tp9661 +a(g8 +V0910 +p9662 +tp9663 +a(g900 +g962 +tp9664 +a(g900 +g962 +tp9665 +a(g548 +V64 +p9666 +tp9667 +a(g900 +g962 +tp9668 +a(g548 +V69 +p9669 +tp9670 +a(g900 +g962 +tp9671 +a(g548 +V63 +p9672 +tp9673 +a(g900 +g962 +tp9674 +a(g548 +V74 +p9675 +tp9676 +a(g900 +g962 +tp9677 +a(g548 +V69 +p9678 +tp9679 +a(g900 +g962 +tp9680 +a(g548 +V6F +p9681 +tp9682 +a(g900 +g962 +tp9683 +a(g548 +V6E +p9684 +tp9685 +a(g900 +g962 +tp9686 +a(g548 +V61 +p9687 +tp9688 +a(g685 +g988 +tp9689 +a(g548 +V72 +p9690 +tp9691 +a(g900 +g962 +tp9692 +a(g548 +V79 +p9693 +tp9694 +a(g900 +g962 +tp9695 +a(g548 +V2E +p9696 +tp9697 +a(g900 +g962 +tp9698 +a(g548 +V74 +p9699 +tp9700 +a(g900 +g962 +tp9701 +a(g548 +V78 +p9702 +tp9703 +a(g900 +g962 +tp9704 +a(g548 +V74 +p9705 +tp9706 +a(g900 +g962 +tp9707 +a(g548 +V22 +p9708 +tp9709 +a(g900 +g962 +tp9710 +a(g548 +V20 +p9711 +tp9712 +a(g900 +V +p9713 +tp9714 +a(g341 +Vdictionary.txt" +p9715 +tp9716 +a(g900 +V\u000a +p9717 +tp9718 +a(g8 +V0000 +p9719 +tp9720 +a(g685 +g958 +tp9721 +a(g8 +V0920 +p9722 +tp9723 +a(g900 +g962 +tp9724 +a(g900 +g962 +tp9725 +a(g548 +V29 +p9726 +tp9727 +a(g900 +g962 +tp9728 +a(g548 +V20 +p9729 +tp9730 +a(g900 +g962 +tp9731 +a(g548 +V29 +p9732 +tp9733 +a(g900 +g962 +tp9734 +a(g548 +V0A +p9735 +tp9736 +a(g900 +g962 +tp9737 +a(g548 +V20 +p9738 +tp9739 +a(g900 +g962 +tp9740 +a(g548 +V20 +p9741 +tp9742 +a(g900 +g962 +tp9743 +a(g548 +V20 +p9744 +tp9745 +a(g900 +g962 +tp9746 +a(g548 +V20 +p9747 +tp9748 +a(g685 +g988 +tp9749 +a(g548 +V20 +p9750 +tp9751 +a(g900 +g962 +tp9752 +a(g548 +V20 +p9753 +tp9754 +a(g900 +g962 +tp9755 +a(g548 +V20 +p9756 +tp9757 +a(g900 +g962 +tp9758 +a(g548 +V20 +p9759 +tp9760 +a(g900 +g962 +tp9761 +a(g548 +V6E +p9762 +tp9763 +a(g900 +g962 +tp9764 +a(g548 +V75 +p9765 +tp9766 +a(g900 +g962 +tp9767 +a(g548 +V6D +p9768 +tp9769 +a(g900 +g962 +tp9770 +a(g548 +V32 +p9771 +tp9772 +a(g900 +V +p9773 +tp9774 +a(g341 +V) )\u25d9 num2 +p9775 +tp9776 +a(g900 +V\u000a +p9777 +tp9778 +a(g8 +V0000 +p9779 +tp9780 +a(g685 +g958 +tp9781 +a(g8 +V0930 +p9782 +tp9783 +a(g900 +g962 +tp9784 +a(g900 +g962 +tp9785 +a(g548 +V77 +p9786 +tp9787 +a(g900 +g962 +tp9788 +a(g548 +V6F +p9789 +tp9790 +a(g900 +g962 +tp9791 +a(g548 +V72 +p9792 +tp9793 +a(g900 +g962 +tp9794 +a(g548 +V64 +p9795 +tp9796 +a(g900 +g962 +tp9797 +a(g548 +V73 +p9798 +tp9799 +a(g900 +g962 +tp9800 +a(g548 +V5B +p9801 +tp9802 +a(g900 +g962 +tp9803 +a(g548 +V20 +p9804 +tp9805 +a(g900 +g962 +tp9806 +a(g548 +V77 +p9807 +tp9808 +a(g685 +g988 +tp9809 +a(g548 +V6F +p9810 +tp9811 +a(g900 +g962 +tp9812 +a(g548 +V72 +p9813 +tp9814 +a(g900 +g962 +tp9815 +a(g548 +V64 +p9816 +tp9817 +a(g900 +g962 +tp9818 +a(g548 +V54 +p9819 +tp9820 +a(g900 +g962 +tp9821 +a(g548 +V6F +p9822 +tp9823 +a(g900 +g962 +tp9824 +a(g548 +V4E +p9825 +tp9826 +a(g900 +g962 +tp9827 +a(g548 +V75 +p9828 +tp9829 +a(g900 +g962 +tp9830 +a(g548 +V6D +p9831 +tp9832 +a(g900 +V +p9833 +tp9834 +a(g341 +Vwords[ wordToNum +p9835 +tp9836 +a(g900 +V\u000a +p9837 +tp9838 +a(g8 +V0000 +p9839 +tp9840 +a(g685 +g958 +tp9841 +a(g8 +V0940 +p9842 +tp9843 +a(g900 +g962 +tp9844 +a(g900 +g962 +tp9845 +a(g548 +V28 +p9846 +tp9847 +a(g900 +g962 +tp9848 +a(g548 +V77 +p9849 +tp9850 +a(g900 +g962 +tp9851 +a(g548 +V6F +p9852 +tp9853 +a(g900 +g962 +tp9854 +a(g548 +V72 +p9855 +tp9856 +a(g900 +g962 +tp9857 +a(g548 +V64 +p9858 +tp9859 +a(g900 +g962 +tp9860 +a(g548 +V29 +p9861 +tp9862 +a(g900 +g962 +tp9863 +a(g548 +V20 +p9864 +tp9865 +a(g900 +g962 +tp9866 +a(g548 +V5D +p9867 +tp9868 +a(g685 +g988 +tp9869 +a(g548 +V20 +p9870 +tp9871 +a(g900 +g962 +tp9872 +a(g548 +V7E +p9873 +tp9874 +a(g900 +g962 +tp9875 +a(g548 +V3D +p9876 +tp9877 +a(g900 +g962 +tp9878 +a(g548 +V20 +p9879 +tp9880 +a(g900 +g962 +tp9881 +a(g548 +V77 +p9882 +tp9883 +a(g900 +g962 +tp9884 +a(g548 +V6F +p9885 +tp9886 +a(g900 +g962 +tp9887 +a(g548 +V72 +p9888 +tp9889 +a(g900 +g962 +tp9890 +a(g548 +V64 +p9891 +tp9892 +a(g900 +V +p9893 +tp9894 +a(g341 +V(word) ] ~= word +p9895 +tp9896 +a(g900 +V\u000a +p9897 +tp9898 +a(g8 +V0000 +p9899 +tp9900 +a(g685 +g958 +tp9901 +a(g8 +V0950 +p9902 +tp9903 +a(g900 +g962 +tp9904 +a(g900 +g962 +tp9905 +a(g548 +V2E +p9906 +tp9907 +a(g900 +g962 +tp9908 +a(g548 +V64 +p9909 +tp9910 +a(g900 +g962 +tp9911 +a(g548 +V75 +p9912 +tp9913 +a(g900 +g962 +tp9914 +a(g548 +V70 +p9915 +tp9916 +a(g900 +g962 +tp9917 +a(g548 +V3B +p9918 +tp9919 +a(g900 +g962 +tp9920 +a(g548 +V20 +p9921 +tp9922 +a(g900 +g962 +tp9923 +a(g548 +V20 +p9924 +tp9925 +a(g900 +g962 +tp9926 +a(g548 +V20 +p9927 +tp9928 +a(g685 +g988 +tp9929 +a(g548 +V20 +p9930 +tp9931 +a(g900 +g962 +tp9932 +a(g548 +V20 +p9933 +tp9934 +a(g900 +g962 +tp9935 +a(g548 +V20 +p9936 +tp9937 +a(g900 +g962 +tp9938 +a(g548 +V20 +p9939 +tp9940 +a(g900 +g962 +tp9941 +a(g548 +V20 +p9942 +tp9943 +a(g900 +g962 +tp9944 +a(g548 +V2F +p9945 +tp9946 +a(g900 +g962 +tp9947 +a(g548 +V2F +p9948 +tp9949 +a(g900 +g962 +tp9950 +a(g548 +V20 +p9951 +tp9952 +a(g900 +V +p9953 +tp9954 +a(g341 +V.dup; // +p9955 +tp9956 +a(g900 +V\u000a +p9957 +tp9958 +a(g8 +V0000 +p9959 +tp9960 +a(g685 +g958 +tp9961 +a(g8 +V0960 +p9962 +tp9963 +a(g900 +g962 +tp9964 +a(g900 +g962 +tp9965 +a(g548 +V6D +p9966 +tp9967 +a(g900 +g962 +tp9968 +a(g548 +V75 +p9969 +tp9970 +a(g900 +g962 +tp9971 +a(g548 +V73 +p9972 +tp9973 +a(g900 +g962 +tp9974 +a(g548 +V74 +p9975 +tp9976 +a(g900 +g962 +tp9977 +a(g548 +V20 +p9978 +tp9979 +a(g900 +g962 +tp9980 +a(g548 +V64 +p9981 +tp9982 +a(g900 +g962 +tp9983 +a(g548 +V75 +p9984 +tp9985 +a(g900 +g962 +tp9986 +a(g548 +V70 +p9987 +tp9988 +a(g685 +g988 +tp9989 +a(g548 +V0A +p9990 +tp9991 +a(g900 +g962 +tp9992 +a(g548 +V0A +p9993 +tp9994 +a(g900 +g962 +tp9995 +a(g548 +V20 +p9996 +tp9997 +a(g900 +g962 +tp9998 +a(g548 +V20 +p9999 +tp10000 +a(g900 +g962 +tp10001 +a(g548 +V20 +p10002 +tp10003 +a(g900 +g962 +tp10004 +a(g548 +V20 +p10005 +tp10006 +a(g900 +g962 +tp10007 +a(g548 +V2F +p10008 +tp10009 +a(g900 +g962 +tp10010 +a(g548 +V2F +p10011 +tp10012 +a(g900 +V +p10013 +tp10014 +a(g341 +Vmust dup\u25d9\u25d9 // +p10015 +tp10016 +a(g900 +V\u000a +p10017 +tp10018 +a(g8 +V0000 +p10019 +tp10020 +a(g685 +g958 +tp10021 +a(g8 +V0970 +p10022 +tp10023 +a(g900 +g962 +tp10024 +a(g900 +g962 +tp10025 +a(g548 +V2F +p10026 +tp10027 +a(g900 +g962 +tp10028 +a(g548 +V20 +p10029 +tp10030 +a(g900 +g962 +tp10031 +a(g548 +V46 +p10032 +tp10033 +a(g900 +g962 +tp10034 +a(g548 +V69 +p10035 +tp10036 +a(g900 +g962 +tp10037 +a(g548 +V6E +p10038 +tp10039 +a(g900 +g962 +tp10040 +a(g548 +V64 +p10041 +tp10042 +a(g900 +g962 +tp10043 +a(g548 +V73 +p10044 +tp10045 +a(g900 +g962 +tp10046 +a(g548 +V20 +p10047 +tp10048 +a(g685 +g988 +tp10049 +a(g548 +V61 +p10050 +tp10051 +a(g900 +g962 +tp10052 +a(g548 +V6C +p10053 +tp10054 +a(g900 +g962 +tp10055 +a(g548 +V6C +p10056 +tp10057 +a(g900 +g962 +tp10058 +a(g548 +V20 +p10059 +tp10060 +a(g900 +g962 +tp10061 +a(g548 +V61 +p10062 +tp10063 +a(g900 +g962 +tp10064 +a(g548 +V6C +p10065 +tp10066 +a(g900 +g962 +tp10067 +a(g548 +V74 +p10068 +tp10069 +a(g900 +g962 +tp10070 +a(g548 +V65 +p10071 +tp10072 +a(g900 +V +p10073 +tp10074 +a(g341 +V/ Finds all alte +p10075 +tp10076 +a(g900 +V\u000a +p10077 +tp10078 +a(g8 +V0000 +p10079 +tp10080 +a(g685 +g958 +tp10081 +a(g8 +V0980 +p10082 +tp10083 +a(g900 +g962 +tp10084 +a(g900 +g962 +tp10085 +a(g548 +V72 +p10086 +tp10087 +a(g900 +g962 +tp10088 +a(g548 +V6E +p10089 +tp10090 +a(g900 +g962 +tp10091 +a(g548 +V61 +p10092 +tp10093 +a(g900 +g962 +tp10094 +a(g548 +V74 +p10095 +tp10096 +a(g900 +g962 +tp10097 +a(g548 +V69 +p10098 +tp10099 +a(g900 +g962 +tp10100 +a(g548 +V76 +p10101 +tp10102 +a(g900 +g962 +tp10103 +a(g548 +V65 +p10104 +tp10105 +a(g900 +g962 +tp10106 +a(g548 +V73 +p10107 +tp10108 +a(g685 +g988 +tp10109 +a(g548 +V20 +p10110 +tp10111 +a(g900 +g962 +tp10112 +a(g548 +V66 +p10113 +tp10114 +a(g900 +g962 +tp10115 +a(g548 +V6F +p10116 +tp10117 +a(g900 +g962 +tp10118 +a(g548 +V72 +p10119 +tp10120 +a(g900 +g962 +tp10121 +a(g548 +V20 +p10122 +tp10123 +a(g900 +g962 +tp10124 +a(g548 +V74 +p10125 +tp10126 +a(g900 +g962 +tp10127 +a(g548 +V68 +p10128 +tp10129 +a(g900 +g962 +tp10130 +a(g548 +V65 +p10131 +tp10132 +a(g900 +V +p10133 +tp10134 +a(g341 +Vrnatives for the +p10135 +tp10136 +a(g900 +V\u000a +p10137 +tp10138 +a(g8 +V0000 +p10139 +tp10140 +a(g685 +g958 +tp10141 +a(g8 +V0990 +p10142 +tp10143 +a(g900 +g962 +tp10144 +a(g900 +g962 +tp10145 +a(g548 +V20 +p10146 +tp10147 +a(g900 +g962 +tp10148 +a(g548 +V67 +p10149 +tp10150 +a(g900 +g962 +tp10151 +a(g548 +V69 +p10152 +tp10153 +a(g900 +g962 +tp10154 +a(g548 +V76 +p10155 +tp10156 +a(g900 +g962 +tp10157 +a(g548 +V65 +p10158 +tp10159 +a(g900 +g962 +tp10160 +a(g548 +V6E +p10161 +tp10162 +a(g900 +g962 +tp10163 +a(g548 +V20 +p10164 +tp10165 +a(g900 +g962 +tp10166 +a(g548 +V6E +p10167 +tp10168 +a(g685 +g988 +tp10169 +a(g548 +V75 +p10170 +tp10171 +a(g900 +g962 +tp10172 +a(g548 +V6D +p10173 +tp10174 +a(g900 +g962 +tp10175 +a(g548 +V62 +p10176 +tp10177 +a(g900 +g962 +tp10178 +a(g548 +V65 +p10179 +tp10180 +a(g900 +g962 +tp10181 +a(g548 +V72 +p10182 +tp10183 +a(g900 +g962 +tp10184 +a(g548 +V0A +p10185 +tp10186 +a(g900 +g962 +tp10187 +a(g548 +V20 +p10188 +tp10189 +a(g900 +g962 +tp10190 +a(g548 +V20 +p10191 +tp10192 +a(g900 +V +p10193 +tp10194 +a(g341 +V given number\u25d9 +p10195 +tp10196 +a(g900 +V\u000a +p10197 +tp10198 +a(g8 +V0000 +p10199 +tp10200 +a(g685 +g958 +tp10201 +a(g8 +V09A0 +p10202 +tp10203 +a(g900 +g962 +tp10204 +a(g900 +g962 +tp10205 +a(g548 +V20 +p10206 +tp10207 +a(g900 +g962 +tp10208 +a(g548 +V20 +p10209 +tp10210 +a(g900 +g962 +tp10211 +a(g548 +V2F +p10212 +tp10213 +a(g900 +g962 +tp10214 +a(g548 +V2F +p10215 +tp10216 +a(g900 +g962 +tp10217 +a(g548 +V2F +p10218 +tp10219 +a(g900 +g962 +tp10220 +a(g548 +V20 +p10221 +tp10222 +a(g900 +g962 +tp10223 +a(g548 +V28 +p10224 +tp10225 +a(g900 +g962 +tp10226 +a(g548 +V73 +p10227 +tp10228 +a(g685 +g988 +tp10229 +a(g548 +V68 +p10230 +tp10231 +a(g900 +g962 +tp10232 +a(g548 +V6F +p10233 +tp10234 +a(g900 +g962 +tp10235 +a(g548 +V75 +p10236 +tp10237 +a(g900 +g962 +tp10238 +a(g548 +V6C +p10239 +tp10240 +a(g900 +g962 +tp10241 +a(g548 +V64 +p10242 +tp10243 +a(g900 +g962 +tp10244 +a(g548 +V20 +p10245 +tp10246 +a(g900 +g962 +tp10247 +a(g548 +V68 +p10248 +tp10249 +a(g900 +g962 +tp10250 +a(g548 +V61 +p10251 +tp10252 +a(g900 +V +p10253 +tp10254 +a(g341 +V /// (should ha +p10255 +tp10256 +a(g900 +V\u000a +p10257 +tp10258 +a(g8 +V0000 +p10259 +tp10260 +a(g685 +g958 +tp10261 +a(g8 +V09B0 +p10262 +tp10263 +a(g900 +g962 +tp10264 +a(g900 +g962 +tp10265 +a(g548 +V76 +p10266 +tp10267 +a(g900 +g962 +tp10268 +a(g548 +V65 +p10269 +tp10270 +a(g900 +g962 +tp10271 +a(g548 +V20 +p10272 +tp10273 +a(g900 +g962 +tp10274 +a(g548 +V62 +p10275 +tp10276 +a(g900 +g962 +tp10277 +a(g548 +V65 +p10278 +tp10279 +a(g900 +g962 +tp10280 +a(g548 +V65 +p10281 +tp10282 +a(g900 +g962 +tp10283 +a(g548 +V6E +p10284 +tp10285 +a(g900 +g962 +tp10286 +a(g548 +V20 +p10287 +tp10288 +a(g685 +g988 +tp10289 +a(g548 +V73 +p10290 +tp10291 +a(g900 +g962 +tp10292 +a(g548 +V74 +p10293 +tp10294 +a(g900 +g962 +tp10295 +a(g548 +V72 +p10296 +tp10297 +a(g900 +g962 +tp10298 +a(g548 +V69 +p10299 +tp10300 +a(g900 +g962 +tp10301 +a(g548 +V70 +p10302 +tp10303 +a(g900 +g962 +tp10304 +a(g548 +V70 +p10305 +tp10306 +a(g900 +g962 +tp10307 +a(g548 +V65 +p10308 +tp10309 +a(g900 +g962 +tp10310 +a(g548 +V64 +p10311 +tp10312 +a(g900 +V +p10313 +tp10314 +a(g341 +Vve been stripped +p10315 +tp10316 +a(g900 +V\u000a +p10317 +tp10318 +a(g8 +V0000 +p10319 +tp10320 +a(g685 +g958 +tp10321 +a(g8 +V09C0 +p10322 +tp10323 +a(g900 +g962 +tp10324 +a(g900 +g962 +tp10325 +a(g548 +V20 +p10326 +tp10327 +a(g900 +g962 +tp10328 +a(g548 +V66 +p10329 +tp10330 +a(g900 +g962 +tp10331 +a(g548 +V72 +p10332 +tp10333 +a(g900 +g962 +tp10334 +a(g548 +V6F +p10335 +tp10336 +a(g900 +g962 +tp10337 +a(g548 +V6D +p10338 +tp10339 +a(g900 +g962 +tp10340 +a(g548 +V20 +p10341 +tp10342 +a(g900 +g962 +tp10343 +a(g548 +V6E +p10344 +tp10345 +a(g900 +g962 +tp10346 +a(g548 +V6F +p10347 +tp10348 +a(g685 +g988 +tp10349 +a(g548 +V6E +p10350 +tp10351 +a(g900 +g962 +tp10352 +a(g548 +V2D +p10353 +tp10354 +a(g900 +g962 +tp10355 +a(g548 +V64 +p10356 +tp10357 +a(g900 +g962 +tp10358 +a(g548 +V69 +p10359 +tp10360 +a(g900 +g962 +tp10361 +a(g548 +V67 +p10362 +tp10363 +a(g900 +g962 +tp10364 +a(g548 +V69 +p10365 +tp10366 +a(g900 +g962 +tp10367 +a(g548 +V74 +p10368 +tp10369 +a(g900 +g962 +tp10370 +a(g548 +V20 +p10371 +tp10372 +a(g900 +V +p10373 +tp10374 +a(g341 +V from non-digit +p10375 +tp10376 +a(g900 +V\u000a +p10377 +tp10378 +a(g8 +V0000 +p10379 +tp10380 +a(g685 +g958 +tp10381 +a(g8 +V09D0 +p10382 +tp10383 +a(g900 +g962 +tp10384 +a(g900 +g962 +tp10385 +a(g548 +V63 +p10386 +tp10387 +a(g900 +g962 +tp10388 +a(g548 +V68 +p10389 +tp10390 +a(g900 +g962 +tp10391 +a(g548 +V61 +p10392 +tp10393 +a(g900 +g962 +tp10394 +a(g548 +V72 +p10395 +tp10396 +a(g900 +g962 +tp10397 +a(g548 +V61 +p10398 +tp10399 +a(g900 +g962 +tp10400 +a(g548 +V63 +p10401 +tp10402 +a(g900 +g962 +tp10403 +a(g548 +V74 +p10404 +tp10405 +a(g900 +g962 +tp10406 +a(g548 +V65 +p10407 +tp10408 +a(g685 +g988 +tp10409 +a(g548 +V72 +p10410 +tp10411 +a(g900 +g962 +tp10412 +a(g548 +V73 +p10413 +tp10414 +a(g900 +g962 +tp10415 +a(g548 +V29 +p10416 +tp10417 +a(g900 +g962 +tp10418 +a(g548 +V0A +p10419 +tp10420 +a(g900 +g962 +tp10421 +a(g548 +V20 +p10422 +tp10423 +a(g900 +g962 +tp10424 +a(g548 +V20 +p10425 +tp10426 +a(g900 +g962 +tp10427 +a(g548 +V20 +p10428 +tp10429 +a(g900 +g962 +tp10430 +a(g548 +V20 +p10431 +tp10432 +a(g900 +V +p10433 +tp10434 +a(g341 +Vcharacters)\u25d9 +p10435 +tp10436 +a(g900 +V\u000a +p10437 +tp10438 +a(g8 +V0000 +p10439 +tp10440 +a(g685 +g958 +tp10441 +a(g8 +V09E0 +p10442 +tp10443 +a(g900 +g962 +tp10444 +a(g900 +g962 +tp10445 +a(g548 +V73 +p10446 +tp10447 +a(g900 +g962 +tp10448 +a(g548 +V74 +p10449 +tp10450 +a(g900 +g962 +tp10451 +a(g548 +V72 +p10452 +tp10453 +a(g900 +g962 +tp10454 +a(g548 +V69 +p10455 +tp10456 +a(g900 +g962 +tp10457 +a(g548 +V6E +p10458 +tp10459 +a(g900 +g962 +tp10460 +a(g548 +V67 +p10461 +tp10462 +a(g900 +g962 +tp10463 +a(g548 +V61 +p10464 +tp10465 +a(g900 +g962 +tp10466 +a(g548 +V72 +p10467 +tp10468 +a(g685 +g988 +tp10469 +a(g548 +V72 +p10470 +tp10471 +a(g900 +g962 +tp10472 +a(g548 +V61 +p10473 +tp10474 +a(g900 +g962 +tp10475 +a(g548 +V79 +p10476 +tp10477 +a(g900 +g962 +tp10478 +a(g548 +V20 +p10479 +tp10480 +a(g900 +g962 +tp10481 +a(g548 +V5F +p10482 +tp10483 +a(g900 +g962 +tp10484 +a(g548 +V46 +p10485 +tp10486 +a(g900 +g962 +tp10487 +a(g548 +V69 +p10488 +tp10489 +a(g900 +g962 +tp10490 +a(g548 +V6E +p10491 +tp10492 +a(g900 +V +p10493 +tp10494 +a(g341 +Vstringarray _Fin +p10495 +tp10496 +a(g900 +V\u000a +p10497 +tp10498 +a(g8 +V0000 +p10499 +tp10500 +a(g685 +g958 +tp10501 +a(g8 +V09F0 +p10502 +tp10503 +a(g900 +g962 +tp10504 +a(g900 +g962 +tp10505 +a(g548 +V64 +p10506 +tp10507 +a(g900 +g962 +tp10508 +a(g548 +V57 +p10509 +tp10510 +a(g900 +g962 +tp10511 +a(g548 +V6F +p10512 +tp10513 +a(g900 +g962 +tp10514 +a(g548 +V72 +p10515 +tp10516 +a(g900 +g962 +tp10517 +a(g548 +V64 +p10518 +tp10519 +a(g900 +g962 +tp10520 +a(g548 +V73 +p10521 +tp10522 +a(g900 +g962 +tp10523 +a(g548 +V28 +p10524 +tp10525 +a(g900 +g962 +tp10526 +a(g548 +V20 +p10527 +tp10528 +a(g685 +g988 +tp10529 +a(g548 +V73 +p10530 +tp10531 +a(g900 +g962 +tp10532 +a(g548 +V74 +p10533 +tp10534 +a(g900 +g962 +tp10535 +a(g548 +V72 +p10536 +tp10537 +a(g900 +g962 +tp10538 +a(g548 +V69 +p10539 +tp10540 +a(g900 +g962 +tp10541 +a(g548 +V6E +p10542 +tp10543 +a(g900 +g962 +tp10544 +a(g548 +V67 +p10545 +tp10546 +a(g900 +g962 +tp10547 +a(g548 +V20 +p10548 +tp10549 +a(g900 +g962 +tp10550 +a(g548 +V6E +p10551 +tp10552 +a(g900 +V +p10553 +tp10554 +a(g341 +VdWords( string n +p10555 +tp10556 +a(g900 +V\u000a +p10557 +tp10558 +a(g8 +V0000 +p10559 +tp10560 +a(g685 +g958 +tp10561 +a(g8 +V0A00 +p10562 +tp10563 +a(g900 +g962 +tp10564 +a(g900 +g962 +tp10565 +a(g548 +V75 +p10566 +tp10567 +a(g900 +g962 +tp10568 +a(g548 +V6D +p10569 +tp10570 +a(g900 +g962 +tp10571 +a(g548 +V62 +p10572 +tp10573 +a(g900 +g962 +tp10574 +a(g548 +V65 +p10575 +tp10576 +a(g900 +g962 +tp10577 +a(g548 +V72 +p10578 +tp10579 +a(g900 +g962 +tp10580 +a(g548 +V73 +p10581 +tp10582 +a(g900 +g962 +tp10583 +a(g548 +V2C +p10584 +tp10585 +a(g900 +g962 +tp10586 +a(g548 +V20 +p10587 +tp10588 +a(g685 +g988 +tp10589 +a(g548 +V62 +p10590 +tp10591 +a(g900 +g962 +tp10592 +a(g548 +V6F +p10593 +tp10594 +a(g900 +g962 +tp10595 +a(g548 +V6F +p10596 +tp10597 +a(g900 +g962 +tp10598 +a(g548 +V6C +p10599 +tp10600 +a(g900 +g962 +tp10601 +a(g548 +V20 +p10602 +tp10603 +a(g900 +g962 +tp10604 +a(g548 +V64 +p10605 +tp10606 +a(g900 +g962 +tp10607 +a(g548 +V69 +p10608 +tp10609 +a(g900 +g962 +tp10610 +a(g548 +V67 +p10611 +tp10612 +a(g900 +V +p10613 +tp10614 +a(g341 +Vumbers, bool dig +p10615 +tp10616 +a(g900 +V\u000a +p10617 +tp10618 +a(g8 +V0000 +p10619 +tp10620 +a(g685 +g958 +tp10621 +a(g8 +V0A10 +p10622 +tp10623 +a(g900 +g962 +tp10624 +a(g900 +g962 +tp10625 +a(g548 +V69 +p10626 +tp10627 +a(g900 +g962 +tp10628 +a(g548 +V74 +p10629 +tp10630 +a(g900 +g962 +tp10631 +a(g548 +V6F +p10632 +tp10633 +a(g900 +g962 +tp10634 +a(g548 +V6B +p10635 +tp10636 +a(g900 +g962 +tp10637 +a(g548 +V20 +p10638 +tp10639 +a(g900 +g962 +tp10640 +a(g548 +V29 +p10641 +tp10642 +a(g900 +g962 +tp10643 +a(g548 +V0A +p10644 +tp10645 +a(g900 +g962 +tp10646 +a(g548 +V20 +p10647 +tp10648 +a(g685 +g988 +tp10649 +a(g548 +V20 +p10650 +tp10651 +a(g900 +g962 +tp10652 +a(g548 +V20 +p10653 +tp10654 +a(g900 +g962 +tp10655 +a(g548 +V20 +p10656 +tp10657 +a(g900 +g962 +tp10658 +a(g548 +V69 +p10659 +tp10660 +a(g900 +g962 +tp10661 +a(g548 +V6E +p10662 +tp10663 +a(g900 +g962 +tp10664 +a(g548 +V20 +p10665 +tp10666 +a(g900 +g962 +tp10667 +a(g548 +V7B +p10668 +tp10669 +a(g900 +g962 +tp10670 +a(g548 +V0A +p10671 +tp10672 +a(g900 +V +p10673 +tp10674 +a(g341 +Vitok )\u25d9 in {\u25d9 +p10675 +tp10676 +a(g900 +V\u000a +p10677 +tp10678 +a(g8 +V0000 +p10679 +tp10680 +a(g685 +g958 +tp10681 +a(g8 +V0A20 +p10682 +tp10683 +a(g900 +g962 +tp10684 +a(g900 +g962 +tp10685 +a(g548 +V20 +p10686 +tp10687 +a(g900 +g962 +tp10688 +a(g548 +V20 +p10689 +tp10690 +a(g900 +g962 +tp10691 +a(g548 +V20 +p10692 +tp10693 +a(g900 +g962 +tp10694 +a(g548 +V20 +p10695 +tp10696 +a(g900 +g962 +tp10697 +a(g548 +V20 +p10698 +tp10699 +a(g900 +g962 +tp10700 +a(g548 +V20 +p10701 +tp10702 +a(g900 +g962 +tp10703 +a(g548 +V20 +p10704 +tp10705 +a(g900 +g962 +tp10706 +a(g548 +V20 +p10707 +tp10708 +a(g685 +g988 +tp10709 +a(g548 +V61 +p10710 +tp10711 +a(g900 +g962 +tp10712 +a(g548 +V73 +p10713 +tp10714 +a(g900 +g962 +tp10715 +a(g548 +V73 +p10716 +tp10717 +a(g900 +g962 +tp10718 +a(g548 +V65 +p10719 +tp10720 +a(g900 +g962 +tp10721 +a(g548 +V72 +p10722 +tp10723 +a(g900 +g962 +tp10724 +a(g548 +V74 +p10725 +tp10726 +a(g900 +g962 +tp10727 +a(g548 +V28 +p10728 +tp10729 +a(g900 +g962 +tp10730 +a(g548 +V6E +p10731 +tp10732 +a(g900 +V +p10733 +tp10734 +a(g341 +V assert(n +p10735 +tp10736 +a(g900 +V\u000a +p10737 +tp10738 +a(g8 +V0000 +p10739 +tp10740 +a(g685 +g958 +tp10741 +a(g8 +V0A30 +p10742 +tp10743 +a(g900 +g962 +tp10744 +a(g900 +g962 +tp10745 +a(g548 +V75 +p10746 +tp10747 +a(g900 +g962 +tp10748 +a(g548 +V6D +p10749 +tp10750 +a(g900 +g962 +tp10751 +a(g548 +V62 +p10752 +tp10753 +a(g900 +g962 +tp10754 +a(g548 +V65 +p10755 +tp10756 +a(g900 +g962 +tp10757 +a(g548 +V72 +p10758 +tp10759 +a(g900 +g962 +tp10760 +a(g548 +V73 +p10761 +tp10762 +a(g900 +g962 +tp10763 +a(g548 +V2E +p10764 +tp10765 +a(g900 +g962 +tp10766 +a(g548 +V6C +p10767 +tp10768 +a(g685 +g988 +tp10769 +a(g548 +V65 +p10770 +tp10771 +a(g900 +g962 +tp10772 +a(g548 +V6E +p10773 +tp10774 +a(g900 +g962 +tp10775 +a(g548 +V67 +p10776 +tp10777 +a(g900 +g962 +tp10778 +a(g548 +V74 +p10779 +tp10780 +a(g900 +g962 +tp10781 +a(g548 +V68 +p10782 +tp10783 +a(g900 +g962 +tp10784 +a(g548 +V20 +p10785 +tp10786 +a(g900 +g962 +tp10787 +a(g548 +V3E +p10788 +tp10789 +a(g900 +g962 +tp10790 +a(g548 +V20 +p10791 +tp10792 +a(g900 +V +p10793 +tp10794 +a(g341 +Vumbers.length > +p10795 +tp10796 +a(g900 +V\u000a +p10797 +tp10798 +a(g8 +V0000 +p10799 +tp10800 +a(g685 +g958 +tp10801 +a(g8 +V0A40 +p10802 +tp10803 +a(g900 +g962 +tp10804 +a(g900 +g962 +tp10805 +a(g548 +V20 +p10806 +tp10807 +a(g900 +g962 +tp10808 +a(g548 +V30 +p10809 +tp10810 +a(g900 +g962 +tp10811 +a(g548 +V29 +p10812 +tp10813 +a(g900 +g962 +tp10814 +a(g548 +V3B +p10815 +tp10816 +a(g900 +g962 +tp10817 +a(g548 +V20 +p10818 +tp10819 +a(g900 +g962 +tp10820 +a(g548 +V20 +p10821 +tp10822 +a(g900 +g962 +tp10823 +a(g548 +V20 +p10824 +tp10825 +a(g900 +g962 +tp10826 +a(g548 +V20 +p10827 +tp10828 +a(g685 +g988 +tp10829 +a(g548 +V0A +p10830 +tp10831 +a(g900 +g962 +tp10832 +a(g548 +V20 +p10833 +tp10834 +a(g900 +g962 +tp10835 +a(g548 +V20 +p10836 +tp10837 +a(g900 +g962 +tp10838 +a(g548 +V20 +p10839 +tp10840 +a(g900 +g962 +tp10841 +a(g548 +V20 +p10842 +tp10843 +a(g900 +g962 +tp10844 +a(g548 +V7D +p10845 +tp10846 +a(g900 +g962 +tp10847 +a(g548 +V20 +p10848 +tp10849 +a(g900 +g962 +tp10850 +a(g548 +V20 +p10851 +tp10852 +a(g900 +V +p10853 +tp10854 +a(g341 +V 0); \u25d9 } +p10855 +tp10856 +a(g900 +V\u000a +p10857 +tp10858 +a(g8 +V0000 +p10859 +tp10860 +a(g685 +g958 +tp10861 +a(g8 +V0A50 +p10862 +tp10863 +a(g900 +g962 +tp10864 +a(g900 +g962 +tp10865 +a(g548 +V20 +p10866 +tp10867 +a(g900 +g962 +tp10868 +a(g548 +V20 +p10869 +tp10870 +a(g900 +g962 +tp10871 +a(g548 +V0A +p10872 +tp10873 +a(g900 +g962 +tp10874 +a(g548 +V20 +p10875 +tp10876 +a(g900 +g962 +tp10877 +a(g548 +V20 +p10878 +tp10879 +a(g900 +g962 +tp10880 +a(g548 +V20 +p10881 +tp10882 +a(g900 +g962 +tp10883 +a(g548 +V20 +p10884 +tp10885 +a(g900 +g962 +tp10886 +a(g548 +V6F +p10887 +tp10888 +a(g685 +g988 +tp10889 +a(g548 +V75 +p10890 +tp10891 +a(g900 +g962 +tp10892 +a(g548 +V74 +p10893 +tp10894 +a(g900 +g962 +tp10895 +a(g548 +V28 +p10896 +tp10897 +a(g900 +g962 +tp10898 +a(g548 +V72 +p10899 +tp10900 +a(g900 +g962 +tp10901 +a(g548 +V65 +p10902 +tp10903 +a(g900 +g962 +tp10904 +a(g548 +V73 +p10905 +tp10906 +a(g900 +g962 +tp10907 +a(g548 +V75 +p10908 +tp10909 +a(g900 +g962 +tp10910 +a(g548 +V6C +p10911 +tp10912 +a(g900 +V +p10913 +tp10914 +a(g341 +V \u25d9 out(resul +p10915 +tp10916 +a(g900 +V\u000a +p10917 +tp10918 +a(g8 +V0000 +p10919 +tp10920 +a(g685 +g958 +tp10921 +a(g8 +V0A60 +p10922 +tp10923 +a(g900 +g962 +tp10924 +a(g900 +g962 +tp10925 +a(g548 +V74 +p10926 +tp10927 +a(g900 +g962 +tp10928 +a(g548 +V29 +p10929 +tp10930 +a(g900 +g962 +tp10931 +a(g548 +V20 +p10932 +tp10933 +a(g900 +g962 +tp10934 +a(g548 +V7B +p10935 +tp10936 +a(g900 +g962 +tp10937 +a(g548 +V0A +p10938 +tp10939 +a(g900 +g962 +tp10940 +a(g548 +V20 +p10941 +tp10942 +a(g900 +g962 +tp10943 +a(g548 +V20 +p10944 +tp10945 +a(g900 +g962 +tp10946 +a(g548 +V20 +p10947 +tp10948 +a(g685 +g988 +tp10949 +a(g548 +V20 +p10950 +tp10951 +a(g900 +g962 +tp10952 +a(g548 +V20 +p10953 +tp10954 +a(g900 +g962 +tp10955 +a(g548 +V20 +p10956 +tp10957 +a(g900 +g962 +tp10958 +a(g548 +V20 +p10959 +tp10960 +a(g900 +g962 +tp10961 +a(g548 +V20 +p10962 +tp10963 +a(g900 +g962 +tp10964 +a(g548 +V66 +p10965 +tp10966 +a(g900 +g962 +tp10967 +a(g548 +V6F +p10968 +tp10969 +a(g900 +g962 +tp10970 +a(g548 +V72 +p10971 +tp10972 +a(g900 +V +p10973 +tp10974 +a(g341 +Vt) {\u25d9 for +p10975 +tp10976 +a(g900 +V\u000a +p10977 +tp10978 +a(g8 +V0000 +p10979 +tp10980 +a(g685 +g958 +tp10981 +a(g8 +V0A70 +p10982 +tp10983 +a(g900 +g962 +tp10984 +a(g900 +g962 +tp10985 +a(g548 +V65 +p10986 +tp10987 +a(g900 +g962 +tp10988 +a(g548 +V61 +p10989 +tp10990 +a(g900 +g962 +tp10991 +a(g548 +V63 +p10992 +tp10993 +a(g900 +g962 +tp10994 +a(g548 +V68 +p10995 +tp10996 +a(g900 +g962 +tp10997 +a(g548 +V20 +p10998 +tp10999 +a(g900 +g962 +tp11000 +a(g548 +V28 +p11001 +tp11002 +a(g900 +g962 +tp11003 +a(g548 +V61 +p11004 +tp11005 +a(g900 +g962 +tp11006 +a(g548 +V3B +p11007 +tp11008 +a(g685 +g988 +tp11009 +a(g548 +V20 +p11010 +tp11011 +a(g900 +g962 +tp11012 +a(g548 +V72 +p11013 +tp11014 +a(g900 +g962 +tp11015 +a(g548 +V65 +p11016 +tp11017 +a(g900 +g962 +tp11018 +a(g548 +V73 +p11019 +tp11020 +a(g900 +g962 +tp11021 +a(g548 +V75 +p11022 +tp11023 +a(g900 +g962 +tp11024 +a(g548 +V6C +p11025 +tp11026 +a(g900 +g962 +tp11027 +a(g548 +V74 +p11028 +tp11029 +a(g900 +g962 +tp11030 +a(g548 +V29 +p11031 +tp11032 +a(g900 +V +p11033 +tp11034 +a(g341 +Veach (a; result) +p11035 +tp11036 +a(g900 +V\u000a +p11037 +tp11038 +a(g8 +V0000 +p11039 +tp11040 +a(g685 +g958 +tp11041 +a(g8 +V0A80 +p11042 +tp11043 +a(g900 +g962 +tp11044 +a(g900 +g962 +tp11045 +a(g548 +V0A +p11046 +tp11047 +a(g900 +g962 +tp11048 +a(g548 +V20 +p11049 +tp11050 +a(g900 +g962 +tp11051 +a(g548 +V20 +p11052 +tp11053 +a(g900 +g962 +tp11054 +a(g548 +V20 +p11055 +tp11056 +a(g900 +g962 +tp11057 +a(g548 +V20 +p11058 +tp11059 +a(g900 +g962 +tp11060 +a(g548 +V20 +p11061 +tp11062 +a(g900 +g962 +tp11063 +a(g548 +V20 +p11064 +tp11065 +a(g900 +g962 +tp11066 +a(g548 +V20 +p11067 +tp11068 +a(g685 +g988 +tp11069 +a(g548 +V20 +p11070 +tp11071 +a(g900 +g962 +tp11072 +a(g548 +V20 +p11073 +tp11074 +a(g900 +g962 +tp11075 +a(g548 +V20 +p11076 +tp11077 +a(g900 +g962 +tp11078 +a(g548 +V20 +p11079 +tp11080 +a(g900 +g962 +tp11081 +a(g548 +V20 +p11082 +tp11083 +a(g900 +g962 +tp11084 +a(g548 +V61 +p11085 +tp11086 +a(g900 +g962 +tp11087 +a(g548 +V73 +p11088 +tp11089 +a(g900 +g962 +tp11090 +a(g548 +V73 +p11091 +tp11092 +a(g900 +V +p11093 +tp11094 +a(g341 +V\u25d9 ass +p11095 +tp11096 +a(g900 +V\u000a +p11097 +tp11098 +a(g8 +V0000 +p11099 +tp11100 +a(g685 +g958 +tp11101 +a(g8 +V0A90 +p11102 +tp11103 +a(g900 +g962 +tp11104 +a(g900 +g962 +tp11105 +a(g548 +V65 +p11106 +tp11107 +a(g900 +g962 +tp11108 +a(g548 +V72 +p11109 +tp11110 +a(g900 +g962 +tp11111 +a(g548 +V74 +p11112 +tp11113 +a(g900 +g962 +tp11114 +a(g548 +V28 +p11115 +tp11116 +a(g900 +g962 +tp11117 +a(g548 +V20 +p11118 +tp11119 +a(g900 +g962 +tp11120 +a(g548 +V77 +p11121 +tp11122 +a(g900 +g962 +tp11123 +a(g548 +V6F +p11124 +tp11125 +a(g900 +g962 +tp11126 +a(g548 +V72 +p11127 +tp11128 +a(g685 +g988 +tp11129 +a(g548 +V64 +p11130 +tp11131 +a(g900 +g962 +tp11132 +a(g548 +V54 +p11133 +tp11134 +a(g900 +g962 +tp11135 +a(g548 +V6F +p11136 +tp11137 +a(g900 +g962 +tp11138 +a(g548 +V4E +p11139 +tp11140 +a(g900 +g962 +tp11141 +a(g548 +V75 +p11142 +tp11143 +a(g900 +g962 +tp11144 +a(g548 +V6D +p11145 +tp11146 +a(g900 +g962 +tp11147 +a(g548 +V28 +p11148 +tp11149 +a(g900 +g962 +tp11150 +a(g548 +V61 +p11151 +tp11152 +a(g900 +V +p11153 +tp11154 +a(g341 +Vert( wordToNum(a +p11155 +tp11156 +a(g900 +V\u000a +p11157 +tp11158 +a(g8 +V0000 +p11159 +tp11160 +a(g685 +g958 +tp11161 +a(g8 +V0AA0 +p11162 +tp11163 +a(g900 +g962 +tp11164 +a(g900 +g962 +tp11165 +a(g548 +V29 +p11166 +tp11167 +a(g900 +g962 +tp11168 +a(g548 +V20 +p11169 +tp11170 +a(g900 +g962 +tp11171 +a(g548 +V3D +p11172 +tp11173 +a(g900 +g962 +tp11174 +a(g548 +V3D +p11175 +tp11176 +a(g900 +g962 +tp11177 +a(g548 +V20 +p11178 +tp11179 +a(g900 +g962 +tp11180 +a(g548 +V6E +p11181 +tp11182 +a(g900 +g962 +tp11183 +a(g548 +V75 +p11184 +tp11185 +a(g900 +g962 +tp11186 +a(g548 +V6D +p11187 +tp11188 +a(g685 +g988 +tp11189 +a(g548 +V62 +p11190 +tp11191 +a(g900 +g962 +tp11192 +a(g548 +V65 +p11193 +tp11194 +a(g900 +g962 +tp11195 +a(g548 +V72 +p11196 +tp11197 +a(g900 +g962 +tp11198 +a(g548 +V73 +p11199 +tp11200 +a(g900 +g962 +tp11201 +a(g548 +V20 +p11202 +tp11203 +a(g900 +g962 +tp11204 +a(g548 +V29 +p11205 +tp11206 +a(g900 +g962 +tp11207 +a(g548 +V3B +p11208 +tp11209 +a(g900 +g962 +tp11210 +a(g548 +V0A +p11211 +tp11212 +a(g900 +V +p11213 +tp11214 +a(g341 +V) == numbers );\u25d9 +p11215 +tp11216 +a(g900 +V\u000a +p11217 +tp11218 +a(g8 +V0000 +p11219 +tp11220 +a(g685 +g958 +tp11221 +a(g8 +V0AB0 +p11222 +tp11223 +a(g900 +g962 +tp11224 +a(g900 +g962 +tp11225 +a(g548 +V20 +p11226 +tp11227 +a(g900 +g962 +tp11228 +a(g548 +V20 +p11229 +tp11230 +a(g900 +g962 +tp11231 +a(g548 +V20 +p11232 +tp11233 +a(g900 +g962 +tp11234 +a(g548 +V20 +p11235 +tp11236 +a(g900 +g962 +tp11237 +a(g548 +V7D +p11238 +tp11239 +a(g900 +g962 +tp11240 +a(g548 +V20 +p11241 +tp11242 +a(g900 +g962 +tp11243 +a(g548 +V20 +p11244 +tp11245 +a(g900 +g962 +tp11246 +a(g548 +V20 +p11247 +tp11248 +a(g685 +g988 +tp11249 +a(g548 +V20 +p11250 +tp11251 +a(g900 +g962 +tp11252 +a(g548 +V0A +p11253 +tp11254 +a(g900 +g962 +tp11255 +a(g548 +V20 +p11256 +tp11257 +a(g900 +g962 +tp11258 +a(g548 +V20 +p11259 +tp11260 +a(g900 +g962 +tp11261 +a(g548 +V20 +p11262 +tp11263 +a(g900 +g962 +tp11264 +a(g548 +V20 +p11265 +tp11266 +a(g900 +g962 +tp11267 +a(g548 +V62 +p11268 +tp11269 +a(g900 +g962 +tp11270 +a(g548 +V6F +p11271 +tp11272 +a(g900 +V +p11273 +tp11274 +a(g341 +V } \u25d9 bo +p11275 +tp11276 +a(g900 +V\u000a +p11277 +tp11278 +a(g8 +V0000 +p11279 +tp11280 +a(g685 +g958 +tp11281 +a(g8 +V0AC0 +p11282 +tp11283 +a(g900 +g962 +tp11284 +a(g900 +g962 +tp11285 +a(g548 +V64 +p11286 +tp11287 +a(g900 +g962 +tp11288 +a(g548 +V79 +p11289 +tp11290 +a(g900 +g962 +tp11291 +a(g548 +V20 +p11292 +tp11293 +a(g900 +g962 +tp11294 +a(g548 +V7B +p11295 +tp11296 +a(g900 +g962 +tp11297 +a(g548 +V0A +p11298 +tp11299 +a(g900 +g962 +tp11300 +a(g548 +V20 +p11301 +tp11302 +a(g900 +g962 +tp11303 +a(g548 +V20 +p11304 +tp11305 +a(g900 +g962 +tp11306 +a(g548 +V20 +p11307 +tp11308 +a(g685 +g988 +tp11309 +a(g548 +V20 +p11310 +tp11311 +a(g900 +g962 +tp11312 +a(g548 +V20 +p11313 +tp11314 +a(g900 +g962 +tp11315 +a(g548 +V20 +p11316 +tp11317 +a(g900 +g962 +tp11318 +a(g548 +V20 +p11319 +tp11320 +a(g900 +g962 +tp11321 +a(g548 +V20 +p11322 +tp11323 +a(g900 +g962 +tp11324 +a(g548 +V73 +p11325 +tp11326 +a(g900 +g962 +tp11327 +a(g548 +V74 +p11328 +tp11329 +a(g900 +g962 +tp11330 +a(g548 +V72 +p11331 +tp11332 +a(g900 +V +p11333 +tp11334 +a(g341 +Vdy {\u25d9 str +p11335 +tp11336 +a(g900 +V\u000a +p11337 +tp11338 +a(g8 +V0000 +p11339 +tp11340 +a(g685 +g958 +tp11341 +a(g8 +V0AD0 +p11342 +tp11343 +a(g900 +g962 +tp11344 +a(g900 +g962 +tp11345 +a(g548 +V69 +p11346 +tp11347 +a(g900 +g962 +tp11348 +a(g548 +V6E +p11349 +tp11350 +a(g900 +g962 +tp11351 +a(g548 +V67 +p11352 +tp11353 +a(g900 +g962 +tp11354 +a(g548 +V61 +p11355 +tp11356 +a(g900 +g962 +tp11357 +a(g548 +V72 +p11358 +tp11359 +a(g900 +g962 +tp11360 +a(g548 +V72 +p11361 +tp11362 +a(g900 +g962 +tp11363 +a(g548 +V61 +p11364 +tp11365 +a(g900 +g962 +tp11366 +a(g548 +V79 +p11367 +tp11368 +a(g685 +g988 +tp11369 +a(g548 +V20 +p11370 +tp11371 +a(g900 +g962 +tp11372 +a(g548 +V72 +p11373 +tp11374 +a(g900 +g962 +tp11375 +a(g548 +V65 +p11376 +tp11377 +a(g900 +g962 +tp11378 +a(g548 +V74 +p11379 +tp11380 +a(g900 +g962 +tp11381 +a(g548 +V3B +p11382 +tp11383 +a(g900 +g962 +tp11384 +a(g548 +V0A +p11385 +tp11386 +a(g900 +g962 +tp11387 +a(g548 +V20 +p11388 +tp11389 +a(g900 +g962 +tp11390 +a(g548 +V20 +p11391 +tp11392 +a(g900 +V +p11393 +tp11394 +a(g341 +Vingarray ret;\u25d9 +p11395 +tp11396 +a(g900 +V\u000a +p11397 +tp11398 +a(g8 +V0000 +p11399 +tp11400 +a(g685 +g958 +tp11401 +a(g8 +V0AE0 +p11402 +tp11403 +a(g900 +g962 +tp11404 +a(g900 +g962 +tp11405 +a(g548 +V20 +p11406 +tp11407 +a(g900 +g962 +tp11408 +a(g548 +V20 +p11409 +tp11410 +a(g900 +g962 +tp11411 +a(g548 +V20 +p11412 +tp11413 +a(g900 +g962 +tp11414 +a(g548 +V20 +p11415 +tp11416 +a(g900 +g962 +tp11417 +a(g548 +V20 +p11418 +tp11419 +a(g900 +g962 +tp11420 +a(g548 +V20 +p11421 +tp11422 +a(g900 +g962 +tp11423 +a(g548 +V62 +p11424 +tp11425 +a(g900 +g962 +tp11426 +a(g548 +V6F +p11427 +tp11428 +a(g685 +g988 +tp11429 +a(g548 +V6F +p11430 +tp11431 +a(g900 +g962 +tp11432 +a(g548 +V6C +p11433 +tp11434 +a(g900 +g962 +tp11435 +a(g548 +V20 +p11436 +tp11437 +a(g900 +g962 +tp11438 +a(g548 +V66 +p11439 +tp11440 +a(g900 +g962 +tp11441 +a(g548 +V6F +p11442 +tp11443 +a(g900 +g962 +tp11444 +a(g548 +V75 +p11445 +tp11446 +a(g900 +g962 +tp11447 +a(g548 +V6E +p11448 +tp11449 +a(g900 +g962 +tp11450 +a(g548 +V64 +p11451 +tp11452 +a(g900 +V +p11453 +tp11454 +a(g341 +V bool found +p11455 +tp11456 +a(g900 +V\u000a +p11457 +tp11458 +a(g8 +V0000 +p11459 +tp11460 +a(g685 +g958 +tp11461 +a(g8 +V0AF0 +p11462 +tp11463 +a(g900 +g962 +tp11464 +a(g900 +g962 +tp11465 +a(g548 +V77 +p11466 +tp11467 +a(g900 +g962 +tp11468 +a(g548 +V6F +p11469 +tp11470 +a(g900 +g962 +tp11471 +a(g548 +V72 +p11472 +tp11473 +a(g900 +g962 +tp11474 +a(g548 +V64 +p11475 +tp11476 +a(g900 +g962 +tp11477 +a(g548 +V20 +p11478 +tp11479 +a(g900 +g962 +tp11480 +a(g548 +V3D +p11481 +tp11482 +a(g900 +g962 +tp11483 +a(g548 +V20 +p11484 +tp11485 +a(g900 +g962 +tp11486 +a(g548 +V66 +p11487 +tp11488 +a(g685 +g988 +tp11489 +a(g548 +V61 +p11490 +tp11491 +a(g900 +g962 +tp11492 +a(g548 +V6C +p11493 +tp11494 +a(g900 +g962 +tp11495 +a(g548 +V73 +p11496 +tp11497 +a(g900 +g962 +tp11498 +a(g548 +V65 +p11499 +tp11500 +a(g900 +g962 +tp11501 +a(g548 +V3B +p11502 +tp11503 +a(g900 +g962 +tp11504 +a(g548 +V0A +p11505 +tp11506 +a(g900 +g962 +tp11507 +a(g548 +V20 +p11508 +tp11509 +a(g900 +g962 +tp11510 +a(g548 +V20 +p11511 +tp11512 +a(g900 +V +p11513 +tp11514 +a(g341 +Vword = false;\u25d9 +p11515 +tp11516 +a(g900 +V\u000a +p11517 +tp11518 +a(g8 +V0000 +p11519 +tp11520 +a(g685 +g958 +tp11521 +a(g8 +V0B00 +p11522 +tp11523 +a(g900 +g962 +tp11524 +a(g900 +g962 +tp11525 +a(g548 +V20 +p11526 +tp11527 +a(g900 +g962 +tp11528 +a(g548 +V20 +p11529 +tp11530 +a(g900 +g962 +tp11531 +a(g548 +V20 +p11532 +tp11533 +a(g900 +g962 +tp11534 +a(g548 +V20 +p11535 +tp11536 +a(g900 +g962 +tp11537 +a(g548 +V20 +p11538 +tp11539 +a(g900 +g962 +tp11540 +a(g548 +V20 +p11541 +tp11542 +a(g900 +g962 +tp11543 +a(g548 +V66 +p11544 +tp11545 +a(g900 +g962 +tp11546 +a(g548 +V6F +p11547 +tp11548 +a(g685 +g988 +tp11549 +a(g548 +V72 +p11550 +tp11551 +a(g900 +g962 +tp11552 +a(g548 +V20 +p11553 +tp11554 +a(g900 +g962 +tp11555 +a(g548 +V28 +p11556 +tp11557 +a(g900 +g962 +tp11558 +a(g548 +V75 +p11559 +tp11560 +a(g900 +g962 +tp11561 +a(g548 +V69 +p11562 +tp11563 +a(g900 +g962 +tp11564 +a(g548 +V6E +p11565 +tp11566 +a(g900 +g962 +tp11567 +a(g548 +V74 +p11568 +tp11569 +a(g900 +g962 +tp11570 +a(g548 +V20 +p11571 +tp11572 +a(g900 +V +p11573 +tp11574 +a(g341 +V for (uint +p11575 +tp11576 +a(g900 +V\u000a +p11577 +tp11578 +a(g8 +V0000 +p11579 +tp11580 +a(g685 +g958 +tp11581 +a(g8 +V0B10 +p11582 +tp11583 +a(g900 +g962 +tp11584 +a(g900 +g962 +tp11585 +a(g548 +V74 +p11586 +tp11587 +a(g900 +g962 +tp11588 +a(g548 +V3D +p11589 +tp11590 +a(g900 +g962 +tp11591 +a(g548 +V31 +p11592 +tp11593 +a(g900 +g962 +tp11594 +a(g548 +V3B +p11595 +tp11596 +a(g900 +g962 +tp11597 +a(g548 +V20 +p11598 +tp11599 +a(g900 +g962 +tp11600 +a(g548 +V74 +p11601 +tp11602 +a(g900 +g962 +tp11603 +a(g548 +V3C +p11604 +tp11605 +a(g900 +g962 +tp11606 +a(g548 +V3D +p11607 +tp11608 +a(g685 +g988 +tp11609 +a(g548 +V6E +p11610 +tp11611 +a(g900 +g962 +tp11612 +a(g548 +V75 +p11613 +tp11614 +a(g900 +g962 +tp11615 +a(g548 +V6D +p11616 +tp11617 +a(g900 +g962 +tp11618 +a(g548 +V62 +p11619 +tp11620 +a(g900 +g962 +tp11621 +a(g548 +V65 +p11622 +tp11623 +a(g900 +g962 +tp11624 +a(g548 +V72 +p11625 +tp11626 +a(g900 +g962 +tp11627 +a(g548 +V73 +p11628 +tp11629 +a(g900 +g962 +tp11630 +a(g548 +V2E +p11631 +tp11632 +a(g900 +V +p11633 +tp11634 +a(g341 +Vt=1; t<=numbers. +p11635 +tp11636 +a(g900 +V\u000a +p11637 +tp11638 +a(g8 +V0000 +p11639 +tp11640 +a(g685 +g958 +tp11641 +a(g8 +V0B20 +p11642 +tp11643 +a(g900 +g962 +tp11644 +a(g900 +g962 +tp11645 +a(g548 +V6C +p11646 +tp11647 +a(g900 +g962 +tp11648 +a(g548 +V65 +p11649 +tp11650 +a(g900 +g962 +tp11651 +a(g548 +V6E +p11652 +tp11653 +a(g900 +g962 +tp11654 +a(g548 +V67 +p11655 +tp11656 +a(g900 +g962 +tp11657 +a(g548 +V74 +p11658 +tp11659 +a(g900 +g962 +tp11660 +a(g548 +V68 +p11661 +tp11662 +a(g900 +g962 +tp11663 +a(g548 +V3B +p11664 +tp11665 +a(g900 +g962 +tp11666 +a(g548 +V20 +p11667 +tp11668 +a(g685 +g988 +tp11669 +a(g548 +V2B +p11670 +tp11671 +a(g900 +g962 +tp11672 +a(g548 +V2B +p11673 +tp11674 +a(g900 +g962 +tp11675 +a(g548 +V74 +p11676 +tp11677 +a(g900 +g962 +tp11678 +a(g548 +V29 +p11679 +tp11680 +a(g900 +g962 +tp11681 +a(g548 +V20 +p11682 +tp11683 +a(g900 +g962 +tp11684 +a(g548 +V7B +p11685 +tp11686 +a(g900 +g962 +tp11687 +a(g548 +V0A +p11688 +tp11689 +a(g900 +g962 +tp11690 +a(g548 +V20 +p11691 +tp11692 +a(g900 +V +p11693 +tp11694 +a(g341 +Vlength; ++t) {\u25d9 +p11695 +tp11696 +a(g900 +V\u000a +p11697 +tp11698 +a(g8 +V0000 +p11699 +tp11700 +a(g685 +g958 +tp11701 +a(g8 +V0B30 +p11702 +tp11703 +a(g900 +g962 +tp11704 +a(g900 +g962 +tp11705 +a(g548 +V20 +p11706 +tp11707 +a(g900 +g962 +tp11708 +a(g548 +V20 +p11709 +tp11710 +a(g900 +g962 +tp11711 +a(g548 +V20 +p11712 +tp11713 +a(g900 +g962 +tp11714 +a(g548 +V20 +p11715 +tp11716 +a(g900 +g962 +tp11717 +a(g548 +V20 +p11718 +tp11719 +a(g900 +g962 +tp11720 +a(g548 +V20 +p11721 +tp11722 +a(g900 +g962 +tp11723 +a(g548 +V20 +p11724 +tp11725 +a(g900 +g962 +tp11726 +a(g548 +V20 +p11727 +tp11728 +a(g685 +g988 +tp11729 +a(g548 +V20 +p11730 +tp11731 +a(g900 +g962 +tp11732 +a(g548 +V20 +p11733 +tp11734 +a(g900 +g962 +tp11735 +a(g548 +V20 +p11736 +tp11737 +a(g900 +g962 +tp11738 +a(g548 +V61 +p11739 +tp11740 +a(g900 +g962 +tp11741 +a(g548 +V75 +p11742 +tp11743 +a(g900 +g962 +tp11744 +a(g548 +V74 +p11745 +tp11746 +a(g900 +g962 +tp11747 +a(g548 +V6F +p11748 +tp11749 +a(g900 +g962 +tp11750 +a(g548 +V20 +p11751 +tp11752 +a(g900 +V +p11753 +tp11754 +a(g341 +V auto +p11755 +tp11756 +a(g900 +V\u000a +p11757 +tp11758 +a(g8 +V0000 +p11759 +tp11760 +a(g685 +g958 +tp11761 +a(g8 +V0B40 +p11762 +tp11763 +a(g900 +g962 +tp11764 +a(g900 +g962 +tp11765 +a(g548 +V61 +p11766 +tp11767 +a(g900 +g962 +tp11768 +a(g548 +V6C +p11769 +tp11770 +a(g900 +g962 +tp11771 +a(g548 +V74 +p11772 +tp11773 +a(g900 +g962 +tp11774 +a(g548 +V65 +p11775 +tp11776 +a(g900 +g962 +tp11777 +a(g548 +V72 +p11778 +tp11779 +a(g900 +g962 +tp11780 +a(g548 +V6E +p11781 +tp11782 +a(g900 +g962 +tp11783 +a(g548 +V61 +p11784 +tp11785 +a(g900 +g962 +tp11786 +a(g548 +V74 +p11787 +tp11788 +a(g685 +g988 +tp11789 +a(g548 +V69 +p11790 +tp11791 +a(g900 +g962 +tp11792 +a(g548 +V76 +p11793 +tp11794 +a(g900 +g962 +tp11795 +a(g548 +V65 +p11796 +tp11797 +a(g900 +g962 +tp11798 +a(g548 +V73 +p11799 +tp11800 +a(g900 +g962 +tp11801 +a(g548 +V20 +p11802 +tp11803 +a(g900 +g962 +tp11804 +a(g548 +V3D +p11805 +tp11806 +a(g900 +g962 +tp11807 +a(g548 +V20 +p11808 +tp11809 +a(g900 +g962 +tp11810 +a(g548 +V6E +p11811 +tp11812 +a(g900 +V +p11813 +tp11814 +a(g341 +Valternatives = n +p11815 +tp11816 +a(g900 +V\u000a +p11817 +tp11818 +a(g8 +V0000 +p11819 +tp11820 +a(g685 +g958 +tp11821 +a(g8 +V0B50 +p11822 +tp11823 +a(g900 +g962 +tp11824 +a(g900 +g962 +tp11825 +a(g548 +V75 +p11826 +tp11827 +a(g900 +g962 +tp11828 +a(g548 +V6D +p11829 +tp11830 +a(g900 +g962 +tp11831 +a(g548 +V62 +p11832 +tp11833 +a(g900 +g962 +tp11834 +a(g548 +V65 +p11835 +tp11836 +a(g900 +g962 +tp11837 +a(g548 +V72 +p11838 +tp11839 +a(g900 +g962 +tp11840 +a(g548 +V73 +p11841 +tp11842 +a(g900 +g962 +tp11843 +a(g548 +V5B +p11844 +tp11845 +a(g900 +g962 +tp11846 +a(g548 +V30 +p11847 +tp11848 +a(g685 +g988 +tp11849 +a(g548 +V2E +p11850 +tp11851 +a(g900 +g962 +tp11852 +a(g548 +V2E +p11853 +tp11854 +a(g900 +g962 +tp11855 +a(g548 +V74 +p11856 +tp11857 +a(g900 +g962 +tp11858 +a(g548 +V5D +p11859 +tp11860 +a(g900 +g962 +tp11861 +a(g548 +V20 +p11862 +tp11863 +a(g900 +g962 +tp11864 +a(g548 +V69 +p11865 +tp11866 +a(g900 +g962 +tp11867 +a(g548 +V6E +p11868 +tp11869 +a(g900 +g962 +tp11870 +a(g548 +V20 +p11871 +tp11872 +a(g900 +V +p11873 +tp11874 +a(g341 +Vumbers[0..t] in +p11875 +tp11876 +a(g900 +V\u000a +p11877 +tp11878 +a(g8 +V0000 +p11879 +tp11880 +a(g685 +g958 +tp11881 +a(g8 +V0B60 +p11882 +tp11883 +a(g900 +g962 +tp11884 +a(g900 +g962 +tp11885 +a(g548 +V6E +p11886 +tp11887 +a(g900 +g962 +tp11888 +a(g548 +V75 +p11889 +tp11890 +a(g900 +g962 +tp11891 +a(g548 +V6D +p11892 +tp11893 +a(g900 +g962 +tp11894 +a(g548 +V32 +p11895 +tp11896 +a(g900 +g962 +tp11897 +a(g548 +V77 +p11898 +tp11899 +a(g900 +g962 +tp11900 +a(g548 +V6F +p11901 +tp11902 +a(g900 +g962 +tp11903 +a(g548 +V72 +p11904 +tp11905 +a(g900 +g962 +tp11906 +a(g548 +V64 +p11907 +tp11908 +a(g685 +g988 +tp11909 +a(g548 +V73 +p11910 +tp11911 +a(g900 +g962 +tp11912 +a(g548 +V3B +p11913 +tp11914 +a(g900 +g962 +tp11915 +a(g548 +V0A +p11916 +tp11917 +a(g900 +g962 +tp11918 +a(g548 +V20 +p11919 +tp11920 +a(g900 +g962 +tp11921 +a(g548 +V20 +p11922 +tp11923 +a(g900 +g962 +tp11924 +a(g548 +V20 +p11925 +tp11926 +a(g900 +g962 +tp11927 +a(g548 +V20 +p11928 +tp11929 +a(g900 +g962 +tp11930 +a(g548 +V20 +p11931 +tp11932 +a(g900 +V +p11933 +tp11934 +a(g341 +Vnum2words;\u25d9 +p11935 +tp11936 +a(g900 +V\u000a +p11937 +tp11938 +a(g8 +V0000 +p11939 +tp11940 +a(g685 +g958 +tp11941 +a(g8 +V0B70 +p11942 +tp11943 +a(g900 +g962 +tp11944 +a(g900 +g962 +tp11945 +a(g548 +V20 +p11946 +tp11947 +a(g900 +g962 +tp11948 +a(g548 +V20 +p11949 +tp11950 +a(g900 +g962 +tp11951 +a(g548 +V20 +p11952 +tp11953 +a(g900 +g962 +tp11954 +a(g548 +V20 +p11955 +tp11956 +a(g900 +g962 +tp11957 +a(g548 +V20 +p11958 +tp11959 +a(g900 +g962 +tp11960 +a(g548 +V20 +p11961 +tp11962 +a(g900 +g962 +tp11963 +a(g548 +V20 +p11964 +tp11965 +a(g900 +g962 +tp11966 +a(g548 +V69 +p11967 +tp11968 +a(g685 +g988 +tp11969 +a(g548 +V66 +p11970 +tp11971 +a(g900 +g962 +tp11972 +a(g548 +V20 +p11973 +tp11974 +a(g900 +g962 +tp11975 +a(g548 +V28 +p11976 +tp11977 +a(g900 +g962 +tp11978 +a(g548 +V21 +p11979 +tp11980 +a(g900 +g962 +tp11981 +a(g548 +V61 +p11982 +tp11983 +a(g900 +g962 +tp11984 +a(g548 +V6C +p11985 +tp11986 +a(g900 +g962 +tp11987 +a(g548 +V74 +p11988 +tp11989 +a(g900 +g962 +tp11990 +a(g548 +V65 +p11991 +tp11992 +a(g900 +V +p11993 +tp11994 +a(g341 +V if (!alte +p11995 +tp11996 +a(g900 +V\u000a +p11997 +tp11998 +a(g8 +V0000 +p11999 +tp12000 +a(g685 +g958 +tp12001 +a(g8 +V0B80 +p12002 +tp12003 +a(g900 +g962 +tp12004 +a(g900 +g962 +tp12005 +a(g548 +V72 +p12006 +tp12007 +a(g900 +g962 +tp12008 +a(g548 +V6E +p12009 +tp12010 +a(g900 +g962 +tp12011 +a(g548 +V61 +p12012 +tp12013 +a(g900 +g962 +tp12014 +a(g548 +V74 +p12015 +tp12016 +a(g900 +g962 +tp12017 +a(g548 +V69 +p12018 +tp12019 +a(g900 +g962 +tp12020 +a(g548 +V76 +p12021 +tp12022 +a(g900 +g962 +tp12023 +a(g548 +V65 +p12024 +tp12025 +a(g900 +g962 +tp12026 +a(g548 +V73 +p12027 +tp12028 +a(g685 +g988 +tp12029 +a(g548 +V29 +p12030 +tp12031 +a(g900 +g962 +tp12032 +a(g548 +V0A +p12033 +tp12034 +a(g900 +g962 +tp12035 +a(g548 +V20 +p12036 +tp12037 +a(g900 +g962 +tp12038 +a(g548 +V20 +p12039 +tp12040 +a(g900 +g962 +tp12041 +a(g548 +V20 +p12042 +tp12043 +a(g900 +g962 +tp12044 +a(g548 +V20 +p12045 +tp12046 +a(g900 +g962 +tp12047 +a(g548 +V20 +p12048 +tp12049 +a(g900 +g962 +tp12050 +a(g548 +V20 +p12051 +tp12052 +a(g900 +V +p12053 +tp12054 +a(g341 +Vrnatives)\u25d9 +p12055 +tp12056 +a(g900 +V\u000a +p12057 +tp12058 +a(g8 +V0000 +p12059 +tp12060 +a(g685 +g958 +tp12061 +a(g8 +V0B90 +p12062 +tp12063 +a(g900 +g962 +tp12064 +a(g900 +g962 +tp12065 +a(g548 +V20 +p12066 +tp12067 +a(g900 +g962 +tp12068 +a(g548 +V20 +p12069 +tp12070 +a(g900 +g962 +tp12071 +a(g548 +V20 +p12072 +tp12073 +a(g900 +g962 +tp12074 +a(g548 +V20 +p12075 +tp12076 +a(g900 +g962 +tp12077 +a(g548 +V20 +p12078 +tp12079 +a(g900 +g962 +tp12080 +a(g548 +V20 +p12081 +tp12082 +a(g900 +g962 +tp12083 +a(g548 +V20 +p12084 +tp12085 +a(g900 +g962 +tp12086 +a(g548 +V20 +p12087 +tp12088 +a(g685 +g988 +tp12089 +a(g548 +V20 +p12090 +tp12091 +a(g900 +g962 +tp12092 +a(g548 +V20 +p12093 +tp12094 +a(g900 +g962 +tp12095 +a(g548 +V63 +p12096 +tp12097 +a(g900 +g962 +tp12098 +a(g548 +V6F +p12099 +tp12100 +a(g900 +g962 +tp12101 +a(g548 +V6E +p12102 +tp12103 +a(g900 +g962 +tp12104 +a(g548 +V74 +p12105 +tp12106 +a(g900 +g962 +tp12107 +a(g548 +V69 +p12108 +tp12109 +a(g900 +g962 +tp12110 +a(g548 +V6E +p12111 +tp12112 +a(g900 +V +p12113 +tp12114 +a(g341 +V contin +p12115 +tp12116 +a(g900 +V\u000a +p12117 +tp12118 +a(g8 +V0000 +p12119 +tp12120 +a(g685 +g958 +tp12121 +a(g8 +V0BA0 +p12122 +tp12123 +a(g900 +g962 +tp12124 +a(g900 +g962 +tp12125 +a(g548 +V75 +p12126 +tp12127 +a(g900 +g962 +tp12128 +a(g548 +V65 +p12129 +tp12130 +a(g900 +g962 +tp12131 +a(g548 +V3B +p12132 +tp12133 +a(g900 +g962 +tp12134 +a(g548 +V0A +p12135 +tp12136 +a(g900 +g962 +tp12137 +a(g548 +V20 +p12138 +tp12139 +a(g900 +g962 +tp12140 +a(g548 +V20 +p12141 +tp12142 +a(g900 +g962 +tp12143 +a(g548 +V20 +p12144 +tp12145 +a(g900 +g962 +tp12146 +a(g548 +V20 +p12147 +tp12148 +a(g685 +g988 +tp12149 +a(g548 +V20 +p12150 +tp12151 +a(g900 +g962 +tp12152 +a(g548 +V20 +p12153 +tp12154 +a(g900 +g962 +tp12155 +a(g548 +V20 +p12156 +tp12157 +a(g900 +g962 +tp12158 +a(g548 +V20 +p12159 +tp12160 +a(g900 +g962 +tp12161 +a(g548 +V20 +p12162 +tp12163 +a(g900 +g962 +tp12164 +a(g548 +V20 +p12165 +tp12166 +a(g900 +g962 +tp12167 +a(g548 +V20 +p12168 +tp12169 +a(g900 +g962 +tp12170 +a(g548 +V20 +p12171 +tp12172 +a(g900 +V +p12173 +tp12174 +a(g341 +Vue;\u25d9 +p12175 +tp12176 +a(g900 +V\u000a +p12177 +tp12178 +a(g8 +V0000 +p12179 +tp12180 +a(g685 +g958 +tp12181 +a(g8 +V0BB0 +p12182 +tp12183 +a(g900 +g962 +tp12184 +a(g900 +g962 +tp12185 +a(g548 +V66 +p12186 +tp12187 +a(g900 +g962 +tp12188 +a(g548 +V6F +p12189 +tp12190 +a(g900 +g962 +tp12191 +a(g548 +V75 +p12192 +tp12193 +a(g900 +g962 +tp12194 +a(g548 +V6E +p12195 +tp12196 +a(g900 +g962 +tp12197 +a(g548 +V64 +p12198 +tp12199 +a(g900 +g962 +tp12200 +a(g548 +V77 +p12201 +tp12202 +a(g900 +g962 +tp12203 +a(g548 +V6F +p12204 +tp12205 +a(g900 +g962 +tp12206 +a(g548 +V72 +p12207 +tp12208 +a(g685 +g988 +tp12209 +a(g548 +V64 +p12210 +tp12211 +a(g900 +g962 +tp12212 +a(g548 +V20 +p12213 +tp12214 +a(g900 +g962 +tp12215 +a(g548 +V3D +p12216 +tp12217 +a(g900 +g962 +tp12218 +a(g548 +V20 +p12219 +tp12220 +a(g900 +g962 +tp12221 +a(g548 +V74 +p12222 +tp12223 +a(g900 +g962 +tp12224 +a(g548 +V72 +p12225 +tp12226 +a(g900 +g962 +tp12227 +a(g548 +V75 +p12228 +tp12229 +a(g900 +g962 +tp12230 +a(g548 +V65 +p12231 +tp12232 +a(g900 +V +p12233 +tp12234 +a(g341 +Vfoundword = true +p12235 +tp12236 +a(g900 +V\u000a +p12237 +tp12238 +a(g8 +V0000 +p12239 +tp12240 +a(g685 +g958 +tp12241 +a(g8 +V0BC0 +p12242 +tp12243 +a(g900 +g962 +tp12244 +a(g900 +g962 +tp12245 +a(g548 +V3B +p12246 +tp12247 +a(g900 +g962 +tp12248 +a(g548 +V0A +p12249 +tp12250 +a(g900 +g962 +tp12251 +a(g548 +V20 +p12252 +tp12253 +a(g900 +g962 +tp12254 +a(g548 +V20 +p12255 +tp12256 +a(g900 +g962 +tp12257 +a(g548 +V20 +p12258 +tp12259 +a(g900 +g962 +tp12260 +a(g548 +V20 +p12261 +tp12262 +a(g900 +g962 +tp12263 +a(g548 +V20 +p12264 +tp12265 +a(g900 +g962 +tp12266 +a(g548 +V20 +p12267 +tp12268 +a(g685 +g988 +tp12269 +a(g548 +V20 +p12270 +tp12271 +a(g900 +g962 +tp12272 +a(g548 +V20 +p12273 +tp12274 +a(g900 +g962 +tp12275 +a(g548 +V20 +p12276 +tp12277 +a(g900 +g962 +tp12278 +a(g548 +V20 +p12279 +tp12280 +a(g900 +g962 +tp12281 +a(g548 +V20 +p12282 +tp12283 +a(g900 +g962 +tp12284 +a(g548 +V20 +p12285 +tp12286 +a(g900 +g962 +tp12287 +a(g548 +V69 +p12288 +tp12289 +a(g900 +g962 +tp12290 +a(g548 +V66 +p12291 +tp12292 +a(g900 +V +p12293 +tp12294 +a(g341 +V;\u25d9 if +p12295 +tp12296 +a(g900 +V\u000a +p12297 +tp12298 +a(g8 +V0000 +p12299 +tp12300 +a(g685 +g958 +tp12301 +a(g8 +V0BD0 +p12302 +tp12303 +a(g900 +g962 +tp12304 +a(g900 +g962 +tp12305 +a(g548 +V20 +p12306 +tp12307 +a(g900 +g962 +tp12308 +a(g548 +V28 +p12309 +tp12310 +a(g900 +g962 +tp12311 +a(g548 +V6E +p12312 +tp12313 +a(g900 +g962 +tp12314 +a(g548 +V75 +p12315 +tp12316 +a(g900 +g962 +tp12317 +a(g548 +V6D +p12318 +tp12319 +a(g900 +g962 +tp12320 +a(g548 +V62 +p12321 +tp12322 +a(g900 +g962 +tp12323 +a(g548 +V65 +p12324 +tp12325 +a(g900 +g962 +tp12326 +a(g548 +V72 +p12327 +tp12328 +a(g685 +g988 +tp12329 +a(g548 +V73 +p12330 +tp12331 +a(g900 +g962 +tp12332 +a(g548 +V2E +p12333 +tp12334 +a(g900 +g962 +tp12335 +a(g548 +V6C +p12336 +tp12337 +a(g900 +g962 +tp12338 +a(g548 +V65 +p12339 +tp12340 +a(g900 +g962 +tp12341 +a(g548 +V6E +p12342 +tp12343 +a(g900 +g962 +tp12344 +a(g548 +V67 +p12345 +tp12346 +a(g900 +g962 +tp12347 +a(g548 +V74 +p12348 +tp12349 +a(g900 +g962 +tp12350 +a(g548 +V68 +p12351 +tp12352 +a(g900 +V +p12353 +tp12354 +a(g341 +V (numbers.length +p12355 +tp12356 +a(g900 +V\u000a +p12357 +tp12358 +a(g8 +V0000 +p12359 +tp12360 +a(g685 +g958 +tp12361 +a(g8 +V0BE0 +p12362 +tp12363 +a(g900 +g962 +tp12364 +a(g900 +g962 +tp12365 +a(g548 +V20 +p12366 +tp12367 +a(g900 +g962 +tp12368 +a(g548 +V3E +p12369 +tp12370 +a(g900 +g962 +tp12371 +a(g548 +V20 +p12372 +tp12373 +a(g900 +g962 +tp12374 +a(g548 +V20 +p12375 +tp12376 +a(g900 +g962 +tp12377 +a(g548 +V74 +p12378 +tp12379 +a(g900 +g962 +tp12380 +a(g548 +V29 +p12381 +tp12382 +a(g900 +g962 +tp12383 +a(g548 +V20 +p12384 +tp12385 +a(g900 +g962 +tp12386 +a(g548 +V7B +p12387 +tp12388 +a(g685 +g988 +tp12389 +a(g548 +V0A +p12390 +tp12391 +a(g900 +g962 +tp12392 +a(g548 +V20 +p12393 +tp12394 +a(g900 +g962 +tp12395 +a(g548 +V20 +p12396 +tp12397 +a(g900 +g962 +tp12398 +a(g548 +V20 +p12399 +tp12400 +a(g900 +g962 +tp12401 +a(g548 +V20 +p12402 +tp12403 +a(g900 +g962 +tp12404 +a(g548 +V20 +p12405 +tp12406 +a(g900 +g962 +tp12407 +a(g548 +V20 +p12408 +tp12409 +a(g900 +g962 +tp12410 +a(g548 +V20 +p12411 +tp12412 +a(g900 +V +p12413 +tp12414 +a(g341 +V > t) {\u25d9 +p12415 +tp12416 +a(g900 +V\u000a +p12417 +tp12418 +a(g8 +V0000 +p12419 +tp12420 +a(g685 +g958 +tp12421 +a(g8 +V0BF0 +p12422 +tp12423 +a(g900 +g962 +tp12424 +a(g900 +g962 +tp12425 +a(g548 +V20 +p12426 +tp12427 +a(g900 +g962 +tp12428 +a(g548 +V20 +p12429 +tp12430 +a(g900 +g962 +tp12431 +a(g548 +V20 +p12432 +tp12433 +a(g900 +g962 +tp12434 +a(g548 +V20 +p12435 +tp12436 +a(g900 +g962 +tp12437 +a(g548 +V20 +p12438 +tp12439 +a(g900 +g962 +tp12440 +a(g548 +V20 +p12441 +tp12442 +a(g900 +g962 +tp12443 +a(g548 +V20 +p12444 +tp12445 +a(g900 +g962 +tp12446 +a(g548 +V20 +p12447 +tp12448 +a(g685 +g988 +tp12449 +a(g548 +V20 +p12450 +tp12451 +a(g900 +g962 +tp12452 +a(g548 +V2F +p12453 +tp12454 +a(g900 +g962 +tp12455 +a(g548 +V2F +p12456 +tp12457 +a(g900 +g962 +tp12458 +a(g548 +V20 +p12459 +tp12460 +a(g900 +g962 +tp12461 +a(g548 +V43 +p12462 +tp12463 +a(g900 +g962 +tp12464 +a(g548 +V6F +p12465 +tp12466 +a(g900 +g962 +tp12467 +a(g548 +V6D +p12468 +tp12469 +a(g900 +g962 +tp12470 +a(g548 +V62 +p12471 +tp12472 +a(g900 +V +p12473 +tp12474 +a(g341 +V // Comb +p12475 +tp12476 +a(g900 +V\u000a +p12477 +tp12478 +a(g8 +V0000 +p12479 +tp12480 +a(g685 +g958 +tp12481 +a(g8 +V0C00 +p12482 +tp12483 +a(g900 +g962 +tp12484 +a(g900 +g962 +tp12485 +a(g548 +V69 +p12486 +tp12487 +a(g900 +g962 +tp12488 +a(g548 +V6E +p12489 +tp12490 +a(g900 +g962 +tp12491 +a(g548 +V65 +p12492 +tp12493 +a(g900 +g962 +tp12494 +a(g548 +V20 +p12495 +tp12496 +a(g900 +g962 +tp12497 +a(g548 +V61 +p12498 +tp12499 +a(g900 +g962 +tp12500 +a(g548 +V6C +p12501 +tp12502 +a(g900 +g962 +tp12503 +a(g548 +V6C +p12504 +tp12505 +a(g900 +g962 +tp12506 +a(g548 +V20 +p12507 +tp12508 +a(g685 +g988 +tp12509 +a(g548 +V63 +p12510 +tp12511 +a(g900 +g962 +tp12512 +a(g548 +V75 +p12513 +tp12514 +a(g900 +g962 +tp12515 +a(g548 +V72 +p12516 +tp12517 +a(g900 +g962 +tp12518 +a(g548 +V72 +p12519 +tp12520 +a(g900 +g962 +tp12521 +a(g548 +V65 +p12522 +tp12523 +a(g900 +g962 +tp12524 +a(g548 +V6E +p12525 +tp12526 +a(g900 +g962 +tp12527 +a(g548 +V74 +p12528 +tp12529 +a(g900 +g962 +tp12530 +a(g548 +V20 +p12531 +tp12532 +a(g900 +V +p12533 +tp12534 +a(g341 +Vine all current +p12535 +tp12536 +a(g900 +V\u000a +p12537 +tp12538 +a(g8 +V0000 +p12539 +tp12540 +a(g685 +g958 +tp12541 +a(g8 +V0C10 +p12542 +tp12543 +a(g900 +g962 +tp12544 +a(g900 +g962 +tp12545 +a(g548 +V61 +p12546 +tp12547 +a(g900 +g962 +tp12548 +a(g548 +V6C +p12549 +tp12550 +a(g900 +g962 +tp12551 +a(g548 +V74 +p12552 +tp12553 +a(g900 +g962 +tp12554 +a(g548 +V65 +p12555 +tp12556 +a(g900 +g962 +tp12557 +a(g548 +V72 +p12558 +tp12559 +a(g900 +g962 +tp12560 +a(g548 +V6E +p12561 +tp12562 +a(g900 +g962 +tp12563 +a(g548 +V61 +p12564 +tp12565 +a(g900 +g962 +tp12566 +a(g548 +V74 +p12567 +tp12568 +a(g685 +g988 +tp12569 +a(g548 +V69 +p12570 +tp12571 +a(g900 +g962 +tp12572 +a(g548 +V76 +p12573 +tp12574 +a(g900 +g962 +tp12575 +a(g548 +V65 +p12576 +tp12577 +a(g900 +g962 +tp12578 +a(g548 +V73 +p12579 +tp12580 +a(g900 +g962 +tp12581 +a(g548 +V20 +p12582 +tp12583 +a(g900 +g962 +tp12584 +a(g548 +V77 +p12585 +tp12586 +a(g900 +g962 +tp12587 +a(g548 +V69 +p12588 +tp12589 +a(g900 +g962 +tp12590 +a(g548 +V74 +p12591 +tp12592 +a(g900 +V +p12593 +tp12594 +a(g341 +Valternatives wit +p12595 +tp12596 +a(g900 +V\u000a +p12597 +tp12598 +a(g8 +V0000 +p12599 +tp12600 +a(g685 +g958 +tp12601 +a(g8 +V0C20 +p12602 +tp12603 +a(g900 +g962 +tp12604 +a(g900 +g962 +tp12605 +a(g548 +V68 +p12606 +tp12607 +a(g900 +g962 +tp12608 +a(g548 +V20 +p12609 +tp12610 +a(g900 +g962 +tp12611 +a(g548 +V61 +p12612 +tp12613 +a(g900 +g962 +tp12614 +a(g548 +V6C +p12615 +tp12616 +a(g900 +g962 +tp12617 +a(g548 +V6C +p12618 +tp12619 +a(g900 +g962 +tp12620 +a(g548 +V20 +p12621 +tp12622 +a(g900 +g962 +tp12623 +a(g548 +V61 +p12624 +tp12625 +a(g900 +g962 +tp12626 +a(g548 +V6C +p12627 +tp12628 +a(g685 +g988 +tp12629 +a(g548 +V74 +p12630 +tp12631 +a(g900 +g962 +tp12632 +a(g548 +V65 +p12633 +tp12634 +a(g900 +g962 +tp12635 +a(g548 +V72 +p12636 +tp12637 +a(g900 +g962 +tp12638 +a(g548 +V6E +p12639 +tp12640 +a(g900 +g962 +tp12641 +a(g548 +V61 +p12642 +tp12643 +a(g900 +g962 +tp12644 +a(g548 +V74 +p12645 +tp12646 +a(g900 +g962 +tp12647 +a(g548 +V69 +p12648 +tp12649 +a(g900 +g962 +tp12650 +a(g548 +V76 +p12651 +tp12652 +a(g900 +V +p12653 +tp12654 +a(g341 +Vh all alternativ +p12655 +tp12656 +a(g900 +V\u000a +p12657 +tp12658 +a(g8 +V0000 +p12659 +tp12660 +a(g685 +g958 +tp12661 +a(g8 +V0C30 +p12662 +tp12663 +a(g900 +g962 +tp12664 +a(g900 +g962 +tp12665 +a(g548 +V65 +p12666 +tp12667 +a(g900 +g962 +tp12668 +a(g548 +V73 +p12669 +tp12670 +a(g900 +g962 +tp12671 +a(g548 +V20 +p12672 +tp12673 +a(g900 +g962 +tp12674 +a(g548 +V20 +p12675 +tp12676 +a(g900 +g962 +tp12677 +a(g548 +V20 +p12678 +tp12679 +a(g900 +g962 +tp12680 +a(g548 +V20 +p12681 +tp12682 +a(g900 +g962 +tp12683 +a(g548 +V20 +p12684 +tp12685 +a(g900 +g962 +tp12686 +a(g548 +V0A +p12687 +tp12688 +a(g685 +g988 +tp12689 +a(g548 +V20 +p12690 +tp12691 +a(g900 +g962 +tp12692 +a(g548 +V20 +p12693 +tp12694 +a(g900 +g962 +tp12695 +a(g548 +V20 +p12696 +tp12697 +a(g900 +g962 +tp12698 +a(g548 +V20 +p12699 +tp12700 +a(g900 +g962 +tp12701 +a(g548 +V20 +p12702 +tp12703 +a(g900 +g962 +tp12704 +a(g548 +V20 +p12705 +tp12706 +a(g900 +g962 +tp12707 +a(g548 +V20 +p12708 +tp12709 +a(g900 +g962 +tp12710 +a(g548 +V20 +p12711 +tp12712 +a(g900 +V +p12713 +tp12714 +a(g341 +Ves \u25d9 +p12715 +tp12716 +a(g900 +V\u000a +p12717 +tp12718 +a(g8 +V0000 +p12719 +tp12720 +a(g685 +g958 +tp12721 +a(g8 +V0C40 +p12722 +tp12723 +a(g900 +g962 +tp12724 +a(g900 +g962 +tp12725 +a(g548 +V20 +p12726 +tp12727 +a(g900 +g962 +tp12728 +a(g548 +V20 +p12729 +tp12730 +a(g900 +g962 +tp12731 +a(g548 +V20 +p12732 +tp12733 +a(g900 +g962 +tp12734 +a(g548 +V20 +p12735 +tp12736 +a(g900 +g962 +tp12737 +a(g548 +V20 +p12738 +tp12739 +a(g900 +g962 +tp12740 +a(g548 +V20 +p12741 +tp12742 +a(g900 +g962 +tp12743 +a(g548 +V20 +p12744 +tp12745 +a(g900 +g962 +tp12746 +a(g548 +V20 +p12747 +tp12748 +a(g685 +g988 +tp12749 +a(g548 +V2F +p12750 +tp12751 +a(g900 +g962 +tp12752 +a(g548 +V2F +p12753 +tp12754 +a(g900 +g962 +tp12755 +a(g548 +V20 +p12756 +tp12757 +a(g900 +g962 +tp12758 +a(g548 +V6F +p12759 +tp12760 +a(g900 +g962 +tp12761 +a(g548 +V66 +p12762 +tp12763 +a(g900 +g962 +tp12764 +a(g548 +V20 +p12765 +tp12766 +a(g900 +g962 +tp12767 +a(g548 +V74 +p12768 +tp12769 +a(g900 +g962 +tp12770 +a(g548 +V68 +p12771 +tp12772 +a(g900 +V +p12773 +tp12774 +a(g341 +V // of th +p12775 +tp12776 +a(g900 +V\u000a +p12777 +tp12778 +a(g8 +V0000 +p12779 +tp12780 +a(g685 +g958 +tp12781 +a(g8 +V0C50 +p12782 +tp12783 +a(g900 +g962 +tp12784 +a(g900 +g962 +tp12785 +a(g548 +V65 +p12786 +tp12787 +a(g900 +g962 +tp12788 +a(g548 +V20 +p12789 +tp12790 +a(g900 +g962 +tp12791 +a(g548 +V72 +p12792 +tp12793 +a(g900 +g962 +tp12794 +a(g548 +V65 +p12795 +tp12796 +a(g900 +g962 +tp12797 +a(g548 +V73 +p12798 +tp12799 +a(g900 +g962 +tp12800 +a(g548 +V74 +p12801 +tp12802 +a(g900 +g962 +tp12803 +a(g548 +V20 +p12804 +tp12805 +a(g900 +g962 +tp12806 +a(g548 +V28 +p12807 +tp12808 +a(g685 +g988 +tp12809 +a(g548 +V6E +p12810 +tp12811 +a(g900 +g962 +tp12812 +a(g548 +V65 +p12813 +tp12814 +a(g900 +g962 +tp12815 +a(g548 +V78 +p12816 +tp12817 +a(g900 +g962 +tp12818 +a(g548 +V74 +p12819 +tp12820 +a(g900 +g962 +tp12821 +a(g548 +V20 +p12822 +tp12823 +a(g900 +g962 +tp12824 +a(g548 +V70 +p12825 +tp12826 +a(g900 +g962 +tp12827 +a(g548 +V69 +p12828 +tp12829 +a(g900 +g962 +tp12830 +a(g548 +V65 +p12831 +tp12832 +a(g900 +V +p12833 +tp12834 +a(g341 +Ve rest (next pie +p12835 +tp12836 +a(g900 +V\u000a +p12837 +tp12838 +a(g8 +V0000 +p12839 +tp12840 +a(g685 +g958 +tp12841 +a(g8 +V0C60 +p12842 +tp12843 +a(g900 +g962 +tp12844 +a(g900 +g962 +tp12845 +a(g548 +V63 +p12846 +tp12847 +a(g900 +g962 +tp12848 +a(g548 +V65 +p12849 +tp12850 +a(g900 +g962 +tp12851 +a(g548 +V20 +p12852 +tp12853 +a(g900 +g962 +tp12854 +a(g548 +V63 +p12855 +tp12856 +a(g900 +g962 +tp12857 +a(g548 +V61 +p12858 +tp12859 +a(g900 +g962 +tp12860 +a(g548 +V6E +p12861 +tp12862 +a(g900 +g962 +tp12863 +a(g548 +V20 +p12864 +tp12865 +a(g900 +g962 +tp12866 +a(g548 +V73 +p12867 +tp12868 +a(g685 +g988 +tp12869 +a(g548 +V74 +p12870 +tp12871 +a(g900 +g962 +tp12872 +a(g548 +V61 +p12873 +tp12874 +a(g900 +g962 +tp12875 +a(g548 +V72 +p12876 +tp12877 +a(g900 +g962 +tp12878 +a(g548 +V74 +p12879 +tp12880 +a(g900 +g962 +tp12881 +a(g548 +V20 +p12882 +tp12883 +a(g900 +g962 +tp12884 +a(g548 +V77 +p12885 +tp12886 +a(g900 +g962 +tp12887 +a(g548 +V69 +p12888 +tp12889 +a(g900 +g962 +tp12890 +a(g548 +V74 +p12891 +tp12892 +a(g900 +V +p12893 +tp12894 +a(g341 +Vce can start wit +p12895 +tp12896 +a(g900 +V\u000a +p12897 +tp12898 +a(g8 +V0000 +p12899 +tp12900 +a(g685 +g958 +tp12901 +a(g8 +V0C70 +p12902 +tp12903 +a(g900 +g962 +tp12904 +a(g900 +g962 +tp12905 +a(g548 +V68 +p12906 +tp12907 +a(g900 +g962 +tp12908 +a(g548 +V20 +p12909 +tp12910 +a(g900 +g962 +tp12911 +a(g548 +V61 +p12912 +tp12913 +a(g900 +g962 +tp12914 +a(g548 +V20 +p12915 +tp12916 +a(g900 +g962 +tp12917 +a(g548 +V64 +p12918 +tp12919 +a(g900 +g962 +tp12920 +a(g548 +V69 +p12921 +tp12922 +a(g900 +g962 +tp12923 +a(g548 +V67 +p12924 +tp12925 +a(g900 +g962 +tp12926 +a(g548 +V69 +p12927 +tp12928 +a(g685 +g988 +tp12929 +a(g548 +V74 +p12930 +tp12931 +a(g900 +g962 +tp12932 +a(g548 +V29 +p12933 +tp12934 +a(g900 +g962 +tp12935 +a(g548 +V20 +p12936 +tp12937 +a(g900 +g962 +tp12938 +a(g548 +V20 +p12939 +tp12940 +a(g900 +g962 +tp12941 +a(g548 +V20 +p12942 +tp12943 +a(g900 +g962 +tp12944 +a(g548 +V20 +p12945 +tp12946 +a(g900 +g962 +tp12947 +a(g548 +V20 +p12948 +tp12949 +a(g900 +g962 +tp12950 +a(g548 +V20 +p12951 +tp12952 +a(g900 +V +p12953 +tp12954 +a(g341 +Vh a digit) +p12955 +tp12956 +a(g900 +V\u000a +p12957 +tp12958 +a(g8 +V0000 +p12959 +tp12960 +a(g685 +g958 +tp12961 +a(g8 +V0C80 +p12962 +tp12963 +a(g900 +g962 +tp12964 +a(g900 +g962 +tp12965 +a(g548 +V20 +p12966 +tp12967 +a(g900 +g962 +tp12968 +a(g548 +V20 +p12969 +tp12970 +a(g900 +g962 +tp12971 +a(g548 +V20 +p12972 +tp12973 +a(g900 +g962 +tp12974 +a(g548 +V20 +p12975 +tp12976 +a(g900 +g962 +tp12977 +a(g548 +V20 +p12978 +tp12979 +a(g900 +g962 +tp12980 +a(g548 +V20 +p12981 +tp12982 +a(g900 +g962 +tp12983 +a(g548 +V20 +p12984 +tp12985 +a(g900 +g962 +tp12986 +a(g548 +V20 +p12987 +tp12988 +a(g685 +g988 +tp12989 +a(g548 +V0A +p12990 +tp12991 +a(g900 +g962 +tp12992 +a(g548 +V20 +p12993 +tp12994 +a(g900 +g962 +tp12995 +a(g548 +V20 +p12996 +tp12997 +a(g900 +g962 +tp12998 +a(g548 +V20 +p12999 +tp13000 +a(g900 +g962 +tp13001 +a(g548 +V20 +p13002 +tp13003 +a(g900 +g962 +tp13004 +a(g548 +V20 +p13005 +tp13006 +a(g900 +g962 +tp13007 +a(g548 +V20 +p13008 +tp13009 +a(g900 +g962 +tp13010 +a(g548 +V20 +p13011 +tp13012 +a(g900 +V +p13013 +tp13014 +a(g341 +V \u25d9 +p13015 +tp13016 +a(g900 +V\u000a +p13017 +tp13018 +a(g8 +V0000 +p13019 +tp13020 +a(g685 +g958 +tp13021 +a(g8 +V0C90 +p13022 +tp13023 +a(g900 +g962 +tp13024 +a(g900 +g962 +tp13025 +a(g548 +V20 +p13026 +tp13027 +a(g900 +g962 +tp13028 +a(g548 +V20 +p13029 +tp13030 +a(g900 +g962 +tp13031 +a(g548 +V20 +p13032 +tp13033 +a(g900 +g962 +tp13034 +a(g548 +V20 +p13035 +tp13036 +a(g900 +g962 +tp13037 +a(g548 +V20 +p13038 +tp13039 +a(g900 +g962 +tp13040 +a(g548 +V20 +p13041 +tp13042 +a(g900 +g962 +tp13043 +a(g548 +V20 +p13044 +tp13045 +a(g900 +g962 +tp13046 +a(g548 +V20 +p13047 +tp13048 +a(g685 +g988 +tp13049 +a(g548 +V20 +p13050 +tp13051 +a(g900 +g962 +tp13052 +a(g548 +V66 +p13053 +tp13054 +a(g900 +g962 +tp13055 +a(g548 +V6F +p13056 +tp13057 +a(g900 +g962 +tp13058 +a(g548 +V72 +p13059 +tp13060 +a(g900 +g962 +tp13061 +a(g548 +V65 +p13062 +tp13063 +a(g900 +g962 +tp13064 +a(g548 +V61 +p13065 +tp13066 +a(g900 +g962 +tp13067 +a(g548 +V63 +p13068 +tp13069 +a(g900 +g962 +tp13070 +a(g548 +V68 +p13071 +tp13072 +a(g900 +V +p13073 +tp13074 +a(g341 +V foreach +p13075 +tp13076 +a(g900 +V\u000a +p13077 +tp13078 +a(g8 +V0000 +p13079 +tp13080 +a(g685 +g958 +tp13081 +a(g8 +V0CA0 +p13082 +tp13083 +a(g900 +g962 +tp13084 +a(g900 +g962 +tp13085 +a(g548 +V20 +p13086 +tp13087 +a(g900 +g962 +tp13088 +a(g548 +V28 +p13089 +tp13090 +a(g900 +g962 +tp13091 +a(g548 +V61 +p13092 +tp13093 +a(g900 +g962 +tp13094 +a(g548 +V32 +p13095 +tp13096 +a(g900 +g962 +tp13097 +a(g548 +V3B +p13098 +tp13099 +a(g900 +g962 +tp13100 +a(g548 +V20 +p13101 +tp13102 +a(g900 +g962 +tp13103 +a(g548 +V5F +p13104 +tp13105 +a(g900 +g962 +tp13106 +a(g548 +V46 +p13107 +tp13108 +a(g685 +g988 +tp13109 +a(g548 +V69 +p13110 +tp13111 +a(g900 +g962 +tp13112 +a(g548 +V6E +p13113 +tp13114 +a(g900 +g962 +tp13115 +a(g548 +V64 +p13116 +tp13117 +a(g900 +g962 +tp13118 +a(g548 +V57 +p13119 +tp13120 +a(g900 +g962 +tp13121 +a(g548 +V6F +p13122 +tp13123 +a(g900 +g962 +tp13124 +a(g548 +V72 +p13125 +tp13126 +a(g900 +g962 +tp13127 +a(g548 +V64 +p13128 +tp13129 +a(g900 +g962 +tp13130 +a(g548 +V73 +p13131 +tp13132 +a(g900 +V +p13133 +tp13134 +a(g341 +V (a2; _FindWords +p13135 +tp13136 +a(g900 +V\u000a +p13137 +tp13138 +a(g8 +V0000 +p13139 +tp13140 +a(g685 +g958 +tp13141 +a(g8 +V0CB0 +p13142 +tp13143 +a(g900 +g962 +tp13144 +a(g900 +g962 +tp13145 +a(g548 +V28 +p13146 +tp13147 +a(g900 +g962 +tp13148 +a(g548 +V20 +p13149 +tp13150 +a(g900 +g962 +tp13151 +a(g548 +V6E +p13152 +tp13153 +a(g900 +g962 +tp13154 +a(g548 +V75 +p13155 +tp13156 +a(g900 +g962 +tp13157 +a(g548 +V6D +p13158 +tp13159 +a(g900 +g962 +tp13160 +a(g548 +V62 +p13161 +tp13162 +a(g900 +g962 +tp13163 +a(g548 +V65 +p13164 +tp13165 +a(g900 +g962 +tp13166 +a(g548 +V72 +p13167 +tp13168 +a(g685 +g988 +tp13169 +a(g548 +V73 +p13170 +tp13171 +a(g900 +g962 +tp13172 +a(g548 +V5B +p13173 +tp13174 +a(g900 +g962 +tp13175 +a(g548 +V74 +p13176 +tp13177 +a(g900 +g962 +tp13178 +a(g548 +V2E +p13179 +tp13180 +a(g900 +g962 +tp13181 +a(g548 +V2E +p13182 +tp13183 +a(g900 +g962 +tp13184 +a(g548 +V24 +p13185 +tp13186 +a(g900 +g962 +tp13187 +a(g548 +V5D +p13188 +tp13189 +a(g900 +g962 +tp13190 +a(g548 +V2C +p13191 +tp13192 +a(g900 +V +p13193 +tp13194 +a(g341 +V( numbers[t..$], +p13195 +tp13196 +a(g900 +V\u000a +p13197 +tp13198 +a(g8 +V0000 +p13199 +tp13200 +a(g685 +g958 +tp13201 +a(g8 +V0CC0 +p13202 +tp13203 +a(g900 +g962 +tp13204 +a(g900 +g962 +tp13205 +a(g548 +V20 +p13206 +tp13207 +a(g900 +g962 +tp13208 +a(g548 +V74 +p13209 +tp13210 +a(g900 +g962 +tp13211 +a(g548 +V72 +p13212 +tp13213 +a(g900 +g962 +tp13214 +a(g548 +V75 +p13215 +tp13216 +a(g900 +g962 +tp13217 +a(g548 +V65 +p13218 +tp13219 +a(g900 +g962 +tp13220 +a(g548 +V20 +p13221 +tp13222 +a(g900 +g962 +tp13223 +a(g548 +V20 +p13224 +tp13225 +a(g900 +g962 +tp13226 +a(g548 +V20 +p13227 +tp13228 +a(g685 +g988 +tp13229 +a(g548 +V20 +p13230 +tp13231 +a(g900 +g962 +tp13232 +a(g548 +V20 +p13233 +tp13234 +a(g900 +g962 +tp13235 +a(g548 +V29 +p13236 +tp13237 +a(g900 +g962 +tp13238 +a(g548 +V20 +p13239 +tp13240 +a(g900 +g962 +tp13241 +a(g548 +V29 +p13242 +tp13243 +a(g900 +g962 +tp13244 +a(g548 +V0A +p13245 +tp13246 +a(g900 +g962 +tp13247 +a(g548 +V20 +p13248 +tp13249 +a(g900 +g962 +tp13250 +a(g548 +V20 +p13251 +tp13252 +a(g900 +V +p13253 +tp13254 +a(g341 +V true ) )\u25d9 +p13255 +tp13256 +a(g900 +V\u000a +p13257 +tp13258 +a(g8 +V0000 +p13259 +tp13260 +a(g685 +g958 +tp13261 +a(g8 +V0CD0 +p13262 +tp13263 +a(g900 +g962 +tp13264 +a(g900 +g962 +tp13265 +a(g548 +V20 +p13266 +tp13267 +a(g900 +g962 +tp13268 +a(g548 +V20 +p13269 +tp13270 +a(g900 +g962 +tp13271 +a(g548 +V20 +p13272 +tp13273 +a(g900 +g962 +tp13274 +a(g548 +V20 +p13275 +tp13276 +a(g900 +g962 +tp13277 +a(g548 +V20 +p13278 +tp13279 +a(g900 +g962 +tp13280 +a(g548 +V20 +p13281 +tp13282 +a(g900 +g962 +tp13283 +a(g548 +V20 +p13284 +tp13285 +a(g900 +g962 +tp13286 +a(g548 +V20 +p13287 +tp13288 +a(g685 +g988 +tp13289 +a(g548 +V20 +p13290 +tp13291 +a(g900 +g962 +tp13292 +a(g548 +V20 +p13293 +tp13294 +a(g900 +g962 +tp13295 +a(g548 +V20 +p13296 +tp13297 +a(g900 +g962 +tp13298 +a(g548 +V20 +p13299 +tp13300 +a(g900 +g962 +tp13301 +a(g548 +V20 +p13302 +tp13303 +a(g900 +g962 +tp13304 +a(g548 +V20 +p13305 +tp13306 +a(g900 +g962 +tp13307 +a(g548 +V20 +p13308 +tp13309 +a(g900 +g962 +tp13310 +a(g548 +V20 +p13311 +tp13312 +a(g900 +V +p13313 +tp13314 +a(g341 +V +p13315 +tp13316 +a(g900 +V\u000a +p13317 +tp13318 +a(g8 +V0000 +p13319 +tp13320 +a(g685 +g958 +tp13321 +a(g8 +V0CE0 +p13322 +tp13323 +a(g900 +g962 +tp13324 +a(g900 +g962 +tp13325 +a(g548 +V20 +p13326 +tp13327 +a(g900 +g962 +tp13328 +a(g548 +V20 +p13329 +tp13330 +a(g900 +g962 +tp13331 +a(g548 +V66 +p13332 +tp13333 +a(g900 +g962 +tp13334 +a(g548 +V6F +p13335 +tp13336 +a(g900 +g962 +tp13337 +a(g548 +V72 +p13338 +tp13339 +a(g900 +g962 +tp13340 +a(g548 +V65 +p13341 +tp13342 +a(g900 +g962 +tp13343 +a(g548 +V61 +p13344 +tp13345 +a(g900 +g962 +tp13346 +a(g548 +V63 +p13347 +tp13348 +a(g685 +g988 +tp13349 +a(g548 +V68 +p13350 +tp13351 +a(g900 +g962 +tp13352 +a(g548 +V28 +p13353 +tp13354 +a(g900 +g962 +tp13355 +a(g548 +V61 +p13356 +tp13357 +a(g900 +g962 +tp13358 +a(g548 +V31 +p13359 +tp13360 +a(g900 +g962 +tp13361 +a(g548 +V3B +p13362 +tp13363 +a(g900 +g962 +tp13364 +a(g548 +V20 +p13365 +tp13366 +a(g900 +g962 +tp13367 +a(g548 +V2A +p13368 +tp13369 +a(g900 +g962 +tp13370 +a(g548 +V61 +p13371 +tp13372 +a(g900 +V +p13373 +tp13374 +a(g341 +V foreach(a1; *a +p13375 +tp13376 +a(g900 +V\u000a +p13377 +tp13378 +a(g8 +V0000 +p13379 +tp13380 +a(g685 +g958 +tp13381 +a(g8 +V0CF0 +p13382 +tp13383 +a(g900 +g962 +tp13384 +a(g900 +g962 +tp13385 +a(g548 +V6C +p13386 +tp13387 +a(g900 +g962 +tp13388 +a(g548 +V74 +p13389 +tp13390 +a(g900 +g962 +tp13391 +a(g548 +V65 +p13392 +tp13393 +a(g900 +g962 +tp13394 +a(g548 +V72 +p13395 +tp13396 +a(g900 +g962 +tp13397 +a(g548 +V6E +p13398 +tp13399 +a(g900 +g962 +tp13400 +a(g548 +V61 +p13401 +tp13402 +a(g900 +g962 +tp13403 +a(g548 +V74 +p13404 +tp13405 +a(g900 +g962 +tp13406 +a(g548 +V69 +p13407 +tp13408 +a(g685 +g988 +tp13409 +a(g548 +V76 +p13410 +tp13411 +a(g900 +g962 +tp13412 +a(g548 +V65 +p13413 +tp13414 +a(g900 +g962 +tp13415 +a(g548 +V73 +p13416 +tp13417 +a(g900 +g962 +tp13418 +a(g548 +V29 +p13419 +tp13420 +a(g900 +g962 +tp13421 +a(g548 +V0A +p13422 +tp13423 +a(g900 +g962 +tp13424 +a(g548 +V20 +p13425 +tp13426 +a(g900 +g962 +tp13427 +a(g548 +V20 +p13428 +tp13429 +a(g900 +g962 +tp13430 +a(g548 +V20 +p13431 +tp13432 +a(g900 +V +p13433 +tp13434 +a(g341 +Vlternatives)\u25d9 +p13435 +tp13436 +a(g900 +V\u000a +p13437 +tp13438 +a(g8 +V0000 +p13439 +tp13440 +a(g685 +g958 +tp13441 +a(g8 +V0D00 +p13442 +tp13443 +a(g900 +g962 +tp13444 +a(g900 +g962 +tp13445 +a(g548 +V20 +p13446 +tp13447 +a(g900 +g962 +tp13448 +a(g548 +V20 +p13449 +tp13450 +a(g900 +g962 +tp13451 +a(g548 +V20 +p13452 +tp13453 +a(g900 +g962 +tp13454 +a(g548 +V20 +p13455 +tp13456 +a(g900 +g962 +tp13457 +a(g548 +V20 +p13458 +tp13459 +a(g900 +g962 +tp13460 +a(g548 +V20 +p13461 +tp13462 +a(g900 +g962 +tp13463 +a(g548 +V20 +p13464 +tp13465 +a(g900 +g962 +tp13466 +a(g548 +V20 +p13467 +tp13468 +a(g685 +g988 +tp13469 +a(g548 +V20 +p13470 +tp13471 +a(g900 +g962 +tp13472 +a(g548 +V20 +p13473 +tp13474 +a(g900 +g962 +tp13475 +a(g548 +V20 +p13476 +tp13477 +a(g900 +g962 +tp13478 +a(g548 +V20 +p13479 +tp13480 +a(g900 +g962 +tp13481 +a(g548 +V20 +p13482 +tp13483 +a(g900 +g962 +tp13484 +a(g548 +V20 +p13485 +tp13486 +a(g900 +g962 +tp13487 +a(g548 +V20 +p13488 +tp13489 +a(g900 +g962 +tp13490 +a(g548 +V20 +p13491 +tp13492 +a(g900 +V +p13493 +tp13494 +a(g341 +V +p13495 +tp13496 +a(g900 +V\u000a +p13497 +tp13498 +a(g8 +V0000 +p13499 +tp13500 +a(g685 +g958 +tp13501 +a(g8 +V0D10 +p13502 +tp13503 +a(g900 +g962 +tp13504 +a(g900 +g962 +tp13505 +a(g548 +V20 +p13506 +tp13507 +a(g900 +g962 +tp13508 +a(g548 +V20 +p13509 +tp13510 +a(g900 +g962 +tp13511 +a(g548 +V20 +p13512 +tp13513 +a(g900 +g962 +tp13514 +a(g548 +V20 +p13515 +tp13516 +a(g900 +g962 +tp13517 +a(g548 +V72 +p13518 +tp13519 +a(g900 +g962 +tp13520 +a(g548 +V65 +p13521 +tp13522 +a(g900 +g962 +tp13523 +a(g548 +V74 +p13524 +tp13525 +a(g900 +g962 +tp13526 +a(g548 +V20 +p13527 +tp13528 +a(g685 +g988 +tp13529 +a(g548 +V7E +p13530 +tp13531 +a(g900 +g962 +tp13532 +a(g548 +V3D +p13533 +tp13534 +a(g900 +g962 +tp13535 +a(g548 +V20 +p13536 +tp13537 +a(g900 +g962 +tp13538 +a(g548 +V61 +p13539 +tp13540 +a(g900 +g962 +tp13541 +a(g548 +V31 +p13542 +tp13543 +a(g900 +g962 +tp13544 +a(g548 +V20 +p13545 +tp13546 +a(g900 +g962 +tp13547 +a(g548 +V7E +p13548 +tp13549 +a(g900 +g962 +tp13550 +a(g548 +V20 +p13551 +tp13552 +a(g900 +V +p13553 +tp13554 +a(g341 +V ret ~= a1 ~ +p13555 +tp13556 +a(g900 +V\u000a +p13557 +tp13558 +a(g8 +V0000 +p13559 +tp13560 +a(g685 +g958 +tp13561 +a(g8 +V0D20 +p13562 +tp13563 +a(g900 +g962 +tp13564 +a(g900 +g962 +tp13565 +a(g548 +V22 +p13566 +tp13567 +a(g900 +g962 +tp13568 +a(g548 +V20 +p13569 +tp13570 +a(g900 +g962 +tp13571 +a(g548 +V22 +p13572 +tp13573 +a(g900 +g962 +tp13574 +a(g548 +V20 +p13575 +tp13576 +a(g900 +g962 +tp13577 +a(g548 +V7E +p13578 +tp13579 +a(g900 +g962 +tp13580 +a(g548 +V20 +p13581 +tp13582 +a(g900 +g962 +tp13583 +a(g548 +V61 +p13584 +tp13585 +a(g900 +g962 +tp13586 +a(g548 +V32 +p13587 +tp13588 +a(g685 +g988 +tp13589 +a(g548 +V3B +p13590 +tp13591 +a(g900 +g962 +tp13592 +a(g548 +V0A +p13593 +tp13594 +a(g900 +g962 +tp13595 +a(g548 +V20 +p13596 +tp13597 +a(g900 +g962 +tp13598 +a(g548 +V20 +p13599 +tp13600 +a(g900 +g962 +tp13601 +a(g548 +V20 +p13602 +tp13603 +a(g900 +g962 +tp13604 +a(g548 +V20 +p13605 +tp13606 +a(g900 +g962 +tp13607 +a(g548 +V20 +p13608 +tp13609 +a(g900 +g962 +tp13610 +a(g548 +V20 +p13611 +tp13612 +a(g900 +V +p13613 +tp13614 +a(g341 +V" " ~ a2;\u25d9 +p13615 +tp13616 +a(g900 +V\u000a +p13617 +tp13618 +a(g8 +V0000 +p13619 +tp13620 +a(g685 +g958 +tp13621 +a(g8 +V0D30 +p13622 +tp13623 +a(g900 +g962 +tp13624 +a(g900 +g962 +tp13625 +a(g548 +V20 +p13626 +tp13627 +a(g900 +g962 +tp13628 +a(g548 +V20 +p13629 +tp13630 +a(g900 +g962 +tp13631 +a(g548 +V20 +p13632 +tp13633 +a(g900 +g962 +tp13634 +a(g548 +V20 +p13635 +tp13636 +a(g900 +g962 +tp13637 +a(g548 +V20 +p13638 +tp13639 +a(g900 +g962 +tp13640 +a(g548 +V20 +p13641 +tp13642 +a(g900 +g962 +tp13643 +a(g548 +V7D +p13644 +tp13645 +a(g900 +g962 +tp13646 +a(g548 +V0A +p13647 +tp13648 +a(g685 +g988 +tp13649 +a(g548 +V20 +p13650 +tp13651 +a(g900 +g962 +tp13652 +a(g548 +V20 +p13653 +tp13654 +a(g900 +g962 +tp13655 +a(g548 +V20 +p13656 +tp13657 +a(g900 +g962 +tp13658 +a(g548 +V20 +p13659 +tp13660 +a(g900 +g962 +tp13661 +a(g548 +V20 +p13662 +tp13663 +a(g900 +g962 +tp13664 +a(g548 +V20 +p13665 +tp13666 +a(g900 +g962 +tp13667 +a(g548 +V20 +p13668 +tp13669 +a(g900 +g962 +tp13670 +a(g548 +V20 +p13671 +tp13672 +a(g900 +V +p13673 +tp13674 +a(g341 +V }\u25d9 +p13675 +tp13676 +a(g900 +V\u000a +p13677 +tp13678 +a(g8 +V0000 +p13679 +tp13680 +a(g685 +g958 +tp13681 +a(g8 +V0D40 +p13682 +tp13683 +a(g900 +g962 +tp13684 +a(g900 +g962 +tp13685 +a(g548 +V20 +p13686 +tp13687 +a(g900 +g962 +tp13688 +a(g548 +V20 +p13689 +tp13690 +a(g900 +g962 +tp13691 +a(g548 +V20 +p13692 +tp13693 +a(g900 +g962 +tp13694 +a(g548 +V20 +p13695 +tp13696 +a(g900 +g962 +tp13697 +a(g548 +V65 +p13698 +tp13699 +a(g900 +g962 +tp13700 +a(g548 +V6C +p13701 +tp13702 +a(g900 +g962 +tp13703 +a(g548 +V73 +p13704 +tp13705 +a(g900 +g962 +tp13706 +a(g548 +V65 +p13707 +tp13708 +a(g685 +g988 +tp13709 +a(g548 +V20 +p13710 +tp13711 +a(g900 +g962 +tp13712 +a(g548 +V20 +p13713 +tp13714 +a(g900 +g962 +tp13715 +a(g548 +V20 +p13716 +tp13717 +a(g900 +g962 +tp13718 +a(g548 +V20 +p13719 +tp13720 +a(g900 +g962 +tp13721 +a(g548 +V0A +p13722 +tp13723 +a(g900 +g962 +tp13724 +a(g548 +V20 +p13725 +tp13726 +a(g900 +g962 +tp13727 +a(g548 +V20 +p13728 +tp13729 +a(g900 +g962 +tp13730 +a(g548 +V20 +p13731 +tp13732 +a(g900 +V +p13733 +tp13734 +a(g341 +V else \u25d9 +p13735 +tp13736 +a(g900 +V\u000a +p13737 +tp13738 +a(g8 +V0000 +p13739 +tp13740 +a(g685 +g958 +tp13741 +a(g8 +V0D50 +p13742 +tp13743 +a(g900 +g962 +tp13744 +a(g900 +g962 +tp13745 +a(g548 +V20 +p13746 +tp13747 +a(g900 +g962 +tp13748 +a(g548 +V20 +p13749 +tp13750 +a(g900 +g962 +tp13751 +a(g548 +V20 +p13752 +tp13753 +a(g900 +g962 +tp13754 +a(g548 +V20 +p13755 +tp13756 +a(g900 +g962 +tp13757 +a(g548 +V20 +p13758 +tp13759 +a(g900 +g962 +tp13760 +a(g548 +V20 +p13761 +tp13762 +a(g900 +g962 +tp13763 +a(g548 +V20 +p13764 +tp13765 +a(g900 +g962 +tp13766 +a(g548 +V20 +p13767 +tp13768 +a(g685 +g988 +tp13769 +a(g548 +V20 +p13770 +tp13771 +a(g900 +g962 +tp13772 +a(g548 +V20 +p13773 +tp13774 +a(g900 +g962 +tp13775 +a(g548 +V20 +p13776 +tp13777 +a(g900 +g962 +tp13778 +a(g548 +V20 +p13779 +tp13780 +a(g900 +g962 +tp13781 +a(g548 +V20 +p13782 +tp13783 +a(g900 +g962 +tp13784 +a(g548 +V72 +p13785 +tp13786 +a(g900 +g962 +tp13787 +a(g548 +V65 +p13788 +tp13789 +a(g900 +g962 +tp13790 +a(g548 +V74 +p13791 +tp13792 +a(g900 +V +p13793 +tp13794 +a(g341 +V ret +p13795 +tp13796 +a(g900 +V\u000a +p13797 +tp13798 +a(g8 +V0000 +p13799 +tp13800 +a(g685 +g958 +tp13801 +a(g8 +V0D60 +p13802 +tp13803 +a(g900 +g962 +tp13804 +a(g900 +g962 +tp13805 +a(g548 +V20 +p13806 +tp13807 +a(g900 +g962 +tp13808 +a(g548 +V7E +p13809 +tp13810 +a(g900 +g962 +tp13811 +a(g548 +V3D +p13812 +tp13813 +a(g900 +g962 +tp13814 +a(g548 +V20 +p13815 +tp13816 +a(g900 +g962 +tp13817 +a(g548 +V2A +p13818 +tp13819 +a(g900 +g962 +tp13820 +a(g548 +V61 +p13821 +tp13822 +a(g900 +g962 +tp13823 +a(g548 +V6C +p13824 +tp13825 +a(g900 +g962 +tp13826 +a(g548 +V74 +p13827 +tp13828 +a(g685 +g988 +tp13829 +a(g548 +V65 +p13830 +tp13831 +a(g900 +g962 +tp13832 +a(g548 +V72 +p13833 +tp13834 +a(g900 +g962 +tp13835 +a(g548 +V6E +p13836 +tp13837 +a(g900 +g962 +tp13838 +a(g548 +V61 +p13839 +tp13840 +a(g900 +g962 +tp13841 +a(g548 +V74 +p13842 +tp13843 +a(g900 +g962 +tp13844 +a(g548 +V69 +p13845 +tp13846 +a(g900 +g962 +tp13847 +a(g548 +V76 +p13848 +tp13849 +a(g900 +g962 +tp13850 +a(g548 +V65 +p13851 +tp13852 +a(g900 +V +p13853 +tp13854 +a(g341 +V ~= *alternative +p13855 +tp13856 +a(g900 +V\u000a +p13857 +tp13858 +a(g8 +V0000 +p13859 +tp13860 +a(g685 +g958 +tp13861 +a(g8 +V0D70 +p13862 +tp13863 +a(g900 +g962 +tp13864 +a(g900 +g962 +tp13865 +a(g548 +V73 +p13866 +tp13867 +a(g900 +g962 +tp13868 +a(g548 +V3B +p13869 +tp13870 +a(g900 +g962 +tp13871 +a(g548 +V20 +p13872 +tp13873 +a(g900 +g962 +tp13874 +a(g548 +V20 +p13875 +tp13876 +a(g900 +g962 +tp13877 +a(g548 +V20 +p13878 +tp13879 +a(g900 +g962 +tp13880 +a(g548 +V20 +p13881 +tp13882 +a(g900 +g962 +tp13883 +a(g548 +V2F +p13884 +tp13885 +a(g900 +g962 +tp13886 +a(g548 +V2F +p13887 +tp13888 +a(g685 +g988 +tp13889 +a(g548 +V20 +p13890 +tp13891 +a(g900 +g962 +tp13892 +a(g548 +V61 +p13893 +tp13894 +a(g900 +g962 +tp13895 +a(g548 +V70 +p13896 +tp13897 +a(g900 +g962 +tp13898 +a(g548 +V70 +p13899 +tp13900 +a(g900 +g962 +tp13901 +a(g548 +V65 +p13902 +tp13903 +a(g900 +g962 +tp13904 +a(g548 +V6E +p13905 +tp13906 +a(g900 +g962 +tp13907 +a(g548 +V64 +p13908 +tp13909 +a(g900 +g962 +tp13910 +a(g548 +V20 +p13911 +tp13912 +a(g900 +V +p13913 +tp13914 +a(g341 +Vs; // append +p13915 +tp13916 +a(g900 +V\u000a +p13917 +tp13918 +a(g8 +V0000 +p13919 +tp13920 +a(g685 +g958 +tp13921 +a(g8 +V0D80 +p13922 +tp13923 +a(g900 +g962 +tp13924 +a(g900 +g962 +tp13925 +a(g548 +V74 +p13926 +tp13927 +a(g900 +g962 +tp13928 +a(g548 +V68 +p13929 +tp13930 +a(g900 +g962 +tp13931 +a(g548 +V65 +p13932 +tp13933 +a(g900 +g962 +tp13934 +a(g548 +V73 +p13935 +tp13936 +a(g900 +g962 +tp13937 +a(g548 +V65 +p13938 +tp13939 +a(g900 +g962 +tp13940 +a(g548 +V20 +p13941 +tp13942 +a(g900 +g962 +tp13943 +a(g548 +V61 +p13944 +tp13945 +a(g900 +g962 +tp13946 +a(g548 +V6C +p13947 +tp13948 +a(g685 +g988 +tp13949 +a(g548 +V74 +p13950 +tp13951 +a(g900 +g962 +tp13952 +a(g548 +V65 +p13953 +tp13954 +a(g900 +g962 +tp13955 +a(g548 +V72 +p13956 +tp13957 +a(g900 +g962 +tp13958 +a(g548 +V6E +p13959 +tp13960 +a(g900 +g962 +tp13961 +a(g548 +V61 +p13962 +tp13963 +a(g900 +g962 +tp13964 +a(g548 +V74 +p13965 +tp13966 +a(g900 +g962 +tp13967 +a(g548 +V69 +p13968 +tp13969 +a(g900 +g962 +tp13970 +a(g548 +V76 +p13971 +tp13972 +a(g900 +V +p13973 +tp13974 +a(g341 +Vthese alternativ +p13975 +tp13976 +a(g900 +V\u000a +p13977 +tp13978 +a(g8 +V0000 +p13979 +tp13980 +a(g685 +g958 +tp13981 +a(g8 +V0D90 +p13982 +tp13983 +a(g900 +g962 +tp13984 +a(g900 +g962 +tp13985 +a(g548 +V65 +p13986 +tp13987 +a(g900 +g962 +tp13988 +a(g548 +V73 +p13989 +tp13990 +a(g900 +g962 +tp13991 +a(g548 +V0A +p13992 +tp13993 +a(g900 +g962 +tp13994 +a(g548 +V20 +p13995 +tp13996 +a(g900 +g962 +tp13997 +a(g548 +V20 +p13998 +tp13999 +a(g900 +g962 +tp14000 +a(g548 +V20 +p14001 +tp14002 +a(g900 +g962 +tp14003 +a(g548 +V20 +p14004 +tp14005 +a(g900 +g962 +tp14006 +a(g548 +V20 +p14007 +tp14008 +a(g685 +g988 +tp14009 +a(g548 +V20 +p14010 +tp14011 +a(g900 +g962 +tp14012 +a(g548 +V20 +p14013 +tp14014 +a(g900 +g962 +tp14015 +a(g548 +V20 +p14016 +tp14017 +a(g900 +g962 +tp14018 +a(g548 +V7D +p14019 +tp14020 +a(g900 +g962 +tp14021 +a(g548 +V0A +p14022 +tp14023 +a(g900 +g962 +tp14024 +a(g548 +V20 +p14025 +tp14026 +a(g900 +g962 +tp14027 +a(g548 +V20 +p14028 +tp14029 +a(g900 +g962 +tp14030 +a(g548 +V20 +p14031 +tp14032 +a(g900 +V +p14033 +tp14034 +a(g341 +Ves\u25d9 }\u25d9 +p14035 +tp14036 +a(g900 +V\u000a +p14037 +tp14038 +a(g8 +V0000 +p14039 +tp14040 +a(g685 +g958 +tp14041 +a(g8 +V0DA0 +p14042 +tp14043 +a(g900 +g962 +tp14044 +a(g900 +g962 +tp14045 +a(g548 +V20 +p14046 +tp14047 +a(g900 +g962 +tp14048 +a(g548 +V20 +p14049 +tp14050 +a(g900 +g962 +tp14051 +a(g548 +V20 +p14052 +tp14053 +a(g900 +g962 +tp14054 +a(g548 +V20 +p14055 +tp14056 +a(g900 +g962 +tp14057 +a(g548 +V20 +p14058 +tp14059 +a(g900 +g962 +tp14060 +a(g548 +V2F +p14061 +tp14062 +a(g900 +g962 +tp14063 +a(g548 +V2F +p14064 +tp14065 +a(g900 +g962 +tp14066 +a(g548 +V20 +p14067 +tp14068 +a(g685 +g988 +tp14069 +a(g548 +V54 +p14070 +tp14071 +a(g900 +g962 +tp14072 +a(g548 +V72 +p14073 +tp14074 +a(g900 +g962 +tp14075 +a(g548 +V79 +p14076 +tp14077 +a(g900 +g962 +tp14078 +a(g548 +V20 +p14079 +tp14080 +a(g900 +g962 +tp14081 +a(g548 +V74 +p14082 +tp14083 +a(g900 +g962 +tp14084 +a(g548 +V6F +p14085 +tp14086 +a(g900 +g962 +tp14087 +a(g548 +V20 +p14088 +tp14089 +a(g900 +g962 +tp14090 +a(g548 +V6B +p14091 +tp14092 +a(g900 +V +p14093 +tp14094 +a(g341 +V // Try to k +p14095 +tp14096 +a(g900 +V\u000a +p14097 +tp14098 +a(g8 +V0000 +p14099 +tp14100 +a(g685 +g958 +tp14101 +a(g8 +V0DB0 +p14102 +tp14103 +a(g900 +g962 +tp14104 +a(g900 +g962 +tp14105 +a(g548 +V65 +p14106 +tp14107 +a(g900 +g962 +tp14108 +a(g548 +V65 +p14109 +tp14110 +a(g900 +g962 +tp14111 +a(g548 +V70 +p14112 +tp14113 +a(g900 +g962 +tp14114 +a(g548 +V20 +p14115 +tp14116 +a(g900 +g962 +tp14117 +a(g548 +V31 +p14118 +tp14119 +a(g900 +g962 +tp14120 +a(g548 +V20 +p14121 +tp14122 +a(g900 +g962 +tp14123 +a(g548 +V64 +p14124 +tp14125 +a(g900 +g962 +tp14126 +a(g548 +V69 +p14127 +tp14128 +a(g685 +g988 +tp14129 +a(g548 +V67 +p14130 +tp14131 +a(g900 +g962 +tp14132 +a(g548 +V69 +p14133 +tp14134 +a(g900 +g962 +tp14135 +a(g548 +V74 +p14136 +tp14137 +a(g900 +g962 +tp14138 +a(g548 +V2C +p14139 +tp14140 +a(g900 +g962 +tp14141 +a(g548 +V20 +p14142 +tp14143 +a(g900 +g962 +tp14144 +a(g548 +V6F +p14145 +tp14146 +a(g900 +g962 +tp14147 +a(g548 +V6E +p14148 +tp14149 +a(g900 +g962 +tp14150 +a(g548 +V6C +p14151 +tp14152 +a(g900 +V +p14153 +tp14154 +a(g341 +Veep 1 digit, onl +p14155 +tp14156 +a(g900 +V\u000a +p14157 +tp14158 +a(g8 +V0000 +p14159 +tp14160 +a(g685 +g958 +tp14161 +a(g8 +V0DC0 +p14162 +tp14163 +a(g900 +g962 +tp14164 +a(g900 +g962 +tp14165 +a(g548 +V79 +p14166 +tp14167 +a(g900 +g962 +tp14168 +a(g548 +V20 +p14169 +tp14170 +a(g900 +g962 +tp14171 +a(g548 +V69 +p14172 +tp14173 +a(g900 +g962 +tp14174 +a(g548 +V66 +p14175 +tp14176 +a(g900 +g962 +tp14177 +a(g548 +V20 +p14178 +tp14179 +a(g900 +g962 +tp14180 +a(g548 +V77 +p14181 +tp14182 +a(g900 +g962 +tp14183 +a(g548 +V65 +p14184 +tp14185 +a(g900 +g962 +tp14186 +a(g548 +V27 +p14187 +tp14188 +a(g685 +g988 +tp14189 +a(g548 +V72 +p14190 +tp14191 +a(g900 +g962 +tp14192 +a(g548 +V65 +p14193 +tp14194 +a(g900 +g962 +tp14195 +a(g548 +V20 +p14196 +tp14197 +a(g900 +g962 +tp14198 +a(g548 +V61 +p14199 +tp14200 +a(g900 +g962 +tp14201 +a(g548 +V6C +p14202 +tp14203 +a(g900 +g962 +tp14204 +a(g548 +V6C +p14205 +tp14206 +a(g900 +g962 +tp14207 +a(g548 +V6F +p14208 +tp14209 +a(g900 +g962 +tp14210 +a(g548 +V77 +p14211 +tp14212 +a(g900 +V +p14213 +tp14214 +a(g341 +Vy if we're allow +p14215 +tp14216 +a(g900 +V\u000a +p14217 +tp14218 +a(g8 +V0000 +p14219 +tp14220 +a(g685 +g958 +tp14221 +a(g8 +V0DD0 +p14222 +tp14223 +a(g900 +g962 +tp14224 +a(g900 +g962 +tp14225 +a(g548 +V65 +p14226 +tp14227 +a(g900 +g962 +tp14228 +a(g548 +V64 +p14229 +tp14230 +a(g900 +g962 +tp14231 +a(g548 +V20 +p14232 +tp14233 +a(g900 +g962 +tp14234 +a(g548 +V61 +p14235 +tp14236 +a(g900 +g962 +tp14237 +a(g548 +V6E +p14238 +tp14239 +a(g900 +g962 +tp14240 +a(g548 +V64 +p14241 +tp14242 +a(g900 +g962 +tp14243 +a(g548 +V20 +p14244 +tp14245 +a(g900 +g962 +tp14246 +a(g548 +V6E +p14247 +tp14248 +a(g685 +g988 +tp14249 +a(g548 +V6F +p14250 +tp14251 +a(g900 +g962 +tp14252 +a(g548 +V20 +p14253 +tp14254 +a(g900 +g962 +tp14255 +a(g548 +V6F +p14256 +tp14257 +a(g900 +g962 +tp14258 +a(g548 +V74 +p14259 +tp14260 +a(g900 +g962 +tp14261 +a(g548 +V68 +p14262 +tp14263 +a(g900 +g962 +tp14264 +a(g548 +V65 +p14265 +tp14266 +a(g900 +g962 +tp14267 +a(g548 +V72 +p14268 +tp14269 +a(g900 +g962 +tp14270 +a(g548 +V0A +p14271 +tp14272 +a(g900 +V +p14273 +tp14274 +a(g341 +Ved and no other\u25d9 +p14275 +tp14276 +a(g900 +V\u000a +p14277 +tp14278 +a(g8 +V0000 +p14279 +tp14280 +a(g685 +g958 +tp14281 +a(g8 +V0DE0 +p14282 +tp14283 +a(g900 +g962 +tp14284 +a(g900 +g962 +tp14285 +a(g548 +V20 +p14286 +tp14287 +a(g900 +g962 +tp14288 +a(g548 +V20 +p14289 +tp14290 +a(g900 +g962 +tp14291 +a(g548 +V20 +p14292 +tp14293 +a(g900 +g962 +tp14294 +a(g548 +V20 +p14295 +tp14296 +a(g900 +g962 +tp14297 +a(g548 +V20 +p14298 +tp14299 +a(g900 +g962 +tp14300 +a(g548 +V20 +p14301 +tp14302 +a(g900 +g962 +tp14303 +a(g548 +V20 +p14304 +tp14305 +a(g900 +g962 +tp14306 +a(g548 +V20 +p14307 +tp14308 +a(g685 +g988 +tp14309 +a(g548 +V2F +p14310 +tp14311 +a(g900 +g962 +tp14312 +a(g548 +V2F +p14313 +tp14314 +a(g900 +g962 +tp14315 +a(g548 +V20 +p14316 +tp14317 +a(g900 +g962 +tp14318 +a(g548 +V61 +p14319 +tp14320 +a(g900 +g962 +tp14321 +a(g548 +V6C +p14322 +tp14323 +a(g900 +g962 +tp14324 +a(g548 +V74 +p14325 +tp14326 +a(g900 +g962 +tp14327 +a(g548 +V65 +p14328 +tp14329 +a(g900 +g962 +tp14330 +a(g548 +V72 +p14331 +tp14332 +a(g900 +V +p14333 +tp14334 +a(g341 +V // alter +p14335 +tp14336 +a(g900 +V\u000a +p14337 +tp14338 +a(g8 +V0000 +p14339 +tp14340 +a(g685 +g958 +tp14341 +a(g8 +V0DF0 +p14342 +tp14343 +a(g900 +g962 +tp14344 +a(g900 +g962 +tp14345 +a(g548 +V6E +p14346 +tp14347 +a(g900 +g962 +tp14348 +a(g548 +V61 +p14349 +tp14350 +a(g900 +g962 +tp14351 +a(g548 +V74 +p14352 +tp14353 +a(g900 +g962 +tp14354 +a(g548 +V69 +p14355 +tp14356 +a(g900 +g962 +tp14357 +a(g548 +V76 +p14358 +tp14359 +a(g900 +g962 +tp14360 +a(g548 +V65 +p14361 +tp14362 +a(g900 +g962 +tp14363 +a(g548 +V73 +p14364 +tp14365 +a(g900 +g962 +tp14366 +a(g548 +V20 +p14367 +tp14368 +a(g685 +g988 +tp14369 +a(g548 +V77 +p14370 +tp14371 +a(g900 +g962 +tp14372 +a(g548 +V65 +p14373 +tp14374 +a(g900 +g962 +tp14375 +a(g548 +V72 +p14376 +tp14377 +a(g900 +g962 +tp14378 +a(g548 +V65 +p14379 +tp14380 +a(g900 +g962 +tp14381 +a(g548 +V20 +p14382 +tp14383 +a(g900 +g962 +tp14384 +a(g548 +V66 +p14385 +tp14386 +a(g900 +g962 +tp14387 +a(g548 +V6F +p14388 +tp14389 +a(g900 +g962 +tp14390 +a(g548 +V75 +p14391 +tp14392 +a(g900 +V +p14393 +tp14394 +a(g341 +Vnatives were fou +p14395 +tp14396 +a(g900 +V\u000a +p14397 +tp14398 +a(g8 +V0000 +p14399 +tp14400 +a(g685 +g958 +tp14401 +a(g8 +V0E00 +p14402 +tp14403 +a(g900 +g962 +tp14404 +a(g900 +g962 +tp14405 +a(g548 +V6E +p14406 +tp14407 +a(g900 +g962 +tp14408 +a(g548 +V64 +p14409 +tp14410 +a(g900 +g962 +tp14411 +a(g548 +V0A +p14412 +tp14413 +a(g900 +g962 +tp14414 +a(g548 +V20 +p14415 +tp14416 +a(g900 +g962 +tp14417 +a(g548 +V20 +p14418 +tp14419 +a(g900 +g962 +tp14420 +a(g548 +V20 +p14421 +tp14422 +a(g900 +g962 +tp14423 +a(g548 +V20 +p14424 +tp14425 +a(g900 +g962 +tp14426 +a(g548 +V20 +p14427 +tp14428 +a(g685 +g988 +tp14429 +a(g548 +V20 +p14430 +tp14431 +a(g900 +g962 +tp14432 +a(g548 +V20 +p14433 +tp14434 +a(g900 +g962 +tp14435 +a(g548 +V20 +p14436 +tp14437 +a(g900 +g962 +tp14438 +a(g548 +V2F +p14439 +tp14440 +a(g900 +g962 +tp14441 +a(g548 +V2F +p14442 +tp14443 +a(g900 +g962 +tp14444 +a(g548 +V20 +p14445 +tp14446 +a(g900 +g962 +tp14447 +a(g548 +V54 +p14448 +tp14449 +a(g900 +g962 +tp14450 +a(g548 +V65 +p14451 +tp14452 +a(g900 +V +p14453 +tp14454 +a(g341 +Vnd\u25d9 // Te +p14455 +tp14456 +a(g900 +V\u000a +p14457 +tp14458 +a(g8 +V0000 +p14459 +tp14460 +a(g685 +g958 +tp14461 +a(g8 +V0E10 +p14462 +tp14463 +a(g900 +g962 +tp14464 +a(g900 +g962 +tp14465 +a(g548 +V73 +p14466 +tp14467 +a(g900 +g962 +tp14468 +a(g548 +V74 +p14469 +tp14470 +a(g900 +g962 +tp14471 +a(g548 +V69 +p14472 +tp14473 +a(g900 +g962 +tp14474 +a(g548 +V6E +p14475 +tp14476 +a(g900 +g962 +tp14477 +a(g548 +V67 +p14478 +tp14479 +a(g900 +g962 +tp14480 +a(g548 +V20 +p14481 +tp14482 +a(g900 +g962 +tp14483 +a(g548 +V22 +p14484 +tp14485 +a(g900 +g962 +tp14486 +a(g548 +V72 +p14487 +tp14488 +a(g685 +g988 +tp14489 +a(g548 +V65 +p14490 +tp14491 +a(g900 +g962 +tp14492 +a(g548 +V74 +p14493 +tp14494 +a(g900 +g962 +tp14495 +a(g548 +V2E +p14496 +tp14497 +a(g900 +g962 +tp14498 +a(g548 +V6C +p14499 +tp14500 +a(g900 +g962 +tp14501 +a(g548 +V65 +p14502 +tp14503 +a(g900 +g962 +tp14504 +a(g548 +V6E +p14505 +tp14506 +a(g900 +g962 +tp14507 +a(g548 +V67 +p14508 +tp14509 +a(g900 +g962 +tp14510 +a(g548 +V74 +p14511 +tp14512 +a(g900 +V +p14513 +tp14514 +a(g341 +Vsting "ret.lengt +p14515 +tp14516 +a(g900 +V\u000a +p14517 +tp14518 +a(g8 +V0000 +p14519 +tp14520 +a(g685 +g958 +tp14521 +a(g8 +V0E20 +p14522 +tp14523 +a(g900 +g962 +tp14524 +a(g900 +g962 +tp14525 +a(g548 +V68 +p14526 +tp14527 +a(g900 +g962 +tp14528 +a(g548 +V22 +p14529 +tp14530 +a(g900 +g962 +tp14531 +a(g548 +V20 +p14532 +tp14533 +a(g900 +g962 +tp14534 +a(g548 +V6D +p14535 +tp14536 +a(g900 +g962 +tp14537 +a(g548 +V61 +p14538 +tp14539 +a(g900 +g962 +tp14540 +a(g548 +V6B +p14541 +tp14542 +a(g900 +g962 +tp14543 +a(g548 +V65 +p14544 +tp14545 +a(g900 +g962 +tp14546 +a(g548 +V73 +p14547 +tp14548 +a(g685 +g988 +tp14549 +a(g548 +V20 +p14550 +tp14551 +a(g900 +g962 +tp14552 +a(g548 +V6D +p14553 +tp14554 +a(g900 +g962 +tp14555 +a(g548 +V6F +p14556 +tp14557 +a(g900 +g962 +tp14558 +a(g548 +V72 +p14559 +tp14560 +a(g900 +g962 +tp14561 +a(g548 +V65 +p14562 +tp14563 +a(g900 +g962 +tp14564 +a(g548 +V20 +p14565 +tp14566 +a(g900 +g962 +tp14567 +a(g548 +V73 +p14568 +tp14569 +a(g900 +g962 +tp14570 +a(g548 +V65 +p14571 +tp14572 +a(g900 +V +p14573 +tp14574 +a(g341 +Vh" makes more se +p14575 +tp14576 +a(g900 +V\u000a +p14577 +tp14578 +a(g8 +V0000 +p14579 +tp14580 +a(g685 +g958 +tp14581 +a(g8 +V0E30 +p14582 +tp14583 +a(g900 +g962 +tp14584 +a(g900 +g962 +tp14585 +a(g548 +V6E +p14586 +tp14587 +a(g900 +g962 +tp14588 +a(g548 +V73 +p14589 +tp14590 +a(g900 +g962 +tp14591 +a(g548 +V65 +p14592 +tp14593 +a(g900 +g962 +tp14594 +a(g548 +V20 +p14595 +tp14596 +a(g900 +g962 +tp14597 +a(g548 +V74 +p14598 +tp14599 +a(g900 +g962 +tp14600 +a(g548 +V68 +p14601 +tp14602 +a(g900 +g962 +tp14603 +a(g548 +V61 +p14604 +tp14605 +a(g900 +g962 +tp14606 +a(g548 +V6E +p14607 +tp14608 +a(g685 +g988 +tp14609 +a(g548 +V20 +p14610 +tp14611 +a(g900 +g962 +tp14612 +a(g548 +V74 +p14613 +tp14614 +a(g900 +g962 +tp14615 +a(g548 +V65 +p14616 +tp14617 +a(g900 +g962 +tp14618 +a(g548 +V73 +p14619 +tp14620 +a(g900 +g962 +tp14621 +a(g548 +V74 +p14622 +tp14623 +a(g900 +g962 +tp14624 +a(g548 +V69 +p14625 +tp14626 +a(g900 +g962 +tp14627 +a(g548 +V6E +p14628 +tp14629 +a(g900 +g962 +tp14630 +a(g548 +V67 +p14631 +tp14632 +a(g900 +V +p14633 +tp14634 +a(g341 +Vnse than testing +p14635 +tp14636 +a(g900 +V\u000a +p14637 +tp14638 +a(g8 +V0000 +p14639 +tp14640 +a(g685 +g958 +tp14641 +a(g8 +V0E40 +p14642 +tp14643 +a(g900 +g962 +tp14644 +a(g900 +g962 +tp14645 +a(g548 +V20 +p14646 +tp14647 +a(g900 +g962 +tp14648 +a(g548 +V22 +p14649 +tp14650 +a(g900 +g962 +tp14651 +a(g548 +V66 +p14652 +tp14653 +a(g900 +g962 +tp14654 +a(g548 +V6F +p14655 +tp14656 +a(g900 +g962 +tp14657 +a(g548 +V75 +p14658 +tp14659 +a(g900 +g962 +tp14660 +a(g548 +V6E +p14661 +tp14662 +a(g900 +g962 +tp14663 +a(g548 +V64 +p14664 +tp14665 +a(g900 +g962 +tp14666 +a(g548 +V77 +p14667 +tp14668 +a(g685 +g988 +tp14669 +a(g548 +V6F +p14670 +tp14671 +a(g900 +g962 +tp14672 +a(g548 +V72 +p14673 +tp14674 +a(g900 +g962 +tp14675 +a(g548 +V64 +p14676 +tp14677 +a(g900 +g962 +tp14678 +a(g548 +V22 +p14679 +tp14680 +a(g900 +g962 +tp14681 +a(g548 +V2C +p14682 +tp14683 +a(g900 +g962 +tp14684 +a(g548 +V0A +p14685 +tp14686 +a(g900 +g962 +tp14687 +a(g548 +V20 +p14688 +tp14689 +a(g900 +g962 +tp14690 +a(g548 +V20 +p14691 +tp14692 +a(g900 +V +p14693 +tp14694 +a(g341 +V "foundword",\u25d9 +p14695 +tp14696 +a(g900 +V\u000a +p14697 +tp14698 +a(g8 +V0000 +p14699 +tp14700 +a(g685 +g958 +tp14701 +a(g8 +V0E50 +p14702 +tp14703 +a(g900 +g962 +tp14704 +a(g900 +g962 +tp14705 +a(g548 +V20 +p14706 +tp14707 +a(g900 +g962 +tp14708 +a(g548 +V20 +p14709 +tp14710 +a(g900 +g962 +tp14711 +a(g548 +V20 +p14712 +tp14713 +a(g900 +g962 +tp14714 +a(g548 +V20 +p14715 +tp14716 +a(g900 +g962 +tp14717 +a(g548 +V20 +p14718 +tp14719 +a(g900 +g962 +tp14720 +a(g548 +V20 +p14721 +tp14722 +a(g900 +g962 +tp14723 +a(g548 +V2F +p14724 +tp14725 +a(g900 +g962 +tp14726 +a(g548 +V2F +p14727 +tp14728 +a(g685 +g988 +tp14729 +a(g548 +V20 +p14730 +tp14731 +a(g900 +g962 +tp14732 +a(g548 +V62 +p14733 +tp14734 +a(g900 +g962 +tp14735 +a(g548 +V75 +p14736 +tp14737 +a(g900 +g962 +tp14738 +a(g548 +V74 +p14739 +tp14740 +a(g900 +g962 +tp14741 +a(g548 +V20 +p14742 +tp14743 +a(g900 +g962 +tp14744 +a(g548 +V74 +p14745 +tp14746 +a(g900 +g962 +tp14747 +a(g548 +V68 +p14748 +tp14749 +a(g900 +g962 +tp14750 +a(g548 +V65 +p14751 +tp14752 +a(g900 +V +p14753 +tp14754 +a(g341 +V // but the +p14755 +tp14756 +a(g900 +V\u000a +p14757 +tp14758 +a(g8 +V0000 +p14759 +tp14760 +a(g685 +g958 +tp14761 +a(g8 +V0E60 +p14762 +tp14763 +a(g900 +g962 +tp14764 +a(g900 +g962 +tp14765 +a(g548 +V20 +p14766 +tp14767 +a(g900 +g962 +tp14768 +a(g548 +V6F +p14769 +tp14770 +a(g900 +g962 +tp14771 +a(g548 +V74 +p14772 +tp14773 +a(g900 +g962 +tp14774 +a(g548 +V68 +p14775 +tp14776 +a(g900 +g962 +tp14777 +a(g548 +V65 +p14778 +tp14779 +a(g900 +g962 +tp14780 +a(g548 +V72 +p14781 +tp14782 +a(g900 +g962 +tp14783 +a(g548 +V20 +p14784 +tp14785 +a(g900 +g962 +tp14786 +a(g548 +V69 +p14787 +tp14788 +a(g685 +g988 +tp14789 +a(g548 +V6D +p14790 +tp14791 +a(g900 +g962 +tp14792 +a(g548 +V70 +p14793 +tp14794 +a(g900 +g962 +tp14795 +a(g548 +V6C +p14796 +tp14797 +a(g900 +g962 +tp14798 +a(g548 +V65 +p14799 +tp14800 +a(g900 +g962 +tp14801 +a(g548 +V6D +p14802 +tp14803 +a(g900 +g962 +tp14804 +a(g548 +V65 +p14805 +tp14806 +a(g900 +g962 +tp14807 +a(g548 +V6E +p14808 +tp14809 +a(g900 +g962 +tp14810 +a(g548 +V74 +p14811 +tp14812 +a(g900 +V +p14813 +tp14814 +a(g341 +V other implement +p14815 +tp14816 +a(g900 +V\u000a +p14817 +tp14818 +a(g8 +V0000 +p14819 +tp14820 +a(g685 +g958 +tp14821 +a(g8 +V0E70 +p14822 +tp14823 +a(g900 +g962 +tp14824 +a(g900 +g962 +tp14825 +a(g548 +V61 +p14826 +tp14827 +a(g900 +g962 +tp14828 +a(g548 +V74 +p14829 +tp14830 +a(g900 +g962 +tp14831 +a(g548 +V69 +p14832 +tp14833 +a(g900 +g962 +tp14834 +a(g548 +V6F +p14835 +tp14836 +a(g900 +g962 +tp14837 +a(g548 +V6E +p14838 +tp14839 +a(g900 +g962 +tp14840 +a(g548 +V73 +p14841 +tp14842 +a(g900 +g962 +tp14843 +a(g548 +V20 +p14844 +tp14845 +a(g900 +g962 +tp14846 +a(g548 +V73 +p14847 +tp14848 +a(g685 +g988 +tp14849 +a(g548 +V65 +p14850 +tp14851 +a(g900 +g962 +tp14852 +a(g548 +V65 +p14853 +tp14854 +a(g900 +g962 +tp14855 +a(g548 +V6D +p14856 +tp14857 +a(g900 +g962 +tp14858 +a(g548 +V20 +p14859 +tp14860 +a(g900 +g962 +tp14861 +a(g548 +V74 +p14862 +tp14863 +a(g900 +g962 +tp14864 +a(g548 +V6F +p14865 +tp14866 +a(g900 +g962 +tp14867 +a(g548 +V20 +p14868 +tp14869 +a(g900 +g962 +tp14870 +a(g548 +V64 +p14871 +tp14872 +a(g900 +V +p14873 +tp14874 +a(g341 +Vations seem to d +p14875 +tp14876 +a(g900 +V\u000a +p14877 +tp14878 +a(g8 +V0000 +p14879 +tp14880 +a(g685 +g958 +tp14881 +a(g8 +V0E80 +p14882 +tp14883 +a(g900 +g962 +tp14884 +a(g900 +g962 +tp14885 +a(g548 +V6F +p14886 +tp14887 +a(g900 +g962 +tp14888 +a(g548 +V20 +p14889 +tp14890 +a(g900 +g962 +tp14891 +a(g548 +V6A +p14892 +tp14893 +a(g900 +g962 +tp14894 +a(g548 +V75 +p14895 +tp14896 +a(g900 +g962 +tp14897 +a(g548 +V73 +p14898 +tp14899 +a(g900 +g962 +tp14900 +a(g548 +V74 +p14901 +tp14902 +a(g900 +g962 +tp14903 +a(g548 +V20 +p14904 +tp14905 +a(g900 +g962 +tp14906 +a(g548 +V74 +p14907 +tp14908 +a(g685 +g988 +tp14909 +a(g548 +V68 +p14910 +tp14911 +a(g900 +g962 +tp14912 +a(g548 +V69 +p14913 +tp14914 +a(g900 +g962 +tp14915 +a(g548 +V73 +p14916 +tp14917 +a(g900 +g962 +tp14918 +a(g548 +V2E +p14919 +tp14920 +a(g900 +g962 +tp14921 +a(g548 +V0A +p14922 +tp14923 +a(g900 +g962 +tp14924 +a(g548 +V20 +p14925 +tp14926 +a(g900 +g962 +tp14927 +a(g548 +V20 +p14928 +tp14929 +a(g900 +g962 +tp14930 +a(g548 +V20 +p14931 +tp14932 +a(g900 +V +p14933 +tp14934 +a(g341 +Vo just this.\u25d9 +p14935 +tp14936 +a(g900 +V\u000a +p14937 +tp14938 +a(g8 +V0000 +p14939 +tp14940 +a(g685 +g958 +tp14941 +a(g8 +V0E90 +p14942 +tp14943 +a(g900 +g962 +tp14944 +a(g900 +g962 +tp14945 +a(g548 +V20 +p14946 +tp14947 +a(g900 +g962 +tp14948 +a(g548 +V20 +p14949 +tp14950 +a(g900 +g962 +tp14951 +a(g548 +V20 +p14952 +tp14953 +a(g900 +g962 +tp14954 +a(g548 +V20 +p14955 +tp14956 +a(g900 +g962 +tp14957 +a(g548 +V20 +p14958 +tp14959 +a(g900 +g962 +tp14960 +a(g548 +V69 +p14961 +tp14962 +a(g900 +g962 +tp14963 +a(g548 +V66 +p14964 +tp14965 +a(g900 +g962 +tp14966 +a(g548 +V20 +p14967 +tp14968 +a(g685 +g988 +tp14969 +a(g548 +V28 +p14970 +tp14971 +a(g900 +g962 +tp14972 +a(g548 +V64 +p14973 +tp14974 +a(g900 +g962 +tp14975 +a(g548 +V69 +p14976 +tp14977 +a(g900 +g962 +tp14978 +a(g548 +V67 +p14979 +tp14980 +a(g900 +g962 +tp14981 +a(g548 +V69 +p14982 +tp14983 +a(g900 +g962 +tp14984 +a(g548 +V74 +p14985 +tp14986 +a(g900 +g962 +tp14987 +a(g548 +V6F +p14988 +tp14989 +a(g900 +g962 +tp14990 +a(g548 +V6B +p14991 +tp14992 +a(g900 +V +p14993 +tp14994 +a(g341 +V if (digitok +p14995 +tp14996 +a(g900 +V\u000a +p14997 +tp14998 +a(g8 +V0000 +p14999 +tp15000 +a(g685 +g958 +tp15001 +a(g8 +V0EA0 +p15002 +tp15003 +a(g900 +g962 +tp15004 +a(g900 +g962 +tp15005 +a(g548 +V20 +p15006 +tp15007 +a(g900 +g962 +tp15008 +a(g548 +V26 +p15009 +tp15010 +a(g900 +g962 +tp15011 +a(g548 +V26 +p15012 +tp15013 +a(g900 +g962 +tp15014 +a(g548 +V20 +p15015 +tp15016 +a(g900 +g962 +tp15017 +a(g548 +V21 +p15018 +tp15019 +a(g900 +g962 +tp15020 +a(g548 +V66 +p15021 +tp15022 +a(g900 +g962 +tp15023 +a(g548 +V6F +p15024 +tp15025 +a(g900 +g962 +tp15026 +a(g548 +V75 +p15027 +tp15028 +a(g685 +g988 +tp15029 +a(g548 +V6E +p15030 +tp15031 +a(g900 +g962 +tp15032 +a(g548 +V64 +p15033 +tp15034 +a(g900 +g962 +tp15035 +a(g548 +V77 +p15036 +tp15037 +a(g900 +g962 +tp15038 +a(g548 +V6F +p15039 +tp15040 +a(g900 +g962 +tp15041 +a(g548 +V72 +p15042 +tp15043 +a(g900 +g962 +tp15044 +a(g548 +V64 +p15045 +tp15046 +a(g900 +g962 +tp15047 +a(g548 +V29 +p15048 +tp15049 +a(g900 +g962 +tp15050 +a(g548 +V20 +p15051 +tp15052 +a(g900 +V +p15053 +tp15054 +a(g341 +V && !foundword) +p15055 +tp15056 +a(g900 +V\u000a +p15057 +tp15058 +a(g8 +V0000 +p15059 +tp15060 +a(g685 +g958 +tp15061 +a(g8 +V0EB0 +p15062 +tp15063 +a(g900 +g962 +tp15064 +a(g900 +g962 +tp15065 +a(g548 +V7B +p15066 +tp15067 +a(g900 +g962 +tp15068 +a(g548 +V20 +p15069 +tp15070 +a(g900 +g962 +tp15071 +a(g548 +V2F +p15072 +tp15073 +a(g900 +g962 +tp15074 +a(g548 +V2F +p15075 +tp15076 +a(g900 +g962 +tp15077 +a(g548 +V72 +p15078 +tp15079 +a(g900 +g962 +tp15080 +a(g548 +V65 +p15081 +tp15082 +a(g900 +g962 +tp15083 +a(g548 +V74 +p15084 +tp15085 +a(g900 +g962 +tp15086 +a(g548 +V2E +p15087 +tp15088 +a(g685 +g988 +tp15089 +a(g548 +V6C +p15090 +tp15091 +a(g900 +g962 +tp15092 +a(g548 +V65 +p15093 +tp15094 +a(g900 +g962 +tp15095 +a(g548 +V6E +p15096 +tp15097 +a(g900 +g962 +tp15098 +a(g548 +V67 +p15099 +tp15100 +a(g900 +g962 +tp15101 +a(g548 +V74 +p15102 +tp15103 +a(g900 +g962 +tp15104 +a(g548 +V68 +p15105 +tp15106 +a(g900 +g962 +tp15107 +a(g548 +V20 +p15108 +tp15109 +a(g900 +g962 +tp15110 +a(g548 +V3D +p15111 +tp15112 +a(g900 +V +p15113 +tp15114 +a(g341 +V{ //ret.length = +p15115 +tp15116 +a(g900 +V\u000a +p15117 +tp15118 +a(g8 +V0000 +p15119 +tp15120 +a(g685 +g958 +tp15121 +a(g8 +V0EC0 +p15122 +tp15123 +a(g900 +g962 +tp15124 +a(g900 +g962 +tp15125 +a(g548 +V3D +p15126 +tp15127 +a(g900 +g962 +tp15128 +a(g548 +V20 +p15129 +tp15130 +a(g900 +g962 +tp15131 +a(g548 +V30 +p15132 +tp15133 +a(g900 +g962 +tp15134 +a(g548 +V20 +p15135 +tp15136 +a(g900 +g962 +tp15137 +a(g548 +V20 +p15138 +tp15139 +a(g900 +g962 +tp15140 +a(g548 +V0A +p15141 +tp15142 +a(g900 +g962 +tp15143 +a(g548 +V20 +p15144 +tp15145 +a(g900 +g962 +tp15146 +a(g548 +V20 +p15147 +tp15148 +a(g685 +g988 +tp15149 +a(g548 +V20 +p15150 +tp15151 +a(g900 +g962 +tp15152 +a(g548 +V20 +p15153 +tp15154 +a(g900 +g962 +tp15155 +a(g548 +V20 +p15156 +tp15157 +a(g900 +g962 +tp15158 +a(g548 +V20 +p15159 +tp15160 +a(g900 +g962 +tp15161 +a(g548 +V20 +p15162 +tp15163 +a(g900 +g962 +tp15164 +a(g548 +V20 +p15165 +tp15166 +a(g900 +g962 +tp15167 +a(g548 +V20 +p15168 +tp15169 +a(g900 +g962 +tp15170 +a(g548 +V20 +p15171 +tp15172 +a(g900 +V +p15173 +tp15174 +a(g341 +V= 0 \u25d9 +p15175 +tp15176 +a(g900 +V\u000a +p15177 +tp15178 +a(g8 +V0000 +p15179 +tp15180 +a(g685 +g958 +tp15181 +a(g8 +V0ED0 +p15182 +tp15183 +a(g900 +g962 +tp15184 +a(g900 +g962 +tp15185 +a(g548 +V20 +p15186 +tp15187 +a(g900 +g962 +tp15188 +a(g548 +V20 +p15189 +tp15190 +a(g900 +g962 +tp15191 +a(g548 +V69 +p15192 +tp15193 +a(g900 +g962 +tp15194 +a(g548 +V66 +p15195 +tp15196 +a(g900 +g962 +tp15197 +a(g548 +V28 +p15198 +tp15199 +a(g900 +g962 +tp15200 +a(g548 +V6E +p15201 +tp15202 +a(g900 +g962 +tp15203 +a(g548 +V75 +p15204 +tp15205 +a(g900 +g962 +tp15206 +a(g548 +V6D +p15207 +tp15208 +a(g685 +g988 +tp15209 +a(g548 +V62 +p15210 +tp15211 +a(g900 +g962 +tp15212 +a(g548 +V65 +p15213 +tp15214 +a(g900 +g962 +tp15215 +a(g548 +V72 +p15216 +tp15217 +a(g900 +g962 +tp15218 +a(g548 +V73 +p15219 +tp15220 +a(g900 +g962 +tp15221 +a(g548 +V2E +p15222 +tp15223 +a(g900 +g962 +tp15224 +a(g548 +V6C +p15225 +tp15226 +a(g900 +g962 +tp15227 +a(g548 +V65 +p15228 +tp15229 +a(g900 +g962 +tp15230 +a(g548 +V6E +p15231 +tp15232 +a(g900 +V +p15233 +tp15234 +a(g341 +V if(numbers.len +p15235 +tp15236 +a(g900 +V\u000a +p15237 +tp15238 +a(g8 +V0000 +p15239 +tp15240 +a(g685 +g958 +tp15241 +a(g8 +V0EE0 +p15242 +tp15243 +a(g900 +g962 +tp15244 +a(g900 +g962 +tp15245 +a(g548 +V67 +p15246 +tp15247 +a(g900 +g962 +tp15248 +a(g548 +V74 +p15249 +tp15250 +a(g900 +g962 +tp15251 +a(g548 +V68 +p15252 +tp15253 +a(g900 +g962 +tp15254 +a(g548 +V20 +p15255 +tp15256 +a(g900 +g962 +tp15257 +a(g548 +V3E +p15258 +tp15259 +a(g900 +g962 +tp15260 +a(g548 +V20 +p15261 +tp15262 +a(g900 +g962 +tp15263 +a(g548 +V20 +p15264 +tp15265 +a(g900 +g962 +tp15266 +a(g548 +V31 +p15267 +tp15268 +a(g685 +g988 +tp15269 +a(g548 +V29 +p15270 +tp15271 +a(g900 +g962 +tp15272 +a(g548 +V20 +p15273 +tp15274 +a(g900 +g962 +tp15275 +a(g548 +V7B +p15276 +tp15277 +a(g900 +g962 +tp15278 +a(g548 +V0A +p15279 +tp15280 +a(g900 +g962 +tp15281 +a(g548 +V20 +p15282 +tp15283 +a(g900 +g962 +tp15284 +a(g548 +V20 +p15285 +tp15286 +a(g900 +g962 +tp15287 +a(g548 +V20 +p15288 +tp15289 +a(g900 +g962 +tp15290 +a(g548 +V20 +p15291 +tp15292 +a(g900 +V +p15293 +tp15294 +a(g341 +Vgth > 1) {\u25d9 +p15295 +tp15296 +a(g900 +V\u000a +p15297 +tp15298 +a(g8 +V0000 +p15299 +tp15300 +a(g685 +g958 +tp15301 +a(g8 +V0EF0 +p15302 +tp15303 +a(g900 +g962 +tp15304 +a(g900 +g962 +tp15305 +a(g548 +V20 +p15306 +tp15307 +a(g900 +g962 +tp15308 +a(g548 +V20 +p15309 +tp15310 +a(g900 +g962 +tp15311 +a(g548 +V20 +p15312 +tp15313 +a(g900 +g962 +tp15314 +a(g548 +V20 +p15315 +tp15316 +a(g900 +g962 +tp15317 +a(g548 +V20 +p15318 +tp15319 +a(g900 +g962 +tp15320 +a(g548 +V20 +p15321 +tp15322 +a(g900 +g962 +tp15323 +a(g548 +V20 +p15324 +tp15325 +a(g900 +g962 +tp15326 +a(g548 +V20 +p15327 +tp15328 +a(g685 +g988 +tp15329 +a(g548 +V20 +p15330 +tp15331 +a(g900 +g962 +tp15332 +a(g548 +V20 +p15333 +tp15334 +a(g900 +g962 +tp15335 +a(g548 +V20 +p15336 +tp15337 +a(g900 +g962 +tp15338 +a(g548 +V20 +p15339 +tp15340 +a(g900 +g962 +tp15341 +a(g548 +V2F +p15342 +tp15343 +a(g900 +g962 +tp15344 +a(g548 +V2F +p15345 +tp15346 +a(g900 +g962 +tp15347 +a(g548 +V20 +p15348 +tp15349 +a(g900 +g962 +tp15350 +a(g548 +V43 +p15351 +tp15352 +a(g900 +V +p15353 +tp15354 +a(g341 +V // C +p15355 +tp15356 +a(g900 +V\u000a +p15357 +tp15358 +a(g8 +V0000 +p15359 +tp15360 +a(g685 +g958 +tp15361 +a(g8 +V0F00 +p15362 +tp15363 +a(g900 +g962 +tp15364 +a(g900 +g962 +tp15365 +a(g548 +V6F +p15366 +tp15367 +a(g900 +g962 +tp15368 +a(g548 +V6D +p15369 +tp15370 +a(g900 +g962 +tp15371 +a(g548 +V62 +p15372 +tp15373 +a(g900 +g962 +tp15374 +a(g548 +V69 +p15375 +tp15376 +a(g900 +g962 +tp15377 +a(g548 +V6E +p15378 +tp15379 +a(g900 +g962 +tp15380 +a(g548 +V65 +p15381 +tp15382 +a(g900 +g962 +tp15383 +a(g548 +V20 +p15384 +tp15385 +a(g900 +g962 +tp15386 +a(g548 +V31 +p15387 +tp15388 +a(g685 +g988 +tp15389 +a(g548 +V20 +p15390 +tp15391 +a(g900 +g962 +tp15392 +a(g548 +V64 +p15393 +tp15394 +a(g900 +g962 +tp15395 +a(g548 +V69 +p15396 +tp15397 +a(g900 +g962 +tp15398 +a(g548 +V67 +p15399 +tp15400 +a(g900 +g962 +tp15401 +a(g548 +V69 +p15402 +tp15403 +a(g900 +g962 +tp15404 +a(g548 +V74 +p15405 +tp15406 +a(g900 +g962 +tp15407 +a(g548 +V20 +p15408 +tp15409 +a(g900 +g962 +tp15410 +a(g548 +V77 +p15411 +tp15412 +a(g900 +V +p15413 +tp15414 +a(g341 +Vombine 1 digit w +p15415 +tp15416 +a(g900 +V\u000a +p15417 +tp15418 +a(g8 +V0000 +p15419 +tp15420 +a(g685 +g958 +tp15421 +a(g8 +V0F10 +p15422 +tp15423 +a(g900 +g962 +tp15424 +a(g900 +g962 +tp15425 +a(g548 +V69 +p15426 +tp15427 +a(g900 +g962 +tp15428 +a(g548 +V74 +p15429 +tp15430 +a(g900 +g962 +tp15431 +a(g548 +V68 +p15432 +tp15433 +a(g900 +g962 +tp15434 +a(g548 +V20 +p15435 +tp15436 +a(g900 +g962 +tp15437 +a(g548 +V61 +p15438 +tp15439 +a(g900 +g962 +tp15440 +a(g548 +V6C +p15441 +tp15442 +a(g900 +g962 +tp15443 +a(g548 +V6C +p15444 +tp15445 +a(g900 +g962 +tp15446 +a(g548 +V20 +p15447 +tp15448 +a(g685 +g988 +tp15449 +a(g548 +V61 +p15450 +tp15451 +a(g900 +g962 +tp15452 +a(g548 +V6C +p15453 +tp15454 +a(g900 +g962 +tp15455 +a(g548 +V74 +p15456 +tp15457 +a(g900 +g962 +tp15458 +a(g548 +V65 +p15459 +tp15460 +a(g900 +g962 +tp15461 +a(g548 +V6E +p15462 +tp15463 +a(g900 +g962 +tp15464 +a(g548 +V61 +p15465 +tp15466 +a(g900 +g962 +tp15467 +a(g548 +V74 +p15468 +tp15469 +a(g900 +g962 +tp15470 +a(g548 +V69 +p15471 +tp15472 +a(g900 +V +p15473 +tp15474 +a(g341 +Vith all altenati +p15475 +tp15476 +a(g900 +V\u000a +p15477 +tp15478 +a(g8 +V0000 +p15479 +tp15480 +a(g685 +g958 +tp15481 +a(g8 +V0F20 +p15482 +tp15483 +a(g900 +g962 +tp15484 +a(g900 +g962 +tp15485 +a(g548 +V76 +p15486 +tp15487 +a(g900 +g962 +tp15488 +a(g548 +V65 +p15489 +tp15490 +a(g900 +g962 +tp15491 +a(g548 +V73 +p15492 +tp15493 +a(g900 +g962 +tp15494 +a(g548 +V20 +p15495 +tp15496 +a(g900 +g962 +tp15497 +a(g548 +V66 +p15498 +tp15499 +a(g900 +g962 +tp15500 +a(g548 +V72 +p15501 +tp15502 +a(g900 +g962 +tp15503 +a(g548 +V6F +p15504 +tp15505 +a(g900 +g962 +tp15506 +a(g548 +V6D +p15507 +tp15508 +a(g685 +g988 +tp15509 +a(g548 +V20 +p15510 +tp15511 +a(g900 +g962 +tp15512 +a(g548 +V74 +p15513 +tp15514 +a(g900 +g962 +tp15515 +a(g548 +V68 +p15516 +tp15517 +a(g900 +g962 +tp15518 +a(g548 +V65 +p15519 +tp15520 +a(g900 +g962 +tp15521 +a(g548 +V20 +p15522 +tp15523 +a(g900 +g962 +tp15524 +a(g548 +V72 +p15525 +tp15526 +a(g900 +g962 +tp15527 +a(g548 +V65 +p15528 +tp15529 +a(g900 +g962 +tp15530 +a(g548 +V73 +p15531 +tp15532 +a(g900 +V +p15533 +tp15534 +a(g341 +Vves from the res +p15535 +tp15536 +a(g900 +V\u000a +p15537 +tp15538 +a(g8 +V0000 +p15539 +tp15540 +a(g685 +g958 +tp15541 +a(g8 +V0F30 +p15542 +tp15543 +a(g900 +g962 +tp15544 +a(g900 +g962 +tp15545 +a(g548 +V74 +p15546 +tp15547 +a(g900 +g962 +tp15548 +a(g548 +V20 +p15549 +tp15550 +a(g900 +g962 +tp15551 +a(g548 +V20 +p15552 +tp15553 +a(g900 +g962 +tp15554 +a(g548 +V20 +p15555 +tp15556 +a(g900 +g962 +tp15557 +a(g548 +V20 +p15558 +tp15559 +a(g900 +g962 +tp15560 +a(g548 +V0A +p15561 +tp15562 +a(g900 +g962 +tp15563 +a(g548 +V20 +p15564 +tp15565 +a(g900 +g962 +tp15566 +a(g548 +V20 +p15567 +tp15568 +a(g685 +g988 +tp15569 +a(g548 +V20 +p15570 +tp15571 +a(g900 +g962 +tp15572 +a(g548 +V20 +p15573 +tp15574 +a(g900 +g962 +tp15575 +a(g548 +V20 +p15576 +tp15577 +a(g900 +g962 +tp15578 +a(g548 +V20 +p15579 +tp15580 +a(g900 +g962 +tp15581 +a(g548 +V20 +p15582 +tp15583 +a(g900 +g962 +tp15584 +a(g548 +V20 +p15585 +tp15586 +a(g900 +g962 +tp15587 +a(g548 +V20 +p15588 +tp15589 +a(g900 +g962 +tp15590 +a(g548 +V20 +p15591 +tp15592 +a(g900 +V +p15593 +tp15594 +a(g341 +Vt \u25d9 +p15595 +tp15596 +a(g900 +V\u000a +p15597 +tp15598 +a(g8 +V0000 +p15599 +tp15600 +a(g685 +g958 +tp15601 +a(g8 +V0F40 +p15602 +tp15603 +a(g900 +g962 +tp15604 +a(g900 +g962 +tp15605 +a(g548 +V20 +p15606 +tp15607 +a(g900 +g962 +tp15608 +a(g548 +V20 +p15609 +tp15610 +a(g900 +g962 +tp15611 +a(g548 +V20 +p15612 +tp15613 +a(g900 +g962 +tp15614 +a(g548 +V20 +p15615 +tp15616 +a(g900 +g962 +tp15617 +a(g548 +V20 +p15618 +tp15619 +a(g900 +g962 +tp15620 +a(g548 +V20 +p15621 +tp15622 +a(g900 +g962 +tp15623 +a(g548 +V2F +p15624 +tp15625 +a(g900 +g962 +tp15626 +a(g548 +V2F +p15627 +tp15628 +a(g685 +g988 +tp15629 +a(g548 +V20 +p15630 +tp15631 +a(g900 +g962 +tp15632 +a(g548 +V28 +p15633 +tp15634 +a(g900 +g962 +tp15635 +a(g548 +V6E +p15636 +tp15637 +a(g900 +g962 +tp15638 +a(g548 +V65 +p15639 +tp15640 +a(g900 +g962 +tp15641 +a(g548 +V78 +p15642 +tp15643 +a(g900 +g962 +tp15644 +a(g548 +V74 +p15645 +tp15646 +a(g900 +g962 +tp15647 +a(g548 +V20 +p15648 +tp15649 +a(g900 +g962 +tp15650 +a(g548 +V70 +p15651 +tp15652 +a(g900 +V +p15653 +tp15654 +a(g341 +V // (next p +p15655 +tp15656 +a(g900 +V\u000a +p15657 +tp15658 +a(g8 +V0000 +p15659 +tp15660 +a(g685 +g958 +tp15661 +a(g8 +V0F50 +p15662 +tp15663 +a(g900 +g962 +tp15664 +a(g900 +g962 +tp15665 +a(g548 +V69 +p15666 +tp15667 +a(g900 +g962 +tp15668 +a(g548 +V65 +p15669 +tp15670 +a(g900 +g962 +tp15671 +a(g548 +V63 +p15672 +tp15673 +a(g900 +g962 +tp15674 +a(g548 +V65 +p15675 +tp15676 +a(g900 +g962 +tp15677 +a(g548 +V20 +p15678 +tp15679 +a(g900 +g962 +tp15680 +a(g548 +V63 +p15681 +tp15682 +a(g900 +g962 +tp15683 +a(g548 +V61 +p15684 +tp15685 +a(g900 +g962 +tp15686 +a(g548 +V6E +p15687 +tp15688 +a(g685 +g988 +tp15689 +a(g548 +V20 +p15690 +tp15691 +a(g900 +g962 +tp15692 +a(g548 +V6E +p15693 +tp15694 +a(g900 +g962 +tp15695 +a(g548 +V6F +p15696 +tp15697 +a(g900 +g962 +tp15698 +a(g548 +V74 +p15699 +tp15700 +a(g900 +g962 +tp15701 +a(g548 +V20 +p15702 +tp15703 +a(g900 +g962 +tp15704 +a(g548 +V73 +p15705 +tp15706 +a(g900 +g962 +tp15707 +a(g548 +V74 +p15708 +tp15709 +a(g900 +g962 +tp15710 +a(g548 +V61 +p15711 +tp15712 +a(g900 +V +p15713 +tp15714 +a(g341 +Viece can not sta +p15715 +tp15716 +a(g900 +V\u000a +p15717 +tp15718 +a(g8 +V0000 +p15719 +tp15720 +a(g685 +g958 +tp15721 +a(g8 +V0F60 +p15722 +tp15723 +a(g900 +g962 +tp15724 +a(g900 +g962 +tp15725 +a(g548 +V72 +p15726 +tp15727 +a(g900 +g962 +tp15728 +a(g548 +V74 +p15729 +tp15730 +a(g900 +g962 +tp15731 +a(g548 +V20 +p15732 +tp15733 +a(g900 +g962 +tp15734 +a(g548 +V77 +p15735 +tp15736 +a(g900 +g962 +tp15737 +a(g548 +V69 +p15738 +tp15739 +a(g900 +g962 +tp15740 +a(g548 +V74 +p15741 +tp15742 +a(g900 +g962 +tp15743 +a(g548 +V68 +p15744 +tp15745 +a(g900 +g962 +tp15746 +a(g548 +V20 +p15747 +tp15748 +a(g685 +g988 +tp15749 +a(g548 +V61 +p15750 +tp15751 +a(g900 +g962 +tp15752 +a(g548 +V20 +p15753 +tp15754 +a(g900 +g962 +tp15755 +a(g548 +V64 +p15756 +tp15757 +a(g900 +g962 +tp15758 +a(g548 +V69 +p15759 +tp15760 +a(g900 +g962 +tp15761 +a(g548 +V67 +p15762 +tp15763 +a(g900 +g962 +tp15764 +a(g548 +V69 +p15765 +tp15766 +a(g900 +g962 +tp15767 +a(g548 +V74 +p15768 +tp15769 +a(g900 +g962 +tp15770 +a(g548 +V29 +p15771 +tp15772 +a(g900 +V +p15773 +tp15774 +a(g341 +Vrt with a digit) +p15775 +tp15776 +a(g900 +V\u000a +p15777 +tp15778 +a(g8 +V0000 +p15779 +tp15780 +a(g685 +g958 +tp15781 +a(g8 +V0F70 +p15782 +tp15783 +a(g900 +g962 +tp15784 +a(g900 +g962 +tp15785 +a(g548 +V20 +p15786 +tp15787 +a(g900 +g962 +tp15788 +a(g548 +V20 +p15789 +tp15790 +a(g900 +g962 +tp15791 +a(g548 +V20 +p15792 +tp15793 +a(g900 +g962 +tp15794 +a(g548 +V20 +p15795 +tp15796 +a(g900 +g962 +tp15797 +a(g548 +V20 +p15798 +tp15799 +a(g900 +g962 +tp15800 +a(g548 +V20 +p15801 +tp15802 +a(g900 +g962 +tp15803 +a(g548 +V20 +p15804 +tp15805 +a(g900 +g962 +tp15806 +a(g548 +V20 +p15807 +tp15808 +a(g685 +g988 +tp15809 +a(g548 +V20 +p15810 +tp15811 +a(g900 +g962 +tp15812 +a(g548 +V20 +p15813 +tp15814 +a(g900 +g962 +tp15815 +a(g548 +V0A +p15816 +tp15817 +a(g900 +g962 +tp15818 +a(g548 +V20 +p15819 +tp15820 +a(g900 +g962 +tp15821 +a(g548 +V20 +p15822 +tp15823 +a(g900 +g962 +tp15824 +a(g548 +V20 +p15825 +tp15826 +a(g900 +g962 +tp15827 +a(g548 +V20 +p15828 +tp15829 +a(g900 +g962 +tp15830 +a(g548 +V20 +p15831 +tp15832 +a(g900 +V +p15833 +tp15834 +a(g341 +V \u25d9 +p15835 +tp15836 +a(g900 +V\u000a +p15837 +tp15838 +a(g8 +V0000 +p15839 +tp15840 +a(g685 +g958 +tp15841 +a(g8 +V0F80 +p15842 +tp15843 +a(g900 +g962 +tp15844 +a(g900 +g962 +tp15845 +a(g548 +V20 +p15846 +tp15847 +a(g900 +g962 +tp15848 +a(g548 +V20 +p15849 +tp15850 +a(g900 +g962 +tp15851 +a(g548 +V20 +p15852 +tp15853 +a(g900 +g962 +tp15854 +a(g548 +V20 +p15855 +tp15856 +a(g900 +g962 +tp15857 +a(g548 +V20 +p15858 +tp15859 +a(g900 +g962 +tp15860 +a(g548 +V20 +p15861 +tp15862 +a(g900 +g962 +tp15863 +a(g548 +V20 +p15864 +tp15865 +a(g900 +g962 +tp15866 +a(g548 +V20 +p15867 +tp15868 +a(g685 +g988 +tp15869 +a(g548 +V20 +p15870 +tp15871 +a(g900 +g962 +tp15872 +a(g548 +V20 +p15873 +tp15874 +a(g900 +g962 +tp15875 +a(g548 +V20 +p15876 +tp15877 +a(g900 +g962 +tp15878 +a(g548 +V66 +p15879 +tp15880 +a(g900 +g962 +tp15881 +a(g548 +V6F +p15882 +tp15883 +a(g900 +g962 +tp15884 +a(g548 +V72 +p15885 +tp15886 +a(g900 +g962 +tp15887 +a(g548 +V65 +p15888 +tp15889 +a(g900 +g962 +tp15890 +a(g548 +V61 +p15891 +tp15892 +a(g900 +V +p15893 +tp15894 +a(g341 +V forea +p15895 +tp15896 +a(g900 +V\u000a +p15897 +tp15898 +a(g8 +V0000 +p15899 +tp15900 +a(g685 +g958 +tp15901 +a(g8 +V0F90 +p15902 +tp15903 +a(g900 +g962 +tp15904 +a(g900 +g962 +tp15905 +a(g548 +V63 +p15906 +tp15907 +a(g900 +g962 +tp15908 +a(g548 +V68 +p15909 +tp15910 +a(g900 +g962 +tp15911 +a(g548 +V20 +p15912 +tp15913 +a(g900 +g962 +tp15914 +a(g548 +V28 +p15915 +tp15916 +a(g900 +g962 +tp15917 +a(g548 +V61 +p15918 +tp15919 +a(g900 +g962 +tp15920 +a(g548 +V3B +p15921 +tp15922 +a(g900 +g962 +tp15923 +a(g548 +V20 +p15924 +tp15925 +a(g900 +g962 +tp15926 +a(g548 +V5F +p15927 +tp15928 +a(g685 +g988 +tp15929 +a(g548 +V46 +p15930 +tp15931 +a(g900 +g962 +tp15932 +a(g548 +V69 +p15933 +tp15934 +a(g900 +g962 +tp15935 +a(g548 +V6E +p15936 +tp15937 +a(g900 +g962 +tp15938 +a(g548 +V64 +p15939 +tp15940 +a(g900 +g962 +tp15941 +a(g548 +V57 +p15942 +tp15943 +a(g900 +g962 +tp15944 +a(g548 +V6F +p15945 +tp15946 +a(g900 +g962 +tp15947 +a(g548 +V72 +p15948 +tp15949 +a(g900 +g962 +tp15950 +a(g548 +V64 +p15951 +tp15952 +a(g900 +V +p15953 +tp15954 +a(g341 +Vch (a; _FindWord +p15955 +tp15956 +a(g900 +V\u000a +p15957 +tp15958 +a(g8 +V0000 +p15959 +tp15960 +a(g685 +g958 +tp15961 +a(g8 +V0FA0 +p15962 +tp15963 +a(g900 +g962 +tp15964 +a(g900 +g962 +tp15965 +a(g548 +V73 +p15966 +tp15967 +a(g900 +g962 +tp15968 +a(g548 +V28 +p15969 +tp15970 +a(g900 +g962 +tp15971 +a(g548 +V20 +p15972 +tp15973 +a(g900 +g962 +tp15974 +a(g548 +V6E +p15975 +tp15976 +a(g900 +g962 +tp15977 +a(g548 +V75 +p15978 +tp15979 +a(g900 +g962 +tp15980 +a(g548 +V6D +p15981 +tp15982 +a(g900 +g962 +tp15983 +a(g548 +V62 +p15984 +tp15985 +a(g900 +g962 +tp15986 +a(g548 +V65 +p15987 +tp15988 +a(g685 +g988 +tp15989 +a(g548 +V72 +p15990 +tp15991 +a(g900 +g962 +tp15992 +a(g548 +V73 +p15993 +tp15994 +a(g900 +g962 +tp15995 +a(g548 +V5B +p15996 +tp15997 +a(g900 +g962 +tp15998 +a(g548 +V31 +p15999 +tp16000 +a(g900 +g962 +tp16001 +a(g548 +V2E +p16002 +tp16003 +a(g900 +g962 +tp16004 +a(g548 +V2E +p16005 +tp16006 +a(g900 +g962 +tp16007 +a(g548 +V24 +p16008 +tp16009 +a(g900 +g962 +tp16010 +a(g548 +V5D +p16011 +tp16012 +a(g900 +V +p16013 +tp16014 +a(g341 +Vs( numbers[1..$] +p16015 +tp16016 +a(g900 +V\u000a +p16017 +tp16018 +a(g8 +V0000 +p16019 +tp16020 +a(g685 +g958 +tp16021 +a(g8 +V0FB0 +p16022 +tp16023 +a(g900 +g962 +tp16024 +a(g900 +g962 +tp16025 +a(g548 +V2C +p16026 +tp16027 +a(g900 +g962 +tp16028 +a(g548 +V20 +p16029 +tp16030 +a(g900 +g962 +tp16031 +a(g548 +V66 +p16032 +tp16033 +a(g900 +g962 +tp16034 +a(g548 +V61 +p16035 +tp16036 +a(g900 +g962 +tp16037 +a(g548 +V6C +p16038 +tp16039 +a(g900 +g962 +tp16040 +a(g548 +V73 +p16041 +tp16042 +a(g900 +g962 +tp16043 +a(g548 +V65 +p16044 +tp16045 +a(g900 +g962 +tp16046 +a(g548 +V20 +p16047 +tp16048 +a(g685 +g988 +tp16049 +a(g548 +V29 +p16050 +tp16051 +a(g900 +g962 +tp16052 +a(g548 +V20 +p16053 +tp16054 +a(g900 +g962 +tp16055 +a(g548 +V29 +p16056 +tp16057 +a(g900 +g962 +tp16058 +a(g548 +V0A +p16059 +tp16060 +a(g900 +g962 +tp16061 +a(g548 +V20 +p16062 +tp16063 +a(g900 +g962 +tp16064 +a(g548 +V20 +p16065 +tp16066 +a(g900 +g962 +tp16067 +a(g548 +V20 +p16068 +tp16069 +a(g900 +g962 +tp16070 +a(g548 +V20 +p16071 +tp16072 +a(g900 +V +p16073 +tp16074 +a(g341 +V, false ) )\u25d9 +p16075 +tp16076 +a(g900 +V\u000a +p16077 +tp16078 +a(g8 +V0000 +p16079 +tp16080 +a(g685 +g958 +tp16081 +a(g8 +V0FC0 +p16082 +tp16083 +a(g900 +g962 +tp16084 +a(g900 +g962 +tp16085 +a(g548 +V20 +p16086 +tp16087 +a(g900 +g962 +tp16088 +a(g548 +V20 +p16089 +tp16090 +a(g900 +g962 +tp16091 +a(g548 +V20 +p16092 +tp16093 +a(g900 +g962 +tp16094 +a(g548 +V20 +p16095 +tp16096 +a(g900 +g962 +tp16097 +a(g548 +V20 +p16098 +tp16099 +a(g900 +g962 +tp16100 +a(g548 +V20 +p16101 +tp16102 +a(g900 +g962 +tp16103 +a(g548 +V20 +p16104 +tp16105 +a(g900 +g962 +tp16106 +a(g548 +V20 +p16107 +tp16108 +a(g685 +g988 +tp16109 +a(g548 +V20 +p16110 +tp16111 +a(g900 +g962 +tp16112 +a(g548 +V20 +p16113 +tp16114 +a(g900 +g962 +tp16115 +a(g548 +V20 +p16116 +tp16117 +a(g900 +g962 +tp16118 +a(g548 +V20 +p16119 +tp16120 +a(g900 +g962 +tp16121 +a(g548 +V20 +p16122 +tp16123 +a(g900 +g962 +tp16124 +a(g548 +V20 +p16125 +tp16126 +a(g900 +g962 +tp16127 +a(g548 +V20 +p16128 +tp16129 +a(g900 +g962 +tp16130 +a(g548 +V20 +p16131 +tp16132 +a(g900 +V +p16133 +tp16134 +a(g341 +V +p16135 +tp16136 +a(g900 +V\u000a +p16137 +tp16138 +a(g8 +V0000 +p16139 +tp16140 +a(g685 +g958 +tp16141 +a(g8 +V0FD0 +p16142 +tp16143 +a(g900 +g962 +tp16144 +a(g900 +g962 +tp16145 +a(g548 +V72 +p16146 +tp16147 +a(g900 +g962 +tp16148 +a(g548 +V65 +p16149 +tp16150 +a(g900 +g962 +tp16151 +a(g548 +V74 +p16152 +tp16153 +a(g900 +g962 +tp16154 +a(g548 +V20 +p16155 +tp16156 +a(g900 +g962 +tp16157 +a(g548 +V7E +p16158 +tp16159 +a(g900 +g962 +tp16160 +a(g548 +V3D +p16161 +tp16162 +a(g900 +g962 +tp16163 +a(g548 +V20 +p16164 +tp16165 +a(g900 +g962 +tp16166 +a(g548 +V6E +p16167 +tp16168 +a(g685 +g988 +tp16169 +a(g548 +V75 +p16170 +tp16171 +a(g900 +g962 +tp16172 +a(g548 +V6D +p16173 +tp16174 +a(g900 +g962 +tp16175 +a(g548 +V62 +p16176 +tp16177 +a(g900 +g962 +tp16178 +a(g548 +V65 +p16179 +tp16180 +a(g900 +g962 +tp16181 +a(g548 +V72 +p16182 +tp16183 +a(g900 +g962 +tp16184 +a(g548 +V73 +p16185 +tp16186 +a(g900 +g962 +tp16187 +a(g548 +V5B +p16188 +tp16189 +a(g900 +g962 +tp16190 +a(g548 +V30 +p16191 +tp16192 +a(g900 +V +p16193 +tp16194 +a(g341 +Vret ~= numbers[0 +p16195 +tp16196 +a(g900 +V\u000a +p16197 +tp16198 +a(g8 +V0000 +p16199 +tp16200 +a(g685 +g958 +tp16201 +a(g8 +V0FE0 +p16202 +tp16203 +a(g900 +g962 +tp16204 +a(g900 +g962 +tp16205 +a(g548 +V2E +p16206 +tp16207 +a(g900 +g962 +tp16208 +a(g548 +V2E +p16209 +tp16210 +a(g900 +g962 +tp16211 +a(g548 +V31 +p16212 +tp16213 +a(g900 +g962 +tp16214 +a(g548 +V5D +p16215 +tp16216 +a(g900 +g962 +tp16217 +a(g548 +V20 +p16218 +tp16219 +a(g900 +g962 +tp16220 +a(g548 +V7E +p16221 +tp16222 +a(g900 +g962 +tp16223 +a(g548 +V20 +p16224 +tp16225 +a(g900 +g962 +tp16226 +a(g548 +V22 +p16227 +tp16228 +a(g685 +g988 +tp16229 +a(g548 +V20 +p16230 +tp16231 +a(g900 +g962 +tp16232 +a(g548 +V22 +p16233 +tp16234 +a(g900 +g962 +tp16235 +a(g548 +V20 +p16236 +tp16237 +a(g900 +g962 +tp16238 +a(g548 +V7E +p16239 +tp16240 +a(g900 +g962 +tp16241 +a(g548 +V20 +p16242 +tp16243 +a(g900 +g962 +tp16244 +a(g548 +V61 +p16245 +tp16246 +a(g900 +g962 +tp16247 +a(g548 +V3B +p16248 +tp16249 +a(g900 +g962 +tp16250 +a(g548 +V0A +p16251 +tp16252 +a(g900 +V +p16253 +tp16254 +a(g341 +V..1] ~ " " ~ a;\u25d9 +p16255 +tp16256 +a(g900 +V\u000a +p16257 +tp16258 +a(g8 +V0000 +p16259 +tp16260 +a(g685 +g958 +tp16261 +a(g8 +V0FF0 +p16262 +tp16263 +a(g900 +g962 +tp16264 +a(g900 +g962 +tp16265 +a(g548 +V20 +p16266 +tp16267 +a(g900 +g962 +tp16268 +a(g548 +V20 +p16269 +tp16270 +a(g900 +g962 +tp16271 +a(g548 +V20 +p16272 +tp16273 +a(g900 +g962 +tp16274 +a(g548 +V20 +p16275 +tp16276 +a(g900 +g962 +tp16277 +a(g548 +V20 +p16278 +tp16279 +a(g900 +g962 +tp16280 +a(g548 +V20 +p16281 +tp16282 +a(g900 +g962 +tp16283 +a(g548 +V20 +p16284 +tp16285 +a(g900 +g962 +tp16286 +a(g548 +V20 +p16287 +tp16288 +a(g685 +g988 +tp16289 +a(g548 +V20 +p16290 +tp16291 +a(g900 +g962 +tp16292 +a(g548 +V20 +p16293 +tp16294 +a(g900 +g962 +tp16295 +a(g548 +V20 +p16296 +tp16297 +a(g900 +g962 +tp16298 +a(g548 +V20 +p16299 +tp16300 +a(g900 +g962 +tp16301 +a(g548 +V7D +p16302 +tp16303 +a(g900 +g962 +tp16304 +a(g548 +V20 +p16305 +tp16306 +a(g900 +g962 +tp16307 +a(g548 +V20 +p16308 +tp16309 +a(g900 +g962 +tp16310 +a(g548 +V20 +p16311 +tp16312 +a(g900 +V +p16313 +tp16314 +a(g341 +V } +p16315 +tp16316 +a(g900 +V\u000a +p16317 +tp16318 +a(g8 +V0000 +p16319 +tp16320 +a(g685 +g958 +tp16321 +a(g8 +V1000 +p16322 +tp16323 +a(g900 +g962 +tp16324 +a(g900 +g962 +tp16325 +a(g548 +V20 +p16326 +tp16327 +a(g900 +g962 +tp16328 +a(g548 +V0A +p16329 +tp16330 +a(g900 +g962 +tp16331 +a(g548 +V20 +p16332 +tp16333 +a(g900 +g962 +tp16334 +a(g548 +V20 +p16335 +tp16336 +a(g900 +g962 +tp16337 +a(g548 +V20 +p16338 +tp16339 +a(g900 +g962 +tp16340 +a(g548 +V20 +p16341 +tp16342 +a(g900 +g962 +tp16343 +a(g548 +V20 +p16344 +tp16345 +a(g900 +g962 +tp16346 +a(g548 +V20 +p16347 +tp16348 +a(g685 +g988 +tp16349 +a(g548 +V20 +p16350 +tp16351 +a(g900 +g962 +tp16352 +a(g548 +V20 +p16353 +tp16354 +a(g900 +g962 +tp16355 +a(g548 +V20 +p16356 +tp16357 +a(g900 +g962 +tp16358 +a(g548 +V20 +p16359 +tp16360 +a(g900 +g962 +tp16361 +a(g548 +V20 +p16362 +tp16363 +a(g900 +g962 +tp16364 +a(g548 +V20 +p16365 +tp16366 +a(g900 +g962 +tp16367 +a(g548 +V65 +p16368 +tp16369 +a(g900 +g962 +tp16370 +a(g548 +V6C +p16371 +tp16372 +a(g900 +V +p16373 +tp16374 +a(g341 +V \u25d9 el +p16375 +tp16376 +a(g900 +V\u000a +p16377 +tp16378 +a(g8 +V0000 +p16379 +tp16380 +a(g685 +g958 +tp16381 +a(g8 +V1010 +p16382 +tp16383 +a(g900 +g962 +tp16384 +a(g900 +g962 +tp16385 +a(g548 +V73 +p16386 +tp16387 +a(g900 +g962 +tp16388 +a(g548 +V65 +p16389 +tp16390 +a(g900 +g962 +tp16391 +a(g548 +V20 +p16392 +tp16393 +a(g900 +g962 +tp16394 +a(g548 +V20 +p16395 +tp16396 +a(g900 +g962 +tp16397 +a(g548 +V20 +p16398 +tp16399 +a(g900 +g962 +tp16400 +a(g548 +V20 +p16401 +tp16402 +a(g900 +g962 +tp16403 +a(g548 +V0A +p16404 +tp16405 +a(g900 +g962 +tp16406 +a(g548 +V20 +p16407 +tp16408 +a(g685 +g988 +tp16409 +a(g548 +V20 +p16410 +tp16411 +a(g900 +g962 +tp16412 +a(g548 +V20 +p16413 +tp16414 +a(g900 +g962 +tp16415 +a(g548 +V20 +p16416 +tp16417 +a(g900 +g962 +tp16418 +a(g548 +V20 +p16419 +tp16420 +a(g900 +g962 +tp16421 +a(g548 +V20 +p16422 +tp16423 +a(g900 +g962 +tp16424 +a(g548 +V20 +p16425 +tp16426 +a(g900 +g962 +tp16427 +a(g548 +V20 +p16428 +tp16429 +a(g900 +g962 +tp16430 +a(g548 +V20 +p16431 +tp16432 +a(g900 +V +p16433 +tp16434 +a(g341 +Vse \u25d9 +p16435 +tp16436 +a(g900 +V\u000a +p16437 +tp16438 +a(g8 +V0000 +p16439 +tp16440 +a(g685 +g958 +tp16441 +a(g8 +V1020 +p16442 +tp16443 +a(g900 +g962 +tp16444 +a(g900 +g962 +tp16445 +a(g548 +V20 +p16446 +tp16447 +a(g900 +g962 +tp16448 +a(g548 +V20 +p16449 +tp16450 +a(g900 +g962 +tp16451 +a(g548 +V20 +p16452 +tp16453 +a(g900 +g962 +tp16454 +a(g548 +V20 +p16455 +tp16456 +a(g900 +g962 +tp16457 +a(g548 +V20 +p16458 +tp16459 +a(g900 +g962 +tp16460 +a(g548 +V20 +p16461 +tp16462 +a(g900 +g962 +tp16463 +a(g548 +V20 +p16464 +tp16465 +a(g900 +g962 +tp16466 +a(g548 +V72 +p16467 +tp16468 +a(g685 +g988 +tp16469 +a(g548 +V65 +p16470 +tp16471 +a(g900 +g962 +tp16472 +a(g548 +V74 +p16473 +tp16474 +a(g900 +g962 +tp16475 +a(g548 +V20 +p16476 +tp16477 +a(g900 +g962 +tp16478 +a(g548 +V7E +p16479 +tp16480 +a(g900 +g962 +tp16481 +a(g548 +V3D +p16482 +tp16483 +a(g900 +g962 +tp16484 +a(g548 +V20 +p16485 +tp16486 +a(g900 +g962 +tp16487 +a(g548 +V6E +p16488 +tp16489 +a(g900 +g962 +tp16490 +a(g548 +V75 +p16491 +tp16492 +a(g900 +V +p16493 +tp16494 +a(g341 +V ret ~= nu +p16495 +tp16496 +a(g900 +V\u000a +p16497 +tp16498 +a(g8 +V0000 +p16499 +tp16500 +a(g685 +g958 +tp16501 +a(g8 +V1030 +p16502 +tp16503 +a(g900 +g962 +tp16504 +a(g900 +g962 +tp16505 +a(g548 +V6D +p16506 +tp16507 +a(g900 +g962 +tp16508 +a(g548 +V62 +p16509 +tp16510 +a(g900 +g962 +tp16511 +a(g548 +V65 +p16512 +tp16513 +a(g900 +g962 +tp16514 +a(g548 +V72 +p16515 +tp16516 +a(g900 +g962 +tp16517 +a(g548 +V73 +p16518 +tp16519 +a(g900 +g962 +tp16520 +a(g548 +V5B +p16521 +tp16522 +a(g900 +g962 +tp16523 +a(g548 +V30 +p16524 +tp16525 +a(g900 +g962 +tp16526 +a(g548 +V2E +p16527 +tp16528 +a(g685 +g988 +tp16529 +a(g548 +V2E +p16530 +tp16531 +a(g900 +g962 +tp16532 +a(g548 +V31 +p16533 +tp16534 +a(g900 +g962 +tp16535 +a(g548 +V5D +p16536 +tp16537 +a(g900 +g962 +tp16538 +a(g548 +V3B +p16539 +tp16540 +a(g900 +g962 +tp16541 +a(g548 +V20 +p16542 +tp16543 +a(g900 +g962 +tp16544 +a(g548 +V20 +p16545 +tp16546 +a(g900 +g962 +tp16547 +a(g548 +V20 +p16548 +tp16549 +a(g900 +g962 +tp16550 +a(g548 +V20 +p16551 +tp16552 +a(g900 +V +p16553 +tp16554 +a(g341 +Vmbers[0..1]; +p16555 +tp16556 +a(g900 +V\u000a +p16557 +tp16558 +a(g8 +V0000 +p16559 +tp16560 +a(g685 +g958 +tp16561 +a(g8 +V1040 +p16562 +tp16563 +a(g900 +g962 +tp16564 +a(g900 +g962 +tp16565 +a(g548 +V2F +p16566 +tp16567 +a(g900 +g962 +tp16568 +a(g548 +V2F +p16569 +tp16570 +a(g900 +g962 +tp16571 +a(g548 +V20 +p16572 +tp16573 +a(g900 +g962 +tp16574 +a(g548 +V6A +p16575 +tp16576 +a(g900 +g962 +tp16577 +a(g548 +V75 +p16578 +tp16579 +a(g900 +g962 +tp16580 +a(g548 +V73 +p16581 +tp16582 +a(g900 +g962 +tp16583 +a(g548 +V74 +p16584 +tp16585 +a(g900 +g962 +tp16586 +a(g548 +V20 +p16587 +tp16588 +a(g685 +g988 +tp16589 +a(g548 +V61 +p16590 +tp16591 +a(g900 +g962 +tp16592 +a(g548 +V70 +p16593 +tp16594 +a(g900 +g962 +tp16595 +a(g548 +V70 +p16596 +tp16597 +a(g900 +g962 +tp16598 +a(g548 +V65 +p16599 +tp16600 +a(g900 +g962 +tp16601 +a(g548 +V6E +p16602 +tp16603 +a(g900 +g962 +tp16604 +a(g548 +V64 +p16605 +tp16606 +a(g900 +g962 +tp16607 +a(g548 +V20 +p16608 +tp16609 +a(g900 +g962 +tp16610 +a(g548 +V74 +p16611 +tp16612 +a(g900 +V +p16613 +tp16614 +a(g341 +V// just append t +p16615 +tp16616 +a(g900 +V\u000a +p16617 +tp16618 +a(g8 +V0000 +p16619 +tp16620 +a(g685 +g958 +tp16621 +a(g8 +V1050 +p16622 +tp16623 +a(g900 +g962 +tp16624 +a(g900 +g962 +tp16625 +a(g548 +V68 +p16626 +tp16627 +a(g900 +g962 +tp16628 +a(g548 +V69 +p16629 +tp16630 +a(g900 +g962 +tp16631 +a(g548 +V73 +p16632 +tp16633 +a(g900 +g962 +tp16634 +a(g548 +V20 +p16635 +tp16636 +a(g900 +g962 +tp16637 +a(g548 +V64 +p16638 +tp16639 +a(g900 +g962 +tp16640 +a(g548 +V69 +p16641 +tp16642 +a(g900 +g962 +tp16643 +a(g548 +V67 +p16644 +tp16645 +a(g900 +g962 +tp16646 +a(g548 +V69 +p16647 +tp16648 +a(g685 +g988 +tp16649 +a(g548 +V74 +p16650 +tp16651 +a(g900 +g962 +tp16652 +a(g548 +V20 +p16653 +tp16654 +a(g900 +g962 +tp16655 +a(g548 +V20 +p16656 +tp16657 +a(g900 +g962 +tp16658 +a(g548 +V20 +p16659 +tp16660 +a(g900 +g962 +tp16661 +a(g548 +V20 +p16662 +tp16663 +a(g900 +g962 +tp16664 +a(g548 +V20 +p16665 +tp16666 +a(g900 +g962 +tp16667 +a(g548 +V20 +p16668 +tp16669 +a(g900 +g962 +tp16670 +a(g548 +V20 +p16671 +tp16672 +a(g900 +V +p16673 +tp16674 +a(g341 +Vhis digit +p16675 +tp16676 +a(g900 +V\u000a +p16677 +tp16678 +a(g8 +V0000 +p16679 +tp16680 +a(g685 +g958 +tp16681 +a(g8 +V1060 +p16682 +tp16683 +a(g900 +g962 +tp16684 +a(g900 +g962 +tp16685 +a(g548 +V20 +p16686 +tp16687 +a(g900 +g962 +tp16688 +a(g548 +V20 +p16689 +tp16690 +a(g900 +g962 +tp16691 +a(g548 +V20 +p16692 +tp16693 +a(g900 +g962 +tp16694 +a(g548 +V20 +p16695 +tp16696 +a(g900 +g962 +tp16697 +a(g548 +V20 +p16698 +tp16699 +a(g900 +g962 +tp16700 +a(g548 +V20 +p16701 +tp16702 +a(g900 +g962 +tp16703 +a(g548 +V0A +p16704 +tp16705 +a(g900 +g962 +tp16706 +a(g548 +V20 +p16707 +tp16708 +a(g685 +g988 +tp16709 +a(g548 +V20 +p16710 +tp16711 +a(g900 +g962 +tp16712 +a(g548 +V20 +p16713 +tp16714 +a(g900 +g962 +tp16715 +a(g548 +V20 +p16716 +tp16717 +a(g900 +g962 +tp16718 +a(g548 +V20 +p16719 +tp16720 +a(g900 +g962 +tp16721 +a(g548 +V20 +p16722 +tp16723 +a(g900 +g962 +tp16724 +a(g548 +V20 +p16725 +tp16726 +a(g900 +g962 +tp16727 +a(g548 +V20 +p16728 +tp16729 +a(g900 +g962 +tp16730 +a(g548 +V7D +p16731 +tp16732 +a(g900 +V +p16733 +tp16734 +a(g341 +V \u25d9 } +p16735 +tp16736 +a(g900 +V\u000a +p16737 +tp16738 +a(g8 +V0000 +p16739 +tp16740 +a(g685 +g958 +tp16741 +a(g8 +V1070 +p16742 +tp16743 +a(g900 +g962 +tp16744 +a(g900 +g962 +tp16745 +a(g548 +V20 +p16746 +tp16747 +a(g900 +g962 +tp16748 +a(g548 +V20 +p16749 +tp16750 +a(g900 +g962 +tp16751 +a(g548 +V20 +p16752 +tp16753 +a(g900 +g962 +tp16754 +a(g548 +V20 +p16755 +tp16756 +a(g900 +g962 +tp16757 +a(g548 +V0A +p16758 +tp16759 +a(g900 +g962 +tp16760 +a(g548 +V20 +p16761 +tp16762 +a(g900 +g962 +tp16763 +a(g548 +V20 +p16764 +tp16765 +a(g900 +g962 +tp16766 +a(g548 +V20 +p16767 +tp16768 +a(g685 +g988 +tp16769 +a(g548 +V20 +p16770 +tp16771 +a(g900 +g962 +tp16772 +a(g548 +V20 +p16773 +tp16774 +a(g900 +g962 +tp16775 +a(g548 +V20 +p16776 +tp16777 +a(g900 +g962 +tp16778 +a(g548 +V20 +p16779 +tp16780 +a(g900 +g962 +tp16781 +a(g548 +V20 +p16782 +tp16783 +a(g900 +g962 +tp16784 +a(g548 +V72 +p16785 +tp16786 +a(g900 +g962 +tp16787 +a(g548 +V65 +p16788 +tp16789 +a(g900 +g962 +tp16790 +a(g548 +V74 +p16791 +tp16792 +a(g900 +V +p16793 +tp16794 +a(g341 +V \u25d9 ret +p16795 +tp16796 +a(g900 +V\u000a +p16797 +tp16798 +a(g8 +V0000 +p16799 +tp16800 +a(g685 +g958 +tp16801 +a(g8 +V1080 +p16802 +tp16803 +a(g900 +g962 +tp16804 +a(g900 +g962 +tp16805 +a(g548 +V75 +p16806 +tp16807 +a(g900 +g962 +tp16808 +a(g548 +V72 +p16809 +tp16810 +a(g900 +g962 +tp16811 +a(g548 +V6E +p16812 +tp16813 +a(g900 +g962 +tp16814 +a(g548 +V20 +p16815 +tp16816 +a(g900 +g962 +tp16817 +a(g548 +V72 +p16818 +tp16819 +a(g900 +g962 +tp16820 +a(g548 +V65 +p16821 +tp16822 +a(g900 +g962 +tp16823 +a(g548 +V74 +p16824 +tp16825 +a(g900 +g962 +tp16826 +a(g548 +V3B +p16827 +tp16828 +a(g685 +g988 +tp16829 +a(g548 +V0A +p16830 +tp16831 +a(g900 +g962 +tp16832 +a(g548 +V20 +p16833 +tp16834 +a(g900 +g962 +tp16835 +a(g548 +V20 +p16836 +tp16837 +a(g900 +g962 +tp16838 +a(g548 +V20 +p16839 +tp16840 +a(g900 +g962 +tp16841 +a(g548 +V20 +p16842 +tp16843 +a(g900 +g962 +tp16844 +a(g548 +V7D +p16845 +tp16846 +a(g900 +g962 +tp16847 +a(g548 +V0A +p16848 +tp16849 +a(g900 +g962 +tp16850 +a(g548 +V0A +p16851 +tp16852 +a(g900 +V +p16853 +tp16854 +a(g341 +Vurn ret;\u25d9 }\u25d9\u25d9 +p16855 +tp16856 +a(g900 +V\u000a +p16857 +tp16858 +a(g8 +V0000 +p16859 +tp16860 +a(g685 +g958 +tp16861 +a(g8 +V1090 +p16862 +tp16863 +a(g900 +g962 +tp16864 +a(g900 +g962 +tp16865 +a(g548 +V20 +p16866 +tp16867 +a(g900 +g962 +tp16868 +a(g548 +V20 +p16869 +tp16870 +a(g900 +g962 +tp16871 +a(g548 +V20 +p16872 +tp16873 +a(g900 +g962 +tp16874 +a(g548 +V20 +p16875 +tp16876 +a(g900 +g962 +tp16877 +a(g548 +V2F +p16878 +tp16879 +a(g900 +g962 +tp16880 +a(g548 +V2F +p16881 +tp16882 +a(g900 +g962 +tp16883 +a(g548 +V2F +p16884 +tp16885 +a(g900 +g962 +tp16886 +a(g548 +V20 +p16887 +tp16888 +a(g685 +g988 +tp16889 +a(g548 +V28 +p16890 +tp16891 +a(g900 +g962 +tp16892 +a(g548 +V54 +p16893 +tp16894 +a(g900 +g962 +tp16895 +a(g548 +V68 +p16896 +tp16897 +a(g900 +g962 +tp16898 +a(g548 +V69 +p16899 +tp16900 +a(g900 +g962 +tp16901 +a(g548 +V73 +p16902 +tp16903 +a(g900 +g962 +tp16904 +a(g548 +V20 +p16905 +tp16906 +a(g900 +g962 +tp16907 +a(g548 +V66 +p16908 +tp16909 +a(g900 +g962 +tp16910 +a(g548 +V75 +p16911 +tp16912 +a(g900 +V +p16913 +tp16914 +a(g341 +V /// (This fu +p16915 +tp16916 +a(g900 +V\u000a +p16917 +tp16918 +a(g8 +V0000 +p16919 +tp16920 +a(g685 +g958 +tp16921 +a(g8 +V10A0 +p16922 +tp16923 +a(g900 +g962 +tp16924 +a(g900 +g962 +tp16925 +a(g548 +V6E +p16926 +tp16927 +a(g900 +g962 +tp16928 +a(g548 +V63 +p16929 +tp16930 +a(g900 +g962 +tp16931 +a(g548 +V74 +p16932 +tp16933 +a(g900 +g962 +tp16934 +a(g548 +V69 +p16935 +tp16936 +a(g900 +g962 +tp16937 +a(g548 +V6F +p16938 +tp16939 +a(g900 +g962 +tp16940 +a(g548 +V6E +p16941 +tp16942 +a(g900 +g962 +tp16943 +a(g548 +V20 +p16944 +tp16945 +a(g900 +g962 +tp16946 +a(g548 +V77 +p16947 +tp16948 +a(g685 +g988 +tp16949 +a(g548 +V61 +p16950 +tp16951 +a(g900 +g962 +tp16952 +a(g548 +V73 +p16953 +tp16954 +a(g900 +g962 +tp16955 +a(g548 +V20 +p16956 +tp16957 +a(g900 +g962 +tp16958 +a(g548 +V69 +p16959 +tp16960 +a(g900 +g962 +tp16961 +a(g548 +V6E +p16962 +tp16963 +a(g900 +g962 +tp16964 +a(g548 +V6C +p16965 +tp16966 +a(g900 +g962 +tp16967 +a(g548 +V69 +p16968 +tp16969 +a(g900 +g962 +tp16970 +a(g548 +V6E +p16971 +tp16972 +a(g900 +V +p16973 +tp16974 +a(g341 +Vnction was inlin +p16975 +tp16976 +a(g900 +V\u000a +p16977 +tp16978 +a(g8 +V0000 +p16979 +tp16980 +a(g685 +g958 +tp16981 +a(g8 +V10B0 +p16982 +tp16983 +a(g900 +g962 +tp16984 +a(g900 +g962 +tp16985 +a(g548 +V65 +p16986 +tp16987 +a(g900 +g962 +tp16988 +a(g548 +V64 +p16989 +tp16990 +a(g900 +g962 +tp16991 +a(g548 +V20 +p16992 +tp16993 +a(g900 +g962 +tp16994 +a(g548 +V69 +p16995 +tp16996 +a(g900 +g962 +tp16997 +a(g548 +V6E +p16998 +tp16999 +a(g900 +g962 +tp17000 +a(g548 +V20 +p17001 +tp17002 +a(g900 +g962 +tp17003 +a(g548 +V74 +p17004 +tp17005 +a(g900 +g962 +tp17006 +a(g548 +V68 +p17007 +tp17008 +a(g685 +g988 +tp17009 +a(g548 +V65 +p17010 +tp17011 +a(g900 +g962 +tp17012 +a(g548 +V20 +p17013 +tp17014 +a(g900 +g962 +tp17015 +a(g548 +V6F +p17016 +tp17017 +a(g900 +g962 +tp17018 +a(g548 +V72 +p17019 +tp17020 +a(g900 +g962 +tp17021 +a(g548 +V69 +p17022 +tp17023 +a(g900 +g962 +tp17024 +a(g548 +V67 +p17025 +tp17026 +a(g900 +g962 +tp17027 +a(g548 +V69 +p17028 +tp17029 +a(g900 +g962 +tp17030 +a(g548 +V6E +p17031 +tp17032 +a(g900 +V +p17033 +tp17034 +a(g341 +Ved in the origin +p17035 +tp17036 +a(g900 +V\u000a +p17037 +tp17038 +a(g8 +V0000 +p17039 +tp17040 +a(g685 +g958 +tp17041 +a(g8 +V10C0 +p17042 +tp17043 +a(g900 +g962 +tp17044 +a(g900 +g962 +tp17045 +a(g548 +V61 +p17046 +tp17047 +a(g900 +g962 +tp17048 +a(g548 +V6C +p17049 +tp17050 +a(g900 +g962 +tp17051 +a(g548 +V20 +p17052 +tp17053 +a(g900 +g962 +tp17054 +a(g548 +V70 +p17055 +tp17056 +a(g900 +g962 +tp17057 +a(g548 +V72 +p17058 +tp17059 +a(g900 +g962 +tp17060 +a(g548 +V6F +p17061 +tp17062 +a(g900 +g962 +tp17063 +a(g548 +V67 +p17064 +tp17065 +a(g900 +g962 +tp17066 +a(g548 +V72 +p17067 +tp17068 +a(g685 +g988 +tp17069 +a(g548 +V61 +p17070 +tp17071 +a(g900 +g962 +tp17072 +a(g548 +V6D +p17073 +tp17074 +a(g900 +g962 +tp17075 +a(g548 +V29 +p17076 +tp17077 +a(g900 +g962 +tp17078 +a(g548 +V20 +p17079 +tp17080 +a(g900 +g962 +tp17081 +a(g548 +V0A +p17082 +tp17083 +a(g900 +g962 +tp17084 +a(g548 +V20 +p17085 +tp17086 +a(g900 +g962 +tp17087 +a(g548 +V20 +p17088 +tp17089 +a(g900 +g962 +tp17090 +a(g548 +V20 +p17091 +tp17092 +a(g900 +V +p17093 +tp17094 +a(g341 +Val program) \u25d9 +p17095 +tp17096 +a(g900 +V\u000a +p17097 +tp17098 +a(g8 +V0000 +p17099 +tp17100 +a(g685 +g958 +tp17101 +a(g8 +V10D0 +p17102 +tp17103 +a(g900 +g962 +tp17104 +a(g900 +g962 +tp17105 +a(g548 +V20 +p17106 +tp17107 +a(g900 +g962 +tp17108 +a(g548 +V2F +p17109 +tp17110 +a(g900 +g962 +tp17111 +a(g548 +V2F +p17112 +tp17113 +a(g900 +g962 +tp17114 +a(g548 +V2F +p17115 +tp17116 +a(g900 +g962 +tp17117 +a(g548 +V20 +p17118 +tp17119 +a(g900 +g962 +tp17120 +a(g548 +V46 +p17121 +tp17122 +a(g900 +g962 +tp17123 +a(g548 +V69 +p17124 +tp17125 +a(g900 +g962 +tp17126 +a(g548 +V6E +p17127 +tp17128 +a(g685 +g988 +tp17129 +a(g548 +V64 +p17130 +tp17131 +a(g900 +g962 +tp17132 +a(g548 +V73 +p17133 +tp17134 +a(g900 +g962 +tp17135 +a(g548 +V20 +p17136 +tp17137 +a(g900 +g962 +tp17138 +a(g548 +V61 +p17139 +tp17140 +a(g900 +g962 +tp17141 +a(g548 +V6C +p17142 +tp17143 +a(g900 +g962 +tp17144 +a(g548 +V6C +p17145 +tp17146 +a(g900 +g962 +tp17147 +a(g548 +V20 +p17148 +tp17149 +a(g900 +g962 +tp17150 +a(g548 +V61 +p17151 +tp17152 +a(g900 +V +p17153 +tp17154 +a(g341 +V /// Finds all a +p17155 +tp17156 +a(g900 +V\u000a +p17157 +tp17158 +a(g8 +V0000 +p17159 +tp17160 +a(g685 +g958 +tp17161 +a(g8 +V10E0 +p17162 +tp17163 +a(g900 +g962 +tp17164 +a(g900 +g962 +tp17165 +a(g548 +V6C +p17166 +tp17167 +a(g900 +g962 +tp17168 +a(g548 +V74 +p17169 +tp17170 +a(g900 +g962 +tp17171 +a(g548 +V65 +p17172 +tp17173 +a(g900 +g962 +tp17174 +a(g548 +V72 +p17175 +tp17176 +a(g900 +g962 +tp17177 +a(g548 +V6E +p17178 +tp17179 +a(g900 +g962 +tp17180 +a(g548 +V61 +p17181 +tp17182 +a(g900 +g962 +tp17183 +a(g548 +V74 +p17184 +tp17185 +a(g900 +g962 +tp17186 +a(g548 +V69 +p17187 +tp17188 +a(g685 +g988 +tp17189 +a(g548 +V76 +p17190 +tp17191 +a(g900 +g962 +tp17192 +a(g548 +V65 +p17193 +tp17194 +a(g900 +g962 +tp17195 +a(g548 +V73 +p17196 +tp17197 +a(g900 +g962 +tp17198 +a(g548 +V20 +p17199 +tp17200 +a(g900 +g962 +tp17201 +a(g548 +V66 +p17202 +tp17203 +a(g900 +g962 +tp17204 +a(g548 +V6F +p17205 +tp17206 +a(g900 +g962 +tp17207 +a(g548 +V72 +p17208 +tp17209 +a(g900 +g962 +tp17210 +a(g548 +V20 +p17211 +tp17212 +a(g900 +V +p17213 +tp17214 +a(g341 +Vlternatives for +p17215 +tp17216 +a(g900 +V\u000a +p17217 +tp17218 +a(g8 +V0000 +p17219 +tp17220 +a(g685 +g958 +tp17221 +a(g8 +V10F0 +p17222 +tp17223 +a(g900 +g962 +tp17224 +a(g900 +g962 +tp17225 +a(g548 +V74 +p17226 +tp17227 +a(g900 +g962 +tp17228 +a(g548 +V68 +p17229 +tp17230 +a(g900 +g962 +tp17231 +a(g548 +V65 +p17232 +tp17233 +a(g900 +g962 +tp17234 +a(g548 +V20 +p17235 +tp17236 +a(g900 +g962 +tp17237 +a(g548 +V67 +p17238 +tp17239 +a(g900 +g962 +tp17240 +a(g548 +V69 +p17241 +tp17242 +a(g900 +g962 +tp17243 +a(g548 +V76 +p17244 +tp17245 +a(g900 +g962 +tp17246 +a(g548 +V65 +p17247 +tp17248 +a(g685 +g988 +tp17249 +a(g548 +V6E +p17250 +tp17251 +a(g900 +g962 +tp17252 +a(g548 +V20 +p17253 +tp17254 +a(g900 +g962 +tp17255 +a(g548 +V70 +p17256 +tp17257 +a(g900 +g962 +tp17258 +a(g548 +V68 +p17259 +tp17260 +a(g900 +g962 +tp17261 +a(g548 +V6F +p17262 +tp17263 +a(g900 +g962 +tp17264 +a(g548 +V6E +p17265 +tp17266 +a(g900 +g962 +tp17267 +a(g548 +V65 +p17268 +tp17269 +a(g900 +g962 +tp17270 +a(g548 +V20 +p17271 +tp17272 +a(g900 +V +p17273 +tp17274 +a(g341 +Vthe given phone +p17275 +tp17276 +a(g900 +V\u000a +p17277 +tp17278 +a(g8 +V0000 +p17279 +tp17280 +a(g685 +g958 +tp17281 +a(g8 +V1100 +p17282 +tp17283 +a(g900 +g962 +tp17284 +a(g900 +g962 +tp17285 +a(g548 +V6E +p17286 +tp17287 +a(g900 +g962 +tp17288 +a(g548 +V75 +p17289 +tp17290 +a(g900 +g962 +tp17291 +a(g548 +V6D +p17292 +tp17293 +a(g900 +g962 +tp17294 +a(g548 +V62 +p17295 +tp17296 +a(g900 +g962 +tp17297 +a(g548 +V65 +p17298 +tp17299 +a(g900 +g962 +tp17300 +a(g548 +V72 +p17301 +tp17302 +a(g900 +g962 +tp17303 +a(g548 +V20 +p17304 +tp17305 +a(g900 +g962 +tp17306 +a(g548 +V0A +p17307 +tp17308 +a(g685 +g988 +tp17309 +a(g548 +V20 +p17310 +tp17311 +a(g900 +g962 +tp17312 +a(g548 +V20 +p17313 +tp17314 +a(g900 +g962 +tp17315 +a(g548 +V20 +p17316 +tp17317 +a(g900 +g962 +tp17318 +a(g548 +V20 +p17319 +tp17320 +a(g900 +g962 +tp17321 +a(g548 +V2F +p17322 +tp17323 +a(g900 +g962 +tp17324 +a(g548 +V2F +p17325 +tp17326 +a(g900 +g962 +tp17327 +a(g548 +V2F +p17328 +tp17329 +a(g900 +g962 +tp17330 +a(g548 +V20 +p17331 +tp17332 +a(g900 +V +p17333 +tp17334 +a(g341 +Vnumber \u25d9 /// +p17335 +tp17336 +a(g900 +V\u000a +p17337 +tp17338 +a(g8 +V0000 +p17339 +tp17340 +a(g685 +g958 +tp17341 +a(g8 +V1110 +p17342 +tp17343 +a(g900 +g962 +tp17344 +a(g900 +g962 +tp17345 +a(g548 +V52 +p17346 +tp17347 +a(g900 +g962 +tp17348 +a(g548 +V65 +p17349 +tp17350 +a(g900 +g962 +tp17351 +a(g548 +V74 +p17352 +tp17353 +a(g900 +g962 +tp17354 +a(g548 +V75 +p17355 +tp17356 +a(g900 +g962 +tp17357 +a(g548 +V72 +p17358 +tp17359 +a(g900 +g962 +tp17360 +a(g548 +V6E +p17361 +tp17362 +a(g900 +g962 +tp17363 +a(g548 +V73 +p17364 +tp17365 +a(g900 +g962 +tp17366 +a(g548 +V3A +p17367 +tp17368 +a(g685 +g988 +tp17369 +a(g548 +V20 +p17370 +tp17371 +a(g900 +g962 +tp17372 +a(g548 +V61 +p17373 +tp17374 +a(g900 +g962 +tp17375 +a(g548 +V72 +p17376 +tp17377 +a(g900 +g962 +tp17378 +a(g548 +V72 +p17379 +tp17380 +a(g900 +g962 +tp17381 +a(g548 +V61 +p17382 +tp17383 +a(g900 +g962 +tp17384 +a(g548 +V79 +p17385 +tp17386 +a(g900 +g962 +tp17387 +a(g548 +V20 +p17388 +tp17389 +a(g900 +g962 +tp17390 +a(g548 +V6F +p17391 +tp17392 +a(g900 +V +p17393 +tp17394 +a(g341 +VReturns: array o +p17395 +tp17396 +a(g900 +V\u000a +p17397 +tp17398 +a(g8 +V0000 +p17399 +tp17400 +a(g685 +g958 +tp17401 +a(g8 +V1120 +p17402 +tp17403 +a(g900 +g962 +tp17404 +a(g900 +g962 +tp17405 +a(g548 +V66 +p17406 +tp17407 +a(g900 +g962 +tp17408 +a(g548 +V20 +p17409 +tp17410 +a(g900 +g962 +tp17411 +a(g548 +V73 +p17412 +tp17413 +a(g900 +g962 +tp17414 +a(g548 +V74 +p17415 +tp17416 +a(g900 +g962 +tp17417 +a(g548 +V72 +p17418 +tp17419 +a(g900 +g962 +tp17420 +a(g548 +V69 +p17421 +tp17422 +a(g900 +g962 +tp17423 +a(g548 +V6E +p17424 +tp17425 +a(g900 +g962 +tp17426 +a(g548 +V67 +p17427 +tp17428 +a(g685 +g988 +tp17429 +a(g548 +V73 +p17430 +tp17431 +a(g900 +g962 +tp17432 +a(g548 +V20 +p17433 +tp17434 +a(g900 +g962 +tp17435 +a(g548 +V0A +p17436 +tp17437 +a(g900 +g962 +tp17438 +a(g548 +V20 +p17439 +tp17440 +a(g900 +g962 +tp17441 +a(g548 +V20 +p17442 +tp17443 +a(g900 +g962 +tp17444 +a(g548 +V20 +p17445 +tp17446 +a(g900 +g962 +tp17447 +a(g548 +V20 +p17448 +tp17449 +a(g900 +g962 +tp17450 +a(g548 +V73 +p17451 +tp17452 +a(g900 +V +p17453 +tp17454 +a(g341 +Vf strings \u25d9 s +p17455 +tp17456 +a(g900 +V\u000a +p17457 +tp17458 +a(g8 +V0000 +p17459 +tp17460 +a(g685 +g958 +tp17461 +a(g8 +V1130 +p17462 +tp17463 +a(g900 +g962 +tp17464 +a(g900 +g962 +tp17465 +a(g548 +V74 +p17466 +tp17467 +a(g900 +g962 +tp17468 +a(g548 +V72 +p17469 +tp17470 +a(g900 +g962 +tp17471 +a(g548 +V69 +p17472 +tp17473 +a(g900 +g962 +tp17474 +a(g548 +V6E +p17475 +tp17476 +a(g900 +g962 +tp17477 +a(g548 +V67 +p17478 +tp17479 +a(g900 +g962 +tp17480 +a(g548 +V61 +p17481 +tp17482 +a(g900 +g962 +tp17483 +a(g548 +V72 +p17484 +tp17485 +a(g900 +g962 +tp17486 +a(g548 +V72 +p17487 +tp17488 +a(g685 +g988 +tp17489 +a(g548 +V61 +p17490 +tp17491 +a(g900 +g962 +tp17492 +a(g548 +V79 +p17493 +tp17494 +a(g900 +g962 +tp17495 +a(g548 +V20 +p17496 +tp17497 +a(g900 +g962 +tp17498 +a(g548 +V46 +p17499 +tp17500 +a(g900 +g962 +tp17501 +a(g548 +V69 +p17502 +tp17503 +a(g900 +g962 +tp17504 +a(g548 +V6E +p17505 +tp17506 +a(g900 +g962 +tp17507 +a(g548 +V64 +p17508 +tp17509 +a(g900 +g962 +tp17510 +a(g548 +V57 +p17511 +tp17512 +a(g900 +V +p17513 +tp17514 +a(g341 +Vtringarray FindW +p17515 +tp17516 +a(g900 +V\u000a +p17517 +tp17518 +a(g8 +V0000 +p17519 +tp17520 +a(g685 +g958 +tp17521 +a(g8 +V1140 +p17522 +tp17523 +a(g900 +g962 +tp17524 +a(g900 +g962 +tp17525 +a(g548 +V6F +p17526 +tp17527 +a(g900 +g962 +tp17528 +a(g548 +V72 +p17529 +tp17530 +a(g900 +g962 +tp17531 +a(g548 +V64 +p17532 +tp17533 +a(g900 +g962 +tp17534 +a(g548 +V73 +p17535 +tp17536 +a(g900 +g962 +tp17537 +a(g548 +V28 +p17538 +tp17539 +a(g900 +g962 +tp17540 +a(g548 +V20 +p17541 +tp17542 +a(g900 +g962 +tp17543 +a(g548 +V73 +p17544 +tp17545 +a(g900 +g962 +tp17546 +a(g548 +V74 +p17547 +tp17548 +a(g685 +g988 +tp17549 +a(g548 +V72 +p17550 +tp17551 +a(g900 +g962 +tp17552 +a(g548 +V69 +p17553 +tp17554 +a(g900 +g962 +tp17555 +a(g548 +V6E +p17556 +tp17557 +a(g900 +g962 +tp17558 +a(g548 +V67 +p17559 +tp17560 +a(g900 +g962 +tp17561 +a(g548 +V20 +p17562 +tp17563 +a(g900 +g962 +tp17564 +a(g548 +V70 +p17565 +tp17566 +a(g900 +g962 +tp17567 +a(g548 +V68 +p17568 +tp17569 +a(g900 +g962 +tp17570 +a(g548 +V6F +p17571 +tp17572 +a(g900 +V +p17573 +tp17574 +a(g341 +Vords( string pho +p17575 +tp17576 +a(g900 +V\u000a +p17577 +tp17578 +a(g8 +V0000 +p17579 +tp17580 +a(g685 +g958 +tp17581 +a(g8 +V1150 +p17582 +tp17583 +a(g900 +g962 +tp17584 +a(g900 +g962 +tp17585 +a(g548 +V6E +p17586 +tp17587 +a(g900 +g962 +tp17588 +a(g548 +V65 +p17589 +tp17590 +a(g900 +g962 +tp17591 +a(g548 +V5F +p17592 +tp17593 +a(g900 +g962 +tp17594 +a(g548 +V6E +p17595 +tp17596 +a(g900 +g962 +tp17597 +a(g548 +V75 +p17598 +tp17599 +a(g900 +g962 +tp17600 +a(g548 +V6D +p17601 +tp17602 +a(g900 +g962 +tp17603 +a(g548 +V62 +p17604 +tp17605 +a(g900 +g962 +tp17606 +a(g548 +V65 +p17607 +tp17608 +a(g685 +g988 +tp17609 +a(g548 +V72 +p17610 +tp17611 +a(g900 +g962 +tp17612 +a(g548 +V20 +p17613 +tp17614 +a(g900 +g962 +tp17615 +a(g548 +V29 +p17616 +tp17617 +a(g900 +g962 +tp17618 +a(g548 +V0A +p17619 +tp17620 +a(g900 +g962 +tp17621 +a(g548 +V20 +p17622 +tp17623 +a(g900 +g962 +tp17624 +a(g548 +V20 +p17625 +tp17626 +a(g900 +g962 +tp17627 +a(g548 +V20 +p17628 +tp17629 +a(g900 +g962 +tp17630 +a(g548 +V20 +p17631 +tp17632 +a(g900 +V +p17633 +tp17634 +a(g341 +Vne_number )\u25d9 +p17635 +tp17636 +a(g900 +V\u000a +p17637 +tp17638 +a(g8 +V0000 +p17639 +tp17640 +a(g685 +g958 +tp17641 +a(g8 +V1160 +p17642 +tp17643 +a(g900 +g962 +tp17644 +a(g900 +g962 +tp17645 +a(g548 +V7B +p17646 +tp17647 +a(g900 +g962 +tp17648 +a(g548 +V0A +p17649 +tp17650 +a(g900 +g962 +tp17651 +a(g548 +V20 +p17652 +tp17653 +a(g900 +g962 +tp17654 +a(g548 +V20 +p17655 +tp17656 +a(g900 +g962 +tp17657 +a(g548 +V20 +p17658 +tp17659 +a(g900 +g962 +tp17660 +a(g548 +V20 +p17661 +tp17662 +a(g900 +g962 +tp17663 +a(g548 +V20 +p17664 +tp17665 +a(g900 +g962 +tp17666 +a(g548 +V20 +p17667 +tp17668 +a(g685 +g988 +tp17669 +a(g548 +V20 +p17670 +tp17671 +a(g900 +g962 +tp17672 +a(g548 +V20 +p17673 +tp17674 +a(g900 +g962 +tp17675 +a(g548 +V69 +p17676 +tp17677 +a(g900 +g962 +tp17678 +a(g548 +V66 +p17679 +tp17680 +a(g900 +g962 +tp17681 +a(g548 +V20 +p17682 +tp17683 +a(g900 +g962 +tp17684 +a(g548 +V28 +p17685 +tp17686 +a(g900 +g962 +tp17687 +a(g548 +V21 +p17688 +tp17689 +a(g900 +g962 +tp17690 +a(g548 +V70 +p17691 +tp17692 +a(g900 +V +p17693 +tp17694 +a(g341 +V{\u25d9 if (!p +p17695 +tp17696 +a(g900 +V\u000a +p17697 +tp17698 +a(g8 +V0000 +p17699 +tp17700 +a(g685 +g958 +tp17701 +a(g8 +V1170 +p17702 +tp17703 +a(g900 +g962 +tp17704 +a(g900 +g962 +tp17705 +a(g548 +V68 +p17706 +tp17707 +a(g900 +g962 +tp17708 +a(g548 +V6F +p17709 +tp17710 +a(g900 +g962 +tp17711 +a(g548 +V6E +p17712 +tp17713 +a(g900 +g962 +tp17714 +a(g548 +V65 +p17715 +tp17716 +a(g900 +g962 +tp17717 +a(g548 +V5F +p17718 +tp17719 +a(g900 +g962 +tp17720 +a(g548 +V6E +p17721 +tp17722 +a(g900 +g962 +tp17723 +a(g548 +V75 +p17724 +tp17725 +a(g900 +g962 +tp17726 +a(g548 +V6D +p17727 +tp17728 +a(g685 +g988 +tp17729 +a(g548 +V62 +p17730 +tp17731 +a(g900 +g962 +tp17732 +a(g548 +V65 +p17733 +tp17734 +a(g900 +g962 +tp17735 +a(g548 +V72 +p17736 +tp17737 +a(g900 +g962 +tp17738 +a(g548 +V2E +p17739 +tp17740 +a(g900 +g962 +tp17741 +a(g548 +V6C +p17742 +tp17743 +a(g900 +g962 +tp17744 +a(g548 +V65 +p17745 +tp17746 +a(g900 +g962 +tp17747 +a(g548 +V6E +p17748 +tp17749 +a(g900 +g962 +tp17750 +a(g548 +V67 +p17751 +tp17752 +a(g900 +V +p17753 +tp17754 +a(g341 +Vhone_number.leng +p17755 +tp17756 +a(g900 +V\u000a +p17757 +tp17758 +a(g8 +V0000 +p17759 +tp17760 +a(g685 +g958 +tp17761 +a(g8 +V1180 +p17762 +tp17763 +a(g900 +g962 +tp17764 +a(g900 +g962 +tp17765 +a(g548 +V74 +p17766 +tp17767 +a(g900 +g962 +tp17768 +a(g548 +V68 +p17769 +tp17770 +a(g900 +g962 +tp17771 +a(g548 +V29 +p17772 +tp17773 +a(g900 +g962 +tp17774 +a(g548 +V0A +p17775 +tp17776 +a(g900 +g962 +tp17777 +a(g548 +V20 +p17778 +tp17779 +a(g900 +g962 +tp17780 +a(g548 +V20 +p17781 +tp17782 +a(g900 +g962 +tp17783 +a(g548 +V20 +p17784 +tp17785 +a(g900 +g962 +tp17786 +a(g548 +V20 +p17787 +tp17788 +a(g685 +g988 +tp17789 +a(g548 +V20 +p17790 +tp17791 +a(g900 +g962 +tp17792 +a(g548 +V20 +p17793 +tp17794 +a(g900 +g962 +tp17795 +a(g548 +V20 +p17796 +tp17797 +a(g900 +g962 +tp17798 +a(g548 +V20 +p17799 +tp17800 +a(g900 +g962 +tp17801 +a(g548 +V20 +p17802 +tp17803 +a(g900 +g962 +tp17804 +a(g548 +V20 +p17805 +tp17806 +a(g900 +g962 +tp17807 +a(g548 +V20 +p17808 +tp17809 +a(g900 +g962 +tp17810 +a(g548 +V20 +p17811 +tp17812 +a(g900 +V +p17813 +tp17814 +a(g341 +Vth)\u25d9 +p17815 +tp17816 +a(g900 +V\u000a +p17817 +tp17818 +a(g8 +V0000 +p17819 +tp17820 +a(g685 +g958 +tp17821 +a(g8 +V1190 +p17822 +tp17823 +a(g900 +g962 +tp17824 +a(g900 +g962 +tp17825 +a(g548 +V72 +p17826 +tp17827 +a(g900 +g962 +tp17828 +a(g548 +V65 +p17829 +tp17830 +a(g900 +g962 +tp17831 +a(g548 +V74 +p17832 +tp17833 +a(g900 +g962 +tp17834 +a(g548 +V75 +p17835 +tp17836 +a(g900 +g962 +tp17837 +a(g548 +V72 +p17838 +tp17839 +a(g900 +g962 +tp17840 +a(g548 +V6E +p17841 +tp17842 +a(g900 +g962 +tp17843 +a(g548 +V20 +p17844 +tp17845 +a(g900 +g962 +tp17846 +a(g548 +V6E +p17847 +tp17848 +a(g685 +g988 +tp17849 +a(g548 +V75 +p17850 +tp17851 +a(g900 +g962 +tp17852 +a(g548 +V6C +p17853 +tp17854 +a(g900 +g962 +tp17855 +a(g548 +V6C +p17856 +tp17857 +a(g900 +g962 +tp17858 +a(g548 +V3B +p17859 +tp17860 +a(g900 +g962 +tp17861 +a(g548 +V0A +p17862 +tp17863 +a(g900 +g962 +tp17864 +a(g548 +V20 +p17865 +tp17866 +a(g900 +g962 +tp17867 +a(g548 +V20 +p17868 +tp17869 +a(g900 +g962 +tp17870 +a(g548 +V20 +p17871 +tp17872 +a(g900 +V +p17873 +tp17874 +a(g341 +Vreturn null;\u25d9 +p17875 +tp17876 +a(g900 +V\u000a +p17877 +tp17878 +a(g8 +V0000 +p17879 +tp17880 +a(g685 +g958 +tp17881 +a(g8 +V11A0 +p17882 +tp17883 +a(g900 +g962 +tp17884 +a(g900 +g962 +tp17885 +a(g548 +V20 +p17886 +tp17887 +a(g900 +g962 +tp17888 +a(g548 +V20 +p17889 +tp17890 +a(g900 +g962 +tp17891 +a(g548 +V20 +p17892 +tp17893 +a(g900 +g962 +tp17894 +a(g548 +V20 +p17895 +tp17896 +a(g900 +g962 +tp17897 +a(g548 +V20 +p17898 +tp17899 +a(g900 +g962 +tp17900 +a(g548 +V2F +p17901 +tp17902 +a(g900 +g962 +tp17903 +a(g548 +V2F +p17904 +tp17905 +a(g900 +g962 +tp17906 +a(g548 +V20 +p17907 +tp17908 +a(g685 +g988 +tp17909 +a(g548 +V53 +p17910 +tp17911 +a(g900 +g962 +tp17912 +a(g548 +V74 +p17913 +tp17914 +a(g900 +g962 +tp17915 +a(g548 +V72 +p17916 +tp17917 +a(g900 +g962 +tp17918 +a(g548 +V69 +p17919 +tp17920 +a(g900 +g962 +tp17921 +a(g548 +V70 +p17922 +tp17923 +a(g900 +g962 +tp17924 +a(g548 +V20 +p17925 +tp17926 +a(g900 +g962 +tp17927 +a(g548 +V74 +p17928 +tp17929 +a(g900 +g962 +tp17930 +a(g548 +V68 +p17931 +tp17932 +a(g900 +V +p17933 +tp17934 +a(g341 +V // Strip th +p17935 +tp17936 +a(g900 +V\u000a +p17937 +tp17938 +a(g8 +V0000 +p17939 +tp17940 +a(g685 +g958 +tp17941 +a(g8 +V11B0 +p17942 +tp17943 +a(g900 +g962 +tp17944 +a(g900 +g962 +tp17945 +a(g548 +V65 +p17946 +tp17947 +a(g900 +g962 +tp17948 +a(g548 +V20 +p17949 +tp17950 +a(g900 +g962 +tp17951 +a(g548 +V6E +p17952 +tp17953 +a(g900 +g962 +tp17954 +a(g548 +V6F +p17955 +tp17956 +a(g900 +g962 +tp17957 +a(g548 +V6E +p17958 +tp17959 +a(g900 +g962 +tp17960 +a(g548 +V2D +p17961 +tp17962 +a(g900 +g962 +tp17963 +a(g548 +V64 +p17964 +tp17965 +a(g900 +g962 +tp17966 +a(g548 +V69 +p17967 +tp17968 +a(g685 +g988 +tp17969 +a(g548 +V67 +p17970 +tp17971 +a(g900 +g962 +tp17972 +a(g548 +V69 +p17973 +tp17974 +a(g900 +g962 +tp17975 +a(g548 +V74 +p17976 +tp17977 +a(g900 +g962 +tp17978 +a(g548 +V20 +p17979 +tp17980 +a(g900 +g962 +tp17981 +a(g548 +V63 +p17982 +tp17983 +a(g900 +g962 +tp17984 +a(g548 +V68 +p17985 +tp17986 +a(g900 +g962 +tp17987 +a(g548 +V61 +p17988 +tp17989 +a(g900 +g962 +tp17990 +a(g548 +V72 +p17991 +tp17992 +a(g900 +V +p17993 +tp17994 +a(g341 +Ve non-digit char +p17995 +tp17996 +a(g900 +V\u000a +p17997 +tp17998 +a(g8 +V0000 +p17999 +tp18000 +a(g685 +g958 +tp18001 +a(g8 +V11C0 +p18002 +tp18003 +a(g900 +g962 +tp18004 +a(g900 +g962 +tp18005 +a(g548 +V61 +p18006 +tp18007 +a(g900 +g962 +tp18008 +a(g548 +V63 +p18009 +tp18010 +a(g900 +g962 +tp18011 +a(g548 +V74 +p18012 +tp18013 +a(g900 +g962 +tp18014 +a(g548 +V65 +p18015 +tp18016 +a(g900 +g962 +tp18017 +a(g548 +V72 +p18018 +tp18019 +a(g900 +g962 +tp18020 +a(g548 +V73 +p18021 +tp18022 +a(g900 +g962 +tp18023 +a(g548 +V20 +p18024 +tp18025 +a(g900 +g962 +tp18026 +a(g548 +V66 +p18027 +tp18028 +a(g685 +g988 +tp18029 +a(g548 +V72 +p18030 +tp18031 +a(g900 +g962 +tp18032 +a(g548 +V6F +p18033 +tp18034 +a(g900 +g962 +tp18035 +a(g548 +V6D +p18036 +tp18037 +a(g900 +g962 +tp18038 +a(g548 +V20 +p18039 +tp18040 +a(g900 +g962 +tp18041 +a(g548 +V74 +p18042 +tp18043 +a(g900 +g962 +tp18044 +a(g548 +V68 +p18045 +tp18046 +a(g900 +g962 +tp18047 +a(g548 +V65 +p18048 +tp18049 +a(g900 +g962 +tp18050 +a(g548 +V20 +p18051 +tp18052 +a(g900 +V +p18053 +tp18054 +a(g341 +Vacters from the +p18055 +tp18056 +a(g900 +V\u000a +p18057 +tp18058 +a(g8 +V0000 +p18059 +tp18060 +a(g685 +g958 +tp18061 +a(g8 +V11D0 +p18062 +tp18063 +a(g900 +g962 +tp18064 +a(g900 +g962 +tp18065 +a(g548 +V70 +p18066 +tp18067 +a(g900 +g962 +tp18068 +a(g548 +V68 +p18069 +tp18070 +a(g900 +g962 +tp18071 +a(g548 +V6F +p18072 +tp18073 +a(g900 +g962 +tp18074 +a(g548 +V6E +p18075 +tp18076 +a(g900 +g962 +tp18077 +a(g548 +V65 +p18078 +tp18079 +a(g900 +g962 +tp18080 +a(g548 +V20 +p18081 +tp18082 +a(g900 +g962 +tp18083 +a(g548 +V6E +p18084 +tp18085 +a(g900 +g962 +tp18086 +a(g548 +V75 +p18087 +tp18088 +a(g685 +g988 +tp18089 +a(g548 +V6D +p18090 +tp18091 +a(g900 +g962 +tp18092 +a(g548 +V62 +p18093 +tp18094 +a(g900 +g962 +tp18095 +a(g548 +V65 +p18096 +tp18097 +a(g900 +g962 +tp18098 +a(g548 +V72 +p18099 +tp18100 +a(g900 +g962 +tp18101 +a(g548 +V2C +p18102 +tp18103 +a(g900 +g962 +tp18104 +a(g548 +V20 +p18105 +tp18106 +a(g900 +g962 +tp18107 +a(g548 +V61 +p18108 +tp18109 +a(g900 +g962 +tp18110 +a(g548 +V6E +p18111 +tp18112 +a(g900 +V +p18113 +tp18114 +a(g341 +Vphone number, an +p18115 +tp18116 +a(g900 +V\u000a +p18117 +tp18118 +a(g8 +V0000 +p18119 +tp18120 +a(g685 +g958 +tp18121 +a(g8 +V11E0 +p18122 +tp18123 +a(g900 +g962 +tp18124 +a(g900 +g962 +tp18125 +a(g548 +V64 +p18126 +tp18127 +a(g900 +g962 +tp18128 +a(g548 +V0A +p18129 +tp18130 +a(g900 +g962 +tp18131 +a(g548 +V20 +p18132 +tp18133 +a(g900 +g962 +tp18134 +a(g548 +V20 +p18135 +tp18136 +a(g900 +g962 +tp18137 +a(g548 +V20 +p18138 +tp18139 +a(g900 +g962 +tp18140 +a(g548 +V20 +p18141 +tp18142 +a(g900 +g962 +tp18143 +a(g548 +V20 +p18144 +tp18145 +a(g900 +g962 +tp18146 +a(g548 +V20 +p18147 +tp18148 +a(g685 +g988 +tp18149 +a(g548 +V20 +p18150 +tp18151 +a(g900 +g962 +tp18152 +a(g548 +V20 +p18153 +tp18154 +a(g900 +g962 +tp18155 +a(g548 +V2F +p18156 +tp18157 +a(g900 +g962 +tp18158 +a(g548 +V2F +p18159 +tp18160 +a(g900 +g962 +tp18161 +a(g548 +V20 +p18162 +tp18163 +a(g900 +g962 +tp18164 +a(g548 +V70 +p18165 +tp18166 +a(g900 +g962 +tp18167 +a(g548 +V61 +p18168 +tp18169 +a(g900 +g962 +tp18170 +a(g548 +V73 +p18171 +tp18172 +a(g900 +V +p18173 +tp18174 +a(g341 +Vd\u25d9 // pas +p18175 +tp18176 +a(g900 +V\u000a +p18177 +tp18178 +a(g8 +V0000 +p18179 +tp18180 +a(g685 +g958 +tp18181 +a(g8 +V11F0 +p18182 +tp18183 +a(g900 +g962 +tp18184 +a(g900 +g962 +tp18185 +a(g548 +V73 +p18186 +tp18187 +a(g900 +g962 +tp18188 +a(g548 +V20 +p18189 +tp18190 +a(g900 +g962 +tp18191 +a(g548 +V69 +p18192 +tp18193 +a(g900 +g962 +tp18194 +a(g548 +V74 +p18195 +tp18196 +a(g900 +g962 +tp18197 +a(g548 +V20 +p18198 +tp18199 +a(g900 +g962 +tp18200 +a(g548 +V74 +p18201 +tp18202 +a(g900 +g962 +tp18203 +a(g548 +V6F +p18204 +tp18205 +a(g900 +g962 +tp18206 +a(g548 +V20 +p18207 +tp18208 +a(g685 +g988 +tp18209 +a(g548 +V74 +p18210 +tp18211 +a(g900 +g962 +tp18212 +a(g548 +V68 +p18213 +tp18214 +a(g900 +g962 +tp18215 +a(g548 +V65 +p18216 +tp18217 +a(g900 +g962 +tp18218 +a(g548 +V20 +p18219 +tp18220 +a(g900 +g962 +tp18221 +a(g548 +V72 +p18222 +tp18223 +a(g900 +g962 +tp18224 +a(g548 +V65 +p18225 +tp18226 +a(g900 +g962 +tp18227 +a(g548 +V63 +p18228 +tp18229 +a(g900 +g962 +tp18230 +a(g548 +V75 +p18231 +tp18232 +a(g900 +V +p18233 +tp18234 +a(g341 +Vs it to the recu +p18235 +tp18236 +a(g900 +V\u000a +p18237 +tp18238 +a(g8 +V0000 +p18239 +tp18240 +a(g685 +g958 +tp18241 +a(g8 +V1200 +p18242 +tp18243 +a(g900 +g962 +tp18244 +a(g900 +g962 +tp18245 +a(g548 +V72 +p18246 +tp18247 +a(g900 +g962 +tp18248 +a(g548 +V73 +p18249 +tp18250 +a(g900 +g962 +tp18251 +a(g548 +V69 +p18252 +tp18253 +a(g900 +g962 +tp18254 +a(g548 +V76 +p18255 +tp18256 +a(g900 +g962 +tp18257 +a(g548 +V65 +p18258 +tp18259 +a(g900 +g962 +tp18260 +a(g548 +V20 +p18261 +tp18262 +a(g900 +g962 +tp18263 +a(g548 +V66 +p18264 +tp18265 +a(g900 +g962 +tp18266 +a(g548 +V75 +p18267 +tp18268 +a(g685 +g988 +tp18269 +a(g548 +V6E +p18270 +tp18271 +a(g900 +g962 +tp18272 +a(g548 +V63 +p18273 +tp18274 +a(g900 +g962 +tp18275 +a(g548 +V74 +p18276 +tp18277 +a(g900 +g962 +tp18278 +a(g548 +V69 +p18279 +tp18280 +a(g900 +g962 +tp18281 +a(g548 +V6F +p18282 +tp18283 +a(g900 +g962 +tp18284 +a(g548 +V6E +p18285 +tp18286 +a(g900 +g962 +tp18287 +a(g548 +V20 +p18288 +tp18289 +a(g900 +g962 +tp18290 +a(g548 +V28 +p18291 +tp18292 +a(g900 +V +p18293 +tp18294 +a(g341 +Vrsive function ( +p18295 +tp18296 +a(g900 +V\u000a +p18297 +tp18298 +a(g8 +V0000 +p18299 +tp18300 +a(g685 +g958 +tp18301 +a(g8 +V1210 +p18302 +tp18303 +a(g900 +g962 +tp18304 +a(g900 +g962 +tp18305 +a(g548 +V6C +p18306 +tp18307 +a(g900 +g962 +tp18308 +a(g548 +V65 +p18309 +tp18310 +a(g900 +g962 +tp18311 +a(g548 +V61 +p18312 +tp18313 +a(g900 +g962 +tp18314 +a(g548 +V64 +p18315 +tp18316 +a(g900 +g962 +tp18317 +a(g548 +V69 +p18318 +tp18319 +a(g900 +g962 +tp18320 +a(g548 +V6E +p18321 +tp18322 +a(g900 +g962 +tp18323 +a(g548 +V67 +p18324 +tp18325 +a(g900 +g962 +tp18326 +a(g548 +V20 +p18327 +tp18328 +a(g685 +g988 +tp18329 +a(g548 +V64 +p18330 +tp18331 +a(g900 +g962 +tp18332 +a(g548 +V69 +p18333 +tp18334 +a(g900 +g962 +tp18335 +a(g548 +V67 +p18336 +tp18337 +a(g900 +g962 +tp18338 +a(g548 +V69 +p18339 +tp18340 +a(g900 +g962 +tp18341 +a(g548 +V74 +p18342 +tp18343 +a(g900 +g962 +tp18344 +a(g548 +V20 +p18345 +tp18346 +a(g900 +g962 +tp18347 +a(g548 +V69 +p18348 +tp18349 +a(g900 +g962 +tp18350 +a(g548 +V73 +p18351 +tp18352 +a(g900 +V +p18353 +tp18354 +a(g341 +Vleading digit is +p18355 +tp18356 +a(g900 +V\u000a +p18357 +tp18358 +a(g8 +V0000 +p18359 +tp18360 +a(g685 +g958 +tp18361 +a(g8 +V1220 +p18362 +tp18363 +a(g900 +g962 +tp18364 +a(g900 +g962 +tp18365 +a(g548 +V20 +p18366 +tp18367 +a(g900 +g962 +tp18368 +a(g548 +V61 +p18369 +tp18370 +a(g900 +g962 +tp18371 +a(g548 +V6C +p18372 +tp18373 +a(g900 +g962 +tp18374 +a(g548 +V6C +p18375 +tp18376 +a(g900 +g962 +tp18377 +a(g548 +V6F +p18378 +tp18379 +a(g900 +g962 +tp18380 +a(g548 +V77 +p18381 +tp18382 +a(g900 +g962 +tp18383 +a(g548 +V65 +p18384 +tp18385 +a(g900 +g962 +tp18386 +a(g548 +V64 +p18387 +tp18388 +a(g685 +g988 +tp18389 +a(g548 +V29 +p18390 +tp18391 +a(g900 +g962 +tp18392 +a(g548 +V0A +p18393 +tp18394 +a(g900 +g962 +tp18395 +a(g548 +V20 +p18396 +tp18397 +a(g900 +g962 +tp18398 +a(g548 +V20 +p18399 +tp18400 +a(g900 +g962 +tp18401 +a(g548 +V20 +p18402 +tp18403 +a(g900 +g962 +tp18404 +a(g548 +V20 +p18405 +tp18406 +a(g900 +g962 +tp18407 +a(g548 +V20 +p18408 +tp18409 +a(g900 +g962 +tp18410 +a(g548 +V20 +p18411 +tp18412 +a(g900 +V +p18413 +tp18414 +a(g341 +V allowed)\u25d9 +p18415 +tp18416 +a(g900 +V\u000a +p18417 +tp18418 +a(g8 +V0000 +p18419 +tp18420 +a(g685 +g958 +tp18421 +a(g8 +V1230 +p18422 +tp18423 +a(g900 +g962 +tp18424 +a(g900 +g962 +tp18425 +a(g548 +V20 +p18426 +tp18427 +a(g900 +g962 +tp18428 +a(g548 +V20 +p18429 +tp18430 +a(g900 +g962 +tp18431 +a(g548 +V72 +p18432 +tp18433 +a(g900 +g962 +tp18434 +a(g548 +V65 +p18435 +tp18436 +a(g900 +g962 +tp18437 +a(g548 +V74 +p18438 +tp18439 +a(g900 +g962 +tp18440 +a(g548 +V75 +p18441 +tp18442 +a(g900 +g962 +tp18443 +a(g548 +V72 +p18444 +tp18445 +a(g900 +g962 +tp18446 +a(g548 +V6E +p18447 +tp18448 +a(g685 +g988 +tp18449 +a(g548 +V20 +p18450 +tp18451 +a(g900 +g962 +tp18452 +a(g548 +V5F +p18453 +tp18454 +a(g900 +g962 +tp18455 +a(g548 +V46 +p18456 +tp18457 +a(g900 +g962 +tp18458 +a(g548 +V69 +p18459 +tp18460 +a(g900 +g962 +tp18461 +a(g548 +V6E +p18462 +tp18463 +a(g900 +g962 +tp18464 +a(g548 +V64 +p18465 +tp18466 +a(g900 +g962 +tp18467 +a(g548 +V57 +p18468 +tp18469 +a(g900 +g962 +tp18470 +a(g548 +V6F +p18471 +tp18472 +a(g900 +V +p18473 +tp18474 +a(g341 +V return _FindWo +p18475 +tp18476 +a(g900 +V\u000a +p18477 +tp18478 +a(g8 +V0000 +p18479 +tp18480 +a(g685 +g958 +tp18481 +a(g8 +V1240 +p18482 +tp18483 +a(g900 +g962 +tp18484 +a(g900 +g962 +tp18485 +a(g548 +V72 +p18486 +tp18487 +a(g900 +g962 +tp18488 +a(g548 +V64 +p18489 +tp18490 +a(g900 +g962 +tp18491 +a(g548 +V73 +p18492 +tp18493 +a(g900 +g962 +tp18494 +a(g548 +V28 +p18495 +tp18496 +a(g900 +g962 +tp18497 +a(g548 +V20 +p18498 +tp18499 +a(g900 +g962 +tp18500 +a(g548 +V73 +p18501 +tp18502 +a(g900 +g962 +tp18503 +a(g548 +V74 +p18504 +tp18505 +a(g900 +g962 +tp18506 +a(g548 +V72 +p18507 +tp18508 +a(g685 +g988 +tp18509 +a(g548 +V69 +p18510 +tp18511 +a(g900 +g962 +tp18512 +a(g548 +V70 +p18513 +tp18514 +a(g900 +g962 +tp18515 +a(g548 +V4E +p18516 +tp18517 +a(g900 +g962 +tp18518 +a(g548 +V6F +p18519 +tp18520 +a(g900 +g962 +tp18521 +a(g548 +V6E +p18522 +tp18523 +a(g900 +g962 +tp18524 +a(g548 +V44 +p18525 +tp18526 +a(g900 +g962 +tp18527 +a(g548 +V69 +p18528 +tp18529 +a(g900 +g962 +tp18530 +a(g548 +V67 +p18531 +tp18532 +a(g900 +V +p18533 +tp18534 +a(g341 +Vrds( stripNonDig +p18535 +tp18536 +a(g900 +V\u000a +p18537 +tp18538 +a(g8 +V0000 +p18539 +tp18540 +a(g685 +g958 +tp18541 +a(g8 +V1250 +p18542 +tp18543 +a(g900 +g962 +tp18544 +a(g900 +g962 +tp18545 +a(g548 +V69 +p18546 +tp18547 +a(g900 +g962 +tp18548 +a(g548 +V74 +p18549 +tp18550 +a(g900 +g962 +tp18551 +a(g548 +V28 +p18552 +tp18553 +a(g900 +g962 +tp18554 +a(g548 +V70 +p18555 +tp18556 +a(g900 +g962 +tp18557 +a(g548 +V68 +p18558 +tp18559 +a(g900 +g962 +tp18560 +a(g548 +V6F +p18561 +tp18562 +a(g900 +g962 +tp18563 +a(g548 +V6E +p18564 +tp18565 +a(g900 +g962 +tp18566 +a(g548 +V65 +p18567 +tp18568 +a(g685 +g988 +tp18569 +a(g548 +V5F +p18570 +tp18571 +a(g900 +g962 +tp18572 +a(g548 +V6E +p18573 +tp18574 +a(g900 +g962 +tp18575 +a(g548 +V75 +p18576 +tp18577 +a(g900 +g962 +tp18578 +a(g548 +V6D +p18579 +tp18580 +a(g900 +g962 +tp18581 +a(g548 +V62 +p18582 +tp18583 +a(g900 +g962 +tp18584 +a(g548 +V65 +p18585 +tp18586 +a(g900 +g962 +tp18587 +a(g548 +V72 +p18588 +tp18589 +a(g900 +g962 +tp18590 +a(g548 +V29 +p18591 +tp18592 +a(g900 +V +p18593 +tp18594 +a(g341 +Vit(phone_number) +p18595 +tp18596 +a(g900 +V\u000a +p18597 +tp18598 +a(g8 +V0000 +p18599 +tp18600 +a(g685 +g958 +tp18601 +a(g8 +V1260 +p18602 +tp18603 +a(g900 +g962 +tp18604 +a(g900 +g962 +tp18605 +a(g548 +V2C +p18606 +tp18607 +a(g900 +g962 +tp18608 +a(g548 +V20 +p18609 +tp18610 +a(g900 +g962 +tp18611 +a(g548 +V74 +p18612 +tp18613 +a(g900 +g962 +tp18614 +a(g548 +V72 +p18615 +tp18616 +a(g900 +g962 +tp18617 +a(g548 +V75 +p18618 +tp18619 +a(g900 +g962 +tp18620 +a(g548 +V65 +p18621 +tp18622 +a(g900 +g962 +tp18623 +a(g548 +V20 +p18624 +tp18625 +a(g900 +g962 +tp18626 +a(g548 +V29 +p18627 +tp18628 +a(g685 +g988 +tp18629 +a(g548 +V3B +p18630 +tp18631 +a(g900 +g962 +tp18632 +a(g548 +V20 +p18633 +tp18634 +a(g900 +g962 +tp18635 +a(g548 +V20 +p18636 +tp18637 +a(g900 +g962 +tp18638 +a(g548 +V20 +p18639 +tp18640 +a(g900 +g962 +tp18641 +a(g548 +V20 +p18642 +tp18643 +a(g900 +g962 +tp18644 +a(g548 +V0A +p18645 +tp18646 +a(g900 +g962 +tp18647 +a(g548 +V20 +p18648 +tp18649 +a(g900 +g962 +tp18650 +a(g548 +V20 +p18651 +tp18652 +a(g900 +V +p18653 +tp18654 +a(g341 +V, true ); \u25d9 +p18655 +tp18656 +a(g900 +V\u000a +p18657 +tp18658 +a(g8 +V0000 +p18659 +tp18660 +a(g685 +g958 +tp18661 +a(g8 +V1270 +p18662 +tp18663 +a(g900 +g962 +tp18664 +a(g900 +g962 +tp18665 +a(g548 +V20 +p18666 +tp18667 +a(g900 +g962 +tp18668 +a(g548 +V20 +p18669 +tp18670 +a(g900 +g962 +tp18671 +a(g548 +V7D +p18672 +tp18673 +a(g900 +g962 +tp18674 +a(g548 +V20 +p18675 +tp18676 +a(g900 +g962 +tp18677 +a(g548 +V20 +p18678 +tp18679 +a(g900 +g962 +tp18680 +a(g548 +V20 +p18681 +tp18682 +a(g900 +g962 +tp18683 +a(g548 +V20 +p18684 +tp18685 +a(g900 +g962 +tp18686 +a(g548 +V0A +p18687 +tp18688 +a(g685 +g988 +tp18689 +a(g548 +V20 +p18690 +tp18691 +a(g900 +g962 +tp18692 +a(g548 +V20 +p18693 +tp18694 +a(g900 +g962 +tp18695 +a(g548 +V20 +p18696 +tp18697 +a(g900 +g962 +tp18698 +a(g548 +V20 +p18699 +tp18700 +a(g900 +g962 +tp18701 +a(g548 +V0A +p18702 +tp18703 +a(g900 +g962 +tp18704 +a(g548 +V20 +p18705 +tp18706 +a(g900 +g962 +tp18707 +a(g548 +V20 +p18708 +tp18709 +a(g900 +g962 +tp18710 +a(g548 +V20 +p18711 +tp18712 +a(g900 +V +p18713 +tp18714 +a(g341 +V } \u25d9 \u25d9 +p18715 +tp18716 +a(g900 +V\u000a +p18717 +tp18718 +a(g8 +V0000 +p18719 +tp18720 +a(g685 +g958 +tp18721 +a(g8 +V1280 +p18722 +tp18723 +a(g900 +g962 +tp18724 +a(g900 +g962 +tp18725 +a(g548 +V20 +p18726 +tp18727 +a(g900 +g962 +tp18728 +a(g548 +V2F +p18729 +tp18730 +a(g900 +g962 +tp18731 +a(g548 +V2F +p18732 +tp18733 +a(g900 +g962 +tp18734 +a(g548 +V20 +p18735 +tp18736 +a(g900 +g962 +tp18737 +a(g548 +V52 +p18738 +tp18739 +a(g900 +g962 +tp18740 +a(g548 +V65 +p18741 +tp18742 +a(g900 +g962 +tp18743 +a(g548 +V61 +p18744 +tp18745 +a(g900 +g962 +tp18746 +a(g548 +V64 +p18747 +tp18748 +a(g685 +g988 +tp18749 +a(g548 +V20 +p18750 +tp18751 +a(g900 +g962 +tp18752 +a(g548 +V74 +p18753 +tp18754 +a(g900 +g962 +tp18755 +a(g548 +V68 +p18756 +tp18757 +a(g900 +g962 +tp18758 +a(g548 +V65 +p18759 +tp18760 +a(g900 +g962 +tp18761 +a(g548 +V20 +p18762 +tp18763 +a(g900 +g962 +tp18764 +a(g548 +V70 +p18765 +tp18766 +a(g900 +g962 +tp18767 +a(g548 +V68 +p18768 +tp18769 +a(g900 +g962 +tp18770 +a(g548 +V6F +p18771 +tp18772 +a(g900 +V +p18773 +tp18774 +a(g341 +V // Read the pho +p18775 +tp18776 +a(g900 +V\u000a +p18777 +tp18778 +a(g8 +V0000 +p18779 +tp18780 +a(g685 +g958 +tp18781 +a(g8 +V1290 +p18782 +tp18783 +a(g900 +g962 +tp18784 +a(g900 +g962 +tp18785 +a(g548 +V6E +p18786 +tp18787 +a(g900 +g962 +tp18788 +a(g548 +V65 +p18789 +tp18790 +a(g900 +g962 +tp18791 +a(g548 +V20 +p18792 +tp18793 +a(g900 +g962 +tp18794 +a(g548 +V6E +p18795 +tp18796 +a(g900 +g962 +tp18797 +a(g548 +V75 +p18798 +tp18799 +a(g900 +g962 +tp18800 +a(g548 +V6D +p18801 +tp18802 +a(g900 +g962 +tp18803 +a(g548 +V62 +p18804 +tp18805 +a(g900 +g962 +tp18806 +a(g548 +V65 +p18807 +tp18808 +a(g685 +g988 +tp18809 +a(g548 +V72 +p18810 +tp18811 +a(g900 +g962 +tp18812 +a(g548 +V73 +p18813 +tp18814 +a(g900 +g962 +tp18815 +a(g548 +V20 +p18816 +tp18817 +a(g900 +g962 +tp18818 +a(g548 +V20 +p18819 +tp18820 +a(g900 +g962 +tp18821 +a(g548 +V20 +p18822 +tp18823 +a(g900 +g962 +tp18824 +a(g548 +V20 +p18825 +tp18826 +a(g900 +g962 +tp18827 +a(g548 +V20 +p18828 +tp18829 +a(g900 +g962 +tp18830 +a(g548 +V0A +p18831 +tp18832 +a(g900 +V +p18833 +tp18834 +a(g341 +Vne numbers \u25d9 +p18835 +tp18836 +a(g900 +V\u000a +p18837 +tp18838 +a(g8 +V0000 +p18839 +tp18840 +a(g685 +g958 +tp18841 +a(g8 +V12A0 +p18842 +tp18843 +a(g900 +g962 +tp18844 +a(g900 +g962 +tp18845 +a(g548 +V20 +p18846 +tp18847 +a(g900 +g962 +tp18848 +a(g548 +V20 +p18849 +tp18850 +a(g900 +g962 +tp18851 +a(g548 +V20 +p18852 +tp18853 +a(g900 +g962 +tp18854 +a(g548 +V20 +p18855 +tp18856 +a(g900 +g962 +tp18857 +a(g548 +V66 +p18858 +tp18859 +a(g900 +g962 +tp18860 +a(g548 +V6F +p18861 +tp18862 +a(g900 +g962 +tp18863 +a(g548 +V72 +p18864 +tp18865 +a(g900 +g962 +tp18866 +a(g548 +V65 +p18867 +tp18868 +a(g685 +g988 +tp18869 +a(g548 +V61 +p18870 +tp18871 +a(g900 +g962 +tp18872 +a(g548 +V63 +p18873 +tp18874 +a(g900 +g962 +tp18875 +a(g548 +V68 +p18876 +tp18877 +a(g900 +g962 +tp18878 +a(g548 +V28 +p18879 +tp18880 +a(g900 +g962 +tp18881 +a(g548 +V73 +p18882 +tp18883 +a(g900 +g962 +tp18884 +a(g548 +V74 +p18885 +tp18886 +a(g900 +g962 +tp18887 +a(g548 +V72 +p18888 +tp18889 +a(g900 +g962 +tp18890 +a(g548 +V69 +p18891 +tp18892 +a(g900 +V +p18893 +tp18894 +a(g341 +V foreach(stri +p18895 +tp18896 +a(g900 +V\u000a +p18897 +tp18898 +a(g8 +V0000 +p18899 +tp18900 +a(g685 +g958 +tp18901 +a(g8 +V12B0 +p18902 +tp18903 +a(g900 +g962 +tp18904 +a(g900 +g962 +tp18905 +a(g548 +V6E +p18906 +tp18907 +a(g900 +g962 +tp18908 +a(g548 +V67 +p18909 +tp18910 +a(g900 +g962 +tp18911 +a(g548 +V20 +p18912 +tp18913 +a(g900 +g962 +tp18914 +a(g548 +V70 +p18915 +tp18916 +a(g900 +g962 +tp18917 +a(g548 +V68 +p18918 +tp18919 +a(g900 +g962 +tp18920 +a(g548 +V6F +p18921 +tp18922 +a(g900 +g962 +tp18923 +a(g548 +V6E +p18924 +tp18925 +a(g900 +g962 +tp18926 +a(g548 +V65 +p18927 +tp18928 +a(g685 +g988 +tp18929 +a(g548 +V3B +p18930 +tp18931 +a(g900 +g962 +tp18932 +a(g548 +V20 +p18933 +tp18934 +a(g900 +g962 +tp18935 +a(g548 +V6E +p18936 +tp18937 +a(g900 +g962 +tp18938 +a(g548 +V65 +p18939 +tp18940 +a(g900 +g962 +tp18941 +a(g548 +V77 +p18942 +tp18943 +a(g900 +g962 +tp18944 +a(g548 +V20 +p18945 +tp18946 +a(g900 +g962 +tp18947 +a(g548 +V42 +p18948 +tp18949 +a(g900 +g962 +tp18950 +a(g548 +V75 +p18951 +tp18952 +a(g900 +V +p18953 +tp18954 +a(g341 +Vng phone; new Bu +p18955 +tp18956 +a(g900 +V\u000a +p18957 +tp18958 +a(g8 +V0000 +p18959 +tp18960 +a(g685 +g958 +tp18961 +a(g8 +V12C0 +p18962 +tp18963 +a(g900 +g962 +tp18964 +a(g900 +g962 +tp18965 +a(g548 +V66 +p18966 +tp18967 +a(g900 +g962 +tp18968 +a(g548 +V66 +p18969 +tp18970 +a(g900 +g962 +tp18971 +a(g548 +V65 +p18972 +tp18973 +a(g900 +g962 +tp18974 +a(g548 +V72 +p18975 +tp18976 +a(g900 +g962 +tp18977 +a(g548 +V65 +p18978 +tp18979 +a(g900 +g962 +tp18980 +a(g548 +V64 +p18981 +tp18982 +a(g900 +g962 +tp18983 +a(g548 +V46 +p18984 +tp18985 +a(g900 +g962 +tp18986 +a(g548 +V69 +p18987 +tp18988 +a(g685 +g988 +tp18989 +a(g548 +V6C +p18990 +tp18991 +a(g900 +g962 +tp18992 +a(g548 +V65 +p18993 +tp18994 +a(g900 +g962 +tp18995 +a(g548 +V28 +p18996 +tp18997 +a(g900 +g962 +tp18998 +a(g548 +V22 +p18999 +tp19000 +a(g900 +g962 +tp19001 +a(g548 +V69 +p19002 +tp19003 +a(g900 +g962 +tp19004 +a(g548 +V6E +p19005 +tp19006 +a(g900 +g962 +tp19007 +a(g548 +V70 +p19008 +tp19009 +a(g900 +g962 +tp19010 +a(g548 +V75 +p19011 +tp19012 +a(g900 +V +p19013 +tp19014 +a(g341 +VfferedFile("inpu +p19015 +tp19016 +a(g900 +V\u000a +p19017 +tp19018 +a(g8 +V0000 +p19019 +tp19020 +a(g685 +g958 +tp19021 +a(g8 +V12D0 +p19022 +tp19023 +a(g900 +g962 +tp19024 +a(g900 +g962 +tp19025 +a(g548 +V74 +p19026 +tp19027 +a(g900 +g962 +tp19028 +a(g548 +V2E +p19029 +tp19030 +a(g900 +g962 +tp19031 +a(g548 +V74 +p19032 +tp19033 +a(g900 +g962 +tp19034 +a(g548 +V78 +p19035 +tp19036 +a(g900 +g962 +tp19037 +a(g548 +V74 +p19038 +tp19039 +a(g900 +g962 +tp19040 +a(g548 +V22 +p19041 +tp19042 +a(g900 +g962 +tp19043 +a(g548 +V20 +p19044 +tp19045 +a(g900 +g962 +tp19046 +a(g548 +V20 +p19047 +tp19048 +a(g685 +g988 +tp19049 +a(g548 +V20 +p19050 +tp19051 +a(g900 +g962 +tp19052 +a(g548 +V29 +p19053 +tp19054 +a(g900 +g962 +tp19055 +a(g548 +V20 +p19056 +tp19057 +a(g900 +g962 +tp19058 +a(g548 +V29 +p19059 +tp19060 +a(g900 +g962 +tp19061 +a(g548 +V0A +p19062 +tp19063 +a(g900 +g962 +tp19064 +a(g548 +V20 +p19065 +tp19066 +a(g900 +g962 +tp19067 +a(g548 +V20 +p19068 +tp19069 +a(g900 +g962 +tp19070 +a(g548 +V20 +p19071 +tp19072 +a(g900 +V +p19073 +tp19074 +a(g341 +Vt.txt" ) )\u25d9 +p19075 +tp19076 +a(g900 +V\u000a +p19077 +tp19078 +a(g8 +V0000 +p19079 +tp19080 +a(g685 +g958 +tp19081 +a(g8 +V12E0 +p19082 +tp19083 +a(g900 +g962 +tp19084 +a(g900 +g962 +tp19085 +a(g548 +V20 +p19086 +tp19087 +a(g900 +g962 +tp19088 +a(g548 +V20 +p19089 +tp19090 +a(g900 +g962 +tp19091 +a(g548 +V20 +p19092 +tp19093 +a(g900 +g962 +tp19094 +a(g548 +V20 +p19095 +tp19096 +a(g900 +g962 +tp19097 +a(g548 +V20 +p19098 +tp19099 +a(g900 +g962 +tp19100 +a(g548 +V66 +p19101 +tp19102 +a(g900 +g962 +tp19103 +a(g548 +V6F +p19104 +tp19105 +a(g900 +g962 +tp19106 +a(g548 +V72 +p19107 +tp19108 +a(g685 +g988 +tp19109 +a(g548 +V65 +p19110 +tp19111 +a(g900 +g962 +tp19112 +a(g548 +V61 +p19113 +tp19114 +a(g900 +g962 +tp19115 +a(g548 +V63 +p19116 +tp19117 +a(g900 +g962 +tp19118 +a(g548 +V68 +p19119 +tp19120 +a(g900 +g962 +tp19121 +a(g548 +V28 +p19122 +tp19123 +a(g900 +g962 +tp19124 +a(g548 +V61 +p19125 +tp19126 +a(g900 +g962 +tp19127 +a(g548 +V6C +p19128 +tp19129 +a(g900 +g962 +tp19130 +a(g548 +V74 +p19131 +tp19132 +a(g900 +V +p19133 +tp19134 +a(g341 +V foreach(alt +p19135 +tp19136 +a(g900 +V\u000a +p19137 +tp19138 +a(g8 +V0000 +p19139 +tp19140 +a(g685 +g958 +tp19141 +a(g8 +V12F0 +p19142 +tp19143 +a(g900 +g962 +tp19144 +a(g900 +g962 +tp19145 +a(g548 +V65 +p19146 +tp19147 +a(g900 +g962 +tp19148 +a(g548 +V72 +p19149 +tp19150 +a(g900 +g962 +tp19151 +a(g548 +V6E +p19152 +tp19153 +a(g900 +g962 +tp19154 +a(g548 +V61 +p19155 +tp19156 +a(g900 +g962 +tp19157 +a(g548 +V74 +p19158 +tp19159 +a(g900 +g962 +tp19160 +a(g548 +V69 +p19161 +tp19162 +a(g900 +g962 +tp19163 +a(g548 +V76 +p19164 +tp19165 +a(g900 +g962 +tp19166 +a(g548 +V65 +p19167 +tp19168 +a(g685 +g988 +tp19169 +a(g548 +V3B +p19170 +tp19171 +a(g900 +g962 +tp19172 +a(g548 +V20 +p19173 +tp19174 +a(g900 +g962 +tp19175 +a(g548 +V46 +p19176 +tp19177 +a(g900 +g962 +tp19178 +a(g548 +V69 +p19179 +tp19180 +a(g900 +g962 +tp19181 +a(g548 +V6E +p19182 +tp19183 +a(g900 +g962 +tp19184 +a(g548 +V64 +p19185 +tp19186 +a(g900 +g962 +tp19187 +a(g548 +V57 +p19188 +tp19189 +a(g900 +g962 +tp19190 +a(g548 +V6F +p19191 +tp19192 +a(g900 +V +p19193 +tp19194 +a(g341 +Vernative; FindWo +p19195 +tp19196 +a(g900 +V\u000a +p19197 +tp19198 +a(g8 +V0000 +p19199 +tp19200 +a(g685 +g958 +tp19201 +a(g8 +V1300 +p19202 +tp19203 +a(g900 +g962 +tp19204 +a(g900 +g962 +tp19205 +a(g548 +V72 +p19206 +tp19207 +a(g900 +g962 +tp19208 +a(g548 +V64 +p19209 +tp19210 +a(g900 +g962 +tp19211 +a(g548 +V73 +p19212 +tp19213 +a(g900 +g962 +tp19214 +a(g548 +V28 +p19215 +tp19216 +a(g900 +g962 +tp19217 +a(g548 +V20 +p19218 +tp19219 +a(g900 +g962 +tp19220 +a(g548 +V70 +p19221 +tp19222 +a(g900 +g962 +tp19223 +a(g548 +V68 +p19224 +tp19225 +a(g900 +g962 +tp19226 +a(g548 +V6F +p19227 +tp19228 +a(g685 +g988 +tp19229 +a(g548 +V6E +p19230 +tp19231 +a(g900 +g962 +tp19232 +a(g548 +V65 +p19233 +tp19234 +a(g900 +g962 +tp19235 +a(g548 +V20 +p19236 +tp19237 +a(g900 +g962 +tp19238 +a(g548 +V29 +p19239 +tp19240 +a(g900 +g962 +tp19241 +a(g548 +V20 +p19242 +tp19243 +a(g900 +g962 +tp19244 +a(g548 +V29 +p19245 +tp19246 +a(g900 +g962 +tp19247 +a(g548 +V0A +p19248 +tp19249 +a(g900 +g962 +tp19250 +a(g548 +V20 +p19251 +tp19252 +a(g900 +V +p19253 +tp19254 +a(g341 +Vrds( phone ) )\u25d9 +p19255 +tp19256 +a(g900 +V\u000a +p19257 +tp19258 +a(g8 +V0000 +p19259 +tp19260 +a(g685 +g958 +tp19261 +a(g8 +V1310 +p19262 +tp19263 +a(g900 +g962 +tp19264 +a(g900 +g962 +tp19265 +a(g548 +V20 +p19266 +tp19267 +a(g900 +g962 +tp19268 +a(g548 +V20 +p19269 +tp19270 +a(g900 +g962 +tp19271 +a(g548 +V20 +p19272 +tp19273 +a(g900 +g962 +tp19274 +a(g548 +V20 +p19275 +tp19276 +a(g900 +g962 +tp19277 +a(g548 +V20 +p19278 +tp19279 +a(g900 +g962 +tp19280 +a(g548 +V20 +p19281 +tp19282 +a(g900 +g962 +tp19283 +a(g548 +V20 +p19284 +tp19285 +a(g900 +g962 +tp19286 +a(g548 +V20 +p19287 +tp19288 +a(g685 +g988 +tp19289 +a(g548 +V20 +p19290 +tp19291 +a(g900 +g962 +tp19292 +a(g548 +V20 +p19293 +tp19294 +a(g900 +g962 +tp19295 +a(g548 +V20 +p19296 +tp19297 +a(g900 +g962 +tp19298 +a(g548 +V77 +p19299 +tp19300 +a(g900 +g962 +tp19301 +a(g548 +V72 +p19302 +tp19303 +a(g900 +g962 +tp19304 +a(g548 +V69 +p19305 +tp19306 +a(g900 +g962 +tp19307 +a(g548 +V74 +p19308 +tp19309 +a(g900 +g962 +tp19310 +a(g548 +V65 +p19311 +tp19312 +a(g900 +V +p19313 +tp19314 +a(g341 +V write +p19315 +tp19316 +a(g900 +V\u000a +p19317 +tp19318 +a(g8 +V0000 +p19319 +tp19320 +a(g685 +g958 +tp19321 +a(g8 +V1320 +p19322 +tp19323 +a(g900 +g962 +tp19324 +a(g900 +g962 +tp19325 +a(g548 +V66 +p19326 +tp19327 +a(g900 +g962 +tp19328 +a(g548 +V6C +p19329 +tp19330 +a(g900 +g962 +tp19331 +a(g548 +V6E +p19332 +tp19333 +a(g900 +g962 +tp19334 +a(g548 +V28 +p19335 +tp19336 +a(g900 +g962 +tp19337 +a(g548 +V70 +p19338 +tp19339 +a(g900 +g962 +tp19340 +a(g548 +V68 +p19341 +tp19342 +a(g900 +g962 +tp19343 +a(g548 +V6F +p19344 +tp19345 +a(g900 +g962 +tp19346 +a(g548 +V6E +p19347 +tp19348 +a(g685 +g988 +tp19349 +a(g548 +V65 +p19350 +tp19351 +a(g900 +g962 +tp19352 +a(g548 +V2C +p19353 +tp19354 +a(g900 +g962 +tp19355 +a(g548 +V20 +p19356 +tp19357 +a(g900 +g962 +tp19358 +a(g548 +V22 +p19359 +tp19360 +a(g900 +g962 +tp19361 +a(g548 +V3A +p19362 +tp19363 +a(g900 +g962 +tp19364 +a(g548 +V20 +p19365 +tp19366 +a(g900 +g962 +tp19367 +a(g548 +V22 +p19368 +tp19369 +a(g900 +g962 +tp19370 +a(g548 +V2C +p19371 +tp19372 +a(g900 +V +p19373 +tp19374 +a(g341 +Vfln(phone, ": ", +p19375 +tp19376 +a(g900 +V\u000a +p19377 +tp19378 +a(g8 +V0000 +p19379 +tp19380 +a(g685 +g958 +tp19381 +a(g8 +V1330 +p19382 +tp19383 +a(g900 +g962 +tp19384 +a(g900 +g962 +tp19385 +a(g548 +V20 +p19386 +tp19387 +a(g900 +g962 +tp19388 +a(g548 +V61 +p19389 +tp19390 +a(g900 +g962 +tp19391 +a(g548 +V6C +p19392 +tp19393 +a(g900 +g962 +tp19394 +a(g548 +V74 +p19395 +tp19396 +a(g900 +g962 +tp19397 +a(g548 +V65 +p19398 +tp19399 +a(g900 +g962 +tp19400 +a(g548 +V72 +p19401 +tp19402 +a(g900 +g962 +tp19403 +a(g548 +V6E +p19404 +tp19405 +a(g900 +g962 +tp19406 +a(g548 +V61 +p19407 +tp19408 +a(g685 +g988 +tp19409 +a(g548 +V74 +p19410 +tp19411 +a(g900 +g962 +tp19412 +a(g548 +V69 +p19413 +tp19414 +a(g900 +g962 +tp19415 +a(g548 +V76 +p19416 +tp19417 +a(g900 +g962 +tp19418 +a(g548 +V65 +p19419 +tp19420 +a(g900 +g962 +tp19421 +a(g548 +V20 +p19422 +tp19423 +a(g900 +g962 +tp19424 +a(g548 +V29 +p19425 +tp19426 +a(g900 +g962 +tp19427 +a(g548 +V3B +p19428 +tp19429 +a(g900 +g962 +tp19430 +a(g548 +V0A +p19431 +tp19432 +a(g900 +V +p19433 +tp19434 +a(g341 +V alternative );\u25d9 +p19435 +tp19436 +a(g900 +V\u000a +p19437 +tp19438 +a(g8 +V0000 +p19439 +tp19440 +a(g685 +g958 +tp19441 +a(g8 +V1340 +p19442 +tp19443 +a(g900 +g962 +tp19444 +a(g900 +g962 +tp19445 +a(g548 +V7D +p19446 +tp19447 +a(g900 +g962 +tp19448 +a(g548 +V0A +p19449 +tp19450 +a(g900 +g962 +tp19451 +a(g548 +V0A +p19452 +tp19453 +a(g900 +V +p19454 +tp19455 +a(g341 +V}\u25d9\u25d9 +p19456 +tp19457 +a(g900 +V\u000a +p19458 +tp19459 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hexdump_hd b/tests/examplefiles/output/hexdump_hd new file mode 100644 index 0000000..362a658 --- /dev/null +++ b/tests/examplefiles/output/hexdump_hd @@ -0,0 +1,45496 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV00000000 +p956 +tp957 +a(g900 +V +p958 +tp959 +a(g900 +g958 +tp960 +a(g548 +V2f +p961 +tp962 +a(g900 +g958 +tp963 +a(g548 +V2f +p964 +tp965 +a(g900 +g958 +tp966 +a(g548 +V20 +p967 +tp968 +a(g900 +g958 +tp969 +a(g548 +V43 +p970 +tp971 +a(g900 +g958 +tp972 +a(g548 +V72 +p973 +tp974 +a(g900 +g958 +tp975 +a(g548 +V65 +p976 +tp977 +a(g900 +g958 +tp978 +a(g548 +V61 +p979 +tp980 +a(g900 +g958 +tp981 +a(g548 +V74 +p982 +tp983 +a(g900 +g958 +tp984 +a(g900 +g958 +tp985 +a(g548 +V65 +p986 +tp987 +a(g900 +g958 +tp988 +a(g548 +V64 +p989 +tp990 +a(g900 +g958 +tp991 +a(g548 +V20 +p992 +tp993 +a(g900 +g958 +tp994 +a(g548 +V62 +p995 +tp996 +a(g900 +g958 +tp997 +a(g548 +V79 +p998 +tp999 +a(g900 +g958 +tp1000 +a(g548 +V20 +p1001 +tp1002 +a(g900 +g958 +tp1003 +a(g548 +V4c +p1004 +tp1005 +a(g900 +g958 +tp1006 +a(g548 +V69 +p1007 +tp1008 +a(g900 +V +p1009 +tp1010 +a(g685 +V| +p1011 +tp1012 +a(g341 +V// Created by Li +p1013 +tp1014 +a(g685 +g1011 +tp1015 +a(g900 +V\u000a +p1016 +tp1017 +a(g8 +V00000010 +p1018 +tp1019 +a(g900 +g958 +tp1020 +a(g900 +g958 +tp1021 +a(g548 +V6f +p1022 +tp1023 +a(g900 +g958 +tp1024 +a(g548 +V6e +p1025 +tp1026 +a(g900 +g958 +tp1027 +a(g548 +V65 +p1028 +tp1029 +a(g900 +g958 +tp1030 +a(g548 +V6c +p1031 +tp1032 +a(g900 +g958 +tp1033 +a(g548 +V6c +p1034 +tp1035 +a(g900 +g958 +tp1036 +a(g548 +V6f +p1037 +tp1038 +a(g900 +g958 +tp1039 +a(g548 +V20 +p1040 +tp1041 +a(g900 +g958 +tp1042 +a(g548 +V4c +p1043 +tp1044 +a(g900 +g958 +tp1045 +a(g900 +g958 +tp1046 +a(g548 +V75 +p1047 +tp1048 +a(g900 +g958 +tp1049 +a(g548 +V6e +p1050 +tp1051 +a(g900 +g958 +tp1052 +a(g548 +V65 +p1053 +tp1054 +a(g900 +g958 +tp1055 +a(g548 +V73 +p1056 +tp1057 +a(g900 +g958 +tp1058 +a(g548 +V75 +p1059 +tp1060 +a(g900 +g958 +tp1061 +a(g548 +V20 +p1062 +tp1063 +a(g900 +g958 +tp1064 +a(g548 +V61 +p1065 +tp1066 +a(g900 +g958 +tp1067 +a(g548 +V6e +p1068 +tp1069 +a(g900 +V +p1070 +tp1071 +a(g685 +g1011 +tp1072 +a(g341 +Vonello Lunesu an +p1073 +tp1074 +a(g685 +g1011 +tp1075 +a(g900 +V\u000a +p1076 +tp1077 +a(g8 +V00000020 +p1078 +tp1079 +a(g900 +g958 +tp1080 +a(g900 +g958 +tp1081 +a(g548 +V64 +p1082 +tp1083 +a(g900 +g958 +tp1084 +a(g548 +V20 +p1085 +tp1086 +a(g900 +g958 +tp1087 +a(g548 +V70 +p1088 +tp1089 +a(g900 +g958 +tp1090 +a(g548 +V6c +p1091 +tp1092 +a(g900 +g958 +tp1093 +a(g548 +V61 +p1094 +tp1095 +a(g900 +g958 +tp1096 +a(g548 +V63 +p1097 +tp1098 +a(g900 +g958 +tp1099 +a(g548 +V65 +p1100 +tp1101 +a(g900 +g958 +tp1102 +a(g548 +V64 +p1103 +tp1104 +a(g900 +g958 +tp1105 +a(g900 +g958 +tp1106 +a(g548 +V20 +p1107 +tp1108 +a(g900 +g958 +tp1109 +a(g548 +V69 +p1110 +tp1111 +a(g900 +g958 +tp1112 +a(g548 +V6e +p1113 +tp1114 +a(g900 +g958 +tp1115 +a(g548 +V20 +p1116 +tp1117 +a(g900 +g958 +tp1118 +a(g548 +V74 +p1119 +tp1120 +a(g900 +g958 +tp1121 +a(g548 +V68 +p1122 +tp1123 +a(g900 +g958 +tp1124 +a(g548 +V65 +p1125 +tp1126 +a(g900 +g958 +tp1127 +a(g548 +V20 +p1128 +tp1129 +a(g900 +V +p1130 +tp1131 +a(g685 +g1011 +tp1132 +a(g341 +Vd placed in the +p1133 +tp1134 +a(g685 +g1011 +tp1135 +a(g900 +V\u000a +p1136 +tp1137 +a(g8 +V00000030 +p1138 +tp1139 +a(g900 +g958 +tp1140 +a(g900 +g958 +tp1141 +a(g548 +V70 +p1142 +tp1143 +a(g900 +g958 +tp1144 +a(g548 +V75 +p1145 +tp1146 +a(g900 +g958 +tp1147 +a(g548 +V62 +p1148 +tp1149 +a(g900 +g958 +tp1150 +a(g548 +V6c +p1151 +tp1152 +a(g900 +g958 +tp1153 +a(g548 +V69 +p1154 +tp1155 +a(g900 +g958 +tp1156 +a(g548 +V63 +p1157 +tp1158 +a(g900 +g958 +tp1159 +a(g548 +V20 +p1160 +tp1161 +a(g900 +g958 +tp1162 +a(g548 +V64 +p1163 +tp1164 +a(g900 +g958 +tp1165 +a(g900 +g958 +tp1166 +a(g548 +V6f +p1167 +tp1168 +a(g900 +g958 +tp1169 +a(g548 +V6d +p1170 +tp1171 +a(g900 +g958 +tp1172 +a(g548 +V61 +p1173 +tp1174 +a(g900 +g958 +tp1175 +a(g548 +V69 +p1176 +tp1177 +a(g900 +g958 +tp1178 +a(g548 +V6e +p1179 +tp1180 +a(g900 +g958 +tp1181 +a(g548 +V2e +p1182 +tp1183 +a(g900 +g958 +tp1184 +a(g548 +V0a +p1185 +tp1186 +a(g900 +g958 +tp1187 +a(g548 +V2f +p1188 +tp1189 +a(g900 +V +p1190 +tp1191 +a(g685 +g1011 +tp1192 +a(g341 +Vpublic domain../ +p1193 +tp1194 +a(g685 +g1011 +tp1195 +a(g900 +V\u000a +p1196 +tp1197 +a(g8 +V00000040 +p1198 +tp1199 +a(g900 +g958 +tp1200 +a(g900 +g958 +tp1201 +a(g548 +V2f +p1202 +tp1203 +a(g900 +g958 +tp1204 +a(g548 +V20 +p1205 +tp1206 +a(g900 +g958 +tp1207 +a(g548 +V54 +p1208 +tp1209 +a(g900 +g958 +tp1210 +a(g548 +V68 +p1211 +tp1212 +a(g900 +g958 +tp1213 +a(g548 +V69 +p1214 +tp1215 +a(g900 +g958 +tp1216 +a(g548 +V73 +p1217 +tp1218 +a(g900 +g958 +tp1219 +a(g548 +V20 +p1220 +tp1221 +a(g900 +g958 +tp1222 +a(g548 +V66 +p1223 +tp1224 +a(g900 +g958 +tp1225 +a(g900 +g958 +tp1226 +a(g548 +V69 +p1227 +tp1228 +a(g900 +g958 +tp1229 +a(g548 +V6c +p1230 +tp1231 +a(g900 +g958 +tp1232 +a(g548 +V65 +p1233 +tp1234 +a(g900 +g958 +tp1235 +a(g548 +V20 +p1236 +tp1237 +a(g900 +g958 +tp1238 +a(g548 +V68 +p1239 +tp1240 +a(g900 +g958 +tp1241 +a(g548 +V61 +p1242 +tp1243 +a(g900 +g958 +tp1244 +a(g548 +V73 +p1245 +tp1246 +a(g900 +g958 +tp1247 +a(g548 +V20 +p1248 +tp1249 +a(g900 +V +p1250 +tp1251 +a(g685 +g1011 +tp1252 +a(g341 +V/ This file has +p1253 +tp1254 +a(g685 +g1011 +tp1255 +a(g900 +V\u000a +p1256 +tp1257 +a(g8 +V00000050 +p1258 +tp1259 +a(g900 +g958 +tp1260 +a(g900 +g958 +tp1261 +a(g548 +V62 +p1262 +tp1263 +a(g900 +g958 +tp1264 +a(g548 +V65 +p1265 +tp1266 +a(g900 +g958 +tp1267 +a(g548 +V65 +p1268 +tp1269 +a(g900 +g958 +tp1270 +a(g548 +V6e +p1271 +tp1272 +a(g900 +g958 +tp1273 +a(g548 +V20 +p1274 +tp1275 +a(g900 +g958 +tp1276 +a(g548 +V6d +p1277 +tp1278 +a(g900 +g958 +tp1279 +a(g548 +V6f +p1280 +tp1281 +a(g900 +g958 +tp1282 +a(g548 +V64 +p1283 +tp1284 +a(g900 +g958 +tp1285 +a(g900 +g958 +tp1286 +a(g548 +V69 +p1287 +tp1288 +a(g900 +g958 +tp1289 +a(g548 +V66 +p1290 +tp1291 +a(g900 +g958 +tp1292 +a(g548 +V69 +p1293 +tp1294 +a(g900 +g958 +tp1295 +a(g548 +V65 +p1296 +tp1297 +a(g900 +g958 +tp1298 +a(g548 +V64 +p1299 +tp1300 +a(g900 +g958 +tp1301 +a(g548 +V20 +p1302 +tp1303 +a(g900 +g958 +tp1304 +a(g548 +V66 +p1305 +tp1306 +a(g900 +g958 +tp1307 +a(g548 +V72 +p1308 +tp1309 +a(g900 +V +p1310 +tp1311 +a(g685 +g1011 +tp1312 +a(g341 +Vbeen modified fr +p1313 +tp1314 +a(g685 +g1011 +tp1315 +a(g900 +V\u000a +p1316 +tp1317 +a(g8 +V00000060 +p1318 +tp1319 +a(g900 +g958 +tp1320 +a(g900 +g958 +tp1321 +a(g548 +V6f +p1322 +tp1323 +a(g900 +g958 +tp1324 +a(g548 +V6d +p1325 +tp1326 +a(g900 +g958 +tp1327 +a(g548 +V20 +p1328 +tp1329 +a(g900 +g958 +tp1330 +a(g548 +V69 +p1331 +tp1332 +a(g900 +g958 +tp1333 +a(g548 +V74 +p1334 +tp1335 +a(g900 +g958 +tp1336 +a(g548 +V73 +p1337 +tp1338 +a(g900 +g958 +tp1339 +a(g548 +V20 +p1340 +tp1341 +a(g900 +g958 +tp1342 +a(g548 +V6f +p1343 +tp1344 +a(g900 +g958 +tp1345 +a(g900 +g958 +tp1346 +a(g548 +V72 +p1347 +tp1348 +a(g900 +g958 +tp1349 +a(g548 +V69 +p1350 +tp1351 +a(g900 +g958 +tp1352 +a(g548 +V67 +p1353 +tp1354 +a(g900 +g958 +tp1355 +a(g548 +V69 +p1356 +tp1357 +a(g900 +g958 +tp1358 +a(g548 +V6e +p1359 +tp1360 +a(g900 +g958 +tp1361 +a(g548 +V61 +p1362 +tp1363 +a(g900 +g958 +tp1364 +a(g548 +V6c +p1365 +tp1366 +a(g900 +g958 +tp1367 +a(g548 +V20 +p1368 +tp1369 +a(g900 +V +p1370 +tp1371 +a(g685 +g1011 +tp1372 +a(g341 +Vom its original +p1373 +tp1374 +a(g685 +g1011 +tp1375 +a(g900 +V\u000a +p1376 +tp1377 +a(g8 +V00000070 +p1378 +tp1379 +a(g900 +g958 +tp1380 +a(g900 +g958 +tp1381 +a(g548 +V76 +p1382 +tp1383 +a(g900 +g958 +tp1384 +a(g548 +V65 +p1385 +tp1386 +a(g900 +g958 +tp1387 +a(g548 +V72 +p1388 +tp1389 +a(g900 +g958 +tp1390 +a(g548 +V73 +p1391 +tp1392 +a(g900 +g958 +tp1393 +a(g548 +V69 +p1394 +tp1395 +a(g900 +g958 +tp1396 +a(g548 +V6f +p1397 +tp1398 +a(g900 +g958 +tp1399 +a(g548 +V6e +p1400 +tp1401 +a(g900 +g958 +tp1402 +a(g548 +V2e +p1403 +tp1404 +a(g900 +g958 +tp1405 +a(g900 +g958 +tp1406 +a(g548 +V0a +p1407 +tp1408 +a(g900 +g958 +tp1409 +a(g548 +V2f +p1410 +tp1411 +a(g900 +g958 +tp1412 +a(g548 +V2f +p1413 +tp1414 +a(g900 +g958 +tp1415 +a(g548 +V20 +p1416 +tp1417 +a(g900 +g958 +tp1418 +a(g548 +V49 +p1419 +tp1420 +a(g900 +g958 +tp1421 +a(g548 +V74 +p1422 +tp1423 +a(g900 +g958 +tp1424 +a(g548 +V20 +p1425 +tp1426 +a(g900 +g958 +tp1427 +a(g548 +V68 +p1428 +tp1429 +a(g900 +V +p1430 +tp1431 +a(g685 +g1011 +tp1432 +a(g341 +Vversion..// It h +p1433 +tp1434 +a(g685 +g1011 +tp1435 +a(g900 +V\u000a +p1436 +tp1437 +a(g8 +V00000080 +p1438 +tp1439 +a(g900 +g958 +tp1440 +a(g900 +g958 +tp1441 +a(g548 +V61 +p1442 +tp1443 +a(g900 +g958 +tp1444 +a(g548 +V73 +p1445 +tp1446 +a(g900 +g958 +tp1447 +a(g548 +V20 +p1448 +tp1449 +a(g900 +g958 +tp1450 +a(g548 +V62 +p1451 +tp1452 +a(g900 +g958 +tp1453 +a(g548 +V65 +p1454 +tp1455 +a(g900 +g958 +tp1456 +a(g548 +V65 +p1457 +tp1458 +a(g900 +g958 +tp1459 +a(g548 +V6e +p1460 +tp1461 +a(g900 +g958 +tp1462 +a(g548 +V20 +p1463 +tp1464 +a(g900 +g958 +tp1465 +a(g900 +g958 +tp1466 +a(g548 +V66 +p1467 +tp1468 +a(g900 +g958 +tp1469 +a(g548 +V6f +p1470 +tp1471 +a(g900 +g958 +tp1472 +a(g548 +V72 +p1473 +tp1474 +a(g900 +g958 +tp1475 +a(g548 +V6d +p1476 +tp1477 +a(g900 +g958 +tp1478 +a(g548 +V61 +p1479 +tp1480 +a(g900 +g958 +tp1481 +a(g548 +V74 +p1482 +tp1483 +a(g900 +g958 +tp1484 +a(g548 +V74 +p1485 +tp1486 +a(g900 +g958 +tp1487 +a(g548 +V65 +p1488 +tp1489 +a(g900 +V +p1490 +tp1491 +a(g685 +g1011 +tp1492 +a(g341 +Vas been formatte +p1493 +tp1494 +a(g685 +g1011 +tp1495 +a(g900 +V\u000a +p1496 +tp1497 +a(g8 +V00000090 +p1498 +tp1499 +a(g900 +g958 +tp1500 +a(g900 +g958 +tp1501 +a(g548 +V64 +p1502 +tp1503 +a(g900 +g958 +tp1504 +a(g548 +V20 +p1505 +tp1506 +a(g900 +g958 +tp1507 +a(g548 +V74 +p1508 +tp1509 +a(g900 +g958 +tp1510 +a(g548 +V6f +p1511 +tp1512 +a(g900 +g958 +tp1513 +a(g548 +V20 +p1514 +tp1515 +a(g900 +g958 +tp1516 +a(g548 +V66 +p1517 +tp1518 +a(g900 +g958 +tp1519 +a(g548 +V69 +p1520 +tp1521 +a(g900 +g958 +tp1522 +a(g548 +V74 +p1523 +tp1524 +a(g900 +g958 +tp1525 +a(g900 +g958 +tp1526 +a(g548 +V20 +p1527 +tp1528 +a(g900 +g958 +tp1529 +a(g548 +V79 +p1530 +tp1531 +a(g900 +g958 +tp1532 +a(g548 +V6f +p1533 +tp1534 +a(g900 +g958 +tp1535 +a(g548 +V75 +p1536 +tp1537 +a(g900 +g958 +tp1538 +a(g548 +V72 +p1539 +tp1540 +a(g900 +g958 +tp1541 +a(g548 +V20 +p1542 +tp1543 +a(g900 +g958 +tp1544 +a(g548 +V73 +p1545 +tp1546 +a(g900 +g958 +tp1547 +a(g548 +V63 +p1548 +tp1549 +a(g900 +V +p1550 +tp1551 +a(g685 +g1011 +tp1552 +a(g341 +Vd to fit your sc +p1553 +tp1554 +a(g685 +g1011 +tp1555 +a(g900 +V\u000a +p1556 +tp1557 +a(g8 +V000000a0 +p1558 +tp1559 +a(g900 +g958 +tp1560 +a(g900 +g958 +tp1561 +a(g548 +V72 +p1562 +tp1563 +a(g900 +g958 +tp1564 +a(g548 +V65 +p1565 +tp1566 +a(g900 +g958 +tp1567 +a(g548 +V65 +p1568 +tp1569 +a(g900 +g958 +tp1570 +a(g548 +V6e +p1571 +tp1572 +a(g900 +g958 +tp1573 +a(g548 +V2e +p1574 +tp1575 +a(g900 +g958 +tp1576 +a(g548 +V0a +p1577 +tp1578 +a(g900 +g958 +tp1579 +a(g548 +V6d +p1580 +tp1581 +a(g900 +g958 +tp1582 +a(g548 +V6f +p1583 +tp1584 +a(g900 +g958 +tp1585 +a(g900 +g958 +tp1586 +a(g548 +V64 +p1587 +tp1588 +a(g900 +g958 +tp1589 +a(g548 +V75 +p1590 +tp1591 +a(g900 +g958 +tp1592 +a(g548 +V6c +p1593 +tp1594 +a(g900 +g958 +tp1595 +a(g548 +V65 +p1596 +tp1597 +a(g900 +g958 +tp1598 +a(g548 +V20 +p1599 +tp1600 +a(g900 +g958 +tp1601 +a(g548 +V70 +p1602 +tp1603 +a(g900 +g958 +tp1604 +a(g548 +V68 +p1605 +tp1606 +a(g900 +g958 +tp1607 +a(g548 +V6f +p1608 +tp1609 +a(g900 +V +p1610 +tp1611 +a(g685 +g1011 +tp1612 +a(g341 +Vreen..module pho +p1613 +tp1614 +a(g685 +g1011 +tp1615 +a(g900 +V\u000a +p1616 +tp1617 +a(g8 +V000000b0 +p1618 +tp1619 +a(g900 +g958 +tp1620 +a(g900 +g958 +tp1621 +a(g548 +V6e +p1622 +tp1623 +a(g900 +g958 +tp1624 +a(g548 +V65 +p1625 +tp1626 +a(g900 +g958 +tp1627 +a(g548 +V6e +p1628 +tp1629 +a(g900 +g958 +tp1630 +a(g548 +V6f +p1631 +tp1632 +a(g900 +g958 +tp1633 +a(g548 +V3b +p1634 +tp1635 +a(g900 +g958 +tp1636 +a(g548 +V20 +p1637 +tp1638 +a(g900 +g958 +tp1639 +a(g548 +V20 +p1640 +tp1641 +a(g900 +g958 +tp1642 +a(g548 +V20 +p1643 +tp1644 +a(g900 +g958 +tp1645 +a(g900 +g958 +tp1646 +a(g548 +V20 +p1647 +tp1648 +a(g900 +g958 +tp1649 +a(g548 +V20 +p1650 +tp1651 +a(g900 +g958 +tp1652 +a(g548 +V2f +p1653 +tp1654 +a(g900 +g958 +tp1655 +a(g548 +V2f +p1656 +tp1657 +a(g900 +g958 +tp1658 +a(g548 +V20 +p1659 +tp1660 +a(g900 +g958 +tp1661 +a(g548 +V6f +p1662 +tp1663 +a(g900 +g958 +tp1664 +a(g548 +V70 +p1665 +tp1666 +a(g900 +g958 +tp1667 +a(g548 +V74 +p1668 +tp1669 +a(g900 +V +p1670 +tp1671 +a(g685 +g1011 +tp1672 +a(g341 +Vneno; // opt +p1673 +tp1674 +a(g685 +g1011 +tp1675 +a(g900 +V\u000a +p1676 +tp1677 +a(g8 +V000000c0 +p1678 +tp1679 +a(g900 +g958 +tp1680 +a(g900 +g958 +tp1681 +a(g548 +V69 +p1682 +tp1683 +a(g900 +g958 +tp1684 +a(g548 +V6f +p1685 +tp1686 +a(g900 +g958 +tp1687 +a(g548 +V6e +p1688 +tp1689 +a(g900 +g958 +tp1690 +a(g548 +V61 +p1691 +tp1692 +a(g900 +g958 +tp1693 +a(g548 +V6c +p1694 +tp1695 +a(g900 +g958 +tp1696 +a(g548 +V0a +p1697 +tp1698 +a(g900 +g958 +tp1699 +a(g548 +V69 +p1700 +tp1701 +a(g900 +g958 +tp1702 +a(g548 +V6d +p1703 +tp1704 +a(g900 +g958 +tp1705 +a(g900 +g958 +tp1706 +a(g548 +V70 +p1707 +tp1708 +a(g900 +g958 +tp1709 +a(g548 +V6f +p1710 +tp1711 +a(g900 +g958 +tp1712 +a(g548 +V72 +p1713 +tp1714 +a(g900 +g958 +tp1715 +a(g548 +V74 +p1716 +tp1717 +a(g900 +g958 +tp1718 +a(g548 +V20 +p1719 +tp1720 +a(g900 +g958 +tp1721 +a(g548 +V73 +p1722 +tp1723 +a(g900 +g958 +tp1724 +a(g548 +V74 +p1725 +tp1726 +a(g900 +g958 +tp1727 +a(g548 +V64 +p1728 +tp1729 +a(g900 +V +p1730 +tp1731 +a(g685 +g1011 +tp1732 +a(g341 +Vional.import std +p1733 +tp1734 +a(g685 +g1011 +tp1735 +a(g900 +V\u000a +p1736 +tp1737 +a(g8 +V000000d0 +p1738 +tp1739 +a(g900 +g958 +tp1740 +a(g900 +g958 +tp1741 +a(g548 +V2e +p1742 +tp1743 +a(g900 +g958 +tp1744 +a(g548 +V73 +p1745 +tp1746 +a(g900 +g958 +tp1747 +a(g548 +V74 +p1748 +tp1749 +a(g900 +g958 +tp1750 +a(g548 +V64 +p1751 +tp1752 +a(g900 +g958 +tp1753 +a(g548 +V69 +p1754 +tp1755 +a(g900 +g958 +tp1756 +a(g548 +V6f +p1757 +tp1758 +a(g900 +g958 +tp1759 +a(g548 +V3b +p1760 +tp1761 +a(g900 +g958 +tp1762 +a(g548 +V20 +p1763 +tp1764 +a(g900 +g958 +tp1765 +a(g900 +g958 +tp1766 +a(g548 +V20 +p1767 +tp1768 +a(g900 +g958 +tp1769 +a(g548 +V20 +p1770 +tp1771 +a(g900 +g958 +tp1772 +a(g548 +V2f +p1773 +tp1774 +a(g900 +g958 +tp1775 +a(g548 +V2f +p1776 +tp1777 +a(g900 +g958 +tp1778 +a(g548 +V20 +p1779 +tp1780 +a(g900 +g958 +tp1781 +a(g548 +V77 +p1782 +tp1783 +a(g900 +g958 +tp1784 +a(g548 +V72 +p1785 +tp1786 +a(g900 +g958 +tp1787 +a(g548 +V69 +p1788 +tp1789 +a(g900 +V +p1790 +tp1791 +a(g685 +g1011 +tp1792 +a(g341 +V.stdio; // wri +p1793 +tp1794 +a(g685 +g1011 +tp1795 +a(g900 +V\u000a +p1796 +tp1797 +a(g8 +V000000e0 +p1798 +tp1799 +a(g900 +g958 +tp1800 +a(g900 +g958 +tp1801 +a(g548 +V74 +p1802 +tp1803 +a(g900 +g958 +tp1804 +a(g548 +V65 +p1805 +tp1806 +a(g900 +g958 +tp1807 +a(g548 +V66 +p1808 +tp1809 +a(g900 +g958 +tp1810 +a(g548 +V6c +p1811 +tp1812 +a(g900 +g958 +tp1813 +a(g548 +V6e +p1814 +tp1815 +a(g900 +g958 +tp1816 +a(g548 +V20 +p1817 +tp1818 +a(g900 +g958 +tp1819 +a(g548 +V20 +p1820 +tp1821 +a(g900 +g958 +tp1822 +a(g548 +V20 +p1823 +tp1824 +a(g900 +g958 +tp1825 +a(g900 +g958 +tp1826 +a(g548 +V20 +p1827 +tp1828 +a(g900 +g958 +tp1829 +a(g548 +V20 +p1830 +tp1831 +a(g900 +g958 +tp1832 +a(g548 +V0a +p1833 +tp1834 +a(g900 +g958 +tp1835 +a(g548 +V69 +p1836 +tp1837 +a(g900 +g958 +tp1838 +a(g548 +V6d +p1839 +tp1840 +a(g900 +g958 +tp1841 +a(g548 +V70 +p1842 +tp1843 +a(g900 +g958 +tp1844 +a(g548 +V6f +p1845 +tp1846 +a(g900 +g958 +tp1847 +a(g548 +V72 +p1848 +tp1849 +a(g900 +V +p1850 +tp1851 +a(g685 +g1011 +tp1852 +a(g341 +Vtefln .impor +p1853 +tp1854 +a(g685 +g1011 +tp1855 +a(g900 +V\u000a +p1856 +tp1857 +a(g8 +V000000f0 +p1858 +tp1859 +a(g900 +g958 +tp1860 +a(g900 +g958 +tp1861 +a(g548 +V74 +p1862 +tp1863 +a(g900 +g958 +tp1864 +a(g548 +V20 +p1865 +tp1866 +a(g900 +g958 +tp1867 +a(g548 +V73 +p1868 +tp1869 +a(g900 +g958 +tp1870 +a(g548 +V74 +p1871 +tp1872 +a(g900 +g958 +tp1873 +a(g548 +V64 +p1874 +tp1875 +a(g900 +g958 +tp1876 +a(g548 +V2e +p1877 +tp1878 +a(g900 +g958 +tp1879 +a(g548 +V63 +p1880 +tp1881 +a(g900 +g958 +tp1882 +a(g548 +V74 +p1883 +tp1884 +a(g900 +g958 +tp1885 +a(g900 +g958 +tp1886 +a(g548 +V79 +p1887 +tp1888 +a(g900 +g958 +tp1889 +a(g548 +V70 +p1890 +tp1891 +a(g900 +g958 +tp1892 +a(g548 +V65 +p1893 +tp1894 +a(g900 +g958 +tp1895 +a(g548 +V3b +p1896 +tp1897 +a(g900 +g958 +tp1898 +a(g548 +V20 +p1899 +tp1900 +a(g900 +g958 +tp1901 +a(g548 +V20 +p1902 +tp1903 +a(g900 +g958 +tp1904 +a(g548 +V20 +p1905 +tp1906 +a(g900 +g958 +tp1907 +a(g548 +V2f +p1908 +tp1909 +a(g900 +V +p1910 +tp1911 +a(g685 +g1011 +tp1912 +a(g341 +Vt std.ctype; / +p1913 +tp1914 +a(g685 +g1011 +tp1915 +a(g900 +V\u000a +p1916 +tp1917 +a(g8 +V00000100 +p1918 +tp1919 +a(g900 +g958 +tp1920 +a(g900 +g958 +tp1921 +a(g548 +V2f +p1922 +tp1923 +a(g900 +g958 +tp1924 +a(g548 +V20 +p1925 +tp1926 +a(g900 +g958 +tp1927 +a(g548 +V69 +p1928 +tp1929 +a(g900 +g958 +tp1930 +a(g548 +V73 +p1931 +tp1932 +a(g900 +g958 +tp1933 +a(g548 +V64 +p1934 +tp1935 +a(g900 +g958 +tp1936 +a(g548 +V69 +p1937 +tp1938 +a(g900 +g958 +tp1939 +a(g548 +V67 +p1940 +tp1941 +a(g900 +g958 +tp1942 +a(g548 +V69 +p1943 +tp1944 +a(g900 +g958 +tp1945 +a(g900 +g958 +tp1946 +a(g548 +V74 +p1947 +tp1948 +a(g900 +g958 +tp1949 +a(g548 +V20 +p1950 +tp1951 +a(g900 +g958 +tp1952 +a(g548 +V20 +p1953 +tp1954 +a(g900 +g958 +tp1955 +a(g548 +V20 +p1956 +tp1957 +a(g900 +g958 +tp1958 +a(g548 +V20 +p1959 +tp1960 +a(g900 +g958 +tp1961 +a(g548 +V20 +p1962 +tp1963 +a(g900 +g958 +tp1964 +a(g548 +V0a +p1965 +tp1966 +a(g900 +g958 +tp1967 +a(g548 +V69 +p1968 +tp1969 +a(g900 +V +p1970 +tp1971 +a(g685 +g1011 +tp1972 +a(g341 +V/ isdigit .i +p1973 +tp1974 +a(g685 +g1011 +tp1975 +a(g900 +V\u000a +p1976 +tp1977 +a(g8 +V00000110 +p1978 +tp1979 +a(g900 +g958 +tp1980 +a(g900 +g958 +tp1981 +a(g548 +V6d +p1982 +tp1983 +a(g900 +g958 +tp1984 +a(g548 +V70 +p1985 +tp1986 +a(g900 +g958 +tp1987 +a(g548 +V6f +p1988 +tp1989 +a(g900 +g958 +tp1990 +a(g548 +V72 +p1991 +tp1992 +a(g900 +g958 +tp1993 +a(g548 +V74 +p1994 +tp1995 +a(g900 +g958 +tp1996 +a(g548 +V20 +p1997 +tp1998 +a(g900 +g958 +tp1999 +a(g548 +V73 +p2000 +tp2001 +a(g900 +g958 +tp2002 +a(g548 +V74 +p2003 +tp2004 +a(g900 +g958 +tp2005 +a(g900 +g958 +tp2006 +a(g548 +V64 +p2007 +tp2008 +a(g900 +g958 +tp2009 +a(g548 +V2e +p2010 +tp2011 +a(g900 +g958 +tp2012 +a(g548 +V73 +p2013 +tp2014 +a(g900 +g958 +tp2015 +a(g548 +V74 +p2016 +tp2017 +a(g900 +g958 +tp2018 +a(g548 +V72 +p2019 +tp2020 +a(g900 +g958 +tp2021 +a(g548 +V65 +p2022 +tp2023 +a(g900 +g958 +tp2024 +a(g548 +V61 +p2025 +tp2026 +a(g900 +g958 +tp2027 +a(g548 +V6d +p2028 +tp2029 +a(g900 +V +p2030 +tp2031 +a(g685 +g1011 +tp2032 +a(g341 +Vmport std.stream +p2033 +tp2034 +a(g685 +g1011 +tp2035 +a(g900 +V\u000a +p2036 +tp2037 +a(g8 +V00000120 +p2038 +tp2039 +a(g900 +g958 +tp2040 +a(g900 +g958 +tp2041 +a(g548 +V3b +p2042 +tp2043 +a(g900 +g958 +tp2044 +a(g548 +V20 +p2045 +tp2046 +a(g900 +g958 +tp2047 +a(g548 +V20 +p2048 +tp2049 +a(g900 +g958 +tp2050 +a(g548 +V2f +p2051 +tp2052 +a(g900 +g958 +tp2053 +a(g548 +V2f +p2054 +tp2055 +a(g900 +g958 +tp2056 +a(g548 +V20 +p2057 +tp2058 +a(g900 +g958 +tp2059 +a(g548 +V42 +p2060 +tp2061 +a(g900 +g958 +tp2062 +a(g548 +V75 +p2063 +tp2064 +a(g900 +g958 +tp2065 +a(g900 +g958 +tp2066 +a(g548 +V66 +p2067 +tp2068 +a(g900 +g958 +tp2069 +a(g548 +V66 +p2070 +tp2071 +a(g900 +g958 +tp2072 +a(g548 +V65 +p2073 +tp2074 +a(g900 +g958 +tp2075 +a(g548 +V72 +p2076 +tp2077 +a(g900 +g958 +tp2078 +a(g548 +V65 +p2079 +tp2080 +a(g900 +g958 +tp2081 +a(g548 +V64 +p2082 +tp2083 +a(g900 +g958 +tp2084 +a(g548 +V46 +p2085 +tp2086 +a(g900 +g958 +tp2087 +a(g548 +V69 +p2088 +tp2089 +a(g900 +V +p2090 +tp2091 +a(g685 +g1011 +tp2092 +a(g341 +V; // BufferedFi +p2093 +tp2094 +a(g685 +g1011 +tp2095 +a(g900 +V\u000a +p2096 +tp2097 +a(g8 +V00000130 +p2098 +tp2099 +a(g900 +g958 +tp2100 +a(g900 +g958 +tp2101 +a(g548 +V6c +p2102 +tp2103 +a(g900 +g958 +tp2104 +a(g548 +V65 +p2105 +tp2106 +a(g900 +g958 +tp2107 +a(g548 +V0a +p2108 +tp2109 +a(g900 +g958 +tp2110 +a(g548 +V0a +p2111 +tp2112 +a(g900 +g958 +tp2113 +a(g548 +V2f +p2114 +tp2115 +a(g900 +g958 +tp2116 +a(g548 +V2f +p2117 +tp2118 +a(g900 +g958 +tp2119 +a(g548 +V20 +p2120 +tp2121 +a(g900 +g958 +tp2122 +a(g548 +V4a +p2123 +tp2124 +a(g900 +g958 +tp2125 +a(g900 +g958 +tp2126 +a(g548 +V75 +p2127 +tp2128 +a(g900 +g958 +tp2129 +a(g548 +V73 +p2130 +tp2131 +a(g900 +g958 +tp2132 +a(g548 +V74 +p2133 +tp2134 +a(g900 +g958 +tp2135 +a(g548 +V20 +p2136 +tp2137 +a(g900 +g958 +tp2138 +a(g548 +V66 +p2139 +tp2140 +a(g900 +g958 +tp2141 +a(g548 +V6f +p2142 +tp2143 +a(g900 +g958 +tp2144 +a(g548 +V72 +p2145 +tp2146 +a(g900 +g958 +tp2147 +a(g548 +V20 +p2148 +tp2149 +a(g900 +V +p2150 +tp2151 +a(g685 +g1011 +tp2152 +a(g341 +Vle..// Just for +p2153 +tp2154 +a(g685 +g1011 +tp2155 +a(g900 +V\u000a +p2156 +tp2157 +a(g8 +V00000140 +p2158 +tp2159 +a(g900 +g958 +tp2160 +a(g900 +g958 +tp2161 +a(g548 +V72 +p2162 +tp2163 +a(g900 +g958 +tp2164 +a(g548 +V65 +p2165 +tp2166 +a(g900 +g958 +tp2167 +a(g548 +V61 +p2168 +tp2169 +a(g900 +g958 +tp2170 +a(g548 +V64 +p2171 +tp2172 +a(g900 +g958 +tp2173 +a(g548 +V61 +p2174 +tp2175 +a(g900 +g958 +tp2176 +a(g548 +V62 +p2177 +tp2178 +a(g900 +g958 +tp2179 +a(g548 +V69 +p2180 +tp2181 +a(g900 +g958 +tp2182 +a(g548 +V6c +p2183 +tp2184 +a(g900 +g958 +tp2185 +a(g900 +g958 +tp2186 +a(g548 +V69 +p2187 +tp2188 +a(g900 +g958 +tp2189 +a(g548 +V74 +p2190 +tp2191 +a(g900 +g958 +tp2192 +a(g548 +V79 +p2193 +tp2194 +a(g900 +g958 +tp2195 +a(g548 +V20 +p2196 +tp2197 +a(g900 +g958 +tp2198 +a(g548 +V28 +p2199 +tp2200 +a(g900 +g958 +tp2201 +a(g548 +V69 +p2202 +tp2203 +a(g900 +g958 +tp2204 +a(g548 +V6d +p2205 +tp2206 +a(g900 +g958 +tp2207 +a(g548 +V61 +p2208 +tp2209 +a(g900 +V +p2210 +tp2211 +a(g685 +g1011 +tp2212 +a(g341 +Vreadability (ima +p2213 +tp2214 +a(g685 +g1011 +tp2215 +a(g900 +V\u000a +p2216 +tp2217 +a(g8 +V00000150 +p2218 +tp2219 +a(g900 +g958 +tp2220 +a(g900 +g958 +tp2221 +a(g548 +V67 +p2222 +tp2223 +a(g900 +g958 +tp2224 +a(g548 +V69 +p2225 +tp2226 +a(g900 +g958 +tp2227 +a(g548 +V6e +p2228 +tp2229 +a(g900 +g958 +tp2230 +a(g548 +V65 +p2231 +tp2232 +a(g900 +g958 +tp2233 +a(g548 +V20 +p2234 +tp2235 +a(g900 +g958 +tp2236 +a(g548 +V63 +p2237 +tp2238 +a(g900 +g958 +tp2239 +a(g548 +V68 +p2240 +tp2241 +a(g900 +g958 +tp2242 +a(g548 +V61 +p2243 +tp2244 +a(g900 +g958 +tp2245 +a(g900 +g958 +tp2246 +a(g548 +V72 +p2247 +tp2248 +a(g900 +g958 +tp2249 +a(g548 +V5b +p2250 +tp2251 +a(g900 +g958 +tp2252 +a(g548 +V5d +p2253 +tp2254 +a(g900 +g958 +tp2255 +a(g548 +V5b +p2256 +tp2257 +a(g900 +g958 +tp2258 +a(g548 +V5d +p2259 +tp2260 +a(g900 +g958 +tp2261 +a(g548 +V5b +p2262 +tp2263 +a(g900 +g958 +tp2264 +a(g548 +V63 +p2265 +tp2266 +a(g900 +g958 +tp2267 +a(g548 +V68 +p2268 +tp2269 +a(g900 +V +p2270 +tp2271 +a(g685 +g1011 +tp2272 +a(g341 +Vgine char[][][ch +p2273 +tp2274 +a(g685 +g1011 +tp2275 +a(g900 +V\u000a +p2276 +tp2277 +a(g8 +V00000160 +p2278 +tp2279 +a(g900 +g958 +tp2280 +a(g900 +g958 +tp2281 +a(g548 +V61 +p2282 +tp2283 +a(g900 +g958 +tp2284 +a(g548 +V72 +p2285 +tp2286 +a(g900 +g958 +tp2287 +a(g548 +V5b +p2288 +tp2289 +a(g900 +g958 +tp2290 +a(g548 +V5d +p2291 +tp2292 +a(g900 +g958 +tp2293 +a(g548 +V5d +p2294 +tp2295 +a(g900 +g958 +tp2296 +a(g548 +V29 +p2297 +tp2298 +a(g900 +g958 +tp2299 +a(g548 +V20 +p2300 +tp2301 +a(g900 +g958 +tp2302 +a(g548 +V20 +p2303 +tp2304 +a(g900 +g958 +tp2305 +a(g900 +g958 +tp2306 +a(g548 +V20 +p2307 +tp2308 +a(g900 +g958 +tp2309 +a(g548 +V20 +p2310 +tp2311 +a(g900 +g958 +tp2312 +a(g548 +V0a +p2313 +tp2314 +a(g900 +g958 +tp2315 +a(g548 +V61 +p2316 +tp2317 +a(g900 +g958 +tp2318 +a(g548 +V6c +p2319 +tp2320 +a(g900 +g958 +tp2321 +a(g548 +V69 +p2322 +tp2323 +a(g900 +g958 +tp2324 +a(g548 +V61 +p2325 +tp2326 +a(g900 +g958 +tp2327 +a(g548 +V73 +p2328 +tp2329 +a(g900 +V +p2330 +tp2331 +a(g685 +g1011 +tp2332 +a(g341 +Var[]]) .alias +p2333 +tp2334 +a(g685 +g1011 +tp2335 +a(g900 +V\u000a +p2336 +tp2337 +a(g8 +V00000170 +p2338 +tp2339 +a(g900 +g958 +tp2340 +a(g900 +g958 +tp2341 +a(g548 +V20 +p2342 +tp2343 +a(g900 +g958 +tp2344 +a(g548 +V63 +p2345 +tp2346 +a(g900 +g958 +tp2347 +a(g548 +V68 +p2348 +tp2349 +a(g900 +g958 +tp2350 +a(g548 +V61 +p2351 +tp2352 +a(g900 +g958 +tp2353 +a(g548 +V72 +p2354 +tp2355 +a(g900 +g958 +tp2356 +a(g548 +V5b +p2357 +tp2358 +a(g900 +g958 +tp2359 +a(g548 +V5d +p2360 +tp2361 +a(g900 +g958 +tp2362 +a(g548 +V20 +p2363 +tp2364 +a(g900 +g958 +tp2365 +a(g900 +g958 +tp2366 +a(g548 +V73 +p2367 +tp2368 +a(g900 +g958 +tp2369 +a(g548 +V74 +p2370 +tp2371 +a(g900 +g958 +tp2372 +a(g548 +V72 +p2373 +tp2374 +a(g900 +g958 +tp2375 +a(g548 +V69 +p2376 +tp2377 +a(g900 +g958 +tp2378 +a(g548 +V6e +p2379 +tp2380 +a(g900 +g958 +tp2381 +a(g548 +V67 +p2382 +tp2383 +a(g900 +g958 +tp2384 +a(g548 +V3b +p2385 +tp2386 +a(g900 +g958 +tp2387 +a(g548 +V0a +p2388 +tp2389 +a(g900 +V +p2390 +tp2391 +a(g685 +g1011 +tp2392 +a(g341 +V char[] string;. +p2393 +tp2394 +a(g685 +g1011 +tp2395 +a(g900 +V\u000a +p2396 +tp2397 +a(g8 +V00000180 +p2398 +tp2399 +a(g900 +g958 +tp2400 +a(g900 +g958 +tp2401 +a(g548 +V61 +p2402 +tp2403 +a(g900 +g958 +tp2404 +a(g548 +V6c +p2405 +tp2406 +a(g900 +g958 +tp2407 +a(g548 +V69 +p2408 +tp2409 +a(g900 +g958 +tp2410 +a(g548 +V61 +p2411 +tp2412 +a(g900 +g958 +tp2413 +a(g548 +V73 +p2414 +tp2415 +a(g900 +g958 +tp2416 +a(g548 +V20 +p2417 +tp2418 +a(g900 +g958 +tp2419 +a(g548 +V73 +p2420 +tp2421 +a(g900 +g958 +tp2422 +a(g548 +V74 +p2423 +tp2424 +a(g900 +g958 +tp2425 +a(g900 +g958 +tp2426 +a(g548 +V72 +p2427 +tp2428 +a(g900 +g958 +tp2429 +a(g548 +V69 +p2430 +tp2431 +a(g900 +g958 +tp2432 +a(g548 +V6e +p2433 +tp2434 +a(g900 +g958 +tp2435 +a(g548 +V67 +p2436 +tp2437 +a(g900 +g958 +tp2438 +a(g548 +V5b +p2439 +tp2440 +a(g900 +g958 +tp2441 +a(g548 +V5d +p2442 +tp2443 +a(g900 +g958 +tp2444 +a(g548 +V20 +p2445 +tp2446 +a(g900 +g958 +tp2447 +a(g548 +V73 +p2448 +tp2449 +a(g900 +V +p2450 +tp2451 +a(g685 +g1011 +tp2452 +a(g341 +Valias string[] s +p2453 +tp2454 +a(g685 +g1011 +tp2455 +a(g900 +V\u000a +p2456 +tp2457 +a(g8 +V00000190 +p2458 +tp2459 +a(g900 +g958 +tp2460 +a(g900 +g958 +tp2461 +a(g548 +V74 +p2462 +tp2463 +a(g900 +g958 +tp2464 +a(g548 +V72 +p2465 +tp2466 +a(g900 +g958 +tp2467 +a(g548 +V69 +p2468 +tp2469 +a(g900 +g958 +tp2470 +a(g548 +V6e +p2471 +tp2472 +a(g900 +g958 +tp2473 +a(g548 +V67 +p2474 +tp2475 +a(g900 +g958 +tp2476 +a(g548 +V61 +p2477 +tp2478 +a(g900 +g958 +tp2479 +a(g548 +V72 +p2480 +tp2481 +a(g900 +g958 +tp2482 +a(g548 +V72 +p2483 +tp2484 +a(g900 +g958 +tp2485 +a(g900 +g958 +tp2486 +a(g548 +V61 +p2487 +tp2488 +a(g900 +g958 +tp2489 +a(g548 +V79 +p2490 +tp2491 +a(g900 +g958 +tp2492 +a(g548 +V3b +p2493 +tp2494 +a(g900 +g958 +tp2495 +a(g548 +V0a +p2496 +tp2497 +a(g900 +g958 +tp2498 +a(g548 +V0a +p2499 +tp2500 +a(g900 +g958 +tp2501 +a(g548 +V2f +p2502 +tp2503 +a(g900 +g958 +tp2504 +a(g548 +V2f +p2505 +tp2506 +a(g900 +g958 +tp2507 +a(g548 +V2f +p2508 +tp2509 +a(g900 +V +p2510 +tp2511 +a(g685 +g1011 +tp2512 +a(g341 +Vtringarray;../// +p2513 +tp2514 +a(g685 +g1011 +tp2515 +a(g900 +V\u000a +p2516 +tp2517 +a(g8 +V000001a0 +p2518 +tp2519 +a(g900 +g958 +tp2520 +a(g900 +g958 +tp2521 +a(g548 +V20 +p2522 +tp2523 +a(g900 +g958 +tp2524 +a(g548 +V53 +p2525 +tp2526 +a(g900 +g958 +tp2527 +a(g548 +V74 +p2528 +tp2529 +a(g900 +g958 +tp2530 +a(g548 +V72 +p2531 +tp2532 +a(g900 +g958 +tp2533 +a(g548 +V69 +p2534 +tp2535 +a(g900 +g958 +tp2536 +a(g548 +V70 +p2537 +tp2538 +a(g900 +g958 +tp2539 +a(g548 +V73 +p2540 +tp2541 +a(g900 +g958 +tp2542 +a(g548 +V20 +p2543 +tp2544 +a(g900 +g958 +tp2545 +a(g900 +g958 +tp2546 +a(g548 +V6e +p2547 +tp2548 +a(g900 +g958 +tp2549 +a(g548 +V6f +p2550 +tp2551 +a(g900 +g958 +tp2552 +a(g548 +V6e +p2553 +tp2554 +a(g900 +g958 +tp2555 +a(g548 +V2d +p2556 +tp2557 +a(g900 +g958 +tp2558 +a(g548 +V64 +p2559 +tp2560 +a(g900 +g958 +tp2561 +a(g548 +V69 +p2562 +tp2563 +a(g900 +g958 +tp2564 +a(g548 +V67 +p2565 +tp2566 +a(g900 +g958 +tp2567 +a(g548 +V69 +p2568 +tp2569 +a(g900 +V +p2570 +tp2571 +a(g685 +g1011 +tp2572 +a(g341 +V Strips non-digi +p2573 +tp2574 +a(g685 +g1011 +tp2575 +a(g900 +V\u000a +p2576 +tp2577 +a(g8 +V000001b0 +p2578 +tp2579 +a(g900 +g958 +tp2580 +a(g900 +g958 +tp2581 +a(g548 +V74 +p2582 +tp2583 +a(g900 +g958 +tp2584 +a(g548 +V20 +p2585 +tp2586 +a(g900 +g958 +tp2587 +a(g548 +V63 +p2588 +tp2589 +a(g900 +g958 +tp2590 +a(g548 +V68 +p2591 +tp2592 +a(g900 +g958 +tp2593 +a(g548 +V61 +p2594 +tp2595 +a(g900 +g958 +tp2596 +a(g548 +V72 +p2597 +tp2598 +a(g900 +g958 +tp2599 +a(g548 +V61 +p2600 +tp2601 +a(g900 +g958 +tp2602 +a(g548 +V63 +p2603 +tp2604 +a(g900 +g958 +tp2605 +a(g900 +g958 +tp2606 +a(g548 +V74 +p2607 +tp2608 +a(g900 +g958 +tp2609 +a(g548 +V65 +p2610 +tp2611 +a(g900 +g958 +tp2612 +a(g548 +V72 +p2613 +tp2614 +a(g900 +g958 +tp2615 +a(g548 +V73 +p2616 +tp2617 +a(g900 +g958 +tp2618 +a(g548 +V20 +p2619 +tp2620 +a(g900 +g958 +tp2621 +a(g548 +V66 +p2622 +tp2623 +a(g900 +g958 +tp2624 +a(g548 +V72 +p2625 +tp2626 +a(g900 +g958 +tp2627 +a(g548 +V6f +p2628 +tp2629 +a(g900 +V +p2630 +tp2631 +a(g685 +g1011 +tp2632 +a(g341 +Vt characters fro +p2633 +tp2634 +a(g685 +g1011 +tp2635 +a(g900 +V\u000a +p2636 +tp2637 +a(g8 +V000001c0 +p2638 +tp2639 +a(g900 +g958 +tp2640 +a(g900 +g958 +tp2641 +a(g548 +V6d +p2642 +tp2643 +a(g900 +g958 +tp2644 +a(g548 +V20 +p2645 +tp2646 +a(g900 +g958 +tp2647 +a(g548 +V74 +p2648 +tp2649 +a(g900 +g958 +tp2650 +a(g548 +V68 +p2651 +tp2652 +a(g900 +g958 +tp2653 +a(g548 +V65 +p2654 +tp2655 +a(g900 +g958 +tp2656 +a(g548 +V20 +p2657 +tp2658 +a(g900 +g958 +tp2659 +a(g548 +V73 +p2660 +tp2661 +a(g900 +g958 +tp2662 +a(g548 +V74 +p2663 +tp2664 +a(g900 +g958 +tp2665 +a(g900 +g958 +tp2666 +a(g548 +V72 +p2667 +tp2668 +a(g900 +g958 +tp2669 +a(g548 +V69 +p2670 +tp2671 +a(g900 +g958 +tp2672 +a(g548 +V6e +p2673 +tp2674 +a(g900 +g958 +tp2675 +a(g548 +V67 +p2676 +tp2677 +a(g900 +g958 +tp2678 +a(g548 +V20 +p2679 +tp2680 +a(g900 +g958 +tp2681 +a(g548 +V28 +p2682 +tp2683 +a(g900 +g958 +tp2684 +a(g548 +V43 +p2685 +tp2686 +a(g900 +g958 +tp2687 +a(g548 +V4f +p2688 +tp2689 +a(g900 +V +p2690 +tp2691 +a(g685 +g1011 +tp2692 +a(g341 +Vm the string (CO +p2693 +tp2694 +a(g685 +g1011 +tp2695 +a(g900 +V\u000a +p2696 +tp2697 +a(g8 +V000001d0 +p2698 +tp2699 +a(g900 +g958 +tp2700 +a(g900 +g958 +tp2701 +a(g548 +V57 +p2702 +tp2703 +a(g900 +g958 +tp2704 +a(g548 +V29 +p2705 +tp2706 +a(g900 +g958 +tp2707 +a(g548 +V0a +p2708 +tp2709 +a(g900 +g958 +tp2710 +a(g548 +V73 +p2711 +tp2712 +a(g900 +g958 +tp2713 +a(g548 +V74 +p2714 +tp2715 +a(g900 +g958 +tp2716 +a(g548 +V72 +p2717 +tp2718 +a(g900 +g958 +tp2719 +a(g548 +V69 +p2720 +tp2721 +a(g900 +g958 +tp2722 +a(g548 +V6e +p2723 +tp2724 +a(g900 +g958 +tp2725 +a(g900 +g958 +tp2726 +a(g548 +V67 +p2727 +tp2728 +a(g900 +g958 +tp2729 +a(g548 +V20 +p2730 +tp2731 +a(g900 +g958 +tp2732 +a(g548 +V73 +p2733 +tp2734 +a(g900 +g958 +tp2735 +a(g548 +V74 +p2736 +tp2737 +a(g900 +g958 +tp2738 +a(g548 +V72 +p2739 +tp2740 +a(g900 +g958 +tp2741 +a(g548 +V69 +p2742 +tp2743 +a(g900 +g958 +tp2744 +a(g548 +V70 +p2745 +tp2746 +a(g900 +g958 +tp2747 +a(g548 +V4e +p2748 +tp2749 +a(g900 +V +p2750 +tp2751 +a(g685 +g1011 +tp2752 +a(g341 +VW).string stripN +p2753 +tp2754 +a(g685 +g1011 +tp2755 +a(g900 +V\u000a +p2756 +tp2757 +a(g8 +V000001e0 +p2758 +tp2759 +a(g900 +g958 +tp2760 +a(g900 +g958 +tp2761 +a(g548 +V6f +p2762 +tp2763 +a(g900 +g958 +tp2764 +a(g548 +V6e +p2765 +tp2766 +a(g900 +g958 +tp2767 +a(g548 +V44 +p2768 +tp2769 +a(g900 +g958 +tp2770 +a(g548 +V69 +p2771 +tp2772 +a(g900 +g958 +tp2773 +a(g548 +V67 +p2774 +tp2775 +a(g900 +g958 +tp2776 +a(g548 +V69 +p2777 +tp2778 +a(g900 +g958 +tp2779 +a(g548 +V74 +p2780 +tp2781 +a(g900 +g958 +tp2782 +a(g548 +V28 +p2783 +tp2784 +a(g900 +g958 +tp2785 +a(g900 +g958 +tp2786 +a(g548 +V20 +p2787 +tp2788 +a(g900 +g958 +tp2789 +a(g548 +V69 +p2790 +tp2791 +a(g900 +g958 +tp2792 +a(g548 +V6e +p2793 +tp2794 +a(g900 +g958 +tp2795 +a(g548 +V20 +p2796 +tp2797 +a(g900 +g958 +tp2798 +a(g548 +V73 +p2799 +tp2800 +a(g900 +g958 +tp2801 +a(g548 +V74 +p2802 +tp2803 +a(g900 +g958 +tp2804 +a(g548 +V72 +p2805 +tp2806 +a(g900 +g958 +tp2807 +a(g548 +V69 +p2808 +tp2809 +a(g900 +V +p2810 +tp2811 +a(g685 +g1011 +tp2812 +a(g341 +VonDigit( in stri +p2813 +tp2814 +a(g685 +g1011 +tp2815 +a(g900 +V\u000a +p2816 +tp2817 +a(g8 +V000001f0 +p2818 +tp2819 +a(g900 +g958 +tp2820 +a(g900 +g958 +tp2821 +a(g548 +V6e +p2822 +tp2823 +a(g900 +g958 +tp2824 +a(g548 +V67 +p2825 +tp2826 +a(g900 +g958 +tp2827 +a(g548 +V20 +p2828 +tp2829 +a(g900 +g958 +tp2830 +a(g548 +V6c +p2831 +tp2832 +a(g900 +g958 +tp2833 +a(g548 +V69 +p2834 +tp2835 +a(g900 +g958 +tp2836 +a(g548 +V6e +p2837 +tp2838 +a(g900 +g958 +tp2839 +a(g548 +V65 +p2840 +tp2841 +a(g900 +g958 +tp2842 +a(g548 +V20 +p2843 +tp2844 +a(g900 +g958 +tp2845 +a(g900 +g958 +tp2846 +a(g548 +V29 +p2847 +tp2848 +a(g900 +g958 +tp2849 +a(g548 +V20 +p2850 +tp2851 +a(g900 +g958 +tp2852 +a(g548 +V0a +p2853 +tp2854 +a(g900 +g958 +tp2855 +a(g548 +V7b +p2856 +tp2857 +a(g900 +g958 +tp2858 +a(g548 +V0a +p2859 +tp2860 +a(g900 +g958 +tp2861 +a(g548 +V20 +p2862 +tp2863 +a(g900 +g958 +tp2864 +a(g548 +V20 +p2865 +tp2866 +a(g900 +g958 +tp2867 +a(g548 +V20 +p2868 +tp2869 +a(g900 +V +p2870 +tp2871 +a(g685 +g1011 +tp2872 +a(g341 +Vng line ) .{. +p2873 +tp2874 +a(g685 +g1011 +tp2875 +a(g900 +V\u000a +p2876 +tp2877 +a(g8 +V00000200 +p2878 +tp2879 +a(g900 +g958 +tp2880 +a(g900 +g958 +tp2881 +a(g548 +V20 +p2882 +tp2883 +a(g900 +g958 +tp2884 +a(g548 +V73 +p2885 +tp2886 +a(g900 +g958 +tp2887 +a(g548 +V74 +p2888 +tp2889 +a(g900 +g958 +tp2890 +a(g548 +V72 +p2891 +tp2892 +a(g900 +g958 +tp2893 +a(g548 +V69 +p2894 +tp2895 +a(g900 +g958 +tp2896 +a(g548 +V6e +p2897 +tp2898 +a(g900 +g958 +tp2899 +a(g548 +V67 +p2900 +tp2901 +a(g900 +g958 +tp2902 +a(g548 +V20 +p2903 +tp2904 +a(g900 +g958 +tp2905 +a(g900 +g958 +tp2906 +a(g548 +V72 +p2907 +tp2908 +a(g900 +g958 +tp2909 +a(g548 +V65 +p2910 +tp2911 +a(g900 +g958 +tp2912 +a(g548 +V74 +p2913 +tp2914 +a(g900 +g958 +tp2915 +a(g548 +V3b +p2916 +tp2917 +a(g900 +g958 +tp2918 +a(g548 +V0a +p2919 +tp2920 +a(g900 +g958 +tp2921 +a(g548 +V20 +p2922 +tp2923 +a(g900 +g958 +tp2924 +a(g548 +V20 +p2925 +tp2926 +a(g900 +g958 +tp2927 +a(g548 +V20 +p2928 +tp2929 +a(g900 +V +p2930 +tp2931 +a(g685 +g1011 +tp2932 +a(g341 +V string ret;. +p2933 +tp2934 +a(g685 +g1011 +tp2935 +a(g900 +V\u000a +p2936 +tp2937 +a(g8 +V00000210 +p2938 +tp2939 +a(g900 +g958 +tp2940 +a(g900 +g958 +tp2941 +a(g548 +V20 +p2942 +tp2943 +a(g900 +g958 +tp2944 +a(g548 +V66 +p2945 +tp2946 +a(g900 +g958 +tp2947 +a(g548 +V6f +p2948 +tp2949 +a(g900 +g958 +tp2950 +a(g548 +V72 +p2951 +tp2952 +a(g900 +g958 +tp2953 +a(g548 +V65 +p2954 +tp2955 +a(g900 +g958 +tp2956 +a(g548 +V61 +p2957 +tp2958 +a(g900 +g958 +tp2959 +a(g548 +V63 +p2960 +tp2961 +a(g900 +g958 +tp2962 +a(g548 +V68 +p2963 +tp2964 +a(g900 +g958 +tp2965 +a(g900 +g958 +tp2966 +a(g548 +V28 +p2967 +tp2968 +a(g900 +g958 +tp2969 +a(g548 +V75 +p2970 +tp2971 +a(g900 +g958 +tp2972 +a(g548 +V69 +p2973 +tp2974 +a(g900 +g958 +tp2975 +a(g548 +V6e +p2976 +tp2977 +a(g900 +g958 +tp2978 +a(g548 +V74 +p2979 +tp2980 +a(g900 +g958 +tp2981 +a(g548 +V20 +p2982 +tp2983 +a(g900 +g958 +tp2984 +a(g548 +V69 +p2985 +tp2986 +a(g900 +g958 +tp2987 +a(g548 +V2c +p2988 +tp2989 +a(g900 +V +p2990 +tp2991 +a(g685 +g1011 +tp2992 +a(g341 +V foreach(uint i, +p2993 +tp2994 +a(g685 +g1011 +tp2995 +a(g900 +V\u000a +p2996 +tp2997 +a(g8 +V00000220 +p2998 +tp2999 +a(g900 +g958 +tp3000 +a(g900 +g958 +tp3001 +a(g548 +V20 +p3002 +tp3003 +a(g900 +g958 +tp3004 +a(g548 +V63 +p3005 +tp3006 +a(g900 +g958 +tp3007 +a(g548 +V3b +p3008 +tp3009 +a(g900 +g958 +tp3010 +a(g548 +V20 +p3011 +tp3012 +a(g900 +g958 +tp3013 +a(g548 +V6c +p3014 +tp3015 +a(g900 +g958 +tp3016 +a(g548 +V69 +p3017 +tp3018 +a(g900 +g958 +tp3019 +a(g548 +V6e +p3020 +tp3021 +a(g900 +g958 +tp3022 +a(g548 +V65 +p3023 +tp3024 +a(g900 +g958 +tp3025 +a(g900 +g958 +tp3026 +a(g548 +V29 +p3027 +tp3028 +a(g900 +g958 +tp3029 +a(g548 +V20 +p3030 +tp3031 +a(g900 +g958 +tp3032 +a(g548 +V7b +p3033 +tp3034 +a(g900 +g958 +tp3035 +a(g548 +V0a +p3036 +tp3037 +a(g900 +g958 +tp3038 +a(g548 +V20 +p3039 +tp3040 +a(g900 +g958 +tp3041 +a(g548 +V20 +p3042 +tp3043 +a(g900 +g958 +tp3044 +a(g548 +V20 +p3045 +tp3046 +a(g900 +g958 +tp3047 +a(g548 +V20 +p3048 +tp3049 +a(g900 +V +p3050 +tp3051 +a(g685 +g1011 +tp3052 +a(g341 +V c; line) {. +p3053 +tp3054 +a(g685 +g1011 +tp3055 +a(g900 +V\u000a +p3056 +tp3057 +a(g8 +V00000230 +p3058 +tp3059 +a(g900 +g958 +tp3060 +a(g900 +g958 +tp3061 +a(g548 +V20 +p3062 +tp3063 +a(g900 +g958 +tp3064 +a(g548 +V20 +p3065 +tp3066 +a(g900 +g958 +tp3067 +a(g548 +V20 +p3068 +tp3069 +a(g900 +g958 +tp3070 +a(g548 +V20 +p3071 +tp3072 +a(g900 +g958 +tp3073 +a(g548 +V2f +p3074 +tp3075 +a(g900 +g958 +tp3076 +a(g548 +V2f +p3077 +tp3078 +a(g900 +g958 +tp3079 +a(g548 +V20 +p3080 +tp3081 +a(g900 +g958 +tp3082 +a(g548 +V45 +p3083 +tp3084 +a(g900 +g958 +tp3085 +a(g900 +g958 +tp3086 +a(g548 +V72 +p3087 +tp3088 +a(g900 +g958 +tp3089 +a(g548 +V72 +p3090 +tp3091 +a(g900 +g958 +tp3092 +a(g548 +V6f +p3093 +tp3094 +a(g900 +g958 +tp3095 +a(g548 +V72 +p3096 +tp3097 +a(g900 +g958 +tp3098 +a(g548 +V3a +p3099 +tp3100 +a(g900 +g958 +tp3101 +a(g548 +V20 +p3102 +tp3103 +a(g900 +g958 +tp3104 +a(g548 +V73 +p3105 +tp3106 +a(g900 +g958 +tp3107 +a(g548 +V74 +p3108 +tp3109 +a(g900 +V +p3110 +tp3111 +a(g685 +g1011 +tp3112 +a(g341 +V // Error: st +p3113 +tp3114 +a(g685 +g1011 +tp3115 +a(g900 +V\u000a +p3116 +tp3117 +a(g8 +V00000240 +p3118 +tp3119 +a(g900 +g958 +tp3120 +a(g900 +g958 +tp3121 +a(g548 +V64 +p3122 +tp3123 +a(g900 +g958 +tp3124 +a(g548 +V2e +p3125 +tp3126 +a(g900 +g958 +tp3127 +a(g548 +V63 +p3128 +tp3129 +a(g900 +g958 +tp3130 +a(g548 +V74 +p3131 +tp3132 +a(g900 +g958 +tp3133 +a(g548 +V79 +p3134 +tp3135 +a(g900 +g958 +tp3136 +a(g548 +V70 +p3137 +tp3138 +a(g900 +g958 +tp3139 +a(g548 +V65 +p3140 +tp3141 +a(g900 +g958 +tp3142 +a(g548 +V2e +p3143 +tp3144 +a(g900 +g958 +tp3145 +a(g900 +g958 +tp3146 +a(g548 +V69 +p3147 +tp3148 +a(g900 +g958 +tp3149 +a(g548 +V73 +p3150 +tp3151 +a(g900 +g958 +tp3152 +a(g548 +V64 +p3153 +tp3154 +a(g900 +g958 +tp3155 +a(g548 +V69 +p3156 +tp3157 +a(g900 +g958 +tp3158 +a(g548 +V67 +p3159 +tp3160 +a(g900 +g958 +tp3161 +a(g548 +V69 +p3162 +tp3163 +a(g900 +g958 +tp3164 +a(g548 +V74 +p3165 +tp3166 +a(g900 +g958 +tp3167 +a(g548 +V20 +p3168 +tp3169 +a(g900 +V +p3170 +tp3171 +a(g685 +g1011 +tp3172 +a(g341 +Vd.ctype.isdigit +p3173 +tp3174 +a(g685 +g1011 +tp3175 +a(g900 +V\u000a +p3176 +tp3177 +a(g8 +V00000250 +p3178 +tp3179 +a(g900 +g958 +tp3180 +a(g900 +g958 +tp3181 +a(g548 +V61 +p3182 +tp3183 +a(g900 +g958 +tp3184 +a(g548 +V74 +p3185 +tp3186 +a(g900 +g958 +tp3187 +a(g548 +V20 +p3188 +tp3189 +a(g900 +g958 +tp3190 +a(g548 +V43 +p3191 +tp3192 +a(g900 +g958 +tp3193 +a(g548 +V3a +p3194 +tp3195 +a(g900 +g958 +tp3196 +a(g548 +V5c +p3197 +tp3198 +a(g900 +g958 +tp3199 +a(g548 +V64 +p3200 +tp3201 +a(g900 +g958 +tp3202 +a(g548 +V6d +p3203 +tp3204 +a(g900 +g958 +tp3205 +a(g900 +g958 +tp3206 +a(g548 +V64 +p3207 +tp3208 +a(g900 +g958 +tp3209 +a(g548 +V5c +p3210 +tp3211 +a(g900 +g958 +tp3212 +a(g548 +V73 +p3213 +tp3214 +a(g900 +g958 +tp3215 +a(g548 +V72 +p3216 +tp3217 +a(g900 +g958 +tp3218 +a(g548 +V63 +p3219 +tp3220 +a(g900 +g958 +tp3221 +a(g548 +V5c +p3222 +tp3223 +a(g900 +g958 +tp3224 +a(g548 +V70 +p3225 +tp3226 +a(g900 +g958 +tp3227 +a(g548 +V68 +p3228 +tp3229 +a(g900 +V +p3230 +tp3231 +a(g685 +g1011 +tp3232 +a(g341 +Vat C:\u005cdmd\u005csrc\u005cph +p3233 +tp3234 +a(g685 +g1011 +tp3235 +a(g900 +V\u000a +p3236 +tp3237 +a(g8 +V00000260 +p3238 +tp3239 +a(g900 +g958 +tp3240 +a(g900 +g958 +tp3241 +a(g548 +V6f +p3242 +tp3243 +a(g900 +g958 +tp3244 +a(g548 +V62 +p3245 +tp3246 +a(g900 +g958 +tp3247 +a(g548 +V6f +p3248 +tp3249 +a(g900 +g958 +tp3250 +a(g548 +V73 +p3251 +tp3252 +a(g900 +g958 +tp3253 +a(g548 +V5c +p3254 +tp3255 +a(g900 +g958 +tp3256 +a(g548 +V73 +p3257 +tp3258 +a(g900 +g958 +tp3259 +a(g548 +V74 +p3260 +tp3261 +a(g900 +g958 +tp3262 +a(g548 +V64 +p3263 +tp3264 +a(g900 +g958 +tp3265 +a(g900 +g958 +tp3266 +a(g548 +V5c +p3267 +tp3268 +a(g900 +g958 +tp3269 +a(g548 +V63 +p3270 +tp3271 +a(g900 +g958 +tp3272 +a(g548 +V74 +p3273 +tp3274 +a(g900 +g958 +tp3275 +a(g548 +V79 +p3276 +tp3277 +a(g900 +g958 +tp3278 +a(g548 +V70 +p3279 +tp3280 +a(g900 +g958 +tp3281 +a(g548 +V65 +p3282 +tp3283 +a(g900 +g958 +tp3284 +a(g548 +V2e +p3285 +tp3286 +a(g900 +g958 +tp3287 +a(g548 +V64 +p3288 +tp3289 +a(g900 +V +p3290 +tp3291 +a(g685 +g1011 +tp3292 +a(g341 +Vobos\u005cstd\u005cctype.d +p3293 +tp3294 +a(g685 +g1011 +tp3295 +a(g900 +V\u000a +p3296 +tp3297 +a(g8 +V00000270 +p3298 +tp3299 +a(g900 +g958 +tp3300 +a(g900 +g958 +tp3301 +a(g548 +V28 +p3302 +tp3303 +a(g900 +g958 +tp3304 +a(g548 +V33 +p3305 +tp3306 +a(g900 +g958 +tp3307 +a(g548 +V37 +p3308 +tp3309 +a(g900 +g958 +tp3310 +a(g548 +V29 +p3311 +tp3312 +a(g900 +g958 +tp3313 +a(g548 +V20 +p3314 +tp3315 +a(g900 +g958 +tp3316 +a(g548 +V0a +p3317 +tp3318 +a(g900 +g958 +tp3319 +a(g548 +V20 +p3320 +tp3321 +a(g900 +g958 +tp3322 +a(g548 +V20 +p3323 +tp3324 +a(g900 +g958 +tp3325 +a(g900 +g958 +tp3326 +a(g548 +V20 +p3327 +tp3328 +a(g900 +g958 +tp3329 +a(g548 +V20 +p3330 +tp3331 +a(g900 +g958 +tp3332 +a(g548 +V20 +p3333 +tp3334 +a(g900 +g958 +tp3335 +a(g548 +V20 +p3336 +tp3337 +a(g900 +g958 +tp3338 +a(g548 +V20 +p3339 +tp3340 +a(g900 +g958 +tp3341 +a(g548 +V20 +p3342 +tp3343 +a(g900 +g958 +tp3344 +a(g548 +V2f +p3345 +tp3346 +a(g900 +g958 +tp3347 +a(g548 +V2f +p3348 +tp3349 +a(g900 +V +p3350 +tp3351 +a(g685 +g1011 +tp3352 +a(g341 +V(37) . // +p3353 +tp3354 +a(g685 +g1011 +tp3355 +a(g900 +V\u000a +p3356 +tp3357 +a(g8 +V00000280 +p3358 +tp3359 +a(g900 +g958 +tp3360 +a(g900 +g958 +tp3361 +a(g548 +V20 +p3362 +tp3363 +a(g900 +g958 +tp3364 +a(g548 +V63 +p3365 +tp3366 +a(g900 +g958 +tp3367 +a(g548 +V6f +p3368 +tp3369 +a(g900 +g958 +tp3370 +a(g548 +V6e +p3371 +tp3372 +a(g900 +g958 +tp3373 +a(g548 +V66 +p3374 +tp3375 +a(g900 +g958 +tp3376 +a(g548 +V6c +p3377 +tp3378 +a(g900 +g958 +tp3379 +a(g548 +V69 +p3380 +tp3381 +a(g900 +g958 +tp3382 +a(g548 +V63 +p3383 +tp3384 +a(g900 +g958 +tp3385 +a(g900 +g958 +tp3386 +a(g548 +V74 +p3387 +tp3388 +a(g900 +g958 +tp3389 +a(g548 +V73 +p3390 +tp3391 +a(g900 +g958 +tp3392 +a(g548 +V20 +p3393 +tp3394 +a(g900 +g958 +tp3395 +a(g548 +V77 +p3396 +tp3397 +a(g900 +g958 +tp3398 +a(g548 +V69 +p3399 +tp3400 +a(g900 +g958 +tp3401 +a(g548 +V74 +p3402 +tp3403 +a(g900 +g958 +tp3404 +a(g548 +V68 +p3405 +tp3406 +a(g900 +g958 +tp3407 +a(g548 +V20 +p3408 +tp3409 +a(g900 +V +p3410 +tp3411 +a(g685 +g1011 +tp3412 +a(g341 +V conflicts with +p3413 +tp3414 +a(g685 +g1011 +tp3415 +a(g900 +V\u000a +p3416 +tp3417 +a(g8 +V00000290 +p3418 +tp3419 +a(g900 +g958 +tp3420 +a(g900 +g958 +tp3421 +a(g548 +V73 +p3422 +tp3423 +a(g900 +g958 +tp3424 +a(g548 +V74 +p3425 +tp3426 +a(g900 +g958 +tp3427 +a(g548 +V64 +p3428 +tp3429 +a(g900 +g958 +tp3430 +a(g548 +V2e +p3431 +tp3432 +a(g900 +g958 +tp3433 +a(g548 +V73 +p3434 +tp3435 +a(g900 +g958 +tp3436 +a(g548 +V74 +p3437 +tp3438 +a(g900 +g958 +tp3439 +a(g548 +V72 +p3440 +tp3441 +a(g900 +g958 +tp3442 +a(g548 +V65 +p3443 +tp3444 +a(g900 +g958 +tp3445 +a(g900 +g958 +tp3446 +a(g548 +V61 +p3447 +tp3448 +a(g900 +g958 +tp3449 +a(g548 +V6d +p3450 +tp3451 +a(g900 +g958 +tp3452 +a(g548 +V2e +p3453 +tp3454 +a(g900 +g958 +tp3455 +a(g548 +V69 +p3456 +tp3457 +a(g900 +g958 +tp3458 +a(g548 +V73 +p3459 +tp3460 +a(g900 +g958 +tp3461 +a(g548 +V64 +p3462 +tp3463 +a(g900 +g958 +tp3464 +a(g548 +V69 +p3465 +tp3466 +a(g900 +g958 +tp3467 +a(g548 +V67 +p3468 +tp3469 +a(g900 +V +p3470 +tp3471 +a(g685 +g1011 +tp3472 +a(g341 +Vstd.stream.isdig +p3473 +tp3474 +a(g685 +g1011 +tp3475 +a(g900 +V\u000a +p3476 +tp3477 +a(g8 +V000002a0 +p3478 +tp3479 +a(g900 +g958 +tp3480 +a(g900 +g958 +tp3481 +a(g548 +V69 +p3482 +tp3483 +a(g900 +g958 +tp3484 +a(g548 +V74 +p3485 +tp3486 +a(g900 +g958 +tp3487 +a(g548 +V20 +p3488 +tp3489 +a(g900 +g958 +tp3490 +a(g548 +V61 +p3491 +tp3492 +a(g900 +g958 +tp3493 +a(g548 +V74 +p3494 +tp3495 +a(g900 +g958 +tp3496 +a(g548 +V20 +p3497 +tp3498 +a(g900 +g958 +tp3499 +a(g548 +V43 +p3500 +tp3501 +a(g900 +g958 +tp3502 +a(g548 +V3a +p3503 +tp3504 +a(g900 +g958 +tp3505 +a(g900 +g958 +tp3506 +a(g548 +V5c +p3507 +tp3508 +a(g900 +g958 +tp3509 +a(g548 +V64 +p3510 +tp3511 +a(g900 +g958 +tp3512 +a(g548 +V6d +p3513 +tp3514 +a(g900 +g958 +tp3515 +a(g548 +V64 +p3516 +tp3517 +a(g900 +g958 +tp3518 +a(g548 +V5c +p3519 +tp3520 +a(g900 +g958 +tp3521 +a(g548 +V73 +p3522 +tp3523 +a(g900 +g958 +tp3524 +a(g548 +V72 +p3525 +tp3526 +a(g900 +g958 +tp3527 +a(g548 +V63 +p3528 +tp3529 +a(g900 +V +p3530 +tp3531 +a(g685 +g1011 +tp3532 +a(g341 +Vit at C:\u005cdmd\u005csrc +p3533 +tp3534 +a(g685 +g1011 +tp3535 +a(g900 +V\u000a +p3536 +tp3537 +a(g8 +V000002b0 +p3538 +tp3539 +a(g900 +g958 +tp3540 +a(g900 +g958 +tp3541 +a(g548 +V5c +p3542 +tp3543 +a(g900 +g958 +tp3544 +a(g548 +V70 +p3545 +tp3546 +a(g900 +g958 +tp3547 +a(g548 +V68 +p3548 +tp3549 +a(g900 +g958 +tp3550 +a(g548 +V6f +p3551 +tp3552 +a(g900 +g958 +tp3553 +a(g548 +V62 +p3554 +tp3555 +a(g900 +g958 +tp3556 +a(g548 +V6f +p3557 +tp3558 +a(g900 +g958 +tp3559 +a(g548 +V73 +p3560 +tp3561 +a(g900 +g958 +tp3562 +a(g548 +V5c +p3563 +tp3564 +a(g900 +g958 +tp3565 +a(g900 +g958 +tp3566 +a(g548 +V73 +p3567 +tp3568 +a(g900 +g958 +tp3569 +a(g548 +V74 +p3570 +tp3571 +a(g900 +g958 +tp3572 +a(g548 +V64 +p3573 +tp3574 +a(g900 +g958 +tp3575 +a(g548 +V5c +p3576 +tp3577 +a(g900 +g958 +tp3578 +a(g548 +V73 +p3579 +tp3580 +a(g900 +g958 +tp3581 +a(g548 +V74 +p3582 +tp3583 +a(g900 +g958 +tp3584 +a(g548 +V72 +p3585 +tp3586 +a(g900 +g958 +tp3587 +a(g548 +V65 +p3588 +tp3589 +a(g900 +V +p3590 +tp3591 +a(g685 +g1011 +tp3592 +a(g341 +V\u005cphobos\u005cstd\u005cstre +p3593 +tp3594 +a(g685 +g1011 +tp3595 +a(g900 +V\u000a +p3596 +tp3597 +a(g8 +V000002c0 +p3598 +tp3599 +a(g900 +g958 +tp3600 +a(g900 +g958 +tp3601 +a(g548 +V61 +p3602 +tp3603 +a(g900 +g958 +tp3604 +a(g548 +V6d +p3605 +tp3606 +a(g900 +g958 +tp3607 +a(g548 +V2e +p3608 +tp3609 +a(g900 +g958 +tp3610 +a(g548 +V64 +p3611 +tp3612 +a(g900 +g958 +tp3613 +a(g548 +V28 +p3614 +tp3615 +a(g900 +g958 +tp3616 +a(g548 +V32 +p3617 +tp3618 +a(g900 +g958 +tp3619 +a(g548 +V39 +p3620 +tp3621 +a(g900 +g958 +tp3622 +a(g548 +V32 +p3623 +tp3624 +a(g900 +g958 +tp3625 +a(g900 +g958 +tp3626 +a(g548 +V34 +p3627 +tp3628 +a(g900 +g958 +tp3629 +a(g548 +V29 +p3630 +tp3631 +a(g900 +g958 +tp3632 +a(g548 +V0a +p3633 +tp3634 +a(g900 +g958 +tp3635 +a(g548 +V20 +p3636 +tp3637 +a(g900 +g958 +tp3638 +a(g548 +V20 +p3639 +tp3640 +a(g900 +g958 +tp3641 +a(g548 +V20 +p3642 +tp3643 +a(g900 +g958 +tp3644 +a(g548 +V20 +p3645 +tp3646 +a(g900 +g958 +tp3647 +a(g548 +V20 +p3648 +tp3649 +a(g900 +V +p3650 +tp3651 +a(g685 +g1011 +tp3652 +a(g341 +Vam.d(2924). +p3653 +tp3654 +a(g685 +g1011 +tp3655 +a(g900 +V\u000a +p3656 +tp3657 +a(g8 +V000002d0 +p3658 +tp3659 +a(g900 +g958 +tp3660 +a(g900 +g958 +tp3661 +a(g548 +V20 +p3662 +tp3663 +a(g900 +g958 +tp3664 +a(g548 +V20 +p3665 +tp3666 +a(g900 +g958 +tp3667 +a(g548 +V20 +p3668 +tp3669 +a(g900 +g958 +tp3670 +a(g548 +V69 +p3671 +tp3672 +a(g900 +g958 +tp3673 +a(g548 +V66 +p3674 +tp3675 +a(g900 +g958 +tp3676 +a(g548 +V20 +p3677 +tp3678 +a(g900 +g958 +tp3679 +a(g548 +V28 +p3680 +tp3681 +a(g900 +g958 +tp3682 +a(g548 +V21 +p3683 +tp3684 +a(g900 +g958 +tp3685 +a(g900 +g958 +tp3686 +a(g548 +V73 +p3687 +tp3688 +a(g900 +g958 +tp3689 +a(g548 +V74 +p3690 +tp3691 +a(g900 +g958 +tp3692 +a(g548 +V64 +p3693 +tp3694 +a(g900 +g958 +tp3695 +a(g548 +V2e +p3696 +tp3697 +a(g900 +g958 +tp3698 +a(g548 +V63 +p3699 +tp3700 +a(g900 +g958 +tp3701 +a(g548 +V74 +p3702 +tp3703 +a(g900 +g958 +tp3704 +a(g548 +V79 +p3705 +tp3706 +a(g900 +g958 +tp3707 +a(g548 +V70 +p3708 +tp3709 +a(g900 +V +p3710 +tp3711 +a(g685 +g1011 +tp3712 +a(g341 +V if (!std.ctyp +p3713 +tp3714 +a(g685 +g1011 +tp3715 +a(g900 +V\u000a +p3716 +tp3717 +a(g8 +V000002e0 +p3718 +tp3719 +a(g900 +g958 +tp3720 +a(g900 +g958 +tp3721 +a(g548 +V65 +p3722 +tp3723 +a(g900 +g958 +tp3724 +a(g548 +V2e +p3725 +tp3726 +a(g900 +g958 +tp3727 +a(g548 +V69 +p3728 +tp3729 +a(g900 +g958 +tp3730 +a(g548 +V73 +p3731 +tp3732 +a(g900 +g958 +tp3733 +a(g548 +V64 +p3734 +tp3735 +a(g900 +g958 +tp3736 +a(g548 +V69 +p3737 +tp3738 +a(g900 +g958 +tp3739 +a(g548 +V67 +p3740 +tp3741 +a(g900 +g958 +tp3742 +a(g548 +V69 +p3743 +tp3744 +a(g900 +g958 +tp3745 +a(g900 +g958 +tp3746 +a(g548 +V74 +p3747 +tp3748 +a(g900 +g958 +tp3749 +a(g548 +V28 +p3750 +tp3751 +a(g900 +g958 +tp3752 +a(g548 +V63 +p3753 +tp3754 +a(g900 +g958 +tp3755 +a(g548 +V29 +p3756 +tp3757 +a(g900 +g958 +tp3758 +a(g548 +V29 +p3759 +tp3760 +a(g900 +g958 +tp3761 +a(g548 +V20 +p3762 +tp3763 +a(g900 +g958 +tp3764 +a(g548 +V7b +p3765 +tp3766 +a(g900 +g958 +tp3767 +a(g548 +V0a +p3768 +tp3769 +a(g900 +V +p3770 +tp3771 +a(g685 +g1011 +tp3772 +a(g341 +Ve.isdigit(c)) {. +p3773 +tp3774 +a(g685 +g1011 +tp3775 +a(g900 +V\u000a +p3776 +tp3777 +a(g8 +V000002f0 +p3778 +tp3779 +a(g900 +g958 +tp3780 +a(g900 +g958 +tp3781 +a(g548 +V20 +p3782 +tp3783 +a(g900 +g958 +tp3784 +a(g548 +V20 +p3785 +tp3786 +a(g900 +g958 +tp3787 +a(g548 +V20 +p3788 +tp3789 +a(g900 +g958 +tp3790 +a(g548 +V20 +p3791 +tp3792 +a(g900 +g958 +tp3793 +a(g548 +V20 +p3794 +tp3795 +a(g900 +g958 +tp3796 +a(g548 +V20 +p3797 +tp3798 +a(g900 +g958 +tp3799 +a(g548 +V20 +p3800 +tp3801 +a(g900 +g958 +tp3802 +a(g548 +V20 +p3803 +tp3804 +a(g900 +g958 +tp3805 +a(g900 +g958 +tp3806 +a(g548 +V20 +p3807 +tp3808 +a(g900 +g958 +tp3809 +a(g548 +V20 +p3810 +tp3811 +a(g900 +g958 +tp3812 +a(g548 +V20 +p3813 +tp3814 +a(g900 +g958 +tp3815 +a(g548 +V20 +p3816 +tp3817 +a(g900 +g958 +tp3818 +a(g548 +V69 +p3819 +tp3820 +a(g900 +g958 +tp3821 +a(g548 +V66 +p3822 +tp3823 +a(g900 +g958 +tp3824 +a(g548 +V20 +p3825 +tp3826 +a(g900 +g958 +tp3827 +a(g548 +V28 +p3828 +tp3829 +a(g900 +V +p3830 +tp3831 +a(g685 +g1011 +tp3832 +a(g341 +V if ( +p3833 +tp3834 +a(g685 +g1011 +tp3835 +a(g900 +V\u000a +p3836 +tp3837 +a(g8 +V00000300 +p3838 +tp3839 +a(g900 +g958 +tp3840 +a(g900 +g958 +tp3841 +a(g548 +V21 +p3842 +tp3843 +a(g900 +g958 +tp3844 +a(g548 +V72 +p3845 +tp3846 +a(g900 +g958 +tp3847 +a(g548 +V65 +p3848 +tp3849 +a(g900 +g958 +tp3850 +a(g548 +V74 +p3851 +tp3852 +a(g900 +g958 +tp3853 +a(g548 +V29 +p3854 +tp3855 +a(g900 +g958 +tp3856 +a(g548 +V0a +p3857 +tp3858 +a(g900 +g958 +tp3859 +a(g548 +V20 +p3860 +tp3861 +a(g900 +g958 +tp3862 +a(g548 +V20 +p3863 +tp3864 +a(g900 +g958 +tp3865 +a(g900 +g958 +tp3866 +a(g548 +V20 +p3867 +tp3868 +a(g900 +g958 +tp3869 +a(g548 +V20 +p3870 +tp3871 +a(g900 +g958 +tp3872 +a(g548 +V20 +p3873 +tp3874 +a(g900 +g958 +tp3875 +a(g548 +V20 +p3876 +tp3877 +a(g900 +g958 +tp3878 +a(g548 +V20 +p3879 +tp3880 +a(g900 +g958 +tp3881 +a(g548 +V20 +p3882 +tp3883 +a(g900 +g958 +tp3884 +a(g548 +V20 +p3885 +tp3886 +a(g900 +g958 +tp3887 +a(g548 +V20 +p3888 +tp3889 +a(g900 +V +p3890 +tp3891 +a(g685 +g1011 +tp3892 +a(g341 +V!ret). +p3893 +tp3894 +a(g685 +g1011 +tp3895 +a(g900 +V\u000a +p3896 +tp3897 +a(g8 +V00000310 +p3898 +tp3899 +a(g900 +g958 +tp3900 +a(g900 +g958 +tp3901 +a(g548 +V20 +p3902 +tp3903 +a(g900 +g958 +tp3904 +a(g548 +V20 +p3905 +tp3906 +a(g900 +g958 +tp3907 +a(g548 +V20 +p3908 +tp3909 +a(g900 +g958 +tp3910 +a(g548 +V20 +p3911 +tp3912 +a(g900 +g958 +tp3913 +a(g548 +V20 +p3914 +tp3915 +a(g900 +g958 +tp3916 +a(g548 +V20 +p3917 +tp3918 +a(g900 +g958 +tp3919 +a(g548 +V72 +p3920 +tp3921 +a(g900 +g958 +tp3922 +a(g548 +V65 +p3923 +tp3924 +a(g900 +g958 +tp3925 +a(g900 +g958 +tp3926 +a(g548 +V74 +p3927 +tp3928 +a(g900 +g958 +tp3929 +a(g548 +V20 +p3930 +tp3931 +a(g900 +g958 +tp3932 +a(g548 +V3d +p3933 +tp3934 +a(g900 +g958 +tp3935 +a(g548 +V20 +p3936 +tp3937 +a(g900 +g958 +tp3938 +a(g548 +V6c +p3939 +tp3940 +a(g900 +g958 +tp3941 +a(g548 +V69 +p3942 +tp3943 +a(g900 +g958 +tp3944 +a(g548 +V6e +p3945 +tp3946 +a(g900 +g958 +tp3947 +a(g548 +V65 +p3948 +tp3949 +a(g900 +V +p3950 +tp3951 +a(g685 +g1011 +tp3952 +a(g341 +V ret = line +p3953 +tp3954 +a(g685 +g1011 +tp3955 +a(g900 +V\u000a +p3956 +tp3957 +a(g8 +V00000320 +p3958 +tp3959 +a(g900 +g958 +tp3960 +a(g900 +g958 +tp3961 +a(g548 +V5b +p3962 +tp3963 +a(g900 +g958 +tp3964 +a(g548 +V30 +p3965 +tp3966 +a(g900 +g958 +tp3967 +a(g548 +V2e +p3968 +tp3969 +a(g900 +g958 +tp3970 +a(g548 +V2e +p3971 +tp3972 +a(g900 +g958 +tp3973 +a(g548 +V69 +p3974 +tp3975 +a(g900 +g958 +tp3976 +a(g548 +V5d +p3977 +tp3978 +a(g900 +g958 +tp3979 +a(g548 +V3b +p3980 +tp3981 +a(g900 +g958 +tp3982 +a(g548 +V20 +p3983 +tp3984 +a(g900 +g958 +tp3985 +a(g900 +g958 +tp3986 +a(g548 +V20 +p3987 +tp3988 +a(g900 +g958 +tp3989 +a(g548 +V20 +p3990 +tp3991 +a(g900 +g958 +tp3992 +a(g548 +V20 +p3993 +tp3994 +a(g900 +g958 +tp3995 +a(g548 +V0a +p3996 +tp3997 +a(g900 +g958 +tp3998 +a(g548 +V20 +p3999 +tp4000 +a(g900 +g958 +tp4001 +a(g548 +V20 +p4002 +tp4003 +a(g900 +g958 +tp4004 +a(g548 +V20 +p4005 +tp4006 +a(g900 +g958 +tp4007 +a(g548 +V20 +p4008 +tp4009 +a(g900 +V +p4010 +tp4011 +a(g685 +g1011 +tp4012 +a(g341 +V[0..i]; . +p4013 +tp4014 +a(g685 +g1011 +tp4015 +a(g900 +V\u000a +p4016 +tp4017 +a(g8 +V00000330 +p4018 +tp4019 +a(g900 +g958 +tp4020 +a(g900 +g958 +tp4021 +a(g548 +V20 +p4022 +tp4023 +a(g900 +g958 +tp4024 +a(g548 +V20 +p4025 +tp4026 +a(g900 +g958 +tp4027 +a(g548 +V20 +p4028 +tp4029 +a(g900 +g958 +tp4030 +a(g548 +V20 +p4031 +tp4032 +a(g900 +g958 +tp4033 +a(g548 +V7d +p4034 +tp4035 +a(g900 +g958 +tp4036 +a(g548 +V20 +p4037 +tp4038 +a(g900 +g958 +tp4039 +a(g548 +V20 +p4040 +tp4041 +a(g900 +g958 +tp4042 +a(g548 +V20 +p4043 +tp4044 +a(g900 +g958 +tp4045 +a(g900 +g958 +tp4046 +a(g548 +V20 +p4047 +tp4048 +a(g900 +g958 +tp4049 +a(g548 +V0a +p4050 +tp4051 +a(g900 +g958 +tp4052 +a(g548 +V20 +p4053 +tp4054 +a(g900 +g958 +tp4055 +a(g548 +V20 +p4056 +tp4057 +a(g900 +g958 +tp4058 +a(g548 +V20 +p4059 +tp4060 +a(g900 +g958 +tp4061 +a(g548 +V20 +p4062 +tp4063 +a(g900 +g958 +tp4064 +a(g548 +V20 +p4065 +tp4066 +a(g900 +g958 +tp4067 +a(g548 +V20 +p4068 +tp4069 +a(g900 +V +p4070 +tp4071 +a(g685 +g1011 +tp4072 +a(g341 +V } . +p4073 +tp4074 +a(g685 +g1011 +tp4075 +a(g900 +V\u000a +p4076 +tp4077 +a(g8 +V00000340 +p4078 +tp4079 +a(g900 +g958 +tp4080 +a(g900 +g958 +tp4081 +a(g548 +V20 +p4082 +tp4083 +a(g900 +g958 +tp4084 +a(g548 +V20 +p4085 +tp4086 +a(g900 +g958 +tp4087 +a(g548 +V65 +p4088 +tp4089 +a(g900 +g958 +tp4090 +a(g548 +V6c +p4091 +tp4092 +a(g900 +g958 +tp4093 +a(g548 +V73 +p4094 +tp4095 +a(g900 +g958 +tp4096 +a(g548 +V65 +p4097 +tp4098 +a(g900 +g958 +tp4099 +a(g548 +V20 +p4100 +tp4101 +a(g900 +g958 +tp4102 +a(g548 +V69 +p4103 +tp4104 +a(g900 +g958 +tp4105 +a(g900 +g958 +tp4106 +a(g548 +V66 +p4107 +tp4108 +a(g900 +g958 +tp4109 +a(g548 +V20 +p4110 +tp4111 +a(g900 +g958 +tp4112 +a(g548 +V28 +p4113 +tp4114 +a(g900 +g958 +tp4115 +a(g548 +V72 +p4116 +tp4117 +a(g900 +g958 +tp4118 +a(g548 +V65 +p4119 +tp4120 +a(g900 +g958 +tp4121 +a(g548 +V74 +p4122 +tp4123 +a(g900 +g958 +tp4124 +a(g548 +V29 +p4125 +tp4126 +a(g900 +g958 +tp4127 +a(g548 +V0a +p4128 +tp4129 +a(g900 +V +p4130 +tp4131 +a(g685 +g1011 +tp4132 +a(g341 +V else if (ret). +p4133 +tp4134 +a(g685 +g1011 +tp4135 +a(g900 +V\u000a +p4136 +tp4137 +a(g8 +V00000350 +p4138 +tp4139 +a(g900 +g958 +tp4140 +a(g900 +g958 +tp4141 +a(g548 +V20 +p4142 +tp4143 +a(g900 +g958 +tp4144 +a(g548 +V20 +p4145 +tp4146 +a(g900 +g958 +tp4147 +a(g548 +V20 +p4148 +tp4149 +a(g900 +g958 +tp4150 +a(g548 +V20 +p4151 +tp4152 +a(g900 +g958 +tp4153 +a(g548 +V20 +p4154 +tp4155 +a(g900 +g958 +tp4156 +a(g548 +V20 +p4157 +tp4158 +a(g900 +g958 +tp4159 +a(g548 +V20 +p4160 +tp4161 +a(g900 +g958 +tp4162 +a(g548 +V20 +p4163 +tp4164 +a(g900 +g958 +tp4165 +a(g900 +g958 +tp4166 +a(g548 +V20 +p4167 +tp4168 +a(g900 +g958 +tp4169 +a(g548 +V20 +p4170 +tp4171 +a(g900 +g958 +tp4172 +a(g548 +V20 +p4173 +tp4174 +a(g900 +g958 +tp4175 +a(g548 +V20 +p4176 +tp4177 +a(g900 +g958 +tp4178 +a(g548 +V72 +p4179 +tp4180 +a(g900 +g958 +tp4181 +a(g548 +V65 +p4182 +tp4183 +a(g900 +g958 +tp4184 +a(g548 +V74 +p4185 +tp4186 +a(g900 +g958 +tp4187 +a(g548 +V20 +p4188 +tp4189 +a(g900 +V +p4190 +tp4191 +a(g685 +g1011 +tp4192 +a(g341 +V ret +p4193 +tp4194 +a(g685 +g1011 +tp4195 +a(g900 +V\u000a +p4196 +tp4197 +a(g8 +V00000360 +p4198 +tp4199 +a(g900 +g958 +tp4200 +a(g900 +g958 +tp4201 +a(g548 +V7e +p4202 +tp4203 +a(g900 +g958 +tp4204 +a(g548 +V3d +p4205 +tp4206 +a(g900 +g958 +tp4207 +a(g548 +V20 +p4208 +tp4209 +a(g900 +g958 +tp4210 +a(g548 +V63 +p4211 +tp4212 +a(g900 +g958 +tp4213 +a(g548 +V3b +p4214 +tp4215 +a(g900 +g958 +tp4216 +a(g548 +V20 +p4217 +tp4218 +a(g900 +g958 +tp4219 +a(g548 +V20 +p4220 +tp4221 +a(g900 +g958 +tp4222 +a(g548 +V20 +p4223 +tp4224 +a(g900 +g958 +tp4225 +a(g900 +g958 +tp4226 +a(g548 +V20 +p4227 +tp4228 +a(g900 +g958 +tp4229 +a(g548 +V0a +p4230 +tp4231 +a(g900 +g958 +tp4232 +a(g548 +V20 +p4233 +tp4234 +a(g900 +g958 +tp4235 +a(g548 +V20 +p4236 +tp4237 +a(g900 +g958 +tp4238 +a(g548 +V20 +p4239 +tp4240 +a(g900 +g958 +tp4241 +a(g548 +V20 +p4242 +tp4243 +a(g900 +g958 +tp4244 +a(g548 +V7d +p4245 +tp4246 +a(g900 +g958 +tp4247 +a(g548 +V20 +p4248 +tp4249 +a(g900 +V +p4250 +tp4251 +a(g685 +g1011 +tp4252 +a(g341 +V~= c; . } +p4253 +tp4254 +a(g685 +g1011 +tp4255 +a(g900 +V\u000a +p4256 +tp4257 +a(g8 +V00000370 +p4258 +tp4259 +a(g900 +g958 +tp4260 +a(g900 +g958 +tp4261 +a(g548 +V20 +p4262 +tp4263 +a(g900 +g958 +tp4264 +a(g548 +V20 +p4265 +tp4266 +a(g900 +g958 +tp4267 +a(g548 +V20 +p4268 +tp4269 +a(g900 +g958 +tp4270 +a(g548 +V0a +p4271 +tp4272 +a(g900 +g958 +tp4273 +a(g548 +V20 +p4274 +tp4275 +a(g900 +g958 +tp4276 +a(g548 +V20 +p4277 +tp4278 +a(g900 +g958 +tp4279 +a(g548 +V20 +p4280 +tp4281 +a(g900 +g958 +tp4282 +a(g548 +V20 +p4283 +tp4284 +a(g900 +g958 +tp4285 +a(g900 +g958 +tp4286 +a(g548 +V72 +p4287 +tp4288 +a(g900 +g958 +tp4289 +a(g548 +V65 +p4290 +tp4291 +a(g900 +g958 +tp4292 +a(g548 +V74 +p4293 +tp4294 +a(g900 +g958 +tp4295 +a(g548 +V75 +p4296 +tp4297 +a(g900 +g958 +tp4298 +a(g548 +V72 +p4299 +tp4300 +a(g900 +g958 +tp4301 +a(g548 +V6e +p4302 +tp4303 +a(g900 +g958 +tp4304 +a(g548 +V20 +p4305 +tp4306 +a(g900 +g958 +tp4307 +a(g548 +V72 +p4308 +tp4309 +a(g900 +V +p4310 +tp4311 +a(g685 +g1011 +tp4312 +a(g341 +V . return r +p4313 +tp4314 +a(g685 +g1011 +tp4315 +a(g900 +V\u000a +p4316 +tp4317 +a(g8 +V00000380 +p4318 +tp4319 +a(g900 +g958 +tp4320 +a(g900 +g958 +tp4321 +a(g548 +V65 +p4322 +tp4323 +a(g900 +g958 +tp4324 +a(g548 +V74 +p4325 +tp4326 +a(g900 +g958 +tp4327 +a(g548 +V3f +p4328 +tp4329 +a(g900 +g958 +tp4330 +a(g548 +V72 +p4331 +tp4332 +a(g900 +g958 +tp4333 +a(g548 +V65 +p4334 +tp4335 +a(g900 +g958 +tp4336 +a(g548 +V74 +p4337 +tp4338 +a(g900 +g958 +tp4339 +a(g548 +V3a +p4340 +tp4341 +a(g900 +g958 +tp4342 +a(g548 +V6c +p4343 +tp4344 +a(g900 +g958 +tp4345 +a(g900 +g958 +tp4346 +a(g548 +V69 +p4347 +tp4348 +a(g900 +g958 +tp4349 +a(g548 +V6e +p4350 +tp4351 +a(g900 +g958 +tp4352 +a(g548 +V65 +p4353 +tp4354 +a(g900 +g958 +tp4355 +a(g548 +V3b +p4356 +tp4357 +a(g900 +g958 +tp4358 +a(g548 +V0a +p4359 +tp4360 +a(g900 +g958 +tp4361 +a(g548 +V7d +p4362 +tp4363 +a(g900 +g958 +tp4364 +a(g548 +V0a +p4365 +tp4366 +a(g900 +g958 +tp4367 +a(g548 +V0a +p4368 +tp4369 +a(g900 +V +p4370 +tp4371 +a(g685 +g1011 +tp4372 +a(g341 +Vet?ret:line;.}.. +p4373 +tp4374 +a(g685 +g1011 +tp4375 +a(g900 +V\u000a +p4376 +tp4377 +a(g8 +V00000390 +p4378 +tp4379 +a(g900 +g958 +tp4380 +a(g900 +g958 +tp4381 +a(g548 +V75 +p4382 +tp4383 +a(g900 +g958 +tp4384 +a(g548 +V6e +p4385 +tp4386 +a(g900 +g958 +tp4387 +a(g548 +V69 +p4388 +tp4389 +a(g900 +g958 +tp4390 +a(g548 +V74 +p4391 +tp4392 +a(g900 +g958 +tp4393 +a(g548 +V74 +p4394 +tp4395 +a(g900 +g958 +tp4396 +a(g548 +V65 +p4397 +tp4398 +a(g900 +g958 +tp4399 +a(g548 +V73 +p4400 +tp4401 +a(g900 +g958 +tp4402 +a(g548 +V74 +p4403 +tp4404 +a(g900 +g958 +tp4405 +a(g900 +g958 +tp4406 +a(g548 +V20 +p4407 +tp4408 +a(g900 +g958 +tp4409 +a(g548 +V7b +p4410 +tp4411 +a(g900 +g958 +tp4412 +a(g548 +V0a +p4413 +tp4414 +a(g900 +g958 +tp4415 +a(g548 +V20 +p4416 +tp4417 +a(g900 +g958 +tp4418 +a(g548 +V20 +p4419 +tp4420 +a(g900 +g958 +tp4421 +a(g548 +V20 +p4422 +tp4423 +a(g900 +g958 +tp4424 +a(g548 +V20 +p4425 +tp4426 +a(g900 +g958 +tp4427 +a(g548 +V61 +p4428 +tp4429 +a(g900 +V +p4430 +tp4431 +a(g685 +g1011 +tp4432 +a(g341 +Vunittest {. a +p4433 +tp4434 +a(g685 +g1011 +tp4435 +a(g900 +V\u000a +p4436 +tp4437 +a(g8 +V000003a0 +p4438 +tp4439 +a(g900 +g958 +tp4440 +a(g900 +g958 +tp4441 +a(g548 +V73 +p4442 +tp4443 +a(g900 +g958 +tp4444 +a(g548 +V73 +p4445 +tp4446 +a(g900 +g958 +tp4447 +a(g548 +V65 +p4448 +tp4449 +a(g900 +g958 +tp4450 +a(g548 +V72 +p4451 +tp4452 +a(g900 +g958 +tp4453 +a(g548 +V74 +p4454 +tp4455 +a(g900 +g958 +tp4456 +a(g548 +V28 +p4457 +tp4458 +a(g900 +g958 +tp4459 +a(g548 +V20 +p4460 +tp4461 +a(g900 +g958 +tp4462 +a(g548 +V73 +p4463 +tp4464 +a(g900 +g958 +tp4465 +a(g900 +g958 +tp4466 +a(g548 +V74 +p4467 +tp4468 +a(g900 +g958 +tp4469 +a(g548 +V72 +p4470 +tp4471 +a(g900 +g958 +tp4472 +a(g548 +V69 +p4473 +tp4474 +a(g900 +g958 +tp4475 +a(g548 +V70 +p4476 +tp4477 +a(g900 +g958 +tp4478 +a(g548 +V4e +p4479 +tp4480 +a(g900 +g958 +tp4481 +a(g548 +V6f +p4482 +tp4483 +a(g900 +g958 +tp4484 +a(g548 +V6e +p4485 +tp4486 +a(g900 +g958 +tp4487 +a(g548 +V44 +p4488 +tp4489 +a(g900 +V +p4490 +tp4491 +a(g685 +g1011 +tp4492 +a(g341 +Vssert( stripNonD +p4493 +tp4494 +a(g685 +g1011 +tp4495 +a(g900 +V\u000a +p4496 +tp4497 +a(g8 +V000003b0 +p4498 +tp4499 +a(g900 +g958 +tp4500 +a(g900 +g958 +tp4501 +a(g548 +V69 +p4502 +tp4503 +a(g900 +g958 +tp4504 +a(g548 +V67 +p4505 +tp4506 +a(g900 +g958 +tp4507 +a(g548 +V69 +p4508 +tp4509 +a(g900 +g958 +tp4510 +a(g548 +V74 +p4511 +tp4512 +a(g900 +g958 +tp4513 +a(g548 +V28 +p4514 +tp4515 +a(g900 +g958 +tp4516 +a(g548 +V22 +p4517 +tp4518 +a(g900 +g958 +tp4519 +a(g548 +V61 +p4520 +tp4521 +a(g900 +g958 +tp4522 +a(g548 +V73 +p4523 +tp4524 +a(g900 +g958 +tp4525 +a(g900 +g958 +tp4526 +a(g548 +V64 +p4527 +tp4528 +a(g900 +g958 +tp4529 +a(g548 +V66 +p4530 +tp4531 +a(g900 +g958 +tp4532 +a(g548 +V22 +p4533 +tp4534 +a(g900 +g958 +tp4535 +a(g548 +V29 +p4536 +tp4537 +a(g900 +g958 +tp4538 +a(g548 +V20 +p4539 +tp4540 +a(g900 +g958 +tp4541 +a(g548 +V3d +p4542 +tp4543 +a(g900 +g958 +tp4544 +a(g548 +V3d +p4545 +tp4546 +a(g900 +g958 +tp4547 +a(g548 +V20 +p4548 +tp4549 +a(g900 +V +p4550 +tp4551 +a(g685 +g1011 +tp4552 +a(g341 +Vigit("asdf") == +p4553 +tp4554 +a(g685 +g1011 +tp4555 +a(g900 +V\u000a +p4556 +tp4557 +a(g8 +V000003c0 +p4558 +tp4559 +a(g900 +g958 +tp4560 +a(g900 +g958 +tp4561 +a(g548 +V22 +p4562 +tp4563 +a(g900 +g958 +tp4564 +a(g548 +V22 +p4565 +tp4566 +a(g900 +g958 +tp4567 +a(g548 +V20 +p4568 +tp4569 +a(g900 +g958 +tp4570 +a(g548 +V20 +p4571 +tp4572 +a(g900 +g958 +tp4573 +a(g548 +V29 +p4574 +tp4575 +a(g900 +g958 +tp4576 +a(g548 +V3b +p4577 +tp4578 +a(g900 +g958 +tp4579 +a(g548 +V0a +p4580 +tp4581 +a(g900 +g958 +tp4582 +a(g548 +V20 +p4583 +tp4584 +a(g900 +g958 +tp4585 +a(g900 +g958 +tp4586 +a(g548 +V20 +p4587 +tp4588 +a(g900 +g958 +tp4589 +a(g548 +V20 +p4590 +tp4591 +a(g900 +g958 +tp4592 +a(g548 +V20 +p4593 +tp4594 +a(g900 +g958 +tp4595 +a(g548 +V61 +p4596 +tp4597 +a(g900 +g958 +tp4598 +a(g548 +V73 +p4599 +tp4600 +a(g900 +g958 +tp4601 +a(g548 +V73 +p4602 +tp4603 +a(g900 +g958 +tp4604 +a(g548 +V65 +p4605 +tp4606 +a(g900 +g958 +tp4607 +a(g548 +V72 +p4608 +tp4609 +a(g900 +V +p4610 +tp4611 +a(g685 +g1011 +tp4612 +a(g341 +V"" );. asser +p4613 +tp4614 +a(g685 +g1011 +tp4615 +a(g900 +V\u000a +p4616 +tp4617 +a(g8 +V000003d0 +p4618 +tp4619 +a(g900 +g958 +tp4620 +a(g900 +g958 +tp4621 +a(g548 +V74 +p4622 +tp4623 +a(g900 +g958 +tp4624 +a(g548 +V28 +p4625 +tp4626 +a(g900 +g958 +tp4627 +a(g548 +V20 +p4628 +tp4629 +a(g900 +g958 +tp4630 +a(g548 +V73 +p4631 +tp4632 +a(g900 +g958 +tp4633 +a(g548 +V74 +p4634 +tp4635 +a(g900 +g958 +tp4636 +a(g548 +V72 +p4637 +tp4638 +a(g900 +g958 +tp4639 +a(g548 +V69 +p4640 +tp4641 +a(g900 +g958 +tp4642 +a(g548 +V70 +p4643 +tp4644 +a(g900 +g958 +tp4645 +a(g900 +g958 +tp4646 +a(g548 +V4e +p4647 +tp4648 +a(g900 +g958 +tp4649 +a(g548 +V6f +p4650 +tp4651 +a(g900 +g958 +tp4652 +a(g548 +V6e +p4653 +tp4654 +a(g900 +g958 +tp4655 +a(g548 +V44 +p4656 +tp4657 +a(g900 +g958 +tp4658 +a(g548 +V69 +p4659 +tp4660 +a(g900 +g958 +tp4661 +a(g548 +V67 +p4662 +tp4663 +a(g900 +g958 +tp4664 +a(g548 +V69 +p4665 +tp4666 +a(g900 +g958 +tp4667 +a(g548 +V74 +p4668 +tp4669 +a(g900 +V +p4670 +tp4671 +a(g685 +g1011 +tp4672 +a(g341 +Vt( stripNonDigit +p4673 +tp4674 +a(g685 +g1011 +tp4675 +a(g900 +V\u000a +p4676 +tp4677 +a(g8 +V000003e0 +p4678 +tp4679 +a(g900 +g958 +tp4680 +a(g900 +g958 +tp4681 +a(g548 +V28 +p4682 +tp4683 +a(g900 +g958 +tp4684 +a(g548 +V22 +p4685 +tp4686 +a(g900 +g958 +tp4687 +a(g548 +V5c +p4688 +tp4689 +a(g900 +g958 +tp4690 +a(g548 +V27 +p4691 +tp4692 +a(g900 +g958 +tp4693 +a(g548 +V31 +p4694 +tp4695 +a(g900 +g958 +tp4696 +a(g548 +V33 +p4697 +tp4698 +a(g900 +g958 +tp4699 +a(g548 +V2d +p4700 +tp4701 +a(g900 +g958 +tp4702 +a(g548 +V3d +p4703 +tp4704 +a(g900 +g958 +tp4705 +a(g900 +g958 +tp4706 +a(g548 +V32 +p4707 +tp4708 +a(g900 +g958 +tp4709 +a(g548 +V20 +p4710 +tp4711 +a(g900 +g958 +tp4712 +a(g548 +V34 +p4713 +tp4714 +a(g900 +g958 +tp4715 +a(g548 +V6b +p4716 +tp4717 +a(g900 +g958 +tp4718 +a(g548 +V6f +p4719 +tp4720 +a(g900 +g958 +tp4721 +a(g548 +V70 +p4722 +tp4723 +a(g900 +g958 +tp4724 +a(g548 +V22 +p4725 +tp4726 +a(g900 +g958 +tp4727 +a(g548 +V29 +p4728 +tp4729 +a(g900 +V +p4730 +tp4731 +a(g685 +g1011 +tp4732 +a(g341 +V("\u005c'13-=2 4kop") +p4733 +tp4734 +a(g685 +g1011 +tp4735 +a(g900 +V\u000a +p4736 +tp4737 +a(g8 +V000003f0 +p4738 +tp4739 +a(g900 +g958 +tp4740 +a(g900 +g958 +tp4741 +a(g548 +V20 +p4742 +tp4743 +a(g900 +g958 +tp4744 +a(g548 +V3d +p4745 +tp4746 +a(g900 +g958 +tp4747 +a(g548 +V3d +p4748 +tp4749 +a(g900 +g958 +tp4750 +a(g548 +V20 +p4751 +tp4752 +a(g900 +g958 +tp4753 +a(g548 +V20 +p4754 +tp4755 +a(g900 +g958 +tp4756 +a(g548 +V22 +p4757 +tp4758 +a(g900 +g958 +tp4759 +a(g548 +V31 +p4760 +tp4761 +a(g900 +g958 +tp4762 +a(g548 +V33 +p4763 +tp4764 +a(g900 +g958 +tp4765 +a(g900 +g958 +tp4766 +a(g548 +V32 +p4767 +tp4768 +a(g900 +g958 +tp4769 +a(g548 +V34 +p4770 +tp4771 +a(g900 +g958 +tp4772 +a(g548 +V22 +p4773 +tp4774 +a(g900 +g958 +tp4775 +a(g548 +V20 +p4776 +tp4777 +a(g900 +g958 +tp4778 +a(g548 +V20 +p4779 +tp4780 +a(g900 +g958 +tp4781 +a(g548 +V29 +p4782 +tp4783 +a(g900 +g958 +tp4784 +a(g548 +V3b +p4785 +tp4786 +a(g900 +g958 +tp4787 +a(g548 +V0a +p4788 +tp4789 +a(g900 +V +p4790 +tp4791 +a(g685 +g1011 +tp4792 +a(g341 +V == "1324" );. +p4793 +tp4794 +a(g685 +g1011 +tp4795 +a(g900 +V\u000a +p4796 +tp4797 +a(g8 +V00000400 +p4798 +tp4799 +a(g900 +g958 +tp4800 +a(g900 +g958 +tp4801 +a(g548 +V7d +p4802 +tp4803 +a(g900 +g958 +tp4804 +a(g548 +V0a +p4805 +tp4806 +a(g900 +g958 +tp4807 +a(g548 +V0a +p4808 +tp4809 +a(g900 +g958 +tp4810 +a(g548 +V2f +p4811 +tp4812 +a(g900 +g958 +tp4813 +a(g548 +V2f +p4814 +tp4815 +a(g900 +g958 +tp4816 +a(g548 +V2f +p4817 +tp4818 +a(g900 +g958 +tp4819 +a(g548 +V20 +p4820 +tp4821 +a(g900 +g958 +tp4822 +a(g548 +V43 +p4823 +tp4824 +a(g900 +g958 +tp4825 +a(g900 +g958 +tp4826 +a(g548 +V6f +p4827 +tp4828 +a(g900 +g958 +tp4829 +a(g548 +V6e +p4830 +tp4831 +a(g900 +g958 +tp4832 +a(g548 +V76 +p4833 +tp4834 +a(g900 +g958 +tp4835 +a(g548 +V65 +p4836 +tp4837 +a(g900 +g958 +tp4838 +a(g548 +V72 +p4839 +tp4840 +a(g900 +g958 +tp4841 +a(g548 +V74 +p4842 +tp4843 +a(g900 +g958 +tp4844 +a(g548 +V73 +p4845 +tp4846 +a(g900 +g958 +tp4847 +a(g548 +V20 +p4848 +tp4849 +a(g900 +V +p4850 +tp4851 +a(g685 +g1011 +tp4852 +a(g341 +V}../// Converts +p4853 +tp4854 +a(g685 +g1011 +tp4855 +a(g900 +V\u000a +p4856 +tp4857 +a(g8 +V00000410 +p4858 +tp4859 +a(g900 +g958 +tp4860 +a(g900 +g958 +tp4861 +a(g548 +V61 +p4862 +tp4863 +a(g900 +g958 +tp4864 +a(g548 +V20 +p4865 +tp4866 +a(g900 +g958 +tp4867 +a(g548 +V77 +p4868 +tp4869 +a(g900 +g958 +tp4870 +a(g548 +V6f +p4871 +tp4872 +a(g900 +g958 +tp4873 +a(g548 +V72 +p4874 +tp4875 +a(g900 +g958 +tp4876 +a(g548 +V64 +p4877 +tp4878 +a(g900 +g958 +tp4879 +a(g548 +V20 +p4880 +tp4881 +a(g900 +g958 +tp4882 +a(g548 +V69 +p4883 +tp4884 +a(g900 +g958 +tp4885 +a(g900 +g958 +tp4886 +a(g548 +V6e +p4887 +tp4888 +a(g900 +g958 +tp4889 +a(g548 +V74 +p4890 +tp4891 +a(g900 +g958 +tp4892 +a(g548 +V6f +p4893 +tp4894 +a(g900 +g958 +tp4895 +a(g548 +V20 +p4896 +tp4897 +a(g900 +g958 +tp4898 +a(g548 +V61 +p4899 +tp4900 +a(g900 +g958 +tp4901 +a(g548 +V20 +p4902 +tp4903 +a(g900 +g958 +tp4904 +a(g548 +V6e +p4905 +tp4906 +a(g900 +g958 +tp4907 +a(g548 +V75 +p4908 +tp4909 +a(g900 +V +p4910 +tp4911 +a(g685 +g1011 +tp4912 +a(g341 +Va word into a nu +p4913 +tp4914 +a(g685 +g1011 +tp4915 +a(g900 +V\u000a +p4916 +tp4917 +a(g8 +V00000420 +p4918 +tp4919 +a(g900 +g958 +tp4920 +a(g900 +g958 +tp4921 +a(g548 +V6d +p4922 +tp4923 +a(g900 +g958 +tp4924 +a(g548 +V62 +p4925 +tp4926 +a(g900 +g958 +tp4927 +a(g548 +V65 +p4928 +tp4929 +a(g900 +g958 +tp4930 +a(g548 +V72 +p4931 +tp4932 +a(g900 +g958 +tp4933 +a(g548 +V2c +p4934 +tp4935 +a(g900 +g958 +tp4936 +a(g548 +V20 +p4937 +tp4938 +a(g900 +g958 +tp4939 +a(g548 +V69 +p4940 +tp4941 +a(g900 +g958 +tp4942 +a(g548 +V67 +p4943 +tp4944 +a(g900 +g958 +tp4945 +a(g900 +g958 +tp4946 +a(g548 +V6e +p4947 +tp4948 +a(g900 +g958 +tp4949 +a(g548 +V6f +p4950 +tp4951 +a(g900 +g958 +tp4952 +a(g548 +V72 +p4953 +tp4954 +a(g900 +g958 +tp4955 +a(g548 +V69 +p4956 +tp4957 +a(g900 +g958 +tp4958 +a(g548 +V6e +p4959 +tp4960 +a(g900 +g958 +tp4961 +a(g548 +V67 +p4962 +tp4963 +a(g900 +g958 +tp4964 +a(g548 +V20 +p4965 +tp4966 +a(g900 +g958 +tp4967 +a(g548 +V61 +p4968 +tp4969 +a(g900 +V +p4970 +tp4971 +a(g685 +g1011 +tp4972 +a(g341 +Vmber, ignoring a +p4973 +tp4974 +a(g685 +g1011 +tp4975 +a(g900 +V\u000a +p4976 +tp4977 +a(g8 +V00000430 +p4978 +tp4979 +a(g900 +g958 +tp4980 +a(g900 +g958 +tp4981 +a(g548 +V6c +p4982 +tp4983 +a(g900 +g958 +tp4984 +a(g548 +V6c +p4985 +tp4986 +a(g900 +g958 +tp4987 +a(g548 +V20 +p4988 +tp4989 +a(g900 +g958 +tp4990 +a(g548 +V6e +p4991 +tp4992 +a(g900 +g958 +tp4993 +a(g548 +V6f +p4994 +tp4995 +a(g900 +g958 +tp4996 +a(g548 +V6e +p4997 +tp4998 +a(g900 +g958 +tp4999 +a(g548 +V20 +p5000 +tp5001 +a(g900 +g958 +tp5002 +a(g548 +V61 +p5003 +tp5004 +a(g900 +g958 +tp5005 +a(g900 +g958 +tp5006 +a(g548 +V6c +p5007 +tp5008 +a(g900 +g958 +tp5009 +a(g548 +V70 +p5010 +tp5011 +a(g900 +g958 +tp5012 +a(g548 +V68 +p5013 +tp5014 +a(g900 +g958 +tp5015 +a(g548 +V61 +p5016 +tp5017 +a(g900 +g958 +tp5018 +a(g548 +V20 +p5019 +tp5020 +a(g900 +g958 +tp5021 +a(g548 +V63 +p5022 +tp5023 +a(g900 +g958 +tp5024 +a(g548 +V68 +p5025 +tp5026 +a(g900 +g958 +tp5027 +a(g548 +V61 +p5028 +tp5029 +a(g900 +V +p5030 +tp5031 +a(g685 +g1011 +tp5032 +a(g341 +Vll non alpha cha +p5033 +tp5034 +a(g685 +g1011 +tp5035 +a(g900 +V\u000a +p5036 +tp5037 +a(g8 +V00000440 +p5038 +tp5039 +a(g900 +g958 +tp5040 +a(g900 +g958 +tp5041 +a(g548 +V72 +p5042 +tp5043 +a(g900 +g958 +tp5044 +a(g548 +V61 +p5045 +tp5046 +a(g900 +g958 +tp5047 +a(g548 +V63 +p5048 +tp5049 +a(g900 +g958 +tp5050 +a(g548 +V74 +p5051 +tp5052 +a(g900 +g958 +tp5053 +a(g548 +V65 +p5054 +tp5055 +a(g900 +g958 +tp5056 +a(g548 +V72 +p5057 +tp5058 +a(g900 +g958 +tp5059 +a(g548 +V73 +p5060 +tp5061 +a(g900 +g958 +tp5062 +a(g548 +V20 +p5063 +tp5064 +a(g900 +g958 +tp5065 +a(g900 +g958 +tp5066 +a(g548 +V20 +p5067 +tp5068 +a(g900 +g958 +tp5069 +a(g548 +V0a +p5070 +tp5071 +a(g900 +g958 +tp5072 +a(g548 +V73 +p5073 +tp5074 +a(g900 +g958 +tp5075 +a(g548 +V74 +p5076 +tp5077 +a(g900 +g958 +tp5078 +a(g548 +V72 +p5079 +tp5080 +a(g900 +g958 +tp5081 +a(g548 +V69 +p5082 +tp5083 +a(g900 +g958 +tp5084 +a(g548 +V6e +p5085 +tp5086 +a(g900 +g958 +tp5087 +a(g548 +V67 +p5088 +tp5089 +a(g900 +V +p5090 +tp5091 +a(g685 +g1011 +tp5092 +a(g341 +Vracters .string +p5093 +tp5094 +a(g685 +g1011 +tp5095 +a(g900 +V\u000a +p5096 +tp5097 +a(g8 +V00000450 +p5098 +tp5099 +a(g900 +g958 +tp5100 +a(g900 +g958 +tp5101 +a(g548 +V20 +p5102 +tp5103 +a(g900 +g958 +tp5104 +a(g548 +V77 +p5105 +tp5106 +a(g900 +g958 +tp5107 +a(g548 +V6f +p5108 +tp5109 +a(g900 +g958 +tp5110 +a(g548 +V72 +p5111 +tp5112 +a(g900 +g958 +tp5113 +a(g548 +V64 +p5114 +tp5115 +a(g900 +g958 +tp5116 +a(g548 +V54 +p5117 +tp5118 +a(g900 +g958 +tp5119 +a(g548 +V6f +p5120 +tp5121 +a(g900 +g958 +tp5122 +a(g548 +V4e +p5123 +tp5124 +a(g900 +g958 +tp5125 +a(g900 +g958 +tp5126 +a(g548 +V75 +p5127 +tp5128 +a(g900 +g958 +tp5129 +a(g548 +V6d +p5130 +tp5131 +a(g900 +g958 +tp5132 +a(g548 +V28 +p5133 +tp5134 +a(g900 +g958 +tp5135 +a(g548 +V20 +p5136 +tp5137 +a(g900 +g958 +tp5138 +a(g548 +V69 +p5139 +tp5140 +a(g900 +g958 +tp5141 +a(g548 +V6e +p5142 +tp5143 +a(g900 +g958 +tp5144 +a(g548 +V20 +p5145 +tp5146 +a(g900 +g958 +tp5147 +a(g548 +V73 +p5148 +tp5149 +a(g900 +V +p5150 +tp5151 +a(g685 +g1011 +tp5152 +a(g341 +V wordToNum( in s +p5153 +tp5154 +a(g685 +g1011 +tp5155 +a(g900 +V\u000a +p5156 +tp5157 +a(g8 +V00000460 +p5158 +tp5159 +a(g900 +g958 +tp5160 +a(g900 +g958 +tp5161 +a(g548 +V74 +p5162 +tp5163 +a(g900 +g958 +tp5164 +a(g548 +V72 +p5165 +tp5166 +a(g900 +g958 +tp5167 +a(g548 +V69 +p5168 +tp5169 +a(g900 +g958 +tp5170 +a(g548 +V6e +p5171 +tp5172 +a(g900 +g958 +tp5173 +a(g548 +V67 +p5174 +tp5175 +a(g900 +g958 +tp5176 +a(g548 +V20 +p5177 +tp5178 +a(g900 +g958 +tp5179 +a(g548 +V77 +p5180 +tp5181 +a(g900 +g958 +tp5182 +a(g548 +V6f +p5183 +tp5184 +a(g900 +g958 +tp5185 +a(g900 +g958 +tp5186 +a(g548 +V72 +p5187 +tp5188 +a(g900 +g958 +tp5189 +a(g548 +V64 +p5190 +tp5191 +a(g900 +g958 +tp5192 +a(g548 +V20 +p5193 +tp5194 +a(g900 +g958 +tp5195 +a(g548 +V29 +p5196 +tp5197 +a(g900 +g958 +tp5198 +a(g548 +V0a +p5199 +tp5200 +a(g900 +g958 +tp5201 +a(g548 +V7b +p5202 +tp5203 +a(g900 +g958 +tp5204 +a(g548 +V0a +p5205 +tp5206 +a(g900 +g958 +tp5207 +a(g548 +V2f +p5208 +tp5209 +a(g900 +V +p5210 +tp5211 +a(g685 +g1011 +tp5212 +a(g341 +Vtring word ).{./ +p5213 +tp5214 +a(g685 +g1011 +tp5215 +a(g900 +V\u000a +p5216 +tp5217 +a(g8 +V00000470 +p5218 +tp5219 +a(g900 +g958 +tp5220 +a(g900 +g958 +tp5221 +a(g548 +V2f +p5222 +tp5223 +a(g900 +g958 +tp5224 +a(g548 +V20 +p5225 +tp5226 +a(g900 +g958 +tp5227 +a(g548 +V74 +p5228 +tp5229 +a(g900 +g958 +tp5230 +a(g548 +V72 +p5231 +tp5232 +a(g900 +g958 +tp5233 +a(g548 +V61 +p5234 +tp5235 +a(g900 +g958 +tp5236 +a(g548 +V6e +p5237 +tp5238 +a(g900 +g958 +tp5239 +a(g548 +V73 +p5240 +tp5241 +a(g900 +g958 +tp5242 +a(g548 +V6c +p5243 +tp5244 +a(g900 +g958 +tp5245 +a(g900 +g958 +tp5246 +a(g548 +V61 +p5247 +tp5248 +a(g900 +g958 +tp5249 +a(g548 +V74 +p5250 +tp5251 +a(g900 +g958 +tp5252 +a(g548 +V69 +p5253 +tp5254 +a(g900 +g958 +tp5255 +a(g548 +V6f +p5256 +tp5257 +a(g900 +g958 +tp5258 +a(g548 +V6e +p5259 +tp5260 +a(g900 +g958 +tp5261 +a(g548 +V20 +p5262 +tp5263 +a(g900 +g958 +tp5264 +a(g548 +V74 +p5265 +tp5266 +a(g900 +g958 +tp5267 +a(g548 +V61 +p5268 +tp5269 +a(g900 +V +p5270 +tp5271 +a(g685 +g1011 +tp5272 +a(g341 +V/ translation ta +p5273 +tp5274 +a(g685 +g1011 +tp5275 +a(g900 +V\u000a +p5276 +tp5277 +a(g8 +V00000480 +p5278 +tp5279 +a(g900 +g958 +tp5280 +a(g900 +g958 +tp5281 +a(g548 +V62 +p5282 +tp5283 +a(g900 +g958 +tp5284 +a(g548 +V6c +p5285 +tp5286 +a(g900 +g958 +tp5287 +a(g548 +V65 +p5288 +tp5289 +a(g900 +g958 +tp5290 +a(g548 +V20 +p5291 +tp5292 +a(g900 +g958 +tp5293 +a(g548 +V66 +p5294 +tp5295 +a(g900 +g958 +tp5296 +a(g548 +V6f +p5297 +tp5298 +a(g900 +g958 +tp5299 +a(g548 +V72 +p5300 +tp5301 +a(g900 +g958 +tp5302 +a(g548 +V20 +p5303 +tp5304 +a(g900 +g958 +tp5305 +a(g900 +g958 +tp5306 +a(g548 +V74 +p5307 +tp5308 +a(g900 +g958 +tp5309 +a(g548 +V68 +p5310 +tp5311 +a(g900 +g958 +tp5312 +a(g548 +V65 +p5313 +tp5314 +a(g900 +g958 +tp5315 +a(g548 +V20 +p5316 +tp5317 +a(g900 +g958 +tp5318 +a(g548 +V74 +p5319 +tp5320 +a(g900 +g958 +tp5321 +a(g548 +V61 +p5322 +tp5323 +a(g900 +g958 +tp5324 +a(g548 +V73 +p5325 +tp5326 +a(g900 +g958 +tp5327 +a(g548 +V6b +p5328 +tp5329 +a(g900 +V +p5330 +tp5331 +a(g685 +g1011 +tp5332 +a(g341 +Vble for the task +p5333 +tp5334 +a(g685 +g1011 +tp5335 +a(g900 +V\u000a +p5336 +tp5337 +a(g8 +V00000490 +p5338 +tp5339 +a(g900 +g958 +tp5340 +a(g900 +g958 +tp5341 +a(g548 +V20 +p5342 +tp5343 +a(g900 +g958 +tp5344 +a(g548 +V61 +p5345 +tp5346 +a(g900 +g958 +tp5347 +a(g548 +V74 +p5348 +tp5349 +a(g900 +g958 +tp5350 +a(g548 +V20 +p5351 +tp5352 +a(g900 +g958 +tp5353 +a(g548 +V68 +p5354 +tp5355 +a(g900 +g958 +tp5356 +a(g548 +V61 +p5357 +tp5358 +a(g900 +g958 +tp5359 +a(g548 +V6e +p5360 +tp5361 +a(g900 +g958 +tp5362 +a(g548 +V64 +p5363 +tp5364 +a(g900 +g958 +tp5365 +a(g900 +g958 +tp5366 +a(g548 +V0a +p5367 +tp5368 +a(g900 +g958 +tp5369 +a(g548 +V63 +p5370 +tp5371 +a(g900 +g958 +tp5372 +a(g548 +V6f +p5373 +tp5374 +a(g900 +g958 +tp5375 +a(g548 +V6e +p5376 +tp5377 +a(g900 +g958 +tp5378 +a(g548 +V73 +p5379 +tp5380 +a(g900 +g958 +tp5381 +a(g548 +V74 +p5382 +tp5383 +a(g900 +g958 +tp5384 +a(g548 +V20 +p5385 +tp5386 +a(g900 +g958 +tp5387 +a(g548 +V63 +p5388 +tp5389 +a(g900 +V +p5390 +tp5391 +a(g685 +g1011 +tp5392 +a(g341 +V at hand.const c +p5393 +tp5394 +a(g685 +g1011 +tp5395 +a(g900 +V\u000a +p5396 +tp5397 +a(g8 +V000004a0 +p5398 +tp5399 +a(g900 +g958 +tp5400 +a(g900 +g958 +tp5401 +a(g548 +V68 +p5402 +tp5403 +a(g900 +g958 +tp5404 +a(g548 +V61 +p5405 +tp5406 +a(g900 +g958 +tp5407 +a(g548 +V72 +p5408 +tp5409 +a(g900 +g958 +tp5410 +a(g548 +V5b +p5411 +tp5412 +a(g900 +g958 +tp5413 +a(g548 +V32 +p5414 +tp5415 +a(g900 +g958 +tp5416 +a(g548 +V35 +p5417 +tp5418 +a(g900 +g958 +tp5419 +a(g548 +V36 +p5420 +tp5421 +a(g900 +g958 +tp5422 +a(g548 +V5d +p5423 +tp5424 +a(g900 +g958 +tp5425 +a(g900 +g958 +tp5426 +a(g548 +V20 +p5427 +tp5428 +a(g900 +g958 +tp5429 +a(g548 +V54 +p5430 +tp5431 +a(g900 +g958 +tp5432 +a(g548 +V52 +p5433 +tp5434 +a(g900 +g958 +tp5435 +a(g548 +V41 +p5436 +tp5437 +a(g900 +g958 +tp5438 +a(g548 +V4e +p5439 +tp5440 +a(g900 +g958 +tp5441 +a(g548 +V53 +p5442 +tp5443 +a(g900 +g958 +tp5444 +a(g548 +V4c +p5445 +tp5446 +a(g900 +g958 +tp5447 +a(g548 +V41 +p5448 +tp5449 +a(g900 +V +p5450 +tp5451 +a(g685 +g1011 +tp5452 +a(g341 +Vhar[256] TRANSLA +p5453 +tp5454 +a(g685 +g1011 +tp5455 +a(g900 +V\u000a +p5456 +tp5457 +a(g8 +V000004b0 +p5458 +tp5459 +a(g900 +g958 +tp5460 +a(g900 +g958 +tp5461 +a(g548 +V54 +p5462 +tp5463 +a(g900 +g958 +tp5464 +a(g548 +V45 +p5465 +tp5466 +a(g900 +g958 +tp5467 +a(g548 +V20 +p5468 +tp5469 +a(g900 +g958 +tp5470 +a(g548 +V3d +p5471 +tp5472 +a(g900 +g958 +tp5473 +a(g548 +V20 +p5474 +tp5475 +a(g900 +g958 +tp5476 +a(g548 +V20 +p5477 +tp5478 +a(g900 +g958 +tp5479 +a(g548 +V20 +p5480 +tp5481 +a(g900 +g958 +tp5482 +a(g548 +V20 +p5483 +tp5484 +a(g900 +g958 +tp5485 +a(g900 +g958 +tp5486 +a(g548 +V0a +p5487 +tp5488 +a(g900 +g958 +tp5489 +a(g548 +V20 +p5490 +tp5491 +a(g900 +g958 +tp5492 +a(g548 +V20 +p5493 +tp5494 +a(g900 +g958 +tp5495 +a(g548 +V20 +p5496 +tp5497 +a(g900 +g958 +tp5498 +a(g548 +V20 +p5499 +tp5500 +a(g900 +g958 +tp5501 +a(g548 +V22 +p5502 +tp5503 +a(g900 +g958 +tp5504 +a(g548 +V20 +p5505 +tp5506 +a(g900 +g958 +tp5507 +a(g548 +V20 +p5508 +tp5509 +a(g900 +V +p5510 +tp5511 +a(g685 +g1011 +tp5512 +a(g341 +VTE = . " +p5513 +tp5514 +a(g685 +g1011 +tp5515 +a(g900 +V\u000a +p5516 +tp5517 +a(g8 +V000004c0 +p5518 +tp5519 +a(g900 +g958 +tp5520 +a(g900 +g958 +tp5521 +a(g548 +V20 +p5522 +tp5523 +a(g900 +g958 +tp5524 +a(g548 +V20 +p5525 +tp5526 +a(g900 +g958 +tp5527 +a(g548 +V20 +p5528 +tp5529 +a(g900 +g958 +tp5530 +a(g548 +V20 +p5531 +tp5532 +a(g900 +g958 +tp5533 +a(g548 +V20 +p5534 +tp5535 +a(g900 +g958 +tp5536 +a(g548 +V20 +p5537 +tp5538 +a(g900 +g958 +tp5539 +a(g548 +V20 +p5540 +tp5541 +a(g900 +g958 +tp5542 +a(g548 +V20 +p5543 +tp5544 +a(g900 +g958 +tp5545 +a(g900 +g958 +tp5546 +a(g548 +V20 +p5547 +tp5548 +a(g900 +g958 +tp5549 +a(g548 +V20 +p5550 +tp5551 +a(g900 +g958 +tp5552 +a(g548 +V20 +p5553 +tp5554 +a(g900 +g958 +tp5555 +a(g548 +V20 +p5556 +tp5557 +a(g900 +g958 +tp5558 +a(g548 +V20 +p5559 +tp5560 +a(g900 +g958 +tp5561 +a(g548 +V20 +p5562 +tp5563 +a(g900 +g958 +tp5564 +a(g548 +V20 +p5565 +tp5566 +a(g900 +g958 +tp5567 +a(g548 +V20 +p5568 +tp5569 +a(g900 +V +p5570 +tp5571 +a(g685 +g1011 +tp5572 +a(g341 +V +p5573 +tp5574 +a(g685 +g1011 +tp5575 +a(g900 +V\u000a +p5576 +tp5577 +a(g8 +V000004d0 +p5578 +tp5579 +a(g900 +g958 +tp5580 +a(g900 +g958 +tp5581 +a(g548 +V20 +p5582 +tp5583 +a(g900 +g958 +tp5584 +a(g548 +V20 +p5585 +tp5586 +a(g900 +g958 +tp5587 +a(g548 +V20 +p5588 +tp5589 +a(g900 +g958 +tp5590 +a(g548 +V20 +p5591 +tp5592 +a(g900 +g958 +tp5593 +a(g548 +V20 +p5594 +tp5595 +a(g900 +g958 +tp5596 +a(g548 +V20 +p5597 +tp5598 +a(g900 +g958 +tp5599 +a(g548 +V20 +p5600 +tp5601 +a(g900 +g958 +tp5602 +a(g548 +V20 +p5603 +tp5604 +a(g900 +g958 +tp5605 +a(g900 +g958 +tp5606 +a(g548 +V20 +p5607 +tp5608 +a(g900 +g958 +tp5609 +a(g548 +V20 +p5610 +tp5611 +a(g900 +g958 +tp5612 +a(g548 +V20 +p5613 +tp5614 +a(g900 +g958 +tp5615 +a(g548 +V20 +p5616 +tp5617 +a(g900 +g958 +tp5618 +a(g548 +V20 +p5619 +tp5620 +a(g900 +g958 +tp5621 +a(g548 +V20 +p5622 +tp5623 +a(g900 +g958 +tp5624 +a(g548 +V22 +p5625 +tp5626 +a(g900 +g958 +tp5627 +a(g548 +V20 +p5628 +tp5629 +a(g900 +V +p5630 +tp5631 +a(g685 +g1011 +tp5632 +a(g341 +V " +p5633 +tp5634 +a(g685 +g1011 +tp5635 +a(g900 +V\u000a +p5636 +tp5637 +a(g8 +V000004e0 +p5638 +tp5639 +a(g900 +g958 +tp5640 +a(g900 +g958 +tp5641 +a(g548 +V20 +p5642 +tp5643 +a(g900 +g958 +tp5644 +a(g548 +V2f +p5645 +tp5646 +a(g900 +g958 +tp5647 +a(g548 +V2f +p5648 +tp5649 +a(g900 +g958 +tp5650 +a(g548 +V20 +p5651 +tp5652 +a(g900 +g958 +tp5653 +a(g548 +V30 +p5654 +tp5655 +a(g900 +g958 +tp5656 +a(g548 +V20 +p5657 +tp5658 +a(g900 +g958 +tp5659 +a(g548 +V20 +p5660 +tp5661 +a(g900 +g958 +tp5662 +a(g548 +V20 +p5663 +tp5664 +a(g900 +g958 +tp5665 +a(g900 +g958 +tp5666 +a(g548 +V0a +p5667 +tp5668 +a(g900 +g958 +tp5669 +a(g548 +V20 +p5670 +tp5671 +a(g900 +g958 +tp5672 +a(g548 +V20 +p5673 +tp5674 +a(g900 +g958 +tp5675 +a(g548 +V20 +p5676 +tp5677 +a(g900 +g958 +tp5678 +a(g548 +V20 +p5679 +tp5680 +a(g900 +g958 +tp5681 +a(g548 +V22 +p5682 +tp5683 +a(g900 +g958 +tp5684 +a(g548 +V20 +p5685 +tp5686 +a(g900 +g958 +tp5687 +a(g548 +V20 +p5688 +tp5689 +a(g900 +V +p5690 +tp5691 +a(g685 +g1011 +tp5692 +a(g341 +V // 0 . " +p5693 +tp5694 +a(g685 +g1011 +tp5695 +a(g900 +V\u000a +p5696 +tp5697 +a(g8 +V000004f0 +p5698 +tp5699 +a(g900 +g958 +tp5700 +a(g900 +g958 +tp5701 +a(g548 +V20 +p5702 +tp5703 +a(g900 +g958 +tp5704 +a(g548 +V20 +p5705 +tp5706 +a(g900 +g958 +tp5707 +a(g548 +V20 +p5708 +tp5709 +a(g900 +g958 +tp5710 +a(g548 +V20 +p5711 +tp5712 +a(g900 +g958 +tp5713 +a(g548 +V20 +p5714 +tp5715 +a(g900 +g958 +tp5716 +a(g548 +V20 +p5717 +tp5718 +a(g900 +g958 +tp5719 +a(g548 +V20 +p5720 +tp5721 +a(g900 +g958 +tp5722 +a(g548 +V20 +p5723 +tp5724 +a(g900 +g958 +tp5725 +a(g900 +g958 +tp5726 +a(g548 +V20 +p5727 +tp5728 +a(g900 +g958 +tp5729 +a(g548 +V20 +p5730 +tp5731 +a(g900 +g958 +tp5732 +a(g548 +V20 +p5733 +tp5734 +a(g900 +g958 +tp5735 +a(g548 +V20 +p5736 +tp5737 +a(g900 +g958 +tp5738 +a(g548 +V20 +p5739 +tp5740 +a(g900 +g958 +tp5741 +a(g548 +V20 +p5742 +tp5743 +a(g900 +g958 +tp5744 +a(g548 +V30 +p5745 +tp5746 +a(g900 +g958 +tp5747 +a(g548 +V31 +p5748 +tp5749 +a(g900 +V +p5750 +tp5751 +a(g685 +g1011 +tp5752 +a(g341 +V 01 +p5753 +tp5754 +a(g685 +g1011 +tp5755 +a(g900 +V\u000a +p5756 +tp5757 +a(g8 +V00000500 +p5758 +tp5759 +a(g900 +g958 +tp5760 +a(g900 +g958 +tp5761 +a(g548 +V32 +p5762 +tp5763 +a(g900 +g958 +tp5764 +a(g548 +V33 +p5765 +tp5766 +a(g900 +g958 +tp5767 +a(g548 +V34 +p5768 +tp5769 +a(g900 +g958 +tp5770 +a(g548 +V35 +p5771 +tp5772 +a(g900 +g958 +tp5773 +a(g548 +V36 +p5774 +tp5775 +a(g900 +g958 +tp5776 +a(g548 +V37 +p5777 +tp5778 +a(g900 +g958 +tp5779 +a(g548 +V38 +p5780 +tp5781 +a(g900 +g958 +tp5782 +a(g548 +V39 +p5783 +tp5784 +a(g900 +g958 +tp5785 +a(g900 +g958 +tp5786 +a(g548 +V20 +p5787 +tp5788 +a(g900 +g958 +tp5789 +a(g548 +V20 +p5790 +tp5791 +a(g900 +g958 +tp5792 +a(g548 +V20 +p5793 +tp5794 +a(g900 +g958 +tp5795 +a(g548 +V20 +p5796 +tp5797 +a(g900 +g958 +tp5798 +a(g548 +V20 +p5799 +tp5800 +a(g900 +g958 +tp5801 +a(g548 +V20 +p5802 +tp5803 +a(g900 +g958 +tp5804 +a(g548 +V22 +p5805 +tp5806 +a(g900 +g958 +tp5807 +a(g548 +V20 +p5808 +tp5809 +a(g900 +V +p5810 +tp5811 +a(g685 +g1011 +tp5812 +a(g341 +V23456789 " +p5813 +tp5814 +a(g685 +g1011 +tp5815 +a(g900 +V\u000a +p5816 +tp5817 +a(g8 +V00000510 +p5818 +tp5819 +a(g900 +g958 +tp5820 +a(g900 +g958 +tp5821 +a(g548 +V20 +p5822 +tp5823 +a(g900 +g958 +tp5824 +a(g548 +V2f +p5825 +tp5826 +a(g900 +g958 +tp5827 +a(g548 +V2f +p5828 +tp5829 +a(g900 +g958 +tp5830 +a(g548 +V20 +p5831 +tp5832 +a(g900 +g958 +tp5833 +a(g548 +V33 +p5834 +tp5835 +a(g900 +g958 +tp5836 +a(g548 +V32 +p5837 +tp5838 +a(g900 +g958 +tp5839 +a(g548 +V20 +p5840 +tp5841 +a(g900 +g958 +tp5842 +a(g548 +V20 +p5843 +tp5844 +a(g900 +g958 +tp5845 +a(g900 +g958 +tp5846 +a(g548 +V20 +p5847 +tp5848 +a(g900 +g958 +tp5849 +a(g548 +V20 +p5850 +tp5851 +a(g900 +g958 +tp5852 +a(g548 +V20 +p5853 +tp5854 +a(g900 +g958 +tp5855 +a(g548 +V0a +p5856 +tp5857 +a(g900 +g958 +tp5858 +a(g548 +V20 +p5859 +tp5860 +a(g900 +g958 +tp5861 +a(g548 +V20 +p5862 +tp5863 +a(g900 +g958 +tp5864 +a(g548 +V20 +p5865 +tp5866 +a(g900 +g958 +tp5867 +a(g548 +V20 +p5868 +tp5869 +a(g900 +V +p5870 +tp5871 +a(g685 +g1011 +tp5872 +a(g341 +V // 32 . +p5873 +tp5874 +a(g685 +g1011 +tp5875 +a(g900 +V\u000a +p5876 +tp5877 +a(g8 +V00000520 +p5878 +tp5879 +a(g900 +g958 +tp5880 +a(g900 +g958 +tp5881 +a(g548 +V22 +p5882 +tp5883 +a(g900 +g958 +tp5884 +a(g548 +V20 +p5885 +tp5886 +a(g900 +g958 +tp5887 +a(g548 +V35 +p5888 +tp5889 +a(g900 +g958 +tp5890 +a(g548 +V37 +p5891 +tp5892 +a(g900 +g958 +tp5893 +a(g548 +V36 +p5894 +tp5895 +a(g900 +g958 +tp5896 +a(g548 +V33 +p5897 +tp5898 +a(g900 +g958 +tp5899 +a(g548 +V30 +p5900 +tp5901 +a(g900 +g958 +tp5902 +a(g548 +V34 +p5903 +tp5904 +a(g900 +g958 +tp5905 +a(g900 +g958 +tp5906 +a(g548 +V39 +p5907 +tp5908 +a(g900 +g958 +tp5909 +a(g548 +V39 +p5910 +tp5911 +a(g900 +g958 +tp5912 +a(g548 +V36 +p5913 +tp5914 +a(g900 +g958 +tp5915 +a(g548 +V31 +p5916 +tp5917 +a(g900 +g958 +tp5918 +a(g548 +V37 +p5919 +tp5920 +a(g900 +g958 +tp5921 +a(g548 +V38 +p5922 +tp5923 +a(g900 +g958 +tp5924 +a(g548 +V35 +p5925 +tp5926 +a(g900 +g958 +tp5927 +a(g548 +V31 +p5928 +tp5929 +a(g900 +V +p5930 +tp5931 +a(g685 +g1011 +tp5932 +a(g341 +V" 57630499617851 +p5933 +tp5934 +a(g685 +g1011 +tp5935 +a(g900 +V\u000a +p5936 +tp5937 +a(g8 +V00000530 +p5938 +tp5939 +a(g900 +g958 +tp5940 +a(g900 +g958 +tp5941 +a(g548 +V38 +p5942 +tp5943 +a(g900 +g958 +tp5944 +a(g548 +V38 +p5945 +tp5946 +a(g900 +g958 +tp5947 +a(g548 +V31 +p5948 +tp5949 +a(g900 +g958 +tp5950 +a(g548 +V32 +p5951 +tp5952 +a(g900 +g958 +tp5953 +a(g548 +V33 +p5954 +tp5955 +a(g900 +g958 +tp5956 +a(g548 +V34 +p5957 +tp5958 +a(g900 +g958 +tp5959 +a(g548 +V37 +p5960 +tp5961 +a(g900 +g958 +tp5962 +a(g548 +V36 +p5963 +tp5964 +a(g900 +g958 +tp5965 +a(g900 +g958 +tp5966 +a(g548 +V32 +p5967 +tp5968 +a(g900 +g958 +tp5969 +a(g548 +V32 +p5970 +tp5971 +a(g900 +g958 +tp5972 +a(g548 +V33 +p5973 +tp5974 +a(g900 +g958 +tp5975 +a(g548 +V39 +p5976 +tp5977 +a(g900 +g958 +tp5978 +a(g548 +V20 +p5979 +tp5980 +a(g900 +g958 +tp5981 +a(g548 +V20 +p5982 +tp5983 +a(g900 +g958 +tp5984 +a(g548 +V20 +p5985 +tp5986 +a(g900 +g958 +tp5987 +a(g548 +V20 +p5988 +tp5989 +a(g900 +V +p5990 +tp5991 +a(g685 +g1011 +tp5992 +a(g341 +V881234762239 +p5993 +tp5994 +a(g685 +g1011 +tp5995 +a(g900 +V\u000a +p5996 +tp5997 +a(g8 +V00000540 +p5998 +tp5999 +a(g900 +g958 +tp6000 +a(g900 +g958 +tp6001 +a(g548 +V20 +p6002 +tp6003 +a(g900 +g958 +tp6004 +a(g548 +V22 +p6005 +tp6006 +a(g900 +g958 +tp6007 +a(g548 +V20 +p6008 +tp6009 +a(g900 +g958 +tp6010 +a(g548 +V20 +p6011 +tp6012 +a(g900 +g958 +tp6013 +a(g548 +V2f +p6014 +tp6015 +a(g900 +g958 +tp6016 +a(g548 +V2f +p6017 +tp6018 +a(g900 +g958 +tp6019 +a(g548 +V20 +p6020 +tp6021 +a(g900 +g958 +tp6022 +a(g548 +V36 +p6023 +tp6024 +a(g900 +g958 +tp6025 +a(g900 +g958 +tp6026 +a(g548 +V34 +p6027 +tp6028 +a(g900 +g958 +tp6029 +a(g548 +V20 +p6030 +tp6031 +a(g900 +g958 +tp6032 +a(g548 +V20 +p6033 +tp6034 +a(g900 +g958 +tp6035 +a(g548 +V20 +p6036 +tp6037 +a(g900 +g958 +tp6038 +a(g548 +V0a +p6039 +tp6040 +a(g900 +g958 +tp6041 +a(g548 +V20 +p6042 +tp6043 +a(g900 +g958 +tp6044 +a(g548 +V20 +p6045 +tp6046 +a(g900 +g958 +tp6047 +a(g548 +V20 +p6048 +tp6049 +a(g900 +V +p6050 +tp6051 +a(g685 +g1011 +tp6052 +a(g341 +V " // 64 . +p6053 +tp6054 +a(g685 +g1011 +tp6055 +a(g900 +V\u000a +p6056 +tp6057 +a(g8 +V00000550 +p6058 +tp6059 +a(g900 +g958 +tp6060 +a(g900 +g958 +tp6061 +a(g548 +V20 +p6062 +tp6063 +a(g900 +g958 +tp6064 +a(g548 +V22 +p6065 +tp6066 +a(g900 +g958 +tp6067 +a(g548 +V20 +p6068 +tp6069 +a(g900 +g958 +tp6070 +a(g548 +V35 +p6071 +tp6072 +a(g900 +g958 +tp6073 +a(g548 +V37 +p6074 +tp6075 +a(g900 +g958 +tp6076 +a(g548 +V36 +p6077 +tp6078 +a(g900 +g958 +tp6079 +a(g548 +V33 +p6080 +tp6081 +a(g900 +g958 +tp6082 +a(g548 +V30 +p6083 +tp6084 +a(g900 +g958 +tp6085 +a(g900 +g958 +tp6086 +a(g548 +V34 +p6087 +tp6088 +a(g900 +g958 +tp6089 +a(g548 +V39 +p6090 +tp6091 +a(g900 +g958 +tp6092 +a(g548 +V39 +p6093 +tp6094 +a(g900 +g958 +tp6095 +a(g548 +V36 +p6096 +tp6097 +a(g900 +g958 +tp6098 +a(g548 +V31 +p6099 +tp6100 +a(g900 +g958 +tp6101 +a(g548 +V37 +p6102 +tp6103 +a(g900 +g958 +tp6104 +a(g548 +V38 +p6105 +tp6106 +a(g900 +g958 +tp6107 +a(g548 +V35 +p6108 +tp6109 +a(g900 +V +p6110 +tp6111 +a(g685 +g1011 +tp6112 +a(g341 +V " 5763049961785 +p6113 +tp6114 +a(g685 +g1011 +tp6115 +a(g900 +V\u000a +p6116 +tp6117 +a(g8 +V00000560 +p6118 +tp6119 +a(g900 +g958 +tp6120 +a(g900 +g958 +tp6121 +a(g548 +V31 +p6122 +tp6123 +a(g900 +g958 +tp6124 +a(g548 +V38 +p6125 +tp6126 +a(g900 +g958 +tp6127 +a(g548 +V38 +p6128 +tp6129 +a(g900 +g958 +tp6130 +a(g548 +V31 +p6131 +tp6132 +a(g900 +g958 +tp6133 +a(g548 +V32 +p6134 +tp6135 +a(g900 +g958 +tp6136 +a(g548 +V33 +p6137 +tp6138 +a(g900 +g958 +tp6139 +a(g548 +V34 +p6140 +tp6141 +a(g900 +g958 +tp6142 +a(g548 +V37 +p6143 +tp6144 +a(g900 +g958 +tp6145 +a(g900 +g958 +tp6146 +a(g548 +V36 +p6147 +tp6148 +a(g900 +g958 +tp6149 +a(g548 +V32 +p6150 +tp6151 +a(g900 +g958 +tp6152 +a(g548 +V32 +p6153 +tp6154 +a(g900 +g958 +tp6155 +a(g548 +V33 +p6156 +tp6157 +a(g900 +g958 +tp6158 +a(g548 +V39 +p6159 +tp6160 +a(g900 +g958 +tp6161 +a(g548 +V20 +p6162 +tp6163 +a(g900 +g958 +tp6164 +a(g548 +V20 +p6165 +tp6166 +a(g900 +g958 +tp6167 +a(g548 +V20 +p6168 +tp6169 +a(g900 +V +p6170 +tp6171 +a(g685 +g1011 +tp6172 +a(g341 +V1881234762239 +p6173 +tp6174 +a(g685 +g1011 +tp6175 +a(g900 +V\u000a +p6176 +tp6177 +a(g8 +V00000570 +p6178 +tp6179 +a(g900 +g958 +tp6180 +a(g900 +g958 +tp6181 +a(g548 +V20 +p6182 +tp6183 +a(g900 +g958 +tp6184 +a(g548 +V20 +p6185 +tp6186 +a(g900 +g958 +tp6187 +a(g548 +V22 +p6188 +tp6189 +a(g900 +g958 +tp6190 +a(g548 +V0a +p6191 +tp6192 +a(g900 +g958 +tp6193 +a(g548 +V20 +p6194 +tp6195 +a(g900 +g958 +tp6196 +a(g548 +V20 +p6197 +tp6198 +a(g900 +g958 +tp6199 +a(g548 +V20 +p6200 +tp6201 +a(g900 +g958 +tp6202 +a(g548 +V20 +p6203 +tp6204 +a(g900 +g958 +tp6205 +a(g900 +g958 +tp6206 +a(g548 +V22 +p6207 +tp6208 +a(g900 +g958 +tp6209 +a(g548 +V20 +p6210 +tp6211 +a(g900 +g958 +tp6212 +a(g548 +V20 +p6213 +tp6214 +a(g900 +g958 +tp6215 +a(g548 +V20 +p6216 +tp6217 +a(g900 +g958 +tp6218 +a(g548 +V20 +p6219 +tp6220 +a(g900 +g958 +tp6221 +a(g548 +V20 +p6222 +tp6223 +a(g900 +g958 +tp6224 +a(g548 +V20 +p6225 +tp6226 +a(g900 +g958 +tp6227 +a(g548 +V20 +p6228 +tp6229 +a(g900 +V +p6230 +tp6231 +a(g685 +g1011 +tp6232 +a(g341 +V ". " +p6233 +tp6234 +a(g685 +g1011 +tp6235 +a(g900 +V\u000a +p6236 +tp6237 +a(g8 +V00000580 +p6238 +tp6239 +a(g900 +g958 +tp6240 +a(g900 +g958 +tp6241 +a(g548 +V20 +p6242 +tp6243 +a(g900 +g958 +tp6244 +a(g548 +V20 +p6245 +tp6246 +a(g900 +g958 +tp6247 +a(g548 +V20 +p6248 +tp6249 +a(g900 +g958 +tp6250 +a(g548 +V20 +p6251 +tp6252 +a(g900 +g958 +tp6253 +a(g548 +V20 +p6254 +tp6255 +a(g900 +g958 +tp6256 +a(g548 +V20 +p6257 +tp6258 +a(g900 +g958 +tp6259 +a(g548 +V20 +p6260 +tp6261 +a(g900 +g958 +tp6262 +a(g548 +V20 +p6263 +tp6264 +a(g900 +g958 +tp6265 +a(g900 +g958 +tp6266 +a(g548 +V20 +p6267 +tp6268 +a(g900 +g958 +tp6269 +a(g548 +V20 +p6270 +tp6271 +a(g900 +g958 +tp6272 +a(g548 +V20 +p6273 +tp6274 +a(g900 +g958 +tp6275 +a(g548 +V20 +p6276 +tp6277 +a(g900 +g958 +tp6278 +a(g548 +V20 +p6279 +tp6280 +a(g900 +g958 +tp6281 +a(g548 +V20 +p6282 +tp6283 +a(g900 +g958 +tp6284 +a(g548 +V20 +p6285 +tp6286 +a(g900 +g958 +tp6287 +a(g548 +V20 +p6288 +tp6289 +a(g900 +V +p6290 +tp6291 +a(g685 +g1011 +tp6292 +a(g341 +V +p6293 +tp6294 +a(g685 +g1011 +tp6295 +a(g900 +V\u000a +p6296 +tp6297 +a(g8 +V00000590 +p6298 +tp6299 +a(g900 +g958 +tp6300 +a(g900 +g958 +tp6301 +a(g548 +V20 +p6302 +tp6303 +a(g900 +g958 +tp6304 +a(g548 +V20 +p6305 +tp6306 +a(g900 +g958 +tp6307 +a(g548 +V20 +p6308 +tp6309 +a(g900 +g958 +tp6310 +a(g548 +V20 +p6311 +tp6312 +a(g900 +g958 +tp6313 +a(g548 +V20 +p6314 +tp6315 +a(g900 +g958 +tp6316 +a(g548 +V20 +p6317 +tp6318 +a(g900 +g958 +tp6319 +a(g548 +V20 +p6320 +tp6321 +a(g900 +g958 +tp6322 +a(g548 +V20 +p6323 +tp6324 +a(g900 +g958 +tp6325 +a(g900 +g958 +tp6326 +a(g548 +V20 +p6327 +tp6328 +a(g900 +g958 +tp6329 +a(g548 +V22 +p6330 +tp6331 +a(g900 +g958 +tp6332 +a(g548 +V0a +p6333 +tp6334 +a(g900 +g958 +tp6335 +a(g548 +V20 +p6336 +tp6337 +a(g900 +g958 +tp6338 +a(g548 +V20 +p6339 +tp6340 +a(g900 +g958 +tp6341 +a(g548 +V20 +p6342 +tp6343 +a(g900 +g958 +tp6344 +a(g548 +V20 +p6345 +tp6346 +a(g900 +g958 +tp6347 +a(g548 +V22 +p6348 +tp6349 +a(g900 +V +p6350 +tp6351 +a(g685 +g1011 +tp6352 +a(g341 +V ". " +p6353 +tp6354 +a(g685 +g1011 +tp6355 +a(g900 +V\u000a +p6356 +tp6357 +a(g8 +V000005a0 +p6358 +tp6359 +a(g900 +g958 +tp6360 +a(g900 +g958 +tp6361 +a(g548 +V20 +p6362 +tp6363 +a(g900 +g958 +tp6364 +a(g548 +V20 +p6365 +tp6366 +a(g900 +g958 +tp6367 +a(g548 +V20 +p6368 +tp6369 +a(g900 +g958 +tp6370 +a(g548 +V20 +p6371 +tp6372 +a(g900 +g958 +tp6373 +a(g548 +V20 +p6374 +tp6375 +a(g900 +g958 +tp6376 +a(g548 +V20 +p6377 +tp6378 +a(g900 +g958 +tp6379 +a(g548 +V20 +p6380 +tp6381 +a(g900 +g958 +tp6382 +a(g548 +V20 +p6383 +tp6384 +a(g900 +g958 +tp6385 +a(g900 +g958 +tp6386 +a(g548 +V20 +p6387 +tp6388 +a(g900 +g958 +tp6389 +a(g548 +V20 +p6390 +tp6391 +a(g900 +g958 +tp6392 +a(g548 +V20 +p6393 +tp6394 +a(g900 +g958 +tp6395 +a(g548 +V20 +p6396 +tp6397 +a(g900 +g958 +tp6398 +a(g548 +V20 +p6399 +tp6400 +a(g900 +g958 +tp6401 +a(g548 +V20 +p6402 +tp6403 +a(g900 +g958 +tp6404 +a(g548 +V20 +p6405 +tp6406 +a(g900 +g958 +tp6407 +a(g548 +V20 +p6408 +tp6409 +a(g900 +V +p6410 +tp6411 +a(g685 +g1011 +tp6412 +a(g341 +V +p6413 +tp6414 +a(g685 +g1011 +tp6415 +a(g900 +V\u000a +p6416 +tp6417 +a(g685 +V* +p6418 +tp6419 +a(g900 +V\u000a +p6420 +tp6421 +a(g8 +V000005c0 +p6422 +tp6423 +a(g900 +g958 +tp6424 +a(g900 +g958 +tp6425 +a(g548 +V22 +p6426 +tp6427 +a(g900 +g958 +tp6428 +a(g548 +V0a +p6429 +tp6430 +a(g900 +g958 +tp6431 +a(g548 +V20 +p6432 +tp6433 +a(g900 +g958 +tp6434 +a(g548 +V20 +p6435 +tp6436 +a(g900 +g958 +tp6437 +a(g548 +V20 +p6438 +tp6439 +a(g900 +g958 +tp6440 +a(g548 +V20 +p6441 +tp6442 +a(g900 +g958 +tp6443 +a(g548 +V22 +p6444 +tp6445 +a(g900 +g958 +tp6446 +a(g548 +V20 +p6447 +tp6448 +a(g900 +g958 +tp6449 +a(g900 +g958 +tp6450 +a(g548 +V20 +p6451 +tp6452 +a(g900 +g958 +tp6453 +a(g548 +V20 +p6454 +tp6455 +a(g900 +g958 +tp6456 +a(g548 +V20 +p6457 +tp6458 +a(g900 +g958 +tp6459 +a(g548 +V20 +p6460 +tp6461 +a(g900 +g958 +tp6462 +a(g548 +V20 +p6463 +tp6464 +a(g900 +g958 +tp6465 +a(g548 +V20 +p6466 +tp6467 +a(g900 +g958 +tp6468 +a(g548 +V20 +p6469 +tp6470 +a(g900 +g958 +tp6471 +a(g548 +V20 +p6472 +tp6473 +a(g900 +V +p6474 +tp6475 +a(g685 +g1011 +tp6476 +a(g341 +V". " +p6477 +tp6478 +a(g685 +g1011 +tp6479 +a(g900 +V\u000a +p6480 +tp6481 +a(g8 +V000005d0 +p6482 +tp6483 +a(g900 +g958 +tp6484 +a(g900 +g958 +tp6485 +a(g548 +V20 +p6486 +tp6487 +a(g900 +g958 +tp6488 +a(g548 +V20 +p6489 +tp6490 +a(g900 +g958 +tp6491 +a(g548 +V20 +p6492 +tp6493 +a(g900 +g958 +tp6494 +a(g548 +V20 +p6495 +tp6496 +a(g900 +g958 +tp6497 +a(g548 +V20 +p6498 +tp6499 +a(g900 +g958 +tp6500 +a(g548 +V20 +p6501 +tp6502 +a(g900 +g958 +tp6503 +a(g548 +V20 +p6504 +tp6505 +a(g900 +g958 +tp6506 +a(g548 +V20 +p6507 +tp6508 +a(g900 +g958 +tp6509 +a(g900 +g958 +tp6510 +a(g548 +V20 +p6511 +tp6512 +a(g900 +g958 +tp6513 +a(g548 +V20 +p6514 +tp6515 +a(g900 +g958 +tp6516 +a(g548 +V20 +p6517 +tp6518 +a(g900 +g958 +tp6519 +a(g548 +V20 +p6520 +tp6521 +a(g900 +g958 +tp6522 +a(g548 +V20 +p6523 +tp6524 +a(g900 +g958 +tp6525 +a(g548 +V20 +p6526 +tp6527 +a(g900 +g958 +tp6528 +a(g548 +V20 +p6529 +tp6530 +a(g900 +g958 +tp6531 +a(g548 +V20 +p6532 +tp6533 +a(g900 +V +p6534 +tp6535 +a(g685 +g1011 +tp6536 +a(g341 +V +p6537 +tp6538 +a(g685 +g1011 +tp6539 +a(g900 +V\u000a +p6540 +tp6541 +a(g8 +V000005e0 +p6542 +tp6543 +a(g900 +g958 +tp6544 +a(g900 +g958 +tp6545 +a(g548 +V20 +p6546 +tp6547 +a(g900 +g958 +tp6548 +a(g548 +V20 +p6549 +tp6550 +a(g900 +g958 +tp6551 +a(g548 +V20 +p6552 +tp6553 +a(g900 +g958 +tp6554 +a(g548 +V20 +p6555 +tp6556 +a(g900 +g958 +tp6557 +a(g548 +V20 +p6558 +tp6559 +a(g900 +g958 +tp6560 +a(g548 +V20 +p6561 +tp6562 +a(g900 +g958 +tp6563 +a(g548 +V20 +p6564 +tp6565 +a(g900 +g958 +tp6566 +a(g548 +V22 +p6567 +tp6568 +a(g900 +g958 +tp6569 +a(g900 +g958 +tp6570 +a(g548 +V20 +p6571 +tp6572 +a(g900 +g958 +tp6573 +a(g548 +V20 +p6574 +tp6575 +a(g900 +g958 +tp6576 +a(g548 +V20 +p6577 +tp6578 +a(g900 +g958 +tp6579 +a(g548 +V20 +p6580 +tp6581 +a(g900 +g958 +tp6582 +a(g548 +V0a +p6583 +tp6584 +a(g900 +g958 +tp6585 +a(g548 +V20 +p6586 +tp6587 +a(g900 +g958 +tp6588 +a(g548 +V20 +p6589 +tp6590 +a(g900 +g958 +tp6591 +a(g548 +V20 +p6592 +tp6593 +a(g900 +V +p6594 +tp6595 +a(g685 +g1011 +tp6596 +a(g341 +V " . +p6597 +tp6598 +a(g685 +g1011 +tp6599 +a(g900 +V\u000a +p6600 +tp6601 +a(g8 +V000005f0 +p6602 +tp6603 +a(g900 +g958 +tp6604 +a(g900 +g958 +tp6605 +a(g548 +V20 +p6606 +tp6607 +a(g900 +g958 +tp6608 +a(g548 +V22 +p6609 +tp6610 +a(g900 +g958 +tp6611 +a(g548 +V20 +p6612 +tp6613 +a(g900 +g958 +tp6614 +a(g548 +V20 +p6615 +tp6616 +a(g900 +g958 +tp6617 +a(g548 +V20 +p6618 +tp6619 +a(g900 +g958 +tp6620 +a(g548 +V20 +p6621 +tp6622 +a(g900 +g958 +tp6623 +a(g548 +V20 +p6624 +tp6625 +a(g900 +g958 +tp6626 +a(g548 +V20 +p6627 +tp6628 +a(g900 +g958 +tp6629 +a(g900 +g958 +tp6630 +a(g548 +V20 +p6631 +tp6632 +a(g900 +g958 +tp6633 +a(g548 +V20 +p6634 +tp6635 +a(g900 +g958 +tp6636 +a(g548 +V20 +p6637 +tp6638 +a(g900 +g958 +tp6639 +a(g548 +V20 +p6640 +tp6641 +a(g900 +g958 +tp6642 +a(g548 +V20 +p6643 +tp6644 +a(g900 +g958 +tp6645 +a(g548 +V20 +p6646 +tp6647 +a(g900 +g958 +tp6648 +a(g548 +V20 +p6649 +tp6650 +a(g900 +g958 +tp6651 +a(g548 +V20 +p6652 +tp6653 +a(g900 +V +p6654 +tp6655 +a(g685 +g1011 +tp6656 +a(g341 +V " +p6657 +tp6658 +a(g685 +g1011 +tp6659 +a(g900 +V\u000a +p6660 +tp6661 +a(g8 +V00000600 +p6662 +tp6663 +a(g900 +g958 +tp6664 +a(g900 +g958 +tp6665 +a(g548 +V20 +p6666 +tp6667 +a(g900 +g958 +tp6668 +a(g548 +V20 +p6669 +tp6670 +a(g900 +g958 +tp6671 +a(g548 +V20 +p6672 +tp6673 +a(g900 +g958 +tp6674 +a(g548 +V20 +p6675 +tp6676 +a(g900 +g958 +tp6677 +a(g548 +V20 +p6678 +tp6679 +a(g900 +g958 +tp6680 +a(g548 +V20 +p6681 +tp6682 +a(g900 +g958 +tp6683 +a(g548 +V20 +p6684 +tp6685 +a(g900 +g958 +tp6686 +a(g548 +V20 +p6687 +tp6688 +a(g900 +g958 +tp6689 +a(g900 +g958 +tp6690 +a(g548 +V20 +p6691 +tp6692 +a(g900 +g958 +tp6693 +a(g548 +V20 +p6694 +tp6695 +a(g900 +g958 +tp6696 +a(g548 +V20 +p6697 +tp6698 +a(g900 +g958 +tp6699 +a(g548 +V20 +p6700 +tp6701 +a(g900 +g958 +tp6702 +a(g548 +V20 +p6703 +tp6704 +a(g900 +g958 +tp6705 +a(g548 +V20 +p6706 +tp6707 +a(g900 +g958 +tp6708 +a(g548 +V20 +p6709 +tp6710 +a(g900 +g958 +tp6711 +a(g548 +V20 +p6712 +tp6713 +a(g900 +V +p6714 +tp6715 +a(g685 +g1011 +tp6716 +a(g341 +V +p6717 +tp6718 +a(g685 +g1011 +tp6719 +a(g900 +V\u000a +p6720 +tp6721 +a(g8 +V00000610 +p6722 +tp6723 +a(g900 +g958 +tp6724 +a(g900 +g958 +tp6725 +a(g548 +V20 +p6726 +tp6727 +a(g900 +g958 +tp6728 +a(g548 +V20 +p6729 +tp6730 +a(g900 +g958 +tp6731 +a(g548 +V22 +p6732 +tp6733 +a(g900 +g958 +tp6734 +a(g548 +V3b +p6735 +tp6736 +a(g900 +g958 +tp6737 +a(g548 +V0a +p6738 +tp6739 +a(g900 +g958 +tp6740 +a(g548 +V20 +p6741 +tp6742 +a(g900 +g958 +tp6743 +a(g548 +V20 +p6744 +tp6745 +a(g900 +g958 +tp6746 +a(g548 +V20 +p6747 +tp6748 +a(g900 +g958 +tp6749 +a(g900 +g958 +tp6750 +a(g548 +V20 +p6751 +tp6752 +a(g900 +g958 +tp6753 +a(g548 +V73 +p6754 +tp6755 +a(g900 +g958 +tp6756 +a(g548 +V74 +p6757 +tp6758 +a(g900 +g958 +tp6759 +a(g548 +V72 +p6760 +tp6761 +a(g900 +g958 +tp6762 +a(g548 +V69 +p6763 +tp6764 +a(g900 +g958 +tp6765 +a(g548 +V6e +p6766 +tp6767 +a(g900 +g958 +tp6768 +a(g548 +V67 +p6769 +tp6770 +a(g900 +g958 +tp6771 +a(g548 +V20 +p6772 +tp6773 +a(g900 +V +p6774 +tp6775 +a(g685 +g1011 +tp6776 +a(g341 +V ";. string +p6777 +tp6778 +a(g685 +g1011 +tp6779 +a(g900 +V\u000a +p6780 +tp6781 +a(g8 +V00000620 +p6782 +tp6783 +a(g900 +g958 +tp6784 +a(g900 +g958 +tp6785 +a(g548 +V72 +p6786 +tp6787 +a(g900 +g958 +tp6788 +a(g548 +V65 +p6789 +tp6790 +a(g900 +g958 +tp6791 +a(g548 +V74 +p6792 +tp6793 +a(g900 +g958 +tp6794 +a(g548 +V3b +p6795 +tp6796 +a(g900 +g958 +tp6797 +a(g548 +V0a +p6798 +tp6799 +a(g900 +g958 +tp6800 +a(g548 +V20 +p6801 +tp6802 +a(g900 +g958 +tp6803 +a(g548 +V20 +p6804 +tp6805 +a(g900 +g958 +tp6806 +a(g548 +V20 +p6807 +tp6808 +a(g900 +g958 +tp6809 +a(g900 +g958 +tp6810 +a(g548 +V20 +p6811 +tp6812 +a(g900 +g958 +tp6813 +a(g548 +V66 +p6814 +tp6815 +a(g900 +g958 +tp6816 +a(g548 +V6f +p6817 +tp6818 +a(g900 +g958 +tp6819 +a(g548 +V72 +p6820 +tp6821 +a(g900 +g958 +tp6822 +a(g548 +V65 +p6823 +tp6824 +a(g900 +g958 +tp6825 +a(g548 +V61 +p6826 +tp6827 +a(g900 +g958 +tp6828 +a(g548 +V63 +p6829 +tp6830 +a(g900 +g958 +tp6831 +a(g548 +V68 +p6832 +tp6833 +a(g900 +V +p6834 +tp6835 +a(g685 +g1011 +tp6836 +a(g341 +Vret;. foreach +p6837 +tp6838 +a(g685 +g1011 +tp6839 +a(g900 +V\u000a +p6840 +tp6841 +a(g8 +V00000630 +p6842 +tp6843 +a(g900 +g958 +tp6844 +a(g900 +g958 +tp6845 +a(g548 +V28 +p6846 +tp6847 +a(g900 +g958 +tp6848 +a(g548 +V63 +p6849 +tp6850 +a(g900 +g958 +tp6851 +a(g548 +V3b +p6852 +tp6853 +a(g900 +g958 +tp6854 +a(g548 +V20 +p6855 +tp6856 +a(g900 +g958 +tp6857 +a(g548 +V63 +p6858 +tp6859 +a(g900 +g958 +tp6860 +a(g548 +V61 +p6861 +tp6862 +a(g900 +g958 +tp6863 +a(g548 +V73 +p6864 +tp6865 +a(g900 +g958 +tp6866 +a(g548 +V74 +p6867 +tp6868 +a(g900 +g958 +tp6869 +a(g900 +g958 +tp6870 +a(g548 +V28 +p6871 +tp6872 +a(g900 +g958 +tp6873 +a(g548 +V75 +p6874 +tp6875 +a(g900 +g958 +tp6876 +a(g548 +V62 +p6877 +tp6878 +a(g900 +g958 +tp6879 +a(g548 +V79 +p6880 +tp6881 +a(g900 +g958 +tp6882 +a(g548 +V74 +p6883 +tp6884 +a(g900 +g958 +tp6885 +a(g548 +V65 +p6886 +tp6887 +a(g900 +g958 +tp6888 +a(g548 +V5b +p6889 +tp6890 +a(g900 +g958 +tp6891 +a(g548 +V5d +p6892 +tp6893 +a(g900 +V +p6894 +tp6895 +a(g685 +g1011 +tp6896 +a(g341 +V(c; cast(ubyte[] +p6897 +tp6898 +a(g685 +g1011 +tp6899 +a(g900 +V\u000a +p6900 +tp6901 +a(g8 +V00000640 +p6902 +tp6903 +a(g900 +g958 +tp6904 +a(g900 +g958 +tp6905 +a(g548 +V29 +p6906 +tp6907 +a(g900 +g958 +tp6908 +a(g548 +V77 +p6909 +tp6910 +a(g900 +g958 +tp6911 +a(g548 +V6f +p6912 +tp6913 +a(g900 +g958 +tp6914 +a(g548 +V72 +p6915 +tp6916 +a(g900 +g958 +tp6917 +a(g548 +V64 +p6918 +tp6919 +a(g900 +g958 +tp6920 +a(g548 +V29 +p6921 +tp6922 +a(g900 +g958 +tp6923 +a(g548 +V0a +p6924 +tp6925 +a(g900 +g958 +tp6926 +a(g548 +V20 +p6927 +tp6928 +a(g900 +g958 +tp6929 +a(g900 +g958 +tp6930 +a(g548 +V20 +p6931 +tp6932 +a(g900 +g958 +tp6933 +a(g548 +V20 +p6934 +tp6935 +a(g900 +g958 +tp6936 +a(g548 +V20 +p6937 +tp6938 +a(g900 +g958 +tp6939 +a(g548 +V20 +p6940 +tp6941 +a(g900 +g958 +tp6942 +a(g548 +V20 +p6943 +tp6944 +a(g900 +g958 +tp6945 +a(g548 +V20 +p6946 +tp6947 +a(g900 +g958 +tp6948 +a(g548 +V20 +p6949 +tp6950 +a(g900 +g958 +tp6951 +a(g548 +V69 +p6952 +tp6953 +a(g900 +V +p6954 +tp6955 +a(g685 +g1011 +tp6956 +a(g341 +V)word). i +p6957 +tp6958 +a(g685 +g1011 +tp6959 +a(g900 +V\u000a +p6960 +tp6961 +a(g8 +V00000650 +p6962 +tp6963 +a(g900 +g958 +tp6964 +a(g900 +g958 +tp6965 +a(g548 +V66 +p6966 +tp6967 +a(g900 +g958 +tp6968 +a(g548 +V20 +p6969 +tp6970 +a(g900 +g958 +tp6971 +a(g548 +V28 +p6972 +tp6973 +a(g900 +g958 +tp6974 +a(g548 +V54 +p6975 +tp6976 +a(g900 +g958 +tp6977 +a(g548 +V52 +p6978 +tp6979 +a(g900 +g958 +tp6980 +a(g548 +V41 +p6981 +tp6982 +a(g900 +g958 +tp6983 +a(g548 +V4e +p6984 +tp6985 +a(g900 +g958 +tp6986 +a(g548 +V53 +p6987 +tp6988 +a(g900 +g958 +tp6989 +a(g900 +g958 +tp6990 +a(g548 +V4c +p6991 +tp6992 +a(g900 +g958 +tp6993 +a(g548 +V41 +p6994 +tp6995 +a(g900 +g958 +tp6996 +a(g548 +V54 +p6997 +tp6998 +a(g900 +g958 +tp6999 +a(g548 +V45 +p7000 +tp7001 +a(g900 +g958 +tp7002 +a(g548 +V5b +p7003 +tp7004 +a(g900 +g958 +tp7005 +a(g548 +V63 +p7006 +tp7007 +a(g900 +g958 +tp7008 +a(g548 +V5d +p7009 +tp7010 +a(g900 +g958 +tp7011 +a(g548 +V20 +p7012 +tp7013 +a(g900 +V +p7014 +tp7015 +a(g685 +g1011 +tp7016 +a(g341 +Vf (TRANSLATE[c] +p7017 +tp7018 +a(g685 +g1011 +tp7019 +a(g900 +V\u000a +p7020 +tp7021 +a(g8 +V00000660 +p7022 +tp7023 +a(g900 +g958 +tp7024 +a(g900 +g958 +tp7025 +a(g548 +V21 +p7026 +tp7027 +a(g900 +g958 +tp7028 +a(g548 +V3d +p7029 +tp7030 +a(g900 +g958 +tp7031 +a(g548 +V20 +p7032 +tp7033 +a(g900 +g958 +tp7034 +a(g548 +V27 +p7035 +tp7036 +a(g900 +g958 +tp7037 +a(g548 +V20 +p7038 +tp7039 +a(g900 +g958 +tp7040 +a(g548 +V27 +p7041 +tp7042 +a(g900 +g958 +tp7043 +a(g548 +V29 +p7044 +tp7045 +a(g900 +g958 +tp7046 +a(g548 +V0a +p7047 +tp7048 +a(g900 +g958 +tp7049 +a(g900 +g958 +tp7050 +a(g548 +V20 +p7051 +tp7052 +a(g900 +g958 +tp7053 +a(g548 +V20 +p7054 +tp7055 +a(g900 +g958 +tp7056 +a(g548 +V20 +p7057 +tp7058 +a(g900 +g958 +tp7059 +a(g548 +V20 +p7060 +tp7061 +a(g900 +g958 +tp7062 +a(g548 +V20 +p7063 +tp7064 +a(g900 +g958 +tp7065 +a(g548 +V20 +p7066 +tp7067 +a(g900 +g958 +tp7068 +a(g548 +V20 +p7069 +tp7070 +a(g900 +g958 +tp7071 +a(g548 +V20 +p7072 +tp7073 +a(g900 +V +p7074 +tp7075 +a(g685 +g1011 +tp7076 +a(g341 +V!= ' '). +p7077 +tp7078 +a(g685 +g1011 +tp7079 +a(g900 +V\u000a +p7080 +tp7081 +a(g8 +V00000670 +p7082 +tp7083 +a(g900 +g958 +tp7084 +a(g900 +g958 +tp7085 +a(g548 +V20 +p7086 +tp7087 +a(g900 +g958 +tp7088 +a(g548 +V20 +p7089 +tp7090 +a(g900 +g958 +tp7091 +a(g548 +V20 +p7092 +tp7093 +a(g900 +g958 +tp7094 +a(g548 +V20 +p7095 +tp7096 +a(g900 +g958 +tp7097 +a(g548 +V72 +p7098 +tp7099 +a(g900 +g958 +tp7100 +a(g548 +V65 +p7101 +tp7102 +a(g900 +g958 +tp7103 +a(g548 +V74 +p7104 +tp7105 +a(g900 +g958 +tp7106 +a(g548 +V20 +p7107 +tp7108 +a(g900 +g958 +tp7109 +a(g900 +g958 +tp7110 +a(g548 +V7e +p7111 +tp7112 +a(g900 +g958 +tp7113 +a(g548 +V3d +p7114 +tp7115 +a(g900 +g958 +tp7116 +a(g548 +V20 +p7117 +tp7118 +a(g900 +g958 +tp7119 +a(g548 +V54 +p7120 +tp7121 +a(g900 +g958 +tp7122 +a(g548 +V52 +p7123 +tp7124 +a(g900 +g958 +tp7125 +a(g548 +V41 +p7126 +tp7127 +a(g900 +g958 +tp7128 +a(g548 +V4e +p7129 +tp7130 +a(g900 +g958 +tp7131 +a(g548 +V53 +p7132 +tp7133 +a(g900 +V +p7134 +tp7135 +a(g685 +g1011 +tp7136 +a(g341 +V ret ~= TRANS +p7137 +tp7138 +a(g685 +g1011 +tp7139 +a(g900 +V\u000a +p7140 +tp7141 +a(g8 +V00000680 +p7142 +tp7143 +a(g900 +g958 +tp7144 +a(g900 +g958 +tp7145 +a(g548 +V4c +p7146 +tp7147 +a(g900 +g958 +tp7148 +a(g548 +V41 +p7149 +tp7150 +a(g900 +g958 +tp7151 +a(g548 +V54 +p7152 +tp7153 +a(g900 +g958 +tp7154 +a(g548 +V45 +p7155 +tp7156 +a(g900 +g958 +tp7157 +a(g548 +V5b +p7158 +tp7159 +a(g900 +g958 +tp7160 +a(g548 +V63 +p7161 +tp7162 +a(g900 +g958 +tp7163 +a(g548 +V5d +p7164 +tp7165 +a(g900 +g958 +tp7166 +a(g548 +V3b +p7167 +tp7168 +a(g900 +g958 +tp7169 +a(g900 +g958 +tp7170 +a(g548 +V0a +p7171 +tp7172 +a(g900 +g958 +tp7173 +a(g548 +V20 +p7174 +tp7175 +a(g900 +g958 +tp7176 +a(g548 +V20 +p7177 +tp7178 +a(g900 +g958 +tp7179 +a(g548 +V20 +p7180 +tp7181 +a(g900 +g958 +tp7182 +a(g548 +V20 +p7183 +tp7184 +a(g900 +g958 +tp7185 +a(g548 +V72 +p7186 +tp7187 +a(g900 +g958 +tp7188 +a(g548 +V65 +p7189 +tp7190 +a(g900 +g958 +tp7191 +a(g548 +V74 +p7192 +tp7193 +a(g900 +V +p7194 +tp7195 +a(g685 +g1011 +tp7196 +a(g341 +VLATE[c];. ret +p7197 +tp7198 +a(g685 +g1011 +tp7199 +a(g900 +V\u000a +p7200 +tp7201 +a(g8 +V00000690 +p7202 +tp7203 +a(g900 +g958 +tp7204 +a(g900 +g958 +tp7205 +a(g548 +V75 +p7206 +tp7207 +a(g900 +g958 +tp7208 +a(g548 +V72 +p7209 +tp7210 +a(g900 +g958 +tp7211 +a(g548 +V6e +p7212 +tp7213 +a(g900 +g958 +tp7214 +a(g548 +V20 +p7215 +tp7216 +a(g900 +g958 +tp7217 +a(g548 +V72 +p7218 +tp7219 +a(g900 +g958 +tp7220 +a(g548 +V65 +p7221 +tp7222 +a(g900 +g958 +tp7223 +a(g548 +V74 +p7224 +tp7225 +a(g900 +g958 +tp7226 +a(g548 +V3b +p7227 +tp7228 +a(g900 +g958 +tp7229 +a(g900 +g958 +tp7230 +a(g548 +V0a +p7231 +tp7232 +a(g900 +g958 +tp7233 +a(g548 +V7d +p7234 +tp7235 +a(g900 +g958 +tp7236 +a(g548 +V0a +p7237 +tp7238 +a(g900 +g958 +tp7239 +a(g548 +V0a +p7240 +tp7241 +a(g900 +g958 +tp7242 +a(g548 +V75 +p7243 +tp7244 +a(g900 +g958 +tp7245 +a(g548 +V6e +p7246 +tp7247 +a(g900 +g958 +tp7248 +a(g548 +V69 +p7249 +tp7250 +a(g900 +g958 +tp7251 +a(g548 +V74 +p7252 +tp7253 +a(g900 +V +p7254 +tp7255 +a(g685 +g1011 +tp7256 +a(g341 +Vurn ret;.}..unit +p7257 +tp7258 +a(g685 +g1011 +tp7259 +a(g900 +V\u000a +p7260 +tp7261 +a(g8 +V000006a0 +p7262 +tp7263 +a(g900 +g958 +tp7264 +a(g900 +g958 +tp7265 +a(g548 +V74 +p7266 +tp7267 +a(g900 +g958 +tp7268 +a(g548 +V65 +p7269 +tp7270 +a(g900 +g958 +tp7271 +a(g548 +V73 +p7272 +tp7273 +a(g900 +g958 +tp7274 +a(g548 +V74 +p7275 +tp7276 +a(g900 +g958 +tp7277 +a(g548 +V20 +p7278 +tp7279 +a(g900 +g958 +tp7280 +a(g548 +V7b +p7281 +tp7282 +a(g900 +g958 +tp7283 +a(g548 +V0a +p7284 +tp7285 +a(g900 +g958 +tp7286 +a(g548 +V20 +p7287 +tp7288 +a(g900 +g958 +tp7289 +a(g900 +g958 +tp7290 +a(g548 +V2f +p7291 +tp7292 +a(g900 +g958 +tp7293 +a(g548 +V2f +p7294 +tp7295 +a(g900 +g958 +tp7296 +a(g548 +V20 +p7297 +tp7298 +a(g900 +g958 +tp7299 +a(g548 +V54 +p7300 +tp7301 +a(g900 +g958 +tp7302 +a(g548 +V65 +p7303 +tp7304 +a(g900 +g958 +tp7305 +a(g548 +V73 +p7306 +tp7307 +a(g900 +g958 +tp7308 +a(g548 +V74 +p7309 +tp7310 +a(g900 +g958 +tp7311 +a(g548 +V20 +p7312 +tp7313 +a(g900 +V +p7314 +tp7315 +a(g685 +g1011 +tp7316 +a(g341 +Vtest {. // Test +p7317 +tp7318 +a(g685 +g1011 +tp7319 +a(g900 +V\u000a +p7320 +tp7321 +a(g8 +V000006b0 +p7322 +tp7323 +a(g900 +g958 +tp7324 +a(g900 +g958 +tp7325 +a(g548 +V77 +p7326 +tp7327 +a(g900 +g958 +tp7328 +a(g548 +V6f +p7329 +tp7330 +a(g900 +g958 +tp7331 +a(g548 +V72 +p7332 +tp7333 +a(g900 +g958 +tp7334 +a(g548 +V64 +p7335 +tp7336 +a(g900 +g958 +tp7337 +a(g548 +V54 +p7338 +tp7339 +a(g900 +g958 +tp7340 +a(g548 +V6f +p7341 +tp7342 +a(g900 +g958 +tp7343 +a(g548 +V4e +p7344 +tp7345 +a(g900 +g958 +tp7346 +a(g548 +V75 +p7347 +tp7348 +a(g900 +g958 +tp7349 +a(g900 +g958 +tp7350 +a(g548 +V6d +p7351 +tp7352 +a(g900 +g958 +tp7353 +a(g548 +V20 +p7354 +tp7355 +a(g900 +g958 +tp7356 +a(g548 +V75 +p7357 +tp7358 +a(g900 +g958 +tp7359 +a(g548 +V73 +p7360 +tp7361 +a(g900 +g958 +tp7362 +a(g548 +V69 +p7363 +tp7364 +a(g900 +g958 +tp7365 +a(g548 +V6e +p7366 +tp7367 +a(g900 +g958 +tp7368 +a(g548 +V67 +p7369 +tp7370 +a(g900 +g958 +tp7371 +a(g548 +V20 +p7372 +tp7373 +a(g900 +V +p7374 +tp7375 +a(g685 +g1011 +tp7376 +a(g341 +VwordToNum using +p7377 +tp7378 +a(g685 +g1011 +tp7379 +a(g900 +V\u000a +p7380 +tp7381 +a(g8 +V000006c0 +p7382 +tp7383 +a(g900 +g958 +tp7384 +a(g900 +g958 +tp7385 +a(g548 +V74 +p7386 +tp7387 +a(g900 +g958 +tp7388 +a(g548 +V68 +p7389 +tp7390 +a(g900 +g958 +tp7391 +a(g548 +V65 +p7392 +tp7393 +a(g900 +g958 +tp7394 +a(g548 +V20 +p7395 +tp7396 +a(g900 +g958 +tp7397 +a(g548 +V74 +p7398 +tp7399 +a(g900 +g958 +tp7400 +a(g548 +V61 +p7401 +tp7402 +a(g900 +g958 +tp7403 +a(g548 +V62 +p7404 +tp7405 +a(g900 +g958 +tp7406 +a(g548 +V6c +p7407 +tp7408 +a(g900 +g958 +tp7409 +a(g900 +g958 +tp7410 +a(g548 +V65 +p7411 +tp7412 +a(g900 +g958 +tp7413 +a(g548 +V20 +p7414 +tp7415 +a(g900 +g958 +tp7416 +a(g548 +V66 +p7417 +tp7418 +a(g900 +g958 +tp7419 +a(g548 +V72 +p7420 +tp7421 +a(g900 +g958 +tp7422 +a(g548 +V6f +p7423 +tp7424 +a(g900 +g958 +tp7425 +a(g548 +V6d +p7426 +tp7427 +a(g900 +g958 +tp7428 +a(g548 +V20 +p7429 +tp7430 +a(g900 +g958 +tp7431 +a(g548 +V74 +p7432 +tp7433 +a(g900 +V +p7434 +tp7435 +a(g685 +g1011 +tp7436 +a(g341 +Vthe table from t +p7437 +tp7438 +a(g685 +g1011 +tp7439 +a(g900 +V\u000a +p7440 +tp7441 +a(g8 +V000006d0 +p7442 +tp7443 +a(g900 +g958 +tp7444 +a(g900 +g958 +tp7445 +a(g548 +V68 +p7446 +tp7447 +a(g900 +g958 +tp7448 +a(g548 +V65 +p7449 +tp7450 +a(g900 +g958 +tp7451 +a(g548 +V20 +p7452 +tp7453 +a(g900 +g958 +tp7454 +a(g548 +V74 +p7455 +tp7456 +a(g900 +g958 +tp7457 +a(g548 +V61 +p7458 +tp7459 +a(g900 +g958 +tp7460 +a(g548 +V73 +p7461 +tp7462 +a(g900 +g958 +tp7463 +a(g548 +V6b +p7464 +tp7465 +a(g900 +g958 +tp7466 +a(g548 +V20 +p7467 +tp7468 +a(g900 +g958 +tp7469 +a(g900 +g958 +tp7470 +a(g548 +V64 +p7471 +tp7472 +a(g900 +g958 +tp7473 +a(g548 +V65 +p7474 +tp7475 +a(g900 +g958 +tp7476 +a(g548 +V73 +p7477 +tp7478 +a(g900 +g958 +tp7479 +a(g548 +V63 +p7480 +tp7481 +a(g900 +g958 +tp7482 +a(g548 +V72 +p7483 +tp7484 +a(g900 +g958 +tp7485 +a(g548 +V69 +p7486 +tp7487 +a(g900 +g958 +tp7488 +a(g548 +V70 +p7489 +tp7490 +a(g900 +g958 +tp7491 +a(g548 +V74 +p7492 +tp7493 +a(g900 +V +p7494 +tp7495 +a(g685 +g1011 +tp7496 +a(g341 +Vhe task descript +p7497 +tp7498 +a(g685 +g1011 +tp7499 +a(g900 +V\u000a +p7500 +tp7501 +a(g8 +V000006e0 +p7502 +tp7503 +a(g900 +g958 +tp7504 +a(g900 +g958 +tp7505 +a(g548 +V69 +p7506 +tp7507 +a(g900 +g958 +tp7508 +a(g548 +V6f +p7509 +tp7510 +a(g900 +g958 +tp7511 +a(g548 +V6e +p7512 +tp7513 +a(g900 +g958 +tp7514 +a(g548 +V2e +p7515 +tp7516 +a(g900 +g958 +tp7517 +a(g548 +V0a +p7518 +tp7519 +a(g900 +g958 +tp7520 +a(g548 +V20 +p7521 +tp7522 +a(g900 +g958 +tp7523 +a(g548 +V61 +p7524 +tp7525 +a(g900 +g958 +tp7526 +a(g548 +V73 +p7527 +tp7528 +a(g900 +g958 +tp7529 +a(g900 +g958 +tp7530 +a(g548 +V73 +p7531 +tp7532 +a(g900 +g958 +tp7533 +a(g548 +V65 +p7534 +tp7535 +a(g900 +g958 +tp7536 +a(g548 +V72 +p7537 +tp7538 +a(g900 +g958 +tp7539 +a(g548 +V74 +p7540 +tp7541 +a(g900 +g958 +tp7542 +a(g548 +V28 +p7543 +tp7544 +a(g900 +g958 +tp7545 +a(g548 +V20 +p7546 +tp7547 +a(g900 +g958 +tp7548 +a(g548 +V22 +p7549 +tp7550 +a(g900 +g958 +tp7551 +a(g548 +V30 +p7552 +tp7553 +a(g900 +V +p7554 +tp7555 +a(g685 +g1011 +tp7556 +a(g341 +Vion.. assert( "0 +p7557 +tp7558 +a(g685 +g1011 +tp7559 +a(g900 +V\u000a +p7560 +tp7561 +a(g8 +V000006f0 +p7562 +tp7563 +a(g900 +g958 +tp7564 +a(g900 +g958 +tp7565 +a(g548 +V31 +p7566 +tp7567 +a(g900 +g958 +tp7568 +a(g548 +V31 +p7569 +tp7570 +a(g900 +g958 +tp7571 +a(g548 +V31 +p7572 +tp7573 +a(g900 +g958 +tp7574 +a(g548 +V32 +p7575 +tp7576 +a(g900 +g958 +tp7577 +a(g548 +V32 +p7578 +tp7579 +a(g900 +g958 +tp7580 +a(g548 +V32 +p7581 +tp7582 +a(g900 +g958 +tp7583 +a(g548 +V33 +p7584 +tp7585 +a(g900 +g958 +tp7586 +a(g548 +V33 +p7587 +tp7588 +a(g900 +g958 +tp7589 +a(g900 +g958 +tp7590 +a(g548 +V33 +p7591 +tp7592 +a(g900 +g958 +tp7593 +a(g548 +V34 +p7594 +tp7595 +a(g900 +g958 +tp7596 +a(g548 +V34 +p7597 +tp7598 +a(g900 +g958 +tp7599 +a(g548 +V35 +p7600 +tp7601 +a(g900 +g958 +tp7602 +a(g548 +V35 +p7603 +tp7604 +a(g900 +g958 +tp7605 +a(g548 +V36 +p7606 +tp7607 +a(g900 +g958 +tp7608 +a(g548 +V36 +p7609 +tp7610 +a(g900 +g958 +tp7611 +a(g548 +V36 +p7612 +tp7613 +a(g900 +V +p7614 +tp7615 +a(g685 +g1011 +tp7616 +a(g341 +V1112223334455666 +p7617 +tp7618 +a(g685 +g1011 +tp7619 +a(g900 +V\u000a +p7620 +tp7621 +a(g8 +V00000700 +p7622 +tp7623 +a(g900 +g958 +tp7624 +a(g900 +g958 +tp7625 +a(g548 +V37 +p7626 +tp7627 +a(g900 +g958 +tp7628 +a(g548 +V37 +p7629 +tp7630 +a(g900 +g958 +tp7631 +a(g548 +V37 +p7632 +tp7633 +a(g900 +g958 +tp7634 +a(g548 +V38 +p7635 +tp7636 +a(g900 +g958 +tp7637 +a(g548 +V38 +p7638 +tp7639 +a(g900 +g958 +tp7640 +a(g548 +V38 +p7641 +tp7642 +a(g900 +g958 +tp7643 +a(g548 +V39 +p7644 +tp7645 +a(g900 +g958 +tp7646 +a(g548 +V39 +p7647 +tp7648 +a(g900 +g958 +tp7649 +a(g900 +g958 +tp7650 +a(g548 +V39 +p7651 +tp7652 +a(g900 +g958 +tp7653 +a(g548 +V22 +p7654 +tp7655 +a(g900 +g958 +tp7656 +a(g548 +V20 +p7657 +tp7658 +a(g900 +g958 +tp7659 +a(g548 +V3d +p7660 +tp7661 +a(g900 +g958 +tp7662 +a(g548 +V3d +p7663 +tp7664 +a(g900 +g958 +tp7665 +a(g548 +V0a +p7666 +tp7667 +a(g900 +g958 +tp7668 +a(g548 +V20 +p7669 +tp7670 +a(g900 +g958 +tp7671 +a(g548 +V20 +p7672 +tp7673 +a(g900 +V +p7674 +tp7675 +a(g685 +g1011 +tp7676 +a(g341 +V777888999" ==. +p7677 +tp7678 +a(g685 +g1011 +tp7679 +a(g900 +V\u000a +p7680 +tp7681 +a(g8 +V00000710 +p7682 +tp7683 +a(g900 +g958 +tp7684 +a(g900 +g958 +tp7685 +a(g548 +V20 +p7686 +tp7687 +a(g900 +g958 +tp7688 +a(g548 +V77 +p7689 +tp7690 +a(g900 +g958 +tp7691 +a(g548 +V6f +p7692 +tp7693 +a(g900 +g958 +tp7694 +a(g548 +V72 +p7695 +tp7696 +a(g900 +g958 +tp7697 +a(g548 +V64 +p7698 +tp7699 +a(g900 +g958 +tp7700 +a(g548 +V54 +p7701 +tp7702 +a(g900 +g958 +tp7703 +a(g548 +V6f +p7704 +tp7705 +a(g900 +g958 +tp7706 +a(g548 +V4e +p7707 +tp7708 +a(g900 +g958 +tp7709 +a(g900 +g958 +tp7710 +a(g548 +V75 +p7711 +tp7712 +a(g900 +g958 +tp7713 +a(g548 +V6d +p7714 +tp7715 +a(g900 +g958 +tp7716 +a(g548 +V28 +p7717 +tp7718 +a(g900 +g958 +tp7719 +a(g548 +V22 +p7720 +tp7721 +a(g900 +g958 +tp7722 +a(g548 +V45 +p7723 +tp7724 +a(g900 +g958 +tp7725 +a(g548 +V20 +p7726 +tp7727 +a(g900 +g958 +tp7728 +a(g548 +V7c +p7729 +tp7730 +a(g900 +g958 +tp7731 +a(g548 +V20 +p7732 +tp7733 +a(g900 +V +p7734 +tp7735 +a(g685 +g1011 +tp7736 +a(g341 +V wordToNum("E | +p7737 +tp7738 +a(g685 +g1011 +tp7739 +a(g900 +V\u000a +p7740 +tp7741 +a(g8 +V00000720 +p7742 +tp7743 +a(g900 +g958 +tp7744 +a(g900 +g958 +tp7745 +a(g548 +V4a +p7746 +tp7747 +a(g900 +g958 +tp7748 +a(g548 +V20 +p7749 +tp7750 +a(g900 +g958 +tp7751 +a(g548 +V4e +p7752 +tp7753 +a(g900 +g958 +tp7754 +a(g548 +V20 +p7755 +tp7756 +a(g900 +g958 +tp7757 +a(g548 +V51 +p7758 +tp7759 +a(g900 +g958 +tp7760 +a(g548 +V20 +p7761 +tp7762 +a(g900 +g958 +tp7763 +a(g548 +V7c +p7764 +tp7765 +a(g900 +g958 +tp7766 +a(g548 +V20 +p7767 +tp7768 +a(g900 +g958 +tp7769 +a(g900 +g958 +tp7770 +a(g548 +V52 +p7771 +tp7772 +a(g900 +g958 +tp7773 +a(g548 +V20 +p7774 +tp7775 +a(g900 +g958 +tp7776 +a(g548 +V57 +p7777 +tp7778 +a(g900 +g958 +tp7779 +a(g548 +V20 +p7780 +tp7781 +a(g900 +g958 +tp7782 +a(g548 +V58 +p7783 +tp7784 +a(g900 +g958 +tp7785 +a(g548 +V20 +p7786 +tp7787 +a(g900 +g958 +tp7788 +a(g548 +V7c +p7789 +tp7790 +a(g900 +g958 +tp7791 +a(g548 +V20 +p7792 +tp7793 +a(g900 +V +p7794 +tp7795 +a(g685 +g1011 +tp7796 +a(g341 +VJ N Q | R W X | +p7797 +tp7798 +a(g685 +g1011 +tp7799 +a(g900 +V\u000a +p7800 +tp7801 +a(g8 +V00000730 +p7802 +tp7803 +a(g900 +g958 +tp7804 +a(g900 +g958 +tp7805 +a(g548 +V44 +p7806 +tp7807 +a(g900 +g958 +tp7808 +a(g548 +V20 +p7809 +tp7810 +a(g900 +g958 +tp7811 +a(g548 +V53 +p7812 +tp7813 +a(g900 +g958 +tp7814 +a(g548 +V20 +p7815 +tp7816 +a(g900 +g958 +tp7817 +a(g548 +V59 +p7818 +tp7819 +a(g900 +g958 +tp7820 +a(g548 +V20 +p7821 +tp7822 +a(g900 +g958 +tp7823 +a(g548 +V7c +p7824 +tp7825 +a(g900 +g958 +tp7826 +a(g548 +V20 +p7827 +tp7828 +a(g900 +g958 +tp7829 +a(g900 +g958 +tp7830 +a(g548 +V46 +p7831 +tp7832 +a(g900 +g958 +tp7833 +a(g548 +V20 +p7834 +tp7835 +a(g900 +g958 +tp7836 +a(g548 +V54 +p7837 +tp7838 +a(g900 +g958 +tp7839 +a(g548 +V20 +p7840 +tp7841 +a(g900 +g958 +tp7842 +a(g548 +V7c +p7843 +tp7844 +a(g900 +g958 +tp7845 +a(g548 +V20 +p7846 +tp7847 +a(g900 +g958 +tp7848 +a(g548 +V41 +p7849 +tp7850 +a(g900 +g958 +tp7851 +a(g548 +V20 +p7852 +tp7853 +a(g900 +V +p7854 +tp7855 +a(g685 +g1011 +tp7856 +a(g341 +VD S Y | F T | A +p7857 +tp7858 +a(g685 +g1011 +tp7859 +a(g900 +V\u000a +p7860 +tp7861 +a(g8 +V00000740 +p7862 +tp7863 +a(g900 +g958 +tp7864 +a(g900 +g958 +tp7865 +a(g548 +V4d +p7866 +tp7867 +a(g900 +g958 +tp7868 +a(g548 +V20 +p7869 +tp7870 +a(g900 +g958 +tp7871 +a(g548 +V7c +p7872 +tp7873 +a(g900 +g958 +tp7874 +a(g548 +V20 +p7875 +tp7876 +a(g900 +g958 +tp7877 +a(g548 +V43 +p7878 +tp7879 +a(g900 +g958 +tp7880 +a(g548 +V20 +p7881 +tp7882 +a(g900 +g958 +tp7883 +a(g548 +V49 +p7884 +tp7885 +a(g900 +g958 +tp7886 +a(g548 +V20 +p7887 +tp7888 +a(g900 +g958 +tp7889 +a(g900 +g958 +tp7890 +a(g548 +V56 +p7891 +tp7892 +a(g900 +g958 +tp7893 +a(g548 +V20 +p7894 +tp7895 +a(g900 +g958 +tp7896 +a(g548 +V7c +p7897 +tp7898 +a(g900 +g958 +tp7899 +a(g548 +V20 +p7900 +tp7901 +a(g900 +g958 +tp7902 +a(g548 +V42 +p7903 +tp7904 +a(g900 +g958 +tp7905 +a(g548 +V20 +p7906 +tp7907 +a(g900 +g958 +tp7908 +a(g548 +V4b +p7909 +tp7910 +a(g900 +g958 +tp7911 +a(g548 +V20 +p7912 +tp7913 +a(g900 +V +p7914 +tp7915 +a(g685 +g1011 +tp7916 +a(g341 +VM | C I V | B K +p7917 +tp7918 +a(g685 +g1011 +tp7919 +a(g900 +V\u000a +p7920 +tp7921 +a(g8 +V00000750 +p7922 +tp7923 +a(g900 +g958 +tp7924 +a(g900 +g958 +tp7925 +a(g548 +V55 +p7926 +tp7927 +a(g900 +g958 +tp7928 +a(g548 +V20 +p7929 +tp7930 +a(g900 +g958 +tp7931 +a(g548 +V7c +p7932 +tp7933 +a(g900 +g958 +tp7934 +a(g548 +V20 +p7935 +tp7936 +a(g900 +g958 +tp7937 +a(g548 +V4c +p7938 +tp7939 +a(g900 +g958 +tp7940 +a(g548 +V20 +p7941 +tp7942 +a(g900 +g958 +tp7943 +a(g548 +V4f +p7944 +tp7945 +a(g900 +g958 +tp7946 +a(g548 +V20 +p7947 +tp7948 +a(g900 +g958 +tp7949 +a(g900 +g958 +tp7950 +a(g548 +V50 +p7951 +tp7952 +a(g900 +g958 +tp7953 +a(g548 +V20 +p7954 +tp7955 +a(g900 +g958 +tp7956 +a(g548 +V7c +p7957 +tp7958 +a(g900 +g958 +tp7959 +a(g548 +V20 +p7960 +tp7961 +a(g900 +g958 +tp7962 +a(g548 +V47 +p7963 +tp7964 +a(g900 +g958 +tp7965 +a(g548 +V20 +p7966 +tp7967 +a(g900 +g958 +tp7968 +a(g548 +V48 +p7969 +tp7970 +a(g900 +g958 +tp7971 +a(g548 +V20 +p7972 +tp7973 +a(g900 +V +p7974 +tp7975 +a(g685 +g1011 +tp7976 +a(g341 +VU | L O P | G H +p7977 +tp7978 +a(g685 +g1011 +tp7979 +a(g900 +V\u000a +p7980 +tp7981 +a(g8 +V00000760 +p7982 +tp7983 +a(g900 +g958 +tp7984 +a(g900 +g958 +tp7985 +a(g548 +V5a +p7986 +tp7987 +a(g900 +g958 +tp7988 +a(g548 +V22 +p7989 +tp7990 +a(g900 +g958 +tp7991 +a(g548 +V29 +p7992 +tp7993 +a(g900 +g958 +tp7994 +a(g548 +V29 +p7995 +tp7996 +a(g900 +g958 +tp7997 +a(g548 +V3b +p7998 +tp7999 +a(g900 +g958 +tp8000 +a(g548 +V0a +p8001 +tp8002 +a(g900 +g958 +tp8003 +a(g548 +V20 +p8004 +tp8005 +a(g900 +g958 +tp8006 +a(g548 +V61 +p8007 +tp8008 +a(g900 +g958 +tp8009 +a(g900 +g958 +tp8010 +a(g548 +V73 +p8011 +tp8012 +a(g900 +g958 +tp8013 +a(g548 +V73 +p8014 +tp8015 +a(g900 +g958 +tp8016 +a(g548 +V65 +p8017 +tp8018 +a(g900 +g958 +tp8019 +a(g548 +V72 +p8020 +tp8021 +a(g900 +g958 +tp8022 +a(g548 +V74 +p8023 +tp8024 +a(g900 +g958 +tp8025 +a(g548 +V28 +p8026 +tp8027 +a(g900 +g958 +tp8028 +a(g548 +V20 +p8029 +tp8030 +a(g900 +g958 +tp8031 +a(g548 +V22 +p8032 +tp8033 +a(g900 +V +p8034 +tp8035 +a(g685 +g1011 +tp8036 +a(g341 +VZ"));. assert( " +p8037 +tp8038 +a(g685 +g1011 +tp8039 +a(g900 +V\u000a +p8040 +tp8041 +a(g8 +V00000770 +p8042 +tp8043 +a(g900 +g958 +tp8044 +a(g900 +g958 +tp8045 +a(g548 +V30 +p8046 +tp8047 +a(g900 +g958 +tp8048 +a(g548 +V31 +p8049 +tp8050 +a(g900 +g958 +tp8051 +a(g548 +V31 +p8052 +tp8053 +a(g900 +g958 +tp8054 +a(g548 +V31 +p8055 +tp8056 +a(g900 +g958 +tp8057 +a(g548 +V32 +p8058 +tp8059 +a(g900 +g958 +tp8060 +a(g548 +V32 +p8061 +tp8062 +a(g900 +g958 +tp8063 +a(g548 +V32 +p8064 +tp8065 +a(g900 +g958 +tp8066 +a(g548 +V33 +p8067 +tp8068 +a(g900 +g958 +tp8069 +a(g900 +g958 +tp8070 +a(g548 +V33 +p8071 +tp8072 +a(g900 +g958 +tp8073 +a(g548 +V33 +p8074 +tp8075 +a(g900 +g958 +tp8076 +a(g548 +V34 +p8077 +tp8078 +a(g900 +g958 +tp8079 +a(g548 +V34 +p8080 +tp8081 +a(g900 +g958 +tp8082 +a(g548 +V35 +p8083 +tp8084 +a(g900 +g958 +tp8085 +a(g548 +V35 +p8086 +tp8087 +a(g900 +g958 +tp8088 +a(g548 +V36 +p8089 +tp8090 +a(g900 +g958 +tp8091 +a(g548 +V36 +p8092 +tp8093 +a(g900 +V +p8094 +tp8095 +a(g685 +g1011 +tp8096 +a(g341 +V0111222333445566 +p8097 +tp8098 +a(g685 +g1011 +tp8099 +a(g900 +V\u000a +p8100 +tp8101 +a(g8 +V00000780 +p8102 +tp8103 +a(g900 +g958 +tp8104 +a(g900 +g958 +tp8105 +a(g548 +V36 +p8106 +tp8107 +a(g900 +g958 +tp8108 +a(g548 +V37 +p8109 +tp8110 +a(g900 +g958 +tp8111 +a(g548 +V37 +p8112 +tp8113 +a(g900 +g958 +tp8114 +a(g548 +V37 +p8115 +tp8116 +a(g900 +g958 +tp8117 +a(g548 +V38 +p8118 +tp8119 +a(g900 +g958 +tp8120 +a(g548 +V38 +p8121 +tp8122 +a(g900 +g958 +tp8123 +a(g548 +V38 +p8124 +tp8125 +a(g900 +g958 +tp8126 +a(g548 +V39 +p8127 +tp8128 +a(g900 +g958 +tp8129 +a(g900 +g958 +tp8130 +a(g548 +V39 +p8131 +tp8132 +a(g900 +g958 +tp8133 +a(g548 +V39 +p8134 +tp8135 +a(g900 +g958 +tp8136 +a(g548 +V22 +p8137 +tp8138 +a(g900 +g958 +tp8139 +a(g548 +V20 +p8140 +tp8141 +a(g900 +g958 +tp8142 +a(g548 +V3d +p8143 +tp8144 +a(g900 +g958 +tp8145 +a(g548 +V3d +p8146 +tp8147 +a(g900 +g958 +tp8148 +a(g548 +V20 +p8149 +tp8150 +a(g900 +g958 +tp8151 +a(g548 +V0a +p8152 +tp8153 +a(g900 +V +p8154 +tp8155 +a(g685 +g1011 +tp8156 +a(g341 +V6777888999" == . +p8157 +tp8158 +a(g685 +g1011 +tp8159 +a(g900 +V\u000a +p8160 +tp8161 +a(g8 +V00000790 +p8162 +tp8163 +a(g900 +g958 +tp8164 +a(g900 +g958 +tp8165 +a(g548 +V20 +p8166 +tp8167 +a(g900 +g958 +tp8168 +a(g548 +V20 +p8169 +tp8170 +a(g900 +g958 +tp8171 +a(g548 +V20 +p8172 +tp8173 +a(g900 +g958 +tp8174 +a(g548 +V77 +p8175 +tp8176 +a(g900 +g958 +tp8177 +a(g548 +V6f +p8178 +tp8179 +a(g900 +g958 +tp8180 +a(g548 +V72 +p8181 +tp8182 +a(g900 +g958 +tp8183 +a(g548 +V64 +p8184 +tp8185 +a(g900 +g958 +tp8186 +a(g548 +V54 +p8187 +tp8188 +a(g900 +g958 +tp8189 +a(g900 +g958 +tp8190 +a(g548 +V6f +p8191 +tp8192 +a(g900 +g958 +tp8193 +a(g548 +V4e +p8194 +tp8195 +a(g900 +g958 +tp8196 +a(g548 +V75 +p8197 +tp8198 +a(g900 +g958 +tp8199 +a(g548 +V6d +p8200 +tp8201 +a(g900 +g958 +tp8202 +a(g548 +V28 +p8203 +tp8204 +a(g900 +g958 +tp8205 +a(g548 +V22 +p8206 +tp8207 +a(g900 +g958 +tp8208 +a(g548 +V65 +p8209 +tp8210 +a(g900 +g958 +tp8211 +a(g548 +V20 +p8212 +tp8213 +a(g900 +V +p8214 +tp8215 +a(g685 +g1011 +tp8216 +a(g341 +V wordToNum("e +p8217 +tp8218 +a(g685 +g1011 +tp8219 +a(g900 +V\u000a +p8220 +tp8221 +a(g8 +V000007a0 +p8222 +tp8223 +a(g900 +g958 +tp8224 +a(g900 +g958 +tp8225 +a(g548 +V7c +p8226 +tp8227 +a(g900 +g958 +tp8228 +a(g548 +V20 +p8229 +tp8230 +a(g900 +g958 +tp8231 +a(g548 +V6a +p8232 +tp8233 +a(g900 +g958 +tp8234 +a(g548 +V20 +p8235 +tp8236 +a(g900 +g958 +tp8237 +a(g548 +V6e +p8238 +tp8239 +a(g900 +g958 +tp8240 +a(g548 +V20 +p8241 +tp8242 +a(g900 +g958 +tp8243 +a(g548 +V71 +p8244 +tp8245 +a(g900 +g958 +tp8246 +a(g548 +V20 +p8247 +tp8248 +a(g900 +g958 +tp8249 +a(g900 +g958 +tp8250 +a(g548 +V7c +p8251 +tp8252 +a(g900 +g958 +tp8253 +a(g548 +V20 +p8254 +tp8255 +a(g900 +g958 +tp8256 +a(g548 +V72 +p8257 +tp8258 +a(g900 +g958 +tp8259 +a(g548 +V20 +p8260 +tp8261 +a(g900 +g958 +tp8262 +a(g548 +V77 +p8263 +tp8264 +a(g900 +g958 +tp8265 +a(g548 +V20 +p8266 +tp8267 +a(g900 +g958 +tp8268 +a(g548 +V78 +p8269 +tp8270 +a(g900 +g958 +tp8271 +a(g548 +V20 +p8272 +tp8273 +a(g900 +V +p8274 +tp8275 +a(g685 +g1011 +tp8276 +a(g341 +V| j n q | r w x +p8277 +tp8278 +a(g685 +g1011 +tp8279 +a(g900 +V\u000a +p8280 +tp8281 +a(g8 +V000007b0 +p8282 +tp8283 +a(g900 +g958 +tp8284 +a(g900 +g958 +tp8285 +a(g548 +V7c +p8286 +tp8287 +a(g900 +g958 +tp8288 +a(g548 +V20 +p8289 +tp8290 +a(g900 +g958 +tp8291 +a(g548 +V64 +p8292 +tp8293 +a(g900 +g958 +tp8294 +a(g548 +V20 +p8295 +tp8296 +a(g900 +g958 +tp8297 +a(g548 +V73 +p8298 +tp8299 +a(g900 +g958 +tp8300 +a(g548 +V20 +p8301 +tp8302 +a(g900 +g958 +tp8303 +a(g548 +V79 +p8304 +tp8305 +a(g900 +g958 +tp8306 +a(g548 +V20 +p8307 +tp8308 +a(g900 +g958 +tp8309 +a(g900 +g958 +tp8310 +a(g548 +V7c +p8311 +tp8312 +a(g900 +g958 +tp8313 +a(g548 +V20 +p8314 +tp8315 +a(g900 +g958 +tp8316 +a(g548 +V66 +p8317 +tp8318 +a(g900 +g958 +tp8319 +a(g548 +V20 +p8320 +tp8321 +a(g900 +g958 +tp8322 +a(g548 +V74 +p8323 +tp8324 +a(g900 +g958 +tp8325 +a(g548 +V20 +p8326 +tp8327 +a(g900 +g958 +tp8328 +a(g548 +V7c +p8329 +tp8330 +a(g900 +g958 +tp8331 +a(g548 +V20 +p8332 +tp8333 +a(g900 +V +p8334 +tp8335 +a(g685 +g1011 +tp8336 +a(g341 +V| d s y | f t | +p8337 +tp8338 +a(g685 +g1011 +tp8339 +a(g900 +V\u000a +p8340 +tp8341 +a(g8 +V000007c0 +p8342 +tp8343 +a(g900 +g958 +tp8344 +a(g900 +g958 +tp8345 +a(g548 +V61 +p8346 +tp8347 +a(g900 +g958 +tp8348 +a(g548 +V20 +p8349 +tp8350 +a(g900 +g958 +tp8351 +a(g548 +V6d +p8352 +tp8353 +a(g900 +g958 +tp8354 +a(g548 +V20 +p8355 +tp8356 +a(g900 +g958 +tp8357 +a(g548 +V7c +p8358 +tp8359 +a(g900 +g958 +tp8360 +a(g548 +V20 +p8361 +tp8362 +a(g900 +g958 +tp8363 +a(g548 +V63 +p8364 +tp8365 +a(g900 +g958 +tp8366 +a(g548 +V20 +p8367 +tp8368 +a(g900 +g958 +tp8369 +a(g900 +g958 +tp8370 +a(g548 +V69 +p8371 +tp8372 +a(g900 +g958 +tp8373 +a(g548 +V20 +p8374 +tp8375 +a(g900 +g958 +tp8376 +a(g548 +V76 +p8377 +tp8378 +a(g900 +g958 +tp8379 +a(g548 +V20 +p8380 +tp8381 +a(g900 +g958 +tp8382 +a(g548 +V7c +p8383 +tp8384 +a(g900 +g958 +tp8385 +a(g548 +V20 +p8386 +tp8387 +a(g900 +g958 +tp8388 +a(g548 +V62 +p8389 +tp8390 +a(g900 +g958 +tp8391 +a(g548 +V20 +p8392 +tp8393 +a(g900 +V +p8394 +tp8395 +a(g685 +g1011 +tp8396 +a(g341 +Va m | c i v | b +p8397 +tp8398 +a(g685 +g1011 +tp8399 +a(g900 +V\u000a +p8400 +tp8401 +a(g8 +V000007d0 +p8402 +tp8403 +a(g900 +g958 +tp8404 +a(g900 +g958 +tp8405 +a(g548 +V6b +p8406 +tp8407 +a(g900 +g958 +tp8408 +a(g548 +V20 +p8409 +tp8410 +a(g900 +g958 +tp8411 +a(g548 +V75 +p8412 +tp8413 +a(g900 +g958 +tp8414 +a(g548 +V20 +p8415 +tp8416 +a(g900 +g958 +tp8417 +a(g548 +V7c +p8418 +tp8419 +a(g900 +g958 +tp8420 +a(g548 +V20 +p8421 +tp8422 +a(g900 +g958 +tp8423 +a(g548 +V6c +p8424 +tp8425 +a(g900 +g958 +tp8426 +a(g548 +V20 +p8427 +tp8428 +a(g900 +g958 +tp8429 +a(g900 +g958 +tp8430 +a(g548 +V6f +p8431 +tp8432 +a(g900 +g958 +tp8433 +a(g548 +V20 +p8434 +tp8435 +a(g900 +g958 +tp8436 +a(g548 +V70 +p8437 +tp8438 +a(g900 +g958 +tp8439 +a(g548 +V20 +p8440 +tp8441 +a(g900 +g958 +tp8442 +a(g548 +V7c +p8443 +tp8444 +a(g900 +g958 +tp8445 +a(g548 +V20 +p8446 +tp8447 +a(g900 +g958 +tp8448 +a(g548 +V67 +p8449 +tp8450 +a(g900 +g958 +tp8451 +a(g548 +V20 +p8452 +tp8453 +a(g900 +V +p8454 +tp8455 +a(g685 +g1011 +tp8456 +a(g341 +Vk u | l o p | g +p8457 +tp8458 +a(g685 +g1011 +tp8459 +a(g900 +V\u000a +p8460 +tp8461 +a(g8 +V000007e0 +p8462 +tp8463 +a(g900 +g958 +tp8464 +a(g900 +g958 +tp8465 +a(g548 +V68 +p8466 +tp8467 +a(g900 +g958 +tp8468 +a(g548 +V20 +p8469 +tp8470 +a(g900 +g958 +tp8471 +a(g548 +V7a +p8472 +tp8473 +a(g900 +g958 +tp8474 +a(g548 +V22 +p8475 +tp8476 +a(g900 +g958 +tp8477 +a(g548 +V29 +p8478 +tp8479 +a(g900 +g958 +tp8480 +a(g548 +V29 +p8481 +tp8482 +a(g900 +g958 +tp8483 +a(g548 +V3b +p8484 +tp8485 +a(g900 +g958 +tp8486 +a(g548 +V0a +p8487 +tp8488 +a(g900 +g958 +tp8489 +a(g900 +g958 +tp8490 +a(g548 +V20 +p8491 +tp8492 +a(g900 +g958 +tp8493 +a(g548 +V61 +p8494 +tp8495 +a(g900 +g958 +tp8496 +a(g548 +V73 +p8497 +tp8498 +a(g900 +g958 +tp8499 +a(g548 +V73 +p8500 +tp8501 +a(g900 +g958 +tp8502 +a(g548 +V65 +p8503 +tp8504 +a(g900 +g958 +tp8505 +a(g548 +V72 +p8506 +tp8507 +a(g900 +g958 +tp8508 +a(g548 +V74 +p8509 +tp8510 +a(g900 +g958 +tp8511 +a(g548 +V28 +p8512 +tp8513 +a(g900 +V +p8514 +tp8515 +a(g685 +g1011 +tp8516 +a(g341 +Vh z"));. assert( +p8517 +tp8518 +a(g685 +g1011 +tp8519 +a(g900 +V\u000a +p8520 +tp8521 +a(g8 +V000007f0 +p8522 +tp8523 +a(g900 +g958 +tp8524 +a(g900 +g958 +tp8525 +a(g548 +V20 +p8526 +tp8527 +a(g900 +g958 +tp8528 +a(g548 +V22 +p8529 +tp8530 +a(g900 +g958 +tp8531 +a(g548 +V30 +p8532 +tp8533 +a(g900 +g958 +tp8534 +a(g548 +V31 +p8535 +tp8536 +a(g900 +g958 +tp8537 +a(g548 +V32 +p8538 +tp8539 +a(g900 +g958 +tp8540 +a(g548 +V33 +p8541 +tp8542 +a(g900 +g958 +tp8543 +a(g548 +V34 +p8544 +tp8545 +a(g900 +g958 +tp8546 +a(g548 +V35 +p8547 +tp8548 +a(g900 +g958 +tp8549 +a(g900 +g958 +tp8550 +a(g548 +V36 +p8551 +tp8552 +a(g900 +g958 +tp8553 +a(g548 +V37 +p8554 +tp8555 +a(g900 +g958 +tp8556 +a(g548 +V38 +p8557 +tp8558 +a(g900 +g958 +tp8559 +a(g548 +V39 +p8560 +tp8561 +a(g900 +g958 +tp8562 +a(g548 +V22 +p8563 +tp8564 +a(g900 +g958 +tp8565 +a(g548 +V20 +p8566 +tp8567 +a(g900 +g958 +tp8568 +a(g548 +V3d +p8569 +tp8570 +a(g900 +g958 +tp8571 +a(g548 +V3d +p8572 +tp8573 +a(g900 +V +p8574 +tp8575 +a(g685 +g1011 +tp8576 +a(g341 +V "0123456789" == +p8577 +tp8578 +a(g685 +g1011 +tp8579 +a(g900 +V\u000a +p8580 +tp8581 +a(g8 +V00000800 +p8582 +tp8583 +a(g900 +g958 +tp8584 +a(g900 +g958 +tp8585 +a(g548 +V20 +p8586 +tp8587 +a(g900 +g958 +tp8588 +a(g548 +V0a +p8589 +tp8590 +a(g900 +g958 +tp8591 +a(g548 +V20 +p8592 +tp8593 +a(g900 +g958 +tp8594 +a(g548 +V20 +p8595 +tp8596 +a(g900 +g958 +tp8597 +a(g548 +V20 +p8598 +tp8599 +a(g900 +g958 +tp8600 +a(g548 +V77 +p8601 +tp8602 +a(g900 +g958 +tp8603 +a(g548 +V6f +p8604 +tp8605 +a(g900 +g958 +tp8606 +a(g548 +V72 +p8607 +tp8608 +a(g900 +g958 +tp8609 +a(g900 +g958 +tp8610 +a(g548 +V64 +p8611 +tp8612 +a(g900 +g958 +tp8613 +a(g548 +V54 +p8614 +tp8615 +a(g900 +g958 +tp8616 +a(g548 +V6f +p8617 +tp8618 +a(g900 +g958 +tp8619 +a(g548 +V4e +p8620 +tp8621 +a(g900 +g958 +tp8622 +a(g548 +V75 +p8623 +tp8624 +a(g900 +g958 +tp8625 +a(g548 +V6d +p8626 +tp8627 +a(g900 +g958 +tp8628 +a(g548 +V28 +p8629 +tp8630 +a(g900 +g958 +tp8631 +a(g548 +V22 +p8632 +tp8633 +a(g900 +V +p8634 +tp8635 +a(g685 +g1011 +tp8636 +a(g341 +V . wordToNum(" +p8637 +tp8638 +a(g685 +g1011 +tp8639 +a(g900 +V\u000a +p8640 +tp8641 +a(g8 +V00000810 +p8642 +tp8643 +a(g900 +g958 +tp8644 +a(g900 +g958 +tp8645 +a(g548 +V30 +p8646 +tp8647 +a(g900 +g958 +tp8648 +a(g548 +V20 +p8649 +tp8650 +a(g900 +g958 +tp8651 +a(g548 +V7c +p8652 +tp8653 +a(g900 +g958 +tp8654 +a(g548 +V20 +p8655 +tp8656 +a(g900 +g958 +tp8657 +a(g548 +V20 +p8658 +tp8659 +a(g900 +g958 +tp8660 +a(g548 +V20 +p8661 +tp8662 +a(g900 +g958 +tp8663 +a(g548 +V31 +p8664 +tp8665 +a(g900 +g958 +tp8666 +a(g548 +V20 +p8667 +tp8668 +a(g900 +g958 +tp8669 +a(g900 +g958 +tp8670 +a(g548 +V20 +p8671 +tp8672 +a(g900 +g958 +tp8673 +a(g548 +V20 +p8674 +tp8675 +a(g900 +g958 +tp8676 +a(g548 +V7c +p8677 +tp8678 +a(g900 +g958 +tp8679 +a(g548 +V20 +p8680 +tp8681 +a(g900 +g958 +tp8682 +a(g548 +V20 +p8683 +tp8684 +a(g900 +g958 +tp8685 +a(g548 +V20 +p8686 +tp8687 +a(g900 +g958 +tp8688 +a(g548 +V32 +p8689 +tp8690 +a(g900 +g958 +tp8691 +a(g548 +V20 +p8692 +tp8693 +a(g900 +V +p8694 +tp8695 +a(g685 +g1011 +tp8696 +a(g341 +V0 | 1 | 2 +p8697 +tp8698 +a(g685 +g1011 +tp8699 +a(g900 +V\u000a +p8700 +tp8701 +a(g8 +V00000820 +p8702 +tp8703 +a(g900 +g958 +tp8704 +a(g900 +g958 +tp8705 +a(g548 +V20 +p8706 +tp8707 +a(g900 +g958 +tp8708 +a(g548 +V20 +p8709 +tp8710 +a(g900 +g958 +tp8711 +a(g548 +V7c +p8712 +tp8713 +a(g900 +g958 +tp8714 +a(g548 +V20 +p8715 +tp8716 +a(g900 +g958 +tp8717 +a(g548 +V20 +p8718 +tp8719 +a(g900 +g958 +tp8720 +a(g548 +V20 +p8721 +tp8722 +a(g900 +g958 +tp8723 +a(g548 +V33 +p8724 +tp8725 +a(g900 +g958 +tp8726 +a(g548 +V20 +p8727 +tp8728 +a(g900 +g958 +tp8729 +a(g900 +g958 +tp8730 +a(g548 +V20 +p8731 +tp8732 +a(g900 +g958 +tp8733 +a(g548 +V20 +p8734 +tp8735 +a(g900 +g958 +tp8736 +a(g548 +V7c +p8737 +tp8738 +a(g900 +g958 +tp8739 +a(g548 +V20 +p8740 +tp8741 +a(g900 +g958 +tp8742 +a(g548 +V20 +p8743 +tp8744 +a(g900 +g958 +tp8745 +a(g548 +V34 +p8746 +tp8747 +a(g900 +g958 +tp8748 +a(g548 +V20 +p8749 +tp8750 +a(g900 +g958 +tp8751 +a(g548 +V20 +p8752 +tp8753 +a(g900 +V +p8754 +tp8755 +a(g685 +g1011 +tp8756 +a(g341 +V | 3 | 4 +p8757 +tp8758 +a(g685 +g1011 +tp8759 +a(g900 +V\u000a +p8760 +tp8761 +a(g8 +V00000830 +p8762 +tp8763 +a(g900 +g958 +tp8764 +a(g900 +g958 +tp8765 +a(g548 +V7c +p8766 +tp8767 +a(g900 +g958 +tp8768 +a(g548 +V20 +p8769 +tp8770 +a(g900 +g958 +tp8771 +a(g548 +V20 +p8772 +tp8773 +a(g900 +g958 +tp8774 +a(g548 +V35 +p8775 +tp8776 +a(g900 +g958 +tp8777 +a(g548 +V20 +p8778 +tp8779 +a(g900 +g958 +tp8780 +a(g548 +V20 +p8781 +tp8782 +a(g900 +g958 +tp8783 +a(g548 +V7c +p8784 +tp8785 +a(g900 +g958 +tp8786 +a(g548 +V20 +p8787 +tp8788 +a(g900 +g958 +tp8789 +a(g900 +g958 +tp8790 +a(g548 +V20 +p8791 +tp8792 +a(g900 +g958 +tp8793 +a(g548 +V20 +p8794 +tp8795 +a(g900 +g958 +tp8796 +a(g548 +V36 +p8797 +tp8798 +a(g900 +g958 +tp8799 +a(g548 +V20 +p8800 +tp8801 +a(g900 +g958 +tp8802 +a(g548 +V20 +p8803 +tp8804 +a(g900 +g958 +tp8805 +a(g548 +V20 +p8806 +tp8807 +a(g900 +g958 +tp8808 +a(g548 +V7c +p8809 +tp8810 +a(g900 +g958 +tp8811 +a(g548 +V20 +p8812 +tp8813 +a(g900 +V +p8814 +tp8815 +a(g685 +g1011 +tp8816 +a(g341 +V| 5 | 6 | +p8817 +tp8818 +a(g685 +g1011 +tp8819 +a(g900 +V\u000a +p8820 +tp8821 +a(g8 +V00000840 +p8822 +tp8823 +a(g900 +g958 +tp8824 +a(g900 +g958 +tp8825 +a(g548 +V20 +p8826 +tp8827 +a(g900 +g958 +tp8828 +a(g548 +V20 +p8829 +tp8830 +a(g900 +g958 +tp8831 +a(g548 +V37 +p8832 +tp8833 +a(g900 +g958 +tp8834 +a(g548 +V20 +p8835 +tp8836 +a(g900 +g958 +tp8837 +a(g548 +V20 +p8838 +tp8839 +a(g900 +g958 +tp8840 +a(g548 +V20 +p8841 +tp8842 +a(g900 +g958 +tp8843 +a(g548 +V7c +p8844 +tp8845 +a(g900 +g958 +tp8846 +a(g548 +V20 +p8847 +tp8848 +a(g900 +g958 +tp8849 +a(g900 +g958 +tp8850 +a(g548 +V20 +p8851 +tp8852 +a(g900 +g958 +tp8853 +a(g548 +V20 +p8854 +tp8855 +a(g900 +g958 +tp8856 +a(g548 +V38 +p8857 +tp8858 +a(g900 +g958 +tp8859 +a(g548 +V20 +p8860 +tp8861 +a(g900 +g958 +tp8862 +a(g548 +V20 +p8863 +tp8864 +a(g900 +g958 +tp8865 +a(g548 +V20 +p8866 +tp8867 +a(g900 +g958 +tp8868 +a(g548 +V7c +p8869 +tp8870 +a(g900 +g958 +tp8871 +a(g548 +V20 +p8872 +tp8873 +a(g900 +V +p8874 +tp8875 +a(g685 +g1011 +tp8876 +a(g341 +V 7 | 8 | +p8877 +tp8878 +a(g685 +g1011 +tp8879 +a(g900 +V\u000a +p8880 +tp8881 +a(g8 +V00000850 +p8882 +tp8883 +a(g900 +g958 +tp8884 +a(g900 +g958 +tp8885 +a(g548 +V20 +p8886 +tp8887 +a(g900 +g958 +tp8888 +a(g548 +V20 +p8889 +tp8890 +a(g900 +g958 +tp8891 +a(g548 +V39 +p8892 +tp8893 +a(g900 +g958 +tp8894 +a(g548 +V22 +p8895 +tp8896 +a(g900 +g958 +tp8897 +a(g548 +V29 +p8898 +tp8899 +a(g900 +g958 +tp8900 +a(g548 +V29 +p8901 +tp8902 +a(g900 +g958 +tp8903 +a(g548 +V3b +p8904 +tp8905 +a(g900 +g958 +tp8906 +a(g548 +V0a +p8907 +tp8908 +a(g900 +g958 +tp8909 +a(g900 +g958 +tp8910 +a(g548 +V7d +p8911 +tp8912 +a(g900 +g958 +tp8913 +a(g548 +V0a +p8914 +tp8915 +a(g900 +g958 +tp8916 +a(g548 +V0a +p8917 +tp8918 +a(g900 +g958 +tp8919 +a(g548 +V76 +p8920 +tp8921 +a(g900 +g958 +tp8922 +a(g548 +V6f +p8923 +tp8924 +a(g900 +g958 +tp8925 +a(g548 +V69 +p8926 +tp8927 +a(g900 +g958 +tp8928 +a(g548 +V64 +p8929 +tp8930 +a(g900 +g958 +tp8931 +a(g548 +V20 +p8932 +tp8933 +a(g900 +V +p8934 +tp8935 +a(g685 +g1011 +tp8936 +a(g341 +V 9"));.}..void +p8937 +tp8938 +a(g685 +g1011 +tp8939 +a(g900 +V\u000a +p8940 +tp8941 +a(g8 +V00000860 +p8942 +tp8943 +a(g900 +g958 +tp8944 +a(g900 +g958 +tp8945 +a(g548 +V6d +p8946 +tp8947 +a(g900 +g958 +tp8948 +a(g548 +V61 +p8949 +tp8950 +a(g900 +g958 +tp8951 +a(g548 +V69 +p8952 +tp8953 +a(g900 +g958 +tp8954 +a(g548 +V6e +p8955 +tp8956 +a(g900 +g958 +tp8957 +a(g548 +V28 +p8958 +tp8959 +a(g900 +g958 +tp8960 +a(g548 +V20 +p8961 +tp8962 +a(g900 +g958 +tp8963 +a(g548 +V73 +p8964 +tp8965 +a(g900 +g958 +tp8966 +a(g548 +V74 +p8967 +tp8968 +a(g900 +g958 +tp8969 +a(g900 +g958 +tp8970 +a(g548 +V72 +p8971 +tp8972 +a(g900 +g958 +tp8973 +a(g548 +V69 +p8974 +tp8975 +a(g900 +g958 +tp8976 +a(g548 +V6e +p8977 +tp8978 +a(g900 +g958 +tp8979 +a(g548 +V67 +p8980 +tp8981 +a(g900 +g958 +tp8982 +a(g548 +V5b +p8983 +tp8984 +a(g900 +g958 +tp8985 +a(g548 +V5d +p8986 +tp8987 +a(g900 +g958 +tp8988 +a(g548 +V20 +p8989 +tp8990 +a(g900 +g958 +tp8991 +a(g548 +V61 +p8992 +tp8993 +a(g900 +V +p8994 +tp8995 +a(g685 +g1011 +tp8996 +a(g341 +Vmain( string[] a +p8997 +tp8998 +a(g685 +g1011 +tp8999 +a(g900 +V\u000a +p9000 +tp9001 +a(g8 +V00000870 +p9002 +tp9003 +a(g900 +g958 +tp9004 +a(g900 +g958 +tp9005 +a(g548 +V72 +p9006 +tp9007 +a(g900 +g958 +tp9008 +a(g548 +V67 +p9009 +tp9010 +a(g900 +g958 +tp9011 +a(g548 +V73 +p9012 +tp9013 +a(g900 +g958 +tp9014 +a(g548 +V20 +p9015 +tp9016 +a(g900 +g958 +tp9017 +a(g548 +V29 +p9018 +tp9019 +a(g900 +g958 +tp9020 +a(g548 +V0a +p9021 +tp9022 +a(g900 +g958 +tp9023 +a(g548 +V7b +p9024 +tp9025 +a(g900 +g958 +tp9026 +a(g548 +V0a +p9027 +tp9028 +a(g900 +g958 +tp9029 +a(g900 +g958 +tp9030 +a(g548 +V20 +p9031 +tp9032 +a(g900 +g958 +tp9033 +a(g548 +V20 +p9034 +tp9035 +a(g900 +g958 +tp9036 +a(g548 +V20 +p9037 +tp9038 +a(g900 +g958 +tp9039 +a(g548 +V20 +p9040 +tp9041 +a(g900 +g958 +tp9042 +a(g548 +V2f +p9043 +tp9044 +a(g900 +g958 +tp9045 +a(g548 +V2f +p9046 +tp9047 +a(g900 +g958 +tp9048 +a(g548 +V20 +p9049 +tp9050 +a(g900 +g958 +tp9051 +a(g548 +V54 +p9052 +tp9053 +a(g900 +V +p9054 +tp9055 +a(g685 +g1011 +tp9056 +a(g341 +Vrgs ).{. // T +p9057 +tp9058 +a(g685 +g1011 +tp9059 +a(g900 +V\u000a +p9060 +tp9061 +a(g8 +V00000880 +p9062 +tp9063 +a(g900 +g958 +tp9064 +a(g900 +g958 +tp9065 +a(g548 +V68 +p9066 +tp9067 +a(g900 +g958 +tp9068 +a(g548 +V69 +p9069 +tp9070 +a(g900 +g958 +tp9071 +a(g548 +V73 +p9072 +tp9073 +a(g900 +g958 +tp9074 +a(g548 +V20 +p9075 +tp9076 +a(g900 +g958 +tp9077 +a(g548 +V61 +p9078 +tp9079 +a(g900 +g958 +tp9080 +a(g548 +V73 +p9081 +tp9082 +a(g900 +g958 +tp9083 +a(g548 +V73 +p9084 +tp9085 +a(g900 +g958 +tp9086 +a(g548 +V6f +p9087 +tp9088 +a(g900 +g958 +tp9089 +a(g900 +g958 +tp9090 +a(g548 +V63 +p9091 +tp9092 +a(g900 +g958 +tp9093 +a(g548 +V69 +p9094 +tp9095 +a(g900 +g958 +tp9096 +a(g548 +V61 +p9097 +tp9098 +a(g900 +g958 +tp9099 +a(g548 +V74 +p9100 +tp9101 +a(g900 +g958 +tp9102 +a(g548 +V69 +p9103 +tp9104 +a(g900 +g958 +tp9105 +a(g548 +V76 +p9106 +tp9107 +a(g900 +g958 +tp9108 +a(g548 +V65 +p9109 +tp9110 +a(g900 +g958 +tp9111 +a(g548 +V20 +p9112 +tp9113 +a(g900 +V +p9114 +tp9115 +a(g685 +g1011 +tp9116 +a(g341 +Vhis associative +p9117 +tp9118 +a(g685 +g1011 +tp9119 +a(g900 +V\u000a +p9120 +tp9121 +a(g8 +V00000890 +p9122 +tp9123 +a(g900 +g958 +tp9124 +a(g900 +g958 +tp9125 +a(g548 +V61 +p9126 +tp9127 +a(g900 +g958 +tp9128 +a(g548 +V72 +p9129 +tp9130 +a(g900 +g958 +tp9131 +a(g548 +V72 +p9132 +tp9133 +a(g900 +g958 +tp9134 +a(g548 +V61 +p9135 +tp9136 +a(g900 +g958 +tp9137 +a(g548 +V79 +p9138 +tp9139 +a(g900 +g958 +tp9140 +a(g548 +V20 +p9141 +tp9142 +a(g900 +g958 +tp9143 +a(g548 +V6d +p9144 +tp9145 +a(g900 +g958 +tp9146 +a(g548 +V61 +p9147 +tp9148 +a(g900 +g958 +tp9149 +a(g900 +g958 +tp9150 +a(g548 +V70 +p9151 +tp9152 +a(g900 +g958 +tp9153 +a(g548 +V73 +p9154 +tp9155 +a(g900 +g958 +tp9156 +a(g548 +V20 +p9157 +tp9158 +a(g900 +g958 +tp9159 +a(g548 +V61 +p9160 +tp9161 +a(g900 +g958 +tp9162 +a(g548 +V20 +p9163 +tp9164 +a(g900 +g958 +tp9165 +a(g548 +V6e +p9166 +tp9167 +a(g900 +g958 +tp9168 +a(g548 +V75 +p9169 +tp9170 +a(g900 +g958 +tp9171 +a(g548 +V6d +p9172 +tp9173 +a(g900 +V +p9174 +tp9175 +a(g685 +g1011 +tp9176 +a(g341 +Varray maps a num +p9177 +tp9178 +a(g685 +g1011 +tp9179 +a(g900 +V\u000a +p9180 +tp9181 +a(g8 +V000008a0 +p9182 +tp9183 +a(g900 +g958 +tp9184 +a(g900 +g958 +tp9185 +a(g548 +V62 +p9186 +tp9187 +a(g900 +g958 +tp9188 +a(g548 +V65 +p9189 +tp9190 +a(g900 +g958 +tp9191 +a(g548 +V72 +p9192 +tp9193 +a(g900 +g958 +tp9194 +a(g548 +V20 +p9195 +tp9196 +a(g900 +g958 +tp9197 +a(g548 +V74 +p9198 +tp9199 +a(g900 +g958 +tp9200 +a(g548 +V6f +p9201 +tp9202 +a(g900 +g958 +tp9203 +a(g548 +V20 +p9204 +tp9205 +a(g900 +g958 +tp9206 +a(g548 +V61 +p9207 +tp9208 +a(g900 +g958 +tp9209 +a(g900 +g958 +tp9210 +a(g548 +V6e +p9211 +tp9212 +a(g900 +g958 +tp9213 +a(g548 +V20 +p9214 +tp9215 +a(g900 +g958 +tp9216 +a(g548 +V61 +p9217 +tp9218 +a(g900 +g958 +tp9219 +a(g548 +V72 +p9220 +tp9221 +a(g900 +g958 +tp9222 +a(g548 +V72 +p9223 +tp9224 +a(g900 +g958 +tp9225 +a(g548 +V61 +p9226 +tp9227 +a(g900 +g958 +tp9228 +a(g548 +V79 +p9229 +tp9230 +a(g900 +g958 +tp9231 +a(g548 +V20 +p9232 +tp9233 +a(g900 +V +p9234 +tp9235 +a(g685 +g1011 +tp9236 +a(g341 +Vber to an array +p9237 +tp9238 +a(g685 +g1011 +tp9239 +a(g900 +V\u000a +p9240 +tp9241 +a(g8 +V000008b0 +p9242 +tp9243 +a(g900 +g958 +tp9244 +a(g900 +g958 +tp9245 +a(g548 +V6f +p9246 +tp9247 +a(g900 +g958 +tp9248 +a(g548 +V66 +p9249 +tp9250 +a(g900 +g958 +tp9251 +a(g548 +V20 +p9252 +tp9253 +a(g900 +g958 +tp9254 +a(g548 +V77 +p9255 +tp9256 +a(g900 +g958 +tp9257 +a(g548 +V6f +p9258 +tp9259 +a(g900 +g958 +tp9260 +a(g548 +V72 +p9261 +tp9262 +a(g900 +g958 +tp9263 +a(g548 +V64 +p9264 +tp9265 +a(g900 +g958 +tp9266 +a(g548 +V73 +p9267 +tp9268 +a(g900 +g958 +tp9269 +a(g900 +g958 +tp9270 +a(g548 +V2e +p9271 +tp9272 +a(g900 +g958 +tp9273 +a(g548 +V20 +p9274 +tp9275 +a(g900 +g958 +tp9276 +a(g548 +V20 +p9277 +tp9278 +a(g900 +g958 +tp9279 +a(g548 +V20 +p9280 +tp9281 +a(g900 +g958 +tp9282 +a(g548 +V20 +p9283 +tp9284 +a(g900 +g958 +tp9285 +a(g548 +V0a +p9286 +tp9287 +a(g900 +g958 +tp9288 +a(g548 +V20 +p9289 +tp9290 +a(g900 +g958 +tp9291 +a(g548 +V20 +p9292 +tp9293 +a(g900 +V +p9294 +tp9295 +a(g685 +g1011 +tp9296 +a(g341 +Vof words. . +p9297 +tp9298 +a(g685 +g1011 +tp9299 +a(g900 +V\u000a +p9300 +tp9301 +a(g8 +V000008c0 +p9302 +tp9303 +a(g900 +g958 +tp9304 +a(g900 +g958 +tp9305 +a(g548 +V20 +p9306 +tp9307 +a(g900 +g958 +tp9308 +a(g548 +V20 +p9309 +tp9310 +a(g900 +g958 +tp9311 +a(g548 +V73 +p9312 +tp9313 +a(g900 +g958 +tp9314 +a(g548 +V74 +p9315 +tp9316 +a(g900 +g958 +tp9317 +a(g548 +V72 +p9318 +tp9319 +a(g900 +g958 +tp9320 +a(g548 +V69 +p9321 +tp9322 +a(g900 +g958 +tp9323 +a(g548 +V6e +p9324 +tp9325 +a(g900 +g958 +tp9326 +a(g548 +V67 +p9327 +tp9328 +a(g900 +g958 +tp9329 +a(g900 +g958 +tp9330 +a(g548 +V61 +p9331 +tp9332 +a(g900 +g958 +tp9333 +a(g548 +V72 +p9334 +tp9335 +a(g900 +g958 +tp9336 +a(g548 +V72 +p9337 +tp9338 +a(g900 +g958 +tp9339 +a(g548 +V61 +p9340 +tp9341 +a(g900 +g958 +tp9342 +a(g548 +V79 +p9343 +tp9344 +a(g900 +g958 +tp9345 +a(g548 +V5b +p9346 +tp9347 +a(g900 +g958 +tp9348 +a(g548 +V73 +p9349 +tp9350 +a(g900 +g958 +tp9351 +a(g548 +V74 +p9352 +tp9353 +a(g900 +V +p9354 +tp9355 +a(g685 +g1011 +tp9356 +a(g341 +V stringarray[st +p9357 +tp9358 +a(g685 +g1011 +tp9359 +a(g900 +V\u000a +p9360 +tp9361 +a(g8 +V000008d0 +p9362 +tp9363 +a(g900 +g958 +tp9364 +a(g900 +g958 +tp9365 +a(g548 +V72 +p9366 +tp9367 +a(g900 +g958 +tp9368 +a(g548 +V69 +p9369 +tp9370 +a(g900 +g958 +tp9371 +a(g548 +V6e +p9372 +tp9373 +a(g900 +g958 +tp9374 +a(g548 +V67 +p9375 +tp9376 +a(g900 +g958 +tp9377 +a(g548 +V5d +p9378 +tp9379 +a(g900 +g958 +tp9380 +a(g548 +V20 +p9381 +tp9382 +a(g900 +g958 +tp9383 +a(g548 +V20 +p9384 +tp9385 +a(g900 +g958 +tp9386 +a(g548 +V20 +p9387 +tp9388 +a(g900 +g958 +tp9389 +a(g900 +g958 +tp9390 +a(g548 +V20 +p9391 +tp9392 +a(g900 +g958 +tp9393 +a(g548 +V6e +p9394 +tp9395 +a(g900 +g958 +tp9396 +a(g548 +V75 +p9397 +tp9398 +a(g900 +g958 +tp9399 +a(g548 +V6d +p9400 +tp9401 +a(g900 +g958 +tp9402 +a(g548 +V32 +p9403 +tp9404 +a(g900 +g958 +tp9405 +a(g548 +V77 +p9406 +tp9407 +a(g900 +g958 +tp9408 +a(g548 +V6f +p9409 +tp9410 +a(g900 +g958 +tp9411 +a(g548 +V72 +p9412 +tp9413 +a(g900 +V +p9414 +tp9415 +a(g685 +g1011 +tp9416 +a(g341 +Vring] num2wor +p9417 +tp9418 +a(g685 +g1011 +tp9419 +a(g900 +V\u000a +p9420 +tp9421 +a(g8 +V000008e0 +p9422 +tp9423 +a(g900 +g958 +tp9424 +a(g900 +g958 +tp9425 +a(g548 +V64 +p9426 +tp9427 +a(g900 +g958 +tp9428 +a(g548 +V73 +p9429 +tp9430 +a(g900 +g958 +tp9431 +a(g548 +V3b +p9432 +tp9433 +a(g900 +g958 +tp9434 +a(g548 +V0a +p9435 +tp9436 +a(g900 +g958 +tp9437 +a(g548 +V0a +p9438 +tp9439 +a(g900 +g958 +tp9440 +a(g548 +V20 +p9441 +tp9442 +a(g900 +g958 +tp9443 +a(g548 +V20 +p9444 +tp9445 +a(g900 +g958 +tp9446 +a(g548 +V20 +p9447 +tp9448 +a(g900 +g958 +tp9449 +a(g900 +g958 +tp9450 +a(g548 +V20 +p9451 +tp9452 +a(g900 +g958 +tp9453 +a(g548 +V66 +p9454 +tp9455 +a(g900 +g958 +tp9456 +a(g548 +V6f +p9457 +tp9458 +a(g900 +g958 +tp9459 +a(g548 +V72 +p9460 +tp9461 +a(g900 +g958 +tp9462 +a(g548 +V65 +p9463 +tp9464 +a(g900 +g958 +tp9465 +a(g548 +V61 +p9466 +tp9467 +a(g900 +g958 +tp9468 +a(g548 +V63 +p9469 +tp9470 +a(g900 +g958 +tp9471 +a(g548 +V68 +p9472 +tp9473 +a(g900 +V +p9474 +tp9475 +a(g685 +g1011 +tp9476 +a(g341 +Vds;.. foreach +p9477 +tp9478 +a(g685 +g1011 +tp9479 +a(g900 +V\u000a +p9480 +tp9481 +a(g8 +V000008f0 +p9482 +tp9483 +a(g900 +g958 +tp9484 +a(g900 +g958 +tp9485 +a(g548 +V28 +p9486 +tp9487 +a(g900 +g958 +tp9488 +a(g548 +V73 +p9489 +tp9490 +a(g900 +g958 +tp9491 +a(g548 +V74 +p9492 +tp9493 +a(g900 +g958 +tp9494 +a(g548 +V72 +p9495 +tp9496 +a(g900 +g958 +tp9497 +a(g548 +V69 +p9498 +tp9499 +a(g900 +g958 +tp9500 +a(g548 +V6e +p9501 +tp9502 +a(g900 +g958 +tp9503 +a(g548 +V67 +p9504 +tp9505 +a(g900 +g958 +tp9506 +a(g548 +V20 +p9507 +tp9508 +a(g900 +g958 +tp9509 +a(g900 +g958 +tp9510 +a(g548 +V77 +p9511 +tp9512 +a(g900 +g958 +tp9513 +a(g548 +V6f +p9514 +tp9515 +a(g900 +g958 +tp9516 +a(g548 +V72 +p9517 +tp9518 +a(g900 +g958 +tp9519 +a(g548 +V64 +p9520 +tp9521 +a(g900 +g958 +tp9522 +a(g548 +V3b +p9523 +tp9524 +a(g900 +g958 +tp9525 +a(g548 +V20 +p9526 +tp9527 +a(g900 +g958 +tp9528 +a(g548 +V6e +p9529 +tp9530 +a(g900 +g958 +tp9531 +a(g548 +V65 +p9532 +tp9533 +a(g900 +V +p9534 +tp9535 +a(g685 +g1011 +tp9536 +a(g341 +V(string word; ne +p9537 +tp9538 +a(g685 +g1011 +tp9539 +a(g900 +V\u000a +p9540 +tp9541 +a(g8 +V00000900 +p9542 +tp9543 +a(g900 +g958 +tp9544 +a(g900 +g958 +tp9545 +a(g548 +V77 +p9546 +tp9547 +a(g900 +g958 +tp9548 +a(g548 +V20 +p9549 +tp9550 +a(g900 +g958 +tp9551 +a(g548 +V42 +p9552 +tp9553 +a(g900 +g958 +tp9554 +a(g548 +V75 +p9555 +tp9556 +a(g900 +g958 +tp9557 +a(g548 +V66 +p9558 +tp9559 +a(g900 +g958 +tp9560 +a(g548 +V66 +p9561 +tp9562 +a(g900 +g958 +tp9563 +a(g548 +V65 +p9564 +tp9565 +a(g900 +g958 +tp9566 +a(g548 +V72 +p9567 +tp9568 +a(g900 +g958 +tp9569 +a(g900 +g958 +tp9570 +a(g548 +V65 +p9571 +tp9572 +a(g900 +g958 +tp9573 +a(g548 +V64 +p9574 +tp9575 +a(g900 +g958 +tp9576 +a(g548 +V46 +p9577 +tp9578 +a(g900 +g958 +tp9579 +a(g548 +V69 +p9580 +tp9581 +a(g900 +g958 +tp9582 +a(g548 +V6c +p9583 +tp9584 +a(g900 +g958 +tp9585 +a(g548 +V65 +p9586 +tp9587 +a(g900 +g958 +tp9588 +a(g548 +V28 +p9589 +tp9590 +a(g900 +g958 +tp9591 +a(g548 +V22 +p9592 +tp9593 +a(g900 +V +p9594 +tp9595 +a(g685 +g1011 +tp9596 +a(g341 +Vw BufferedFile(" +p9597 +tp9598 +a(g685 +g1011 +tp9599 +a(g900 +V\u000a +p9600 +tp9601 +a(g8 +V00000910 +p9602 +tp9603 +a(g900 +g958 +tp9604 +a(g900 +g958 +tp9605 +a(g548 +V64 +p9606 +tp9607 +a(g900 +g958 +tp9608 +a(g548 +V69 +p9609 +tp9610 +a(g900 +g958 +tp9611 +a(g548 +V63 +p9612 +tp9613 +a(g900 +g958 +tp9614 +a(g548 +V74 +p9615 +tp9616 +a(g900 +g958 +tp9617 +a(g548 +V69 +p9618 +tp9619 +a(g900 +g958 +tp9620 +a(g548 +V6f +p9621 +tp9622 +a(g900 +g958 +tp9623 +a(g548 +V6e +p9624 +tp9625 +a(g900 +g958 +tp9626 +a(g548 +V61 +p9627 +tp9628 +a(g900 +g958 +tp9629 +a(g900 +g958 +tp9630 +a(g548 +V72 +p9631 +tp9632 +a(g900 +g958 +tp9633 +a(g548 +V79 +p9634 +tp9635 +a(g900 +g958 +tp9636 +a(g548 +V2e +p9637 +tp9638 +a(g900 +g958 +tp9639 +a(g548 +V74 +p9640 +tp9641 +a(g900 +g958 +tp9642 +a(g548 +V78 +p9643 +tp9644 +a(g900 +g958 +tp9645 +a(g548 +V74 +p9646 +tp9647 +a(g900 +g958 +tp9648 +a(g548 +V22 +p9649 +tp9650 +a(g900 +g958 +tp9651 +a(g548 +V20 +p9652 +tp9653 +a(g900 +V +p9654 +tp9655 +a(g685 +g1011 +tp9656 +a(g341 +Vdictionary.txt" +p9657 +tp9658 +a(g685 +g1011 +tp9659 +a(g900 +V\u000a +p9660 +tp9661 +a(g8 +V00000920 +p9662 +tp9663 +a(g900 +g958 +tp9664 +a(g900 +g958 +tp9665 +a(g548 +V29 +p9666 +tp9667 +a(g900 +g958 +tp9668 +a(g548 +V20 +p9669 +tp9670 +a(g900 +g958 +tp9671 +a(g548 +V29 +p9672 +tp9673 +a(g900 +g958 +tp9674 +a(g548 +V0a +p9675 +tp9676 +a(g900 +g958 +tp9677 +a(g548 +V20 +p9678 +tp9679 +a(g900 +g958 +tp9680 +a(g548 +V20 +p9681 +tp9682 +a(g900 +g958 +tp9683 +a(g548 +V20 +p9684 +tp9685 +a(g900 +g958 +tp9686 +a(g548 +V20 +p9687 +tp9688 +a(g900 +g958 +tp9689 +a(g900 +g958 +tp9690 +a(g548 +V20 +p9691 +tp9692 +a(g900 +g958 +tp9693 +a(g548 +V20 +p9694 +tp9695 +a(g900 +g958 +tp9696 +a(g548 +V20 +p9697 +tp9698 +a(g900 +g958 +tp9699 +a(g548 +V20 +p9700 +tp9701 +a(g900 +g958 +tp9702 +a(g548 +V6e +p9703 +tp9704 +a(g900 +g958 +tp9705 +a(g548 +V75 +p9706 +tp9707 +a(g900 +g958 +tp9708 +a(g548 +V6d +p9709 +tp9710 +a(g900 +g958 +tp9711 +a(g548 +V32 +p9712 +tp9713 +a(g900 +V +p9714 +tp9715 +a(g685 +g1011 +tp9716 +a(g341 +V) ). num2 +p9717 +tp9718 +a(g685 +g1011 +tp9719 +a(g900 +V\u000a +p9720 +tp9721 +a(g8 +V00000930 +p9722 +tp9723 +a(g900 +g958 +tp9724 +a(g900 +g958 +tp9725 +a(g548 +V77 +p9726 +tp9727 +a(g900 +g958 +tp9728 +a(g548 +V6f +p9729 +tp9730 +a(g900 +g958 +tp9731 +a(g548 +V72 +p9732 +tp9733 +a(g900 +g958 +tp9734 +a(g548 +V64 +p9735 +tp9736 +a(g900 +g958 +tp9737 +a(g548 +V73 +p9738 +tp9739 +a(g900 +g958 +tp9740 +a(g548 +V5b +p9741 +tp9742 +a(g900 +g958 +tp9743 +a(g548 +V20 +p9744 +tp9745 +a(g900 +g958 +tp9746 +a(g548 +V77 +p9747 +tp9748 +a(g900 +g958 +tp9749 +a(g900 +g958 +tp9750 +a(g548 +V6f +p9751 +tp9752 +a(g900 +g958 +tp9753 +a(g548 +V72 +p9754 +tp9755 +a(g900 +g958 +tp9756 +a(g548 +V64 +p9757 +tp9758 +a(g900 +g958 +tp9759 +a(g548 +V54 +p9760 +tp9761 +a(g900 +g958 +tp9762 +a(g548 +V6f +p9763 +tp9764 +a(g900 +g958 +tp9765 +a(g548 +V4e +p9766 +tp9767 +a(g900 +g958 +tp9768 +a(g548 +V75 +p9769 +tp9770 +a(g900 +g958 +tp9771 +a(g548 +V6d +p9772 +tp9773 +a(g900 +V +p9774 +tp9775 +a(g685 +g1011 +tp9776 +a(g341 +Vwords[ wordToNum +p9777 +tp9778 +a(g685 +g1011 +tp9779 +a(g900 +V\u000a +p9780 +tp9781 +a(g8 +V00000940 +p9782 +tp9783 +a(g900 +g958 +tp9784 +a(g900 +g958 +tp9785 +a(g548 +V28 +p9786 +tp9787 +a(g900 +g958 +tp9788 +a(g548 +V77 +p9789 +tp9790 +a(g900 +g958 +tp9791 +a(g548 +V6f +p9792 +tp9793 +a(g900 +g958 +tp9794 +a(g548 +V72 +p9795 +tp9796 +a(g900 +g958 +tp9797 +a(g548 +V64 +p9798 +tp9799 +a(g900 +g958 +tp9800 +a(g548 +V29 +p9801 +tp9802 +a(g900 +g958 +tp9803 +a(g548 +V20 +p9804 +tp9805 +a(g900 +g958 +tp9806 +a(g548 +V5d +p9807 +tp9808 +a(g900 +g958 +tp9809 +a(g900 +g958 +tp9810 +a(g548 +V20 +p9811 +tp9812 +a(g900 +g958 +tp9813 +a(g548 +V7e +p9814 +tp9815 +a(g900 +g958 +tp9816 +a(g548 +V3d +p9817 +tp9818 +a(g900 +g958 +tp9819 +a(g548 +V20 +p9820 +tp9821 +a(g900 +g958 +tp9822 +a(g548 +V77 +p9823 +tp9824 +a(g900 +g958 +tp9825 +a(g548 +V6f +p9826 +tp9827 +a(g900 +g958 +tp9828 +a(g548 +V72 +p9829 +tp9830 +a(g900 +g958 +tp9831 +a(g548 +V64 +p9832 +tp9833 +a(g900 +V +p9834 +tp9835 +a(g685 +g1011 +tp9836 +a(g341 +V(word) ] ~= word +p9837 +tp9838 +a(g685 +g1011 +tp9839 +a(g900 +V\u000a +p9840 +tp9841 +a(g8 +V00000950 +p9842 +tp9843 +a(g900 +g958 +tp9844 +a(g900 +g958 +tp9845 +a(g548 +V2e +p9846 +tp9847 +a(g900 +g958 +tp9848 +a(g548 +V64 +p9849 +tp9850 +a(g900 +g958 +tp9851 +a(g548 +V75 +p9852 +tp9853 +a(g900 +g958 +tp9854 +a(g548 +V70 +p9855 +tp9856 +a(g900 +g958 +tp9857 +a(g548 +V3b +p9858 +tp9859 +a(g900 +g958 +tp9860 +a(g548 +V20 +p9861 +tp9862 +a(g900 +g958 +tp9863 +a(g548 +V20 +p9864 +tp9865 +a(g900 +g958 +tp9866 +a(g548 +V20 +p9867 +tp9868 +a(g900 +g958 +tp9869 +a(g900 +g958 +tp9870 +a(g548 +V20 +p9871 +tp9872 +a(g900 +g958 +tp9873 +a(g548 +V20 +p9874 +tp9875 +a(g900 +g958 +tp9876 +a(g548 +V20 +p9877 +tp9878 +a(g900 +g958 +tp9879 +a(g548 +V20 +p9880 +tp9881 +a(g900 +g958 +tp9882 +a(g548 +V20 +p9883 +tp9884 +a(g900 +g958 +tp9885 +a(g548 +V2f +p9886 +tp9887 +a(g900 +g958 +tp9888 +a(g548 +V2f +p9889 +tp9890 +a(g900 +g958 +tp9891 +a(g548 +V20 +p9892 +tp9893 +a(g900 +V +p9894 +tp9895 +a(g685 +g1011 +tp9896 +a(g341 +V.dup; // +p9897 +tp9898 +a(g685 +g1011 +tp9899 +a(g900 +V\u000a +p9900 +tp9901 +a(g8 +V00000960 +p9902 +tp9903 +a(g900 +g958 +tp9904 +a(g900 +g958 +tp9905 +a(g548 +V6d +p9906 +tp9907 +a(g900 +g958 +tp9908 +a(g548 +V75 +p9909 +tp9910 +a(g900 +g958 +tp9911 +a(g548 +V73 +p9912 +tp9913 +a(g900 +g958 +tp9914 +a(g548 +V74 +p9915 +tp9916 +a(g900 +g958 +tp9917 +a(g548 +V20 +p9918 +tp9919 +a(g900 +g958 +tp9920 +a(g548 +V64 +p9921 +tp9922 +a(g900 +g958 +tp9923 +a(g548 +V75 +p9924 +tp9925 +a(g900 +g958 +tp9926 +a(g548 +V70 +p9927 +tp9928 +a(g900 +g958 +tp9929 +a(g900 +g958 +tp9930 +a(g548 +V0a +p9931 +tp9932 +a(g900 +g958 +tp9933 +a(g548 +V0a +p9934 +tp9935 +a(g900 +g958 +tp9936 +a(g548 +V20 +p9937 +tp9938 +a(g900 +g958 +tp9939 +a(g548 +V20 +p9940 +tp9941 +a(g900 +g958 +tp9942 +a(g548 +V20 +p9943 +tp9944 +a(g900 +g958 +tp9945 +a(g548 +V20 +p9946 +tp9947 +a(g900 +g958 +tp9948 +a(g548 +V2f +p9949 +tp9950 +a(g900 +g958 +tp9951 +a(g548 +V2f +p9952 +tp9953 +a(g900 +V +p9954 +tp9955 +a(g685 +g1011 +tp9956 +a(g341 +Vmust dup.. // +p9957 +tp9958 +a(g685 +g1011 +tp9959 +a(g900 +V\u000a +p9960 +tp9961 +a(g8 +V00000970 +p9962 +tp9963 +a(g900 +g958 +tp9964 +a(g900 +g958 +tp9965 +a(g548 +V2f +p9966 +tp9967 +a(g900 +g958 +tp9968 +a(g548 +V20 +p9969 +tp9970 +a(g900 +g958 +tp9971 +a(g548 +V46 +p9972 +tp9973 +a(g900 +g958 +tp9974 +a(g548 +V69 +p9975 +tp9976 +a(g900 +g958 +tp9977 +a(g548 +V6e +p9978 +tp9979 +a(g900 +g958 +tp9980 +a(g548 +V64 +p9981 +tp9982 +a(g900 +g958 +tp9983 +a(g548 +V73 +p9984 +tp9985 +a(g900 +g958 +tp9986 +a(g548 +V20 +p9987 +tp9988 +a(g900 +g958 +tp9989 +a(g900 +g958 +tp9990 +a(g548 +V61 +p9991 +tp9992 +a(g900 +g958 +tp9993 +a(g548 +V6c +p9994 +tp9995 +a(g900 +g958 +tp9996 +a(g548 +V6c +p9997 +tp9998 +a(g900 +g958 +tp9999 +a(g548 +V20 +p10000 +tp10001 +a(g900 +g958 +tp10002 +a(g548 +V61 +p10003 +tp10004 +a(g900 +g958 +tp10005 +a(g548 +V6c +p10006 +tp10007 +a(g900 +g958 +tp10008 +a(g548 +V74 +p10009 +tp10010 +a(g900 +g958 +tp10011 +a(g548 +V65 +p10012 +tp10013 +a(g900 +V +p10014 +tp10015 +a(g685 +g1011 +tp10016 +a(g341 +V/ Finds all alte +p10017 +tp10018 +a(g685 +g1011 +tp10019 +a(g900 +V\u000a +p10020 +tp10021 +a(g8 +V00000980 +p10022 +tp10023 +a(g900 +g958 +tp10024 +a(g900 +g958 +tp10025 +a(g548 +V72 +p10026 +tp10027 +a(g900 +g958 +tp10028 +a(g548 +V6e +p10029 +tp10030 +a(g900 +g958 +tp10031 +a(g548 +V61 +p10032 +tp10033 +a(g900 +g958 +tp10034 +a(g548 +V74 +p10035 +tp10036 +a(g900 +g958 +tp10037 +a(g548 +V69 +p10038 +tp10039 +a(g900 +g958 +tp10040 +a(g548 +V76 +p10041 +tp10042 +a(g900 +g958 +tp10043 +a(g548 +V65 +p10044 +tp10045 +a(g900 +g958 +tp10046 +a(g548 +V73 +p10047 +tp10048 +a(g900 +g958 +tp10049 +a(g900 +g958 +tp10050 +a(g548 +V20 +p10051 +tp10052 +a(g900 +g958 +tp10053 +a(g548 +V66 +p10054 +tp10055 +a(g900 +g958 +tp10056 +a(g548 +V6f +p10057 +tp10058 +a(g900 +g958 +tp10059 +a(g548 +V72 +p10060 +tp10061 +a(g900 +g958 +tp10062 +a(g548 +V20 +p10063 +tp10064 +a(g900 +g958 +tp10065 +a(g548 +V74 +p10066 +tp10067 +a(g900 +g958 +tp10068 +a(g548 +V68 +p10069 +tp10070 +a(g900 +g958 +tp10071 +a(g548 +V65 +p10072 +tp10073 +a(g900 +V +p10074 +tp10075 +a(g685 +g1011 +tp10076 +a(g341 +Vrnatives for the +p10077 +tp10078 +a(g685 +g1011 +tp10079 +a(g900 +V\u000a +p10080 +tp10081 +a(g8 +V00000990 +p10082 +tp10083 +a(g900 +g958 +tp10084 +a(g900 +g958 +tp10085 +a(g548 +V20 +p10086 +tp10087 +a(g900 +g958 +tp10088 +a(g548 +V67 +p10089 +tp10090 +a(g900 +g958 +tp10091 +a(g548 +V69 +p10092 +tp10093 +a(g900 +g958 +tp10094 +a(g548 +V76 +p10095 +tp10096 +a(g900 +g958 +tp10097 +a(g548 +V65 +p10098 +tp10099 +a(g900 +g958 +tp10100 +a(g548 +V6e +p10101 +tp10102 +a(g900 +g958 +tp10103 +a(g548 +V20 +p10104 +tp10105 +a(g900 +g958 +tp10106 +a(g548 +V6e +p10107 +tp10108 +a(g900 +g958 +tp10109 +a(g900 +g958 +tp10110 +a(g548 +V75 +p10111 +tp10112 +a(g900 +g958 +tp10113 +a(g548 +V6d +p10114 +tp10115 +a(g900 +g958 +tp10116 +a(g548 +V62 +p10117 +tp10118 +a(g900 +g958 +tp10119 +a(g548 +V65 +p10120 +tp10121 +a(g900 +g958 +tp10122 +a(g548 +V72 +p10123 +tp10124 +a(g900 +g958 +tp10125 +a(g548 +V0a +p10126 +tp10127 +a(g900 +g958 +tp10128 +a(g548 +V20 +p10129 +tp10130 +a(g900 +g958 +tp10131 +a(g548 +V20 +p10132 +tp10133 +a(g900 +V +p10134 +tp10135 +a(g685 +g1011 +tp10136 +a(g341 +V given number. +p10137 +tp10138 +a(g685 +g1011 +tp10139 +a(g900 +V\u000a +p10140 +tp10141 +a(g8 +V000009a0 +p10142 +tp10143 +a(g900 +g958 +tp10144 +a(g900 +g958 +tp10145 +a(g548 +V20 +p10146 +tp10147 +a(g900 +g958 +tp10148 +a(g548 +V20 +p10149 +tp10150 +a(g900 +g958 +tp10151 +a(g548 +V2f +p10152 +tp10153 +a(g900 +g958 +tp10154 +a(g548 +V2f +p10155 +tp10156 +a(g900 +g958 +tp10157 +a(g548 +V2f +p10158 +tp10159 +a(g900 +g958 +tp10160 +a(g548 +V20 +p10161 +tp10162 +a(g900 +g958 +tp10163 +a(g548 +V28 +p10164 +tp10165 +a(g900 +g958 +tp10166 +a(g548 +V73 +p10167 +tp10168 +a(g900 +g958 +tp10169 +a(g900 +g958 +tp10170 +a(g548 +V68 +p10171 +tp10172 +a(g900 +g958 +tp10173 +a(g548 +V6f +p10174 +tp10175 +a(g900 +g958 +tp10176 +a(g548 +V75 +p10177 +tp10178 +a(g900 +g958 +tp10179 +a(g548 +V6c +p10180 +tp10181 +a(g900 +g958 +tp10182 +a(g548 +V64 +p10183 +tp10184 +a(g900 +g958 +tp10185 +a(g548 +V20 +p10186 +tp10187 +a(g900 +g958 +tp10188 +a(g548 +V68 +p10189 +tp10190 +a(g900 +g958 +tp10191 +a(g548 +V61 +p10192 +tp10193 +a(g900 +V +p10194 +tp10195 +a(g685 +g1011 +tp10196 +a(g341 +V /// (should ha +p10197 +tp10198 +a(g685 +g1011 +tp10199 +a(g900 +V\u000a +p10200 +tp10201 +a(g8 +V000009b0 +p10202 +tp10203 +a(g900 +g958 +tp10204 +a(g900 +g958 +tp10205 +a(g548 +V76 +p10206 +tp10207 +a(g900 +g958 +tp10208 +a(g548 +V65 +p10209 +tp10210 +a(g900 +g958 +tp10211 +a(g548 +V20 +p10212 +tp10213 +a(g900 +g958 +tp10214 +a(g548 +V62 +p10215 +tp10216 +a(g900 +g958 +tp10217 +a(g548 +V65 +p10218 +tp10219 +a(g900 +g958 +tp10220 +a(g548 +V65 +p10221 +tp10222 +a(g900 +g958 +tp10223 +a(g548 +V6e +p10224 +tp10225 +a(g900 +g958 +tp10226 +a(g548 +V20 +p10227 +tp10228 +a(g900 +g958 +tp10229 +a(g900 +g958 +tp10230 +a(g548 +V73 +p10231 +tp10232 +a(g900 +g958 +tp10233 +a(g548 +V74 +p10234 +tp10235 +a(g900 +g958 +tp10236 +a(g548 +V72 +p10237 +tp10238 +a(g900 +g958 +tp10239 +a(g548 +V69 +p10240 +tp10241 +a(g900 +g958 +tp10242 +a(g548 +V70 +p10243 +tp10244 +a(g900 +g958 +tp10245 +a(g548 +V70 +p10246 +tp10247 +a(g900 +g958 +tp10248 +a(g548 +V65 +p10249 +tp10250 +a(g900 +g958 +tp10251 +a(g548 +V64 +p10252 +tp10253 +a(g900 +V +p10254 +tp10255 +a(g685 +g1011 +tp10256 +a(g341 +Vve been stripped +p10257 +tp10258 +a(g685 +g1011 +tp10259 +a(g900 +V\u000a +p10260 +tp10261 +a(g8 +V000009c0 +p10262 +tp10263 +a(g900 +g958 +tp10264 +a(g900 +g958 +tp10265 +a(g548 +V20 +p10266 +tp10267 +a(g900 +g958 +tp10268 +a(g548 +V66 +p10269 +tp10270 +a(g900 +g958 +tp10271 +a(g548 +V72 +p10272 +tp10273 +a(g900 +g958 +tp10274 +a(g548 +V6f +p10275 +tp10276 +a(g900 +g958 +tp10277 +a(g548 +V6d +p10278 +tp10279 +a(g900 +g958 +tp10280 +a(g548 +V20 +p10281 +tp10282 +a(g900 +g958 +tp10283 +a(g548 +V6e +p10284 +tp10285 +a(g900 +g958 +tp10286 +a(g548 +V6f +p10287 +tp10288 +a(g900 +g958 +tp10289 +a(g900 +g958 +tp10290 +a(g548 +V6e +p10291 +tp10292 +a(g900 +g958 +tp10293 +a(g548 +V2d +p10294 +tp10295 +a(g900 +g958 +tp10296 +a(g548 +V64 +p10297 +tp10298 +a(g900 +g958 +tp10299 +a(g548 +V69 +p10300 +tp10301 +a(g900 +g958 +tp10302 +a(g548 +V67 +p10303 +tp10304 +a(g900 +g958 +tp10305 +a(g548 +V69 +p10306 +tp10307 +a(g900 +g958 +tp10308 +a(g548 +V74 +p10309 +tp10310 +a(g900 +g958 +tp10311 +a(g548 +V20 +p10312 +tp10313 +a(g900 +V +p10314 +tp10315 +a(g685 +g1011 +tp10316 +a(g341 +V from non-digit +p10317 +tp10318 +a(g685 +g1011 +tp10319 +a(g900 +V\u000a +p10320 +tp10321 +a(g8 +V000009d0 +p10322 +tp10323 +a(g900 +g958 +tp10324 +a(g900 +g958 +tp10325 +a(g548 +V63 +p10326 +tp10327 +a(g900 +g958 +tp10328 +a(g548 +V68 +p10329 +tp10330 +a(g900 +g958 +tp10331 +a(g548 +V61 +p10332 +tp10333 +a(g900 +g958 +tp10334 +a(g548 +V72 +p10335 +tp10336 +a(g900 +g958 +tp10337 +a(g548 +V61 +p10338 +tp10339 +a(g900 +g958 +tp10340 +a(g548 +V63 +p10341 +tp10342 +a(g900 +g958 +tp10343 +a(g548 +V74 +p10344 +tp10345 +a(g900 +g958 +tp10346 +a(g548 +V65 +p10347 +tp10348 +a(g900 +g958 +tp10349 +a(g900 +g958 +tp10350 +a(g548 +V72 +p10351 +tp10352 +a(g900 +g958 +tp10353 +a(g548 +V73 +p10354 +tp10355 +a(g900 +g958 +tp10356 +a(g548 +V29 +p10357 +tp10358 +a(g900 +g958 +tp10359 +a(g548 +V0a +p10360 +tp10361 +a(g900 +g958 +tp10362 +a(g548 +V20 +p10363 +tp10364 +a(g900 +g958 +tp10365 +a(g548 +V20 +p10366 +tp10367 +a(g900 +g958 +tp10368 +a(g548 +V20 +p10369 +tp10370 +a(g900 +g958 +tp10371 +a(g548 +V20 +p10372 +tp10373 +a(g900 +V +p10374 +tp10375 +a(g685 +g1011 +tp10376 +a(g341 +Vcharacters). +p10377 +tp10378 +a(g685 +g1011 +tp10379 +a(g900 +V\u000a +p10380 +tp10381 +a(g8 +V000009e0 +p10382 +tp10383 +a(g900 +g958 +tp10384 +a(g900 +g958 +tp10385 +a(g548 +V73 +p10386 +tp10387 +a(g900 +g958 +tp10388 +a(g548 +V74 +p10389 +tp10390 +a(g900 +g958 +tp10391 +a(g548 +V72 +p10392 +tp10393 +a(g900 +g958 +tp10394 +a(g548 +V69 +p10395 +tp10396 +a(g900 +g958 +tp10397 +a(g548 +V6e +p10398 +tp10399 +a(g900 +g958 +tp10400 +a(g548 +V67 +p10401 +tp10402 +a(g900 +g958 +tp10403 +a(g548 +V61 +p10404 +tp10405 +a(g900 +g958 +tp10406 +a(g548 +V72 +p10407 +tp10408 +a(g900 +g958 +tp10409 +a(g900 +g958 +tp10410 +a(g548 +V72 +p10411 +tp10412 +a(g900 +g958 +tp10413 +a(g548 +V61 +p10414 +tp10415 +a(g900 +g958 +tp10416 +a(g548 +V79 +p10417 +tp10418 +a(g900 +g958 +tp10419 +a(g548 +V20 +p10420 +tp10421 +a(g900 +g958 +tp10422 +a(g548 +V5f +p10423 +tp10424 +a(g900 +g958 +tp10425 +a(g548 +V46 +p10426 +tp10427 +a(g900 +g958 +tp10428 +a(g548 +V69 +p10429 +tp10430 +a(g900 +g958 +tp10431 +a(g548 +V6e +p10432 +tp10433 +a(g900 +V +p10434 +tp10435 +a(g685 +g1011 +tp10436 +a(g341 +Vstringarray _Fin +p10437 +tp10438 +a(g685 +g1011 +tp10439 +a(g900 +V\u000a +p10440 +tp10441 +a(g8 +V000009f0 +p10442 +tp10443 +a(g900 +g958 +tp10444 +a(g900 +g958 +tp10445 +a(g548 +V64 +p10446 +tp10447 +a(g900 +g958 +tp10448 +a(g548 +V57 +p10449 +tp10450 +a(g900 +g958 +tp10451 +a(g548 +V6f +p10452 +tp10453 +a(g900 +g958 +tp10454 +a(g548 +V72 +p10455 +tp10456 +a(g900 +g958 +tp10457 +a(g548 +V64 +p10458 +tp10459 +a(g900 +g958 +tp10460 +a(g548 +V73 +p10461 +tp10462 +a(g900 +g958 +tp10463 +a(g548 +V28 +p10464 +tp10465 +a(g900 +g958 +tp10466 +a(g548 +V20 +p10467 +tp10468 +a(g900 +g958 +tp10469 +a(g900 +g958 +tp10470 +a(g548 +V73 +p10471 +tp10472 +a(g900 +g958 +tp10473 +a(g548 +V74 +p10474 +tp10475 +a(g900 +g958 +tp10476 +a(g548 +V72 +p10477 +tp10478 +a(g900 +g958 +tp10479 +a(g548 +V69 +p10480 +tp10481 +a(g900 +g958 +tp10482 +a(g548 +V6e +p10483 +tp10484 +a(g900 +g958 +tp10485 +a(g548 +V67 +p10486 +tp10487 +a(g900 +g958 +tp10488 +a(g548 +V20 +p10489 +tp10490 +a(g900 +g958 +tp10491 +a(g548 +V6e +p10492 +tp10493 +a(g900 +V +p10494 +tp10495 +a(g685 +g1011 +tp10496 +a(g341 +VdWords( string n +p10497 +tp10498 +a(g685 +g1011 +tp10499 +a(g900 +V\u000a +p10500 +tp10501 +a(g8 +V00000a00 +p10502 +tp10503 +a(g900 +g958 +tp10504 +a(g900 +g958 +tp10505 +a(g548 +V75 +p10506 +tp10507 +a(g900 +g958 +tp10508 +a(g548 +V6d +p10509 +tp10510 +a(g900 +g958 +tp10511 +a(g548 +V62 +p10512 +tp10513 +a(g900 +g958 +tp10514 +a(g548 +V65 +p10515 +tp10516 +a(g900 +g958 +tp10517 +a(g548 +V72 +p10518 +tp10519 +a(g900 +g958 +tp10520 +a(g548 +V73 +p10521 +tp10522 +a(g900 +g958 +tp10523 +a(g548 +V2c +p10524 +tp10525 +a(g900 +g958 +tp10526 +a(g548 +V20 +p10527 +tp10528 +a(g900 +g958 +tp10529 +a(g900 +g958 +tp10530 +a(g548 +V62 +p10531 +tp10532 +a(g900 +g958 +tp10533 +a(g548 +V6f +p10534 +tp10535 +a(g900 +g958 +tp10536 +a(g548 +V6f +p10537 +tp10538 +a(g900 +g958 +tp10539 +a(g548 +V6c +p10540 +tp10541 +a(g900 +g958 +tp10542 +a(g548 +V20 +p10543 +tp10544 +a(g900 +g958 +tp10545 +a(g548 +V64 +p10546 +tp10547 +a(g900 +g958 +tp10548 +a(g548 +V69 +p10549 +tp10550 +a(g900 +g958 +tp10551 +a(g548 +V67 +p10552 +tp10553 +a(g900 +V +p10554 +tp10555 +a(g685 +g1011 +tp10556 +a(g341 +Vumbers, bool dig +p10557 +tp10558 +a(g685 +g1011 +tp10559 +a(g900 +V\u000a +p10560 +tp10561 +a(g8 +V00000a10 +p10562 +tp10563 +a(g900 +g958 +tp10564 +a(g900 +g958 +tp10565 +a(g548 +V69 +p10566 +tp10567 +a(g900 +g958 +tp10568 +a(g548 +V74 +p10569 +tp10570 +a(g900 +g958 +tp10571 +a(g548 +V6f +p10572 +tp10573 +a(g900 +g958 +tp10574 +a(g548 +V6b +p10575 +tp10576 +a(g900 +g958 +tp10577 +a(g548 +V20 +p10578 +tp10579 +a(g900 +g958 +tp10580 +a(g548 +V29 +p10581 +tp10582 +a(g900 +g958 +tp10583 +a(g548 +V0a +p10584 +tp10585 +a(g900 +g958 +tp10586 +a(g548 +V20 +p10587 +tp10588 +a(g900 +g958 +tp10589 +a(g900 +g958 +tp10590 +a(g548 +V20 +p10591 +tp10592 +a(g900 +g958 +tp10593 +a(g548 +V20 +p10594 +tp10595 +a(g900 +g958 +tp10596 +a(g548 +V20 +p10597 +tp10598 +a(g900 +g958 +tp10599 +a(g548 +V69 +p10600 +tp10601 +a(g900 +g958 +tp10602 +a(g548 +V6e +p10603 +tp10604 +a(g900 +g958 +tp10605 +a(g548 +V20 +p10606 +tp10607 +a(g900 +g958 +tp10608 +a(g548 +V7b +p10609 +tp10610 +a(g900 +g958 +tp10611 +a(g548 +V0a +p10612 +tp10613 +a(g900 +V +p10614 +tp10615 +a(g685 +g1011 +tp10616 +a(g341 +Vitok ). in {. +p10617 +tp10618 +a(g685 +g1011 +tp10619 +a(g900 +V\u000a +p10620 +tp10621 +a(g8 +V00000a20 +p10622 +tp10623 +a(g900 +g958 +tp10624 +a(g900 +g958 +tp10625 +a(g548 +V20 +p10626 +tp10627 +a(g900 +g958 +tp10628 +a(g548 +V20 +p10629 +tp10630 +a(g900 +g958 +tp10631 +a(g548 +V20 +p10632 +tp10633 +a(g900 +g958 +tp10634 +a(g548 +V20 +p10635 +tp10636 +a(g900 +g958 +tp10637 +a(g548 +V20 +p10638 +tp10639 +a(g900 +g958 +tp10640 +a(g548 +V20 +p10641 +tp10642 +a(g900 +g958 +tp10643 +a(g548 +V20 +p10644 +tp10645 +a(g900 +g958 +tp10646 +a(g548 +V20 +p10647 +tp10648 +a(g900 +g958 +tp10649 +a(g900 +g958 +tp10650 +a(g548 +V61 +p10651 +tp10652 +a(g900 +g958 +tp10653 +a(g548 +V73 +p10654 +tp10655 +a(g900 +g958 +tp10656 +a(g548 +V73 +p10657 +tp10658 +a(g900 +g958 +tp10659 +a(g548 +V65 +p10660 +tp10661 +a(g900 +g958 +tp10662 +a(g548 +V72 +p10663 +tp10664 +a(g900 +g958 +tp10665 +a(g548 +V74 +p10666 +tp10667 +a(g900 +g958 +tp10668 +a(g548 +V28 +p10669 +tp10670 +a(g900 +g958 +tp10671 +a(g548 +V6e +p10672 +tp10673 +a(g900 +V +p10674 +tp10675 +a(g685 +g1011 +tp10676 +a(g341 +V assert(n +p10677 +tp10678 +a(g685 +g1011 +tp10679 +a(g900 +V\u000a +p10680 +tp10681 +a(g8 +V00000a30 +p10682 +tp10683 +a(g900 +g958 +tp10684 +a(g900 +g958 +tp10685 +a(g548 +V75 +p10686 +tp10687 +a(g900 +g958 +tp10688 +a(g548 +V6d +p10689 +tp10690 +a(g900 +g958 +tp10691 +a(g548 +V62 +p10692 +tp10693 +a(g900 +g958 +tp10694 +a(g548 +V65 +p10695 +tp10696 +a(g900 +g958 +tp10697 +a(g548 +V72 +p10698 +tp10699 +a(g900 +g958 +tp10700 +a(g548 +V73 +p10701 +tp10702 +a(g900 +g958 +tp10703 +a(g548 +V2e +p10704 +tp10705 +a(g900 +g958 +tp10706 +a(g548 +V6c +p10707 +tp10708 +a(g900 +g958 +tp10709 +a(g900 +g958 +tp10710 +a(g548 +V65 +p10711 +tp10712 +a(g900 +g958 +tp10713 +a(g548 +V6e +p10714 +tp10715 +a(g900 +g958 +tp10716 +a(g548 +V67 +p10717 +tp10718 +a(g900 +g958 +tp10719 +a(g548 +V74 +p10720 +tp10721 +a(g900 +g958 +tp10722 +a(g548 +V68 +p10723 +tp10724 +a(g900 +g958 +tp10725 +a(g548 +V20 +p10726 +tp10727 +a(g900 +g958 +tp10728 +a(g548 +V3e +p10729 +tp10730 +a(g900 +g958 +tp10731 +a(g548 +V20 +p10732 +tp10733 +a(g900 +V +p10734 +tp10735 +a(g685 +g1011 +tp10736 +a(g341 +Vumbers.length > +p10737 +tp10738 +a(g685 +g1011 +tp10739 +a(g900 +V\u000a +p10740 +tp10741 +a(g8 +V00000a40 +p10742 +tp10743 +a(g900 +g958 +tp10744 +a(g900 +g958 +tp10745 +a(g548 +V20 +p10746 +tp10747 +a(g900 +g958 +tp10748 +a(g548 +V30 +p10749 +tp10750 +a(g900 +g958 +tp10751 +a(g548 +V29 +p10752 +tp10753 +a(g900 +g958 +tp10754 +a(g548 +V3b +p10755 +tp10756 +a(g900 +g958 +tp10757 +a(g548 +V20 +p10758 +tp10759 +a(g900 +g958 +tp10760 +a(g548 +V20 +p10761 +tp10762 +a(g900 +g958 +tp10763 +a(g548 +V20 +p10764 +tp10765 +a(g900 +g958 +tp10766 +a(g548 +V20 +p10767 +tp10768 +a(g900 +g958 +tp10769 +a(g900 +g958 +tp10770 +a(g548 +V0a +p10771 +tp10772 +a(g900 +g958 +tp10773 +a(g548 +V20 +p10774 +tp10775 +a(g900 +g958 +tp10776 +a(g548 +V20 +p10777 +tp10778 +a(g900 +g958 +tp10779 +a(g548 +V20 +p10780 +tp10781 +a(g900 +g958 +tp10782 +a(g548 +V20 +p10783 +tp10784 +a(g900 +g958 +tp10785 +a(g548 +V7d +p10786 +tp10787 +a(g900 +g958 +tp10788 +a(g548 +V20 +p10789 +tp10790 +a(g900 +g958 +tp10791 +a(g548 +V20 +p10792 +tp10793 +a(g900 +V +p10794 +tp10795 +a(g685 +g1011 +tp10796 +a(g341 +V 0); . } +p10797 +tp10798 +a(g685 +g1011 +tp10799 +a(g900 +V\u000a +p10800 +tp10801 +a(g8 +V00000a50 +p10802 +tp10803 +a(g900 +g958 +tp10804 +a(g900 +g958 +tp10805 +a(g548 +V20 +p10806 +tp10807 +a(g900 +g958 +tp10808 +a(g548 +V20 +p10809 +tp10810 +a(g900 +g958 +tp10811 +a(g548 +V0a +p10812 +tp10813 +a(g900 +g958 +tp10814 +a(g548 +V20 +p10815 +tp10816 +a(g900 +g958 +tp10817 +a(g548 +V20 +p10818 +tp10819 +a(g900 +g958 +tp10820 +a(g548 +V20 +p10821 +tp10822 +a(g900 +g958 +tp10823 +a(g548 +V20 +p10824 +tp10825 +a(g900 +g958 +tp10826 +a(g548 +V6f +p10827 +tp10828 +a(g900 +g958 +tp10829 +a(g900 +g958 +tp10830 +a(g548 +V75 +p10831 +tp10832 +a(g900 +g958 +tp10833 +a(g548 +V74 +p10834 +tp10835 +a(g900 +g958 +tp10836 +a(g548 +V28 +p10837 +tp10838 +a(g900 +g958 +tp10839 +a(g548 +V72 +p10840 +tp10841 +a(g900 +g958 +tp10842 +a(g548 +V65 +p10843 +tp10844 +a(g900 +g958 +tp10845 +a(g548 +V73 +p10846 +tp10847 +a(g900 +g958 +tp10848 +a(g548 +V75 +p10849 +tp10850 +a(g900 +g958 +tp10851 +a(g548 +V6c +p10852 +tp10853 +a(g900 +V +p10854 +tp10855 +a(g685 +g1011 +tp10856 +a(g341 +V . out(resul +p10857 +tp10858 +a(g685 +g1011 +tp10859 +a(g900 +V\u000a +p10860 +tp10861 +a(g8 +V00000a60 +p10862 +tp10863 +a(g900 +g958 +tp10864 +a(g900 +g958 +tp10865 +a(g548 +V74 +p10866 +tp10867 +a(g900 +g958 +tp10868 +a(g548 +V29 +p10869 +tp10870 +a(g900 +g958 +tp10871 +a(g548 +V20 +p10872 +tp10873 +a(g900 +g958 +tp10874 +a(g548 +V7b +p10875 +tp10876 +a(g900 +g958 +tp10877 +a(g548 +V0a +p10878 +tp10879 +a(g900 +g958 +tp10880 +a(g548 +V20 +p10881 +tp10882 +a(g900 +g958 +tp10883 +a(g548 +V20 +p10884 +tp10885 +a(g900 +g958 +tp10886 +a(g548 +V20 +p10887 +tp10888 +a(g900 +g958 +tp10889 +a(g900 +g958 +tp10890 +a(g548 +V20 +p10891 +tp10892 +a(g900 +g958 +tp10893 +a(g548 +V20 +p10894 +tp10895 +a(g900 +g958 +tp10896 +a(g548 +V20 +p10897 +tp10898 +a(g900 +g958 +tp10899 +a(g548 +V20 +p10900 +tp10901 +a(g900 +g958 +tp10902 +a(g548 +V20 +p10903 +tp10904 +a(g900 +g958 +tp10905 +a(g548 +V66 +p10906 +tp10907 +a(g900 +g958 +tp10908 +a(g548 +V6f +p10909 +tp10910 +a(g900 +g958 +tp10911 +a(g548 +V72 +p10912 +tp10913 +a(g900 +V +p10914 +tp10915 +a(g685 +g1011 +tp10916 +a(g341 +Vt) {. for +p10917 +tp10918 +a(g685 +g1011 +tp10919 +a(g900 +V\u000a +p10920 +tp10921 +a(g8 +V00000a70 +p10922 +tp10923 +a(g900 +g958 +tp10924 +a(g900 +g958 +tp10925 +a(g548 +V65 +p10926 +tp10927 +a(g900 +g958 +tp10928 +a(g548 +V61 +p10929 +tp10930 +a(g900 +g958 +tp10931 +a(g548 +V63 +p10932 +tp10933 +a(g900 +g958 +tp10934 +a(g548 +V68 +p10935 +tp10936 +a(g900 +g958 +tp10937 +a(g548 +V20 +p10938 +tp10939 +a(g900 +g958 +tp10940 +a(g548 +V28 +p10941 +tp10942 +a(g900 +g958 +tp10943 +a(g548 +V61 +p10944 +tp10945 +a(g900 +g958 +tp10946 +a(g548 +V3b +p10947 +tp10948 +a(g900 +g958 +tp10949 +a(g900 +g958 +tp10950 +a(g548 +V20 +p10951 +tp10952 +a(g900 +g958 +tp10953 +a(g548 +V72 +p10954 +tp10955 +a(g900 +g958 +tp10956 +a(g548 +V65 +p10957 +tp10958 +a(g900 +g958 +tp10959 +a(g548 +V73 +p10960 +tp10961 +a(g900 +g958 +tp10962 +a(g548 +V75 +p10963 +tp10964 +a(g900 +g958 +tp10965 +a(g548 +V6c +p10966 +tp10967 +a(g900 +g958 +tp10968 +a(g548 +V74 +p10969 +tp10970 +a(g900 +g958 +tp10971 +a(g548 +V29 +p10972 +tp10973 +a(g900 +V +p10974 +tp10975 +a(g685 +g1011 +tp10976 +a(g341 +Veach (a; result) +p10977 +tp10978 +a(g685 +g1011 +tp10979 +a(g900 +V\u000a +p10980 +tp10981 +a(g8 +V00000a80 +p10982 +tp10983 +a(g900 +g958 +tp10984 +a(g900 +g958 +tp10985 +a(g548 +V0a +p10986 +tp10987 +a(g900 +g958 +tp10988 +a(g548 +V20 +p10989 +tp10990 +a(g900 +g958 +tp10991 +a(g548 +V20 +p10992 +tp10993 +a(g900 +g958 +tp10994 +a(g548 +V20 +p10995 +tp10996 +a(g900 +g958 +tp10997 +a(g548 +V20 +p10998 +tp10999 +a(g900 +g958 +tp11000 +a(g548 +V20 +p11001 +tp11002 +a(g900 +g958 +tp11003 +a(g548 +V20 +p11004 +tp11005 +a(g900 +g958 +tp11006 +a(g548 +V20 +p11007 +tp11008 +a(g900 +g958 +tp11009 +a(g900 +g958 +tp11010 +a(g548 +V20 +p11011 +tp11012 +a(g900 +g958 +tp11013 +a(g548 +V20 +p11014 +tp11015 +a(g900 +g958 +tp11016 +a(g548 +V20 +p11017 +tp11018 +a(g900 +g958 +tp11019 +a(g548 +V20 +p11020 +tp11021 +a(g900 +g958 +tp11022 +a(g548 +V20 +p11023 +tp11024 +a(g900 +g958 +tp11025 +a(g548 +V61 +p11026 +tp11027 +a(g900 +g958 +tp11028 +a(g548 +V73 +p11029 +tp11030 +a(g900 +g958 +tp11031 +a(g548 +V73 +p11032 +tp11033 +a(g900 +V +p11034 +tp11035 +a(g685 +g1011 +tp11036 +a(g341 +V. ass +p11037 +tp11038 +a(g685 +g1011 +tp11039 +a(g900 +V\u000a +p11040 +tp11041 +a(g8 +V00000a90 +p11042 +tp11043 +a(g900 +g958 +tp11044 +a(g900 +g958 +tp11045 +a(g548 +V65 +p11046 +tp11047 +a(g900 +g958 +tp11048 +a(g548 +V72 +p11049 +tp11050 +a(g900 +g958 +tp11051 +a(g548 +V74 +p11052 +tp11053 +a(g900 +g958 +tp11054 +a(g548 +V28 +p11055 +tp11056 +a(g900 +g958 +tp11057 +a(g548 +V20 +p11058 +tp11059 +a(g900 +g958 +tp11060 +a(g548 +V77 +p11061 +tp11062 +a(g900 +g958 +tp11063 +a(g548 +V6f +p11064 +tp11065 +a(g900 +g958 +tp11066 +a(g548 +V72 +p11067 +tp11068 +a(g900 +g958 +tp11069 +a(g900 +g958 +tp11070 +a(g548 +V64 +p11071 +tp11072 +a(g900 +g958 +tp11073 +a(g548 +V54 +p11074 +tp11075 +a(g900 +g958 +tp11076 +a(g548 +V6f +p11077 +tp11078 +a(g900 +g958 +tp11079 +a(g548 +V4e +p11080 +tp11081 +a(g900 +g958 +tp11082 +a(g548 +V75 +p11083 +tp11084 +a(g900 +g958 +tp11085 +a(g548 +V6d +p11086 +tp11087 +a(g900 +g958 +tp11088 +a(g548 +V28 +p11089 +tp11090 +a(g900 +g958 +tp11091 +a(g548 +V61 +p11092 +tp11093 +a(g900 +V +p11094 +tp11095 +a(g685 +g1011 +tp11096 +a(g341 +Vert( wordToNum(a +p11097 +tp11098 +a(g685 +g1011 +tp11099 +a(g900 +V\u000a +p11100 +tp11101 +a(g8 +V00000aa0 +p11102 +tp11103 +a(g900 +g958 +tp11104 +a(g900 +g958 +tp11105 +a(g548 +V29 +p11106 +tp11107 +a(g900 +g958 +tp11108 +a(g548 +V20 +p11109 +tp11110 +a(g900 +g958 +tp11111 +a(g548 +V3d +p11112 +tp11113 +a(g900 +g958 +tp11114 +a(g548 +V3d +p11115 +tp11116 +a(g900 +g958 +tp11117 +a(g548 +V20 +p11118 +tp11119 +a(g900 +g958 +tp11120 +a(g548 +V6e +p11121 +tp11122 +a(g900 +g958 +tp11123 +a(g548 +V75 +p11124 +tp11125 +a(g900 +g958 +tp11126 +a(g548 +V6d +p11127 +tp11128 +a(g900 +g958 +tp11129 +a(g900 +g958 +tp11130 +a(g548 +V62 +p11131 +tp11132 +a(g900 +g958 +tp11133 +a(g548 +V65 +p11134 +tp11135 +a(g900 +g958 +tp11136 +a(g548 +V72 +p11137 +tp11138 +a(g900 +g958 +tp11139 +a(g548 +V73 +p11140 +tp11141 +a(g900 +g958 +tp11142 +a(g548 +V20 +p11143 +tp11144 +a(g900 +g958 +tp11145 +a(g548 +V29 +p11146 +tp11147 +a(g900 +g958 +tp11148 +a(g548 +V3b +p11149 +tp11150 +a(g900 +g958 +tp11151 +a(g548 +V0a +p11152 +tp11153 +a(g900 +V +p11154 +tp11155 +a(g685 +g1011 +tp11156 +a(g341 +V) == numbers );. +p11157 +tp11158 +a(g685 +g1011 +tp11159 +a(g900 +V\u000a +p11160 +tp11161 +a(g8 +V00000ab0 +p11162 +tp11163 +a(g900 +g958 +tp11164 +a(g900 +g958 +tp11165 +a(g548 +V20 +p11166 +tp11167 +a(g900 +g958 +tp11168 +a(g548 +V20 +p11169 +tp11170 +a(g900 +g958 +tp11171 +a(g548 +V20 +p11172 +tp11173 +a(g900 +g958 +tp11174 +a(g548 +V20 +p11175 +tp11176 +a(g900 +g958 +tp11177 +a(g548 +V7d +p11178 +tp11179 +a(g900 +g958 +tp11180 +a(g548 +V20 +p11181 +tp11182 +a(g900 +g958 +tp11183 +a(g548 +V20 +p11184 +tp11185 +a(g900 +g958 +tp11186 +a(g548 +V20 +p11187 +tp11188 +a(g900 +g958 +tp11189 +a(g900 +g958 +tp11190 +a(g548 +V20 +p11191 +tp11192 +a(g900 +g958 +tp11193 +a(g548 +V0a +p11194 +tp11195 +a(g900 +g958 +tp11196 +a(g548 +V20 +p11197 +tp11198 +a(g900 +g958 +tp11199 +a(g548 +V20 +p11200 +tp11201 +a(g900 +g958 +tp11202 +a(g548 +V20 +p11203 +tp11204 +a(g900 +g958 +tp11205 +a(g548 +V20 +p11206 +tp11207 +a(g900 +g958 +tp11208 +a(g548 +V62 +p11209 +tp11210 +a(g900 +g958 +tp11211 +a(g548 +V6f +p11212 +tp11213 +a(g900 +V +p11214 +tp11215 +a(g685 +g1011 +tp11216 +a(g341 +V } . bo +p11217 +tp11218 +a(g685 +g1011 +tp11219 +a(g900 +V\u000a +p11220 +tp11221 +a(g8 +V00000ac0 +p11222 +tp11223 +a(g900 +g958 +tp11224 +a(g900 +g958 +tp11225 +a(g548 +V64 +p11226 +tp11227 +a(g900 +g958 +tp11228 +a(g548 +V79 +p11229 +tp11230 +a(g900 +g958 +tp11231 +a(g548 +V20 +p11232 +tp11233 +a(g900 +g958 +tp11234 +a(g548 +V7b +p11235 +tp11236 +a(g900 +g958 +tp11237 +a(g548 +V0a +p11238 +tp11239 +a(g900 +g958 +tp11240 +a(g548 +V20 +p11241 +tp11242 +a(g900 +g958 +tp11243 +a(g548 +V20 +p11244 +tp11245 +a(g900 +g958 +tp11246 +a(g548 +V20 +p11247 +tp11248 +a(g900 +g958 +tp11249 +a(g900 +g958 +tp11250 +a(g548 +V20 +p11251 +tp11252 +a(g900 +g958 +tp11253 +a(g548 +V20 +p11254 +tp11255 +a(g900 +g958 +tp11256 +a(g548 +V20 +p11257 +tp11258 +a(g900 +g958 +tp11259 +a(g548 +V20 +p11260 +tp11261 +a(g900 +g958 +tp11262 +a(g548 +V20 +p11263 +tp11264 +a(g900 +g958 +tp11265 +a(g548 +V73 +p11266 +tp11267 +a(g900 +g958 +tp11268 +a(g548 +V74 +p11269 +tp11270 +a(g900 +g958 +tp11271 +a(g548 +V72 +p11272 +tp11273 +a(g900 +V +p11274 +tp11275 +a(g685 +g1011 +tp11276 +a(g341 +Vdy {. str +p11277 +tp11278 +a(g685 +g1011 +tp11279 +a(g900 +V\u000a +p11280 +tp11281 +a(g8 +V00000ad0 +p11282 +tp11283 +a(g900 +g958 +tp11284 +a(g900 +g958 +tp11285 +a(g548 +V69 +p11286 +tp11287 +a(g900 +g958 +tp11288 +a(g548 +V6e +p11289 +tp11290 +a(g900 +g958 +tp11291 +a(g548 +V67 +p11292 +tp11293 +a(g900 +g958 +tp11294 +a(g548 +V61 +p11295 +tp11296 +a(g900 +g958 +tp11297 +a(g548 +V72 +p11298 +tp11299 +a(g900 +g958 +tp11300 +a(g548 +V72 +p11301 +tp11302 +a(g900 +g958 +tp11303 +a(g548 +V61 +p11304 +tp11305 +a(g900 +g958 +tp11306 +a(g548 +V79 +p11307 +tp11308 +a(g900 +g958 +tp11309 +a(g900 +g958 +tp11310 +a(g548 +V20 +p11311 +tp11312 +a(g900 +g958 +tp11313 +a(g548 +V72 +p11314 +tp11315 +a(g900 +g958 +tp11316 +a(g548 +V65 +p11317 +tp11318 +a(g900 +g958 +tp11319 +a(g548 +V74 +p11320 +tp11321 +a(g900 +g958 +tp11322 +a(g548 +V3b +p11323 +tp11324 +a(g900 +g958 +tp11325 +a(g548 +V0a +p11326 +tp11327 +a(g900 +g958 +tp11328 +a(g548 +V20 +p11329 +tp11330 +a(g900 +g958 +tp11331 +a(g548 +V20 +p11332 +tp11333 +a(g900 +V +p11334 +tp11335 +a(g685 +g1011 +tp11336 +a(g341 +Vingarray ret;. +p11337 +tp11338 +a(g685 +g1011 +tp11339 +a(g900 +V\u000a +p11340 +tp11341 +a(g8 +V00000ae0 +p11342 +tp11343 +a(g900 +g958 +tp11344 +a(g900 +g958 +tp11345 +a(g548 +V20 +p11346 +tp11347 +a(g900 +g958 +tp11348 +a(g548 +V20 +p11349 +tp11350 +a(g900 +g958 +tp11351 +a(g548 +V20 +p11352 +tp11353 +a(g900 +g958 +tp11354 +a(g548 +V20 +p11355 +tp11356 +a(g900 +g958 +tp11357 +a(g548 +V20 +p11358 +tp11359 +a(g900 +g958 +tp11360 +a(g548 +V20 +p11361 +tp11362 +a(g900 +g958 +tp11363 +a(g548 +V62 +p11364 +tp11365 +a(g900 +g958 +tp11366 +a(g548 +V6f +p11367 +tp11368 +a(g900 +g958 +tp11369 +a(g900 +g958 +tp11370 +a(g548 +V6f +p11371 +tp11372 +a(g900 +g958 +tp11373 +a(g548 +V6c +p11374 +tp11375 +a(g900 +g958 +tp11376 +a(g548 +V20 +p11377 +tp11378 +a(g900 +g958 +tp11379 +a(g548 +V66 +p11380 +tp11381 +a(g900 +g958 +tp11382 +a(g548 +V6f +p11383 +tp11384 +a(g900 +g958 +tp11385 +a(g548 +V75 +p11386 +tp11387 +a(g900 +g958 +tp11388 +a(g548 +V6e +p11389 +tp11390 +a(g900 +g958 +tp11391 +a(g548 +V64 +p11392 +tp11393 +a(g900 +V +p11394 +tp11395 +a(g685 +g1011 +tp11396 +a(g341 +V bool found +p11397 +tp11398 +a(g685 +g1011 +tp11399 +a(g900 +V\u000a +p11400 +tp11401 +a(g8 +V00000af0 +p11402 +tp11403 +a(g900 +g958 +tp11404 +a(g900 +g958 +tp11405 +a(g548 +V77 +p11406 +tp11407 +a(g900 +g958 +tp11408 +a(g548 +V6f +p11409 +tp11410 +a(g900 +g958 +tp11411 +a(g548 +V72 +p11412 +tp11413 +a(g900 +g958 +tp11414 +a(g548 +V64 +p11415 +tp11416 +a(g900 +g958 +tp11417 +a(g548 +V20 +p11418 +tp11419 +a(g900 +g958 +tp11420 +a(g548 +V3d +p11421 +tp11422 +a(g900 +g958 +tp11423 +a(g548 +V20 +p11424 +tp11425 +a(g900 +g958 +tp11426 +a(g548 +V66 +p11427 +tp11428 +a(g900 +g958 +tp11429 +a(g900 +g958 +tp11430 +a(g548 +V61 +p11431 +tp11432 +a(g900 +g958 +tp11433 +a(g548 +V6c +p11434 +tp11435 +a(g900 +g958 +tp11436 +a(g548 +V73 +p11437 +tp11438 +a(g900 +g958 +tp11439 +a(g548 +V65 +p11440 +tp11441 +a(g900 +g958 +tp11442 +a(g548 +V3b +p11443 +tp11444 +a(g900 +g958 +tp11445 +a(g548 +V0a +p11446 +tp11447 +a(g900 +g958 +tp11448 +a(g548 +V20 +p11449 +tp11450 +a(g900 +g958 +tp11451 +a(g548 +V20 +p11452 +tp11453 +a(g900 +V +p11454 +tp11455 +a(g685 +g1011 +tp11456 +a(g341 +Vword = false;. +p11457 +tp11458 +a(g685 +g1011 +tp11459 +a(g900 +V\u000a +p11460 +tp11461 +a(g8 +V00000b00 +p11462 +tp11463 +a(g900 +g958 +tp11464 +a(g900 +g958 +tp11465 +a(g548 +V20 +p11466 +tp11467 +a(g900 +g958 +tp11468 +a(g548 +V20 +p11469 +tp11470 +a(g900 +g958 +tp11471 +a(g548 +V20 +p11472 +tp11473 +a(g900 +g958 +tp11474 +a(g548 +V20 +p11475 +tp11476 +a(g900 +g958 +tp11477 +a(g548 +V20 +p11478 +tp11479 +a(g900 +g958 +tp11480 +a(g548 +V20 +p11481 +tp11482 +a(g900 +g958 +tp11483 +a(g548 +V66 +p11484 +tp11485 +a(g900 +g958 +tp11486 +a(g548 +V6f +p11487 +tp11488 +a(g900 +g958 +tp11489 +a(g900 +g958 +tp11490 +a(g548 +V72 +p11491 +tp11492 +a(g900 +g958 +tp11493 +a(g548 +V20 +p11494 +tp11495 +a(g900 +g958 +tp11496 +a(g548 +V28 +p11497 +tp11498 +a(g900 +g958 +tp11499 +a(g548 +V75 +p11500 +tp11501 +a(g900 +g958 +tp11502 +a(g548 +V69 +p11503 +tp11504 +a(g900 +g958 +tp11505 +a(g548 +V6e +p11506 +tp11507 +a(g900 +g958 +tp11508 +a(g548 +V74 +p11509 +tp11510 +a(g900 +g958 +tp11511 +a(g548 +V20 +p11512 +tp11513 +a(g900 +V +p11514 +tp11515 +a(g685 +g1011 +tp11516 +a(g341 +V for (uint +p11517 +tp11518 +a(g685 +g1011 +tp11519 +a(g900 +V\u000a +p11520 +tp11521 +a(g8 +V00000b10 +p11522 +tp11523 +a(g900 +g958 +tp11524 +a(g900 +g958 +tp11525 +a(g548 +V74 +p11526 +tp11527 +a(g900 +g958 +tp11528 +a(g548 +V3d +p11529 +tp11530 +a(g900 +g958 +tp11531 +a(g548 +V31 +p11532 +tp11533 +a(g900 +g958 +tp11534 +a(g548 +V3b +p11535 +tp11536 +a(g900 +g958 +tp11537 +a(g548 +V20 +p11538 +tp11539 +a(g900 +g958 +tp11540 +a(g548 +V74 +p11541 +tp11542 +a(g900 +g958 +tp11543 +a(g548 +V3c +p11544 +tp11545 +a(g900 +g958 +tp11546 +a(g548 +V3d +p11547 +tp11548 +a(g900 +g958 +tp11549 +a(g900 +g958 +tp11550 +a(g548 +V6e +p11551 +tp11552 +a(g900 +g958 +tp11553 +a(g548 +V75 +p11554 +tp11555 +a(g900 +g958 +tp11556 +a(g548 +V6d +p11557 +tp11558 +a(g900 +g958 +tp11559 +a(g548 +V62 +p11560 +tp11561 +a(g900 +g958 +tp11562 +a(g548 +V65 +p11563 +tp11564 +a(g900 +g958 +tp11565 +a(g548 +V72 +p11566 +tp11567 +a(g900 +g958 +tp11568 +a(g548 +V73 +p11569 +tp11570 +a(g900 +g958 +tp11571 +a(g548 +V2e +p11572 +tp11573 +a(g900 +V +p11574 +tp11575 +a(g685 +g1011 +tp11576 +a(g341 +Vt=1; t<=numbers. +p11577 +tp11578 +a(g685 +g1011 +tp11579 +a(g900 +V\u000a +p11580 +tp11581 +a(g8 +V00000b20 +p11582 +tp11583 +a(g900 +g958 +tp11584 +a(g900 +g958 +tp11585 +a(g548 +V6c +p11586 +tp11587 +a(g900 +g958 +tp11588 +a(g548 +V65 +p11589 +tp11590 +a(g900 +g958 +tp11591 +a(g548 +V6e +p11592 +tp11593 +a(g900 +g958 +tp11594 +a(g548 +V67 +p11595 +tp11596 +a(g900 +g958 +tp11597 +a(g548 +V74 +p11598 +tp11599 +a(g900 +g958 +tp11600 +a(g548 +V68 +p11601 +tp11602 +a(g900 +g958 +tp11603 +a(g548 +V3b +p11604 +tp11605 +a(g900 +g958 +tp11606 +a(g548 +V20 +p11607 +tp11608 +a(g900 +g958 +tp11609 +a(g900 +g958 +tp11610 +a(g548 +V2b +p11611 +tp11612 +a(g900 +g958 +tp11613 +a(g548 +V2b +p11614 +tp11615 +a(g900 +g958 +tp11616 +a(g548 +V74 +p11617 +tp11618 +a(g900 +g958 +tp11619 +a(g548 +V29 +p11620 +tp11621 +a(g900 +g958 +tp11622 +a(g548 +V20 +p11623 +tp11624 +a(g900 +g958 +tp11625 +a(g548 +V7b +p11626 +tp11627 +a(g900 +g958 +tp11628 +a(g548 +V0a +p11629 +tp11630 +a(g900 +g958 +tp11631 +a(g548 +V20 +p11632 +tp11633 +a(g900 +V +p11634 +tp11635 +a(g685 +g1011 +tp11636 +a(g341 +Vlength; ++t) {. +p11637 +tp11638 +a(g685 +g1011 +tp11639 +a(g900 +V\u000a +p11640 +tp11641 +a(g8 +V00000b30 +p11642 +tp11643 +a(g900 +g958 +tp11644 +a(g900 +g958 +tp11645 +a(g548 +V20 +p11646 +tp11647 +a(g900 +g958 +tp11648 +a(g548 +V20 +p11649 +tp11650 +a(g900 +g958 +tp11651 +a(g548 +V20 +p11652 +tp11653 +a(g900 +g958 +tp11654 +a(g548 +V20 +p11655 +tp11656 +a(g900 +g958 +tp11657 +a(g548 +V20 +p11658 +tp11659 +a(g900 +g958 +tp11660 +a(g548 +V20 +p11661 +tp11662 +a(g900 +g958 +tp11663 +a(g548 +V20 +p11664 +tp11665 +a(g900 +g958 +tp11666 +a(g548 +V20 +p11667 +tp11668 +a(g900 +g958 +tp11669 +a(g900 +g958 +tp11670 +a(g548 +V20 +p11671 +tp11672 +a(g900 +g958 +tp11673 +a(g548 +V20 +p11674 +tp11675 +a(g900 +g958 +tp11676 +a(g548 +V20 +p11677 +tp11678 +a(g900 +g958 +tp11679 +a(g548 +V61 +p11680 +tp11681 +a(g900 +g958 +tp11682 +a(g548 +V75 +p11683 +tp11684 +a(g900 +g958 +tp11685 +a(g548 +V74 +p11686 +tp11687 +a(g900 +g958 +tp11688 +a(g548 +V6f +p11689 +tp11690 +a(g900 +g958 +tp11691 +a(g548 +V20 +p11692 +tp11693 +a(g900 +V +p11694 +tp11695 +a(g685 +g1011 +tp11696 +a(g341 +V auto +p11697 +tp11698 +a(g685 +g1011 +tp11699 +a(g900 +V\u000a +p11700 +tp11701 +a(g8 +V00000b40 +p11702 +tp11703 +a(g900 +g958 +tp11704 +a(g900 +g958 +tp11705 +a(g548 +V61 +p11706 +tp11707 +a(g900 +g958 +tp11708 +a(g548 +V6c +p11709 +tp11710 +a(g900 +g958 +tp11711 +a(g548 +V74 +p11712 +tp11713 +a(g900 +g958 +tp11714 +a(g548 +V65 +p11715 +tp11716 +a(g900 +g958 +tp11717 +a(g548 +V72 +p11718 +tp11719 +a(g900 +g958 +tp11720 +a(g548 +V6e +p11721 +tp11722 +a(g900 +g958 +tp11723 +a(g548 +V61 +p11724 +tp11725 +a(g900 +g958 +tp11726 +a(g548 +V74 +p11727 +tp11728 +a(g900 +g958 +tp11729 +a(g900 +g958 +tp11730 +a(g548 +V69 +p11731 +tp11732 +a(g900 +g958 +tp11733 +a(g548 +V76 +p11734 +tp11735 +a(g900 +g958 +tp11736 +a(g548 +V65 +p11737 +tp11738 +a(g900 +g958 +tp11739 +a(g548 +V73 +p11740 +tp11741 +a(g900 +g958 +tp11742 +a(g548 +V20 +p11743 +tp11744 +a(g900 +g958 +tp11745 +a(g548 +V3d +p11746 +tp11747 +a(g900 +g958 +tp11748 +a(g548 +V20 +p11749 +tp11750 +a(g900 +g958 +tp11751 +a(g548 +V6e +p11752 +tp11753 +a(g900 +V +p11754 +tp11755 +a(g685 +g1011 +tp11756 +a(g341 +Valternatives = n +p11757 +tp11758 +a(g685 +g1011 +tp11759 +a(g900 +V\u000a +p11760 +tp11761 +a(g8 +V00000b50 +p11762 +tp11763 +a(g900 +g958 +tp11764 +a(g900 +g958 +tp11765 +a(g548 +V75 +p11766 +tp11767 +a(g900 +g958 +tp11768 +a(g548 +V6d +p11769 +tp11770 +a(g900 +g958 +tp11771 +a(g548 +V62 +p11772 +tp11773 +a(g900 +g958 +tp11774 +a(g548 +V65 +p11775 +tp11776 +a(g900 +g958 +tp11777 +a(g548 +V72 +p11778 +tp11779 +a(g900 +g958 +tp11780 +a(g548 +V73 +p11781 +tp11782 +a(g900 +g958 +tp11783 +a(g548 +V5b +p11784 +tp11785 +a(g900 +g958 +tp11786 +a(g548 +V30 +p11787 +tp11788 +a(g900 +g958 +tp11789 +a(g900 +g958 +tp11790 +a(g548 +V2e +p11791 +tp11792 +a(g900 +g958 +tp11793 +a(g548 +V2e +p11794 +tp11795 +a(g900 +g958 +tp11796 +a(g548 +V74 +p11797 +tp11798 +a(g900 +g958 +tp11799 +a(g548 +V5d +p11800 +tp11801 +a(g900 +g958 +tp11802 +a(g548 +V20 +p11803 +tp11804 +a(g900 +g958 +tp11805 +a(g548 +V69 +p11806 +tp11807 +a(g900 +g958 +tp11808 +a(g548 +V6e +p11809 +tp11810 +a(g900 +g958 +tp11811 +a(g548 +V20 +p11812 +tp11813 +a(g900 +V +p11814 +tp11815 +a(g685 +g1011 +tp11816 +a(g341 +Vumbers[0..t] in +p11817 +tp11818 +a(g685 +g1011 +tp11819 +a(g900 +V\u000a +p11820 +tp11821 +a(g8 +V00000b60 +p11822 +tp11823 +a(g900 +g958 +tp11824 +a(g900 +g958 +tp11825 +a(g548 +V6e +p11826 +tp11827 +a(g900 +g958 +tp11828 +a(g548 +V75 +p11829 +tp11830 +a(g900 +g958 +tp11831 +a(g548 +V6d +p11832 +tp11833 +a(g900 +g958 +tp11834 +a(g548 +V32 +p11835 +tp11836 +a(g900 +g958 +tp11837 +a(g548 +V77 +p11838 +tp11839 +a(g900 +g958 +tp11840 +a(g548 +V6f +p11841 +tp11842 +a(g900 +g958 +tp11843 +a(g548 +V72 +p11844 +tp11845 +a(g900 +g958 +tp11846 +a(g548 +V64 +p11847 +tp11848 +a(g900 +g958 +tp11849 +a(g900 +g958 +tp11850 +a(g548 +V73 +p11851 +tp11852 +a(g900 +g958 +tp11853 +a(g548 +V3b +p11854 +tp11855 +a(g900 +g958 +tp11856 +a(g548 +V0a +p11857 +tp11858 +a(g900 +g958 +tp11859 +a(g548 +V20 +p11860 +tp11861 +a(g900 +g958 +tp11862 +a(g548 +V20 +p11863 +tp11864 +a(g900 +g958 +tp11865 +a(g548 +V20 +p11866 +tp11867 +a(g900 +g958 +tp11868 +a(g548 +V20 +p11869 +tp11870 +a(g900 +g958 +tp11871 +a(g548 +V20 +p11872 +tp11873 +a(g900 +V +p11874 +tp11875 +a(g685 +g1011 +tp11876 +a(g341 +Vnum2words;. +p11877 +tp11878 +a(g685 +g1011 +tp11879 +a(g900 +V\u000a +p11880 +tp11881 +a(g8 +V00000b70 +p11882 +tp11883 +a(g900 +g958 +tp11884 +a(g900 +g958 +tp11885 +a(g548 +V20 +p11886 +tp11887 +a(g900 +g958 +tp11888 +a(g548 +V20 +p11889 +tp11890 +a(g900 +g958 +tp11891 +a(g548 +V20 +p11892 +tp11893 +a(g900 +g958 +tp11894 +a(g548 +V20 +p11895 +tp11896 +a(g900 +g958 +tp11897 +a(g548 +V20 +p11898 +tp11899 +a(g900 +g958 +tp11900 +a(g548 +V20 +p11901 +tp11902 +a(g900 +g958 +tp11903 +a(g548 +V20 +p11904 +tp11905 +a(g900 +g958 +tp11906 +a(g548 +V69 +p11907 +tp11908 +a(g900 +g958 +tp11909 +a(g900 +g958 +tp11910 +a(g548 +V66 +p11911 +tp11912 +a(g900 +g958 +tp11913 +a(g548 +V20 +p11914 +tp11915 +a(g900 +g958 +tp11916 +a(g548 +V28 +p11917 +tp11918 +a(g900 +g958 +tp11919 +a(g548 +V21 +p11920 +tp11921 +a(g900 +g958 +tp11922 +a(g548 +V61 +p11923 +tp11924 +a(g900 +g958 +tp11925 +a(g548 +V6c +p11926 +tp11927 +a(g900 +g958 +tp11928 +a(g548 +V74 +p11929 +tp11930 +a(g900 +g958 +tp11931 +a(g548 +V65 +p11932 +tp11933 +a(g900 +V +p11934 +tp11935 +a(g685 +g1011 +tp11936 +a(g341 +V if (!alte +p11937 +tp11938 +a(g685 +g1011 +tp11939 +a(g900 +V\u000a +p11940 +tp11941 +a(g8 +V00000b80 +p11942 +tp11943 +a(g900 +g958 +tp11944 +a(g900 +g958 +tp11945 +a(g548 +V72 +p11946 +tp11947 +a(g900 +g958 +tp11948 +a(g548 +V6e +p11949 +tp11950 +a(g900 +g958 +tp11951 +a(g548 +V61 +p11952 +tp11953 +a(g900 +g958 +tp11954 +a(g548 +V74 +p11955 +tp11956 +a(g900 +g958 +tp11957 +a(g548 +V69 +p11958 +tp11959 +a(g900 +g958 +tp11960 +a(g548 +V76 +p11961 +tp11962 +a(g900 +g958 +tp11963 +a(g548 +V65 +p11964 +tp11965 +a(g900 +g958 +tp11966 +a(g548 +V73 +p11967 +tp11968 +a(g900 +g958 +tp11969 +a(g900 +g958 +tp11970 +a(g548 +V29 +p11971 +tp11972 +a(g900 +g958 +tp11973 +a(g548 +V0a +p11974 +tp11975 +a(g900 +g958 +tp11976 +a(g548 +V20 +p11977 +tp11978 +a(g900 +g958 +tp11979 +a(g548 +V20 +p11980 +tp11981 +a(g900 +g958 +tp11982 +a(g548 +V20 +p11983 +tp11984 +a(g900 +g958 +tp11985 +a(g548 +V20 +p11986 +tp11987 +a(g900 +g958 +tp11988 +a(g548 +V20 +p11989 +tp11990 +a(g900 +g958 +tp11991 +a(g548 +V20 +p11992 +tp11993 +a(g900 +V +p11994 +tp11995 +a(g685 +g1011 +tp11996 +a(g341 +Vrnatives). +p11997 +tp11998 +a(g685 +g1011 +tp11999 +a(g900 +V\u000a +p12000 +tp12001 +a(g8 +V00000b90 +p12002 +tp12003 +a(g900 +g958 +tp12004 +a(g900 +g958 +tp12005 +a(g548 +V20 +p12006 +tp12007 +a(g900 +g958 +tp12008 +a(g548 +V20 +p12009 +tp12010 +a(g900 +g958 +tp12011 +a(g548 +V20 +p12012 +tp12013 +a(g900 +g958 +tp12014 +a(g548 +V20 +p12015 +tp12016 +a(g900 +g958 +tp12017 +a(g548 +V20 +p12018 +tp12019 +a(g900 +g958 +tp12020 +a(g548 +V20 +p12021 +tp12022 +a(g900 +g958 +tp12023 +a(g548 +V20 +p12024 +tp12025 +a(g900 +g958 +tp12026 +a(g548 +V20 +p12027 +tp12028 +a(g900 +g958 +tp12029 +a(g900 +g958 +tp12030 +a(g548 +V20 +p12031 +tp12032 +a(g900 +g958 +tp12033 +a(g548 +V20 +p12034 +tp12035 +a(g900 +g958 +tp12036 +a(g548 +V63 +p12037 +tp12038 +a(g900 +g958 +tp12039 +a(g548 +V6f +p12040 +tp12041 +a(g900 +g958 +tp12042 +a(g548 +V6e +p12043 +tp12044 +a(g900 +g958 +tp12045 +a(g548 +V74 +p12046 +tp12047 +a(g900 +g958 +tp12048 +a(g548 +V69 +p12049 +tp12050 +a(g900 +g958 +tp12051 +a(g548 +V6e +p12052 +tp12053 +a(g900 +V +p12054 +tp12055 +a(g685 +g1011 +tp12056 +a(g341 +V contin +p12057 +tp12058 +a(g685 +g1011 +tp12059 +a(g900 +V\u000a +p12060 +tp12061 +a(g8 +V00000ba0 +p12062 +tp12063 +a(g900 +g958 +tp12064 +a(g900 +g958 +tp12065 +a(g548 +V75 +p12066 +tp12067 +a(g900 +g958 +tp12068 +a(g548 +V65 +p12069 +tp12070 +a(g900 +g958 +tp12071 +a(g548 +V3b +p12072 +tp12073 +a(g900 +g958 +tp12074 +a(g548 +V0a +p12075 +tp12076 +a(g900 +g958 +tp12077 +a(g548 +V20 +p12078 +tp12079 +a(g900 +g958 +tp12080 +a(g548 +V20 +p12081 +tp12082 +a(g900 +g958 +tp12083 +a(g548 +V20 +p12084 +tp12085 +a(g900 +g958 +tp12086 +a(g548 +V20 +p12087 +tp12088 +a(g900 +g958 +tp12089 +a(g900 +g958 +tp12090 +a(g548 +V20 +p12091 +tp12092 +a(g900 +g958 +tp12093 +a(g548 +V20 +p12094 +tp12095 +a(g900 +g958 +tp12096 +a(g548 +V20 +p12097 +tp12098 +a(g900 +g958 +tp12099 +a(g548 +V20 +p12100 +tp12101 +a(g900 +g958 +tp12102 +a(g548 +V20 +p12103 +tp12104 +a(g900 +g958 +tp12105 +a(g548 +V20 +p12106 +tp12107 +a(g900 +g958 +tp12108 +a(g548 +V20 +p12109 +tp12110 +a(g900 +g958 +tp12111 +a(g548 +V20 +p12112 +tp12113 +a(g900 +V +p12114 +tp12115 +a(g685 +g1011 +tp12116 +a(g341 +Vue;. +p12117 +tp12118 +a(g685 +g1011 +tp12119 +a(g900 +V\u000a +p12120 +tp12121 +a(g8 +V00000bb0 +p12122 +tp12123 +a(g900 +g958 +tp12124 +a(g900 +g958 +tp12125 +a(g548 +V66 +p12126 +tp12127 +a(g900 +g958 +tp12128 +a(g548 +V6f +p12129 +tp12130 +a(g900 +g958 +tp12131 +a(g548 +V75 +p12132 +tp12133 +a(g900 +g958 +tp12134 +a(g548 +V6e +p12135 +tp12136 +a(g900 +g958 +tp12137 +a(g548 +V64 +p12138 +tp12139 +a(g900 +g958 +tp12140 +a(g548 +V77 +p12141 +tp12142 +a(g900 +g958 +tp12143 +a(g548 +V6f +p12144 +tp12145 +a(g900 +g958 +tp12146 +a(g548 +V72 +p12147 +tp12148 +a(g900 +g958 +tp12149 +a(g900 +g958 +tp12150 +a(g548 +V64 +p12151 +tp12152 +a(g900 +g958 +tp12153 +a(g548 +V20 +p12154 +tp12155 +a(g900 +g958 +tp12156 +a(g548 +V3d +p12157 +tp12158 +a(g900 +g958 +tp12159 +a(g548 +V20 +p12160 +tp12161 +a(g900 +g958 +tp12162 +a(g548 +V74 +p12163 +tp12164 +a(g900 +g958 +tp12165 +a(g548 +V72 +p12166 +tp12167 +a(g900 +g958 +tp12168 +a(g548 +V75 +p12169 +tp12170 +a(g900 +g958 +tp12171 +a(g548 +V65 +p12172 +tp12173 +a(g900 +V +p12174 +tp12175 +a(g685 +g1011 +tp12176 +a(g341 +Vfoundword = true +p12177 +tp12178 +a(g685 +g1011 +tp12179 +a(g900 +V\u000a +p12180 +tp12181 +a(g8 +V00000bc0 +p12182 +tp12183 +a(g900 +g958 +tp12184 +a(g900 +g958 +tp12185 +a(g548 +V3b +p12186 +tp12187 +a(g900 +g958 +tp12188 +a(g548 +V0a +p12189 +tp12190 +a(g900 +g958 +tp12191 +a(g548 +V20 +p12192 +tp12193 +a(g900 +g958 +tp12194 +a(g548 +V20 +p12195 +tp12196 +a(g900 +g958 +tp12197 +a(g548 +V20 +p12198 +tp12199 +a(g900 +g958 +tp12200 +a(g548 +V20 +p12201 +tp12202 +a(g900 +g958 +tp12203 +a(g548 +V20 +p12204 +tp12205 +a(g900 +g958 +tp12206 +a(g548 +V20 +p12207 +tp12208 +a(g900 +g958 +tp12209 +a(g900 +g958 +tp12210 +a(g548 +V20 +p12211 +tp12212 +a(g900 +g958 +tp12213 +a(g548 +V20 +p12214 +tp12215 +a(g900 +g958 +tp12216 +a(g548 +V20 +p12217 +tp12218 +a(g900 +g958 +tp12219 +a(g548 +V20 +p12220 +tp12221 +a(g900 +g958 +tp12222 +a(g548 +V20 +p12223 +tp12224 +a(g900 +g958 +tp12225 +a(g548 +V20 +p12226 +tp12227 +a(g900 +g958 +tp12228 +a(g548 +V69 +p12229 +tp12230 +a(g900 +g958 +tp12231 +a(g548 +V66 +p12232 +tp12233 +a(g900 +V +p12234 +tp12235 +a(g685 +g1011 +tp12236 +a(g341 +V;. if +p12237 +tp12238 +a(g685 +g1011 +tp12239 +a(g900 +V\u000a +p12240 +tp12241 +a(g8 +V00000bd0 +p12242 +tp12243 +a(g900 +g958 +tp12244 +a(g900 +g958 +tp12245 +a(g548 +V20 +p12246 +tp12247 +a(g900 +g958 +tp12248 +a(g548 +V28 +p12249 +tp12250 +a(g900 +g958 +tp12251 +a(g548 +V6e +p12252 +tp12253 +a(g900 +g958 +tp12254 +a(g548 +V75 +p12255 +tp12256 +a(g900 +g958 +tp12257 +a(g548 +V6d +p12258 +tp12259 +a(g900 +g958 +tp12260 +a(g548 +V62 +p12261 +tp12262 +a(g900 +g958 +tp12263 +a(g548 +V65 +p12264 +tp12265 +a(g900 +g958 +tp12266 +a(g548 +V72 +p12267 +tp12268 +a(g900 +g958 +tp12269 +a(g900 +g958 +tp12270 +a(g548 +V73 +p12271 +tp12272 +a(g900 +g958 +tp12273 +a(g548 +V2e +p12274 +tp12275 +a(g900 +g958 +tp12276 +a(g548 +V6c +p12277 +tp12278 +a(g900 +g958 +tp12279 +a(g548 +V65 +p12280 +tp12281 +a(g900 +g958 +tp12282 +a(g548 +V6e +p12283 +tp12284 +a(g900 +g958 +tp12285 +a(g548 +V67 +p12286 +tp12287 +a(g900 +g958 +tp12288 +a(g548 +V74 +p12289 +tp12290 +a(g900 +g958 +tp12291 +a(g548 +V68 +p12292 +tp12293 +a(g900 +V +p12294 +tp12295 +a(g685 +g1011 +tp12296 +a(g341 +V (numbers.length +p12297 +tp12298 +a(g685 +g1011 +tp12299 +a(g900 +V\u000a +p12300 +tp12301 +a(g8 +V00000be0 +p12302 +tp12303 +a(g900 +g958 +tp12304 +a(g900 +g958 +tp12305 +a(g548 +V20 +p12306 +tp12307 +a(g900 +g958 +tp12308 +a(g548 +V3e +p12309 +tp12310 +a(g900 +g958 +tp12311 +a(g548 +V20 +p12312 +tp12313 +a(g900 +g958 +tp12314 +a(g548 +V20 +p12315 +tp12316 +a(g900 +g958 +tp12317 +a(g548 +V74 +p12318 +tp12319 +a(g900 +g958 +tp12320 +a(g548 +V29 +p12321 +tp12322 +a(g900 +g958 +tp12323 +a(g548 +V20 +p12324 +tp12325 +a(g900 +g958 +tp12326 +a(g548 +V7b +p12327 +tp12328 +a(g900 +g958 +tp12329 +a(g900 +g958 +tp12330 +a(g548 +V0a +p12331 +tp12332 +a(g900 +g958 +tp12333 +a(g548 +V20 +p12334 +tp12335 +a(g900 +g958 +tp12336 +a(g548 +V20 +p12337 +tp12338 +a(g900 +g958 +tp12339 +a(g548 +V20 +p12340 +tp12341 +a(g900 +g958 +tp12342 +a(g548 +V20 +p12343 +tp12344 +a(g900 +g958 +tp12345 +a(g548 +V20 +p12346 +tp12347 +a(g900 +g958 +tp12348 +a(g548 +V20 +p12349 +tp12350 +a(g900 +g958 +tp12351 +a(g548 +V20 +p12352 +tp12353 +a(g900 +V +p12354 +tp12355 +a(g685 +g1011 +tp12356 +a(g341 +V > t) {. +p12357 +tp12358 +a(g685 +g1011 +tp12359 +a(g900 +V\u000a +p12360 +tp12361 +a(g8 +V00000bf0 +p12362 +tp12363 +a(g900 +g958 +tp12364 +a(g900 +g958 +tp12365 +a(g548 +V20 +p12366 +tp12367 +a(g900 +g958 +tp12368 +a(g548 +V20 +p12369 +tp12370 +a(g900 +g958 +tp12371 +a(g548 +V20 +p12372 +tp12373 +a(g900 +g958 +tp12374 +a(g548 +V20 +p12375 +tp12376 +a(g900 +g958 +tp12377 +a(g548 +V20 +p12378 +tp12379 +a(g900 +g958 +tp12380 +a(g548 +V20 +p12381 +tp12382 +a(g900 +g958 +tp12383 +a(g548 +V20 +p12384 +tp12385 +a(g900 +g958 +tp12386 +a(g548 +V20 +p12387 +tp12388 +a(g900 +g958 +tp12389 +a(g900 +g958 +tp12390 +a(g548 +V20 +p12391 +tp12392 +a(g900 +g958 +tp12393 +a(g548 +V2f +p12394 +tp12395 +a(g900 +g958 +tp12396 +a(g548 +V2f +p12397 +tp12398 +a(g900 +g958 +tp12399 +a(g548 +V20 +p12400 +tp12401 +a(g900 +g958 +tp12402 +a(g548 +V43 +p12403 +tp12404 +a(g900 +g958 +tp12405 +a(g548 +V6f +p12406 +tp12407 +a(g900 +g958 +tp12408 +a(g548 +V6d +p12409 +tp12410 +a(g900 +g958 +tp12411 +a(g548 +V62 +p12412 +tp12413 +a(g900 +V +p12414 +tp12415 +a(g685 +g1011 +tp12416 +a(g341 +V // Comb +p12417 +tp12418 +a(g685 +g1011 +tp12419 +a(g900 +V\u000a +p12420 +tp12421 +a(g8 +V00000c00 +p12422 +tp12423 +a(g900 +g958 +tp12424 +a(g900 +g958 +tp12425 +a(g548 +V69 +p12426 +tp12427 +a(g900 +g958 +tp12428 +a(g548 +V6e +p12429 +tp12430 +a(g900 +g958 +tp12431 +a(g548 +V65 +p12432 +tp12433 +a(g900 +g958 +tp12434 +a(g548 +V20 +p12435 +tp12436 +a(g900 +g958 +tp12437 +a(g548 +V61 +p12438 +tp12439 +a(g900 +g958 +tp12440 +a(g548 +V6c +p12441 +tp12442 +a(g900 +g958 +tp12443 +a(g548 +V6c +p12444 +tp12445 +a(g900 +g958 +tp12446 +a(g548 +V20 +p12447 +tp12448 +a(g900 +g958 +tp12449 +a(g900 +g958 +tp12450 +a(g548 +V63 +p12451 +tp12452 +a(g900 +g958 +tp12453 +a(g548 +V75 +p12454 +tp12455 +a(g900 +g958 +tp12456 +a(g548 +V72 +p12457 +tp12458 +a(g900 +g958 +tp12459 +a(g548 +V72 +p12460 +tp12461 +a(g900 +g958 +tp12462 +a(g548 +V65 +p12463 +tp12464 +a(g900 +g958 +tp12465 +a(g548 +V6e +p12466 +tp12467 +a(g900 +g958 +tp12468 +a(g548 +V74 +p12469 +tp12470 +a(g900 +g958 +tp12471 +a(g548 +V20 +p12472 +tp12473 +a(g900 +V +p12474 +tp12475 +a(g685 +g1011 +tp12476 +a(g341 +Vine all current +p12477 +tp12478 +a(g685 +g1011 +tp12479 +a(g900 +V\u000a +p12480 +tp12481 +a(g8 +V00000c10 +p12482 +tp12483 +a(g900 +g958 +tp12484 +a(g900 +g958 +tp12485 +a(g548 +V61 +p12486 +tp12487 +a(g900 +g958 +tp12488 +a(g548 +V6c +p12489 +tp12490 +a(g900 +g958 +tp12491 +a(g548 +V74 +p12492 +tp12493 +a(g900 +g958 +tp12494 +a(g548 +V65 +p12495 +tp12496 +a(g900 +g958 +tp12497 +a(g548 +V72 +p12498 +tp12499 +a(g900 +g958 +tp12500 +a(g548 +V6e +p12501 +tp12502 +a(g900 +g958 +tp12503 +a(g548 +V61 +p12504 +tp12505 +a(g900 +g958 +tp12506 +a(g548 +V74 +p12507 +tp12508 +a(g900 +g958 +tp12509 +a(g900 +g958 +tp12510 +a(g548 +V69 +p12511 +tp12512 +a(g900 +g958 +tp12513 +a(g548 +V76 +p12514 +tp12515 +a(g900 +g958 +tp12516 +a(g548 +V65 +p12517 +tp12518 +a(g900 +g958 +tp12519 +a(g548 +V73 +p12520 +tp12521 +a(g900 +g958 +tp12522 +a(g548 +V20 +p12523 +tp12524 +a(g900 +g958 +tp12525 +a(g548 +V77 +p12526 +tp12527 +a(g900 +g958 +tp12528 +a(g548 +V69 +p12529 +tp12530 +a(g900 +g958 +tp12531 +a(g548 +V74 +p12532 +tp12533 +a(g900 +V +p12534 +tp12535 +a(g685 +g1011 +tp12536 +a(g341 +Valternatives wit +p12537 +tp12538 +a(g685 +g1011 +tp12539 +a(g900 +V\u000a +p12540 +tp12541 +a(g8 +V00000c20 +p12542 +tp12543 +a(g900 +g958 +tp12544 +a(g900 +g958 +tp12545 +a(g548 +V68 +p12546 +tp12547 +a(g900 +g958 +tp12548 +a(g548 +V20 +p12549 +tp12550 +a(g900 +g958 +tp12551 +a(g548 +V61 +p12552 +tp12553 +a(g900 +g958 +tp12554 +a(g548 +V6c +p12555 +tp12556 +a(g900 +g958 +tp12557 +a(g548 +V6c +p12558 +tp12559 +a(g900 +g958 +tp12560 +a(g548 +V20 +p12561 +tp12562 +a(g900 +g958 +tp12563 +a(g548 +V61 +p12564 +tp12565 +a(g900 +g958 +tp12566 +a(g548 +V6c +p12567 +tp12568 +a(g900 +g958 +tp12569 +a(g900 +g958 +tp12570 +a(g548 +V74 +p12571 +tp12572 +a(g900 +g958 +tp12573 +a(g548 +V65 +p12574 +tp12575 +a(g900 +g958 +tp12576 +a(g548 +V72 +p12577 +tp12578 +a(g900 +g958 +tp12579 +a(g548 +V6e +p12580 +tp12581 +a(g900 +g958 +tp12582 +a(g548 +V61 +p12583 +tp12584 +a(g900 +g958 +tp12585 +a(g548 +V74 +p12586 +tp12587 +a(g900 +g958 +tp12588 +a(g548 +V69 +p12589 +tp12590 +a(g900 +g958 +tp12591 +a(g548 +V76 +p12592 +tp12593 +a(g900 +V +p12594 +tp12595 +a(g685 +g1011 +tp12596 +a(g341 +Vh all alternativ +p12597 +tp12598 +a(g685 +g1011 +tp12599 +a(g900 +V\u000a +p12600 +tp12601 +a(g8 +V00000c30 +p12602 +tp12603 +a(g900 +g958 +tp12604 +a(g900 +g958 +tp12605 +a(g548 +V65 +p12606 +tp12607 +a(g900 +g958 +tp12608 +a(g548 +V73 +p12609 +tp12610 +a(g900 +g958 +tp12611 +a(g548 +V20 +p12612 +tp12613 +a(g900 +g958 +tp12614 +a(g548 +V20 +p12615 +tp12616 +a(g900 +g958 +tp12617 +a(g548 +V20 +p12618 +tp12619 +a(g900 +g958 +tp12620 +a(g548 +V20 +p12621 +tp12622 +a(g900 +g958 +tp12623 +a(g548 +V20 +p12624 +tp12625 +a(g900 +g958 +tp12626 +a(g548 +V0a +p12627 +tp12628 +a(g900 +g958 +tp12629 +a(g900 +g958 +tp12630 +a(g548 +V20 +p12631 +tp12632 +a(g900 +g958 +tp12633 +a(g548 +V20 +p12634 +tp12635 +a(g900 +g958 +tp12636 +a(g548 +V20 +p12637 +tp12638 +a(g900 +g958 +tp12639 +a(g548 +V20 +p12640 +tp12641 +a(g900 +g958 +tp12642 +a(g548 +V20 +p12643 +tp12644 +a(g900 +g958 +tp12645 +a(g548 +V20 +p12646 +tp12647 +a(g900 +g958 +tp12648 +a(g548 +V20 +p12649 +tp12650 +a(g900 +g958 +tp12651 +a(g548 +V20 +p12652 +tp12653 +a(g900 +V +p12654 +tp12655 +a(g685 +g1011 +tp12656 +a(g341 +Ves . +p12657 +tp12658 +a(g685 +g1011 +tp12659 +a(g900 +V\u000a +p12660 +tp12661 +a(g8 +V00000c40 +p12662 +tp12663 +a(g900 +g958 +tp12664 +a(g900 +g958 +tp12665 +a(g548 +V20 +p12666 +tp12667 +a(g900 +g958 +tp12668 +a(g548 +V20 +p12669 +tp12670 +a(g900 +g958 +tp12671 +a(g548 +V20 +p12672 +tp12673 +a(g900 +g958 +tp12674 +a(g548 +V20 +p12675 +tp12676 +a(g900 +g958 +tp12677 +a(g548 +V20 +p12678 +tp12679 +a(g900 +g958 +tp12680 +a(g548 +V20 +p12681 +tp12682 +a(g900 +g958 +tp12683 +a(g548 +V20 +p12684 +tp12685 +a(g900 +g958 +tp12686 +a(g548 +V20 +p12687 +tp12688 +a(g900 +g958 +tp12689 +a(g900 +g958 +tp12690 +a(g548 +V2f +p12691 +tp12692 +a(g900 +g958 +tp12693 +a(g548 +V2f +p12694 +tp12695 +a(g900 +g958 +tp12696 +a(g548 +V20 +p12697 +tp12698 +a(g900 +g958 +tp12699 +a(g548 +V6f +p12700 +tp12701 +a(g900 +g958 +tp12702 +a(g548 +V66 +p12703 +tp12704 +a(g900 +g958 +tp12705 +a(g548 +V20 +p12706 +tp12707 +a(g900 +g958 +tp12708 +a(g548 +V74 +p12709 +tp12710 +a(g900 +g958 +tp12711 +a(g548 +V68 +p12712 +tp12713 +a(g900 +V +p12714 +tp12715 +a(g685 +g1011 +tp12716 +a(g341 +V // of th +p12717 +tp12718 +a(g685 +g1011 +tp12719 +a(g900 +V\u000a +p12720 +tp12721 +a(g8 +V00000c50 +p12722 +tp12723 +a(g900 +g958 +tp12724 +a(g900 +g958 +tp12725 +a(g548 +V65 +p12726 +tp12727 +a(g900 +g958 +tp12728 +a(g548 +V20 +p12729 +tp12730 +a(g900 +g958 +tp12731 +a(g548 +V72 +p12732 +tp12733 +a(g900 +g958 +tp12734 +a(g548 +V65 +p12735 +tp12736 +a(g900 +g958 +tp12737 +a(g548 +V73 +p12738 +tp12739 +a(g900 +g958 +tp12740 +a(g548 +V74 +p12741 +tp12742 +a(g900 +g958 +tp12743 +a(g548 +V20 +p12744 +tp12745 +a(g900 +g958 +tp12746 +a(g548 +V28 +p12747 +tp12748 +a(g900 +g958 +tp12749 +a(g900 +g958 +tp12750 +a(g548 +V6e +p12751 +tp12752 +a(g900 +g958 +tp12753 +a(g548 +V65 +p12754 +tp12755 +a(g900 +g958 +tp12756 +a(g548 +V78 +p12757 +tp12758 +a(g900 +g958 +tp12759 +a(g548 +V74 +p12760 +tp12761 +a(g900 +g958 +tp12762 +a(g548 +V20 +p12763 +tp12764 +a(g900 +g958 +tp12765 +a(g548 +V70 +p12766 +tp12767 +a(g900 +g958 +tp12768 +a(g548 +V69 +p12769 +tp12770 +a(g900 +g958 +tp12771 +a(g548 +V65 +p12772 +tp12773 +a(g900 +V +p12774 +tp12775 +a(g685 +g1011 +tp12776 +a(g341 +Ve rest (next pie +p12777 +tp12778 +a(g685 +g1011 +tp12779 +a(g900 +V\u000a +p12780 +tp12781 +a(g8 +V00000c60 +p12782 +tp12783 +a(g900 +g958 +tp12784 +a(g900 +g958 +tp12785 +a(g548 +V63 +p12786 +tp12787 +a(g900 +g958 +tp12788 +a(g548 +V65 +p12789 +tp12790 +a(g900 +g958 +tp12791 +a(g548 +V20 +p12792 +tp12793 +a(g900 +g958 +tp12794 +a(g548 +V63 +p12795 +tp12796 +a(g900 +g958 +tp12797 +a(g548 +V61 +p12798 +tp12799 +a(g900 +g958 +tp12800 +a(g548 +V6e +p12801 +tp12802 +a(g900 +g958 +tp12803 +a(g548 +V20 +p12804 +tp12805 +a(g900 +g958 +tp12806 +a(g548 +V73 +p12807 +tp12808 +a(g900 +g958 +tp12809 +a(g900 +g958 +tp12810 +a(g548 +V74 +p12811 +tp12812 +a(g900 +g958 +tp12813 +a(g548 +V61 +p12814 +tp12815 +a(g900 +g958 +tp12816 +a(g548 +V72 +p12817 +tp12818 +a(g900 +g958 +tp12819 +a(g548 +V74 +p12820 +tp12821 +a(g900 +g958 +tp12822 +a(g548 +V20 +p12823 +tp12824 +a(g900 +g958 +tp12825 +a(g548 +V77 +p12826 +tp12827 +a(g900 +g958 +tp12828 +a(g548 +V69 +p12829 +tp12830 +a(g900 +g958 +tp12831 +a(g548 +V74 +p12832 +tp12833 +a(g900 +V +p12834 +tp12835 +a(g685 +g1011 +tp12836 +a(g341 +Vce can start wit +p12837 +tp12838 +a(g685 +g1011 +tp12839 +a(g900 +V\u000a +p12840 +tp12841 +a(g8 +V00000c70 +p12842 +tp12843 +a(g900 +g958 +tp12844 +a(g900 +g958 +tp12845 +a(g548 +V68 +p12846 +tp12847 +a(g900 +g958 +tp12848 +a(g548 +V20 +p12849 +tp12850 +a(g900 +g958 +tp12851 +a(g548 +V61 +p12852 +tp12853 +a(g900 +g958 +tp12854 +a(g548 +V20 +p12855 +tp12856 +a(g900 +g958 +tp12857 +a(g548 +V64 +p12858 +tp12859 +a(g900 +g958 +tp12860 +a(g548 +V69 +p12861 +tp12862 +a(g900 +g958 +tp12863 +a(g548 +V67 +p12864 +tp12865 +a(g900 +g958 +tp12866 +a(g548 +V69 +p12867 +tp12868 +a(g900 +g958 +tp12869 +a(g900 +g958 +tp12870 +a(g548 +V74 +p12871 +tp12872 +a(g900 +g958 +tp12873 +a(g548 +V29 +p12874 +tp12875 +a(g900 +g958 +tp12876 +a(g548 +V20 +p12877 +tp12878 +a(g900 +g958 +tp12879 +a(g548 +V20 +p12880 +tp12881 +a(g900 +g958 +tp12882 +a(g548 +V20 +p12883 +tp12884 +a(g900 +g958 +tp12885 +a(g548 +V20 +p12886 +tp12887 +a(g900 +g958 +tp12888 +a(g548 +V20 +p12889 +tp12890 +a(g900 +g958 +tp12891 +a(g548 +V20 +p12892 +tp12893 +a(g900 +V +p12894 +tp12895 +a(g685 +g1011 +tp12896 +a(g341 +Vh a digit) +p12897 +tp12898 +a(g685 +g1011 +tp12899 +a(g900 +V\u000a +p12900 +tp12901 +a(g8 +V00000c80 +p12902 +tp12903 +a(g900 +g958 +tp12904 +a(g900 +g958 +tp12905 +a(g548 +V20 +p12906 +tp12907 +a(g900 +g958 +tp12908 +a(g548 +V20 +p12909 +tp12910 +a(g900 +g958 +tp12911 +a(g548 +V20 +p12912 +tp12913 +a(g900 +g958 +tp12914 +a(g548 +V20 +p12915 +tp12916 +a(g900 +g958 +tp12917 +a(g548 +V20 +p12918 +tp12919 +a(g900 +g958 +tp12920 +a(g548 +V20 +p12921 +tp12922 +a(g900 +g958 +tp12923 +a(g548 +V20 +p12924 +tp12925 +a(g900 +g958 +tp12926 +a(g548 +V20 +p12927 +tp12928 +a(g900 +g958 +tp12929 +a(g900 +g958 +tp12930 +a(g548 +V0a +p12931 +tp12932 +a(g900 +g958 +tp12933 +a(g548 +V20 +p12934 +tp12935 +a(g900 +g958 +tp12936 +a(g548 +V20 +p12937 +tp12938 +a(g900 +g958 +tp12939 +a(g548 +V20 +p12940 +tp12941 +a(g900 +g958 +tp12942 +a(g548 +V20 +p12943 +tp12944 +a(g900 +g958 +tp12945 +a(g548 +V20 +p12946 +tp12947 +a(g900 +g958 +tp12948 +a(g548 +V20 +p12949 +tp12950 +a(g900 +g958 +tp12951 +a(g548 +V20 +p12952 +tp12953 +a(g900 +V +p12954 +tp12955 +a(g685 +g1011 +tp12956 +a(g341 +V . +p12957 +tp12958 +a(g685 +g1011 +tp12959 +a(g900 +V\u000a +p12960 +tp12961 +a(g8 +V00000c90 +p12962 +tp12963 +a(g900 +g958 +tp12964 +a(g900 +g958 +tp12965 +a(g548 +V20 +p12966 +tp12967 +a(g900 +g958 +tp12968 +a(g548 +V20 +p12969 +tp12970 +a(g900 +g958 +tp12971 +a(g548 +V20 +p12972 +tp12973 +a(g900 +g958 +tp12974 +a(g548 +V20 +p12975 +tp12976 +a(g900 +g958 +tp12977 +a(g548 +V20 +p12978 +tp12979 +a(g900 +g958 +tp12980 +a(g548 +V20 +p12981 +tp12982 +a(g900 +g958 +tp12983 +a(g548 +V20 +p12984 +tp12985 +a(g900 +g958 +tp12986 +a(g548 +V20 +p12987 +tp12988 +a(g900 +g958 +tp12989 +a(g900 +g958 +tp12990 +a(g548 +V20 +p12991 +tp12992 +a(g900 +g958 +tp12993 +a(g548 +V66 +p12994 +tp12995 +a(g900 +g958 +tp12996 +a(g548 +V6f +p12997 +tp12998 +a(g900 +g958 +tp12999 +a(g548 +V72 +p13000 +tp13001 +a(g900 +g958 +tp13002 +a(g548 +V65 +p13003 +tp13004 +a(g900 +g958 +tp13005 +a(g548 +V61 +p13006 +tp13007 +a(g900 +g958 +tp13008 +a(g548 +V63 +p13009 +tp13010 +a(g900 +g958 +tp13011 +a(g548 +V68 +p13012 +tp13013 +a(g900 +V +p13014 +tp13015 +a(g685 +g1011 +tp13016 +a(g341 +V foreach +p13017 +tp13018 +a(g685 +g1011 +tp13019 +a(g900 +V\u000a +p13020 +tp13021 +a(g8 +V00000ca0 +p13022 +tp13023 +a(g900 +g958 +tp13024 +a(g900 +g958 +tp13025 +a(g548 +V20 +p13026 +tp13027 +a(g900 +g958 +tp13028 +a(g548 +V28 +p13029 +tp13030 +a(g900 +g958 +tp13031 +a(g548 +V61 +p13032 +tp13033 +a(g900 +g958 +tp13034 +a(g548 +V32 +p13035 +tp13036 +a(g900 +g958 +tp13037 +a(g548 +V3b +p13038 +tp13039 +a(g900 +g958 +tp13040 +a(g548 +V20 +p13041 +tp13042 +a(g900 +g958 +tp13043 +a(g548 +V5f +p13044 +tp13045 +a(g900 +g958 +tp13046 +a(g548 +V46 +p13047 +tp13048 +a(g900 +g958 +tp13049 +a(g900 +g958 +tp13050 +a(g548 +V69 +p13051 +tp13052 +a(g900 +g958 +tp13053 +a(g548 +V6e +p13054 +tp13055 +a(g900 +g958 +tp13056 +a(g548 +V64 +p13057 +tp13058 +a(g900 +g958 +tp13059 +a(g548 +V57 +p13060 +tp13061 +a(g900 +g958 +tp13062 +a(g548 +V6f +p13063 +tp13064 +a(g900 +g958 +tp13065 +a(g548 +V72 +p13066 +tp13067 +a(g900 +g958 +tp13068 +a(g548 +V64 +p13069 +tp13070 +a(g900 +g958 +tp13071 +a(g548 +V73 +p13072 +tp13073 +a(g900 +V +p13074 +tp13075 +a(g685 +g1011 +tp13076 +a(g341 +V (a2; _FindWords +p13077 +tp13078 +a(g685 +g1011 +tp13079 +a(g900 +V\u000a +p13080 +tp13081 +a(g8 +V00000cb0 +p13082 +tp13083 +a(g900 +g958 +tp13084 +a(g900 +g958 +tp13085 +a(g548 +V28 +p13086 +tp13087 +a(g900 +g958 +tp13088 +a(g548 +V20 +p13089 +tp13090 +a(g900 +g958 +tp13091 +a(g548 +V6e +p13092 +tp13093 +a(g900 +g958 +tp13094 +a(g548 +V75 +p13095 +tp13096 +a(g900 +g958 +tp13097 +a(g548 +V6d +p13098 +tp13099 +a(g900 +g958 +tp13100 +a(g548 +V62 +p13101 +tp13102 +a(g900 +g958 +tp13103 +a(g548 +V65 +p13104 +tp13105 +a(g900 +g958 +tp13106 +a(g548 +V72 +p13107 +tp13108 +a(g900 +g958 +tp13109 +a(g900 +g958 +tp13110 +a(g548 +V73 +p13111 +tp13112 +a(g900 +g958 +tp13113 +a(g548 +V5b +p13114 +tp13115 +a(g900 +g958 +tp13116 +a(g548 +V74 +p13117 +tp13118 +a(g900 +g958 +tp13119 +a(g548 +V2e +p13120 +tp13121 +a(g900 +g958 +tp13122 +a(g548 +V2e +p13123 +tp13124 +a(g900 +g958 +tp13125 +a(g548 +V24 +p13126 +tp13127 +a(g900 +g958 +tp13128 +a(g548 +V5d +p13129 +tp13130 +a(g900 +g958 +tp13131 +a(g548 +V2c +p13132 +tp13133 +a(g900 +V +p13134 +tp13135 +a(g685 +g1011 +tp13136 +a(g341 +V( numbers[t..$], +p13137 +tp13138 +a(g685 +g1011 +tp13139 +a(g900 +V\u000a +p13140 +tp13141 +a(g8 +V00000cc0 +p13142 +tp13143 +a(g900 +g958 +tp13144 +a(g900 +g958 +tp13145 +a(g548 +V20 +p13146 +tp13147 +a(g900 +g958 +tp13148 +a(g548 +V74 +p13149 +tp13150 +a(g900 +g958 +tp13151 +a(g548 +V72 +p13152 +tp13153 +a(g900 +g958 +tp13154 +a(g548 +V75 +p13155 +tp13156 +a(g900 +g958 +tp13157 +a(g548 +V65 +p13158 +tp13159 +a(g900 +g958 +tp13160 +a(g548 +V20 +p13161 +tp13162 +a(g900 +g958 +tp13163 +a(g548 +V20 +p13164 +tp13165 +a(g900 +g958 +tp13166 +a(g548 +V20 +p13167 +tp13168 +a(g900 +g958 +tp13169 +a(g900 +g958 +tp13170 +a(g548 +V20 +p13171 +tp13172 +a(g900 +g958 +tp13173 +a(g548 +V20 +p13174 +tp13175 +a(g900 +g958 +tp13176 +a(g548 +V29 +p13177 +tp13178 +a(g900 +g958 +tp13179 +a(g548 +V20 +p13180 +tp13181 +a(g900 +g958 +tp13182 +a(g548 +V29 +p13183 +tp13184 +a(g900 +g958 +tp13185 +a(g548 +V0a +p13186 +tp13187 +a(g900 +g958 +tp13188 +a(g548 +V20 +p13189 +tp13190 +a(g900 +g958 +tp13191 +a(g548 +V20 +p13192 +tp13193 +a(g900 +V +p13194 +tp13195 +a(g685 +g1011 +tp13196 +a(g341 +V true ) ). +p13197 +tp13198 +a(g685 +g1011 +tp13199 +a(g900 +V\u000a +p13200 +tp13201 +a(g8 +V00000cd0 +p13202 +tp13203 +a(g900 +g958 +tp13204 +a(g900 +g958 +tp13205 +a(g548 +V20 +p13206 +tp13207 +a(g900 +g958 +tp13208 +a(g548 +V20 +p13209 +tp13210 +a(g900 +g958 +tp13211 +a(g548 +V20 +p13212 +tp13213 +a(g900 +g958 +tp13214 +a(g548 +V20 +p13215 +tp13216 +a(g900 +g958 +tp13217 +a(g548 +V20 +p13218 +tp13219 +a(g900 +g958 +tp13220 +a(g548 +V20 +p13221 +tp13222 +a(g900 +g958 +tp13223 +a(g548 +V20 +p13224 +tp13225 +a(g900 +g958 +tp13226 +a(g548 +V20 +p13227 +tp13228 +a(g900 +g958 +tp13229 +a(g900 +g958 +tp13230 +a(g548 +V20 +p13231 +tp13232 +a(g900 +g958 +tp13233 +a(g548 +V20 +p13234 +tp13235 +a(g900 +g958 +tp13236 +a(g548 +V20 +p13237 +tp13238 +a(g900 +g958 +tp13239 +a(g548 +V20 +p13240 +tp13241 +a(g900 +g958 +tp13242 +a(g548 +V20 +p13243 +tp13244 +a(g900 +g958 +tp13245 +a(g548 +V20 +p13246 +tp13247 +a(g900 +g958 +tp13248 +a(g548 +V20 +p13249 +tp13250 +a(g900 +g958 +tp13251 +a(g548 +V20 +p13252 +tp13253 +a(g900 +V +p13254 +tp13255 +a(g685 +g1011 +tp13256 +a(g341 +V +p13257 +tp13258 +a(g685 +g1011 +tp13259 +a(g900 +V\u000a +p13260 +tp13261 +a(g8 +V00000ce0 +p13262 +tp13263 +a(g900 +g958 +tp13264 +a(g900 +g958 +tp13265 +a(g548 +V20 +p13266 +tp13267 +a(g900 +g958 +tp13268 +a(g548 +V20 +p13269 +tp13270 +a(g900 +g958 +tp13271 +a(g548 +V66 +p13272 +tp13273 +a(g900 +g958 +tp13274 +a(g548 +V6f +p13275 +tp13276 +a(g900 +g958 +tp13277 +a(g548 +V72 +p13278 +tp13279 +a(g900 +g958 +tp13280 +a(g548 +V65 +p13281 +tp13282 +a(g900 +g958 +tp13283 +a(g548 +V61 +p13284 +tp13285 +a(g900 +g958 +tp13286 +a(g548 +V63 +p13287 +tp13288 +a(g900 +g958 +tp13289 +a(g900 +g958 +tp13290 +a(g548 +V68 +p13291 +tp13292 +a(g900 +g958 +tp13293 +a(g548 +V28 +p13294 +tp13295 +a(g900 +g958 +tp13296 +a(g548 +V61 +p13297 +tp13298 +a(g900 +g958 +tp13299 +a(g548 +V31 +p13300 +tp13301 +a(g900 +g958 +tp13302 +a(g548 +V3b +p13303 +tp13304 +a(g900 +g958 +tp13305 +a(g548 +V20 +p13306 +tp13307 +a(g900 +g958 +tp13308 +a(g548 +V2a +p13309 +tp13310 +a(g900 +g958 +tp13311 +a(g548 +V61 +p13312 +tp13313 +a(g900 +V +p13314 +tp13315 +a(g685 +g1011 +tp13316 +a(g341 +V foreach(a1; *a +p13317 +tp13318 +a(g685 +g1011 +tp13319 +a(g900 +V\u000a +p13320 +tp13321 +a(g8 +V00000cf0 +p13322 +tp13323 +a(g900 +g958 +tp13324 +a(g900 +g958 +tp13325 +a(g548 +V6c +p13326 +tp13327 +a(g900 +g958 +tp13328 +a(g548 +V74 +p13329 +tp13330 +a(g900 +g958 +tp13331 +a(g548 +V65 +p13332 +tp13333 +a(g900 +g958 +tp13334 +a(g548 +V72 +p13335 +tp13336 +a(g900 +g958 +tp13337 +a(g548 +V6e +p13338 +tp13339 +a(g900 +g958 +tp13340 +a(g548 +V61 +p13341 +tp13342 +a(g900 +g958 +tp13343 +a(g548 +V74 +p13344 +tp13345 +a(g900 +g958 +tp13346 +a(g548 +V69 +p13347 +tp13348 +a(g900 +g958 +tp13349 +a(g900 +g958 +tp13350 +a(g548 +V76 +p13351 +tp13352 +a(g900 +g958 +tp13353 +a(g548 +V65 +p13354 +tp13355 +a(g900 +g958 +tp13356 +a(g548 +V73 +p13357 +tp13358 +a(g900 +g958 +tp13359 +a(g548 +V29 +p13360 +tp13361 +a(g900 +g958 +tp13362 +a(g548 +V0a +p13363 +tp13364 +a(g900 +g958 +tp13365 +a(g548 +V20 +p13366 +tp13367 +a(g900 +g958 +tp13368 +a(g548 +V20 +p13369 +tp13370 +a(g900 +g958 +tp13371 +a(g548 +V20 +p13372 +tp13373 +a(g900 +V +p13374 +tp13375 +a(g685 +g1011 +tp13376 +a(g341 +Vlternatives). +p13377 +tp13378 +a(g685 +g1011 +tp13379 +a(g900 +V\u000a +p13380 +tp13381 +a(g8 +V00000d00 +p13382 +tp13383 +a(g900 +g958 +tp13384 +a(g900 +g958 +tp13385 +a(g548 +V20 +p13386 +tp13387 +a(g900 +g958 +tp13388 +a(g548 +V20 +p13389 +tp13390 +a(g900 +g958 +tp13391 +a(g548 +V20 +p13392 +tp13393 +a(g900 +g958 +tp13394 +a(g548 +V20 +p13395 +tp13396 +a(g900 +g958 +tp13397 +a(g548 +V20 +p13398 +tp13399 +a(g900 +g958 +tp13400 +a(g548 +V20 +p13401 +tp13402 +a(g900 +g958 +tp13403 +a(g548 +V20 +p13404 +tp13405 +a(g900 +g958 +tp13406 +a(g548 +V20 +p13407 +tp13408 +a(g900 +g958 +tp13409 +a(g900 +g958 +tp13410 +a(g548 +V20 +p13411 +tp13412 +a(g900 +g958 +tp13413 +a(g548 +V20 +p13414 +tp13415 +a(g900 +g958 +tp13416 +a(g548 +V20 +p13417 +tp13418 +a(g900 +g958 +tp13419 +a(g548 +V20 +p13420 +tp13421 +a(g900 +g958 +tp13422 +a(g548 +V20 +p13423 +tp13424 +a(g900 +g958 +tp13425 +a(g548 +V20 +p13426 +tp13427 +a(g900 +g958 +tp13428 +a(g548 +V20 +p13429 +tp13430 +a(g900 +g958 +tp13431 +a(g548 +V20 +p13432 +tp13433 +a(g900 +V +p13434 +tp13435 +a(g685 +g1011 +tp13436 +a(g341 +V +p13437 +tp13438 +a(g685 +g1011 +tp13439 +a(g900 +V\u000a +p13440 +tp13441 +a(g8 +V00000d10 +p13442 +tp13443 +a(g900 +g958 +tp13444 +a(g900 +g958 +tp13445 +a(g548 +V20 +p13446 +tp13447 +a(g900 +g958 +tp13448 +a(g548 +V20 +p13449 +tp13450 +a(g900 +g958 +tp13451 +a(g548 +V20 +p13452 +tp13453 +a(g900 +g958 +tp13454 +a(g548 +V20 +p13455 +tp13456 +a(g900 +g958 +tp13457 +a(g548 +V72 +p13458 +tp13459 +a(g900 +g958 +tp13460 +a(g548 +V65 +p13461 +tp13462 +a(g900 +g958 +tp13463 +a(g548 +V74 +p13464 +tp13465 +a(g900 +g958 +tp13466 +a(g548 +V20 +p13467 +tp13468 +a(g900 +g958 +tp13469 +a(g900 +g958 +tp13470 +a(g548 +V7e +p13471 +tp13472 +a(g900 +g958 +tp13473 +a(g548 +V3d +p13474 +tp13475 +a(g900 +g958 +tp13476 +a(g548 +V20 +p13477 +tp13478 +a(g900 +g958 +tp13479 +a(g548 +V61 +p13480 +tp13481 +a(g900 +g958 +tp13482 +a(g548 +V31 +p13483 +tp13484 +a(g900 +g958 +tp13485 +a(g548 +V20 +p13486 +tp13487 +a(g900 +g958 +tp13488 +a(g548 +V7e +p13489 +tp13490 +a(g900 +g958 +tp13491 +a(g548 +V20 +p13492 +tp13493 +a(g900 +V +p13494 +tp13495 +a(g685 +g1011 +tp13496 +a(g341 +V ret ~= a1 ~ +p13497 +tp13498 +a(g685 +g1011 +tp13499 +a(g900 +V\u000a +p13500 +tp13501 +a(g8 +V00000d20 +p13502 +tp13503 +a(g900 +g958 +tp13504 +a(g900 +g958 +tp13505 +a(g548 +V22 +p13506 +tp13507 +a(g900 +g958 +tp13508 +a(g548 +V20 +p13509 +tp13510 +a(g900 +g958 +tp13511 +a(g548 +V22 +p13512 +tp13513 +a(g900 +g958 +tp13514 +a(g548 +V20 +p13515 +tp13516 +a(g900 +g958 +tp13517 +a(g548 +V7e +p13518 +tp13519 +a(g900 +g958 +tp13520 +a(g548 +V20 +p13521 +tp13522 +a(g900 +g958 +tp13523 +a(g548 +V61 +p13524 +tp13525 +a(g900 +g958 +tp13526 +a(g548 +V32 +p13527 +tp13528 +a(g900 +g958 +tp13529 +a(g900 +g958 +tp13530 +a(g548 +V3b +p13531 +tp13532 +a(g900 +g958 +tp13533 +a(g548 +V0a +p13534 +tp13535 +a(g900 +g958 +tp13536 +a(g548 +V20 +p13537 +tp13538 +a(g900 +g958 +tp13539 +a(g548 +V20 +p13540 +tp13541 +a(g900 +g958 +tp13542 +a(g548 +V20 +p13543 +tp13544 +a(g900 +g958 +tp13545 +a(g548 +V20 +p13546 +tp13547 +a(g900 +g958 +tp13548 +a(g548 +V20 +p13549 +tp13550 +a(g900 +g958 +tp13551 +a(g548 +V20 +p13552 +tp13553 +a(g900 +V +p13554 +tp13555 +a(g685 +g1011 +tp13556 +a(g341 +V" " ~ a2;. +p13557 +tp13558 +a(g685 +g1011 +tp13559 +a(g900 +V\u000a +p13560 +tp13561 +a(g8 +V00000d30 +p13562 +tp13563 +a(g900 +g958 +tp13564 +a(g900 +g958 +tp13565 +a(g548 +V20 +p13566 +tp13567 +a(g900 +g958 +tp13568 +a(g548 +V20 +p13569 +tp13570 +a(g900 +g958 +tp13571 +a(g548 +V20 +p13572 +tp13573 +a(g900 +g958 +tp13574 +a(g548 +V20 +p13575 +tp13576 +a(g900 +g958 +tp13577 +a(g548 +V20 +p13578 +tp13579 +a(g900 +g958 +tp13580 +a(g548 +V20 +p13581 +tp13582 +a(g900 +g958 +tp13583 +a(g548 +V7d +p13584 +tp13585 +a(g900 +g958 +tp13586 +a(g548 +V0a +p13587 +tp13588 +a(g900 +g958 +tp13589 +a(g900 +g958 +tp13590 +a(g548 +V20 +p13591 +tp13592 +a(g900 +g958 +tp13593 +a(g548 +V20 +p13594 +tp13595 +a(g900 +g958 +tp13596 +a(g548 +V20 +p13597 +tp13598 +a(g900 +g958 +tp13599 +a(g548 +V20 +p13600 +tp13601 +a(g900 +g958 +tp13602 +a(g548 +V20 +p13603 +tp13604 +a(g900 +g958 +tp13605 +a(g548 +V20 +p13606 +tp13607 +a(g900 +g958 +tp13608 +a(g548 +V20 +p13609 +tp13610 +a(g900 +g958 +tp13611 +a(g548 +V20 +p13612 +tp13613 +a(g900 +V +p13614 +tp13615 +a(g685 +g1011 +tp13616 +a(g341 +V }. +p13617 +tp13618 +a(g685 +g1011 +tp13619 +a(g900 +V\u000a +p13620 +tp13621 +a(g8 +V00000d40 +p13622 +tp13623 +a(g900 +g958 +tp13624 +a(g900 +g958 +tp13625 +a(g548 +V20 +p13626 +tp13627 +a(g900 +g958 +tp13628 +a(g548 +V20 +p13629 +tp13630 +a(g900 +g958 +tp13631 +a(g548 +V20 +p13632 +tp13633 +a(g900 +g958 +tp13634 +a(g548 +V20 +p13635 +tp13636 +a(g900 +g958 +tp13637 +a(g548 +V65 +p13638 +tp13639 +a(g900 +g958 +tp13640 +a(g548 +V6c +p13641 +tp13642 +a(g900 +g958 +tp13643 +a(g548 +V73 +p13644 +tp13645 +a(g900 +g958 +tp13646 +a(g548 +V65 +p13647 +tp13648 +a(g900 +g958 +tp13649 +a(g900 +g958 +tp13650 +a(g548 +V20 +p13651 +tp13652 +a(g900 +g958 +tp13653 +a(g548 +V20 +p13654 +tp13655 +a(g900 +g958 +tp13656 +a(g548 +V20 +p13657 +tp13658 +a(g900 +g958 +tp13659 +a(g548 +V20 +p13660 +tp13661 +a(g900 +g958 +tp13662 +a(g548 +V0a +p13663 +tp13664 +a(g900 +g958 +tp13665 +a(g548 +V20 +p13666 +tp13667 +a(g900 +g958 +tp13668 +a(g548 +V20 +p13669 +tp13670 +a(g900 +g958 +tp13671 +a(g548 +V20 +p13672 +tp13673 +a(g900 +V +p13674 +tp13675 +a(g685 +g1011 +tp13676 +a(g341 +V else . +p13677 +tp13678 +a(g685 +g1011 +tp13679 +a(g900 +V\u000a +p13680 +tp13681 +a(g8 +V00000d50 +p13682 +tp13683 +a(g900 +g958 +tp13684 +a(g900 +g958 +tp13685 +a(g548 +V20 +p13686 +tp13687 +a(g900 +g958 +tp13688 +a(g548 +V20 +p13689 +tp13690 +a(g900 +g958 +tp13691 +a(g548 +V20 +p13692 +tp13693 +a(g900 +g958 +tp13694 +a(g548 +V20 +p13695 +tp13696 +a(g900 +g958 +tp13697 +a(g548 +V20 +p13698 +tp13699 +a(g900 +g958 +tp13700 +a(g548 +V20 +p13701 +tp13702 +a(g900 +g958 +tp13703 +a(g548 +V20 +p13704 +tp13705 +a(g900 +g958 +tp13706 +a(g548 +V20 +p13707 +tp13708 +a(g900 +g958 +tp13709 +a(g900 +g958 +tp13710 +a(g548 +V20 +p13711 +tp13712 +a(g900 +g958 +tp13713 +a(g548 +V20 +p13714 +tp13715 +a(g900 +g958 +tp13716 +a(g548 +V20 +p13717 +tp13718 +a(g900 +g958 +tp13719 +a(g548 +V20 +p13720 +tp13721 +a(g900 +g958 +tp13722 +a(g548 +V20 +p13723 +tp13724 +a(g900 +g958 +tp13725 +a(g548 +V72 +p13726 +tp13727 +a(g900 +g958 +tp13728 +a(g548 +V65 +p13729 +tp13730 +a(g900 +g958 +tp13731 +a(g548 +V74 +p13732 +tp13733 +a(g900 +V +p13734 +tp13735 +a(g685 +g1011 +tp13736 +a(g341 +V ret +p13737 +tp13738 +a(g685 +g1011 +tp13739 +a(g900 +V\u000a +p13740 +tp13741 +a(g8 +V00000d60 +p13742 +tp13743 +a(g900 +g958 +tp13744 +a(g900 +g958 +tp13745 +a(g548 +V20 +p13746 +tp13747 +a(g900 +g958 +tp13748 +a(g548 +V7e +p13749 +tp13750 +a(g900 +g958 +tp13751 +a(g548 +V3d +p13752 +tp13753 +a(g900 +g958 +tp13754 +a(g548 +V20 +p13755 +tp13756 +a(g900 +g958 +tp13757 +a(g548 +V2a +p13758 +tp13759 +a(g900 +g958 +tp13760 +a(g548 +V61 +p13761 +tp13762 +a(g900 +g958 +tp13763 +a(g548 +V6c +p13764 +tp13765 +a(g900 +g958 +tp13766 +a(g548 +V74 +p13767 +tp13768 +a(g900 +g958 +tp13769 +a(g900 +g958 +tp13770 +a(g548 +V65 +p13771 +tp13772 +a(g900 +g958 +tp13773 +a(g548 +V72 +p13774 +tp13775 +a(g900 +g958 +tp13776 +a(g548 +V6e +p13777 +tp13778 +a(g900 +g958 +tp13779 +a(g548 +V61 +p13780 +tp13781 +a(g900 +g958 +tp13782 +a(g548 +V74 +p13783 +tp13784 +a(g900 +g958 +tp13785 +a(g548 +V69 +p13786 +tp13787 +a(g900 +g958 +tp13788 +a(g548 +V76 +p13789 +tp13790 +a(g900 +g958 +tp13791 +a(g548 +V65 +p13792 +tp13793 +a(g900 +V +p13794 +tp13795 +a(g685 +g1011 +tp13796 +a(g341 +V ~= *alternative +p13797 +tp13798 +a(g685 +g1011 +tp13799 +a(g900 +V\u000a +p13800 +tp13801 +a(g8 +V00000d70 +p13802 +tp13803 +a(g900 +g958 +tp13804 +a(g900 +g958 +tp13805 +a(g548 +V73 +p13806 +tp13807 +a(g900 +g958 +tp13808 +a(g548 +V3b +p13809 +tp13810 +a(g900 +g958 +tp13811 +a(g548 +V20 +p13812 +tp13813 +a(g900 +g958 +tp13814 +a(g548 +V20 +p13815 +tp13816 +a(g900 +g958 +tp13817 +a(g548 +V20 +p13818 +tp13819 +a(g900 +g958 +tp13820 +a(g548 +V20 +p13821 +tp13822 +a(g900 +g958 +tp13823 +a(g548 +V2f +p13824 +tp13825 +a(g900 +g958 +tp13826 +a(g548 +V2f +p13827 +tp13828 +a(g900 +g958 +tp13829 +a(g900 +g958 +tp13830 +a(g548 +V20 +p13831 +tp13832 +a(g900 +g958 +tp13833 +a(g548 +V61 +p13834 +tp13835 +a(g900 +g958 +tp13836 +a(g548 +V70 +p13837 +tp13838 +a(g900 +g958 +tp13839 +a(g548 +V70 +p13840 +tp13841 +a(g900 +g958 +tp13842 +a(g548 +V65 +p13843 +tp13844 +a(g900 +g958 +tp13845 +a(g548 +V6e +p13846 +tp13847 +a(g900 +g958 +tp13848 +a(g548 +V64 +p13849 +tp13850 +a(g900 +g958 +tp13851 +a(g548 +V20 +p13852 +tp13853 +a(g900 +V +p13854 +tp13855 +a(g685 +g1011 +tp13856 +a(g341 +Vs; // append +p13857 +tp13858 +a(g685 +g1011 +tp13859 +a(g900 +V\u000a +p13860 +tp13861 +a(g8 +V00000d80 +p13862 +tp13863 +a(g900 +g958 +tp13864 +a(g900 +g958 +tp13865 +a(g548 +V74 +p13866 +tp13867 +a(g900 +g958 +tp13868 +a(g548 +V68 +p13869 +tp13870 +a(g900 +g958 +tp13871 +a(g548 +V65 +p13872 +tp13873 +a(g900 +g958 +tp13874 +a(g548 +V73 +p13875 +tp13876 +a(g900 +g958 +tp13877 +a(g548 +V65 +p13878 +tp13879 +a(g900 +g958 +tp13880 +a(g548 +V20 +p13881 +tp13882 +a(g900 +g958 +tp13883 +a(g548 +V61 +p13884 +tp13885 +a(g900 +g958 +tp13886 +a(g548 +V6c +p13887 +tp13888 +a(g900 +g958 +tp13889 +a(g900 +g958 +tp13890 +a(g548 +V74 +p13891 +tp13892 +a(g900 +g958 +tp13893 +a(g548 +V65 +p13894 +tp13895 +a(g900 +g958 +tp13896 +a(g548 +V72 +p13897 +tp13898 +a(g900 +g958 +tp13899 +a(g548 +V6e +p13900 +tp13901 +a(g900 +g958 +tp13902 +a(g548 +V61 +p13903 +tp13904 +a(g900 +g958 +tp13905 +a(g548 +V74 +p13906 +tp13907 +a(g900 +g958 +tp13908 +a(g548 +V69 +p13909 +tp13910 +a(g900 +g958 +tp13911 +a(g548 +V76 +p13912 +tp13913 +a(g900 +V +p13914 +tp13915 +a(g685 +g1011 +tp13916 +a(g341 +Vthese alternativ +p13917 +tp13918 +a(g685 +g1011 +tp13919 +a(g900 +V\u000a +p13920 +tp13921 +a(g8 +V00000d90 +p13922 +tp13923 +a(g900 +g958 +tp13924 +a(g900 +g958 +tp13925 +a(g548 +V65 +p13926 +tp13927 +a(g900 +g958 +tp13928 +a(g548 +V73 +p13929 +tp13930 +a(g900 +g958 +tp13931 +a(g548 +V0a +p13932 +tp13933 +a(g900 +g958 +tp13934 +a(g548 +V20 +p13935 +tp13936 +a(g900 +g958 +tp13937 +a(g548 +V20 +p13938 +tp13939 +a(g900 +g958 +tp13940 +a(g548 +V20 +p13941 +tp13942 +a(g900 +g958 +tp13943 +a(g548 +V20 +p13944 +tp13945 +a(g900 +g958 +tp13946 +a(g548 +V20 +p13947 +tp13948 +a(g900 +g958 +tp13949 +a(g900 +g958 +tp13950 +a(g548 +V20 +p13951 +tp13952 +a(g900 +g958 +tp13953 +a(g548 +V20 +p13954 +tp13955 +a(g900 +g958 +tp13956 +a(g548 +V20 +p13957 +tp13958 +a(g900 +g958 +tp13959 +a(g548 +V7d +p13960 +tp13961 +a(g900 +g958 +tp13962 +a(g548 +V0a +p13963 +tp13964 +a(g900 +g958 +tp13965 +a(g548 +V20 +p13966 +tp13967 +a(g900 +g958 +tp13968 +a(g548 +V20 +p13969 +tp13970 +a(g900 +g958 +tp13971 +a(g548 +V20 +p13972 +tp13973 +a(g900 +V +p13974 +tp13975 +a(g685 +g1011 +tp13976 +a(g341 +Ves. }. +p13977 +tp13978 +a(g685 +g1011 +tp13979 +a(g900 +V\u000a +p13980 +tp13981 +a(g8 +V00000da0 +p13982 +tp13983 +a(g900 +g958 +tp13984 +a(g900 +g958 +tp13985 +a(g548 +V20 +p13986 +tp13987 +a(g900 +g958 +tp13988 +a(g548 +V20 +p13989 +tp13990 +a(g900 +g958 +tp13991 +a(g548 +V20 +p13992 +tp13993 +a(g900 +g958 +tp13994 +a(g548 +V20 +p13995 +tp13996 +a(g900 +g958 +tp13997 +a(g548 +V20 +p13998 +tp13999 +a(g900 +g958 +tp14000 +a(g548 +V2f +p14001 +tp14002 +a(g900 +g958 +tp14003 +a(g548 +V2f +p14004 +tp14005 +a(g900 +g958 +tp14006 +a(g548 +V20 +p14007 +tp14008 +a(g900 +g958 +tp14009 +a(g900 +g958 +tp14010 +a(g548 +V54 +p14011 +tp14012 +a(g900 +g958 +tp14013 +a(g548 +V72 +p14014 +tp14015 +a(g900 +g958 +tp14016 +a(g548 +V79 +p14017 +tp14018 +a(g900 +g958 +tp14019 +a(g548 +V20 +p14020 +tp14021 +a(g900 +g958 +tp14022 +a(g548 +V74 +p14023 +tp14024 +a(g900 +g958 +tp14025 +a(g548 +V6f +p14026 +tp14027 +a(g900 +g958 +tp14028 +a(g548 +V20 +p14029 +tp14030 +a(g900 +g958 +tp14031 +a(g548 +V6b +p14032 +tp14033 +a(g900 +V +p14034 +tp14035 +a(g685 +g1011 +tp14036 +a(g341 +V // Try to k +p14037 +tp14038 +a(g685 +g1011 +tp14039 +a(g900 +V\u000a +p14040 +tp14041 +a(g8 +V00000db0 +p14042 +tp14043 +a(g900 +g958 +tp14044 +a(g900 +g958 +tp14045 +a(g548 +V65 +p14046 +tp14047 +a(g900 +g958 +tp14048 +a(g548 +V65 +p14049 +tp14050 +a(g900 +g958 +tp14051 +a(g548 +V70 +p14052 +tp14053 +a(g900 +g958 +tp14054 +a(g548 +V20 +p14055 +tp14056 +a(g900 +g958 +tp14057 +a(g548 +V31 +p14058 +tp14059 +a(g900 +g958 +tp14060 +a(g548 +V20 +p14061 +tp14062 +a(g900 +g958 +tp14063 +a(g548 +V64 +p14064 +tp14065 +a(g900 +g958 +tp14066 +a(g548 +V69 +p14067 +tp14068 +a(g900 +g958 +tp14069 +a(g900 +g958 +tp14070 +a(g548 +V67 +p14071 +tp14072 +a(g900 +g958 +tp14073 +a(g548 +V69 +p14074 +tp14075 +a(g900 +g958 +tp14076 +a(g548 +V74 +p14077 +tp14078 +a(g900 +g958 +tp14079 +a(g548 +V2c +p14080 +tp14081 +a(g900 +g958 +tp14082 +a(g548 +V20 +p14083 +tp14084 +a(g900 +g958 +tp14085 +a(g548 +V6f +p14086 +tp14087 +a(g900 +g958 +tp14088 +a(g548 +V6e +p14089 +tp14090 +a(g900 +g958 +tp14091 +a(g548 +V6c +p14092 +tp14093 +a(g900 +V +p14094 +tp14095 +a(g685 +g1011 +tp14096 +a(g341 +Veep 1 digit, onl +p14097 +tp14098 +a(g685 +g1011 +tp14099 +a(g900 +V\u000a +p14100 +tp14101 +a(g8 +V00000dc0 +p14102 +tp14103 +a(g900 +g958 +tp14104 +a(g900 +g958 +tp14105 +a(g548 +V79 +p14106 +tp14107 +a(g900 +g958 +tp14108 +a(g548 +V20 +p14109 +tp14110 +a(g900 +g958 +tp14111 +a(g548 +V69 +p14112 +tp14113 +a(g900 +g958 +tp14114 +a(g548 +V66 +p14115 +tp14116 +a(g900 +g958 +tp14117 +a(g548 +V20 +p14118 +tp14119 +a(g900 +g958 +tp14120 +a(g548 +V77 +p14121 +tp14122 +a(g900 +g958 +tp14123 +a(g548 +V65 +p14124 +tp14125 +a(g900 +g958 +tp14126 +a(g548 +V27 +p14127 +tp14128 +a(g900 +g958 +tp14129 +a(g900 +g958 +tp14130 +a(g548 +V72 +p14131 +tp14132 +a(g900 +g958 +tp14133 +a(g548 +V65 +p14134 +tp14135 +a(g900 +g958 +tp14136 +a(g548 +V20 +p14137 +tp14138 +a(g900 +g958 +tp14139 +a(g548 +V61 +p14140 +tp14141 +a(g900 +g958 +tp14142 +a(g548 +V6c +p14143 +tp14144 +a(g900 +g958 +tp14145 +a(g548 +V6c +p14146 +tp14147 +a(g900 +g958 +tp14148 +a(g548 +V6f +p14149 +tp14150 +a(g900 +g958 +tp14151 +a(g548 +V77 +p14152 +tp14153 +a(g900 +V +p14154 +tp14155 +a(g685 +g1011 +tp14156 +a(g341 +Vy if we're allow +p14157 +tp14158 +a(g685 +g1011 +tp14159 +a(g900 +V\u000a +p14160 +tp14161 +a(g8 +V00000dd0 +p14162 +tp14163 +a(g900 +g958 +tp14164 +a(g900 +g958 +tp14165 +a(g548 +V65 +p14166 +tp14167 +a(g900 +g958 +tp14168 +a(g548 +V64 +p14169 +tp14170 +a(g900 +g958 +tp14171 +a(g548 +V20 +p14172 +tp14173 +a(g900 +g958 +tp14174 +a(g548 +V61 +p14175 +tp14176 +a(g900 +g958 +tp14177 +a(g548 +V6e +p14178 +tp14179 +a(g900 +g958 +tp14180 +a(g548 +V64 +p14181 +tp14182 +a(g900 +g958 +tp14183 +a(g548 +V20 +p14184 +tp14185 +a(g900 +g958 +tp14186 +a(g548 +V6e +p14187 +tp14188 +a(g900 +g958 +tp14189 +a(g900 +g958 +tp14190 +a(g548 +V6f +p14191 +tp14192 +a(g900 +g958 +tp14193 +a(g548 +V20 +p14194 +tp14195 +a(g900 +g958 +tp14196 +a(g548 +V6f +p14197 +tp14198 +a(g900 +g958 +tp14199 +a(g548 +V74 +p14200 +tp14201 +a(g900 +g958 +tp14202 +a(g548 +V68 +p14203 +tp14204 +a(g900 +g958 +tp14205 +a(g548 +V65 +p14206 +tp14207 +a(g900 +g958 +tp14208 +a(g548 +V72 +p14209 +tp14210 +a(g900 +g958 +tp14211 +a(g548 +V0a +p14212 +tp14213 +a(g900 +V +p14214 +tp14215 +a(g685 +g1011 +tp14216 +a(g341 +Ved and no other. +p14217 +tp14218 +a(g685 +g1011 +tp14219 +a(g900 +V\u000a +p14220 +tp14221 +a(g8 +V00000de0 +p14222 +tp14223 +a(g900 +g958 +tp14224 +a(g900 +g958 +tp14225 +a(g548 +V20 +p14226 +tp14227 +a(g900 +g958 +tp14228 +a(g548 +V20 +p14229 +tp14230 +a(g900 +g958 +tp14231 +a(g548 +V20 +p14232 +tp14233 +a(g900 +g958 +tp14234 +a(g548 +V20 +p14235 +tp14236 +a(g900 +g958 +tp14237 +a(g548 +V20 +p14238 +tp14239 +a(g900 +g958 +tp14240 +a(g548 +V20 +p14241 +tp14242 +a(g900 +g958 +tp14243 +a(g548 +V20 +p14244 +tp14245 +a(g900 +g958 +tp14246 +a(g548 +V20 +p14247 +tp14248 +a(g900 +g958 +tp14249 +a(g900 +g958 +tp14250 +a(g548 +V2f +p14251 +tp14252 +a(g900 +g958 +tp14253 +a(g548 +V2f +p14254 +tp14255 +a(g900 +g958 +tp14256 +a(g548 +V20 +p14257 +tp14258 +a(g900 +g958 +tp14259 +a(g548 +V61 +p14260 +tp14261 +a(g900 +g958 +tp14262 +a(g548 +V6c +p14263 +tp14264 +a(g900 +g958 +tp14265 +a(g548 +V74 +p14266 +tp14267 +a(g900 +g958 +tp14268 +a(g548 +V65 +p14269 +tp14270 +a(g900 +g958 +tp14271 +a(g548 +V72 +p14272 +tp14273 +a(g900 +V +p14274 +tp14275 +a(g685 +g1011 +tp14276 +a(g341 +V // alter +p14277 +tp14278 +a(g685 +g1011 +tp14279 +a(g900 +V\u000a +p14280 +tp14281 +a(g8 +V00000df0 +p14282 +tp14283 +a(g900 +g958 +tp14284 +a(g900 +g958 +tp14285 +a(g548 +V6e +p14286 +tp14287 +a(g900 +g958 +tp14288 +a(g548 +V61 +p14289 +tp14290 +a(g900 +g958 +tp14291 +a(g548 +V74 +p14292 +tp14293 +a(g900 +g958 +tp14294 +a(g548 +V69 +p14295 +tp14296 +a(g900 +g958 +tp14297 +a(g548 +V76 +p14298 +tp14299 +a(g900 +g958 +tp14300 +a(g548 +V65 +p14301 +tp14302 +a(g900 +g958 +tp14303 +a(g548 +V73 +p14304 +tp14305 +a(g900 +g958 +tp14306 +a(g548 +V20 +p14307 +tp14308 +a(g900 +g958 +tp14309 +a(g900 +g958 +tp14310 +a(g548 +V77 +p14311 +tp14312 +a(g900 +g958 +tp14313 +a(g548 +V65 +p14314 +tp14315 +a(g900 +g958 +tp14316 +a(g548 +V72 +p14317 +tp14318 +a(g900 +g958 +tp14319 +a(g548 +V65 +p14320 +tp14321 +a(g900 +g958 +tp14322 +a(g548 +V20 +p14323 +tp14324 +a(g900 +g958 +tp14325 +a(g548 +V66 +p14326 +tp14327 +a(g900 +g958 +tp14328 +a(g548 +V6f +p14329 +tp14330 +a(g900 +g958 +tp14331 +a(g548 +V75 +p14332 +tp14333 +a(g900 +V +p14334 +tp14335 +a(g685 +g1011 +tp14336 +a(g341 +Vnatives were fou +p14337 +tp14338 +a(g685 +g1011 +tp14339 +a(g900 +V\u000a +p14340 +tp14341 +a(g8 +V00000e00 +p14342 +tp14343 +a(g900 +g958 +tp14344 +a(g900 +g958 +tp14345 +a(g548 +V6e +p14346 +tp14347 +a(g900 +g958 +tp14348 +a(g548 +V64 +p14349 +tp14350 +a(g900 +g958 +tp14351 +a(g548 +V0a +p14352 +tp14353 +a(g900 +g958 +tp14354 +a(g548 +V20 +p14355 +tp14356 +a(g900 +g958 +tp14357 +a(g548 +V20 +p14358 +tp14359 +a(g900 +g958 +tp14360 +a(g548 +V20 +p14361 +tp14362 +a(g900 +g958 +tp14363 +a(g548 +V20 +p14364 +tp14365 +a(g900 +g958 +tp14366 +a(g548 +V20 +p14367 +tp14368 +a(g900 +g958 +tp14369 +a(g900 +g958 +tp14370 +a(g548 +V20 +p14371 +tp14372 +a(g900 +g958 +tp14373 +a(g548 +V20 +p14374 +tp14375 +a(g900 +g958 +tp14376 +a(g548 +V20 +p14377 +tp14378 +a(g900 +g958 +tp14379 +a(g548 +V2f +p14380 +tp14381 +a(g900 +g958 +tp14382 +a(g548 +V2f +p14383 +tp14384 +a(g900 +g958 +tp14385 +a(g548 +V20 +p14386 +tp14387 +a(g900 +g958 +tp14388 +a(g548 +V54 +p14389 +tp14390 +a(g900 +g958 +tp14391 +a(g548 +V65 +p14392 +tp14393 +a(g900 +V +p14394 +tp14395 +a(g685 +g1011 +tp14396 +a(g341 +Vnd. // Te +p14397 +tp14398 +a(g685 +g1011 +tp14399 +a(g900 +V\u000a +p14400 +tp14401 +a(g8 +V00000e10 +p14402 +tp14403 +a(g900 +g958 +tp14404 +a(g900 +g958 +tp14405 +a(g548 +V73 +p14406 +tp14407 +a(g900 +g958 +tp14408 +a(g548 +V74 +p14409 +tp14410 +a(g900 +g958 +tp14411 +a(g548 +V69 +p14412 +tp14413 +a(g900 +g958 +tp14414 +a(g548 +V6e +p14415 +tp14416 +a(g900 +g958 +tp14417 +a(g548 +V67 +p14418 +tp14419 +a(g900 +g958 +tp14420 +a(g548 +V20 +p14421 +tp14422 +a(g900 +g958 +tp14423 +a(g548 +V22 +p14424 +tp14425 +a(g900 +g958 +tp14426 +a(g548 +V72 +p14427 +tp14428 +a(g900 +g958 +tp14429 +a(g900 +g958 +tp14430 +a(g548 +V65 +p14431 +tp14432 +a(g900 +g958 +tp14433 +a(g548 +V74 +p14434 +tp14435 +a(g900 +g958 +tp14436 +a(g548 +V2e +p14437 +tp14438 +a(g900 +g958 +tp14439 +a(g548 +V6c +p14440 +tp14441 +a(g900 +g958 +tp14442 +a(g548 +V65 +p14443 +tp14444 +a(g900 +g958 +tp14445 +a(g548 +V6e +p14446 +tp14447 +a(g900 +g958 +tp14448 +a(g548 +V67 +p14449 +tp14450 +a(g900 +g958 +tp14451 +a(g548 +V74 +p14452 +tp14453 +a(g900 +V +p14454 +tp14455 +a(g685 +g1011 +tp14456 +a(g341 +Vsting "ret.lengt +p14457 +tp14458 +a(g685 +g1011 +tp14459 +a(g900 +V\u000a +p14460 +tp14461 +a(g8 +V00000e20 +p14462 +tp14463 +a(g900 +g958 +tp14464 +a(g900 +g958 +tp14465 +a(g548 +V68 +p14466 +tp14467 +a(g900 +g958 +tp14468 +a(g548 +V22 +p14469 +tp14470 +a(g900 +g958 +tp14471 +a(g548 +V20 +p14472 +tp14473 +a(g900 +g958 +tp14474 +a(g548 +V6d +p14475 +tp14476 +a(g900 +g958 +tp14477 +a(g548 +V61 +p14478 +tp14479 +a(g900 +g958 +tp14480 +a(g548 +V6b +p14481 +tp14482 +a(g900 +g958 +tp14483 +a(g548 +V65 +p14484 +tp14485 +a(g900 +g958 +tp14486 +a(g548 +V73 +p14487 +tp14488 +a(g900 +g958 +tp14489 +a(g900 +g958 +tp14490 +a(g548 +V20 +p14491 +tp14492 +a(g900 +g958 +tp14493 +a(g548 +V6d +p14494 +tp14495 +a(g900 +g958 +tp14496 +a(g548 +V6f +p14497 +tp14498 +a(g900 +g958 +tp14499 +a(g548 +V72 +p14500 +tp14501 +a(g900 +g958 +tp14502 +a(g548 +V65 +p14503 +tp14504 +a(g900 +g958 +tp14505 +a(g548 +V20 +p14506 +tp14507 +a(g900 +g958 +tp14508 +a(g548 +V73 +p14509 +tp14510 +a(g900 +g958 +tp14511 +a(g548 +V65 +p14512 +tp14513 +a(g900 +V +p14514 +tp14515 +a(g685 +g1011 +tp14516 +a(g341 +Vh" makes more se +p14517 +tp14518 +a(g685 +g1011 +tp14519 +a(g900 +V\u000a +p14520 +tp14521 +a(g8 +V00000e30 +p14522 +tp14523 +a(g900 +g958 +tp14524 +a(g900 +g958 +tp14525 +a(g548 +V6e +p14526 +tp14527 +a(g900 +g958 +tp14528 +a(g548 +V73 +p14529 +tp14530 +a(g900 +g958 +tp14531 +a(g548 +V65 +p14532 +tp14533 +a(g900 +g958 +tp14534 +a(g548 +V20 +p14535 +tp14536 +a(g900 +g958 +tp14537 +a(g548 +V74 +p14538 +tp14539 +a(g900 +g958 +tp14540 +a(g548 +V68 +p14541 +tp14542 +a(g900 +g958 +tp14543 +a(g548 +V61 +p14544 +tp14545 +a(g900 +g958 +tp14546 +a(g548 +V6e +p14547 +tp14548 +a(g900 +g958 +tp14549 +a(g900 +g958 +tp14550 +a(g548 +V20 +p14551 +tp14552 +a(g900 +g958 +tp14553 +a(g548 +V74 +p14554 +tp14555 +a(g900 +g958 +tp14556 +a(g548 +V65 +p14557 +tp14558 +a(g900 +g958 +tp14559 +a(g548 +V73 +p14560 +tp14561 +a(g900 +g958 +tp14562 +a(g548 +V74 +p14563 +tp14564 +a(g900 +g958 +tp14565 +a(g548 +V69 +p14566 +tp14567 +a(g900 +g958 +tp14568 +a(g548 +V6e +p14569 +tp14570 +a(g900 +g958 +tp14571 +a(g548 +V67 +p14572 +tp14573 +a(g900 +V +p14574 +tp14575 +a(g685 +g1011 +tp14576 +a(g341 +Vnse than testing +p14577 +tp14578 +a(g685 +g1011 +tp14579 +a(g900 +V\u000a +p14580 +tp14581 +a(g8 +V00000e40 +p14582 +tp14583 +a(g900 +g958 +tp14584 +a(g900 +g958 +tp14585 +a(g548 +V20 +p14586 +tp14587 +a(g900 +g958 +tp14588 +a(g548 +V22 +p14589 +tp14590 +a(g900 +g958 +tp14591 +a(g548 +V66 +p14592 +tp14593 +a(g900 +g958 +tp14594 +a(g548 +V6f +p14595 +tp14596 +a(g900 +g958 +tp14597 +a(g548 +V75 +p14598 +tp14599 +a(g900 +g958 +tp14600 +a(g548 +V6e +p14601 +tp14602 +a(g900 +g958 +tp14603 +a(g548 +V64 +p14604 +tp14605 +a(g900 +g958 +tp14606 +a(g548 +V77 +p14607 +tp14608 +a(g900 +g958 +tp14609 +a(g900 +g958 +tp14610 +a(g548 +V6f +p14611 +tp14612 +a(g900 +g958 +tp14613 +a(g548 +V72 +p14614 +tp14615 +a(g900 +g958 +tp14616 +a(g548 +V64 +p14617 +tp14618 +a(g900 +g958 +tp14619 +a(g548 +V22 +p14620 +tp14621 +a(g900 +g958 +tp14622 +a(g548 +V2c +p14623 +tp14624 +a(g900 +g958 +tp14625 +a(g548 +V0a +p14626 +tp14627 +a(g900 +g958 +tp14628 +a(g548 +V20 +p14629 +tp14630 +a(g900 +g958 +tp14631 +a(g548 +V20 +p14632 +tp14633 +a(g900 +V +p14634 +tp14635 +a(g685 +g1011 +tp14636 +a(g341 +V "foundword",. +p14637 +tp14638 +a(g685 +g1011 +tp14639 +a(g900 +V\u000a +p14640 +tp14641 +a(g8 +V00000e50 +p14642 +tp14643 +a(g900 +g958 +tp14644 +a(g900 +g958 +tp14645 +a(g548 +V20 +p14646 +tp14647 +a(g900 +g958 +tp14648 +a(g548 +V20 +p14649 +tp14650 +a(g900 +g958 +tp14651 +a(g548 +V20 +p14652 +tp14653 +a(g900 +g958 +tp14654 +a(g548 +V20 +p14655 +tp14656 +a(g900 +g958 +tp14657 +a(g548 +V20 +p14658 +tp14659 +a(g900 +g958 +tp14660 +a(g548 +V20 +p14661 +tp14662 +a(g900 +g958 +tp14663 +a(g548 +V2f +p14664 +tp14665 +a(g900 +g958 +tp14666 +a(g548 +V2f +p14667 +tp14668 +a(g900 +g958 +tp14669 +a(g900 +g958 +tp14670 +a(g548 +V20 +p14671 +tp14672 +a(g900 +g958 +tp14673 +a(g548 +V62 +p14674 +tp14675 +a(g900 +g958 +tp14676 +a(g548 +V75 +p14677 +tp14678 +a(g900 +g958 +tp14679 +a(g548 +V74 +p14680 +tp14681 +a(g900 +g958 +tp14682 +a(g548 +V20 +p14683 +tp14684 +a(g900 +g958 +tp14685 +a(g548 +V74 +p14686 +tp14687 +a(g900 +g958 +tp14688 +a(g548 +V68 +p14689 +tp14690 +a(g900 +g958 +tp14691 +a(g548 +V65 +p14692 +tp14693 +a(g900 +V +p14694 +tp14695 +a(g685 +g1011 +tp14696 +a(g341 +V // but the +p14697 +tp14698 +a(g685 +g1011 +tp14699 +a(g900 +V\u000a +p14700 +tp14701 +a(g8 +V00000e60 +p14702 +tp14703 +a(g900 +g958 +tp14704 +a(g900 +g958 +tp14705 +a(g548 +V20 +p14706 +tp14707 +a(g900 +g958 +tp14708 +a(g548 +V6f +p14709 +tp14710 +a(g900 +g958 +tp14711 +a(g548 +V74 +p14712 +tp14713 +a(g900 +g958 +tp14714 +a(g548 +V68 +p14715 +tp14716 +a(g900 +g958 +tp14717 +a(g548 +V65 +p14718 +tp14719 +a(g900 +g958 +tp14720 +a(g548 +V72 +p14721 +tp14722 +a(g900 +g958 +tp14723 +a(g548 +V20 +p14724 +tp14725 +a(g900 +g958 +tp14726 +a(g548 +V69 +p14727 +tp14728 +a(g900 +g958 +tp14729 +a(g900 +g958 +tp14730 +a(g548 +V6d +p14731 +tp14732 +a(g900 +g958 +tp14733 +a(g548 +V70 +p14734 +tp14735 +a(g900 +g958 +tp14736 +a(g548 +V6c +p14737 +tp14738 +a(g900 +g958 +tp14739 +a(g548 +V65 +p14740 +tp14741 +a(g900 +g958 +tp14742 +a(g548 +V6d +p14743 +tp14744 +a(g900 +g958 +tp14745 +a(g548 +V65 +p14746 +tp14747 +a(g900 +g958 +tp14748 +a(g548 +V6e +p14749 +tp14750 +a(g900 +g958 +tp14751 +a(g548 +V74 +p14752 +tp14753 +a(g900 +V +p14754 +tp14755 +a(g685 +g1011 +tp14756 +a(g341 +V other implement +p14757 +tp14758 +a(g685 +g1011 +tp14759 +a(g900 +V\u000a +p14760 +tp14761 +a(g8 +V00000e70 +p14762 +tp14763 +a(g900 +g958 +tp14764 +a(g900 +g958 +tp14765 +a(g548 +V61 +p14766 +tp14767 +a(g900 +g958 +tp14768 +a(g548 +V74 +p14769 +tp14770 +a(g900 +g958 +tp14771 +a(g548 +V69 +p14772 +tp14773 +a(g900 +g958 +tp14774 +a(g548 +V6f +p14775 +tp14776 +a(g900 +g958 +tp14777 +a(g548 +V6e +p14778 +tp14779 +a(g900 +g958 +tp14780 +a(g548 +V73 +p14781 +tp14782 +a(g900 +g958 +tp14783 +a(g548 +V20 +p14784 +tp14785 +a(g900 +g958 +tp14786 +a(g548 +V73 +p14787 +tp14788 +a(g900 +g958 +tp14789 +a(g900 +g958 +tp14790 +a(g548 +V65 +p14791 +tp14792 +a(g900 +g958 +tp14793 +a(g548 +V65 +p14794 +tp14795 +a(g900 +g958 +tp14796 +a(g548 +V6d +p14797 +tp14798 +a(g900 +g958 +tp14799 +a(g548 +V20 +p14800 +tp14801 +a(g900 +g958 +tp14802 +a(g548 +V74 +p14803 +tp14804 +a(g900 +g958 +tp14805 +a(g548 +V6f +p14806 +tp14807 +a(g900 +g958 +tp14808 +a(g548 +V20 +p14809 +tp14810 +a(g900 +g958 +tp14811 +a(g548 +V64 +p14812 +tp14813 +a(g900 +V +p14814 +tp14815 +a(g685 +g1011 +tp14816 +a(g341 +Vations seem to d +p14817 +tp14818 +a(g685 +g1011 +tp14819 +a(g900 +V\u000a +p14820 +tp14821 +a(g8 +V00000e80 +p14822 +tp14823 +a(g900 +g958 +tp14824 +a(g900 +g958 +tp14825 +a(g548 +V6f +p14826 +tp14827 +a(g900 +g958 +tp14828 +a(g548 +V20 +p14829 +tp14830 +a(g900 +g958 +tp14831 +a(g548 +V6a +p14832 +tp14833 +a(g900 +g958 +tp14834 +a(g548 +V75 +p14835 +tp14836 +a(g900 +g958 +tp14837 +a(g548 +V73 +p14838 +tp14839 +a(g900 +g958 +tp14840 +a(g548 +V74 +p14841 +tp14842 +a(g900 +g958 +tp14843 +a(g548 +V20 +p14844 +tp14845 +a(g900 +g958 +tp14846 +a(g548 +V74 +p14847 +tp14848 +a(g900 +g958 +tp14849 +a(g900 +g958 +tp14850 +a(g548 +V68 +p14851 +tp14852 +a(g900 +g958 +tp14853 +a(g548 +V69 +p14854 +tp14855 +a(g900 +g958 +tp14856 +a(g548 +V73 +p14857 +tp14858 +a(g900 +g958 +tp14859 +a(g548 +V2e +p14860 +tp14861 +a(g900 +g958 +tp14862 +a(g548 +V0a +p14863 +tp14864 +a(g900 +g958 +tp14865 +a(g548 +V20 +p14866 +tp14867 +a(g900 +g958 +tp14868 +a(g548 +V20 +p14869 +tp14870 +a(g900 +g958 +tp14871 +a(g548 +V20 +p14872 +tp14873 +a(g900 +V +p14874 +tp14875 +a(g685 +g1011 +tp14876 +a(g341 +Vo just this.. +p14877 +tp14878 +a(g685 +g1011 +tp14879 +a(g900 +V\u000a +p14880 +tp14881 +a(g8 +V00000e90 +p14882 +tp14883 +a(g900 +g958 +tp14884 +a(g900 +g958 +tp14885 +a(g548 +V20 +p14886 +tp14887 +a(g900 +g958 +tp14888 +a(g548 +V20 +p14889 +tp14890 +a(g900 +g958 +tp14891 +a(g548 +V20 +p14892 +tp14893 +a(g900 +g958 +tp14894 +a(g548 +V20 +p14895 +tp14896 +a(g900 +g958 +tp14897 +a(g548 +V20 +p14898 +tp14899 +a(g900 +g958 +tp14900 +a(g548 +V69 +p14901 +tp14902 +a(g900 +g958 +tp14903 +a(g548 +V66 +p14904 +tp14905 +a(g900 +g958 +tp14906 +a(g548 +V20 +p14907 +tp14908 +a(g900 +g958 +tp14909 +a(g900 +g958 +tp14910 +a(g548 +V28 +p14911 +tp14912 +a(g900 +g958 +tp14913 +a(g548 +V64 +p14914 +tp14915 +a(g900 +g958 +tp14916 +a(g548 +V69 +p14917 +tp14918 +a(g900 +g958 +tp14919 +a(g548 +V67 +p14920 +tp14921 +a(g900 +g958 +tp14922 +a(g548 +V69 +p14923 +tp14924 +a(g900 +g958 +tp14925 +a(g548 +V74 +p14926 +tp14927 +a(g900 +g958 +tp14928 +a(g548 +V6f +p14929 +tp14930 +a(g900 +g958 +tp14931 +a(g548 +V6b +p14932 +tp14933 +a(g900 +V +p14934 +tp14935 +a(g685 +g1011 +tp14936 +a(g341 +V if (digitok +p14937 +tp14938 +a(g685 +g1011 +tp14939 +a(g900 +V\u000a +p14940 +tp14941 +a(g8 +V00000ea0 +p14942 +tp14943 +a(g900 +g958 +tp14944 +a(g900 +g958 +tp14945 +a(g548 +V20 +p14946 +tp14947 +a(g900 +g958 +tp14948 +a(g548 +V26 +p14949 +tp14950 +a(g900 +g958 +tp14951 +a(g548 +V26 +p14952 +tp14953 +a(g900 +g958 +tp14954 +a(g548 +V20 +p14955 +tp14956 +a(g900 +g958 +tp14957 +a(g548 +V21 +p14958 +tp14959 +a(g900 +g958 +tp14960 +a(g548 +V66 +p14961 +tp14962 +a(g900 +g958 +tp14963 +a(g548 +V6f +p14964 +tp14965 +a(g900 +g958 +tp14966 +a(g548 +V75 +p14967 +tp14968 +a(g900 +g958 +tp14969 +a(g900 +g958 +tp14970 +a(g548 +V6e +p14971 +tp14972 +a(g900 +g958 +tp14973 +a(g548 +V64 +p14974 +tp14975 +a(g900 +g958 +tp14976 +a(g548 +V77 +p14977 +tp14978 +a(g900 +g958 +tp14979 +a(g548 +V6f +p14980 +tp14981 +a(g900 +g958 +tp14982 +a(g548 +V72 +p14983 +tp14984 +a(g900 +g958 +tp14985 +a(g548 +V64 +p14986 +tp14987 +a(g900 +g958 +tp14988 +a(g548 +V29 +p14989 +tp14990 +a(g900 +g958 +tp14991 +a(g548 +V20 +p14992 +tp14993 +a(g900 +V +p14994 +tp14995 +a(g685 +g1011 +tp14996 +a(g341 +V && !foundword) +p14997 +tp14998 +a(g685 +g1011 +tp14999 +a(g900 +V\u000a +p15000 +tp15001 +a(g8 +V00000eb0 +p15002 +tp15003 +a(g900 +g958 +tp15004 +a(g900 +g958 +tp15005 +a(g548 +V7b +p15006 +tp15007 +a(g900 +g958 +tp15008 +a(g548 +V20 +p15009 +tp15010 +a(g900 +g958 +tp15011 +a(g548 +V2f +p15012 +tp15013 +a(g900 +g958 +tp15014 +a(g548 +V2f +p15015 +tp15016 +a(g900 +g958 +tp15017 +a(g548 +V72 +p15018 +tp15019 +a(g900 +g958 +tp15020 +a(g548 +V65 +p15021 +tp15022 +a(g900 +g958 +tp15023 +a(g548 +V74 +p15024 +tp15025 +a(g900 +g958 +tp15026 +a(g548 +V2e +p15027 +tp15028 +a(g900 +g958 +tp15029 +a(g900 +g958 +tp15030 +a(g548 +V6c +p15031 +tp15032 +a(g900 +g958 +tp15033 +a(g548 +V65 +p15034 +tp15035 +a(g900 +g958 +tp15036 +a(g548 +V6e +p15037 +tp15038 +a(g900 +g958 +tp15039 +a(g548 +V67 +p15040 +tp15041 +a(g900 +g958 +tp15042 +a(g548 +V74 +p15043 +tp15044 +a(g900 +g958 +tp15045 +a(g548 +V68 +p15046 +tp15047 +a(g900 +g958 +tp15048 +a(g548 +V20 +p15049 +tp15050 +a(g900 +g958 +tp15051 +a(g548 +V3d +p15052 +tp15053 +a(g900 +V +p15054 +tp15055 +a(g685 +g1011 +tp15056 +a(g341 +V{ //ret.length = +p15057 +tp15058 +a(g685 +g1011 +tp15059 +a(g900 +V\u000a +p15060 +tp15061 +a(g8 +V00000ec0 +p15062 +tp15063 +a(g900 +g958 +tp15064 +a(g900 +g958 +tp15065 +a(g548 +V3d +p15066 +tp15067 +a(g900 +g958 +tp15068 +a(g548 +V20 +p15069 +tp15070 +a(g900 +g958 +tp15071 +a(g548 +V30 +p15072 +tp15073 +a(g900 +g958 +tp15074 +a(g548 +V20 +p15075 +tp15076 +a(g900 +g958 +tp15077 +a(g548 +V20 +p15078 +tp15079 +a(g900 +g958 +tp15080 +a(g548 +V0a +p15081 +tp15082 +a(g900 +g958 +tp15083 +a(g548 +V20 +p15084 +tp15085 +a(g900 +g958 +tp15086 +a(g548 +V20 +p15087 +tp15088 +a(g900 +g958 +tp15089 +a(g900 +g958 +tp15090 +a(g548 +V20 +p15091 +tp15092 +a(g900 +g958 +tp15093 +a(g548 +V20 +p15094 +tp15095 +a(g900 +g958 +tp15096 +a(g548 +V20 +p15097 +tp15098 +a(g900 +g958 +tp15099 +a(g548 +V20 +p15100 +tp15101 +a(g900 +g958 +tp15102 +a(g548 +V20 +p15103 +tp15104 +a(g900 +g958 +tp15105 +a(g548 +V20 +p15106 +tp15107 +a(g900 +g958 +tp15108 +a(g548 +V20 +p15109 +tp15110 +a(g900 +g958 +tp15111 +a(g548 +V20 +p15112 +tp15113 +a(g900 +V +p15114 +tp15115 +a(g685 +g1011 +tp15116 +a(g341 +V= 0 . +p15117 +tp15118 +a(g685 +g1011 +tp15119 +a(g900 +V\u000a +p15120 +tp15121 +a(g8 +V00000ed0 +p15122 +tp15123 +a(g900 +g958 +tp15124 +a(g900 +g958 +tp15125 +a(g548 +V20 +p15126 +tp15127 +a(g900 +g958 +tp15128 +a(g548 +V20 +p15129 +tp15130 +a(g900 +g958 +tp15131 +a(g548 +V69 +p15132 +tp15133 +a(g900 +g958 +tp15134 +a(g548 +V66 +p15135 +tp15136 +a(g900 +g958 +tp15137 +a(g548 +V28 +p15138 +tp15139 +a(g900 +g958 +tp15140 +a(g548 +V6e +p15141 +tp15142 +a(g900 +g958 +tp15143 +a(g548 +V75 +p15144 +tp15145 +a(g900 +g958 +tp15146 +a(g548 +V6d +p15147 +tp15148 +a(g900 +g958 +tp15149 +a(g900 +g958 +tp15150 +a(g548 +V62 +p15151 +tp15152 +a(g900 +g958 +tp15153 +a(g548 +V65 +p15154 +tp15155 +a(g900 +g958 +tp15156 +a(g548 +V72 +p15157 +tp15158 +a(g900 +g958 +tp15159 +a(g548 +V73 +p15160 +tp15161 +a(g900 +g958 +tp15162 +a(g548 +V2e +p15163 +tp15164 +a(g900 +g958 +tp15165 +a(g548 +V6c +p15166 +tp15167 +a(g900 +g958 +tp15168 +a(g548 +V65 +p15169 +tp15170 +a(g900 +g958 +tp15171 +a(g548 +V6e +p15172 +tp15173 +a(g900 +V +p15174 +tp15175 +a(g685 +g1011 +tp15176 +a(g341 +V if(numbers.len +p15177 +tp15178 +a(g685 +g1011 +tp15179 +a(g900 +V\u000a +p15180 +tp15181 +a(g8 +V00000ee0 +p15182 +tp15183 +a(g900 +g958 +tp15184 +a(g900 +g958 +tp15185 +a(g548 +V67 +p15186 +tp15187 +a(g900 +g958 +tp15188 +a(g548 +V74 +p15189 +tp15190 +a(g900 +g958 +tp15191 +a(g548 +V68 +p15192 +tp15193 +a(g900 +g958 +tp15194 +a(g548 +V20 +p15195 +tp15196 +a(g900 +g958 +tp15197 +a(g548 +V3e +p15198 +tp15199 +a(g900 +g958 +tp15200 +a(g548 +V20 +p15201 +tp15202 +a(g900 +g958 +tp15203 +a(g548 +V20 +p15204 +tp15205 +a(g900 +g958 +tp15206 +a(g548 +V31 +p15207 +tp15208 +a(g900 +g958 +tp15209 +a(g900 +g958 +tp15210 +a(g548 +V29 +p15211 +tp15212 +a(g900 +g958 +tp15213 +a(g548 +V20 +p15214 +tp15215 +a(g900 +g958 +tp15216 +a(g548 +V7b +p15217 +tp15218 +a(g900 +g958 +tp15219 +a(g548 +V0a +p15220 +tp15221 +a(g900 +g958 +tp15222 +a(g548 +V20 +p15223 +tp15224 +a(g900 +g958 +tp15225 +a(g548 +V20 +p15226 +tp15227 +a(g900 +g958 +tp15228 +a(g548 +V20 +p15229 +tp15230 +a(g900 +g958 +tp15231 +a(g548 +V20 +p15232 +tp15233 +a(g900 +V +p15234 +tp15235 +a(g685 +g1011 +tp15236 +a(g341 +Vgth > 1) {. +p15237 +tp15238 +a(g685 +g1011 +tp15239 +a(g900 +V\u000a +p15240 +tp15241 +a(g8 +V00000ef0 +p15242 +tp15243 +a(g900 +g958 +tp15244 +a(g900 +g958 +tp15245 +a(g548 +V20 +p15246 +tp15247 +a(g900 +g958 +tp15248 +a(g548 +V20 +p15249 +tp15250 +a(g900 +g958 +tp15251 +a(g548 +V20 +p15252 +tp15253 +a(g900 +g958 +tp15254 +a(g548 +V20 +p15255 +tp15256 +a(g900 +g958 +tp15257 +a(g548 +V20 +p15258 +tp15259 +a(g900 +g958 +tp15260 +a(g548 +V20 +p15261 +tp15262 +a(g900 +g958 +tp15263 +a(g548 +V20 +p15264 +tp15265 +a(g900 +g958 +tp15266 +a(g548 +V20 +p15267 +tp15268 +a(g900 +g958 +tp15269 +a(g900 +g958 +tp15270 +a(g548 +V20 +p15271 +tp15272 +a(g900 +g958 +tp15273 +a(g548 +V20 +p15274 +tp15275 +a(g900 +g958 +tp15276 +a(g548 +V20 +p15277 +tp15278 +a(g900 +g958 +tp15279 +a(g548 +V20 +p15280 +tp15281 +a(g900 +g958 +tp15282 +a(g548 +V2f +p15283 +tp15284 +a(g900 +g958 +tp15285 +a(g548 +V2f +p15286 +tp15287 +a(g900 +g958 +tp15288 +a(g548 +V20 +p15289 +tp15290 +a(g900 +g958 +tp15291 +a(g548 +V43 +p15292 +tp15293 +a(g900 +V +p15294 +tp15295 +a(g685 +g1011 +tp15296 +a(g341 +V // C +p15297 +tp15298 +a(g685 +g1011 +tp15299 +a(g900 +V\u000a +p15300 +tp15301 +a(g8 +V00000f00 +p15302 +tp15303 +a(g900 +g958 +tp15304 +a(g900 +g958 +tp15305 +a(g548 +V6f +p15306 +tp15307 +a(g900 +g958 +tp15308 +a(g548 +V6d +p15309 +tp15310 +a(g900 +g958 +tp15311 +a(g548 +V62 +p15312 +tp15313 +a(g900 +g958 +tp15314 +a(g548 +V69 +p15315 +tp15316 +a(g900 +g958 +tp15317 +a(g548 +V6e +p15318 +tp15319 +a(g900 +g958 +tp15320 +a(g548 +V65 +p15321 +tp15322 +a(g900 +g958 +tp15323 +a(g548 +V20 +p15324 +tp15325 +a(g900 +g958 +tp15326 +a(g548 +V31 +p15327 +tp15328 +a(g900 +g958 +tp15329 +a(g900 +g958 +tp15330 +a(g548 +V20 +p15331 +tp15332 +a(g900 +g958 +tp15333 +a(g548 +V64 +p15334 +tp15335 +a(g900 +g958 +tp15336 +a(g548 +V69 +p15337 +tp15338 +a(g900 +g958 +tp15339 +a(g548 +V67 +p15340 +tp15341 +a(g900 +g958 +tp15342 +a(g548 +V69 +p15343 +tp15344 +a(g900 +g958 +tp15345 +a(g548 +V74 +p15346 +tp15347 +a(g900 +g958 +tp15348 +a(g548 +V20 +p15349 +tp15350 +a(g900 +g958 +tp15351 +a(g548 +V77 +p15352 +tp15353 +a(g900 +V +p15354 +tp15355 +a(g685 +g1011 +tp15356 +a(g341 +Vombine 1 digit w +p15357 +tp15358 +a(g685 +g1011 +tp15359 +a(g900 +V\u000a +p15360 +tp15361 +a(g8 +V00000f10 +p15362 +tp15363 +a(g900 +g958 +tp15364 +a(g900 +g958 +tp15365 +a(g548 +V69 +p15366 +tp15367 +a(g900 +g958 +tp15368 +a(g548 +V74 +p15369 +tp15370 +a(g900 +g958 +tp15371 +a(g548 +V68 +p15372 +tp15373 +a(g900 +g958 +tp15374 +a(g548 +V20 +p15375 +tp15376 +a(g900 +g958 +tp15377 +a(g548 +V61 +p15378 +tp15379 +a(g900 +g958 +tp15380 +a(g548 +V6c +p15381 +tp15382 +a(g900 +g958 +tp15383 +a(g548 +V6c +p15384 +tp15385 +a(g900 +g958 +tp15386 +a(g548 +V20 +p15387 +tp15388 +a(g900 +g958 +tp15389 +a(g900 +g958 +tp15390 +a(g548 +V61 +p15391 +tp15392 +a(g900 +g958 +tp15393 +a(g548 +V6c +p15394 +tp15395 +a(g900 +g958 +tp15396 +a(g548 +V74 +p15397 +tp15398 +a(g900 +g958 +tp15399 +a(g548 +V65 +p15400 +tp15401 +a(g900 +g958 +tp15402 +a(g548 +V6e +p15403 +tp15404 +a(g900 +g958 +tp15405 +a(g548 +V61 +p15406 +tp15407 +a(g900 +g958 +tp15408 +a(g548 +V74 +p15409 +tp15410 +a(g900 +g958 +tp15411 +a(g548 +V69 +p15412 +tp15413 +a(g900 +V +p15414 +tp15415 +a(g685 +g1011 +tp15416 +a(g341 +Vith all altenati +p15417 +tp15418 +a(g685 +g1011 +tp15419 +a(g900 +V\u000a +p15420 +tp15421 +a(g8 +V00000f20 +p15422 +tp15423 +a(g900 +g958 +tp15424 +a(g900 +g958 +tp15425 +a(g548 +V76 +p15426 +tp15427 +a(g900 +g958 +tp15428 +a(g548 +V65 +p15429 +tp15430 +a(g900 +g958 +tp15431 +a(g548 +V73 +p15432 +tp15433 +a(g900 +g958 +tp15434 +a(g548 +V20 +p15435 +tp15436 +a(g900 +g958 +tp15437 +a(g548 +V66 +p15438 +tp15439 +a(g900 +g958 +tp15440 +a(g548 +V72 +p15441 +tp15442 +a(g900 +g958 +tp15443 +a(g548 +V6f +p15444 +tp15445 +a(g900 +g958 +tp15446 +a(g548 +V6d +p15447 +tp15448 +a(g900 +g958 +tp15449 +a(g900 +g958 +tp15450 +a(g548 +V20 +p15451 +tp15452 +a(g900 +g958 +tp15453 +a(g548 +V74 +p15454 +tp15455 +a(g900 +g958 +tp15456 +a(g548 +V68 +p15457 +tp15458 +a(g900 +g958 +tp15459 +a(g548 +V65 +p15460 +tp15461 +a(g900 +g958 +tp15462 +a(g548 +V20 +p15463 +tp15464 +a(g900 +g958 +tp15465 +a(g548 +V72 +p15466 +tp15467 +a(g900 +g958 +tp15468 +a(g548 +V65 +p15469 +tp15470 +a(g900 +g958 +tp15471 +a(g548 +V73 +p15472 +tp15473 +a(g900 +V +p15474 +tp15475 +a(g685 +g1011 +tp15476 +a(g341 +Vves from the res +p15477 +tp15478 +a(g685 +g1011 +tp15479 +a(g900 +V\u000a +p15480 +tp15481 +a(g8 +V00000f30 +p15482 +tp15483 +a(g900 +g958 +tp15484 +a(g900 +g958 +tp15485 +a(g548 +V74 +p15486 +tp15487 +a(g900 +g958 +tp15488 +a(g548 +V20 +p15489 +tp15490 +a(g900 +g958 +tp15491 +a(g548 +V20 +p15492 +tp15493 +a(g900 +g958 +tp15494 +a(g548 +V20 +p15495 +tp15496 +a(g900 +g958 +tp15497 +a(g548 +V20 +p15498 +tp15499 +a(g900 +g958 +tp15500 +a(g548 +V0a +p15501 +tp15502 +a(g900 +g958 +tp15503 +a(g548 +V20 +p15504 +tp15505 +a(g900 +g958 +tp15506 +a(g548 +V20 +p15507 +tp15508 +a(g900 +g958 +tp15509 +a(g900 +g958 +tp15510 +a(g548 +V20 +p15511 +tp15512 +a(g900 +g958 +tp15513 +a(g548 +V20 +p15514 +tp15515 +a(g900 +g958 +tp15516 +a(g548 +V20 +p15517 +tp15518 +a(g900 +g958 +tp15519 +a(g548 +V20 +p15520 +tp15521 +a(g900 +g958 +tp15522 +a(g548 +V20 +p15523 +tp15524 +a(g900 +g958 +tp15525 +a(g548 +V20 +p15526 +tp15527 +a(g900 +g958 +tp15528 +a(g548 +V20 +p15529 +tp15530 +a(g900 +g958 +tp15531 +a(g548 +V20 +p15532 +tp15533 +a(g900 +V +p15534 +tp15535 +a(g685 +g1011 +tp15536 +a(g341 +Vt . +p15537 +tp15538 +a(g685 +g1011 +tp15539 +a(g900 +V\u000a +p15540 +tp15541 +a(g8 +V00000f40 +p15542 +tp15543 +a(g900 +g958 +tp15544 +a(g900 +g958 +tp15545 +a(g548 +V20 +p15546 +tp15547 +a(g900 +g958 +tp15548 +a(g548 +V20 +p15549 +tp15550 +a(g900 +g958 +tp15551 +a(g548 +V20 +p15552 +tp15553 +a(g900 +g958 +tp15554 +a(g548 +V20 +p15555 +tp15556 +a(g900 +g958 +tp15557 +a(g548 +V20 +p15558 +tp15559 +a(g900 +g958 +tp15560 +a(g548 +V20 +p15561 +tp15562 +a(g900 +g958 +tp15563 +a(g548 +V2f +p15564 +tp15565 +a(g900 +g958 +tp15566 +a(g548 +V2f +p15567 +tp15568 +a(g900 +g958 +tp15569 +a(g900 +g958 +tp15570 +a(g548 +V20 +p15571 +tp15572 +a(g900 +g958 +tp15573 +a(g548 +V28 +p15574 +tp15575 +a(g900 +g958 +tp15576 +a(g548 +V6e +p15577 +tp15578 +a(g900 +g958 +tp15579 +a(g548 +V65 +p15580 +tp15581 +a(g900 +g958 +tp15582 +a(g548 +V78 +p15583 +tp15584 +a(g900 +g958 +tp15585 +a(g548 +V74 +p15586 +tp15587 +a(g900 +g958 +tp15588 +a(g548 +V20 +p15589 +tp15590 +a(g900 +g958 +tp15591 +a(g548 +V70 +p15592 +tp15593 +a(g900 +V +p15594 +tp15595 +a(g685 +g1011 +tp15596 +a(g341 +V // (next p +p15597 +tp15598 +a(g685 +g1011 +tp15599 +a(g900 +V\u000a +p15600 +tp15601 +a(g8 +V00000f50 +p15602 +tp15603 +a(g900 +g958 +tp15604 +a(g900 +g958 +tp15605 +a(g548 +V69 +p15606 +tp15607 +a(g900 +g958 +tp15608 +a(g548 +V65 +p15609 +tp15610 +a(g900 +g958 +tp15611 +a(g548 +V63 +p15612 +tp15613 +a(g900 +g958 +tp15614 +a(g548 +V65 +p15615 +tp15616 +a(g900 +g958 +tp15617 +a(g548 +V20 +p15618 +tp15619 +a(g900 +g958 +tp15620 +a(g548 +V63 +p15621 +tp15622 +a(g900 +g958 +tp15623 +a(g548 +V61 +p15624 +tp15625 +a(g900 +g958 +tp15626 +a(g548 +V6e +p15627 +tp15628 +a(g900 +g958 +tp15629 +a(g900 +g958 +tp15630 +a(g548 +V20 +p15631 +tp15632 +a(g900 +g958 +tp15633 +a(g548 +V6e +p15634 +tp15635 +a(g900 +g958 +tp15636 +a(g548 +V6f +p15637 +tp15638 +a(g900 +g958 +tp15639 +a(g548 +V74 +p15640 +tp15641 +a(g900 +g958 +tp15642 +a(g548 +V20 +p15643 +tp15644 +a(g900 +g958 +tp15645 +a(g548 +V73 +p15646 +tp15647 +a(g900 +g958 +tp15648 +a(g548 +V74 +p15649 +tp15650 +a(g900 +g958 +tp15651 +a(g548 +V61 +p15652 +tp15653 +a(g900 +V +p15654 +tp15655 +a(g685 +g1011 +tp15656 +a(g341 +Viece can not sta +p15657 +tp15658 +a(g685 +g1011 +tp15659 +a(g900 +V\u000a +p15660 +tp15661 +a(g8 +V00000f60 +p15662 +tp15663 +a(g900 +g958 +tp15664 +a(g900 +g958 +tp15665 +a(g548 +V72 +p15666 +tp15667 +a(g900 +g958 +tp15668 +a(g548 +V74 +p15669 +tp15670 +a(g900 +g958 +tp15671 +a(g548 +V20 +p15672 +tp15673 +a(g900 +g958 +tp15674 +a(g548 +V77 +p15675 +tp15676 +a(g900 +g958 +tp15677 +a(g548 +V69 +p15678 +tp15679 +a(g900 +g958 +tp15680 +a(g548 +V74 +p15681 +tp15682 +a(g900 +g958 +tp15683 +a(g548 +V68 +p15684 +tp15685 +a(g900 +g958 +tp15686 +a(g548 +V20 +p15687 +tp15688 +a(g900 +g958 +tp15689 +a(g900 +g958 +tp15690 +a(g548 +V61 +p15691 +tp15692 +a(g900 +g958 +tp15693 +a(g548 +V20 +p15694 +tp15695 +a(g900 +g958 +tp15696 +a(g548 +V64 +p15697 +tp15698 +a(g900 +g958 +tp15699 +a(g548 +V69 +p15700 +tp15701 +a(g900 +g958 +tp15702 +a(g548 +V67 +p15703 +tp15704 +a(g900 +g958 +tp15705 +a(g548 +V69 +p15706 +tp15707 +a(g900 +g958 +tp15708 +a(g548 +V74 +p15709 +tp15710 +a(g900 +g958 +tp15711 +a(g548 +V29 +p15712 +tp15713 +a(g900 +V +p15714 +tp15715 +a(g685 +g1011 +tp15716 +a(g341 +Vrt with a digit) +p15717 +tp15718 +a(g685 +g1011 +tp15719 +a(g900 +V\u000a +p15720 +tp15721 +a(g8 +V00000f70 +p15722 +tp15723 +a(g900 +g958 +tp15724 +a(g900 +g958 +tp15725 +a(g548 +V20 +p15726 +tp15727 +a(g900 +g958 +tp15728 +a(g548 +V20 +p15729 +tp15730 +a(g900 +g958 +tp15731 +a(g548 +V20 +p15732 +tp15733 +a(g900 +g958 +tp15734 +a(g548 +V20 +p15735 +tp15736 +a(g900 +g958 +tp15737 +a(g548 +V20 +p15738 +tp15739 +a(g900 +g958 +tp15740 +a(g548 +V20 +p15741 +tp15742 +a(g900 +g958 +tp15743 +a(g548 +V20 +p15744 +tp15745 +a(g900 +g958 +tp15746 +a(g548 +V20 +p15747 +tp15748 +a(g900 +g958 +tp15749 +a(g900 +g958 +tp15750 +a(g548 +V20 +p15751 +tp15752 +a(g900 +g958 +tp15753 +a(g548 +V20 +p15754 +tp15755 +a(g900 +g958 +tp15756 +a(g548 +V0a +p15757 +tp15758 +a(g900 +g958 +tp15759 +a(g548 +V20 +p15760 +tp15761 +a(g900 +g958 +tp15762 +a(g548 +V20 +p15763 +tp15764 +a(g900 +g958 +tp15765 +a(g548 +V20 +p15766 +tp15767 +a(g900 +g958 +tp15768 +a(g548 +V20 +p15769 +tp15770 +a(g900 +g958 +tp15771 +a(g548 +V20 +p15772 +tp15773 +a(g900 +V +p15774 +tp15775 +a(g685 +g1011 +tp15776 +a(g341 +V . +p15777 +tp15778 +a(g685 +g1011 +tp15779 +a(g900 +V\u000a +p15780 +tp15781 +a(g8 +V00000f80 +p15782 +tp15783 +a(g900 +g958 +tp15784 +a(g900 +g958 +tp15785 +a(g548 +V20 +p15786 +tp15787 +a(g900 +g958 +tp15788 +a(g548 +V20 +p15789 +tp15790 +a(g900 +g958 +tp15791 +a(g548 +V20 +p15792 +tp15793 +a(g900 +g958 +tp15794 +a(g548 +V20 +p15795 +tp15796 +a(g900 +g958 +tp15797 +a(g548 +V20 +p15798 +tp15799 +a(g900 +g958 +tp15800 +a(g548 +V20 +p15801 +tp15802 +a(g900 +g958 +tp15803 +a(g548 +V20 +p15804 +tp15805 +a(g900 +g958 +tp15806 +a(g548 +V20 +p15807 +tp15808 +a(g900 +g958 +tp15809 +a(g900 +g958 +tp15810 +a(g548 +V20 +p15811 +tp15812 +a(g900 +g958 +tp15813 +a(g548 +V20 +p15814 +tp15815 +a(g900 +g958 +tp15816 +a(g548 +V20 +p15817 +tp15818 +a(g900 +g958 +tp15819 +a(g548 +V66 +p15820 +tp15821 +a(g900 +g958 +tp15822 +a(g548 +V6f +p15823 +tp15824 +a(g900 +g958 +tp15825 +a(g548 +V72 +p15826 +tp15827 +a(g900 +g958 +tp15828 +a(g548 +V65 +p15829 +tp15830 +a(g900 +g958 +tp15831 +a(g548 +V61 +p15832 +tp15833 +a(g900 +V +p15834 +tp15835 +a(g685 +g1011 +tp15836 +a(g341 +V forea +p15837 +tp15838 +a(g685 +g1011 +tp15839 +a(g900 +V\u000a +p15840 +tp15841 +a(g8 +V00000f90 +p15842 +tp15843 +a(g900 +g958 +tp15844 +a(g900 +g958 +tp15845 +a(g548 +V63 +p15846 +tp15847 +a(g900 +g958 +tp15848 +a(g548 +V68 +p15849 +tp15850 +a(g900 +g958 +tp15851 +a(g548 +V20 +p15852 +tp15853 +a(g900 +g958 +tp15854 +a(g548 +V28 +p15855 +tp15856 +a(g900 +g958 +tp15857 +a(g548 +V61 +p15858 +tp15859 +a(g900 +g958 +tp15860 +a(g548 +V3b +p15861 +tp15862 +a(g900 +g958 +tp15863 +a(g548 +V20 +p15864 +tp15865 +a(g900 +g958 +tp15866 +a(g548 +V5f +p15867 +tp15868 +a(g900 +g958 +tp15869 +a(g900 +g958 +tp15870 +a(g548 +V46 +p15871 +tp15872 +a(g900 +g958 +tp15873 +a(g548 +V69 +p15874 +tp15875 +a(g900 +g958 +tp15876 +a(g548 +V6e +p15877 +tp15878 +a(g900 +g958 +tp15879 +a(g548 +V64 +p15880 +tp15881 +a(g900 +g958 +tp15882 +a(g548 +V57 +p15883 +tp15884 +a(g900 +g958 +tp15885 +a(g548 +V6f +p15886 +tp15887 +a(g900 +g958 +tp15888 +a(g548 +V72 +p15889 +tp15890 +a(g900 +g958 +tp15891 +a(g548 +V64 +p15892 +tp15893 +a(g900 +V +p15894 +tp15895 +a(g685 +g1011 +tp15896 +a(g341 +Vch (a; _FindWord +p15897 +tp15898 +a(g685 +g1011 +tp15899 +a(g900 +V\u000a +p15900 +tp15901 +a(g8 +V00000fa0 +p15902 +tp15903 +a(g900 +g958 +tp15904 +a(g900 +g958 +tp15905 +a(g548 +V73 +p15906 +tp15907 +a(g900 +g958 +tp15908 +a(g548 +V28 +p15909 +tp15910 +a(g900 +g958 +tp15911 +a(g548 +V20 +p15912 +tp15913 +a(g900 +g958 +tp15914 +a(g548 +V6e +p15915 +tp15916 +a(g900 +g958 +tp15917 +a(g548 +V75 +p15918 +tp15919 +a(g900 +g958 +tp15920 +a(g548 +V6d +p15921 +tp15922 +a(g900 +g958 +tp15923 +a(g548 +V62 +p15924 +tp15925 +a(g900 +g958 +tp15926 +a(g548 +V65 +p15927 +tp15928 +a(g900 +g958 +tp15929 +a(g900 +g958 +tp15930 +a(g548 +V72 +p15931 +tp15932 +a(g900 +g958 +tp15933 +a(g548 +V73 +p15934 +tp15935 +a(g900 +g958 +tp15936 +a(g548 +V5b +p15937 +tp15938 +a(g900 +g958 +tp15939 +a(g548 +V31 +p15940 +tp15941 +a(g900 +g958 +tp15942 +a(g548 +V2e +p15943 +tp15944 +a(g900 +g958 +tp15945 +a(g548 +V2e +p15946 +tp15947 +a(g900 +g958 +tp15948 +a(g548 +V24 +p15949 +tp15950 +a(g900 +g958 +tp15951 +a(g548 +V5d +p15952 +tp15953 +a(g900 +V +p15954 +tp15955 +a(g685 +g1011 +tp15956 +a(g341 +Vs( numbers[1..$] +p15957 +tp15958 +a(g685 +g1011 +tp15959 +a(g900 +V\u000a +p15960 +tp15961 +a(g8 +V00000fb0 +p15962 +tp15963 +a(g900 +g958 +tp15964 +a(g900 +g958 +tp15965 +a(g548 +V2c +p15966 +tp15967 +a(g900 +g958 +tp15968 +a(g548 +V20 +p15969 +tp15970 +a(g900 +g958 +tp15971 +a(g548 +V66 +p15972 +tp15973 +a(g900 +g958 +tp15974 +a(g548 +V61 +p15975 +tp15976 +a(g900 +g958 +tp15977 +a(g548 +V6c +p15978 +tp15979 +a(g900 +g958 +tp15980 +a(g548 +V73 +p15981 +tp15982 +a(g900 +g958 +tp15983 +a(g548 +V65 +p15984 +tp15985 +a(g900 +g958 +tp15986 +a(g548 +V20 +p15987 +tp15988 +a(g900 +g958 +tp15989 +a(g900 +g958 +tp15990 +a(g548 +V29 +p15991 +tp15992 +a(g900 +g958 +tp15993 +a(g548 +V20 +p15994 +tp15995 +a(g900 +g958 +tp15996 +a(g548 +V29 +p15997 +tp15998 +a(g900 +g958 +tp15999 +a(g548 +V0a +p16000 +tp16001 +a(g900 +g958 +tp16002 +a(g548 +V20 +p16003 +tp16004 +a(g900 +g958 +tp16005 +a(g548 +V20 +p16006 +tp16007 +a(g900 +g958 +tp16008 +a(g548 +V20 +p16009 +tp16010 +a(g900 +g958 +tp16011 +a(g548 +V20 +p16012 +tp16013 +a(g900 +V +p16014 +tp16015 +a(g685 +g1011 +tp16016 +a(g341 +V, false ) ). +p16017 +tp16018 +a(g685 +g1011 +tp16019 +a(g900 +V\u000a +p16020 +tp16021 +a(g8 +V00000fc0 +p16022 +tp16023 +a(g900 +g958 +tp16024 +a(g900 +g958 +tp16025 +a(g548 +V20 +p16026 +tp16027 +a(g900 +g958 +tp16028 +a(g548 +V20 +p16029 +tp16030 +a(g900 +g958 +tp16031 +a(g548 +V20 +p16032 +tp16033 +a(g900 +g958 +tp16034 +a(g548 +V20 +p16035 +tp16036 +a(g900 +g958 +tp16037 +a(g548 +V20 +p16038 +tp16039 +a(g900 +g958 +tp16040 +a(g548 +V20 +p16041 +tp16042 +a(g900 +g958 +tp16043 +a(g548 +V20 +p16044 +tp16045 +a(g900 +g958 +tp16046 +a(g548 +V20 +p16047 +tp16048 +a(g900 +g958 +tp16049 +a(g900 +g958 +tp16050 +a(g548 +V20 +p16051 +tp16052 +a(g900 +g958 +tp16053 +a(g548 +V20 +p16054 +tp16055 +a(g900 +g958 +tp16056 +a(g548 +V20 +p16057 +tp16058 +a(g900 +g958 +tp16059 +a(g548 +V20 +p16060 +tp16061 +a(g900 +g958 +tp16062 +a(g548 +V20 +p16063 +tp16064 +a(g900 +g958 +tp16065 +a(g548 +V20 +p16066 +tp16067 +a(g900 +g958 +tp16068 +a(g548 +V20 +p16069 +tp16070 +a(g900 +g958 +tp16071 +a(g548 +V20 +p16072 +tp16073 +a(g900 +V +p16074 +tp16075 +a(g685 +g1011 +tp16076 +a(g341 +V +p16077 +tp16078 +a(g685 +g1011 +tp16079 +a(g900 +V\u000a +p16080 +tp16081 +a(g8 +V00000fd0 +p16082 +tp16083 +a(g900 +g958 +tp16084 +a(g900 +g958 +tp16085 +a(g548 +V72 +p16086 +tp16087 +a(g900 +g958 +tp16088 +a(g548 +V65 +p16089 +tp16090 +a(g900 +g958 +tp16091 +a(g548 +V74 +p16092 +tp16093 +a(g900 +g958 +tp16094 +a(g548 +V20 +p16095 +tp16096 +a(g900 +g958 +tp16097 +a(g548 +V7e +p16098 +tp16099 +a(g900 +g958 +tp16100 +a(g548 +V3d +p16101 +tp16102 +a(g900 +g958 +tp16103 +a(g548 +V20 +p16104 +tp16105 +a(g900 +g958 +tp16106 +a(g548 +V6e +p16107 +tp16108 +a(g900 +g958 +tp16109 +a(g900 +g958 +tp16110 +a(g548 +V75 +p16111 +tp16112 +a(g900 +g958 +tp16113 +a(g548 +V6d +p16114 +tp16115 +a(g900 +g958 +tp16116 +a(g548 +V62 +p16117 +tp16118 +a(g900 +g958 +tp16119 +a(g548 +V65 +p16120 +tp16121 +a(g900 +g958 +tp16122 +a(g548 +V72 +p16123 +tp16124 +a(g900 +g958 +tp16125 +a(g548 +V73 +p16126 +tp16127 +a(g900 +g958 +tp16128 +a(g548 +V5b +p16129 +tp16130 +a(g900 +g958 +tp16131 +a(g548 +V30 +p16132 +tp16133 +a(g900 +V +p16134 +tp16135 +a(g685 +g1011 +tp16136 +a(g341 +Vret ~= numbers[0 +p16137 +tp16138 +a(g685 +g1011 +tp16139 +a(g900 +V\u000a +p16140 +tp16141 +a(g8 +V00000fe0 +p16142 +tp16143 +a(g900 +g958 +tp16144 +a(g900 +g958 +tp16145 +a(g548 +V2e +p16146 +tp16147 +a(g900 +g958 +tp16148 +a(g548 +V2e +p16149 +tp16150 +a(g900 +g958 +tp16151 +a(g548 +V31 +p16152 +tp16153 +a(g900 +g958 +tp16154 +a(g548 +V5d +p16155 +tp16156 +a(g900 +g958 +tp16157 +a(g548 +V20 +p16158 +tp16159 +a(g900 +g958 +tp16160 +a(g548 +V7e +p16161 +tp16162 +a(g900 +g958 +tp16163 +a(g548 +V20 +p16164 +tp16165 +a(g900 +g958 +tp16166 +a(g548 +V22 +p16167 +tp16168 +a(g900 +g958 +tp16169 +a(g900 +g958 +tp16170 +a(g548 +V20 +p16171 +tp16172 +a(g900 +g958 +tp16173 +a(g548 +V22 +p16174 +tp16175 +a(g900 +g958 +tp16176 +a(g548 +V20 +p16177 +tp16178 +a(g900 +g958 +tp16179 +a(g548 +V7e +p16180 +tp16181 +a(g900 +g958 +tp16182 +a(g548 +V20 +p16183 +tp16184 +a(g900 +g958 +tp16185 +a(g548 +V61 +p16186 +tp16187 +a(g900 +g958 +tp16188 +a(g548 +V3b +p16189 +tp16190 +a(g900 +g958 +tp16191 +a(g548 +V0a +p16192 +tp16193 +a(g900 +V +p16194 +tp16195 +a(g685 +g1011 +tp16196 +a(g341 +V..1] ~ " " ~ a;. +p16197 +tp16198 +a(g685 +g1011 +tp16199 +a(g900 +V\u000a +p16200 +tp16201 +a(g8 +V00000ff0 +p16202 +tp16203 +a(g900 +g958 +tp16204 +a(g900 +g958 +tp16205 +a(g548 +V20 +p16206 +tp16207 +a(g900 +g958 +tp16208 +a(g548 +V20 +p16209 +tp16210 +a(g900 +g958 +tp16211 +a(g548 +V20 +p16212 +tp16213 +a(g900 +g958 +tp16214 +a(g548 +V20 +p16215 +tp16216 +a(g900 +g958 +tp16217 +a(g548 +V20 +p16218 +tp16219 +a(g900 +g958 +tp16220 +a(g548 +V20 +p16221 +tp16222 +a(g900 +g958 +tp16223 +a(g548 +V20 +p16224 +tp16225 +a(g900 +g958 +tp16226 +a(g548 +V20 +p16227 +tp16228 +a(g900 +g958 +tp16229 +a(g900 +g958 +tp16230 +a(g548 +V20 +p16231 +tp16232 +a(g900 +g958 +tp16233 +a(g548 +V20 +p16234 +tp16235 +a(g900 +g958 +tp16236 +a(g548 +V20 +p16237 +tp16238 +a(g900 +g958 +tp16239 +a(g548 +V20 +p16240 +tp16241 +a(g900 +g958 +tp16242 +a(g548 +V7d +p16243 +tp16244 +a(g900 +g958 +tp16245 +a(g548 +V20 +p16246 +tp16247 +a(g900 +g958 +tp16248 +a(g548 +V20 +p16249 +tp16250 +a(g900 +g958 +tp16251 +a(g548 +V20 +p16252 +tp16253 +a(g900 +V +p16254 +tp16255 +a(g685 +g1011 +tp16256 +a(g341 +V } +p16257 +tp16258 +a(g685 +g1011 +tp16259 +a(g900 +V\u000a +p16260 +tp16261 +a(g8 +V00001000 +p16262 +tp16263 +a(g900 +g958 +tp16264 +a(g900 +g958 +tp16265 +a(g548 +V20 +p16266 +tp16267 +a(g900 +g958 +tp16268 +a(g548 +V0a +p16269 +tp16270 +a(g900 +g958 +tp16271 +a(g548 +V20 +p16272 +tp16273 +a(g900 +g958 +tp16274 +a(g548 +V20 +p16275 +tp16276 +a(g900 +g958 +tp16277 +a(g548 +V20 +p16278 +tp16279 +a(g900 +g958 +tp16280 +a(g548 +V20 +p16281 +tp16282 +a(g900 +g958 +tp16283 +a(g548 +V20 +p16284 +tp16285 +a(g900 +g958 +tp16286 +a(g548 +V20 +p16287 +tp16288 +a(g900 +g958 +tp16289 +a(g900 +g958 +tp16290 +a(g548 +V20 +p16291 +tp16292 +a(g900 +g958 +tp16293 +a(g548 +V20 +p16294 +tp16295 +a(g900 +g958 +tp16296 +a(g548 +V20 +p16297 +tp16298 +a(g900 +g958 +tp16299 +a(g548 +V20 +p16300 +tp16301 +a(g900 +g958 +tp16302 +a(g548 +V20 +p16303 +tp16304 +a(g900 +g958 +tp16305 +a(g548 +V20 +p16306 +tp16307 +a(g900 +g958 +tp16308 +a(g548 +V65 +p16309 +tp16310 +a(g900 +g958 +tp16311 +a(g548 +V6c +p16312 +tp16313 +a(g900 +V +p16314 +tp16315 +a(g685 +g1011 +tp16316 +a(g341 +V . el +p16317 +tp16318 +a(g685 +g1011 +tp16319 +a(g900 +V\u000a +p16320 +tp16321 +a(g8 +V00001010 +p16322 +tp16323 +a(g900 +g958 +tp16324 +a(g900 +g958 +tp16325 +a(g548 +V73 +p16326 +tp16327 +a(g900 +g958 +tp16328 +a(g548 +V65 +p16329 +tp16330 +a(g900 +g958 +tp16331 +a(g548 +V20 +p16332 +tp16333 +a(g900 +g958 +tp16334 +a(g548 +V20 +p16335 +tp16336 +a(g900 +g958 +tp16337 +a(g548 +V20 +p16338 +tp16339 +a(g900 +g958 +tp16340 +a(g548 +V20 +p16341 +tp16342 +a(g900 +g958 +tp16343 +a(g548 +V0a +p16344 +tp16345 +a(g900 +g958 +tp16346 +a(g548 +V20 +p16347 +tp16348 +a(g900 +g958 +tp16349 +a(g900 +g958 +tp16350 +a(g548 +V20 +p16351 +tp16352 +a(g900 +g958 +tp16353 +a(g548 +V20 +p16354 +tp16355 +a(g900 +g958 +tp16356 +a(g548 +V20 +p16357 +tp16358 +a(g900 +g958 +tp16359 +a(g548 +V20 +p16360 +tp16361 +a(g900 +g958 +tp16362 +a(g548 +V20 +p16363 +tp16364 +a(g900 +g958 +tp16365 +a(g548 +V20 +p16366 +tp16367 +a(g900 +g958 +tp16368 +a(g548 +V20 +p16369 +tp16370 +a(g900 +g958 +tp16371 +a(g548 +V20 +p16372 +tp16373 +a(g900 +V +p16374 +tp16375 +a(g685 +g1011 +tp16376 +a(g341 +Vse . +p16377 +tp16378 +a(g685 +g1011 +tp16379 +a(g900 +V\u000a +p16380 +tp16381 +a(g8 +V00001020 +p16382 +tp16383 +a(g900 +g958 +tp16384 +a(g900 +g958 +tp16385 +a(g548 +V20 +p16386 +tp16387 +a(g900 +g958 +tp16388 +a(g548 +V20 +p16389 +tp16390 +a(g900 +g958 +tp16391 +a(g548 +V20 +p16392 +tp16393 +a(g900 +g958 +tp16394 +a(g548 +V20 +p16395 +tp16396 +a(g900 +g958 +tp16397 +a(g548 +V20 +p16398 +tp16399 +a(g900 +g958 +tp16400 +a(g548 +V20 +p16401 +tp16402 +a(g900 +g958 +tp16403 +a(g548 +V20 +p16404 +tp16405 +a(g900 +g958 +tp16406 +a(g548 +V72 +p16407 +tp16408 +a(g900 +g958 +tp16409 +a(g900 +g958 +tp16410 +a(g548 +V65 +p16411 +tp16412 +a(g900 +g958 +tp16413 +a(g548 +V74 +p16414 +tp16415 +a(g900 +g958 +tp16416 +a(g548 +V20 +p16417 +tp16418 +a(g900 +g958 +tp16419 +a(g548 +V7e +p16420 +tp16421 +a(g900 +g958 +tp16422 +a(g548 +V3d +p16423 +tp16424 +a(g900 +g958 +tp16425 +a(g548 +V20 +p16426 +tp16427 +a(g900 +g958 +tp16428 +a(g548 +V6e +p16429 +tp16430 +a(g900 +g958 +tp16431 +a(g548 +V75 +p16432 +tp16433 +a(g900 +V +p16434 +tp16435 +a(g685 +g1011 +tp16436 +a(g341 +V ret ~= nu +p16437 +tp16438 +a(g685 +g1011 +tp16439 +a(g900 +V\u000a +p16440 +tp16441 +a(g8 +V00001030 +p16442 +tp16443 +a(g900 +g958 +tp16444 +a(g900 +g958 +tp16445 +a(g548 +V6d +p16446 +tp16447 +a(g900 +g958 +tp16448 +a(g548 +V62 +p16449 +tp16450 +a(g900 +g958 +tp16451 +a(g548 +V65 +p16452 +tp16453 +a(g900 +g958 +tp16454 +a(g548 +V72 +p16455 +tp16456 +a(g900 +g958 +tp16457 +a(g548 +V73 +p16458 +tp16459 +a(g900 +g958 +tp16460 +a(g548 +V5b +p16461 +tp16462 +a(g900 +g958 +tp16463 +a(g548 +V30 +p16464 +tp16465 +a(g900 +g958 +tp16466 +a(g548 +V2e +p16467 +tp16468 +a(g900 +g958 +tp16469 +a(g900 +g958 +tp16470 +a(g548 +V2e +p16471 +tp16472 +a(g900 +g958 +tp16473 +a(g548 +V31 +p16474 +tp16475 +a(g900 +g958 +tp16476 +a(g548 +V5d +p16477 +tp16478 +a(g900 +g958 +tp16479 +a(g548 +V3b +p16480 +tp16481 +a(g900 +g958 +tp16482 +a(g548 +V20 +p16483 +tp16484 +a(g900 +g958 +tp16485 +a(g548 +V20 +p16486 +tp16487 +a(g900 +g958 +tp16488 +a(g548 +V20 +p16489 +tp16490 +a(g900 +g958 +tp16491 +a(g548 +V20 +p16492 +tp16493 +a(g900 +V +p16494 +tp16495 +a(g685 +g1011 +tp16496 +a(g341 +Vmbers[0..1]; +p16497 +tp16498 +a(g685 +g1011 +tp16499 +a(g900 +V\u000a +p16500 +tp16501 +a(g8 +V00001040 +p16502 +tp16503 +a(g900 +g958 +tp16504 +a(g900 +g958 +tp16505 +a(g548 +V2f +p16506 +tp16507 +a(g900 +g958 +tp16508 +a(g548 +V2f +p16509 +tp16510 +a(g900 +g958 +tp16511 +a(g548 +V20 +p16512 +tp16513 +a(g900 +g958 +tp16514 +a(g548 +V6a +p16515 +tp16516 +a(g900 +g958 +tp16517 +a(g548 +V75 +p16518 +tp16519 +a(g900 +g958 +tp16520 +a(g548 +V73 +p16521 +tp16522 +a(g900 +g958 +tp16523 +a(g548 +V74 +p16524 +tp16525 +a(g900 +g958 +tp16526 +a(g548 +V20 +p16527 +tp16528 +a(g900 +g958 +tp16529 +a(g900 +g958 +tp16530 +a(g548 +V61 +p16531 +tp16532 +a(g900 +g958 +tp16533 +a(g548 +V70 +p16534 +tp16535 +a(g900 +g958 +tp16536 +a(g548 +V70 +p16537 +tp16538 +a(g900 +g958 +tp16539 +a(g548 +V65 +p16540 +tp16541 +a(g900 +g958 +tp16542 +a(g548 +V6e +p16543 +tp16544 +a(g900 +g958 +tp16545 +a(g548 +V64 +p16546 +tp16547 +a(g900 +g958 +tp16548 +a(g548 +V20 +p16549 +tp16550 +a(g900 +g958 +tp16551 +a(g548 +V74 +p16552 +tp16553 +a(g900 +V +p16554 +tp16555 +a(g685 +g1011 +tp16556 +a(g341 +V// just append t +p16557 +tp16558 +a(g685 +g1011 +tp16559 +a(g900 +V\u000a +p16560 +tp16561 +a(g8 +V00001050 +p16562 +tp16563 +a(g900 +g958 +tp16564 +a(g900 +g958 +tp16565 +a(g548 +V68 +p16566 +tp16567 +a(g900 +g958 +tp16568 +a(g548 +V69 +p16569 +tp16570 +a(g900 +g958 +tp16571 +a(g548 +V73 +p16572 +tp16573 +a(g900 +g958 +tp16574 +a(g548 +V20 +p16575 +tp16576 +a(g900 +g958 +tp16577 +a(g548 +V64 +p16578 +tp16579 +a(g900 +g958 +tp16580 +a(g548 +V69 +p16581 +tp16582 +a(g900 +g958 +tp16583 +a(g548 +V67 +p16584 +tp16585 +a(g900 +g958 +tp16586 +a(g548 +V69 +p16587 +tp16588 +a(g900 +g958 +tp16589 +a(g900 +g958 +tp16590 +a(g548 +V74 +p16591 +tp16592 +a(g900 +g958 +tp16593 +a(g548 +V20 +p16594 +tp16595 +a(g900 +g958 +tp16596 +a(g548 +V20 +p16597 +tp16598 +a(g900 +g958 +tp16599 +a(g548 +V20 +p16600 +tp16601 +a(g900 +g958 +tp16602 +a(g548 +V20 +p16603 +tp16604 +a(g900 +g958 +tp16605 +a(g548 +V20 +p16606 +tp16607 +a(g900 +g958 +tp16608 +a(g548 +V20 +p16609 +tp16610 +a(g900 +g958 +tp16611 +a(g548 +V20 +p16612 +tp16613 +a(g900 +V +p16614 +tp16615 +a(g685 +g1011 +tp16616 +a(g341 +Vhis digit +p16617 +tp16618 +a(g685 +g1011 +tp16619 +a(g900 +V\u000a +p16620 +tp16621 +a(g8 +V00001060 +p16622 +tp16623 +a(g900 +g958 +tp16624 +a(g900 +g958 +tp16625 +a(g548 +V20 +p16626 +tp16627 +a(g900 +g958 +tp16628 +a(g548 +V20 +p16629 +tp16630 +a(g900 +g958 +tp16631 +a(g548 +V20 +p16632 +tp16633 +a(g900 +g958 +tp16634 +a(g548 +V20 +p16635 +tp16636 +a(g900 +g958 +tp16637 +a(g548 +V20 +p16638 +tp16639 +a(g900 +g958 +tp16640 +a(g548 +V20 +p16641 +tp16642 +a(g900 +g958 +tp16643 +a(g548 +V0a +p16644 +tp16645 +a(g900 +g958 +tp16646 +a(g548 +V20 +p16647 +tp16648 +a(g900 +g958 +tp16649 +a(g900 +g958 +tp16650 +a(g548 +V20 +p16651 +tp16652 +a(g900 +g958 +tp16653 +a(g548 +V20 +p16654 +tp16655 +a(g900 +g958 +tp16656 +a(g548 +V20 +p16657 +tp16658 +a(g900 +g958 +tp16659 +a(g548 +V20 +p16660 +tp16661 +a(g900 +g958 +tp16662 +a(g548 +V20 +p16663 +tp16664 +a(g900 +g958 +tp16665 +a(g548 +V20 +p16666 +tp16667 +a(g900 +g958 +tp16668 +a(g548 +V20 +p16669 +tp16670 +a(g900 +g958 +tp16671 +a(g548 +V7d +p16672 +tp16673 +a(g900 +V +p16674 +tp16675 +a(g685 +g1011 +tp16676 +a(g341 +V . } +p16677 +tp16678 +a(g685 +g1011 +tp16679 +a(g900 +V\u000a +p16680 +tp16681 +a(g8 +V00001070 +p16682 +tp16683 +a(g900 +g958 +tp16684 +a(g900 +g958 +tp16685 +a(g548 +V20 +p16686 +tp16687 +a(g900 +g958 +tp16688 +a(g548 +V20 +p16689 +tp16690 +a(g900 +g958 +tp16691 +a(g548 +V20 +p16692 +tp16693 +a(g900 +g958 +tp16694 +a(g548 +V20 +p16695 +tp16696 +a(g900 +g958 +tp16697 +a(g548 +V0a +p16698 +tp16699 +a(g900 +g958 +tp16700 +a(g548 +V20 +p16701 +tp16702 +a(g900 +g958 +tp16703 +a(g548 +V20 +p16704 +tp16705 +a(g900 +g958 +tp16706 +a(g548 +V20 +p16707 +tp16708 +a(g900 +g958 +tp16709 +a(g900 +g958 +tp16710 +a(g548 +V20 +p16711 +tp16712 +a(g900 +g958 +tp16713 +a(g548 +V20 +p16714 +tp16715 +a(g900 +g958 +tp16716 +a(g548 +V20 +p16717 +tp16718 +a(g900 +g958 +tp16719 +a(g548 +V20 +p16720 +tp16721 +a(g900 +g958 +tp16722 +a(g548 +V20 +p16723 +tp16724 +a(g900 +g958 +tp16725 +a(g548 +V72 +p16726 +tp16727 +a(g900 +g958 +tp16728 +a(g548 +V65 +p16729 +tp16730 +a(g900 +g958 +tp16731 +a(g548 +V74 +p16732 +tp16733 +a(g900 +V +p16734 +tp16735 +a(g685 +g1011 +tp16736 +a(g341 +V . ret +p16737 +tp16738 +a(g685 +g1011 +tp16739 +a(g900 +V\u000a +p16740 +tp16741 +a(g8 +V00001080 +p16742 +tp16743 +a(g900 +g958 +tp16744 +a(g900 +g958 +tp16745 +a(g548 +V75 +p16746 +tp16747 +a(g900 +g958 +tp16748 +a(g548 +V72 +p16749 +tp16750 +a(g900 +g958 +tp16751 +a(g548 +V6e +p16752 +tp16753 +a(g900 +g958 +tp16754 +a(g548 +V20 +p16755 +tp16756 +a(g900 +g958 +tp16757 +a(g548 +V72 +p16758 +tp16759 +a(g900 +g958 +tp16760 +a(g548 +V65 +p16761 +tp16762 +a(g900 +g958 +tp16763 +a(g548 +V74 +p16764 +tp16765 +a(g900 +g958 +tp16766 +a(g548 +V3b +p16767 +tp16768 +a(g900 +g958 +tp16769 +a(g900 +g958 +tp16770 +a(g548 +V0a +p16771 +tp16772 +a(g900 +g958 +tp16773 +a(g548 +V20 +p16774 +tp16775 +a(g900 +g958 +tp16776 +a(g548 +V20 +p16777 +tp16778 +a(g900 +g958 +tp16779 +a(g548 +V20 +p16780 +tp16781 +a(g900 +g958 +tp16782 +a(g548 +V20 +p16783 +tp16784 +a(g900 +g958 +tp16785 +a(g548 +V7d +p16786 +tp16787 +a(g900 +g958 +tp16788 +a(g548 +V0a +p16789 +tp16790 +a(g900 +g958 +tp16791 +a(g548 +V0a +p16792 +tp16793 +a(g900 +V +p16794 +tp16795 +a(g685 +g1011 +tp16796 +a(g341 +Vurn ret;. }.. +p16797 +tp16798 +a(g685 +g1011 +tp16799 +a(g900 +V\u000a +p16800 +tp16801 +a(g8 +V00001090 +p16802 +tp16803 +a(g900 +g958 +tp16804 +a(g900 +g958 +tp16805 +a(g548 +V20 +p16806 +tp16807 +a(g900 +g958 +tp16808 +a(g548 +V20 +p16809 +tp16810 +a(g900 +g958 +tp16811 +a(g548 +V20 +p16812 +tp16813 +a(g900 +g958 +tp16814 +a(g548 +V20 +p16815 +tp16816 +a(g900 +g958 +tp16817 +a(g548 +V2f +p16818 +tp16819 +a(g900 +g958 +tp16820 +a(g548 +V2f +p16821 +tp16822 +a(g900 +g958 +tp16823 +a(g548 +V2f +p16824 +tp16825 +a(g900 +g958 +tp16826 +a(g548 +V20 +p16827 +tp16828 +a(g900 +g958 +tp16829 +a(g900 +g958 +tp16830 +a(g548 +V28 +p16831 +tp16832 +a(g900 +g958 +tp16833 +a(g548 +V54 +p16834 +tp16835 +a(g900 +g958 +tp16836 +a(g548 +V68 +p16837 +tp16838 +a(g900 +g958 +tp16839 +a(g548 +V69 +p16840 +tp16841 +a(g900 +g958 +tp16842 +a(g548 +V73 +p16843 +tp16844 +a(g900 +g958 +tp16845 +a(g548 +V20 +p16846 +tp16847 +a(g900 +g958 +tp16848 +a(g548 +V66 +p16849 +tp16850 +a(g900 +g958 +tp16851 +a(g548 +V75 +p16852 +tp16853 +a(g900 +V +p16854 +tp16855 +a(g685 +g1011 +tp16856 +a(g341 +V /// (This fu +p16857 +tp16858 +a(g685 +g1011 +tp16859 +a(g900 +V\u000a +p16860 +tp16861 +a(g8 +V000010a0 +p16862 +tp16863 +a(g900 +g958 +tp16864 +a(g900 +g958 +tp16865 +a(g548 +V6e +p16866 +tp16867 +a(g900 +g958 +tp16868 +a(g548 +V63 +p16869 +tp16870 +a(g900 +g958 +tp16871 +a(g548 +V74 +p16872 +tp16873 +a(g900 +g958 +tp16874 +a(g548 +V69 +p16875 +tp16876 +a(g900 +g958 +tp16877 +a(g548 +V6f +p16878 +tp16879 +a(g900 +g958 +tp16880 +a(g548 +V6e +p16881 +tp16882 +a(g900 +g958 +tp16883 +a(g548 +V20 +p16884 +tp16885 +a(g900 +g958 +tp16886 +a(g548 +V77 +p16887 +tp16888 +a(g900 +g958 +tp16889 +a(g900 +g958 +tp16890 +a(g548 +V61 +p16891 +tp16892 +a(g900 +g958 +tp16893 +a(g548 +V73 +p16894 +tp16895 +a(g900 +g958 +tp16896 +a(g548 +V20 +p16897 +tp16898 +a(g900 +g958 +tp16899 +a(g548 +V69 +p16900 +tp16901 +a(g900 +g958 +tp16902 +a(g548 +V6e +p16903 +tp16904 +a(g900 +g958 +tp16905 +a(g548 +V6c +p16906 +tp16907 +a(g900 +g958 +tp16908 +a(g548 +V69 +p16909 +tp16910 +a(g900 +g958 +tp16911 +a(g548 +V6e +p16912 +tp16913 +a(g900 +V +p16914 +tp16915 +a(g685 +g1011 +tp16916 +a(g341 +Vnction was inlin +p16917 +tp16918 +a(g685 +g1011 +tp16919 +a(g900 +V\u000a +p16920 +tp16921 +a(g8 +V000010b0 +p16922 +tp16923 +a(g900 +g958 +tp16924 +a(g900 +g958 +tp16925 +a(g548 +V65 +p16926 +tp16927 +a(g900 +g958 +tp16928 +a(g548 +V64 +p16929 +tp16930 +a(g900 +g958 +tp16931 +a(g548 +V20 +p16932 +tp16933 +a(g900 +g958 +tp16934 +a(g548 +V69 +p16935 +tp16936 +a(g900 +g958 +tp16937 +a(g548 +V6e +p16938 +tp16939 +a(g900 +g958 +tp16940 +a(g548 +V20 +p16941 +tp16942 +a(g900 +g958 +tp16943 +a(g548 +V74 +p16944 +tp16945 +a(g900 +g958 +tp16946 +a(g548 +V68 +p16947 +tp16948 +a(g900 +g958 +tp16949 +a(g900 +g958 +tp16950 +a(g548 +V65 +p16951 +tp16952 +a(g900 +g958 +tp16953 +a(g548 +V20 +p16954 +tp16955 +a(g900 +g958 +tp16956 +a(g548 +V6f +p16957 +tp16958 +a(g900 +g958 +tp16959 +a(g548 +V72 +p16960 +tp16961 +a(g900 +g958 +tp16962 +a(g548 +V69 +p16963 +tp16964 +a(g900 +g958 +tp16965 +a(g548 +V67 +p16966 +tp16967 +a(g900 +g958 +tp16968 +a(g548 +V69 +p16969 +tp16970 +a(g900 +g958 +tp16971 +a(g548 +V6e +p16972 +tp16973 +a(g900 +V +p16974 +tp16975 +a(g685 +g1011 +tp16976 +a(g341 +Ved in the origin +p16977 +tp16978 +a(g685 +g1011 +tp16979 +a(g900 +V\u000a +p16980 +tp16981 +a(g8 +V000010c0 +p16982 +tp16983 +a(g900 +g958 +tp16984 +a(g900 +g958 +tp16985 +a(g548 +V61 +p16986 +tp16987 +a(g900 +g958 +tp16988 +a(g548 +V6c +p16989 +tp16990 +a(g900 +g958 +tp16991 +a(g548 +V20 +p16992 +tp16993 +a(g900 +g958 +tp16994 +a(g548 +V70 +p16995 +tp16996 +a(g900 +g958 +tp16997 +a(g548 +V72 +p16998 +tp16999 +a(g900 +g958 +tp17000 +a(g548 +V6f +p17001 +tp17002 +a(g900 +g958 +tp17003 +a(g548 +V67 +p17004 +tp17005 +a(g900 +g958 +tp17006 +a(g548 +V72 +p17007 +tp17008 +a(g900 +g958 +tp17009 +a(g900 +g958 +tp17010 +a(g548 +V61 +p17011 +tp17012 +a(g900 +g958 +tp17013 +a(g548 +V6d +p17014 +tp17015 +a(g900 +g958 +tp17016 +a(g548 +V29 +p17017 +tp17018 +a(g900 +g958 +tp17019 +a(g548 +V20 +p17020 +tp17021 +a(g900 +g958 +tp17022 +a(g548 +V0a +p17023 +tp17024 +a(g900 +g958 +tp17025 +a(g548 +V20 +p17026 +tp17027 +a(g900 +g958 +tp17028 +a(g548 +V20 +p17029 +tp17030 +a(g900 +g958 +tp17031 +a(g548 +V20 +p17032 +tp17033 +a(g900 +V +p17034 +tp17035 +a(g685 +g1011 +tp17036 +a(g341 +Val program) . +p17037 +tp17038 +a(g685 +g1011 +tp17039 +a(g900 +V\u000a +p17040 +tp17041 +a(g8 +V000010d0 +p17042 +tp17043 +a(g900 +g958 +tp17044 +a(g900 +g958 +tp17045 +a(g548 +V20 +p17046 +tp17047 +a(g900 +g958 +tp17048 +a(g548 +V2f +p17049 +tp17050 +a(g900 +g958 +tp17051 +a(g548 +V2f +p17052 +tp17053 +a(g900 +g958 +tp17054 +a(g548 +V2f +p17055 +tp17056 +a(g900 +g958 +tp17057 +a(g548 +V20 +p17058 +tp17059 +a(g900 +g958 +tp17060 +a(g548 +V46 +p17061 +tp17062 +a(g900 +g958 +tp17063 +a(g548 +V69 +p17064 +tp17065 +a(g900 +g958 +tp17066 +a(g548 +V6e +p17067 +tp17068 +a(g900 +g958 +tp17069 +a(g900 +g958 +tp17070 +a(g548 +V64 +p17071 +tp17072 +a(g900 +g958 +tp17073 +a(g548 +V73 +p17074 +tp17075 +a(g900 +g958 +tp17076 +a(g548 +V20 +p17077 +tp17078 +a(g900 +g958 +tp17079 +a(g548 +V61 +p17080 +tp17081 +a(g900 +g958 +tp17082 +a(g548 +V6c +p17083 +tp17084 +a(g900 +g958 +tp17085 +a(g548 +V6c +p17086 +tp17087 +a(g900 +g958 +tp17088 +a(g548 +V20 +p17089 +tp17090 +a(g900 +g958 +tp17091 +a(g548 +V61 +p17092 +tp17093 +a(g900 +V +p17094 +tp17095 +a(g685 +g1011 +tp17096 +a(g341 +V /// Finds all a +p17097 +tp17098 +a(g685 +g1011 +tp17099 +a(g900 +V\u000a +p17100 +tp17101 +a(g8 +V000010e0 +p17102 +tp17103 +a(g900 +g958 +tp17104 +a(g900 +g958 +tp17105 +a(g548 +V6c +p17106 +tp17107 +a(g900 +g958 +tp17108 +a(g548 +V74 +p17109 +tp17110 +a(g900 +g958 +tp17111 +a(g548 +V65 +p17112 +tp17113 +a(g900 +g958 +tp17114 +a(g548 +V72 +p17115 +tp17116 +a(g900 +g958 +tp17117 +a(g548 +V6e +p17118 +tp17119 +a(g900 +g958 +tp17120 +a(g548 +V61 +p17121 +tp17122 +a(g900 +g958 +tp17123 +a(g548 +V74 +p17124 +tp17125 +a(g900 +g958 +tp17126 +a(g548 +V69 +p17127 +tp17128 +a(g900 +g958 +tp17129 +a(g900 +g958 +tp17130 +a(g548 +V76 +p17131 +tp17132 +a(g900 +g958 +tp17133 +a(g548 +V65 +p17134 +tp17135 +a(g900 +g958 +tp17136 +a(g548 +V73 +p17137 +tp17138 +a(g900 +g958 +tp17139 +a(g548 +V20 +p17140 +tp17141 +a(g900 +g958 +tp17142 +a(g548 +V66 +p17143 +tp17144 +a(g900 +g958 +tp17145 +a(g548 +V6f +p17146 +tp17147 +a(g900 +g958 +tp17148 +a(g548 +V72 +p17149 +tp17150 +a(g900 +g958 +tp17151 +a(g548 +V20 +p17152 +tp17153 +a(g900 +V +p17154 +tp17155 +a(g685 +g1011 +tp17156 +a(g341 +Vlternatives for +p17157 +tp17158 +a(g685 +g1011 +tp17159 +a(g900 +V\u000a +p17160 +tp17161 +a(g8 +V000010f0 +p17162 +tp17163 +a(g900 +g958 +tp17164 +a(g900 +g958 +tp17165 +a(g548 +V74 +p17166 +tp17167 +a(g900 +g958 +tp17168 +a(g548 +V68 +p17169 +tp17170 +a(g900 +g958 +tp17171 +a(g548 +V65 +p17172 +tp17173 +a(g900 +g958 +tp17174 +a(g548 +V20 +p17175 +tp17176 +a(g900 +g958 +tp17177 +a(g548 +V67 +p17178 +tp17179 +a(g900 +g958 +tp17180 +a(g548 +V69 +p17181 +tp17182 +a(g900 +g958 +tp17183 +a(g548 +V76 +p17184 +tp17185 +a(g900 +g958 +tp17186 +a(g548 +V65 +p17187 +tp17188 +a(g900 +g958 +tp17189 +a(g900 +g958 +tp17190 +a(g548 +V6e +p17191 +tp17192 +a(g900 +g958 +tp17193 +a(g548 +V20 +p17194 +tp17195 +a(g900 +g958 +tp17196 +a(g548 +V70 +p17197 +tp17198 +a(g900 +g958 +tp17199 +a(g548 +V68 +p17200 +tp17201 +a(g900 +g958 +tp17202 +a(g548 +V6f +p17203 +tp17204 +a(g900 +g958 +tp17205 +a(g548 +V6e +p17206 +tp17207 +a(g900 +g958 +tp17208 +a(g548 +V65 +p17209 +tp17210 +a(g900 +g958 +tp17211 +a(g548 +V20 +p17212 +tp17213 +a(g900 +V +p17214 +tp17215 +a(g685 +g1011 +tp17216 +a(g341 +Vthe given phone +p17217 +tp17218 +a(g685 +g1011 +tp17219 +a(g900 +V\u000a +p17220 +tp17221 +a(g8 +V00001100 +p17222 +tp17223 +a(g900 +g958 +tp17224 +a(g900 +g958 +tp17225 +a(g548 +V6e +p17226 +tp17227 +a(g900 +g958 +tp17228 +a(g548 +V75 +p17229 +tp17230 +a(g900 +g958 +tp17231 +a(g548 +V6d +p17232 +tp17233 +a(g900 +g958 +tp17234 +a(g548 +V62 +p17235 +tp17236 +a(g900 +g958 +tp17237 +a(g548 +V65 +p17238 +tp17239 +a(g900 +g958 +tp17240 +a(g548 +V72 +p17241 +tp17242 +a(g900 +g958 +tp17243 +a(g548 +V20 +p17244 +tp17245 +a(g900 +g958 +tp17246 +a(g548 +V0a +p17247 +tp17248 +a(g900 +g958 +tp17249 +a(g900 +g958 +tp17250 +a(g548 +V20 +p17251 +tp17252 +a(g900 +g958 +tp17253 +a(g548 +V20 +p17254 +tp17255 +a(g900 +g958 +tp17256 +a(g548 +V20 +p17257 +tp17258 +a(g900 +g958 +tp17259 +a(g548 +V20 +p17260 +tp17261 +a(g900 +g958 +tp17262 +a(g548 +V2f +p17263 +tp17264 +a(g900 +g958 +tp17265 +a(g548 +V2f +p17266 +tp17267 +a(g900 +g958 +tp17268 +a(g548 +V2f +p17269 +tp17270 +a(g900 +g958 +tp17271 +a(g548 +V20 +p17272 +tp17273 +a(g900 +V +p17274 +tp17275 +a(g685 +g1011 +tp17276 +a(g341 +Vnumber . /// +p17277 +tp17278 +a(g685 +g1011 +tp17279 +a(g900 +V\u000a +p17280 +tp17281 +a(g8 +V00001110 +p17282 +tp17283 +a(g900 +g958 +tp17284 +a(g900 +g958 +tp17285 +a(g548 +V52 +p17286 +tp17287 +a(g900 +g958 +tp17288 +a(g548 +V65 +p17289 +tp17290 +a(g900 +g958 +tp17291 +a(g548 +V74 +p17292 +tp17293 +a(g900 +g958 +tp17294 +a(g548 +V75 +p17295 +tp17296 +a(g900 +g958 +tp17297 +a(g548 +V72 +p17298 +tp17299 +a(g900 +g958 +tp17300 +a(g548 +V6e +p17301 +tp17302 +a(g900 +g958 +tp17303 +a(g548 +V73 +p17304 +tp17305 +a(g900 +g958 +tp17306 +a(g548 +V3a +p17307 +tp17308 +a(g900 +g958 +tp17309 +a(g900 +g958 +tp17310 +a(g548 +V20 +p17311 +tp17312 +a(g900 +g958 +tp17313 +a(g548 +V61 +p17314 +tp17315 +a(g900 +g958 +tp17316 +a(g548 +V72 +p17317 +tp17318 +a(g900 +g958 +tp17319 +a(g548 +V72 +p17320 +tp17321 +a(g900 +g958 +tp17322 +a(g548 +V61 +p17323 +tp17324 +a(g900 +g958 +tp17325 +a(g548 +V79 +p17326 +tp17327 +a(g900 +g958 +tp17328 +a(g548 +V20 +p17329 +tp17330 +a(g900 +g958 +tp17331 +a(g548 +V6f +p17332 +tp17333 +a(g900 +V +p17334 +tp17335 +a(g685 +g1011 +tp17336 +a(g341 +VReturns: array o +p17337 +tp17338 +a(g685 +g1011 +tp17339 +a(g900 +V\u000a +p17340 +tp17341 +a(g8 +V00001120 +p17342 +tp17343 +a(g900 +g958 +tp17344 +a(g900 +g958 +tp17345 +a(g548 +V66 +p17346 +tp17347 +a(g900 +g958 +tp17348 +a(g548 +V20 +p17349 +tp17350 +a(g900 +g958 +tp17351 +a(g548 +V73 +p17352 +tp17353 +a(g900 +g958 +tp17354 +a(g548 +V74 +p17355 +tp17356 +a(g900 +g958 +tp17357 +a(g548 +V72 +p17358 +tp17359 +a(g900 +g958 +tp17360 +a(g548 +V69 +p17361 +tp17362 +a(g900 +g958 +tp17363 +a(g548 +V6e +p17364 +tp17365 +a(g900 +g958 +tp17366 +a(g548 +V67 +p17367 +tp17368 +a(g900 +g958 +tp17369 +a(g900 +g958 +tp17370 +a(g548 +V73 +p17371 +tp17372 +a(g900 +g958 +tp17373 +a(g548 +V20 +p17374 +tp17375 +a(g900 +g958 +tp17376 +a(g548 +V0a +p17377 +tp17378 +a(g900 +g958 +tp17379 +a(g548 +V20 +p17380 +tp17381 +a(g900 +g958 +tp17382 +a(g548 +V20 +p17383 +tp17384 +a(g900 +g958 +tp17385 +a(g548 +V20 +p17386 +tp17387 +a(g900 +g958 +tp17388 +a(g548 +V20 +p17389 +tp17390 +a(g900 +g958 +tp17391 +a(g548 +V73 +p17392 +tp17393 +a(g900 +V +p17394 +tp17395 +a(g685 +g1011 +tp17396 +a(g341 +Vf strings . s +p17397 +tp17398 +a(g685 +g1011 +tp17399 +a(g900 +V\u000a +p17400 +tp17401 +a(g8 +V00001130 +p17402 +tp17403 +a(g900 +g958 +tp17404 +a(g900 +g958 +tp17405 +a(g548 +V74 +p17406 +tp17407 +a(g900 +g958 +tp17408 +a(g548 +V72 +p17409 +tp17410 +a(g900 +g958 +tp17411 +a(g548 +V69 +p17412 +tp17413 +a(g900 +g958 +tp17414 +a(g548 +V6e +p17415 +tp17416 +a(g900 +g958 +tp17417 +a(g548 +V67 +p17418 +tp17419 +a(g900 +g958 +tp17420 +a(g548 +V61 +p17421 +tp17422 +a(g900 +g958 +tp17423 +a(g548 +V72 +p17424 +tp17425 +a(g900 +g958 +tp17426 +a(g548 +V72 +p17427 +tp17428 +a(g900 +g958 +tp17429 +a(g900 +g958 +tp17430 +a(g548 +V61 +p17431 +tp17432 +a(g900 +g958 +tp17433 +a(g548 +V79 +p17434 +tp17435 +a(g900 +g958 +tp17436 +a(g548 +V20 +p17437 +tp17438 +a(g900 +g958 +tp17439 +a(g548 +V46 +p17440 +tp17441 +a(g900 +g958 +tp17442 +a(g548 +V69 +p17443 +tp17444 +a(g900 +g958 +tp17445 +a(g548 +V6e +p17446 +tp17447 +a(g900 +g958 +tp17448 +a(g548 +V64 +p17449 +tp17450 +a(g900 +g958 +tp17451 +a(g548 +V57 +p17452 +tp17453 +a(g900 +V +p17454 +tp17455 +a(g685 +g1011 +tp17456 +a(g341 +Vtringarray FindW +p17457 +tp17458 +a(g685 +g1011 +tp17459 +a(g900 +V\u000a +p17460 +tp17461 +a(g8 +V00001140 +p17462 +tp17463 +a(g900 +g958 +tp17464 +a(g900 +g958 +tp17465 +a(g548 +V6f +p17466 +tp17467 +a(g900 +g958 +tp17468 +a(g548 +V72 +p17469 +tp17470 +a(g900 +g958 +tp17471 +a(g548 +V64 +p17472 +tp17473 +a(g900 +g958 +tp17474 +a(g548 +V73 +p17475 +tp17476 +a(g900 +g958 +tp17477 +a(g548 +V28 +p17478 +tp17479 +a(g900 +g958 +tp17480 +a(g548 +V20 +p17481 +tp17482 +a(g900 +g958 +tp17483 +a(g548 +V73 +p17484 +tp17485 +a(g900 +g958 +tp17486 +a(g548 +V74 +p17487 +tp17488 +a(g900 +g958 +tp17489 +a(g900 +g958 +tp17490 +a(g548 +V72 +p17491 +tp17492 +a(g900 +g958 +tp17493 +a(g548 +V69 +p17494 +tp17495 +a(g900 +g958 +tp17496 +a(g548 +V6e +p17497 +tp17498 +a(g900 +g958 +tp17499 +a(g548 +V67 +p17500 +tp17501 +a(g900 +g958 +tp17502 +a(g548 +V20 +p17503 +tp17504 +a(g900 +g958 +tp17505 +a(g548 +V70 +p17506 +tp17507 +a(g900 +g958 +tp17508 +a(g548 +V68 +p17509 +tp17510 +a(g900 +g958 +tp17511 +a(g548 +V6f +p17512 +tp17513 +a(g900 +V +p17514 +tp17515 +a(g685 +g1011 +tp17516 +a(g341 +Vords( string pho +p17517 +tp17518 +a(g685 +g1011 +tp17519 +a(g900 +V\u000a +p17520 +tp17521 +a(g8 +V00001150 +p17522 +tp17523 +a(g900 +g958 +tp17524 +a(g900 +g958 +tp17525 +a(g548 +V6e +p17526 +tp17527 +a(g900 +g958 +tp17528 +a(g548 +V65 +p17529 +tp17530 +a(g900 +g958 +tp17531 +a(g548 +V5f +p17532 +tp17533 +a(g900 +g958 +tp17534 +a(g548 +V6e +p17535 +tp17536 +a(g900 +g958 +tp17537 +a(g548 +V75 +p17538 +tp17539 +a(g900 +g958 +tp17540 +a(g548 +V6d +p17541 +tp17542 +a(g900 +g958 +tp17543 +a(g548 +V62 +p17544 +tp17545 +a(g900 +g958 +tp17546 +a(g548 +V65 +p17547 +tp17548 +a(g900 +g958 +tp17549 +a(g900 +g958 +tp17550 +a(g548 +V72 +p17551 +tp17552 +a(g900 +g958 +tp17553 +a(g548 +V20 +p17554 +tp17555 +a(g900 +g958 +tp17556 +a(g548 +V29 +p17557 +tp17558 +a(g900 +g958 +tp17559 +a(g548 +V0a +p17560 +tp17561 +a(g900 +g958 +tp17562 +a(g548 +V20 +p17563 +tp17564 +a(g900 +g958 +tp17565 +a(g548 +V20 +p17566 +tp17567 +a(g900 +g958 +tp17568 +a(g548 +V20 +p17569 +tp17570 +a(g900 +g958 +tp17571 +a(g548 +V20 +p17572 +tp17573 +a(g900 +V +p17574 +tp17575 +a(g685 +g1011 +tp17576 +a(g341 +Vne_number ). +p17577 +tp17578 +a(g685 +g1011 +tp17579 +a(g900 +V\u000a +p17580 +tp17581 +a(g8 +V00001160 +p17582 +tp17583 +a(g900 +g958 +tp17584 +a(g900 +g958 +tp17585 +a(g548 +V7b +p17586 +tp17587 +a(g900 +g958 +tp17588 +a(g548 +V0a +p17589 +tp17590 +a(g900 +g958 +tp17591 +a(g548 +V20 +p17592 +tp17593 +a(g900 +g958 +tp17594 +a(g548 +V20 +p17595 +tp17596 +a(g900 +g958 +tp17597 +a(g548 +V20 +p17598 +tp17599 +a(g900 +g958 +tp17600 +a(g548 +V20 +p17601 +tp17602 +a(g900 +g958 +tp17603 +a(g548 +V20 +p17604 +tp17605 +a(g900 +g958 +tp17606 +a(g548 +V20 +p17607 +tp17608 +a(g900 +g958 +tp17609 +a(g900 +g958 +tp17610 +a(g548 +V20 +p17611 +tp17612 +a(g900 +g958 +tp17613 +a(g548 +V20 +p17614 +tp17615 +a(g900 +g958 +tp17616 +a(g548 +V69 +p17617 +tp17618 +a(g900 +g958 +tp17619 +a(g548 +V66 +p17620 +tp17621 +a(g900 +g958 +tp17622 +a(g548 +V20 +p17623 +tp17624 +a(g900 +g958 +tp17625 +a(g548 +V28 +p17626 +tp17627 +a(g900 +g958 +tp17628 +a(g548 +V21 +p17629 +tp17630 +a(g900 +g958 +tp17631 +a(g548 +V70 +p17632 +tp17633 +a(g900 +V +p17634 +tp17635 +a(g685 +g1011 +tp17636 +a(g341 +V{. if (!p +p17637 +tp17638 +a(g685 +g1011 +tp17639 +a(g900 +V\u000a +p17640 +tp17641 +a(g8 +V00001170 +p17642 +tp17643 +a(g900 +g958 +tp17644 +a(g900 +g958 +tp17645 +a(g548 +V68 +p17646 +tp17647 +a(g900 +g958 +tp17648 +a(g548 +V6f +p17649 +tp17650 +a(g900 +g958 +tp17651 +a(g548 +V6e +p17652 +tp17653 +a(g900 +g958 +tp17654 +a(g548 +V65 +p17655 +tp17656 +a(g900 +g958 +tp17657 +a(g548 +V5f +p17658 +tp17659 +a(g900 +g958 +tp17660 +a(g548 +V6e +p17661 +tp17662 +a(g900 +g958 +tp17663 +a(g548 +V75 +p17664 +tp17665 +a(g900 +g958 +tp17666 +a(g548 +V6d +p17667 +tp17668 +a(g900 +g958 +tp17669 +a(g900 +g958 +tp17670 +a(g548 +V62 +p17671 +tp17672 +a(g900 +g958 +tp17673 +a(g548 +V65 +p17674 +tp17675 +a(g900 +g958 +tp17676 +a(g548 +V72 +p17677 +tp17678 +a(g900 +g958 +tp17679 +a(g548 +V2e +p17680 +tp17681 +a(g900 +g958 +tp17682 +a(g548 +V6c +p17683 +tp17684 +a(g900 +g958 +tp17685 +a(g548 +V65 +p17686 +tp17687 +a(g900 +g958 +tp17688 +a(g548 +V6e +p17689 +tp17690 +a(g900 +g958 +tp17691 +a(g548 +V67 +p17692 +tp17693 +a(g900 +V +p17694 +tp17695 +a(g685 +g1011 +tp17696 +a(g341 +Vhone_number.leng +p17697 +tp17698 +a(g685 +g1011 +tp17699 +a(g900 +V\u000a +p17700 +tp17701 +a(g8 +V00001180 +p17702 +tp17703 +a(g900 +g958 +tp17704 +a(g900 +g958 +tp17705 +a(g548 +V74 +p17706 +tp17707 +a(g900 +g958 +tp17708 +a(g548 +V68 +p17709 +tp17710 +a(g900 +g958 +tp17711 +a(g548 +V29 +p17712 +tp17713 +a(g900 +g958 +tp17714 +a(g548 +V0a +p17715 +tp17716 +a(g900 +g958 +tp17717 +a(g548 +V20 +p17718 +tp17719 +a(g900 +g958 +tp17720 +a(g548 +V20 +p17721 +tp17722 +a(g900 +g958 +tp17723 +a(g548 +V20 +p17724 +tp17725 +a(g900 +g958 +tp17726 +a(g548 +V20 +p17727 +tp17728 +a(g900 +g958 +tp17729 +a(g900 +g958 +tp17730 +a(g548 +V20 +p17731 +tp17732 +a(g900 +g958 +tp17733 +a(g548 +V20 +p17734 +tp17735 +a(g900 +g958 +tp17736 +a(g548 +V20 +p17737 +tp17738 +a(g900 +g958 +tp17739 +a(g548 +V20 +p17740 +tp17741 +a(g900 +g958 +tp17742 +a(g548 +V20 +p17743 +tp17744 +a(g900 +g958 +tp17745 +a(g548 +V20 +p17746 +tp17747 +a(g900 +g958 +tp17748 +a(g548 +V20 +p17749 +tp17750 +a(g900 +g958 +tp17751 +a(g548 +V20 +p17752 +tp17753 +a(g900 +V +p17754 +tp17755 +a(g685 +g1011 +tp17756 +a(g341 +Vth). +p17757 +tp17758 +a(g685 +g1011 +tp17759 +a(g900 +V\u000a +p17760 +tp17761 +a(g8 +V00001190 +p17762 +tp17763 +a(g900 +g958 +tp17764 +a(g900 +g958 +tp17765 +a(g548 +V72 +p17766 +tp17767 +a(g900 +g958 +tp17768 +a(g548 +V65 +p17769 +tp17770 +a(g900 +g958 +tp17771 +a(g548 +V74 +p17772 +tp17773 +a(g900 +g958 +tp17774 +a(g548 +V75 +p17775 +tp17776 +a(g900 +g958 +tp17777 +a(g548 +V72 +p17778 +tp17779 +a(g900 +g958 +tp17780 +a(g548 +V6e +p17781 +tp17782 +a(g900 +g958 +tp17783 +a(g548 +V20 +p17784 +tp17785 +a(g900 +g958 +tp17786 +a(g548 +V6e +p17787 +tp17788 +a(g900 +g958 +tp17789 +a(g900 +g958 +tp17790 +a(g548 +V75 +p17791 +tp17792 +a(g900 +g958 +tp17793 +a(g548 +V6c +p17794 +tp17795 +a(g900 +g958 +tp17796 +a(g548 +V6c +p17797 +tp17798 +a(g900 +g958 +tp17799 +a(g548 +V3b +p17800 +tp17801 +a(g900 +g958 +tp17802 +a(g548 +V0a +p17803 +tp17804 +a(g900 +g958 +tp17805 +a(g548 +V20 +p17806 +tp17807 +a(g900 +g958 +tp17808 +a(g548 +V20 +p17809 +tp17810 +a(g900 +g958 +tp17811 +a(g548 +V20 +p17812 +tp17813 +a(g900 +V +p17814 +tp17815 +a(g685 +g1011 +tp17816 +a(g341 +Vreturn null;. +p17817 +tp17818 +a(g685 +g1011 +tp17819 +a(g900 +V\u000a +p17820 +tp17821 +a(g8 +V000011a0 +p17822 +tp17823 +a(g900 +g958 +tp17824 +a(g900 +g958 +tp17825 +a(g548 +V20 +p17826 +tp17827 +a(g900 +g958 +tp17828 +a(g548 +V20 +p17829 +tp17830 +a(g900 +g958 +tp17831 +a(g548 +V20 +p17832 +tp17833 +a(g900 +g958 +tp17834 +a(g548 +V20 +p17835 +tp17836 +a(g900 +g958 +tp17837 +a(g548 +V20 +p17838 +tp17839 +a(g900 +g958 +tp17840 +a(g548 +V2f +p17841 +tp17842 +a(g900 +g958 +tp17843 +a(g548 +V2f +p17844 +tp17845 +a(g900 +g958 +tp17846 +a(g548 +V20 +p17847 +tp17848 +a(g900 +g958 +tp17849 +a(g900 +g958 +tp17850 +a(g548 +V53 +p17851 +tp17852 +a(g900 +g958 +tp17853 +a(g548 +V74 +p17854 +tp17855 +a(g900 +g958 +tp17856 +a(g548 +V72 +p17857 +tp17858 +a(g900 +g958 +tp17859 +a(g548 +V69 +p17860 +tp17861 +a(g900 +g958 +tp17862 +a(g548 +V70 +p17863 +tp17864 +a(g900 +g958 +tp17865 +a(g548 +V20 +p17866 +tp17867 +a(g900 +g958 +tp17868 +a(g548 +V74 +p17869 +tp17870 +a(g900 +g958 +tp17871 +a(g548 +V68 +p17872 +tp17873 +a(g900 +V +p17874 +tp17875 +a(g685 +g1011 +tp17876 +a(g341 +V // Strip th +p17877 +tp17878 +a(g685 +g1011 +tp17879 +a(g900 +V\u000a +p17880 +tp17881 +a(g8 +V000011b0 +p17882 +tp17883 +a(g900 +g958 +tp17884 +a(g900 +g958 +tp17885 +a(g548 +V65 +p17886 +tp17887 +a(g900 +g958 +tp17888 +a(g548 +V20 +p17889 +tp17890 +a(g900 +g958 +tp17891 +a(g548 +V6e +p17892 +tp17893 +a(g900 +g958 +tp17894 +a(g548 +V6f +p17895 +tp17896 +a(g900 +g958 +tp17897 +a(g548 +V6e +p17898 +tp17899 +a(g900 +g958 +tp17900 +a(g548 +V2d +p17901 +tp17902 +a(g900 +g958 +tp17903 +a(g548 +V64 +p17904 +tp17905 +a(g900 +g958 +tp17906 +a(g548 +V69 +p17907 +tp17908 +a(g900 +g958 +tp17909 +a(g900 +g958 +tp17910 +a(g548 +V67 +p17911 +tp17912 +a(g900 +g958 +tp17913 +a(g548 +V69 +p17914 +tp17915 +a(g900 +g958 +tp17916 +a(g548 +V74 +p17917 +tp17918 +a(g900 +g958 +tp17919 +a(g548 +V20 +p17920 +tp17921 +a(g900 +g958 +tp17922 +a(g548 +V63 +p17923 +tp17924 +a(g900 +g958 +tp17925 +a(g548 +V68 +p17926 +tp17927 +a(g900 +g958 +tp17928 +a(g548 +V61 +p17929 +tp17930 +a(g900 +g958 +tp17931 +a(g548 +V72 +p17932 +tp17933 +a(g900 +V +p17934 +tp17935 +a(g685 +g1011 +tp17936 +a(g341 +Ve non-digit char +p17937 +tp17938 +a(g685 +g1011 +tp17939 +a(g900 +V\u000a +p17940 +tp17941 +a(g8 +V000011c0 +p17942 +tp17943 +a(g900 +g958 +tp17944 +a(g900 +g958 +tp17945 +a(g548 +V61 +p17946 +tp17947 +a(g900 +g958 +tp17948 +a(g548 +V63 +p17949 +tp17950 +a(g900 +g958 +tp17951 +a(g548 +V74 +p17952 +tp17953 +a(g900 +g958 +tp17954 +a(g548 +V65 +p17955 +tp17956 +a(g900 +g958 +tp17957 +a(g548 +V72 +p17958 +tp17959 +a(g900 +g958 +tp17960 +a(g548 +V73 +p17961 +tp17962 +a(g900 +g958 +tp17963 +a(g548 +V20 +p17964 +tp17965 +a(g900 +g958 +tp17966 +a(g548 +V66 +p17967 +tp17968 +a(g900 +g958 +tp17969 +a(g900 +g958 +tp17970 +a(g548 +V72 +p17971 +tp17972 +a(g900 +g958 +tp17973 +a(g548 +V6f +p17974 +tp17975 +a(g900 +g958 +tp17976 +a(g548 +V6d +p17977 +tp17978 +a(g900 +g958 +tp17979 +a(g548 +V20 +p17980 +tp17981 +a(g900 +g958 +tp17982 +a(g548 +V74 +p17983 +tp17984 +a(g900 +g958 +tp17985 +a(g548 +V68 +p17986 +tp17987 +a(g900 +g958 +tp17988 +a(g548 +V65 +p17989 +tp17990 +a(g900 +g958 +tp17991 +a(g548 +V20 +p17992 +tp17993 +a(g900 +V +p17994 +tp17995 +a(g685 +g1011 +tp17996 +a(g341 +Vacters from the +p17997 +tp17998 +a(g685 +g1011 +tp17999 +a(g900 +V\u000a +p18000 +tp18001 +a(g8 +V000011d0 +p18002 +tp18003 +a(g900 +g958 +tp18004 +a(g900 +g958 +tp18005 +a(g548 +V70 +p18006 +tp18007 +a(g900 +g958 +tp18008 +a(g548 +V68 +p18009 +tp18010 +a(g900 +g958 +tp18011 +a(g548 +V6f +p18012 +tp18013 +a(g900 +g958 +tp18014 +a(g548 +V6e +p18015 +tp18016 +a(g900 +g958 +tp18017 +a(g548 +V65 +p18018 +tp18019 +a(g900 +g958 +tp18020 +a(g548 +V20 +p18021 +tp18022 +a(g900 +g958 +tp18023 +a(g548 +V6e +p18024 +tp18025 +a(g900 +g958 +tp18026 +a(g548 +V75 +p18027 +tp18028 +a(g900 +g958 +tp18029 +a(g900 +g958 +tp18030 +a(g548 +V6d +p18031 +tp18032 +a(g900 +g958 +tp18033 +a(g548 +V62 +p18034 +tp18035 +a(g900 +g958 +tp18036 +a(g548 +V65 +p18037 +tp18038 +a(g900 +g958 +tp18039 +a(g548 +V72 +p18040 +tp18041 +a(g900 +g958 +tp18042 +a(g548 +V2c +p18043 +tp18044 +a(g900 +g958 +tp18045 +a(g548 +V20 +p18046 +tp18047 +a(g900 +g958 +tp18048 +a(g548 +V61 +p18049 +tp18050 +a(g900 +g958 +tp18051 +a(g548 +V6e +p18052 +tp18053 +a(g900 +V +p18054 +tp18055 +a(g685 +g1011 +tp18056 +a(g341 +Vphone number, an +p18057 +tp18058 +a(g685 +g1011 +tp18059 +a(g900 +V\u000a +p18060 +tp18061 +a(g8 +V000011e0 +p18062 +tp18063 +a(g900 +g958 +tp18064 +a(g900 +g958 +tp18065 +a(g548 +V64 +p18066 +tp18067 +a(g900 +g958 +tp18068 +a(g548 +V0a +p18069 +tp18070 +a(g900 +g958 +tp18071 +a(g548 +V20 +p18072 +tp18073 +a(g900 +g958 +tp18074 +a(g548 +V20 +p18075 +tp18076 +a(g900 +g958 +tp18077 +a(g548 +V20 +p18078 +tp18079 +a(g900 +g958 +tp18080 +a(g548 +V20 +p18081 +tp18082 +a(g900 +g958 +tp18083 +a(g548 +V20 +p18084 +tp18085 +a(g900 +g958 +tp18086 +a(g548 +V20 +p18087 +tp18088 +a(g900 +g958 +tp18089 +a(g900 +g958 +tp18090 +a(g548 +V20 +p18091 +tp18092 +a(g900 +g958 +tp18093 +a(g548 +V20 +p18094 +tp18095 +a(g900 +g958 +tp18096 +a(g548 +V2f +p18097 +tp18098 +a(g900 +g958 +tp18099 +a(g548 +V2f +p18100 +tp18101 +a(g900 +g958 +tp18102 +a(g548 +V20 +p18103 +tp18104 +a(g900 +g958 +tp18105 +a(g548 +V70 +p18106 +tp18107 +a(g900 +g958 +tp18108 +a(g548 +V61 +p18109 +tp18110 +a(g900 +g958 +tp18111 +a(g548 +V73 +p18112 +tp18113 +a(g900 +V +p18114 +tp18115 +a(g685 +g1011 +tp18116 +a(g341 +Vd. // pas +p18117 +tp18118 +a(g685 +g1011 +tp18119 +a(g900 +V\u000a +p18120 +tp18121 +a(g8 +V000011f0 +p18122 +tp18123 +a(g900 +g958 +tp18124 +a(g900 +g958 +tp18125 +a(g548 +V73 +p18126 +tp18127 +a(g900 +g958 +tp18128 +a(g548 +V20 +p18129 +tp18130 +a(g900 +g958 +tp18131 +a(g548 +V69 +p18132 +tp18133 +a(g900 +g958 +tp18134 +a(g548 +V74 +p18135 +tp18136 +a(g900 +g958 +tp18137 +a(g548 +V20 +p18138 +tp18139 +a(g900 +g958 +tp18140 +a(g548 +V74 +p18141 +tp18142 +a(g900 +g958 +tp18143 +a(g548 +V6f +p18144 +tp18145 +a(g900 +g958 +tp18146 +a(g548 +V20 +p18147 +tp18148 +a(g900 +g958 +tp18149 +a(g900 +g958 +tp18150 +a(g548 +V74 +p18151 +tp18152 +a(g900 +g958 +tp18153 +a(g548 +V68 +p18154 +tp18155 +a(g900 +g958 +tp18156 +a(g548 +V65 +p18157 +tp18158 +a(g900 +g958 +tp18159 +a(g548 +V20 +p18160 +tp18161 +a(g900 +g958 +tp18162 +a(g548 +V72 +p18163 +tp18164 +a(g900 +g958 +tp18165 +a(g548 +V65 +p18166 +tp18167 +a(g900 +g958 +tp18168 +a(g548 +V63 +p18169 +tp18170 +a(g900 +g958 +tp18171 +a(g548 +V75 +p18172 +tp18173 +a(g900 +V +p18174 +tp18175 +a(g685 +g1011 +tp18176 +a(g341 +Vs it to the recu +p18177 +tp18178 +a(g685 +g1011 +tp18179 +a(g900 +V\u000a +p18180 +tp18181 +a(g8 +V00001200 +p18182 +tp18183 +a(g900 +g958 +tp18184 +a(g900 +g958 +tp18185 +a(g548 +V72 +p18186 +tp18187 +a(g900 +g958 +tp18188 +a(g548 +V73 +p18189 +tp18190 +a(g900 +g958 +tp18191 +a(g548 +V69 +p18192 +tp18193 +a(g900 +g958 +tp18194 +a(g548 +V76 +p18195 +tp18196 +a(g900 +g958 +tp18197 +a(g548 +V65 +p18198 +tp18199 +a(g900 +g958 +tp18200 +a(g548 +V20 +p18201 +tp18202 +a(g900 +g958 +tp18203 +a(g548 +V66 +p18204 +tp18205 +a(g900 +g958 +tp18206 +a(g548 +V75 +p18207 +tp18208 +a(g900 +g958 +tp18209 +a(g900 +g958 +tp18210 +a(g548 +V6e +p18211 +tp18212 +a(g900 +g958 +tp18213 +a(g548 +V63 +p18214 +tp18215 +a(g900 +g958 +tp18216 +a(g548 +V74 +p18217 +tp18218 +a(g900 +g958 +tp18219 +a(g548 +V69 +p18220 +tp18221 +a(g900 +g958 +tp18222 +a(g548 +V6f +p18223 +tp18224 +a(g900 +g958 +tp18225 +a(g548 +V6e +p18226 +tp18227 +a(g900 +g958 +tp18228 +a(g548 +V20 +p18229 +tp18230 +a(g900 +g958 +tp18231 +a(g548 +V28 +p18232 +tp18233 +a(g900 +V +p18234 +tp18235 +a(g685 +g1011 +tp18236 +a(g341 +Vrsive function ( +p18237 +tp18238 +a(g685 +g1011 +tp18239 +a(g900 +V\u000a +p18240 +tp18241 +a(g8 +V00001210 +p18242 +tp18243 +a(g900 +g958 +tp18244 +a(g900 +g958 +tp18245 +a(g548 +V6c +p18246 +tp18247 +a(g900 +g958 +tp18248 +a(g548 +V65 +p18249 +tp18250 +a(g900 +g958 +tp18251 +a(g548 +V61 +p18252 +tp18253 +a(g900 +g958 +tp18254 +a(g548 +V64 +p18255 +tp18256 +a(g900 +g958 +tp18257 +a(g548 +V69 +p18258 +tp18259 +a(g900 +g958 +tp18260 +a(g548 +V6e +p18261 +tp18262 +a(g900 +g958 +tp18263 +a(g548 +V67 +p18264 +tp18265 +a(g900 +g958 +tp18266 +a(g548 +V20 +p18267 +tp18268 +a(g900 +g958 +tp18269 +a(g900 +g958 +tp18270 +a(g548 +V64 +p18271 +tp18272 +a(g900 +g958 +tp18273 +a(g548 +V69 +p18274 +tp18275 +a(g900 +g958 +tp18276 +a(g548 +V67 +p18277 +tp18278 +a(g900 +g958 +tp18279 +a(g548 +V69 +p18280 +tp18281 +a(g900 +g958 +tp18282 +a(g548 +V74 +p18283 +tp18284 +a(g900 +g958 +tp18285 +a(g548 +V20 +p18286 +tp18287 +a(g900 +g958 +tp18288 +a(g548 +V69 +p18289 +tp18290 +a(g900 +g958 +tp18291 +a(g548 +V73 +p18292 +tp18293 +a(g900 +V +p18294 +tp18295 +a(g685 +g1011 +tp18296 +a(g341 +Vleading digit is +p18297 +tp18298 +a(g685 +g1011 +tp18299 +a(g900 +V\u000a +p18300 +tp18301 +a(g8 +V00001220 +p18302 +tp18303 +a(g900 +g958 +tp18304 +a(g900 +g958 +tp18305 +a(g548 +V20 +p18306 +tp18307 +a(g900 +g958 +tp18308 +a(g548 +V61 +p18309 +tp18310 +a(g900 +g958 +tp18311 +a(g548 +V6c +p18312 +tp18313 +a(g900 +g958 +tp18314 +a(g548 +V6c +p18315 +tp18316 +a(g900 +g958 +tp18317 +a(g548 +V6f +p18318 +tp18319 +a(g900 +g958 +tp18320 +a(g548 +V77 +p18321 +tp18322 +a(g900 +g958 +tp18323 +a(g548 +V65 +p18324 +tp18325 +a(g900 +g958 +tp18326 +a(g548 +V64 +p18327 +tp18328 +a(g900 +g958 +tp18329 +a(g900 +g958 +tp18330 +a(g548 +V29 +p18331 +tp18332 +a(g900 +g958 +tp18333 +a(g548 +V0a +p18334 +tp18335 +a(g900 +g958 +tp18336 +a(g548 +V20 +p18337 +tp18338 +a(g900 +g958 +tp18339 +a(g548 +V20 +p18340 +tp18341 +a(g900 +g958 +tp18342 +a(g548 +V20 +p18343 +tp18344 +a(g900 +g958 +tp18345 +a(g548 +V20 +p18346 +tp18347 +a(g900 +g958 +tp18348 +a(g548 +V20 +p18349 +tp18350 +a(g900 +g958 +tp18351 +a(g548 +V20 +p18352 +tp18353 +a(g900 +V +p18354 +tp18355 +a(g685 +g1011 +tp18356 +a(g341 +V allowed). +p18357 +tp18358 +a(g685 +g1011 +tp18359 +a(g900 +V\u000a +p18360 +tp18361 +a(g8 +V00001230 +p18362 +tp18363 +a(g900 +g958 +tp18364 +a(g900 +g958 +tp18365 +a(g548 +V20 +p18366 +tp18367 +a(g900 +g958 +tp18368 +a(g548 +V20 +p18369 +tp18370 +a(g900 +g958 +tp18371 +a(g548 +V72 +p18372 +tp18373 +a(g900 +g958 +tp18374 +a(g548 +V65 +p18375 +tp18376 +a(g900 +g958 +tp18377 +a(g548 +V74 +p18378 +tp18379 +a(g900 +g958 +tp18380 +a(g548 +V75 +p18381 +tp18382 +a(g900 +g958 +tp18383 +a(g548 +V72 +p18384 +tp18385 +a(g900 +g958 +tp18386 +a(g548 +V6e +p18387 +tp18388 +a(g900 +g958 +tp18389 +a(g900 +g958 +tp18390 +a(g548 +V20 +p18391 +tp18392 +a(g900 +g958 +tp18393 +a(g548 +V5f +p18394 +tp18395 +a(g900 +g958 +tp18396 +a(g548 +V46 +p18397 +tp18398 +a(g900 +g958 +tp18399 +a(g548 +V69 +p18400 +tp18401 +a(g900 +g958 +tp18402 +a(g548 +V6e +p18403 +tp18404 +a(g900 +g958 +tp18405 +a(g548 +V64 +p18406 +tp18407 +a(g900 +g958 +tp18408 +a(g548 +V57 +p18409 +tp18410 +a(g900 +g958 +tp18411 +a(g548 +V6f +p18412 +tp18413 +a(g900 +V +p18414 +tp18415 +a(g685 +g1011 +tp18416 +a(g341 +V return _FindWo +p18417 +tp18418 +a(g685 +g1011 +tp18419 +a(g900 +V\u000a +p18420 +tp18421 +a(g8 +V00001240 +p18422 +tp18423 +a(g900 +g958 +tp18424 +a(g900 +g958 +tp18425 +a(g548 +V72 +p18426 +tp18427 +a(g900 +g958 +tp18428 +a(g548 +V64 +p18429 +tp18430 +a(g900 +g958 +tp18431 +a(g548 +V73 +p18432 +tp18433 +a(g900 +g958 +tp18434 +a(g548 +V28 +p18435 +tp18436 +a(g900 +g958 +tp18437 +a(g548 +V20 +p18438 +tp18439 +a(g900 +g958 +tp18440 +a(g548 +V73 +p18441 +tp18442 +a(g900 +g958 +tp18443 +a(g548 +V74 +p18444 +tp18445 +a(g900 +g958 +tp18446 +a(g548 +V72 +p18447 +tp18448 +a(g900 +g958 +tp18449 +a(g900 +g958 +tp18450 +a(g548 +V69 +p18451 +tp18452 +a(g900 +g958 +tp18453 +a(g548 +V70 +p18454 +tp18455 +a(g900 +g958 +tp18456 +a(g548 +V4e +p18457 +tp18458 +a(g900 +g958 +tp18459 +a(g548 +V6f +p18460 +tp18461 +a(g900 +g958 +tp18462 +a(g548 +V6e +p18463 +tp18464 +a(g900 +g958 +tp18465 +a(g548 +V44 +p18466 +tp18467 +a(g900 +g958 +tp18468 +a(g548 +V69 +p18469 +tp18470 +a(g900 +g958 +tp18471 +a(g548 +V67 +p18472 +tp18473 +a(g900 +V +p18474 +tp18475 +a(g685 +g1011 +tp18476 +a(g341 +Vrds( stripNonDig +p18477 +tp18478 +a(g685 +g1011 +tp18479 +a(g900 +V\u000a +p18480 +tp18481 +a(g8 +V00001250 +p18482 +tp18483 +a(g900 +g958 +tp18484 +a(g900 +g958 +tp18485 +a(g548 +V69 +p18486 +tp18487 +a(g900 +g958 +tp18488 +a(g548 +V74 +p18489 +tp18490 +a(g900 +g958 +tp18491 +a(g548 +V28 +p18492 +tp18493 +a(g900 +g958 +tp18494 +a(g548 +V70 +p18495 +tp18496 +a(g900 +g958 +tp18497 +a(g548 +V68 +p18498 +tp18499 +a(g900 +g958 +tp18500 +a(g548 +V6f +p18501 +tp18502 +a(g900 +g958 +tp18503 +a(g548 +V6e +p18504 +tp18505 +a(g900 +g958 +tp18506 +a(g548 +V65 +p18507 +tp18508 +a(g900 +g958 +tp18509 +a(g900 +g958 +tp18510 +a(g548 +V5f +p18511 +tp18512 +a(g900 +g958 +tp18513 +a(g548 +V6e +p18514 +tp18515 +a(g900 +g958 +tp18516 +a(g548 +V75 +p18517 +tp18518 +a(g900 +g958 +tp18519 +a(g548 +V6d +p18520 +tp18521 +a(g900 +g958 +tp18522 +a(g548 +V62 +p18523 +tp18524 +a(g900 +g958 +tp18525 +a(g548 +V65 +p18526 +tp18527 +a(g900 +g958 +tp18528 +a(g548 +V72 +p18529 +tp18530 +a(g900 +g958 +tp18531 +a(g548 +V29 +p18532 +tp18533 +a(g900 +V +p18534 +tp18535 +a(g685 +g1011 +tp18536 +a(g341 +Vit(phone_number) +p18537 +tp18538 +a(g685 +g1011 +tp18539 +a(g900 +V\u000a +p18540 +tp18541 +a(g8 +V00001260 +p18542 +tp18543 +a(g900 +g958 +tp18544 +a(g900 +g958 +tp18545 +a(g548 +V2c +p18546 +tp18547 +a(g900 +g958 +tp18548 +a(g548 +V20 +p18549 +tp18550 +a(g900 +g958 +tp18551 +a(g548 +V74 +p18552 +tp18553 +a(g900 +g958 +tp18554 +a(g548 +V72 +p18555 +tp18556 +a(g900 +g958 +tp18557 +a(g548 +V75 +p18558 +tp18559 +a(g900 +g958 +tp18560 +a(g548 +V65 +p18561 +tp18562 +a(g900 +g958 +tp18563 +a(g548 +V20 +p18564 +tp18565 +a(g900 +g958 +tp18566 +a(g548 +V29 +p18567 +tp18568 +a(g900 +g958 +tp18569 +a(g900 +g958 +tp18570 +a(g548 +V3b +p18571 +tp18572 +a(g900 +g958 +tp18573 +a(g548 +V20 +p18574 +tp18575 +a(g900 +g958 +tp18576 +a(g548 +V20 +p18577 +tp18578 +a(g900 +g958 +tp18579 +a(g548 +V20 +p18580 +tp18581 +a(g900 +g958 +tp18582 +a(g548 +V20 +p18583 +tp18584 +a(g900 +g958 +tp18585 +a(g548 +V0a +p18586 +tp18587 +a(g900 +g958 +tp18588 +a(g548 +V20 +p18589 +tp18590 +a(g900 +g958 +tp18591 +a(g548 +V20 +p18592 +tp18593 +a(g900 +V +p18594 +tp18595 +a(g685 +g1011 +tp18596 +a(g341 +V, true ); . +p18597 +tp18598 +a(g685 +g1011 +tp18599 +a(g900 +V\u000a +p18600 +tp18601 +a(g8 +V00001270 +p18602 +tp18603 +a(g900 +g958 +tp18604 +a(g900 +g958 +tp18605 +a(g548 +V20 +p18606 +tp18607 +a(g900 +g958 +tp18608 +a(g548 +V20 +p18609 +tp18610 +a(g900 +g958 +tp18611 +a(g548 +V7d +p18612 +tp18613 +a(g900 +g958 +tp18614 +a(g548 +V20 +p18615 +tp18616 +a(g900 +g958 +tp18617 +a(g548 +V20 +p18618 +tp18619 +a(g900 +g958 +tp18620 +a(g548 +V20 +p18621 +tp18622 +a(g900 +g958 +tp18623 +a(g548 +V20 +p18624 +tp18625 +a(g900 +g958 +tp18626 +a(g548 +V0a +p18627 +tp18628 +a(g900 +g958 +tp18629 +a(g900 +g958 +tp18630 +a(g548 +V20 +p18631 +tp18632 +a(g900 +g958 +tp18633 +a(g548 +V20 +p18634 +tp18635 +a(g900 +g958 +tp18636 +a(g548 +V20 +p18637 +tp18638 +a(g900 +g958 +tp18639 +a(g548 +V20 +p18640 +tp18641 +a(g900 +g958 +tp18642 +a(g548 +V0a +p18643 +tp18644 +a(g900 +g958 +tp18645 +a(g548 +V20 +p18646 +tp18647 +a(g900 +g958 +tp18648 +a(g548 +V20 +p18649 +tp18650 +a(g900 +g958 +tp18651 +a(g548 +V20 +p18652 +tp18653 +a(g900 +V +p18654 +tp18655 +a(g685 +g1011 +tp18656 +a(g341 +V } . . +p18657 +tp18658 +a(g685 +g1011 +tp18659 +a(g900 +V\u000a +p18660 +tp18661 +a(g8 +V00001280 +p18662 +tp18663 +a(g900 +g958 +tp18664 +a(g900 +g958 +tp18665 +a(g548 +V20 +p18666 +tp18667 +a(g900 +g958 +tp18668 +a(g548 +V2f +p18669 +tp18670 +a(g900 +g958 +tp18671 +a(g548 +V2f +p18672 +tp18673 +a(g900 +g958 +tp18674 +a(g548 +V20 +p18675 +tp18676 +a(g900 +g958 +tp18677 +a(g548 +V52 +p18678 +tp18679 +a(g900 +g958 +tp18680 +a(g548 +V65 +p18681 +tp18682 +a(g900 +g958 +tp18683 +a(g548 +V61 +p18684 +tp18685 +a(g900 +g958 +tp18686 +a(g548 +V64 +p18687 +tp18688 +a(g900 +g958 +tp18689 +a(g900 +g958 +tp18690 +a(g548 +V20 +p18691 +tp18692 +a(g900 +g958 +tp18693 +a(g548 +V74 +p18694 +tp18695 +a(g900 +g958 +tp18696 +a(g548 +V68 +p18697 +tp18698 +a(g900 +g958 +tp18699 +a(g548 +V65 +p18700 +tp18701 +a(g900 +g958 +tp18702 +a(g548 +V20 +p18703 +tp18704 +a(g900 +g958 +tp18705 +a(g548 +V70 +p18706 +tp18707 +a(g900 +g958 +tp18708 +a(g548 +V68 +p18709 +tp18710 +a(g900 +g958 +tp18711 +a(g548 +V6f +p18712 +tp18713 +a(g900 +V +p18714 +tp18715 +a(g685 +g1011 +tp18716 +a(g341 +V // Read the pho +p18717 +tp18718 +a(g685 +g1011 +tp18719 +a(g900 +V\u000a +p18720 +tp18721 +a(g8 +V00001290 +p18722 +tp18723 +a(g900 +g958 +tp18724 +a(g900 +g958 +tp18725 +a(g548 +V6e +p18726 +tp18727 +a(g900 +g958 +tp18728 +a(g548 +V65 +p18729 +tp18730 +a(g900 +g958 +tp18731 +a(g548 +V20 +p18732 +tp18733 +a(g900 +g958 +tp18734 +a(g548 +V6e +p18735 +tp18736 +a(g900 +g958 +tp18737 +a(g548 +V75 +p18738 +tp18739 +a(g900 +g958 +tp18740 +a(g548 +V6d +p18741 +tp18742 +a(g900 +g958 +tp18743 +a(g548 +V62 +p18744 +tp18745 +a(g900 +g958 +tp18746 +a(g548 +V65 +p18747 +tp18748 +a(g900 +g958 +tp18749 +a(g900 +g958 +tp18750 +a(g548 +V72 +p18751 +tp18752 +a(g900 +g958 +tp18753 +a(g548 +V73 +p18754 +tp18755 +a(g900 +g958 +tp18756 +a(g548 +V20 +p18757 +tp18758 +a(g900 +g958 +tp18759 +a(g548 +V20 +p18760 +tp18761 +a(g900 +g958 +tp18762 +a(g548 +V20 +p18763 +tp18764 +a(g900 +g958 +tp18765 +a(g548 +V20 +p18766 +tp18767 +a(g900 +g958 +tp18768 +a(g548 +V20 +p18769 +tp18770 +a(g900 +g958 +tp18771 +a(g548 +V0a +p18772 +tp18773 +a(g900 +V +p18774 +tp18775 +a(g685 +g1011 +tp18776 +a(g341 +Vne numbers . +p18777 +tp18778 +a(g685 +g1011 +tp18779 +a(g900 +V\u000a +p18780 +tp18781 +a(g8 +V000012a0 +p18782 +tp18783 +a(g900 +g958 +tp18784 +a(g900 +g958 +tp18785 +a(g548 +V20 +p18786 +tp18787 +a(g900 +g958 +tp18788 +a(g548 +V20 +p18789 +tp18790 +a(g900 +g958 +tp18791 +a(g548 +V20 +p18792 +tp18793 +a(g900 +g958 +tp18794 +a(g548 +V20 +p18795 +tp18796 +a(g900 +g958 +tp18797 +a(g548 +V66 +p18798 +tp18799 +a(g900 +g958 +tp18800 +a(g548 +V6f +p18801 +tp18802 +a(g900 +g958 +tp18803 +a(g548 +V72 +p18804 +tp18805 +a(g900 +g958 +tp18806 +a(g548 +V65 +p18807 +tp18808 +a(g900 +g958 +tp18809 +a(g900 +g958 +tp18810 +a(g548 +V61 +p18811 +tp18812 +a(g900 +g958 +tp18813 +a(g548 +V63 +p18814 +tp18815 +a(g900 +g958 +tp18816 +a(g548 +V68 +p18817 +tp18818 +a(g900 +g958 +tp18819 +a(g548 +V28 +p18820 +tp18821 +a(g900 +g958 +tp18822 +a(g548 +V73 +p18823 +tp18824 +a(g900 +g958 +tp18825 +a(g548 +V74 +p18826 +tp18827 +a(g900 +g958 +tp18828 +a(g548 +V72 +p18829 +tp18830 +a(g900 +g958 +tp18831 +a(g548 +V69 +p18832 +tp18833 +a(g900 +V +p18834 +tp18835 +a(g685 +g1011 +tp18836 +a(g341 +V foreach(stri +p18837 +tp18838 +a(g685 +g1011 +tp18839 +a(g900 +V\u000a +p18840 +tp18841 +a(g8 +V000012b0 +p18842 +tp18843 +a(g900 +g958 +tp18844 +a(g900 +g958 +tp18845 +a(g548 +V6e +p18846 +tp18847 +a(g900 +g958 +tp18848 +a(g548 +V67 +p18849 +tp18850 +a(g900 +g958 +tp18851 +a(g548 +V20 +p18852 +tp18853 +a(g900 +g958 +tp18854 +a(g548 +V70 +p18855 +tp18856 +a(g900 +g958 +tp18857 +a(g548 +V68 +p18858 +tp18859 +a(g900 +g958 +tp18860 +a(g548 +V6f +p18861 +tp18862 +a(g900 +g958 +tp18863 +a(g548 +V6e +p18864 +tp18865 +a(g900 +g958 +tp18866 +a(g548 +V65 +p18867 +tp18868 +a(g900 +g958 +tp18869 +a(g900 +g958 +tp18870 +a(g548 +V3b +p18871 +tp18872 +a(g900 +g958 +tp18873 +a(g548 +V20 +p18874 +tp18875 +a(g900 +g958 +tp18876 +a(g548 +V6e +p18877 +tp18878 +a(g900 +g958 +tp18879 +a(g548 +V65 +p18880 +tp18881 +a(g900 +g958 +tp18882 +a(g548 +V77 +p18883 +tp18884 +a(g900 +g958 +tp18885 +a(g548 +V20 +p18886 +tp18887 +a(g900 +g958 +tp18888 +a(g548 +V42 +p18889 +tp18890 +a(g900 +g958 +tp18891 +a(g548 +V75 +p18892 +tp18893 +a(g900 +V +p18894 +tp18895 +a(g685 +g1011 +tp18896 +a(g341 +Vng phone; new Bu +p18897 +tp18898 +a(g685 +g1011 +tp18899 +a(g900 +V\u000a +p18900 +tp18901 +a(g8 +V000012c0 +p18902 +tp18903 +a(g900 +g958 +tp18904 +a(g900 +g958 +tp18905 +a(g548 +V66 +p18906 +tp18907 +a(g900 +g958 +tp18908 +a(g548 +V66 +p18909 +tp18910 +a(g900 +g958 +tp18911 +a(g548 +V65 +p18912 +tp18913 +a(g900 +g958 +tp18914 +a(g548 +V72 +p18915 +tp18916 +a(g900 +g958 +tp18917 +a(g548 +V65 +p18918 +tp18919 +a(g900 +g958 +tp18920 +a(g548 +V64 +p18921 +tp18922 +a(g900 +g958 +tp18923 +a(g548 +V46 +p18924 +tp18925 +a(g900 +g958 +tp18926 +a(g548 +V69 +p18927 +tp18928 +a(g900 +g958 +tp18929 +a(g900 +g958 +tp18930 +a(g548 +V6c +p18931 +tp18932 +a(g900 +g958 +tp18933 +a(g548 +V65 +p18934 +tp18935 +a(g900 +g958 +tp18936 +a(g548 +V28 +p18937 +tp18938 +a(g900 +g958 +tp18939 +a(g548 +V22 +p18940 +tp18941 +a(g900 +g958 +tp18942 +a(g548 +V69 +p18943 +tp18944 +a(g900 +g958 +tp18945 +a(g548 +V6e +p18946 +tp18947 +a(g900 +g958 +tp18948 +a(g548 +V70 +p18949 +tp18950 +a(g900 +g958 +tp18951 +a(g548 +V75 +p18952 +tp18953 +a(g900 +V +p18954 +tp18955 +a(g685 +g1011 +tp18956 +a(g341 +VfferedFile("inpu +p18957 +tp18958 +a(g685 +g1011 +tp18959 +a(g900 +V\u000a +p18960 +tp18961 +a(g8 +V000012d0 +p18962 +tp18963 +a(g900 +g958 +tp18964 +a(g900 +g958 +tp18965 +a(g548 +V74 +p18966 +tp18967 +a(g900 +g958 +tp18968 +a(g548 +V2e +p18969 +tp18970 +a(g900 +g958 +tp18971 +a(g548 +V74 +p18972 +tp18973 +a(g900 +g958 +tp18974 +a(g548 +V78 +p18975 +tp18976 +a(g900 +g958 +tp18977 +a(g548 +V74 +p18978 +tp18979 +a(g900 +g958 +tp18980 +a(g548 +V22 +p18981 +tp18982 +a(g900 +g958 +tp18983 +a(g548 +V20 +p18984 +tp18985 +a(g900 +g958 +tp18986 +a(g548 +V20 +p18987 +tp18988 +a(g900 +g958 +tp18989 +a(g900 +g958 +tp18990 +a(g548 +V20 +p18991 +tp18992 +a(g900 +g958 +tp18993 +a(g548 +V29 +p18994 +tp18995 +a(g900 +g958 +tp18996 +a(g548 +V20 +p18997 +tp18998 +a(g900 +g958 +tp18999 +a(g548 +V29 +p19000 +tp19001 +a(g900 +g958 +tp19002 +a(g548 +V0a +p19003 +tp19004 +a(g900 +g958 +tp19005 +a(g548 +V20 +p19006 +tp19007 +a(g900 +g958 +tp19008 +a(g548 +V20 +p19009 +tp19010 +a(g900 +g958 +tp19011 +a(g548 +V20 +p19012 +tp19013 +a(g900 +V +p19014 +tp19015 +a(g685 +g1011 +tp19016 +a(g341 +Vt.txt" ) ). +p19017 +tp19018 +a(g685 +g1011 +tp19019 +a(g900 +V\u000a +p19020 +tp19021 +a(g8 +V000012e0 +p19022 +tp19023 +a(g900 +g958 +tp19024 +a(g900 +g958 +tp19025 +a(g548 +V20 +p19026 +tp19027 +a(g900 +g958 +tp19028 +a(g548 +V20 +p19029 +tp19030 +a(g900 +g958 +tp19031 +a(g548 +V20 +p19032 +tp19033 +a(g900 +g958 +tp19034 +a(g548 +V20 +p19035 +tp19036 +a(g900 +g958 +tp19037 +a(g548 +V20 +p19038 +tp19039 +a(g900 +g958 +tp19040 +a(g548 +V66 +p19041 +tp19042 +a(g900 +g958 +tp19043 +a(g548 +V6f +p19044 +tp19045 +a(g900 +g958 +tp19046 +a(g548 +V72 +p19047 +tp19048 +a(g900 +g958 +tp19049 +a(g900 +g958 +tp19050 +a(g548 +V65 +p19051 +tp19052 +a(g900 +g958 +tp19053 +a(g548 +V61 +p19054 +tp19055 +a(g900 +g958 +tp19056 +a(g548 +V63 +p19057 +tp19058 +a(g900 +g958 +tp19059 +a(g548 +V68 +p19060 +tp19061 +a(g900 +g958 +tp19062 +a(g548 +V28 +p19063 +tp19064 +a(g900 +g958 +tp19065 +a(g548 +V61 +p19066 +tp19067 +a(g900 +g958 +tp19068 +a(g548 +V6c +p19069 +tp19070 +a(g900 +g958 +tp19071 +a(g548 +V74 +p19072 +tp19073 +a(g900 +V +p19074 +tp19075 +a(g685 +g1011 +tp19076 +a(g341 +V foreach(alt +p19077 +tp19078 +a(g685 +g1011 +tp19079 +a(g900 +V\u000a +p19080 +tp19081 +a(g8 +V000012f0 +p19082 +tp19083 +a(g900 +g958 +tp19084 +a(g900 +g958 +tp19085 +a(g548 +V65 +p19086 +tp19087 +a(g900 +g958 +tp19088 +a(g548 +V72 +p19089 +tp19090 +a(g900 +g958 +tp19091 +a(g548 +V6e +p19092 +tp19093 +a(g900 +g958 +tp19094 +a(g548 +V61 +p19095 +tp19096 +a(g900 +g958 +tp19097 +a(g548 +V74 +p19098 +tp19099 +a(g900 +g958 +tp19100 +a(g548 +V69 +p19101 +tp19102 +a(g900 +g958 +tp19103 +a(g548 +V76 +p19104 +tp19105 +a(g900 +g958 +tp19106 +a(g548 +V65 +p19107 +tp19108 +a(g900 +g958 +tp19109 +a(g900 +g958 +tp19110 +a(g548 +V3b +p19111 +tp19112 +a(g900 +g958 +tp19113 +a(g548 +V20 +p19114 +tp19115 +a(g900 +g958 +tp19116 +a(g548 +V46 +p19117 +tp19118 +a(g900 +g958 +tp19119 +a(g548 +V69 +p19120 +tp19121 +a(g900 +g958 +tp19122 +a(g548 +V6e +p19123 +tp19124 +a(g900 +g958 +tp19125 +a(g548 +V64 +p19126 +tp19127 +a(g900 +g958 +tp19128 +a(g548 +V57 +p19129 +tp19130 +a(g900 +g958 +tp19131 +a(g548 +V6f +p19132 +tp19133 +a(g900 +V +p19134 +tp19135 +a(g685 +g1011 +tp19136 +a(g341 +Vernative; FindWo +p19137 +tp19138 +a(g685 +g1011 +tp19139 +a(g900 +V\u000a +p19140 +tp19141 +a(g8 +V00001300 +p19142 +tp19143 +a(g900 +g958 +tp19144 +a(g900 +g958 +tp19145 +a(g548 +V72 +p19146 +tp19147 +a(g900 +g958 +tp19148 +a(g548 +V64 +p19149 +tp19150 +a(g900 +g958 +tp19151 +a(g548 +V73 +p19152 +tp19153 +a(g900 +g958 +tp19154 +a(g548 +V28 +p19155 +tp19156 +a(g900 +g958 +tp19157 +a(g548 +V20 +p19158 +tp19159 +a(g900 +g958 +tp19160 +a(g548 +V70 +p19161 +tp19162 +a(g900 +g958 +tp19163 +a(g548 +V68 +p19164 +tp19165 +a(g900 +g958 +tp19166 +a(g548 +V6f +p19167 +tp19168 +a(g900 +g958 +tp19169 +a(g900 +g958 +tp19170 +a(g548 +V6e +p19171 +tp19172 +a(g900 +g958 +tp19173 +a(g548 +V65 +p19174 +tp19175 +a(g900 +g958 +tp19176 +a(g548 +V20 +p19177 +tp19178 +a(g900 +g958 +tp19179 +a(g548 +V29 +p19180 +tp19181 +a(g900 +g958 +tp19182 +a(g548 +V20 +p19183 +tp19184 +a(g900 +g958 +tp19185 +a(g548 +V29 +p19186 +tp19187 +a(g900 +g958 +tp19188 +a(g548 +V0a +p19189 +tp19190 +a(g900 +g958 +tp19191 +a(g548 +V20 +p19192 +tp19193 +a(g900 +V +p19194 +tp19195 +a(g685 +g1011 +tp19196 +a(g341 +Vrds( phone ) ). +p19197 +tp19198 +a(g685 +g1011 +tp19199 +a(g900 +V\u000a +p19200 +tp19201 +a(g8 +V00001310 +p19202 +tp19203 +a(g900 +g958 +tp19204 +a(g900 +g958 +tp19205 +a(g548 +V20 +p19206 +tp19207 +a(g900 +g958 +tp19208 +a(g548 +V20 +p19209 +tp19210 +a(g900 +g958 +tp19211 +a(g548 +V20 +p19212 +tp19213 +a(g900 +g958 +tp19214 +a(g548 +V20 +p19215 +tp19216 +a(g900 +g958 +tp19217 +a(g548 +V20 +p19218 +tp19219 +a(g900 +g958 +tp19220 +a(g548 +V20 +p19221 +tp19222 +a(g900 +g958 +tp19223 +a(g548 +V20 +p19224 +tp19225 +a(g900 +g958 +tp19226 +a(g548 +V20 +p19227 +tp19228 +a(g900 +g958 +tp19229 +a(g900 +g958 +tp19230 +a(g548 +V20 +p19231 +tp19232 +a(g900 +g958 +tp19233 +a(g548 +V20 +p19234 +tp19235 +a(g900 +g958 +tp19236 +a(g548 +V20 +p19237 +tp19238 +a(g900 +g958 +tp19239 +a(g548 +V77 +p19240 +tp19241 +a(g900 +g958 +tp19242 +a(g548 +V72 +p19243 +tp19244 +a(g900 +g958 +tp19245 +a(g548 +V69 +p19246 +tp19247 +a(g900 +g958 +tp19248 +a(g548 +V74 +p19249 +tp19250 +a(g900 +g958 +tp19251 +a(g548 +V65 +p19252 +tp19253 +a(g900 +V +p19254 +tp19255 +a(g685 +g1011 +tp19256 +a(g341 +V write +p19257 +tp19258 +a(g685 +g1011 +tp19259 +a(g900 +V\u000a +p19260 +tp19261 +a(g8 +V00001320 +p19262 +tp19263 +a(g900 +g958 +tp19264 +a(g900 +g958 +tp19265 +a(g548 +V66 +p19266 +tp19267 +a(g900 +g958 +tp19268 +a(g548 +V6c +p19269 +tp19270 +a(g900 +g958 +tp19271 +a(g548 +V6e +p19272 +tp19273 +a(g900 +g958 +tp19274 +a(g548 +V28 +p19275 +tp19276 +a(g900 +g958 +tp19277 +a(g548 +V70 +p19278 +tp19279 +a(g900 +g958 +tp19280 +a(g548 +V68 +p19281 +tp19282 +a(g900 +g958 +tp19283 +a(g548 +V6f +p19284 +tp19285 +a(g900 +g958 +tp19286 +a(g548 +V6e +p19287 +tp19288 +a(g900 +g958 +tp19289 +a(g900 +g958 +tp19290 +a(g548 +V65 +p19291 +tp19292 +a(g900 +g958 +tp19293 +a(g548 +V2c +p19294 +tp19295 +a(g900 +g958 +tp19296 +a(g548 +V20 +p19297 +tp19298 +a(g900 +g958 +tp19299 +a(g548 +V22 +p19300 +tp19301 +a(g900 +g958 +tp19302 +a(g548 +V3a +p19303 +tp19304 +a(g900 +g958 +tp19305 +a(g548 +V20 +p19306 +tp19307 +a(g900 +g958 +tp19308 +a(g548 +V22 +p19309 +tp19310 +a(g900 +g958 +tp19311 +a(g548 +V2c +p19312 +tp19313 +a(g900 +V +p19314 +tp19315 +a(g685 +g1011 +tp19316 +a(g341 +Vfln(phone, ": ", +p19317 +tp19318 +a(g685 +g1011 +tp19319 +a(g900 +V\u000a +p19320 +tp19321 +a(g8 +V00001330 +p19322 +tp19323 +a(g900 +g958 +tp19324 +a(g900 +g958 +tp19325 +a(g548 +V20 +p19326 +tp19327 +a(g900 +g958 +tp19328 +a(g548 +V61 +p19329 +tp19330 +a(g900 +g958 +tp19331 +a(g548 +V6c +p19332 +tp19333 +a(g900 +g958 +tp19334 +a(g548 +V74 +p19335 +tp19336 +a(g900 +g958 +tp19337 +a(g548 +V65 +p19338 +tp19339 +a(g900 +g958 +tp19340 +a(g548 +V72 +p19341 +tp19342 +a(g900 +g958 +tp19343 +a(g548 +V6e +p19344 +tp19345 +a(g900 +g958 +tp19346 +a(g548 +V61 +p19347 +tp19348 +a(g900 +g958 +tp19349 +a(g900 +g958 +tp19350 +a(g548 +V74 +p19351 +tp19352 +a(g900 +g958 +tp19353 +a(g548 +V69 +p19354 +tp19355 +a(g900 +g958 +tp19356 +a(g548 +V76 +p19357 +tp19358 +a(g900 +g958 +tp19359 +a(g548 +V65 +p19360 +tp19361 +a(g900 +g958 +tp19362 +a(g548 +V20 +p19363 +tp19364 +a(g900 +g958 +tp19365 +a(g548 +V29 +p19366 +tp19367 +a(g900 +g958 +tp19368 +a(g548 +V3b +p19369 +tp19370 +a(g900 +g958 +tp19371 +a(g548 +V0a +p19372 +tp19373 +a(g900 +V +p19374 +tp19375 +a(g685 +g1011 +tp19376 +a(g341 +V alternative );. +p19377 +tp19378 +a(g685 +g1011 +tp19379 +a(g900 +V\u000a +p19380 +tp19381 +a(g8 +V00001340 +p19382 +tp19383 +a(g900 +g958 +tp19384 +a(g900 +g958 +tp19385 +a(g548 +V7d +p19386 +tp19387 +a(g900 +g958 +tp19388 +a(g548 +V0a +p19389 +tp19390 +a(g900 +g958 +tp19391 +a(g548 +V0a +p19392 +tp19393 +a(g900 +g958 +tp19394 +a(g900 +g958 +tp19395 +a(g900 +g958 +tp19396 +a(g900 +g958 +tp19397 +a(g900 +g958 +tp19398 +a(g900 +g958 +tp19399 +a(g900 +g958 +tp19400 +a(g900 +g958 +tp19401 +a(g900 +g958 +tp19402 +a(g900 +g958 +tp19403 +a(g900 +g958 +tp19404 +a(g900 +g958 +tp19405 +a(g900 +g958 +tp19406 +a(g900 +g958 +tp19407 +a(g900 +g958 +tp19408 +a(g900 +g958 +tp19409 +a(g900 +g958 +tp19410 +a(g900 +g958 +tp19411 +a(g900 +g958 +tp19412 +a(g900 +g958 +tp19413 +a(g900 +g958 +tp19414 +a(g900 +g958 +tp19415 +a(g900 +g958 +tp19416 +a(g900 +g958 +tp19417 +a(g900 +g958 +tp19418 +a(g900 +g958 +tp19419 +a(g900 +g958 +tp19420 +a(g900 +g958 +tp19421 +a(g900 +g958 +tp19422 +a(g900 +g958 +tp19423 +a(g900 +g958 +tp19424 +a(g900 +g958 +tp19425 +a(g900 +g958 +tp19426 +a(g900 +g958 +tp19427 +a(g900 +g958 +tp19428 +a(g900 +g958 +tp19429 +a(g900 +g958 +tp19430 +a(g900 +g958 +tp19431 +a(g900 +g958 +tp19432 +a(g900 +V +p19433 +tp19434 +a(g685 +g1011 +tp19435 +a(g341 +V}.. +p19436 +tp19437 +a(g685 +g1011 +tp19438 +a(g900 +V\u000a +p19439 +tp19440 +a(g8 +V00001343 +p19441 +tp19442 +a(g900 +V\u000a +p19443 +tp19444 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hexdump_hexcat b/tests/examplefiles/output/hexdump_hexcat new file mode 100644 index 0000000..6c697d4 --- /dev/null +++ b/tests/examplefiles/output/hexdump_hexcat @@ -0,0 +1,43766 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV00000000 +p956 +tp957 +a(g900 +V +p958 +tp959 +a(g900 +g958 +tp960 +a(g548 +V2F +p961 +tp962 +a(g900 +g958 +tp963 +a(g548 +V2F +p964 +tp965 +a(g900 +g958 +tp966 +a(g548 +V20 +p967 +tp968 +a(g900 +g958 +tp969 +a(g548 +V43 +p970 +tp971 +a(g900 +g958 +tp972 +a(g548 +V72 +p973 +tp974 +a(g900 +g958 +tp975 +a(g900 +g958 +tp976 +a(g548 +V65 +p977 +tp978 +a(g900 +g958 +tp979 +a(g548 +V61 +p980 +tp981 +a(g900 +g958 +tp982 +a(g548 +V74 +p983 +tp984 +a(g900 +g958 +tp985 +a(g548 +V65 +p986 +tp987 +a(g900 +g958 +tp988 +a(g548 +V64 +p989 +tp990 +a(g900 +g958 +tp991 +a(g900 +g958 +tp992 +a(g548 +V20 +p993 +tp994 +a(g900 +g958 +tp995 +a(g548 +V62 +p996 +tp997 +a(g900 +g958 +tp998 +a(g548 +V79 +p999 +tp1000 +a(g900 +g958 +tp1001 +a(g548 +V20 +p1002 +tp1003 +a(g900 +g958 +tp1004 +a(g548 +V4C +p1005 +tp1006 +a(g900 +g958 +tp1007 +a(g900 +g958 +tp1008 +a(g548 +V69 +p1009 +tp1010 +a(g900 +g958 +tp1011 +a(g548 +V6F +p1012 +tp1013 +a(g900 +g958 +tp1014 +a(g548 +V6E +p1015 +tp1016 +a(g900 +g958 +tp1017 +a(g548 +V65 +p1018 +tp1019 +a(g900 +g958 +tp1020 +a(g548 +V6C +p1021 +tp1022 +a(g900 +V +p1023 +tp1024 +a(g341 +V//.Created.by.Lionel +p1025 +tp1026 +a(g900 +V\u000a +p1027 +tp1028 +a(g8 +V00000014 +p1029 +tp1030 +a(g900 +g958 +tp1031 +a(g900 +g958 +tp1032 +a(g548 +V6C +p1033 +tp1034 +a(g900 +g958 +tp1035 +a(g548 +V6F +p1036 +tp1037 +a(g900 +g958 +tp1038 +a(g548 +V20 +p1039 +tp1040 +a(g900 +g958 +tp1041 +a(g548 +V4C +p1042 +tp1043 +a(g900 +g958 +tp1044 +a(g548 +V75 +p1045 +tp1046 +a(g900 +g958 +tp1047 +a(g900 +g958 +tp1048 +a(g548 +V6E +p1049 +tp1050 +a(g900 +g958 +tp1051 +a(g548 +V65 +p1052 +tp1053 +a(g900 +g958 +tp1054 +a(g548 +V73 +p1055 +tp1056 +a(g900 +g958 +tp1057 +a(g548 +V75 +p1058 +tp1059 +a(g900 +g958 +tp1060 +a(g548 +V20 +p1061 +tp1062 +a(g900 +g958 +tp1063 +a(g900 +g958 +tp1064 +a(g548 +V61 +p1065 +tp1066 +a(g900 +g958 +tp1067 +a(g548 +V6E +p1068 +tp1069 +a(g900 +g958 +tp1070 +a(g548 +V64 +p1071 +tp1072 +a(g900 +g958 +tp1073 +a(g548 +V20 +p1074 +tp1075 +a(g900 +g958 +tp1076 +a(g548 +V70 +p1077 +tp1078 +a(g900 +g958 +tp1079 +a(g900 +g958 +tp1080 +a(g548 +V6C +p1081 +tp1082 +a(g900 +g958 +tp1083 +a(g548 +V61 +p1084 +tp1085 +a(g900 +g958 +tp1086 +a(g548 +V63 +p1087 +tp1088 +a(g900 +g958 +tp1089 +a(g548 +V65 +p1090 +tp1091 +a(g900 +g958 +tp1092 +a(g548 +V64 +p1093 +tp1094 +a(g900 +V +p1095 +tp1096 +a(g341 +Vlo.Lunesu.and.placed +p1097 +tp1098 +a(g900 +V\u000a +p1099 +tp1100 +a(g8 +V00000028 +p1101 +tp1102 +a(g900 +g958 +tp1103 +a(g900 +g958 +tp1104 +a(g548 +V20 +p1105 +tp1106 +a(g900 +g958 +tp1107 +a(g548 +V69 +p1108 +tp1109 +a(g900 +g958 +tp1110 +a(g548 +V6E +p1111 +tp1112 +a(g900 +g958 +tp1113 +a(g548 +V20 +p1114 +tp1115 +a(g900 +g958 +tp1116 +a(g548 +V74 +p1117 +tp1118 +a(g900 +g958 +tp1119 +a(g900 +g958 +tp1120 +a(g548 +V68 +p1121 +tp1122 +a(g900 +g958 +tp1123 +a(g548 +V65 +p1124 +tp1125 +a(g900 +g958 +tp1126 +a(g548 +V20 +p1127 +tp1128 +a(g900 +g958 +tp1129 +a(g548 +V70 +p1130 +tp1131 +a(g900 +g958 +tp1132 +a(g548 +V75 +p1133 +tp1134 +a(g900 +g958 +tp1135 +a(g900 +g958 +tp1136 +a(g548 +V62 +p1137 +tp1138 +a(g900 +g958 +tp1139 +a(g548 +V6C +p1140 +tp1141 +a(g900 +g958 +tp1142 +a(g548 +V69 +p1143 +tp1144 +a(g900 +g958 +tp1145 +a(g548 +V63 +p1146 +tp1147 +a(g900 +g958 +tp1148 +a(g548 +V20 +p1149 +tp1150 +a(g900 +g958 +tp1151 +a(g900 +g958 +tp1152 +a(g548 +V64 +p1153 +tp1154 +a(g900 +g958 +tp1155 +a(g548 +V6F +p1156 +tp1157 +a(g900 +g958 +tp1158 +a(g548 +V6D +p1159 +tp1160 +a(g900 +g958 +tp1161 +a(g548 +V61 +p1162 +tp1163 +a(g900 +g958 +tp1164 +a(g548 +V69 +p1165 +tp1166 +a(g900 +V +p1167 +tp1168 +a(g341 +V.in.the.public.domai +p1169 +tp1170 +a(g900 +V\u000a +p1171 +tp1172 +a(g8 +V0000003C +p1173 +tp1174 +a(g900 +g958 +tp1175 +a(g900 +g958 +tp1176 +a(g548 +V6E +p1177 +tp1178 +a(g900 +g958 +tp1179 +a(g548 +V2E +p1180 +tp1181 +a(g900 +g958 +tp1182 +a(g548 +V0A +p1183 +tp1184 +a(g900 +g958 +tp1185 +a(g548 +V2F +p1186 +tp1187 +a(g900 +g958 +tp1188 +a(g548 +V2F +p1189 +tp1190 +a(g900 +g958 +tp1191 +a(g900 +g958 +tp1192 +a(g548 +V20 +p1193 +tp1194 +a(g900 +g958 +tp1195 +a(g548 +V54 +p1196 +tp1197 +a(g900 +g958 +tp1198 +a(g548 +V68 +p1199 +tp1200 +a(g900 +g958 +tp1201 +a(g548 +V69 +p1202 +tp1203 +a(g900 +g958 +tp1204 +a(g548 +V73 +p1205 +tp1206 +a(g900 +g958 +tp1207 +a(g900 +g958 +tp1208 +a(g548 +V20 +p1209 +tp1210 +a(g900 +g958 +tp1211 +a(g548 +V66 +p1212 +tp1213 +a(g900 +g958 +tp1214 +a(g548 +V69 +p1215 +tp1216 +a(g900 +g958 +tp1217 +a(g548 +V6C +p1218 +tp1219 +a(g900 +g958 +tp1220 +a(g548 +V65 +p1221 +tp1222 +a(g900 +g958 +tp1223 +a(g900 +g958 +tp1224 +a(g548 +V20 +p1225 +tp1226 +a(g900 +g958 +tp1227 +a(g548 +V68 +p1228 +tp1229 +a(g900 +g958 +tp1230 +a(g548 +V61 +p1231 +tp1232 +a(g900 +g958 +tp1233 +a(g548 +V73 +p1234 +tp1235 +a(g900 +g958 +tp1236 +a(g548 +V20 +p1237 +tp1238 +a(g900 +V +p1239 +tp1240 +a(g341 +Vn..//.This.file.has. +p1241 +tp1242 +a(g900 +V\u000a +p1243 +tp1244 +a(g8 +V00000050 +p1245 +tp1246 +a(g900 +g958 +tp1247 +a(g900 +g958 +tp1248 +a(g548 +V62 +p1249 +tp1250 +a(g900 +g958 +tp1251 +a(g548 +V65 +p1252 +tp1253 +a(g900 +g958 +tp1254 +a(g548 +V65 +p1255 +tp1256 +a(g900 +g958 +tp1257 +a(g548 +V6E +p1258 +tp1259 +a(g900 +g958 +tp1260 +a(g548 +V20 +p1261 +tp1262 +a(g900 +g958 +tp1263 +a(g900 +g958 +tp1264 +a(g548 +V6D +p1265 +tp1266 +a(g900 +g958 +tp1267 +a(g548 +V6F +p1268 +tp1269 +a(g900 +g958 +tp1270 +a(g548 +V64 +p1271 +tp1272 +a(g900 +g958 +tp1273 +a(g548 +V69 +p1274 +tp1275 +a(g900 +g958 +tp1276 +a(g548 +V66 +p1277 +tp1278 +a(g900 +g958 +tp1279 +a(g900 +g958 +tp1280 +a(g548 +V69 +p1281 +tp1282 +a(g900 +g958 +tp1283 +a(g548 +V65 +p1284 +tp1285 +a(g900 +g958 +tp1286 +a(g548 +V64 +p1287 +tp1288 +a(g900 +g958 +tp1289 +a(g548 +V20 +p1290 +tp1291 +a(g900 +g958 +tp1292 +a(g548 +V66 +p1293 +tp1294 +a(g900 +g958 +tp1295 +a(g900 +g958 +tp1296 +a(g548 +V72 +p1297 +tp1298 +a(g900 +g958 +tp1299 +a(g548 +V6F +p1300 +tp1301 +a(g900 +g958 +tp1302 +a(g548 +V6D +p1303 +tp1304 +a(g900 +g958 +tp1305 +a(g548 +V20 +p1306 +tp1307 +a(g900 +g958 +tp1308 +a(g548 +V69 +p1309 +tp1310 +a(g900 +V +p1311 +tp1312 +a(g341 +Vbeen.modified.from.i +p1313 +tp1314 +a(g900 +V\u000a +p1315 +tp1316 +a(g8 +V00000064 +p1317 +tp1318 +a(g900 +g958 +tp1319 +a(g900 +g958 +tp1320 +a(g548 +V74 +p1321 +tp1322 +a(g900 +g958 +tp1323 +a(g548 +V73 +p1324 +tp1325 +a(g900 +g958 +tp1326 +a(g548 +V20 +p1327 +tp1328 +a(g900 +g958 +tp1329 +a(g548 +V6F +p1330 +tp1331 +a(g900 +g958 +tp1332 +a(g548 +V72 +p1333 +tp1334 +a(g900 +g958 +tp1335 +a(g900 +g958 +tp1336 +a(g548 +V69 +p1337 +tp1338 +a(g900 +g958 +tp1339 +a(g548 +V67 +p1340 +tp1341 +a(g900 +g958 +tp1342 +a(g548 +V69 +p1343 +tp1344 +a(g900 +g958 +tp1345 +a(g548 +V6E +p1346 +tp1347 +a(g900 +g958 +tp1348 +a(g548 +V61 +p1349 +tp1350 +a(g900 +g958 +tp1351 +a(g900 +g958 +tp1352 +a(g548 +V6C +p1353 +tp1354 +a(g900 +g958 +tp1355 +a(g548 +V20 +p1356 +tp1357 +a(g900 +g958 +tp1358 +a(g548 +V76 +p1359 +tp1360 +a(g900 +g958 +tp1361 +a(g548 +V65 +p1362 +tp1363 +a(g900 +g958 +tp1364 +a(g548 +V72 +p1365 +tp1366 +a(g900 +g958 +tp1367 +a(g900 +g958 +tp1368 +a(g548 +V73 +p1369 +tp1370 +a(g900 +g958 +tp1371 +a(g548 +V69 +p1372 +tp1373 +a(g900 +g958 +tp1374 +a(g548 +V6F +p1375 +tp1376 +a(g900 +g958 +tp1377 +a(g548 +V6E +p1378 +tp1379 +a(g900 +g958 +tp1380 +a(g548 +V2E +p1381 +tp1382 +a(g900 +V +p1383 +tp1384 +a(g341 +Vts.original.version. +p1385 +tp1386 +a(g900 +V\u000a +p1387 +tp1388 +a(g8 +V00000078 +p1389 +tp1390 +a(g900 +g958 +tp1391 +a(g900 +g958 +tp1392 +a(g548 +V0A +p1393 +tp1394 +a(g900 +g958 +tp1395 +a(g548 +V2F +p1396 +tp1397 +a(g900 +g958 +tp1398 +a(g548 +V2F +p1399 +tp1400 +a(g900 +g958 +tp1401 +a(g548 +V20 +p1402 +tp1403 +a(g900 +g958 +tp1404 +a(g548 +V49 +p1405 +tp1406 +a(g900 +g958 +tp1407 +a(g900 +g958 +tp1408 +a(g548 +V74 +p1409 +tp1410 +a(g900 +g958 +tp1411 +a(g548 +V20 +p1412 +tp1413 +a(g900 +g958 +tp1414 +a(g548 +V68 +p1415 +tp1416 +a(g900 +g958 +tp1417 +a(g548 +V61 +p1418 +tp1419 +a(g900 +g958 +tp1420 +a(g548 +V73 +p1421 +tp1422 +a(g900 +g958 +tp1423 +a(g900 +g958 +tp1424 +a(g548 +V20 +p1425 +tp1426 +a(g900 +g958 +tp1427 +a(g548 +V62 +p1428 +tp1429 +a(g900 +g958 +tp1430 +a(g548 +V65 +p1431 +tp1432 +a(g900 +g958 +tp1433 +a(g548 +V65 +p1434 +tp1435 +a(g900 +g958 +tp1436 +a(g548 +V6E +p1437 +tp1438 +a(g900 +g958 +tp1439 +a(g900 +g958 +tp1440 +a(g548 +V20 +p1441 +tp1442 +a(g900 +g958 +tp1443 +a(g548 +V66 +p1444 +tp1445 +a(g900 +g958 +tp1446 +a(g548 +V6F +p1447 +tp1448 +a(g900 +g958 +tp1449 +a(g548 +V72 +p1450 +tp1451 +a(g900 +g958 +tp1452 +a(g548 +V6D +p1453 +tp1454 +a(g900 +V +p1455 +tp1456 +a(g341 +V.//.It.has.been.form +p1457 +tp1458 +a(g900 +V\u000a +p1459 +tp1460 +a(g8 +V0000008C +p1461 +tp1462 +a(g900 +g958 +tp1463 +a(g900 +g958 +tp1464 +a(g548 +V61 +p1465 +tp1466 +a(g900 +g958 +tp1467 +a(g548 +V74 +p1468 +tp1469 +a(g900 +g958 +tp1470 +a(g548 +V74 +p1471 +tp1472 +a(g900 +g958 +tp1473 +a(g548 +V65 +p1474 +tp1475 +a(g900 +g958 +tp1476 +a(g548 +V64 +p1477 +tp1478 +a(g900 +g958 +tp1479 +a(g900 +g958 +tp1480 +a(g548 +V20 +p1481 +tp1482 +a(g900 +g958 +tp1483 +a(g548 +V74 +p1484 +tp1485 +a(g900 +g958 +tp1486 +a(g548 +V6F +p1487 +tp1488 +a(g900 +g958 +tp1489 +a(g548 +V20 +p1490 +tp1491 +a(g900 +g958 +tp1492 +a(g548 +V66 +p1493 +tp1494 +a(g900 +g958 +tp1495 +a(g900 +g958 +tp1496 +a(g548 +V69 +p1497 +tp1498 +a(g900 +g958 +tp1499 +a(g548 +V74 +p1500 +tp1501 +a(g900 +g958 +tp1502 +a(g548 +V20 +p1503 +tp1504 +a(g900 +g958 +tp1505 +a(g548 +V79 +p1506 +tp1507 +a(g900 +g958 +tp1508 +a(g548 +V6F +p1509 +tp1510 +a(g900 +g958 +tp1511 +a(g900 +g958 +tp1512 +a(g548 +V75 +p1513 +tp1514 +a(g900 +g958 +tp1515 +a(g548 +V72 +p1516 +tp1517 +a(g900 +g958 +tp1518 +a(g548 +V20 +p1519 +tp1520 +a(g900 +g958 +tp1521 +a(g548 +V73 +p1522 +tp1523 +a(g900 +g958 +tp1524 +a(g548 +V63 +p1525 +tp1526 +a(g900 +V +p1527 +tp1528 +a(g341 +Vatted.to.fit.your.sc +p1529 +tp1530 +a(g900 +V\u000a +p1531 +tp1532 +a(g8 +V000000A0 +p1533 +tp1534 +a(g900 +g958 +tp1535 +a(g900 +g958 +tp1536 +a(g548 +V72 +p1537 +tp1538 +a(g900 +g958 +tp1539 +a(g548 +V65 +p1540 +tp1541 +a(g900 +g958 +tp1542 +a(g548 +V65 +p1543 +tp1544 +a(g900 +g958 +tp1545 +a(g548 +V6E +p1546 +tp1547 +a(g900 +g958 +tp1548 +a(g548 +V2E +p1549 +tp1550 +a(g900 +g958 +tp1551 +a(g900 +g958 +tp1552 +a(g548 +V0A +p1553 +tp1554 +a(g900 +g958 +tp1555 +a(g548 +V6D +p1556 +tp1557 +a(g900 +g958 +tp1558 +a(g548 +V6F +p1559 +tp1560 +a(g900 +g958 +tp1561 +a(g548 +V64 +p1562 +tp1563 +a(g900 +g958 +tp1564 +a(g548 +V75 +p1565 +tp1566 +a(g900 +g958 +tp1567 +a(g900 +g958 +tp1568 +a(g548 +V6C +p1569 +tp1570 +a(g900 +g958 +tp1571 +a(g548 +V65 +p1572 +tp1573 +a(g900 +g958 +tp1574 +a(g548 +V20 +p1575 +tp1576 +a(g900 +g958 +tp1577 +a(g548 +V70 +p1578 +tp1579 +a(g900 +g958 +tp1580 +a(g548 +V68 +p1581 +tp1582 +a(g900 +g958 +tp1583 +a(g900 +g958 +tp1584 +a(g548 +V6F +p1585 +tp1586 +a(g900 +g958 +tp1587 +a(g548 +V6E +p1588 +tp1589 +a(g900 +g958 +tp1590 +a(g548 +V65 +p1591 +tp1592 +a(g900 +g958 +tp1593 +a(g548 +V6E +p1594 +tp1595 +a(g900 +g958 +tp1596 +a(g548 +V6F +p1597 +tp1598 +a(g900 +V +p1599 +tp1600 +a(g341 +Vreen..module.phoneno +p1601 +tp1602 +a(g900 +V\u000a +p1603 +tp1604 +a(g8 +V000000B4 +p1605 +tp1606 +a(g900 +g958 +tp1607 +a(g900 +g958 +tp1608 +a(g548 +V3B +p1609 +tp1610 +a(g900 +g958 +tp1611 +a(g548 +V20 +p1612 +tp1613 +a(g900 +g958 +tp1614 +a(g548 +V20 +p1615 +tp1616 +a(g900 +g958 +tp1617 +a(g548 +V20 +p1618 +tp1619 +a(g900 +g958 +tp1620 +a(g548 +V20 +p1621 +tp1622 +a(g900 +g958 +tp1623 +a(g900 +g958 +tp1624 +a(g548 +V20 +p1625 +tp1626 +a(g900 +g958 +tp1627 +a(g548 +V2F +p1628 +tp1629 +a(g900 +g958 +tp1630 +a(g548 +V2F +p1631 +tp1632 +a(g900 +g958 +tp1633 +a(g548 +V20 +p1634 +tp1635 +a(g900 +g958 +tp1636 +a(g548 +V6F +p1637 +tp1638 +a(g900 +g958 +tp1639 +a(g900 +g958 +tp1640 +a(g548 +V70 +p1641 +tp1642 +a(g900 +g958 +tp1643 +a(g548 +V74 +p1644 +tp1645 +a(g900 +g958 +tp1646 +a(g548 +V69 +p1647 +tp1648 +a(g900 +g958 +tp1649 +a(g548 +V6F +p1650 +tp1651 +a(g900 +g958 +tp1652 +a(g548 +V6E +p1653 +tp1654 +a(g900 +g958 +tp1655 +a(g900 +g958 +tp1656 +a(g548 +V61 +p1657 +tp1658 +a(g900 +g958 +tp1659 +a(g548 +V6C +p1660 +tp1661 +a(g900 +g958 +tp1662 +a(g548 +V0A +p1663 +tp1664 +a(g900 +g958 +tp1665 +a(g548 +V69 +p1666 +tp1667 +a(g900 +g958 +tp1668 +a(g548 +V6D +p1669 +tp1670 +a(g900 +V +p1671 +tp1672 +a(g341 +V;.....//.optional.im +p1673 +tp1674 +a(g900 +V\u000a +p1675 +tp1676 +a(g8 +V000000C8 +p1677 +tp1678 +a(g900 +g958 +tp1679 +a(g900 +g958 +tp1680 +a(g548 +V70 +p1681 +tp1682 +a(g900 +g958 +tp1683 +a(g548 +V6F +p1684 +tp1685 +a(g900 +g958 +tp1686 +a(g548 +V72 +p1687 +tp1688 +a(g900 +g958 +tp1689 +a(g548 +V74 +p1690 +tp1691 +a(g900 +g958 +tp1692 +a(g548 +V20 +p1693 +tp1694 +a(g900 +g958 +tp1695 +a(g900 +g958 +tp1696 +a(g548 +V73 +p1697 +tp1698 +a(g900 +g958 +tp1699 +a(g548 +V74 +p1700 +tp1701 +a(g900 +g958 +tp1702 +a(g548 +V64 +p1703 +tp1704 +a(g900 +g958 +tp1705 +a(g548 +V2E +p1706 +tp1707 +a(g900 +g958 +tp1708 +a(g548 +V73 +p1709 +tp1710 +a(g900 +g958 +tp1711 +a(g900 +g958 +tp1712 +a(g548 +V74 +p1713 +tp1714 +a(g900 +g958 +tp1715 +a(g548 +V64 +p1716 +tp1717 +a(g900 +g958 +tp1718 +a(g548 +V69 +p1719 +tp1720 +a(g900 +g958 +tp1721 +a(g548 +V6F +p1722 +tp1723 +a(g900 +g958 +tp1724 +a(g548 +V3B +p1725 +tp1726 +a(g900 +g958 +tp1727 +a(g900 +g958 +tp1728 +a(g548 +V20 +p1729 +tp1730 +a(g900 +g958 +tp1731 +a(g548 +V20 +p1732 +tp1733 +a(g900 +g958 +tp1734 +a(g548 +V20 +p1735 +tp1736 +a(g900 +g958 +tp1737 +a(g548 +V2F +p1738 +tp1739 +a(g900 +g958 +tp1740 +a(g548 +V2F +p1741 +tp1742 +a(g900 +V +p1743 +tp1744 +a(g341 +Vport.std.stdio;...// +p1745 +tp1746 +a(g900 +V\u000a +p1747 +tp1748 +a(g8 +V000000DC +p1749 +tp1750 +a(g900 +g958 +tp1751 +a(g900 +g958 +tp1752 +a(g548 +V20 +p1753 +tp1754 +a(g900 +g958 +tp1755 +a(g548 +V77 +p1756 +tp1757 +a(g900 +g958 +tp1758 +a(g548 +V72 +p1759 +tp1760 +a(g900 +g958 +tp1761 +a(g548 +V69 +p1762 +tp1763 +a(g900 +g958 +tp1764 +a(g548 +V74 +p1765 +tp1766 +a(g900 +g958 +tp1767 +a(g900 +g958 +tp1768 +a(g548 +V65 +p1769 +tp1770 +a(g900 +g958 +tp1771 +a(g548 +V66 +p1772 +tp1773 +a(g900 +g958 +tp1774 +a(g548 +V6C +p1775 +tp1776 +a(g900 +g958 +tp1777 +a(g548 +V6E +p1778 +tp1779 +a(g900 +g958 +tp1780 +a(g548 +V20 +p1781 +tp1782 +a(g900 +g958 +tp1783 +a(g900 +g958 +tp1784 +a(g548 +V20 +p1785 +tp1786 +a(g900 +g958 +tp1787 +a(g548 +V20 +p1788 +tp1789 +a(g900 +g958 +tp1790 +a(g548 +V20 +p1791 +tp1792 +a(g900 +g958 +tp1793 +a(g548 +V20 +p1794 +tp1795 +a(g900 +g958 +tp1796 +a(g548 +V0A +p1797 +tp1798 +a(g900 +g958 +tp1799 +a(g900 +g958 +tp1800 +a(g548 +V69 +p1801 +tp1802 +a(g900 +g958 +tp1803 +a(g548 +V6D +p1804 +tp1805 +a(g900 +g958 +tp1806 +a(g548 +V70 +p1807 +tp1808 +a(g900 +g958 +tp1809 +a(g548 +V6F +p1810 +tp1811 +a(g900 +g958 +tp1812 +a(g548 +V72 +p1813 +tp1814 +a(g900 +V +p1815 +tp1816 +a(g341 +V.writefln......impor +p1817 +tp1818 +a(g900 +V\u000a +p1819 +tp1820 +a(g8 +V000000F0 +p1821 +tp1822 +a(g900 +g958 +tp1823 +a(g900 +g958 +tp1824 +a(g548 +V74 +p1825 +tp1826 +a(g900 +g958 +tp1827 +a(g548 +V20 +p1828 +tp1829 +a(g900 +g958 +tp1830 +a(g548 +V73 +p1831 +tp1832 +a(g900 +g958 +tp1833 +a(g548 +V74 +p1834 +tp1835 +a(g900 +g958 +tp1836 +a(g548 +V64 +p1837 +tp1838 +a(g900 +g958 +tp1839 +a(g900 +g958 +tp1840 +a(g548 +V2E +p1841 +tp1842 +a(g900 +g958 +tp1843 +a(g548 +V63 +p1844 +tp1845 +a(g900 +g958 +tp1846 +a(g548 +V74 +p1847 +tp1848 +a(g900 +g958 +tp1849 +a(g548 +V79 +p1850 +tp1851 +a(g900 +g958 +tp1852 +a(g548 +V70 +p1853 +tp1854 +a(g900 +g958 +tp1855 +a(g900 +g958 +tp1856 +a(g548 +V65 +p1857 +tp1858 +a(g900 +g958 +tp1859 +a(g548 +V3B +p1860 +tp1861 +a(g900 +g958 +tp1862 +a(g548 +V20 +p1863 +tp1864 +a(g900 +g958 +tp1865 +a(g548 +V20 +p1866 +tp1867 +a(g900 +g958 +tp1868 +a(g548 +V20 +p1869 +tp1870 +a(g900 +g958 +tp1871 +a(g900 +g958 +tp1872 +a(g548 +V2F +p1873 +tp1874 +a(g900 +g958 +tp1875 +a(g548 +V2F +p1876 +tp1877 +a(g900 +g958 +tp1878 +a(g548 +V20 +p1879 +tp1880 +a(g900 +g958 +tp1881 +a(g548 +V69 +p1882 +tp1883 +a(g900 +g958 +tp1884 +a(g548 +V73 +p1885 +tp1886 +a(g900 +V +p1887 +tp1888 +a(g341 +Vt.std.ctype;...//.is +p1889 +tp1890 +a(g900 +V\u000a +p1891 +tp1892 +a(g8 +V00000104 +p1893 +tp1894 +a(g900 +g958 +tp1895 +a(g900 +g958 +tp1896 +a(g548 +V64 +p1897 +tp1898 +a(g900 +g958 +tp1899 +a(g548 +V69 +p1900 +tp1901 +a(g900 +g958 +tp1902 +a(g548 +V67 +p1903 +tp1904 +a(g900 +g958 +tp1905 +a(g548 +V69 +p1906 +tp1907 +a(g900 +g958 +tp1908 +a(g548 +V74 +p1909 +tp1910 +a(g900 +g958 +tp1911 +a(g900 +g958 +tp1912 +a(g548 +V20 +p1913 +tp1914 +a(g900 +g958 +tp1915 +a(g548 +V20 +p1916 +tp1917 +a(g900 +g958 +tp1918 +a(g548 +V20 +p1919 +tp1920 +a(g900 +g958 +tp1921 +a(g548 +V20 +p1922 +tp1923 +a(g900 +g958 +tp1924 +a(g548 +V20 +p1925 +tp1926 +a(g900 +g958 +tp1927 +a(g900 +g958 +tp1928 +a(g548 +V0A +p1929 +tp1930 +a(g900 +g958 +tp1931 +a(g548 +V69 +p1932 +tp1933 +a(g900 +g958 +tp1934 +a(g548 +V6D +p1935 +tp1936 +a(g900 +g958 +tp1937 +a(g548 +V70 +p1938 +tp1939 +a(g900 +g958 +tp1940 +a(g548 +V6F +p1941 +tp1942 +a(g900 +g958 +tp1943 +a(g900 +g958 +tp1944 +a(g548 +V72 +p1945 +tp1946 +a(g900 +g958 +tp1947 +a(g548 +V74 +p1948 +tp1949 +a(g900 +g958 +tp1950 +a(g548 +V20 +p1951 +tp1952 +a(g900 +g958 +tp1953 +a(g548 +V73 +p1954 +tp1955 +a(g900 +g958 +tp1956 +a(g548 +V74 +p1957 +tp1958 +a(g900 +V +p1959 +tp1960 +a(g341 +Vdigit......import.st +p1961 +tp1962 +a(g900 +V\u000a +p1963 +tp1964 +a(g8 +V00000118 +p1965 +tp1966 +a(g900 +g958 +tp1967 +a(g900 +g958 +tp1968 +a(g548 +V64 +p1969 +tp1970 +a(g900 +g958 +tp1971 +a(g548 +V2E +p1972 +tp1973 +a(g900 +g958 +tp1974 +a(g548 +V73 +p1975 +tp1976 +a(g900 +g958 +tp1977 +a(g548 +V74 +p1978 +tp1979 +a(g900 +g958 +tp1980 +a(g548 +V72 +p1981 +tp1982 +a(g900 +g958 +tp1983 +a(g900 +g958 +tp1984 +a(g548 +V65 +p1985 +tp1986 +a(g900 +g958 +tp1987 +a(g548 +V61 +p1988 +tp1989 +a(g900 +g958 +tp1990 +a(g548 +V6D +p1991 +tp1992 +a(g900 +g958 +tp1993 +a(g548 +V3B +p1994 +tp1995 +a(g900 +g958 +tp1996 +a(g548 +V20 +p1997 +tp1998 +a(g900 +g958 +tp1999 +a(g900 +g958 +tp2000 +a(g548 +V20 +p2001 +tp2002 +a(g900 +g958 +tp2003 +a(g548 +V2F +p2004 +tp2005 +a(g900 +g958 +tp2006 +a(g548 +V2F +p2007 +tp2008 +a(g900 +g958 +tp2009 +a(g548 +V20 +p2010 +tp2011 +a(g900 +g958 +tp2012 +a(g548 +V42 +p2013 +tp2014 +a(g900 +g958 +tp2015 +a(g900 +g958 +tp2016 +a(g548 +V75 +p2017 +tp2018 +a(g900 +g958 +tp2019 +a(g548 +V66 +p2020 +tp2021 +a(g900 +g958 +tp2022 +a(g548 +V66 +p2023 +tp2024 +a(g900 +g958 +tp2025 +a(g548 +V65 +p2026 +tp2027 +a(g900 +g958 +tp2028 +a(g548 +V72 +p2029 +tp2030 +a(g900 +V +p2031 +tp2032 +a(g341 +Vd.stream;..//.Buffer +p2033 +tp2034 +a(g900 +V\u000a +p2035 +tp2036 +a(g8 +V0000012C +p2037 +tp2038 +a(g900 +g958 +tp2039 +a(g900 +g958 +tp2040 +a(g548 +V65 +p2041 +tp2042 +a(g900 +g958 +tp2043 +a(g548 +V64 +p2044 +tp2045 +a(g900 +g958 +tp2046 +a(g548 +V46 +p2047 +tp2048 +a(g900 +g958 +tp2049 +a(g548 +V69 +p2050 +tp2051 +a(g900 +g958 +tp2052 +a(g548 +V6C +p2053 +tp2054 +a(g900 +g958 +tp2055 +a(g900 +g958 +tp2056 +a(g548 +V65 +p2057 +tp2058 +a(g900 +g958 +tp2059 +a(g548 +V0A +p2060 +tp2061 +a(g900 +g958 +tp2062 +a(g548 +V0A +p2063 +tp2064 +a(g900 +g958 +tp2065 +a(g548 +V2F +p2066 +tp2067 +a(g900 +g958 +tp2068 +a(g548 +V2F +p2069 +tp2070 +a(g900 +g958 +tp2071 +a(g900 +g958 +tp2072 +a(g548 +V20 +p2073 +tp2074 +a(g900 +g958 +tp2075 +a(g548 +V4A +p2076 +tp2077 +a(g900 +g958 +tp2078 +a(g548 +V75 +p2079 +tp2080 +a(g900 +g958 +tp2081 +a(g548 +V73 +p2082 +tp2083 +a(g900 +g958 +tp2084 +a(g548 +V74 +p2085 +tp2086 +a(g900 +g958 +tp2087 +a(g900 +g958 +tp2088 +a(g548 +V20 +p2089 +tp2090 +a(g900 +g958 +tp2091 +a(g548 +V66 +p2092 +tp2093 +a(g900 +g958 +tp2094 +a(g548 +V6F +p2095 +tp2096 +a(g900 +g958 +tp2097 +a(g548 +V72 +p2098 +tp2099 +a(g900 +g958 +tp2100 +a(g548 +V20 +p2101 +tp2102 +a(g900 +V +p2103 +tp2104 +a(g341 +VedFile..//.Just.for. +p2105 +tp2106 +a(g900 +V\u000a +p2107 +tp2108 +a(g8 +V00000140 +p2109 +tp2110 +a(g900 +g958 +tp2111 +a(g900 +g958 +tp2112 +a(g548 +V72 +p2113 +tp2114 +a(g900 +g958 +tp2115 +a(g548 +V65 +p2116 +tp2117 +a(g900 +g958 +tp2118 +a(g548 +V61 +p2119 +tp2120 +a(g900 +g958 +tp2121 +a(g548 +V64 +p2122 +tp2123 +a(g900 +g958 +tp2124 +a(g548 +V61 +p2125 +tp2126 +a(g900 +g958 +tp2127 +a(g900 +g958 +tp2128 +a(g548 +V62 +p2129 +tp2130 +a(g900 +g958 +tp2131 +a(g548 +V69 +p2132 +tp2133 +a(g900 +g958 +tp2134 +a(g548 +V6C +p2135 +tp2136 +a(g900 +g958 +tp2137 +a(g548 +V69 +p2138 +tp2139 +a(g900 +g958 +tp2140 +a(g548 +V74 +p2141 +tp2142 +a(g900 +g958 +tp2143 +a(g900 +g958 +tp2144 +a(g548 +V79 +p2145 +tp2146 +a(g900 +g958 +tp2147 +a(g548 +V20 +p2148 +tp2149 +a(g900 +g958 +tp2150 +a(g548 +V28 +p2151 +tp2152 +a(g900 +g958 +tp2153 +a(g548 +V69 +p2154 +tp2155 +a(g900 +g958 +tp2156 +a(g548 +V6D +p2157 +tp2158 +a(g900 +g958 +tp2159 +a(g900 +g958 +tp2160 +a(g548 +V61 +p2161 +tp2162 +a(g900 +g958 +tp2163 +a(g548 +V67 +p2164 +tp2165 +a(g900 +g958 +tp2166 +a(g548 +V69 +p2167 +tp2168 +a(g900 +g958 +tp2169 +a(g548 +V6E +p2170 +tp2171 +a(g900 +g958 +tp2172 +a(g548 +V65 +p2173 +tp2174 +a(g900 +V +p2175 +tp2176 +a(g341 +Vreadability.(imagine +p2177 +tp2178 +a(g900 +V\u000a +p2179 +tp2180 +a(g8 +V00000154 +p2181 +tp2182 +a(g900 +g958 +tp2183 +a(g900 +g958 +tp2184 +a(g548 +V20 +p2185 +tp2186 +a(g900 +g958 +tp2187 +a(g548 +V63 +p2188 +tp2189 +a(g900 +g958 +tp2190 +a(g548 +V68 +p2191 +tp2192 +a(g900 +g958 +tp2193 +a(g548 +V61 +p2194 +tp2195 +a(g900 +g958 +tp2196 +a(g548 +V72 +p2197 +tp2198 +a(g900 +g958 +tp2199 +a(g900 +g958 +tp2200 +a(g548 +V5B +p2201 +tp2202 +a(g900 +g958 +tp2203 +a(g548 +V5D +p2204 +tp2205 +a(g900 +g958 +tp2206 +a(g548 +V5B +p2207 +tp2208 +a(g900 +g958 +tp2209 +a(g548 +V5D +p2210 +tp2211 +a(g900 +g958 +tp2212 +a(g548 +V5B +p2213 +tp2214 +a(g900 +g958 +tp2215 +a(g900 +g958 +tp2216 +a(g548 +V63 +p2217 +tp2218 +a(g900 +g958 +tp2219 +a(g548 +V68 +p2220 +tp2221 +a(g900 +g958 +tp2222 +a(g548 +V61 +p2223 +tp2224 +a(g900 +g958 +tp2225 +a(g548 +V72 +p2226 +tp2227 +a(g900 +g958 +tp2228 +a(g548 +V5B +p2229 +tp2230 +a(g900 +g958 +tp2231 +a(g900 +g958 +tp2232 +a(g548 +V5D +p2233 +tp2234 +a(g900 +g958 +tp2235 +a(g548 +V5D +p2236 +tp2237 +a(g900 +g958 +tp2238 +a(g548 +V29 +p2239 +tp2240 +a(g900 +g958 +tp2241 +a(g548 +V20 +p2242 +tp2243 +a(g900 +g958 +tp2244 +a(g548 +V20 +p2245 +tp2246 +a(g900 +V +p2247 +tp2248 +a(g341 +V.char[][][char[]]).. +p2249 +tp2250 +a(g900 +V\u000a +p2251 +tp2252 +a(g8 +V00000168 +p2253 +tp2254 +a(g900 +g958 +tp2255 +a(g900 +g958 +tp2256 +a(g548 +V20 +p2257 +tp2258 +a(g900 +g958 +tp2259 +a(g548 +V20 +p2260 +tp2261 +a(g900 +g958 +tp2262 +a(g548 +V0A +p2263 +tp2264 +a(g900 +g958 +tp2265 +a(g548 +V61 +p2266 +tp2267 +a(g900 +g958 +tp2268 +a(g548 +V6C +p2269 +tp2270 +a(g900 +g958 +tp2271 +a(g900 +g958 +tp2272 +a(g548 +V69 +p2273 +tp2274 +a(g900 +g958 +tp2275 +a(g548 +V61 +p2276 +tp2277 +a(g900 +g958 +tp2278 +a(g548 +V73 +p2279 +tp2280 +a(g900 +g958 +tp2281 +a(g548 +V20 +p2282 +tp2283 +a(g900 +g958 +tp2284 +a(g548 +V63 +p2285 +tp2286 +a(g900 +g958 +tp2287 +a(g900 +g958 +tp2288 +a(g548 +V68 +p2289 +tp2290 +a(g900 +g958 +tp2291 +a(g548 +V61 +p2292 +tp2293 +a(g900 +g958 +tp2294 +a(g548 +V72 +p2295 +tp2296 +a(g900 +g958 +tp2297 +a(g548 +V5B +p2298 +tp2299 +a(g900 +g958 +tp2300 +a(g548 +V5D +p2301 +tp2302 +a(g900 +g958 +tp2303 +a(g900 +g958 +tp2304 +a(g548 +V20 +p2305 +tp2306 +a(g900 +g958 +tp2307 +a(g548 +V73 +p2308 +tp2309 +a(g900 +g958 +tp2310 +a(g548 +V74 +p2311 +tp2312 +a(g900 +g958 +tp2313 +a(g548 +V72 +p2314 +tp2315 +a(g900 +g958 +tp2316 +a(g548 +V69 +p2317 +tp2318 +a(g900 +V +p2319 +tp2320 +a(g341 +V...alias.char[].stri +p2321 +tp2322 +a(g900 +V\u000a +p2323 +tp2324 +a(g8 +V0000017C +p2325 +tp2326 +a(g900 +g958 +tp2327 +a(g900 +g958 +tp2328 +a(g548 +V6E +p2329 +tp2330 +a(g900 +g958 +tp2331 +a(g548 +V67 +p2332 +tp2333 +a(g900 +g958 +tp2334 +a(g548 +V3B +p2335 +tp2336 +a(g900 +g958 +tp2337 +a(g548 +V0A +p2338 +tp2339 +a(g900 +g958 +tp2340 +a(g548 +V61 +p2341 +tp2342 +a(g900 +g958 +tp2343 +a(g900 +g958 +tp2344 +a(g548 +V6C +p2345 +tp2346 +a(g900 +g958 +tp2347 +a(g548 +V69 +p2348 +tp2349 +a(g900 +g958 +tp2350 +a(g548 +V61 +p2351 +tp2352 +a(g900 +g958 +tp2353 +a(g548 +V73 +p2354 +tp2355 +a(g900 +g958 +tp2356 +a(g548 +V20 +p2357 +tp2358 +a(g900 +g958 +tp2359 +a(g900 +g958 +tp2360 +a(g548 +V73 +p2361 +tp2362 +a(g900 +g958 +tp2363 +a(g548 +V74 +p2364 +tp2365 +a(g900 +g958 +tp2366 +a(g548 +V72 +p2367 +tp2368 +a(g900 +g958 +tp2369 +a(g548 +V69 +p2370 +tp2371 +a(g900 +g958 +tp2372 +a(g548 +V6E +p2373 +tp2374 +a(g900 +g958 +tp2375 +a(g900 +g958 +tp2376 +a(g548 +V67 +p2377 +tp2378 +a(g900 +g958 +tp2379 +a(g548 +V5B +p2380 +tp2381 +a(g900 +g958 +tp2382 +a(g548 +V5D +p2383 +tp2384 +a(g900 +g958 +tp2385 +a(g548 +V20 +p2386 +tp2387 +a(g900 +g958 +tp2388 +a(g548 +V73 +p2389 +tp2390 +a(g900 +V +p2391 +tp2392 +a(g341 +Vng;.alias.string[].s +p2393 +tp2394 +a(g900 +V\u000a +p2395 +tp2396 +a(g8 +V00000190 +p2397 +tp2398 +a(g900 +g958 +tp2399 +a(g900 +g958 +tp2400 +a(g548 +V74 +p2401 +tp2402 +a(g900 +g958 +tp2403 +a(g548 +V72 +p2404 +tp2405 +a(g900 +g958 +tp2406 +a(g548 +V69 +p2407 +tp2408 +a(g900 +g958 +tp2409 +a(g548 +V6E +p2410 +tp2411 +a(g900 +g958 +tp2412 +a(g548 +V67 +p2413 +tp2414 +a(g900 +g958 +tp2415 +a(g900 +g958 +tp2416 +a(g548 +V61 +p2417 +tp2418 +a(g900 +g958 +tp2419 +a(g548 +V72 +p2420 +tp2421 +a(g900 +g958 +tp2422 +a(g548 +V72 +p2423 +tp2424 +a(g900 +g958 +tp2425 +a(g548 +V61 +p2426 +tp2427 +a(g900 +g958 +tp2428 +a(g548 +V79 +p2429 +tp2430 +a(g900 +g958 +tp2431 +a(g900 +g958 +tp2432 +a(g548 +V3B +p2433 +tp2434 +a(g900 +g958 +tp2435 +a(g548 +V0A +p2436 +tp2437 +a(g900 +g958 +tp2438 +a(g548 +V0A +p2439 +tp2440 +a(g900 +g958 +tp2441 +a(g548 +V2F +p2442 +tp2443 +a(g900 +g958 +tp2444 +a(g548 +V2F +p2445 +tp2446 +a(g900 +g958 +tp2447 +a(g900 +g958 +tp2448 +a(g548 +V2F +p2449 +tp2450 +a(g900 +g958 +tp2451 +a(g548 +V20 +p2452 +tp2453 +a(g900 +g958 +tp2454 +a(g548 +V53 +p2455 +tp2456 +a(g900 +g958 +tp2457 +a(g548 +V74 +p2458 +tp2459 +a(g900 +g958 +tp2460 +a(g548 +V72 +p2461 +tp2462 +a(g900 +V +p2463 +tp2464 +a(g341 +Vtringarray;..///.Str +p2465 +tp2466 +a(g900 +V\u000a +p2467 +tp2468 +a(g8 +V000001A4 +p2469 +tp2470 +a(g900 +g958 +tp2471 +a(g900 +g958 +tp2472 +a(g548 +V69 +p2473 +tp2474 +a(g900 +g958 +tp2475 +a(g548 +V70 +p2476 +tp2477 +a(g900 +g958 +tp2478 +a(g548 +V73 +p2479 +tp2480 +a(g900 +g958 +tp2481 +a(g548 +V20 +p2482 +tp2483 +a(g900 +g958 +tp2484 +a(g548 +V6E +p2485 +tp2486 +a(g900 +g958 +tp2487 +a(g900 +g958 +tp2488 +a(g548 +V6F +p2489 +tp2490 +a(g900 +g958 +tp2491 +a(g548 +V6E +p2492 +tp2493 +a(g900 +g958 +tp2494 +a(g548 +V2D +p2495 +tp2496 +a(g900 +g958 +tp2497 +a(g548 +V64 +p2498 +tp2499 +a(g900 +g958 +tp2500 +a(g548 +V69 +p2501 +tp2502 +a(g900 +g958 +tp2503 +a(g900 +g958 +tp2504 +a(g548 +V67 +p2505 +tp2506 +a(g900 +g958 +tp2507 +a(g548 +V69 +p2508 +tp2509 +a(g900 +g958 +tp2510 +a(g548 +V74 +p2511 +tp2512 +a(g900 +g958 +tp2513 +a(g548 +V20 +p2514 +tp2515 +a(g900 +g958 +tp2516 +a(g548 +V63 +p2517 +tp2518 +a(g900 +g958 +tp2519 +a(g900 +g958 +tp2520 +a(g548 +V68 +p2521 +tp2522 +a(g900 +g958 +tp2523 +a(g548 +V61 +p2524 +tp2525 +a(g900 +g958 +tp2526 +a(g548 +V72 +p2527 +tp2528 +a(g900 +g958 +tp2529 +a(g548 +V61 +p2530 +tp2531 +a(g900 +g958 +tp2532 +a(g548 +V63 +p2533 +tp2534 +a(g900 +V +p2535 +tp2536 +a(g341 +Vips.non-digit.charac +p2537 +tp2538 +a(g900 +V\u000a +p2539 +tp2540 +a(g8 +V000001B8 +p2541 +tp2542 +a(g900 +g958 +tp2543 +a(g900 +g958 +tp2544 +a(g548 +V74 +p2545 +tp2546 +a(g900 +g958 +tp2547 +a(g548 +V65 +p2548 +tp2549 +a(g900 +g958 +tp2550 +a(g548 +V72 +p2551 +tp2552 +a(g900 +g958 +tp2553 +a(g548 +V73 +p2554 +tp2555 +a(g900 +g958 +tp2556 +a(g548 +V20 +p2557 +tp2558 +a(g900 +g958 +tp2559 +a(g900 +g958 +tp2560 +a(g548 +V66 +p2561 +tp2562 +a(g900 +g958 +tp2563 +a(g548 +V72 +p2564 +tp2565 +a(g900 +g958 +tp2566 +a(g548 +V6F +p2567 +tp2568 +a(g900 +g958 +tp2569 +a(g548 +V6D +p2570 +tp2571 +a(g900 +g958 +tp2572 +a(g548 +V20 +p2573 +tp2574 +a(g900 +g958 +tp2575 +a(g900 +g958 +tp2576 +a(g548 +V74 +p2577 +tp2578 +a(g900 +g958 +tp2579 +a(g548 +V68 +p2580 +tp2581 +a(g900 +g958 +tp2582 +a(g548 +V65 +p2583 +tp2584 +a(g900 +g958 +tp2585 +a(g548 +V20 +p2586 +tp2587 +a(g900 +g958 +tp2588 +a(g548 +V73 +p2589 +tp2590 +a(g900 +g958 +tp2591 +a(g900 +g958 +tp2592 +a(g548 +V74 +p2593 +tp2594 +a(g900 +g958 +tp2595 +a(g548 +V72 +p2596 +tp2597 +a(g900 +g958 +tp2598 +a(g548 +V69 +p2599 +tp2600 +a(g900 +g958 +tp2601 +a(g548 +V6E +p2602 +tp2603 +a(g900 +g958 +tp2604 +a(g548 +V67 +p2605 +tp2606 +a(g900 +V +p2607 +tp2608 +a(g341 +Vters.from.the.string +p2609 +tp2610 +a(g900 +V\u000a +p2611 +tp2612 +a(g8 +V000001CC +p2613 +tp2614 +a(g900 +g958 +tp2615 +a(g900 +g958 +tp2616 +a(g548 +V20 +p2617 +tp2618 +a(g900 +g958 +tp2619 +a(g548 +V28 +p2620 +tp2621 +a(g900 +g958 +tp2622 +a(g548 +V43 +p2623 +tp2624 +a(g900 +g958 +tp2625 +a(g548 +V4F +p2626 +tp2627 +a(g900 +g958 +tp2628 +a(g548 +V57 +p2629 +tp2630 +a(g900 +g958 +tp2631 +a(g900 +g958 +tp2632 +a(g548 +V29 +p2633 +tp2634 +a(g900 +g958 +tp2635 +a(g548 +V0A +p2636 +tp2637 +a(g900 +g958 +tp2638 +a(g548 +V73 +p2639 +tp2640 +a(g900 +g958 +tp2641 +a(g548 +V74 +p2642 +tp2643 +a(g900 +g958 +tp2644 +a(g548 +V72 +p2645 +tp2646 +a(g900 +g958 +tp2647 +a(g900 +g958 +tp2648 +a(g548 +V69 +p2649 +tp2650 +a(g900 +g958 +tp2651 +a(g548 +V6E +p2652 +tp2653 +a(g900 +g958 +tp2654 +a(g548 +V67 +p2655 +tp2656 +a(g900 +g958 +tp2657 +a(g548 +V20 +p2658 +tp2659 +a(g900 +g958 +tp2660 +a(g548 +V73 +p2661 +tp2662 +a(g900 +g958 +tp2663 +a(g900 +g958 +tp2664 +a(g548 +V74 +p2665 +tp2666 +a(g900 +g958 +tp2667 +a(g548 +V72 +p2668 +tp2669 +a(g900 +g958 +tp2670 +a(g548 +V69 +p2671 +tp2672 +a(g900 +g958 +tp2673 +a(g548 +V70 +p2674 +tp2675 +a(g900 +g958 +tp2676 +a(g548 +V4E +p2677 +tp2678 +a(g900 +V +p2679 +tp2680 +a(g341 +V.(COW).string.stripN +p2681 +tp2682 +a(g900 +V\u000a +p2683 +tp2684 +a(g8 +V000001E0 +p2685 +tp2686 +a(g900 +g958 +tp2687 +a(g900 +g958 +tp2688 +a(g548 +V6F +p2689 +tp2690 +a(g900 +g958 +tp2691 +a(g548 +V6E +p2692 +tp2693 +a(g900 +g958 +tp2694 +a(g548 +V44 +p2695 +tp2696 +a(g900 +g958 +tp2697 +a(g548 +V69 +p2698 +tp2699 +a(g900 +g958 +tp2700 +a(g548 +V67 +p2701 +tp2702 +a(g900 +g958 +tp2703 +a(g900 +g958 +tp2704 +a(g548 +V69 +p2705 +tp2706 +a(g900 +g958 +tp2707 +a(g548 +V74 +p2708 +tp2709 +a(g900 +g958 +tp2710 +a(g548 +V28 +p2711 +tp2712 +a(g900 +g958 +tp2713 +a(g548 +V20 +p2714 +tp2715 +a(g900 +g958 +tp2716 +a(g548 +V69 +p2717 +tp2718 +a(g900 +g958 +tp2719 +a(g900 +g958 +tp2720 +a(g548 +V6E +p2721 +tp2722 +a(g900 +g958 +tp2723 +a(g548 +V20 +p2724 +tp2725 +a(g900 +g958 +tp2726 +a(g548 +V73 +p2727 +tp2728 +a(g900 +g958 +tp2729 +a(g548 +V74 +p2730 +tp2731 +a(g900 +g958 +tp2732 +a(g548 +V72 +p2733 +tp2734 +a(g900 +g958 +tp2735 +a(g900 +g958 +tp2736 +a(g548 +V69 +p2737 +tp2738 +a(g900 +g958 +tp2739 +a(g548 +V6E +p2740 +tp2741 +a(g900 +g958 +tp2742 +a(g548 +V67 +p2743 +tp2744 +a(g900 +g958 +tp2745 +a(g548 +V20 +p2746 +tp2747 +a(g900 +g958 +tp2748 +a(g548 +V6C +p2749 +tp2750 +a(g900 +V +p2751 +tp2752 +a(g341 +VonDigit(.in.string.l +p2753 +tp2754 +a(g900 +V\u000a +p2755 +tp2756 +a(g8 +V000001F4 +p2757 +tp2758 +a(g900 +g958 +tp2759 +a(g900 +g958 +tp2760 +a(g548 +V69 +p2761 +tp2762 +a(g900 +g958 +tp2763 +a(g548 +V6E +p2764 +tp2765 +a(g900 +g958 +tp2766 +a(g548 +V65 +p2767 +tp2768 +a(g900 +g958 +tp2769 +a(g548 +V20 +p2770 +tp2771 +a(g900 +g958 +tp2772 +a(g548 +V29 +p2773 +tp2774 +a(g900 +g958 +tp2775 +a(g900 +g958 +tp2776 +a(g548 +V20 +p2777 +tp2778 +a(g900 +g958 +tp2779 +a(g548 +V0A +p2780 +tp2781 +a(g900 +g958 +tp2782 +a(g548 +V7B +p2783 +tp2784 +a(g900 +g958 +tp2785 +a(g548 +V0A +p2786 +tp2787 +a(g900 +g958 +tp2788 +a(g548 +V20 +p2789 +tp2790 +a(g900 +g958 +tp2791 +a(g900 +g958 +tp2792 +a(g548 +V20 +p2793 +tp2794 +a(g900 +g958 +tp2795 +a(g548 +V20 +p2796 +tp2797 +a(g900 +g958 +tp2798 +a(g548 +V20 +p2799 +tp2800 +a(g900 +g958 +tp2801 +a(g548 +V73 +p2802 +tp2803 +a(g900 +g958 +tp2804 +a(g548 +V74 +p2805 +tp2806 +a(g900 +g958 +tp2807 +a(g900 +g958 +tp2808 +a(g548 +V72 +p2809 +tp2810 +a(g900 +g958 +tp2811 +a(g548 +V69 +p2812 +tp2813 +a(g900 +g958 +tp2814 +a(g548 +V6E +p2815 +tp2816 +a(g900 +g958 +tp2817 +a(g548 +V67 +p2818 +tp2819 +a(g900 +g958 +tp2820 +a(g548 +V20 +p2821 +tp2822 +a(g900 +V +p2823 +tp2824 +a(g341 +Vine.)..{.....string. +p2825 +tp2826 +a(g900 +V\u000a +p2827 +tp2828 +a(g8 +V00000208 +p2829 +tp2830 +a(g900 +g958 +tp2831 +a(g900 +g958 +tp2832 +a(g548 +V72 +p2833 +tp2834 +a(g900 +g958 +tp2835 +a(g548 +V65 +p2836 +tp2837 +a(g900 +g958 +tp2838 +a(g548 +V74 +p2839 +tp2840 +a(g900 +g958 +tp2841 +a(g548 +V3B +p2842 +tp2843 +a(g900 +g958 +tp2844 +a(g548 +V0A +p2845 +tp2846 +a(g900 +g958 +tp2847 +a(g900 +g958 +tp2848 +a(g548 +V20 +p2849 +tp2850 +a(g900 +g958 +tp2851 +a(g548 +V20 +p2852 +tp2853 +a(g900 +g958 +tp2854 +a(g548 +V20 +p2855 +tp2856 +a(g900 +g958 +tp2857 +a(g548 +V20 +p2858 +tp2859 +a(g900 +g958 +tp2860 +a(g548 +V66 +p2861 +tp2862 +a(g900 +g958 +tp2863 +a(g900 +g958 +tp2864 +a(g548 +V6F +p2865 +tp2866 +a(g900 +g958 +tp2867 +a(g548 +V72 +p2868 +tp2869 +a(g900 +g958 +tp2870 +a(g548 +V65 +p2871 +tp2872 +a(g900 +g958 +tp2873 +a(g548 +V61 +p2874 +tp2875 +a(g900 +g958 +tp2876 +a(g548 +V63 +p2877 +tp2878 +a(g900 +g958 +tp2879 +a(g900 +g958 +tp2880 +a(g548 +V68 +p2881 +tp2882 +a(g900 +g958 +tp2883 +a(g548 +V28 +p2884 +tp2885 +a(g900 +g958 +tp2886 +a(g548 +V75 +p2887 +tp2888 +a(g900 +g958 +tp2889 +a(g548 +V69 +p2890 +tp2891 +a(g900 +g958 +tp2892 +a(g548 +V6E +p2893 +tp2894 +a(g900 +V +p2895 +tp2896 +a(g341 +Vret;.....foreach(uin +p2897 +tp2898 +a(g900 +V\u000a +p2899 +tp2900 +a(g8 +V0000021C +p2901 +tp2902 +a(g900 +g958 +tp2903 +a(g900 +g958 +tp2904 +a(g548 +V74 +p2905 +tp2906 +a(g900 +g958 +tp2907 +a(g548 +V20 +p2908 +tp2909 +a(g900 +g958 +tp2910 +a(g548 +V69 +p2911 +tp2912 +a(g900 +g958 +tp2913 +a(g548 +V2C +p2914 +tp2915 +a(g900 +g958 +tp2916 +a(g548 +V20 +p2917 +tp2918 +a(g900 +g958 +tp2919 +a(g900 +g958 +tp2920 +a(g548 +V63 +p2921 +tp2922 +a(g900 +g958 +tp2923 +a(g548 +V3B +p2924 +tp2925 +a(g900 +g958 +tp2926 +a(g548 +V20 +p2927 +tp2928 +a(g900 +g958 +tp2929 +a(g548 +V6C +p2930 +tp2931 +a(g900 +g958 +tp2932 +a(g548 +V69 +p2933 +tp2934 +a(g900 +g958 +tp2935 +a(g900 +g958 +tp2936 +a(g548 +V6E +p2937 +tp2938 +a(g900 +g958 +tp2939 +a(g548 +V65 +p2940 +tp2941 +a(g900 +g958 +tp2942 +a(g548 +V29 +p2943 +tp2944 +a(g900 +g958 +tp2945 +a(g548 +V20 +p2946 +tp2947 +a(g900 +g958 +tp2948 +a(g548 +V7B +p2949 +tp2950 +a(g900 +g958 +tp2951 +a(g900 +g958 +tp2952 +a(g548 +V0A +p2953 +tp2954 +a(g900 +g958 +tp2955 +a(g548 +V20 +p2956 +tp2957 +a(g900 +g958 +tp2958 +a(g548 +V20 +p2959 +tp2960 +a(g900 +g958 +tp2961 +a(g548 +V20 +p2962 +tp2963 +a(g900 +g958 +tp2964 +a(g548 +V20 +p2965 +tp2966 +a(g900 +V +p2967 +tp2968 +a(g341 +Vt.i,.c;.line).{..... +p2969 +tp2970 +a(g900 +V\u000a +p2971 +tp2972 +a(g8 +V00000230 +p2973 +tp2974 +a(g900 +g958 +tp2975 +a(g900 +g958 +tp2976 +a(g548 +V20 +p2977 +tp2978 +a(g900 +g958 +tp2979 +a(g548 +V20 +p2980 +tp2981 +a(g900 +g958 +tp2982 +a(g548 +V20 +p2983 +tp2984 +a(g900 +g958 +tp2985 +a(g548 +V20 +p2986 +tp2987 +a(g900 +g958 +tp2988 +a(g548 +V2F +p2989 +tp2990 +a(g900 +g958 +tp2991 +a(g900 +g958 +tp2992 +a(g548 +V2F +p2993 +tp2994 +a(g900 +g958 +tp2995 +a(g548 +V20 +p2996 +tp2997 +a(g900 +g958 +tp2998 +a(g548 +V45 +p2999 +tp3000 +a(g900 +g958 +tp3001 +a(g548 +V72 +p3002 +tp3003 +a(g900 +g958 +tp3004 +a(g548 +V72 +p3005 +tp3006 +a(g900 +g958 +tp3007 +a(g900 +g958 +tp3008 +a(g548 +V6F +p3009 +tp3010 +a(g900 +g958 +tp3011 +a(g548 +V72 +p3012 +tp3013 +a(g900 +g958 +tp3014 +a(g548 +V3A +p3015 +tp3016 +a(g900 +g958 +tp3017 +a(g548 +V20 +p3018 +tp3019 +a(g900 +g958 +tp3020 +a(g548 +V73 +p3021 +tp3022 +a(g900 +g958 +tp3023 +a(g900 +g958 +tp3024 +a(g548 +V74 +p3025 +tp3026 +a(g900 +g958 +tp3027 +a(g548 +V64 +p3028 +tp3029 +a(g900 +g958 +tp3030 +a(g548 +V2E +p3031 +tp3032 +a(g900 +g958 +tp3033 +a(g548 +V63 +p3034 +tp3035 +a(g900 +g958 +tp3036 +a(g548 +V74 +p3037 +tp3038 +a(g900 +V +p3039 +tp3040 +a(g341 +V....//.Error:.std.ct +p3041 +tp3042 +a(g900 +V\u000a +p3043 +tp3044 +a(g8 +V00000244 +p3045 +tp3046 +a(g900 +g958 +tp3047 +a(g900 +g958 +tp3048 +a(g548 +V79 +p3049 +tp3050 +a(g900 +g958 +tp3051 +a(g548 +V70 +p3052 +tp3053 +a(g900 +g958 +tp3054 +a(g548 +V65 +p3055 +tp3056 +a(g900 +g958 +tp3057 +a(g548 +V2E +p3058 +tp3059 +a(g900 +g958 +tp3060 +a(g548 +V69 +p3061 +tp3062 +a(g900 +g958 +tp3063 +a(g900 +g958 +tp3064 +a(g548 +V73 +p3065 +tp3066 +a(g900 +g958 +tp3067 +a(g548 +V64 +p3068 +tp3069 +a(g900 +g958 +tp3070 +a(g548 +V69 +p3071 +tp3072 +a(g900 +g958 +tp3073 +a(g548 +V67 +p3074 +tp3075 +a(g900 +g958 +tp3076 +a(g548 +V69 +p3077 +tp3078 +a(g900 +g958 +tp3079 +a(g900 +g958 +tp3080 +a(g548 +V74 +p3081 +tp3082 +a(g900 +g958 +tp3083 +a(g548 +V20 +p3084 +tp3085 +a(g900 +g958 +tp3086 +a(g548 +V61 +p3087 +tp3088 +a(g900 +g958 +tp3089 +a(g548 +V74 +p3090 +tp3091 +a(g900 +g958 +tp3092 +a(g548 +V20 +p3093 +tp3094 +a(g900 +g958 +tp3095 +a(g900 +g958 +tp3096 +a(g548 +V43 +p3097 +tp3098 +a(g900 +g958 +tp3099 +a(g548 +V3A +p3100 +tp3101 +a(g900 +g958 +tp3102 +a(g548 +V5C +p3103 +tp3104 +a(g900 +g958 +tp3105 +a(g548 +V64 +p3106 +tp3107 +a(g900 +g958 +tp3108 +a(g548 +V6D +p3109 +tp3110 +a(g900 +V +p3111 +tp3112 +a(g341 +Vype.isdigit.at.C:\u005cdm +p3113 +tp3114 +a(g900 +V\u000a +p3115 +tp3116 +a(g8 +V00000258 +p3117 +tp3118 +a(g900 +g958 +tp3119 +a(g900 +g958 +tp3120 +a(g548 +V64 +p3121 +tp3122 +a(g900 +g958 +tp3123 +a(g548 +V5C +p3124 +tp3125 +a(g900 +g958 +tp3126 +a(g548 +V73 +p3127 +tp3128 +a(g900 +g958 +tp3129 +a(g548 +V72 +p3130 +tp3131 +a(g900 +g958 +tp3132 +a(g548 +V63 +p3133 +tp3134 +a(g900 +g958 +tp3135 +a(g900 +g958 +tp3136 +a(g548 +V5C +p3137 +tp3138 +a(g900 +g958 +tp3139 +a(g548 +V70 +p3140 +tp3141 +a(g900 +g958 +tp3142 +a(g548 +V68 +p3143 +tp3144 +a(g900 +g958 +tp3145 +a(g548 +V6F +p3146 +tp3147 +a(g900 +g958 +tp3148 +a(g548 +V62 +p3149 +tp3150 +a(g900 +g958 +tp3151 +a(g900 +g958 +tp3152 +a(g548 +V6F +p3153 +tp3154 +a(g900 +g958 +tp3155 +a(g548 +V73 +p3156 +tp3157 +a(g900 +g958 +tp3158 +a(g548 +V5C +p3159 +tp3160 +a(g900 +g958 +tp3161 +a(g548 +V73 +p3162 +tp3163 +a(g900 +g958 +tp3164 +a(g548 +V74 +p3165 +tp3166 +a(g900 +g958 +tp3167 +a(g900 +g958 +tp3168 +a(g548 +V64 +p3169 +tp3170 +a(g900 +g958 +tp3171 +a(g548 +V5C +p3172 +tp3173 +a(g900 +g958 +tp3174 +a(g548 +V63 +p3175 +tp3176 +a(g900 +g958 +tp3177 +a(g548 +V74 +p3178 +tp3179 +a(g900 +g958 +tp3180 +a(g548 +V79 +p3181 +tp3182 +a(g900 +V +p3183 +tp3184 +a(g341 +Vd\u005csrc\u005cphobos\u005cstd\u005ccty +p3185 +tp3186 +a(g900 +V\u000a +p3187 +tp3188 +a(g8 +V0000026C +p3189 +tp3190 +a(g900 +g958 +tp3191 +a(g900 +g958 +tp3192 +a(g548 +V70 +p3193 +tp3194 +a(g900 +g958 +tp3195 +a(g548 +V65 +p3196 +tp3197 +a(g900 +g958 +tp3198 +a(g548 +V2E +p3199 +tp3200 +a(g900 +g958 +tp3201 +a(g548 +V64 +p3202 +tp3203 +a(g900 +g958 +tp3204 +a(g548 +V28 +p3205 +tp3206 +a(g900 +g958 +tp3207 +a(g900 +g958 +tp3208 +a(g548 +V33 +p3209 +tp3210 +a(g900 +g958 +tp3211 +a(g548 +V37 +p3212 +tp3213 +a(g900 +g958 +tp3214 +a(g548 +V29 +p3215 +tp3216 +a(g900 +g958 +tp3217 +a(g548 +V20 +p3218 +tp3219 +a(g900 +g958 +tp3220 +a(g548 +V0A +p3221 +tp3222 +a(g900 +g958 +tp3223 +a(g900 +g958 +tp3224 +a(g548 +V20 +p3225 +tp3226 +a(g900 +g958 +tp3227 +a(g548 +V20 +p3228 +tp3229 +a(g900 +g958 +tp3230 +a(g548 +V20 +p3231 +tp3232 +a(g900 +g958 +tp3233 +a(g548 +V20 +p3234 +tp3235 +a(g900 +g958 +tp3236 +a(g548 +V20 +p3237 +tp3238 +a(g900 +g958 +tp3239 +a(g900 +g958 +tp3240 +a(g548 +V20 +p3241 +tp3242 +a(g900 +g958 +tp3243 +a(g548 +V20 +p3244 +tp3245 +a(g900 +g958 +tp3246 +a(g548 +V20 +p3247 +tp3248 +a(g900 +g958 +tp3249 +a(g548 +V2F +p3250 +tp3251 +a(g900 +g958 +tp3252 +a(g548 +V2F +p3253 +tp3254 +a(g900 +V +p3255 +tp3256 +a(g341 +Vpe.d(37)..........// +p3257 +tp3258 +a(g900 +V\u000a +p3259 +tp3260 +a(g8 +V00000280 +p3261 +tp3262 +a(g900 +g958 +tp3263 +a(g900 +g958 +tp3264 +a(g548 +V20 +p3265 +tp3266 +a(g900 +g958 +tp3267 +a(g548 +V63 +p3268 +tp3269 +a(g900 +g958 +tp3270 +a(g548 +V6F +p3271 +tp3272 +a(g900 +g958 +tp3273 +a(g548 +V6E +p3274 +tp3275 +a(g900 +g958 +tp3276 +a(g548 +V66 +p3277 +tp3278 +a(g900 +g958 +tp3279 +a(g900 +g958 +tp3280 +a(g548 +V6C +p3281 +tp3282 +a(g900 +g958 +tp3283 +a(g548 +V69 +p3284 +tp3285 +a(g900 +g958 +tp3286 +a(g548 +V63 +p3287 +tp3288 +a(g900 +g958 +tp3289 +a(g548 +V74 +p3290 +tp3291 +a(g900 +g958 +tp3292 +a(g548 +V73 +p3293 +tp3294 +a(g900 +g958 +tp3295 +a(g900 +g958 +tp3296 +a(g548 +V20 +p3297 +tp3298 +a(g900 +g958 +tp3299 +a(g548 +V77 +p3300 +tp3301 +a(g900 +g958 +tp3302 +a(g548 +V69 +p3303 +tp3304 +a(g900 +g958 +tp3305 +a(g548 +V74 +p3306 +tp3307 +a(g900 +g958 +tp3308 +a(g548 +V68 +p3309 +tp3310 +a(g900 +g958 +tp3311 +a(g900 +g958 +tp3312 +a(g548 +V20 +p3313 +tp3314 +a(g900 +g958 +tp3315 +a(g548 +V73 +p3316 +tp3317 +a(g900 +g958 +tp3318 +a(g548 +V74 +p3319 +tp3320 +a(g900 +g958 +tp3321 +a(g548 +V64 +p3322 +tp3323 +a(g900 +g958 +tp3324 +a(g548 +V2E +p3325 +tp3326 +a(g900 +V +p3327 +tp3328 +a(g341 +V.conflicts.with.std. +p3329 +tp3330 +a(g900 +V\u000a +p3331 +tp3332 +a(g8 +V00000294 +p3333 +tp3334 +a(g900 +g958 +tp3335 +a(g900 +g958 +tp3336 +a(g548 +V73 +p3337 +tp3338 +a(g900 +g958 +tp3339 +a(g548 +V74 +p3340 +tp3341 +a(g900 +g958 +tp3342 +a(g548 +V72 +p3343 +tp3344 +a(g900 +g958 +tp3345 +a(g548 +V65 +p3346 +tp3347 +a(g900 +g958 +tp3348 +a(g548 +V61 +p3349 +tp3350 +a(g900 +g958 +tp3351 +a(g900 +g958 +tp3352 +a(g548 +V6D +p3353 +tp3354 +a(g900 +g958 +tp3355 +a(g548 +V2E +p3356 +tp3357 +a(g900 +g958 +tp3358 +a(g548 +V69 +p3359 +tp3360 +a(g900 +g958 +tp3361 +a(g548 +V73 +p3362 +tp3363 +a(g900 +g958 +tp3364 +a(g548 +V64 +p3365 +tp3366 +a(g900 +g958 +tp3367 +a(g900 +g958 +tp3368 +a(g548 +V69 +p3369 +tp3370 +a(g900 +g958 +tp3371 +a(g548 +V67 +p3372 +tp3373 +a(g900 +g958 +tp3374 +a(g548 +V69 +p3375 +tp3376 +a(g900 +g958 +tp3377 +a(g548 +V74 +p3378 +tp3379 +a(g900 +g958 +tp3380 +a(g548 +V20 +p3381 +tp3382 +a(g900 +g958 +tp3383 +a(g900 +g958 +tp3384 +a(g548 +V61 +p3385 +tp3386 +a(g900 +g958 +tp3387 +a(g548 +V74 +p3388 +tp3389 +a(g900 +g958 +tp3390 +a(g548 +V20 +p3391 +tp3392 +a(g900 +g958 +tp3393 +a(g548 +V43 +p3394 +tp3395 +a(g900 +g958 +tp3396 +a(g548 +V3A +p3397 +tp3398 +a(g900 +V +p3399 +tp3400 +a(g341 +Vstream.isdigit.at.C: +p3401 +tp3402 +a(g900 +V\u000a +p3403 +tp3404 +a(g8 +V000002A8 +p3405 +tp3406 +a(g900 +g958 +tp3407 +a(g900 +g958 +tp3408 +a(g548 +V5C +p3409 +tp3410 +a(g900 +g958 +tp3411 +a(g548 +V64 +p3412 +tp3413 +a(g900 +g958 +tp3414 +a(g548 +V6D +p3415 +tp3416 +a(g900 +g958 +tp3417 +a(g548 +V64 +p3418 +tp3419 +a(g900 +g958 +tp3420 +a(g548 +V5C +p3421 +tp3422 +a(g900 +g958 +tp3423 +a(g900 +g958 +tp3424 +a(g548 +V73 +p3425 +tp3426 +a(g900 +g958 +tp3427 +a(g548 +V72 +p3428 +tp3429 +a(g900 +g958 +tp3430 +a(g548 +V63 +p3431 +tp3432 +a(g900 +g958 +tp3433 +a(g548 +V5C +p3434 +tp3435 +a(g900 +g958 +tp3436 +a(g548 +V70 +p3437 +tp3438 +a(g900 +g958 +tp3439 +a(g900 +g958 +tp3440 +a(g548 +V68 +p3441 +tp3442 +a(g900 +g958 +tp3443 +a(g548 +V6F +p3444 +tp3445 +a(g900 +g958 +tp3446 +a(g548 +V62 +p3447 +tp3448 +a(g900 +g958 +tp3449 +a(g548 +V6F +p3450 +tp3451 +a(g900 +g958 +tp3452 +a(g548 +V73 +p3453 +tp3454 +a(g900 +g958 +tp3455 +a(g900 +g958 +tp3456 +a(g548 +V5C +p3457 +tp3458 +a(g900 +g958 +tp3459 +a(g548 +V73 +p3460 +tp3461 +a(g900 +g958 +tp3462 +a(g548 +V74 +p3463 +tp3464 +a(g900 +g958 +tp3465 +a(g548 +V64 +p3466 +tp3467 +a(g900 +g958 +tp3468 +a(g548 +V5C +p3469 +tp3470 +a(g900 +V +p3471 +tp3472 +a(g341 +V\u005cdmd\u005csrc\u005cphobos\u005cstd\u005c +p3473 +tp3474 +a(g900 +V\u000a +p3475 +tp3476 +a(g8 +V000002BC +p3477 +tp3478 +a(g900 +g958 +tp3479 +a(g900 +g958 +tp3480 +a(g548 +V73 +p3481 +tp3482 +a(g900 +g958 +tp3483 +a(g548 +V74 +p3484 +tp3485 +a(g900 +g958 +tp3486 +a(g548 +V72 +p3487 +tp3488 +a(g900 +g958 +tp3489 +a(g548 +V65 +p3490 +tp3491 +a(g900 +g958 +tp3492 +a(g548 +V61 +p3493 +tp3494 +a(g900 +g958 +tp3495 +a(g900 +g958 +tp3496 +a(g548 +V6D +p3497 +tp3498 +a(g900 +g958 +tp3499 +a(g548 +V2E +p3500 +tp3501 +a(g900 +g958 +tp3502 +a(g548 +V64 +p3503 +tp3504 +a(g900 +g958 +tp3505 +a(g548 +V28 +p3506 +tp3507 +a(g900 +g958 +tp3508 +a(g548 +V32 +p3509 +tp3510 +a(g900 +g958 +tp3511 +a(g900 +g958 +tp3512 +a(g548 +V39 +p3513 +tp3514 +a(g900 +g958 +tp3515 +a(g548 +V32 +p3516 +tp3517 +a(g900 +g958 +tp3518 +a(g548 +V34 +p3519 +tp3520 +a(g900 +g958 +tp3521 +a(g548 +V29 +p3522 +tp3523 +a(g900 +g958 +tp3524 +a(g548 +V0A +p3525 +tp3526 +a(g900 +g958 +tp3527 +a(g900 +g958 +tp3528 +a(g548 +V20 +p3529 +tp3530 +a(g900 +g958 +tp3531 +a(g548 +V20 +p3532 +tp3533 +a(g900 +g958 +tp3534 +a(g548 +V20 +p3535 +tp3536 +a(g900 +g958 +tp3537 +a(g548 +V20 +p3538 +tp3539 +a(g900 +g958 +tp3540 +a(g548 +V20 +p3541 +tp3542 +a(g900 +V +p3543 +tp3544 +a(g341 +Vstream.d(2924)...... +p3545 +tp3546 +a(g900 +V\u000a +p3547 +tp3548 +a(g8 +V000002D0 +p3549 +tp3550 +a(g900 +g958 +tp3551 +a(g900 +g958 +tp3552 +a(g548 +V20 +p3553 +tp3554 +a(g900 +g958 +tp3555 +a(g548 +V20 +p3556 +tp3557 +a(g900 +g958 +tp3558 +a(g548 +V20 +p3559 +tp3560 +a(g900 +g958 +tp3561 +a(g548 +V69 +p3562 +tp3563 +a(g900 +g958 +tp3564 +a(g548 +V66 +p3565 +tp3566 +a(g900 +g958 +tp3567 +a(g900 +g958 +tp3568 +a(g548 +V20 +p3569 +tp3570 +a(g900 +g958 +tp3571 +a(g548 +V28 +p3572 +tp3573 +a(g900 +g958 +tp3574 +a(g548 +V21 +p3575 +tp3576 +a(g900 +g958 +tp3577 +a(g548 +V73 +p3578 +tp3579 +a(g900 +g958 +tp3580 +a(g548 +V74 +p3581 +tp3582 +a(g900 +g958 +tp3583 +a(g900 +g958 +tp3584 +a(g548 +V64 +p3585 +tp3586 +a(g900 +g958 +tp3587 +a(g548 +V2E +p3588 +tp3589 +a(g900 +g958 +tp3590 +a(g548 +V63 +p3591 +tp3592 +a(g900 +g958 +tp3593 +a(g548 +V74 +p3594 +tp3595 +a(g900 +g958 +tp3596 +a(g548 +V79 +p3597 +tp3598 +a(g900 +g958 +tp3599 +a(g900 +g958 +tp3600 +a(g548 +V70 +p3601 +tp3602 +a(g900 +g958 +tp3603 +a(g548 +V65 +p3604 +tp3605 +a(g900 +g958 +tp3606 +a(g548 +V2E +p3607 +tp3608 +a(g900 +g958 +tp3609 +a(g548 +V69 +p3610 +tp3611 +a(g900 +g958 +tp3612 +a(g548 +V73 +p3613 +tp3614 +a(g900 +V +p3615 +tp3616 +a(g341 +V...if.(!std.ctype.is +p3617 +tp3618 +a(g900 +V\u000a +p3619 +tp3620 +a(g8 +V000002E4 +p3621 +tp3622 +a(g900 +g958 +tp3623 +a(g900 +g958 +tp3624 +a(g548 +V64 +p3625 +tp3626 +a(g900 +g958 +tp3627 +a(g548 +V69 +p3628 +tp3629 +a(g900 +g958 +tp3630 +a(g548 +V67 +p3631 +tp3632 +a(g900 +g958 +tp3633 +a(g548 +V69 +p3634 +tp3635 +a(g900 +g958 +tp3636 +a(g548 +V74 +p3637 +tp3638 +a(g900 +g958 +tp3639 +a(g900 +g958 +tp3640 +a(g548 +V28 +p3641 +tp3642 +a(g900 +g958 +tp3643 +a(g548 +V63 +p3644 +tp3645 +a(g900 +g958 +tp3646 +a(g548 +V29 +p3647 +tp3648 +a(g900 +g958 +tp3649 +a(g548 +V29 +p3650 +tp3651 +a(g900 +g958 +tp3652 +a(g548 +V20 +p3653 +tp3654 +a(g900 +g958 +tp3655 +a(g900 +g958 +tp3656 +a(g548 +V7B +p3657 +tp3658 +a(g900 +g958 +tp3659 +a(g548 +V0A +p3660 +tp3661 +a(g900 +g958 +tp3662 +a(g548 +V20 +p3663 +tp3664 +a(g900 +g958 +tp3665 +a(g548 +V20 +p3666 +tp3667 +a(g900 +g958 +tp3668 +a(g548 +V20 +p3669 +tp3670 +a(g900 +g958 +tp3671 +a(g900 +g958 +tp3672 +a(g548 +V20 +p3673 +tp3674 +a(g900 +g958 +tp3675 +a(g548 +V20 +p3676 +tp3677 +a(g900 +g958 +tp3678 +a(g548 +V20 +p3679 +tp3680 +a(g900 +g958 +tp3681 +a(g548 +V20 +p3682 +tp3683 +a(g900 +g958 +tp3684 +a(g548 +V20 +p3685 +tp3686 +a(g900 +V +p3687 +tp3688 +a(g341 +Vdigit(c)).{......... +p3689 +tp3690 +a(g900 +V\u000a +p3691 +tp3692 +a(g8 +V000002F8 +p3693 +tp3694 +a(g900 +g958 +tp3695 +a(g900 +g958 +tp3696 +a(g548 +V20 +p3697 +tp3698 +a(g900 +g958 +tp3699 +a(g548 +V20 +p3700 +tp3701 +a(g900 +g958 +tp3702 +a(g548 +V20 +p3703 +tp3704 +a(g900 +g958 +tp3705 +a(g548 +V20 +p3706 +tp3707 +a(g900 +g958 +tp3708 +a(g548 +V69 +p3709 +tp3710 +a(g900 +g958 +tp3711 +a(g900 +g958 +tp3712 +a(g548 +V66 +p3713 +tp3714 +a(g900 +g958 +tp3715 +a(g548 +V20 +p3716 +tp3717 +a(g900 +g958 +tp3718 +a(g548 +V28 +p3719 +tp3720 +a(g900 +g958 +tp3721 +a(g548 +V21 +p3722 +tp3723 +a(g900 +g958 +tp3724 +a(g548 +V72 +p3725 +tp3726 +a(g900 +g958 +tp3727 +a(g900 +g958 +tp3728 +a(g548 +V65 +p3729 +tp3730 +a(g900 +g958 +tp3731 +a(g548 +V74 +p3732 +tp3733 +a(g900 +g958 +tp3734 +a(g548 +V29 +p3735 +tp3736 +a(g900 +g958 +tp3737 +a(g548 +V0A +p3738 +tp3739 +a(g900 +g958 +tp3740 +a(g548 +V20 +p3741 +tp3742 +a(g900 +g958 +tp3743 +a(g900 +g958 +tp3744 +a(g548 +V20 +p3745 +tp3746 +a(g900 +g958 +tp3747 +a(g548 +V20 +p3748 +tp3749 +a(g900 +g958 +tp3750 +a(g548 +V20 +p3751 +tp3752 +a(g900 +g958 +tp3753 +a(g548 +V20 +p3754 +tp3755 +a(g900 +g958 +tp3756 +a(g548 +V20 +p3757 +tp3758 +a(g900 +V +p3759 +tp3760 +a(g341 +V....if.(!ret)....... +p3761 +tp3762 +a(g900 +V\u000a +p3763 +tp3764 +a(g8 +V0000030C +p3765 +tp3766 +a(g900 +g958 +tp3767 +a(g900 +g958 +tp3768 +a(g548 +V20 +p3769 +tp3770 +a(g900 +g958 +tp3771 +a(g548 +V20 +p3772 +tp3773 +a(g900 +g958 +tp3774 +a(g548 +V20 +p3775 +tp3776 +a(g900 +g958 +tp3777 +a(g548 +V20 +p3778 +tp3779 +a(g900 +g958 +tp3780 +a(g548 +V20 +p3781 +tp3782 +a(g900 +g958 +tp3783 +a(g900 +g958 +tp3784 +a(g548 +V20 +p3785 +tp3786 +a(g900 +g958 +tp3787 +a(g548 +V20 +p3788 +tp3789 +a(g900 +g958 +tp3790 +a(g548 +V20 +p3791 +tp3792 +a(g900 +g958 +tp3793 +a(g548 +V20 +p3794 +tp3795 +a(g900 +g958 +tp3796 +a(g548 +V20 +p3797 +tp3798 +a(g900 +g958 +tp3799 +a(g900 +g958 +tp3800 +a(g548 +V72 +p3801 +tp3802 +a(g900 +g958 +tp3803 +a(g548 +V65 +p3804 +tp3805 +a(g900 +g958 +tp3806 +a(g548 +V74 +p3807 +tp3808 +a(g900 +g958 +tp3809 +a(g548 +V20 +p3810 +tp3811 +a(g900 +g958 +tp3812 +a(g548 +V3D +p3813 +tp3814 +a(g900 +g958 +tp3815 +a(g900 +g958 +tp3816 +a(g548 +V20 +p3817 +tp3818 +a(g900 +g958 +tp3819 +a(g548 +V6C +p3820 +tp3821 +a(g900 +g958 +tp3822 +a(g548 +V69 +p3823 +tp3824 +a(g900 +g958 +tp3825 +a(g548 +V6E +p3826 +tp3827 +a(g900 +g958 +tp3828 +a(g548 +V65 +p3829 +tp3830 +a(g900 +V +p3831 +tp3832 +a(g341 +V..........ret.=.line +p3833 +tp3834 +a(g900 +V\u000a +p3835 +tp3836 +a(g8 +V00000320 +p3837 +tp3838 +a(g900 +g958 +tp3839 +a(g900 +g958 +tp3840 +a(g548 +V5B +p3841 +tp3842 +a(g900 +g958 +tp3843 +a(g548 +V30 +p3844 +tp3845 +a(g900 +g958 +tp3846 +a(g548 +V2E +p3847 +tp3848 +a(g900 +g958 +tp3849 +a(g548 +V2E +p3850 +tp3851 +a(g900 +g958 +tp3852 +a(g548 +V69 +p3853 +tp3854 +a(g900 +g958 +tp3855 +a(g900 +g958 +tp3856 +a(g548 +V5D +p3857 +tp3858 +a(g900 +g958 +tp3859 +a(g548 +V3B +p3860 +tp3861 +a(g900 +g958 +tp3862 +a(g548 +V20 +p3863 +tp3864 +a(g900 +g958 +tp3865 +a(g548 +V20 +p3866 +tp3867 +a(g900 +g958 +tp3868 +a(g548 +V20 +p3869 +tp3870 +a(g900 +g958 +tp3871 +a(g900 +g958 +tp3872 +a(g548 +V20 +p3873 +tp3874 +a(g900 +g958 +tp3875 +a(g548 +V0A +p3876 +tp3877 +a(g900 +g958 +tp3878 +a(g548 +V20 +p3879 +tp3880 +a(g900 +g958 +tp3881 +a(g548 +V20 +p3882 +tp3883 +a(g900 +g958 +tp3884 +a(g548 +V20 +p3885 +tp3886 +a(g900 +g958 +tp3887 +a(g900 +g958 +tp3888 +a(g548 +V20 +p3889 +tp3890 +a(g900 +g958 +tp3891 +a(g548 +V20 +p3892 +tp3893 +a(g900 +g958 +tp3894 +a(g548 +V20 +p3895 +tp3896 +a(g900 +g958 +tp3897 +a(g548 +V20 +p3898 +tp3899 +a(g900 +g958 +tp3900 +a(g548 +V20 +p3901 +tp3902 +a(g900 +V +p3903 +tp3904 +a(g341 +V[0..i];............. +p3905 +tp3906 +a(g900 +V\u000a +p3907 +tp3908 +a(g8 +V00000334 +p3909 +tp3910 +a(g900 +g958 +tp3911 +a(g900 +g958 +tp3912 +a(g548 +V7D +p3913 +tp3914 +a(g900 +g958 +tp3915 +a(g548 +V20 +p3916 +tp3917 +a(g900 +g958 +tp3918 +a(g548 +V20 +p3919 +tp3920 +a(g900 +g958 +tp3921 +a(g548 +V20 +p3922 +tp3923 +a(g900 +g958 +tp3924 +a(g548 +V20 +p3925 +tp3926 +a(g900 +g958 +tp3927 +a(g900 +g958 +tp3928 +a(g548 +V0A +p3929 +tp3930 +a(g900 +g958 +tp3931 +a(g548 +V20 +p3932 +tp3933 +a(g900 +g958 +tp3934 +a(g548 +V20 +p3935 +tp3936 +a(g900 +g958 +tp3937 +a(g548 +V20 +p3938 +tp3939 +a(g900 +g958 +tp3940 +a(g548 +V20 +p3941 +tp3942 +a(g900 +g958 +tp3943 +a(g900 +g958 +tp3944 +a(g548 +V20 +p3945 +tp3946 +a(g900 +g958 +tp3947 +a(g548 +V20 +p3948 +tp3949 +a(g900 +g958 +tp3950 +a(g548 +V20 +p3951 +tp3952 +a(g900 +g958 +tp3953 +a(g548 +V20 +p3954 +tp3955 +a(g900 +g958 +tp3956 +a(g548 +V65 +p3957 +tp3958 +a(g900 +g958 +tp3959 +a(g900 +g958 +tp3960 +a(g548 +V6C +p3961 +tp3962 +a(g900 +g958 +tp3963 +a(g548 +V73 +p3964 +tp3965 +a(g900 +g958 +tp3966 +a(g548 +V65 +p3967 +tp3968 +a(g900 +g958 +tp3969 +a(g548 +V20 +p3970 +tp3971 +a(g900 +g958 +tp3972 +a(g548 +V69 +p3973 +tp3974 +a(g900 +V +p3975 +tp3976 +a(g341 +V}.............else.i +p3977 +tp3978 +a(g900 +V\u000a +p3979 +tp3980 +a(g8 +V00000348 +p3981 +tp3982 +a(g900 +g958 +tp3983 +a(g900 +g958 +tp3984 +a(g548 +V66 +p3985 +tp3986 +a(g900 +g958 +tp3987 +a(g548 +V20 +p3988 +tp3989 +a(g900 +g958 +tp3990 +a(g548 +V28 +p3991 +tp3992 +a(g900 +g958 +tp3993 +a(g548 +V72 +p3994 +tp3995 +a(g900 +g958 +tp3996 +a(g548 +V65 +p3997 +tp3998 +a(g900 +g958 +tp3999 +a(g900 +g958 +tp4000 +a(g548 +V74 +p4001 +tp4002 +a(g900 +g958 +tp4003 +a(g548 +V29 +p4004 +tp4005 +a(g900 +g958 +tp4006 +a(g548 +V0A +p4007 +tp4008 +a(g900 +g958 +tp4009 +a(g548 +V20 +p4010 +tp4011 +a(g900 +g958 +tp4012 +a(g548 +V20 +p4013 +tp4014 +a(g900 +g958 +tp4015 +a(g900 +g958 +tp4016 +a(g548 +V20 +p4017 +tp4018 +a(g900 +g958 +tp4019 +a(g548 +V20 +p4020 +tp4021 +a(g900 +g958 +tp4022 +a(g548 +V20 +p4023 +tp4024 +a(g900 +g958 +tp4025 +a(g548 +V20 +p4026 +tp4027 +a(g900 +g958 +tp4028 +a(g548 +V20 +p4029 +tp4030 +a(g900 +g958 +tp4031 +a(g900 +g958 +tp4032 +a(g548 +V20 +p4033 +tp4034 +a(g900 +g958 +tp4035 +a(g548 +V20 +p4036 +tp4037 +a(g900 +g958 +tp4038 +a(g548 +V20 +p4039 +tp4040 +a(g900 +g958 +tp4041 +a(g548 +V20 +p4042 +tp4043 +a(g900 +g958 +tp4044 +a(g548 +V20 +p4045 +tp4046 +a(g900 +V +p4047 +tp4048 +a(g341 +Vf.(ret)............. +p4049 +tp4050 +a(g900 +V\u000a +p4051 +tp4052 +a(g8 +V0000035C +p4053 +tp4054 +a(g900 +g958 +tp4055 +a(g900 +g958 +tp4056 +a(g548 +V72 +p4057 +tp4058 +a(g900 +g958 +tp4059 +a(g548 +V65 +p4060 +tp4061 +a(g900 +g958 +tp4062 +a(g548 +V74 +p4063 +tp4064 +a(g900 +g958 +tp4065 +a(g548 +V20 +p4066 +tp4067 +a(g900 +g958 +tp4068 +a(g548 +V7E +p4069 +tp4070 +a(g900 +g958 +tp4071 +a(g900 +g958 +tp4072 +a(g548 +V3D +p4073 +tp4074 +a(g900 +g958 +tp4075 +a(g548 +V20 +p4076 +tp4077 +a(g900 +g958 +tp4078 +a(g548 +V63 +p4079 +tp4080 +a(g900 +g958 +tp4081 +a(g548 +V3B +p4082 +tp4083 +a(g900 +g958 +tp4084 +a(g548 +V20 +p4085 +tp4086 +a(g900 +g958 +tp4087 +a(g900 +g958 +tp4088 +a(g548 +V20 +p4089 +tp4090 +a(g900 +g958 +tp4091 +a(g548 +V20 +p4092 +tp4093 +a(g900 +g958 +tp4094 +a(g548 +V20 +p4095 +tp4096 +a(g900 +g958 +tp4097 +a(g548 +V0A +p4098 +tp4099 +a(g900 +g958 +tp4100 +a(g548 +V20 +p4101 +tp4102 +a(g900 +g958 +tp4103 +a(g900 +g958 +tp4104 +a(g548 +V20 +p4105 +tp4106 +a(g900 +g958 +tp4107 +a(g548 +V20 +p4108 +tp4109 +a(g900 +g958 +tp4110 +a(g548 +V20 +p4111 +tp4112 +a(g900 +g958 +tp4113 +a(g548 +V7D +p4114 +tp4115 +a(g900 +g958 +tp4116 +a(g548 +V20 +p4117 +tp4118 +a(g900 +V +p4119 +tp4120 +a(g341 +Vret.~=.c;.........}. +p4121 +tp4122 +a(g900 +V\u000a +p4123 +tp4124 +a(g8 +V00000370 +p4125 +tp4126 +a(g900 +g958 +tp4127 +a(g900 +g958 +tp4128 +a(g548 +V20 +p4129 +tp4130 +a(g900 +g958 +tp4131 +a(g548 +V20 +p4132 +tp4133 +a(g900 +g958 +tp4134 +a(g548 +V20 +p4135 +tp4136 +a(g900 +g958 +tp4137 +a(g548 +V0A +p4138 +tp4139 +a(g900 +g958 +tp4140 +a(g548 +V20 +p4141 +tp4142 +a(g900 +g958 +tp4143 +a(g900 +g958 +tp4144 +a(g548 +V20 +p4145 +tp4146 +a(g900 +g958 +tp4147 +a(g548 +V20 +p4148 +tp4149 +a(g900 +g958 +tp4150 +a(g548 +V20 +p4151 +tp4152 +a(g900 +g958 +tp4153 +a(g548 +V72 +p4154 +tp4155 +a(g900 +g958 +tp4156 +a(g548 +V65 +p4157 +tp4158 +a(g900 +g958 +tp4159 +a(g900 +g958 +tp4160 +a(g548 +V74 +p4161 +tp4162 +a(g900 +g958 +tp4163 +a(g548 +V75 +p4164 +tp4165 +a(g900 +g958 +tp4166 +a(g548 +V72 +p4167 +tp4168 +a(g900 +g958 +tp4169 +a(g548 +V6E +p4170 +tp4171 +a(g900 +g958 +tp4172 +a(g548 +V20 +p4173 +tp4174 +a(g900 +g958 +tp4175 +a(g900 +g958 +tp4176 +a(g548 +V72 +p4177 +tp4178 +a(g900 +g958 +tp4179 +a(g548 +V65 +p4180 +tp4181 +a(g900 +g958 +tp4182 +a(g548 +V74 +p4183 +tp4184 +a(g900 +g958 +tp4185 +a(g548 +V3F +p4186 +tp4187 +a(g900 +g958 +tp4188 +a(g548 +V72 +p4189 +tp4190 +a(g900 +V +p4191 +tp4192 +a(g341 +V........return.ret?r +p4193 +tp4194 +a(g900 +V\u000a +p4195 +tp4196 +a(g8 +V00000384 +p4197 +tp4198 +a(g900 +g958 +tp4199 +a(g900 +g958 +tp4200 +a(g548 +V65 +p4201 +tp4202 +a(g900 +g958 +tp4203 +a(g548 +V74 +p4204 +tp4205 +a(g900 +g958 +tp4206 +a(g548 +V3A +p4207 +tp4208 +a(g900 +g958 +tp4209 +a(g548 +V6C +p4210 +tp4211 +a(g900 +g958 +tp4212 +a(g548 +V69 +p4213 +tp4214 +a(g900 +g958 +tp4215 +a(g900 +g958 +tp4216 +a(g548 +V6E +p4217 +tp4218 +a(g900 +g958 +tp4219 +a(g548 +V65 +p4220 +tp4221 +a(g900 +g958 +tp4222 +a(g548 +V3B +p4223 +tp4224 +a(g900 +g958 +tp4225 +a(g548 +V0A +p4226 +tp4227 +a(g900 +g958 +tp4228 +a(g548 +V7D +p4229 +tp4230 +a(g900 +g958 +tp4231 +a(g900 +g958 +tp4232 +a(g548 +V0A +p4233 +tp4234 +a(g900 +g958 +tp4235 +a(g548 +V0A +p4236 +tp4237 +a(g900 +g958 +tp4238 +a(g548 +V75 +p4239 +tp4240 +a(g900 +g958 +tp4241 +a(g548 +V6E +p4242 +tp4243 +a(g900 +g958 +tp4244 +a(g548 +V69 +p4245 +tp4246 +a(g900 +g958 +tp4247 +a(g900 +g958 +tp4248 +a(g548 +V74 +p4249 +tp4250 +a(g900 +g958 +tp4251 +a(g548 +V74 +p4252 +tp4253 +a(g900 +g958 +tp4254 +a(g548 +V65 +p4255 +tp4256 +a(g900 +g958 +tp4257 +a(g548 +V73 +p4258 +tp4259 +a(g900 +g958 +tp4260 +a(g548 +V74 +p4261 +tp4262 +a(g900 +V +p4263 +tp4264 +a(g341 +Vet:line;.}..unittest +p4265 +tp4266 +a(g900 +V\u000a +p4267 +tp4268 +a(g8 +V00000398 +p4269 +tp4270 +a(g900 +g958 +tp4271 +a(g900 +g958 +tp4272 +a(g548 +V20 +p4273 +tp4274 +a(g900 +g958 +tp4275 +a(g548 +V7B +p4276 +tp4277 +a(g900 +g958 +tp4278 +a(g548 +V0A +p4279 +tp4280 +a(g900 +g958 +tp4281 +a(g548 +V20 +p4282 +tp4283 +a(g900 +g958 +tp4284 +a(g548 +V20 +p4285 +tp4286 +a(g900 +g958 +tp4287 +a(g900 +g958 +tp4288 +a(g548 +V20 +p4289 +tp4290 +a(g900 +g958 +tp4291 +a(g548 +V20 +p4292 +tp4293 +a(g900 +g958 +tp4294 +a(g548 +V61 +p4295 +tp4296 +a(g900 +g958 +tp4297 +a(g548 +V73 +p4298 +tp4299 +a(g900 +g958 +tp4300 +a(g548 +V73 +p4301 +tp4302 +a(g900 +g958 +tp4303 +a(g900 +g958 +tp4304 +a(g548 +V65 +p4305 +tp4306 +a(g900 +g958 +tp4307 +a(g548 +V72 +p4308 +tp4309 +a(g900 +g958 +tp4310 +a(g548 +V74 +p4311 +tp4312 +a(g900 +g958 +tp4313 +a(g548 +V28 +p4314 +tp4315 +a(g900 +g958 +tp4316 +a(g548 +V20 +p4317 +tp4318 +a(g900 +g958 +tp4319 +a(g900 +g958 +tp4320 +a(g548 +V73 +p4321 +tp4322 +a(g900 +g958 +tp4323 +a(g548 +V74 +p4324 +tp4325 +a(g900 +g958 +tp4326 +a(g548 +V72 +p4327 +tp4328 +a(g900 +g958 +tp4329 +a(g548 +V69 +p4330 +tp4331 +a(g900 +g958 +tp4332 +a(g548 +V70 +p4333 +tp4334 +a(g900 +V +p4335 +tp4336 +a(g341 +V.{.....assert(.strip +p4337 +tp4338 +a(g900 +V\u000a +p4339 +tp4340 +a(g8 +V000003AC +p4341 +tp4342 +a(g900 +g958 +tp4343 +a(g900 +g958 +tp4344 +a(g548 +V4E +p4345 +tp4346 +a(g900 +g958 +tp4347 +a(g548 +V6F +p4348 +tp4349 +a(g900 +g958 +tp4350 +a(g548 +V6E +p4351 +tp4352 +a(g900 +g958 +tp4353 +a(g548 +V44 +p4354 +tp4355 +a(g900 +g958 +tp4356 +a(g548 +V69 +p4357 +tp4358 +a(g900 +g958 +tp4359 +a(g900 +g958 +tp4360 +a(g548 +V67 +p4361 +tp4362 +a(g900 +g958 +tp4363 +a(g548 +V69 +p4364 +tp4365 +a(g900 +g958 +tp4366 +a(g548 +V74 +p4367 +tp4368 +a(g900 +g958 +tp4369 +a(g548 +V28 +p4370 +tp4371 +a(g900 +g958 +tp4372 +a(g548 +V22 +p4373 +tp4374 +a(g900 +g958 +tp4375 +a(g900 +g958 +tp4376 +a(g548 +V61 +p4377 +tp4378 +a(g900 +g958 +tp4379 +a(g548 +V73 +p4380 +tp4381 +a(g900 +g958 +tp4382 +a(g548 +V64 +p4383 +tp4384 +a(g900 +g958 +tp4385 +a(g548 +V66 +p4386 +tp4387 +a(g900 +g958 +tp4388 +a(g548 +V22 +p4389 +tp4390 +a(g900 +g958 +tp4391 +a(g900 +g958 +tp4392 +a(g548 +V29 +p4393 +tp4394 +a(g900 +g958 +tp4395 +a(g548 +V20 +p4396 +tp4397 +a(g900 +g958 +tp4398 +a(g548 +V3D +p4399 +tp4400 +a(g900 +g958 +tp4401 +a(g548 +V3D +p4402 +tp4403 +a(g900 +g958 +tp4404 +a(g548 +V20 +p4405 +tp4406 +a(g900 +V +p4407 +tp4408 +a(g341 +VNonDigit("asdf").==. +p4409 +tp4410 +a(g900 +V\u000a +p4411 +tp4412 +a(g8 +V000003C0 +p4413 +tp4414 +a(g900 +g958 +tp4415 +a(g900 +g958 +tp4416 +a(g548 +V22 +p4417 +tp4418 +a(g900 +g958 +tp4419 +a(g548 +V22 +p4420 +tp4421 +a(g900 +g958 +tp4422 +a(g548 +V20 +p4423 +tp4424 +a(g900 +g958 +tp4425 +a(g548 +V20 +p4426 +tp4427 +a(g900 +g958 +tp4428 +a(g548 +V29 +p4429 +tp4430 +a(g900 +g958 +tp4431 +a(g900 +g958 +tp4432 +a(g548 +V3B +p4433 +tp4434 +a(g900 +g958 +tp4435 +a(g548 +V0A +p4436 +tp4437 +a(g900 +g958 +tp4438 +a(g548 +V20 +p4439 +tp4440 +a(g900 +g958 +tp4441 +a(g548 +V20 +p4442 +tp4443 +a(g900 +g958 +tp4444 +a(g548 +V20 +p4445 +tp4446 +a(g900 +g958 +tp4447 +a(g900 +g958 +tp4448 +a(g548 +V20 +p4449 +tp4450 +a(g900 +g958 +tp4451 +a(g548 +V61 +p4452 +tp4453 +a(g900 +g958 +tp4454 +a(g548 +V73 +p4455 +tp4456 +a(g900 +g958 +tp4457 +a(g548 +V73 +p4458 +tp4459 +a(g900 +g958 +tp4460 +a(g548 +V65 +p4461 +tp4462 +a(g900 +g958 +tp4463 +a(g900 +g958 +tp4464 +a(g548 +V72 +p4465 +tp4466 +a(g900 +g958 +tp4467 +a(g548 +V74 +p4468 +tp4469 +a(g900 +g958 +tp4470 +a(g548 +V28 +p4471 +tp4472 +a(g900 +g958 +tp4473 +a(g548 +V20 +p4474 +tp4475 +a(g900 +g958 +tp4476 +a(g548 +V73 +p4477 +tp4478 +a(g900 +V +p4479 +tp4480 +a(g341 +V""..);.....assert(.s +p4481 +tp4482 +a(g900 +V\u000a +p4483 +tp4484 +a(g8 +V000003D4 +p4485 +tp4486 +a(g900 +g958 +tp4487 +a(g900 +g958 +tp4488 +a(g548 +V74 +p4489 +tp4490 +a(g900 +g958 +tp4491 +a(g548 +V72 +p4492 +tp4493 +a(g900 +g958 +tp4494 +a(g548 +V69 +p4495 +tp4496 +a(g900 +g958 +tp4497 +a(g548 +V70 +p4498 +tp4499 +a(g900 +g958 +tp4500 +a(g548 +V4E +p4501 +tp4502 +a(g900 +g958 +tp4503 +a(g900 +g958 +tp4504 +a(g548 +V6F +p4505 +tp4506 +a(g900 +g958 +tp4507 +a(g548 +V6E +p4508 +tp4509 +a(g900 +g958 +tp4510 +a(g548 +V44 +p4511 +tp4512 +a(g900 +g958 +tp4513 +a(g548 +V69 +p4514 +tp4515 +a(g900 +g958 +tp4516 +a(g548 +V67 +p4517 +tp4518 +a(g900 +g958 +tp4519 +a(g900 +g958 +tp4520 +a(g548 +V69 +p4521 +tp4522 +a(g900 +g958 +tp4523 +a(g548 +V74 +p4524 +tp4525 +a(g900 +g958 +tp4526 +a(g548 +V28 +p4527 +tp4528 +a(g900 +g958 +tp4529 +a(g548 +V22 +p4530 +tp4531 +a(g900 +g958 +tp4532 +a(g548 +V5C +p4533 +tp4534 +a(g900 +g958 +tp4535 +a(g900 +g958 +tp4536 +a(g548 +V27 +p4537 +tp4538 +a(g900 +g958 +tp4539 +a(g548 +V31 +p4540 +tp4541 +a(g900 +g958 +tp4542 +a(g548 +V33 +p4543 +tp4544 +a(g900 +g958 +tp4545 +a(g548 +V2D +p4546 +tp4547 +a(g900 +g958 +tp4548 +a(g548 +V3D +p4549 +tp4550 +a(g900 +V +p4551 +tp4552 +a(g341 +VtripNonDigit("\u005c'13-= +p4553 +tp4554 +a(g900 +V\u000a +p4555 +tp4556 +a(g8 +V000003E8 +p4557 +tp4558 +a(g900 +g958 +tp4559 +a(g900 +g958 +tp4560 +a(g548 +V32 +p4561 +tp4562 +a(g900 +g958 +tp4563 +a(g548 +V20 +p4564 +tp4565 +a(g900 +g958 +tp4566 +a(g548 +V34 +p4567 +tp4568 +a(g900 +g958 +tp4569 +a(g548 +V6B +p4570 +tp4571 +a(g900 +g958 +tp4572 +a(g548 +V6F +p4573 +tp4574 +a(g900 +g958 +tp4575 +a(g900 +g958 +tp4576 +a(g548 +V70 +p4577 +tp4578 +a(g900 +g958 +tp4579 +a(g548 +V22 +p4580 +tp4581 +a(g900 +g958 +tp4582 +a(g548 +V29 +p4583 +tp4584 +a(g900 +g958 +tp4585 +a(g548 +V20 +p4586 +tp4587 +a(g900 +g958 +tp4588 +a(g548 +V3D +p4589 +tp4590 +a(g900 +g958 +tp4591 +a(g900 +g958 +tp4592 +a(g548 +V3D +p4593 +tp4594 +a(g900 +g958 +tp4595 +a(g548 +V20 +p4596 +tp4597 +a(g900 +g958 +tp4598 +a(g548 +V20 +p4599 +tp4600 +a(g900 +g958 +tp4601 +a(g548 +V22 +p4602 +tp4603 +a(g900 +g958 +tp4604 +a(g548 +V31 +p4605 +tp4606 +a(g900 +g958 +tp4607 +a(g900 +g958 +tp4608 +a(g548 +V33 +p4609 +tp4610 +a(g900 +g958 +tp4611 +a(g548 +V32 +p4612 +tp4613 +a(g900 +g958 +tp4614 +a(g548 +V34 +p4615 +tp4616 +a(g900 +g958 +tp4617 +a(g548 +V22 +p4618 +tp4619 +a(g900 +g958 +tp4620 +a(g548 +V20 +p4621 +tp4622 +a(g900 +V +p4623 +tp4624 +a(g341 +V2.4kop").==.."1324". +p4625 +tp4626 +a(g900 +V\u000a +p4627 +tp4628 +a(g8 +V000003FC +p4629 +tp4630 +a(g900 +g958 +tp4631 +a(g900 +g958 +tp4632 +a(g548 +V20 +p4633 +tp4634 +a(g900 +g958 +tp4635 +a(g548 +V29 +p4636 +tp4637 +a(g900 +g958 +tp4638 +a(g548 +V3B +p4639 +tp4640 +a(g900 +g958 +tp4641 +a(g548 +V0A +p4642 +tp4643 +a(g900 +g958 +tp4644 +a(g548 +V7D +p4645 +tp4646 +a(g900 +g958 +tp4647 +a(g900 +g958 +tp4648 +a(g548 +V0A +p4649 +tp4650 +a(g900 +g958 +tp4651 +a(g548 +V0A +p4652 +tp4653 +a(g900 +g958 +tp4654 +a(g548 +V2F +p4655 +tp4656 +a(g900 +g958 +tp4657 +a(g548 +V2F +p4658 +tp4659 +a(g900 +g958 +tp4660 +a(g548 +V2F +p4661 +tp4662 +a(g900 +g958 +tp4663 +a(g900 +g958 +tp4664 +a(g548 +V20 +p4665 +tp4666 +a(g900 +g958 +tp4667 +a(g548 +V43 +p4668 +tp4669 +a(g900 +g958 +tp4670 +a(g548 +V6F +p4671 +tp4672 +a(g900 +g958 +tp4673 +a(g548 +V6E +p4674 +tp4675 +a(g900 +g958 +tp4676 +a(g548 +V76 +p4677 +tp4678 +a(g900 +g958 +tp4679 +a(g900 +g958 +tp4680 +a(g548 +V65 +p4681 +tp4682 +a(g900 +g958 +tp4683 +a(g548 +V72 +p4684 +tp4685 +a(g900 +g958 +tp4686 +a(g548 +V74 +p4687 +tp4688 +a(g900 +g958 +tp4689 +a(g548 +V73 +p4690 +tp4691 +a(g900 +g958 +tp4692 +a(g548 +V20 +p4693 +tp4694 +a(g900 +V +p4695 +tp4696 +a(g341 +V.);.}..///.Converts. +p4697 +tp4698 +a(g900 +V\u000a +p4699 +tp4700 +a(g8 +V00000410 +p4701 +tp4702 +a(g900 +g958 +tp4703 +a(g900 +g958 +tp4704 +a(g548 +V61 +p4705 +tp4706 +a(g900 +g958 +tp4707 +a(g548 +V20 +p4708 +tp4709 +a(g900 +g958 +tp4710 +a(g548 +V77 +p4711 +tp4712 +a(g900 +g958 +tp4713 +a(g548 +V6F +p4714 +tp4715 +a(g900 +g958 +tp4716 +a(g548 +V72 +p4717 +tp4718 +a(g900 +g958 +tp4719 +a(g900 +g958 +tp4720 +a(g548 +V64 +p4721 +tp4722 +a(g900 +g958 +tp4723 +a(g548 +V20 +p4724 +tp4725 +a(g900 +g958 +tp4726 +a(g548 +V69 +p4727 +tp4728 +a(g900 +g958 +tp4729 +a(g548 +V6E +p4730 +tp4731 +a(g900 +g958 +tp4732 +a(g548 +V74 +p4733 +tp4734 +a(g900 +g958 +tp4735 +a(g900 +g958 +tp4736 +a(g548 +V6F +p4737 +tp4738 +a(g900 +g958 +tp4739 +a(g548 +V20 +p4740 +tp4741 +a(g900 +g958 +tp4742 +a(g548 +V61 +p4743 +tp4744 +a(g900 +g958 +tp4745 +a(g548 +V20 +p4746 +tp4747 +a(g900 +g958 +tp4748 +a(g548 +V6E +p4749 +tp4750 +a(g900 +g958 +tp4751 +a(g900 +g958 +tp4752 +a(g548 +V75 +p4753 +tp4754 +a(g900 +g958 +tp4755 +a(g548 +V6D +p4756 +tp4757 +a(g900 +g958 +tp4758 +a(g548 +V62 +p4759 +tp4760 +a(g900 +g958 +tp4761 +a(g548 +V65 +p4762 +tp4763 +a(g900 +g958 +tp4764 +a(g548 +V72 +p4765 +tp4766 +a(g900 +V +p4767 +tp4768 +a(g341 +Va.word.into.a.number +p4769 +tp4770 +a(g900 +V\u000a +p4771 +tp4772 +a(g8 +V00000424 +p4773 +tp4774 +a(g900 +g958 +tp4775 +a(g900 +g958 +tp4776 +a(g548 +V2C +p4777 +tp4778 +a(g900 +g958 +tp4779 +a(g548 +V20 +p4780 +tp4781 +a(g900 +g958 +tp4782 +a(g548 +V69 +p4783 +tp4784 +a(g900 +g958 +tp4785 +a(g548 +V67 +p4786 +tp4787 +a(g900 +g958 +tp4788 +a(g548 +V6E +p4789 +tp4790 +a(g900 +g958 +tp4791 +a(g900 +g958 +tp4792 +a(g548 +V6F +p4793 +tp4794 +a(g900 +g958 +tp4795 +a(g548 +V72 +p4796 +tp4797 +a(g900 +g958 +tp4798 +a(g548 +V69 +p4799 +tp4800 +a(g900 +g958 +tp4801 +a(g548 +V6E +p4802 +tp4803 +a(g900 +g958 +tp4804 +a(g548 +V67 +p4805 +tp4806 +a(g900 +g958 +tp4807 +a(g900 +g958 +tp4808 +a(g548 +V20 +p4809 +tp4810 +a(g900 +g958 +tp4811 +a(g548 +V61 +p4812 +tp4813 +a(g900 +g958 +tp4814 +a(g548 +V6C +p4815 +tp4816 +a(g900 +g958 +tp4817 +a(g548 +V6C +p4818 +tp4819 +a(g900 +g958 +tp4820 +a(g548 +V20 +p4821 +tp4822 +a(g900 +g958 +tp4823 +a(g900 +g958 +tp4824 +a(g548 +V6E +p4825 +tp4826 +a(g900 +g958 +tp4827 +a(g548 +V6F +p4828 +tp4829 +a(g900 +g958 +tp4830 +a(g548 +V6E +p4831 +tp4832 +a(g900 +g958 +tp4833 +a(g548 +V20 +p4834 +tp4835 +a(g900 +g958 +tp4836 +a(g548 +V61 +p4837 +tp4838 +a(g900 +V +p4839 +tp4840 +a(g341 +V,.ignoring.all.non.a +p4841 +tp4842 +a(g900 +V\u000a +p4843 +tp4844 +a(g8 +V00000438 +p4845 +tp4846 +a(g900 +g958 +tp4847 +a(g900 +g958 +tp4848 +a(g548 +V6C +p4849 +tp4850 +a(g900 +g958 +tp4851 +a(g548 +V70 +p4852 +tp4853 +a(g900 +g958 +tp4854 +a(g548 +V68 +p4855 +tp4856 +a(g900 +g958 +tp4857 +a(g548 +V61 +p4858 +tp4859 +a(g900 +g958 +tp4860 +a(g548 +V20 +p4861 +tp4862 +a(g900 +g958 +tp4863 +a(g900 +g958 +tp4864 +a(g548 +V63 +p4865 +tp4866 +a(g900 +g958 +tp4867 +a(g548 +V68 +p4868 +tp4869 +a(g900 +g958 +tp4870 +a(g548 +V61 +p4871 +tp4872 +a(g900 +g958 +tp4873 +a(g548 +V72 +p4874 +tp4875 +a(g900 +g958 +tp4876 +a(g548 +V61 +p4877 +tp4878 +a(g900 +g958 +tp4879 +a(g900 +g958 +tp4880 +a(g548 +V63 +p4881 +tp4882 +a(g900 +g958 +tp4883 +a(g548 +V74 +p4884 +tp4885 +a(g900 +g958 +tp4886 +a(g548 +V65 +p4887 +tp4888 +a(g900 +g958 +tp4889 +a(g548 +V72 +p4890 +tp4891 +a(g900 +g958 +tp4892 +a(g548 +V73 +p4893 +tp4894 +a(g900 +g958 +tp4895 +a(g900 +g958 +tp4896 +a(g548 +V20 +p4897 +tp4898 +a(g900 +g958 +tp4899 +a(g548 +V20 +p4900 +tp4901 +a(g900 +g958 +tp4902 +a(g548 +V0A +p4903 +tp4904 +a(g900 +g958 +tp4905 +a(g548 +V73 +p4906 +tp4907 +a(g900 +g958 +tp4908 +a(g548 +V74 +p4909 +tp4910 +a(g900 +V +p4911 +tp4912 +a(g341 +Vlpha.characters...st +p4913 +tp4914 +a(g900 +V\u000a +p4915 +tp4916 +a(g8 +V0000044C +p4917 +tp4918 +a(g900 +g958 +tp4919 +a(g900 +g958 +tp4920 +a(g548 +V72 +p4921 +tp4922 +a(g900 +g958 +tp4923 +a(g548 +V69 +p4924 +tp4925 +a(g900 +g958 +tp4926 +a(g548 +V6E +p4927 +tp4928 +a(g900 +g958 +tp4929 +a(g548 +V67 +p4930 +tp4931 +a(g900 +g958 +tp4932 +a(g548 +V20 +p4933 +tp4934 +a(g900 +g958 +tp4935 +a(g900 +g958 +tp4936 +a(g548 +V77 +p4937 +tp4938 +a(g900 +g958 +tp4939 +a(g548 +V6F +p4940 +tp4941 +a(g900 +g958 +tp4942 +a(g548 +V72 +p4943 +tp4944 +a(g900 +g958 +tp4945 +a(g548 +V64 +p4946 +tp4947 +a(g900 +g958 +tp4948 +a(g548 +V54 +p4949 +tp4950 +a(g900 +g958 +tp4951 +a(g900 +g958 +tp4952 +a(g548 +V6F +p4953 +tp4954 +a(g900 +g958 +tp4955 +a(g548 +V4E +p4956 +tp4957 +a(g900 +g958 +tp4958 +a(g548 +V75 +p4959 +tp4960 +a(g900 +g958 +tp4961 +a(g548 +V6D +p4962 +tp4963 +a(g900 +g958 +tp4964 +a(g548 +V28 +p4965 +tp4966 +a(g900 +g958 +tp4967 +a(g900 +g958 +tp4968 +a(g548 +V20 +p4969 +tp4970 +a(g900 +g958 +tp4971 +a(g548 +V69 +p4972 +tp4973 +a(g900 +g958 +tp4974 +a(g548 +V6E +p4975 +tp4976 +a(g900 +g958 +tp4977 +a(g548 +V20 +p4978 +tp4979 +a(g900 +g958 +tp4980 +a(g548 +V73 +p4981 +tp4982 +a(g900 +V +p4983 +tp4984 +a(g341 +Vring.wordToNum(.in.s +p4985 +tp4986 +a(g900 +V\u000a +p4987 +tp4988 +a(g8 +V00000460 +p4989 +tp4990 +a(g900 +g958 +tp4991 +a(g900 +g958 +tp4992 +a(g548 +V74 +p4993 +tp4994 +a(g900 +g958 +tp4995 +a(g548 +V72 +p4996 +tp4997 +a(g900 +g958 +tp4998 +a(g548 +V69 +p4999 +tp5000 +a(g900 +g958 +tp5001 +a(g548 +V6E +p5002 +tp5003 +a(g900 +g958 +tp5004 +a(g548 +V67 +p5005 +tp5006 +a(g900 +g958 +tp5007 +a(g900 +g958 +tp5008 +a(g548 +V20 +p5009 +tp5010 +a(g900 +g958 +tp5011 +a(g548 +V77 +p5012 +tp5013 +a(g900 +g958 +tp5014 +a(g548 +V6F +p5015 +tp5016 +a(g900 +g958 +tp5017 +a(g548 +V72 +p5018 +tp5019 +a(g900 +g958 +tp5020 +a(g548 +V64 +p5021 +tp5022 +a(g900 +g958 +tp5023 +a(g900 +g958 +tp5024 +a(g548 +V20 +p5025 +tp5026 +a(g900 +g958 +tp5027 +a(g548 +V29 +p5028 +tp5029 +a(g900 +g958 +tp5030 +a(g548 +V0A +p5031 +tp5032 +a(g900 +g958 +tp5033 +a(g548 +V7B +p5034 +tp5035 +a(g900 +g958 +tp5036 +a(g548 +V0A +p5037 +tp5038 +a(g900 +g958 +tp5039 +a(g900 +g958 +tp5040 +a(g548 +V2F +p5041 +tp5042 +a(g900 +g958 +tp5043 +a(g548 +V2F +p5044 +tp5045 +a(g900 +g958 +tp5046 +a(g548 +V20 +p5047 +tp5048 +a(g900 +g958 +tp5049 +a(g548 +V74 +p5050 +tp5051 +a(g900 +g958 +tp5052 +a(g548 +V72 +p5053 +tp5054 +a(g900 +V +p5055 +tp5056 +a(g341 +Vtring.word.).{.//.tr +p5057 +tp5058 +a(g900 +V\u000a +p5059 +tp5060 +a(g8 +V00000474 +p5061 +tp5062 +a(g900 +g958 +tp5063 +a(g900 +g958 +tp5064 +a(g548 +V61 +p5065 +tp5066 +a(g900 +g958 +tp5067 +a(g548 +V6E +p5068 +tp5069 +a(g900 +g958 +tp5070 +a(g548 +V73 +p5071 +tp5072 +a(g900 +g958 +tp5073 +a(g548 +V6C +p5074 +tp5075 +a(g900 +g958 +tp5076 +a(g548 +V61 +p5077 +tp5078 +a(g900 +g958 +tp5079 +a(g900 +g958 +tp5080 +a(g548 +V74 +p5081 +tp5082 +a(g900 +g958 +tp5083 +a(g548 +V69 +p5084 +tp5085 +a(g900 +g958 +tp5086 +a(g548 +V6F +p5087 +tp5088 +a(g900 +g958 +tp5089 +a(g548 +V6E +p5090 +tp5091 +a(g900 +g958 +tp5092 +a(g548 +V20 +p5093 +tp5094 +a(g900 +g958 +tp5095 +a(g900 +g958 +tp5096 +a(g548 +V74 +p5097 +tp5098 +a(g900 +g958 +tp5099 +a(g548 +V61 +p5100 +tp5101 +a(g900 +g958 +tp5102 +a(g548 +V62 +p5103 +tp5104 +a(g900 +g958 +tp5105 +a(g548 +V6C +p5106 +tp5107 +a(g900 +g958 +tp5108 +a(g548 +V65 +p5109 +tp5110 +a(g900 +g958 +tp5111 +a(g900 +g958 +tp5112 +a(g548 +V20 +p5113 +tp5114 +a(g900 +g958 +tp5115 +a(g548 +V66 +p5116 +tp5117 +a(g900 +g958 +tp5118 +a(g548 +V6F +p5119 +tp5120 +a(g900 +g958 +tp5121 +a(g548 +V72 +p5122 +tp5123 +a(g900 +g958 +tp5124 +a(g548 +V20 +p5125 +tp5126 +a(g900 +V +p5127 +tp5128 +a(g341 +Vanslation.table.for. +p5129 +tp5130 +a(g900 +V\u000a +p5131 +tp5132 +a(g8 +V00000488 +p5133 +tp5134 +a(g900 +g958 +tp5135 +a(g900 +g958 +tp5136 +a(g548 +V74 +p5137 +tp5138 +a(g900 +g958 +tp5139 +a(g548 +V68 +p5140 +tp5141 +a(g900 +g958 +tp5142 +a(g548 +V65 +p5143 +tp5144 +a(g900 +g958 +tp5145 +a(g548 +V20 +p5146 +tp5147 +a(g900 +g958 +tp5148 +a(g548 +V74 +p5149 +tp5150 +a(g900 +g958 +tp5151 +a(g900 +g958 +tp5152 +a(g548 +V61 +p5153 +tp5154 +a(g900 +g958 +tp5155 +a(g548 +V73 +p5156 +tp5157 +a(g900 +g958 +tp5158 +a(g548 +V6B +p5159 +tp5160 +a(g900 +g958 +tp5161 +a(g548 +V20 +p5162 +tp5163 +a(g900 +g958 +tp5164 +a(g548 +V61 +p5165 +tp5166 +a(g900 +g958 +tp5167 +a(g900 +g958 +tp5168 +a(g548 +V74 +p5169 +tp5170 +a(g900 +g958 +tp5171 +a(g548 +V20 +p5172 +tp5173 +a(g900 +g958 +tp5174 +a(g548 +V68 +p5175 +tp5176 +a(g900 +g958 +tp5177 +a(g548 +V61 +p5178 +tp5179 +a(g900 +g958 +tp5180 +a(g548 +V6E +p5181 +tp5182 +a(g900 +g958 +tp5183 +a(g900 +g958 +tp5184 +a(g548 +V64 +p5185 +tp5186 +a(g900 +g958 +tp5187 +a(g548 +V0A +p5188 +tp5189 +a(g900 +g958 +tp5190 +a(g548 +V63 +p5191 +tp5192 +a(g900 +g958 +tp5193 +a(g548 +V6F +p5194 +tp5195 +a(g900 +g958 +tp5196 +a(g548 +V6E +p5197 +tp5198 +a(g900 +V +p5199 +tp5200 +a(g341 +Vthe.task.at.hand.con +p5201 +tp5202 +a(g900 +V\u000a +p5203 +tp5204 +a(g8 +V0000049C +p5205 +tp5206 +a(g900 +g958 +tp5207 +a(g900 +g958 +tp5208 +a(g548 +V73 +p5209 +tp5210 +a(g900 +g958 +tp5211 +a(g548 +V74 +p5212 +tp5213 +a(g900 +g958 +tp5214 +a(g548 +V20 +p5215 +tp5216 +a(g900 +g958 +tp5217 +a(g548 +V63 +p5218 +tp5219 +a(g900 +g958 +tp5220 +a(g548 +V68 +p5221 +tp5222 +a(g900 +g958 +tp5223 +a(g900 +g958 +tp5224 +a(g548 +V61 +p5225 +tp5226 +a(g900 +g958 +tp5227 +a(g548 +V72 +p5228 +tp5229 +a(g900 +g958 +tp5230 +a(g548 +V5B +p5231 +tp5232 +a(g900 +g958 +tp5233 +a(g548 +V32 +p5234 +tp5235 +a(g900 +g958 +tp5236 +a(g548 +V35 +p5237 +tp5238 +a(g900 +g958 +tp5239 +a(g900 +g958 +tp5240 +a(g548 +V36 +p5241 +tp5242 +a(g900 +g958 +tp5243 +a(g548 +V5D +p5244 +tp5245 +a(g900 +g958 +tp5246 +a(g548 +V20 +p5247 +tp5248 +a(g900 +g958 +tp5249 +a(g548 +V54 +p5250 +tp5251 +a(g900 +g958 +tp5252 +a(g548 +V52 +p5253 +tp5254 +a(g900 +g958 +tp5255 +a(g900 +g958 +tp5256 +a(g548 +V41 +p5257 +tp5258 +a(g900 +g958 +tp5259 +a(g548 +V4E +p5260 +tp5261 +a(g900 +g958 +tp5262 +a(g548 +V53 +p5263 +tp5264 +a(g900 +g958 +tp5265 +a(g548 +V4C +p5266 +tp5267 +a(g900 +g958 +tp5268 +a(g548 +V41 +p5269 +tp5270 +a(g900 +V +p5271 +tp5272 +a(g341 +Vst.char[256].TRANSLA +p5273 +tp5274 +a(g900 +V\u000a +p5275 +tp5276 +a(g8 +V000004B0 +p5277 +tp5278 +a(g900 +g958 +tp5279 +a(g900 +g958 +tp5280 +a(g548 +V54 +p5281 +tp5282 +a(g900 +g958 +tp5283 +a(g548 +V45 +p5284 +tp5285 +a(g900 +g958 +tp5286 +a(g548 +V20 +p5287 +tp5288 +a(g900 +g958 +tp5289 +a(g548 +V3D +p5290 +tp5291 +a(g900 +g958 +tp5292 +a(g548 +V20 +p5293 +tp5294 +a(g900 +g958 +tp5295 +a(g900 +g958 +tp5296 +a(g548 +V20 +p5297 +tp5298 +a(g900 +g958 +tp5299 +a(g548 +V20 +p5300 +tp5301 +a(g900 +g958 +tp5302 +a(g548 +V20 +p5303 +tp5304 +a(g900 +g958 +tp5305 +a(g548 +V0A +p5306 +tp5307 +a(g900 +g958 +tp5308 +a(g548 +V20 +p5309 +tp5310 +a(g900 +g958 +tp5311 +a(g900 +g958 +tp5312 +a(g548 +V20 +p5313 +tp5314 +a(g900 +g958 +tp5315 +a(g548 +V20 +p5316 +tp5317 +a(g900 +g958 +tp5318 +a(g548 +V20 +p5319 +tp5320 +a(g900 +g958 +tp5321 +a(g548 +V22 +p5322 +tp5323 +a(g900 +g958 +tp5324 +a(g548 +V20 +p5325 +tp5326 +a(g900 +g958 +tp5327 +a(g900 +g958 +tp5328 +a(g548 +V20 +p5329 +tp5330 +a(g900 +g958 +tp5331 +a(g548 +V20 +p5332 +tp5333 +a(g900 +g958 +tp5334 +a(g548 +V20 +p5335 +tp5336 +a(g900 +g958 +tp5337 +a(g548 +V20 +p5338 +tp5339 +a(g900 +g958 +tp5340 +a(g548 +V20 +p5341 +tp5342 +a(g900 +V +p5343 +tp5344 +a(g341 +VTE.=........."...... +p5345 +tp5346 +a(g900 +V\u000a +p5347 +tp5348 +a(g8 +V000004C4 +p5349 +tp5350 +a(g900 +g958 +tp5351 +a(g900 +g958 +tp5352 +a(g548 +V20 +p5353 +tp5354 +a(g900 +g958 +tp5355 +a(g548 +V20 +p5356 +tp5357 +a(g900 +g958 +tp5358 +a(g548 +V20 +p5359 +tp5360 +a(g900 +g958 +tp5361 +a(g548 +V20 +p5362 +tp5363 +a(g900 +g958 +tp5364 +a(g548 +V20 +p5365 +tp5366 +a(g900 +g958 +tp5367 +a(g900 +g958 +tp5368 +a(g548 +V20 +p5369 +tp5370 +a(g900 +g958 +tp5371 +a(g548 +V20 +p5372 +tp5373 +a(g900 +g958 +tp5374 +a(g548 +V20 +p5375 +tp5376 +a(g900 +g958 +tp5377 +a(g548 +V20 +p5378 +tp5379 +a(g900 +g958 +tp5380 +a(g548 +V20 +p5381 +tp5382 +a(g900 +g958 +tp5383 +a(g900 +g958 +tp5384 +a(g548 +V20 +p5385 +tp5386 +a(g900 +g958 +tp5387 +a(g548 +V20 +p5388 +tp5389 +a(g900 +g958 +tp5390 +a(g548 +V20 +p5391 +tp5392 +a(g900 +g958 +tp5393 +a(g548 +V20 +p5394 +tp5395 +a(g900 +g958 +tp5396 +a(g548 +V20 +p5397 +tp5398 +a(g900 +g958 +tp5399 +a(g900 +g958 +tp5400 +a(g548 +V20 +p5401 +tp5402 +a(g900 +g958 +tp5403 +a(g548 +V20 +p5404 +tp5405 +a(g900 +g958 +tp5406 +a(g548 +V20 +p5407 +tp5408 +a(g900 +g958 +tp5409 +a(g548 +V20 +p5410 +tp5411 +a(g900 +g958 +tp5412 +a(g548 +V20 +p5413 +tp5414 +a(g900 +V +p5415 +tp5416 +a(g341 +V.................... +p5417 +tp5418 +a(g900 +V\u000a +p5419 +tp5420 +a(g8 +V000004D8 +p5421 +tp5422 +a(g900 +g958 +tp5423 +a(g900 +g958 +tp5424 +a(g548 +V20 +p5425 +tp5426 +a(g900 +g958 +tp5427 +a(g548 +V20 +p5428 +tp5429 +a(g900 +g958 +tp5430 +a(g548 +V20 +p5431 +tp5432 +a(g900 +g958 +tp5433 +a(g548 +V20 +p5434 +tp5435 +a(g900 +g958 +tp5436 +a(g548 +V20 +p5437 +tp5438 +a(g900 +g958 +tp5439 +a(g900 +g958 +tp5440 +a(g548 +V20 +p5441 +tp5442 +a(g900 +g958 +tp5443 +a(g548 +V22 +p5444 +tp5445 +a(g900 +g958 +tp5446 +a(g548 +V20 +p5447 +tp5448 +a(g900 +g958 +tp5449 +a(g548 +V20 +p5450 +tp5451 +a(g900 +g958 +tp5452 +a(g548 +V2F +p5453 +tp5454 +a(g900 +g958 +tp5455 +a(g900 +g958 +tp5456 +a(g548 +V2F +p5457 +tp5458 +a(g900 +g958 +tp5459 +a(g548 +V20 +p5460 +tp5461 +a(g900 +g958 +tp5462 +a(g548 +V30 +p5463 +tp5464 +a(g900 +g958 +tp5465 +a(g548 +V20 +p5466 +tp5467 +a(g900 +g958 +tp5468 +a(g548 +V20 +p5469 +tp5470 +a(g900 +g958 +tp5471 +a(g900 +g958 +tp5472 +a(g548 +V20 +p5473 +tp5474 +a(g900 +g958 +tp5475 +a(g548 +V0A +p5476 +tp5477 +a(g900 +g958 +tp5478 +a(g548 +V20 +p5479 +tp5480 +a(g900 +g958 +tp5481 +a(g548 +V20 +p5482 +tp5483 +a(g900 +g958 +tp5484 +a(g548 +V20 +p5485 +tp5486 +a(g900 +V +p5487 +tp5488 +a(g341 +V......"..//.0....... +p5489 +tp5490 +a(g900 +V\u000a +p5491 +tp5492 +a(g8 +V000004EC +p5493 +tp5494 +a(g900 +g958 +tp5495 +a(g900 +g958 +tp5496 +a(g548 +V20 +p5497 +tp5498 +a(g900 +g958 +tp5499 +a(g548 +V22 +p5500 +tp5501 +a(g900 +g958 +tp5502 +a(g548 +V20 +p5503 +tp5504 +a(g900 +g958 +tp5505 +a(g548 +V20 +p5506 +tp5507 +a(g900 +g958 +tp5508 +a(g548 +V20 +p5509 +tp5510 +a(g900 +g958 +tp5511 +a(g900 +g958 +tp5512 +a(g548 +V20 +p5513 +tp5514 +a(g900 +g958 +tp5515 +a(g548 +V20 +p5516 +tp5517 +a(g900 +g958 +tp5518 +a(g548 +V20 +p5519 +tp5520 +a(g900 +g958 +tp5521 +a(g548 +V20 +p5522 +tp5523 +a(g900 +g958 +tp5524 +a(g548 +V20 +p5525 +tp5526 +a(g900 +g958 +tp5527 +a(g900 +g958 +tp5528 +a(g548 +V20 +p5529 +tp5530 +a(g900 +g958 +tp5531 +a(g548 +V20 +p5532 +tp5533 +a(g900 +g958 +tp5534 +a(g548 +V20 +p5535 +tp5536 +a(g900 +g958 +tp5537 +a(g548 +V20 +p5538 +tp5539 +a(g900 +g958 +tp5540 +a(g548 +V20 +p5541 +tp5542 +a(g900 +g958 +tp5543 +a(g900 +g958 +tp5544 +a(g548 +V20 +p5545 +tp5546 +a(g900 +g958 +tp5547 +a(g548 +V20 +p5548 +tp5549 +a(g900 +g958 +tp5550 +a(g548 +V20 +p5551 +tp5552 +a(g900 +g958 +tp5553 +a(g548 +V30 +p5554 +tp5555 +a(g900 +g958 +tp5556 +a(g548 +V31 +p5557 +tp5558 +a(g900 +V +p5559 +tp5560 +a(g341 +V."................01 +p5561 +tp5562 +a(g900 +V\u000a +p5563 +tp5564 +a(g8 +V00000500 +p5565 +tp5566 +a(g900 +g958 +tp5567 +a(g900 +g958 +tp5568 +a(g548 +V32 +p5569 +tp5570 +a(g900 +g958 +tp5571 +a(g548 +V33 +p5572 +tp5573 +a(g900 +g958 +tp5574 +a(g548 +V34 +p5575 +tp5576 +a(g900 +g958 +tp5577 +a(g548 +V35 +p5578 +tp5579 +a(g900 +g958 +tp5580 +a(g548 +V36 +p5581 +tp5582 +a(g900 +g958 +tp5583 +a(g900 +g958 +tp5584 +a(g548 +V37 +p5585 +tp5586 +a(g900 +g958 +tp5587 +a(g548 +V38 +p5588 +tp5589 +a(g900 +g958 +tp5590 +a(g548 +V39 +p5591 +tp5592 +a(g900 +g958 +tp5593 +a(g548 +V20 +p5594 +tp5595 +a(g900 +g958 +tp5596 +a(g548 +V20 +p5597 +tp5598 +a(g900 +g958 +tp5599 +a(g900 +g958 +tp5600 +a(g548 +V20 +p5601 +tp5602 +a(g900 +g958 +tp5603 +a(g548 +V20 +p5604 +tp5605 +a(g900 +g958 +tp5606 +a(g548 +V20 +p5607 +tp5608 +a(g900 +g958 +tp5609 +a(g548 +V20 +p5610 +tp5611 +a(g900 +g958 +tp5612 +a(g548 +V22 +p5613 +tp5614 +a(g900 +g958 +tp5615 +a(g900 +g958 +tp5616 +a(g548 +V20 +p5617 +tp5618 +a(g900 +g958 +tp5619 +a(g548 +V20 +p5620 +tp5621 +a(g900 +g958 +tp5622 +a(g548 +V2F +p5623 +tp5624 +a(g900 +g958 +tp5625 +a(g548 +V2F +p5626 +tp5627 +a(g900 +g958 +tp5628 +a(g548 +V20 +p5629 +tp5630 +a(g900 +V +p5631 +tp5632 +a(g341 +V23456789......"..//. +p5633 +tp5634 +a(g900 +V\u000a +p5635 +tp5636 +a(g8 +V00000514 +p5637 +tp5638 +a(g900 +g958 +tp5639 +a(g900 +g958 +tp5640 +a(g548 +V33 +p5641 +tp5642 +a(g900 +g958 +tp5643 +a(g548 +V32 +p5644 +tp5645 +a(g900 +g958 +tp5646 +a(g548 +V20 +p5647 +tp5648 +a(g900 +g958 +tp5649 +a(g548 +V20 +p5650 +tp5651 +a(g900 +g958 +tp5652 +a(g548 +V20 +p5653 +tp5654 +a(g900 +g958 +tp5655 +a(g900 +g958 +tp5656 +a(g548 +V20 +p5657 +tp5658 +a(g900 +g958 +tp5659 +a(g548 +V20 +p5660 +tp5661 +a(g900 +g958 +tp5662 +a(g548 +V0A +p5663 +tp5664 +a(g900 +g958 +tp5665 +a(g548 +V20 +p5666 +tp5667 +a(g900 +g958 +tp5668 +a(g548 +V20 +p5669 +tp5670 +a(g900 +g958 +tp5671 +a(g900 +g958 +tp5672 +a(g548 +V20 +p5673 +tp5674 +a(g900 +g958 +tp5675 +a(g548 +V20 +p5676 +tp5677 +a(g900 +g958 +tp5678 +a(g548 +V22 +p5679 +tp5680 +a(g900 +g958 +tp5681 +a(g548 +V20 +p5682 +tp5683 +a(g900 +g958 +tp5684 +a(g548 +V35 +p5685 +tp5686 +a(g900 +g958 +tp5687 +a(g900 +g958 +tp5688 +a(g548 +V37 +p5689 +tp5690 +a(g900 +g958 +tp5691 +a(g548 +V36 +p5692 +tp5693 +a(g900 +g958 +tp5694 +a(g548 +V33 +p5695 +tp5696 +a(g900 +g958 +tp5697 +a(g548 +V30 +p5698 +tp5699 +a(g900 +g958 +tp5700 +a(g548 +V34 +p5701 +tp5702 +a(g900 +V +p5703 +tp5704 +a(g341 +V32..........".576304 +p5705 +tp5706 +a(g900 +V\u000a +p5707 +tp5708 +a(g8 +V00000528 +p5709 +tp5710 +a(g900 +g958 +tp5711 +a(g900 +g958 +tp5712 +a(g548 +V39 +p5713 +tp5714 +a(g900 +g958 +tp5715 +a(g548 +V39 +p5716 +tp5717 +a(g900 +g958 +tp5718 +a(g548 +V36 +p5719 +tp5720 +a(g900 +g958 +tp5721 +a(g548 +V31 +p5722 +tp5723 +a(g900 +g958 +tp5724 +a(g548 +V37 +p5725 +tp5726 +a(g900 +g958 +tp5727 +a(g900 +g958 +tp5728 +a(g548 +V38 +p5729 +tp5730 +a(g900 +g958 +tp5731 +a(g548 +V35 +p5732 +tp5733 +a(g900 +g958 +tp5734 +a(g548 +V31 +p5735 +tp5736 +a(g900 +g958 +tp5737 +a(g548 +V38 +p5738 +tp5739 +a(g900 +g958 +tp5740 +a(g548 +V38 +p5741 +tp5742 +a(g900 +g958 +tp5743 +a(g900 +g958 +tp5744 +a(g548 +V31 +p5745 +tp5746 +a(g900 +g958 +tp5747 +a(g548 +V32 +p5748 +tp5749 +a(g900 +g958 +tp5750 +a(g548 +V33 +p5751 +tp5752 +a(g900 +g958 +tp5753 +a(g548 +V34 +p5754 +tp5755 +a(g900 +g958 +tp5756 +a(g548 +V37 +p5757 +tp5758 +a(g900 +g958 +tp5759 +a(g900 +g958 +tp5760 +a(g548 +V36 +p5761 +tp5762 +a(g900 +g958 +tp5763 +a(g548 +V32 +p5764 +tp5765 +a(g900 +g958 +tp5766 +a(g548 +V32 +p5767 +tp5768 +a(g900 +g958 +tp5769 +a(g548 +V33 +p5770 +tp5771 +a(g900 +g958 +tp5772 +a(g548 +V39 +p5773 +tp5774 +a(g900 +V +p5775 +tp5776 +a(g341 +V99617851881234762239 +p5777 +tp5778 +a(g900 +V\u000a +p5779 +tp5780 +a(g8 +V0000053C +p5781 +tp5782 +a(g900 +g958 +tp5783 +a(g900 +g958 +tp5784 +a(g548 +V20 +p5785 +tp5786 +a(g900 +g958 +tp5787 +a(g548 +V20 +p5788 +tp5789 +a(g900 +g958 +tp5790 +a(g548 +V20 +p5791 +tp5792 +a(g900 +g958 +tp5793 +a(g548 +V20 +p5794 +tp5795 +a(g900 +g958 +tp5796 +a(g548 +V20 +p5797 +tp5798 +a(g900 +g958 +tp5799 +a(g900 +g958 +tp5800 +a(g548 +V22 +p5801 +tp5802 +a(g900 +g958 +tp5803 +a(g548 +V20 +p5804 +tp5805 +a(g900 +g958 +tp5806 +a(g548 +V20 +p5807 +tp5808 +a(g900 +g958 +tp5809 +a(g548 +V2F +p5810 +tp5811 +a(g900 +g958 +tp5812 +a(g548 +V2F +p5813 +tp5814 +a(g900 +g958 +tp5815 +a(g900 +g958 +tp5816 +a(g548 +V20 +p5817 +tp5818 +a(g900 +g958 +tp5819 +a(g548 +V36 +p5820 +tp5821 +a(g900 +g958 +tp5822 +a(g548 +V34 +p5823 +tp5824 +a(g900 +g958 +tp5825 +a(g548 +V20 +p5826 +tp5827 +a(g900 +g958 +tp5828 +a(g548 +V20 +p5829 +tp5830 +a(g900 +g958 +tp5831 +a(g900 +g958 +tp5832 +a(g548 +V20 +p5833 +tp5834 +a(g900 +g958 +tp5835 +a(g548 +V0A +p5836 +tp5837 +a(g900 +g958 +tp5838 +a(g548 +V20 +p5839 +tp5840 +a(g900 +g958 +tp5841 +a(g548 +V20 +p5842 +tp5843 +a(g900 +g958 +tp5844 +a(g548 +V20 +p5845 +tp5846 +a(g900 +V +p5847 +tp5848 +a(g341 +V....."..//.64....... +p5849 +tp5850 +a(g900 +V\u000a +p5851 +tp5852 +a(g8 +V00000550 +p5853 +tp5854 +a(g900 +g958 +tp5855 +a(g900 +g958 +tp5856 +a(g548 +V20 +p5857 +tp5858 +a(g900 +g958 +tp5859 +a(g548 +V22 +p5860 +tp5861 +a(g900 +g958 +tp5862 +a(g548 +V20 +p5863 +tp5864 +a(g900 +g958 +tp5865 +a(g548 +V35 +p5866 +tp5867 +a(g900 +g958 +tp5868 +a(g548 +V37 +p5869 +tp5870 +a(g900 +g958 +tp5871 +a(g900 +g958 +tp5872 +a(g548 +V36 +p5873 +tp5874 +a(g900 +g958 +tp5875 +a(g548 +V33 +p5876 +tp5877 +a(g900 +g958 +tp5878 +a(g548 +V30 +p5879 +tp5880 +a(g900 +g958 +tp5881 +a(g548 +V34 +p5882 +tp5883 +a(g900 +g958 +tp5884 +a(g548 +V39 +p5885 +tp5886 +a(g900 +g958 +tp5887 +a(g900 +g958 +tp5888 +a(g548 +V39 +p5889 +tp5890 +a(g900 +g958 +tp5891 +a(g548 +V36 +p5892 +tp5893 +a(g900 +g958 +tp5894 +a(g548 +V31 +p5895 +tp5896 +a(g900 +g958 +tp5897 +a(g548 +V37 +p5898 +tp5899 +a(g900 +g958 +tp5900 +a(g548 +V38 +p5901 +tp5902 +a(g900 +g958 +tp5903 +a(g900 +g958 +tp5904 +a(g548 +V35 +p5905 +tp5906 +a(g900 +g958 +tp5907 +a(g548 +V31 +p5908 +tp5909 +a(g900 +g958 +tp5910 +a(g548 +V38 +p5911 +tp5912 +a(g900 +g958 +tp5913 +a(g548 +V38 +p5914 +tp5915 +a(g900 +g958 +tp5916 +a(g548 +V31 +p5917 +tp5918 +a(g900 +V +p5919 +tp5920 +a(g341 +V.".57630499617851881 +p5921 +tp5922 +a(g900 +V\u000a +p5923 +tp5924 +a(g8 +V00000564 +p5925 +tp5926 +a(g900 +g958 +tp5927 +a(g900 +g958 +tp5928 +a(g548 +V32 +p5929 +tp5930 +a(g900 +g958 +tp5931 +a(g548 +V33 +p5932 +tp5933 +a(g900 +g958 +tp5934 +a(g548 +V34 +p5935 +tp5936 +a(g900 +g958 +tp5937 +a(g548 +V37 +p5938 +tp5939 +a(g900 +g958 +tp5940 +a(g548 +V36 +p5941 +tp5942 +a(g900 +g958 +tp5943 +a(g900 +g958 +tp5944 +a(g548 +V32 +p5945 +tp5946 +a(g900 +g958 +tp5947 +a(g548 +V32 +p5948 +tp5949 +a(g900 +g958 +tp5950 +a(g548 +V33 +p5951 +tp5952 +a(g900 +g958 +tp5953 +a(g548 +V39 +p5954 +tp5955 +a(g900 +g958 +tp5956 +a(g548 +V20 +p5957 +tp5958 +a(g900 +g958 +tp5959 +a(g900 +g958 +tp5960 +a(g548 +V20 +p5961 +tp5962 +a(g900 +g958 +tp5963 +a(g548 +V20 +p5964 +tp5965 +a(g900 +g958 +tp5966 +a(g548 +V20 +p5967 +tp5968 +a(g900 +g958 +tp5969 +a(g548 +V20 +p5970 +tp5971 +a(g900 +g958 +tp5972 +a(g548 +V22 +p5973 +tp5974 +a(g900 +g958 +tp5975 +a(g900 +g958 +tp5976 +a(g548 +V0A +p5977 +tp5978 +a(g900 +g958 +tp5979 +a(g548 +V20 +p5980 +tp5981 +a(g900 +g958 +tp5982 +a(g548 +V20 +p5983 +tp5984 +a(g900 +g958 +tp5985 +a(g548 +V20 +p5986 +tp5987 +a(g900 +g958 +tp5988 +a(g548 +V20 +p5989 +tp5990 +a(g900 +V +p5991 +tp5992 +a(g341 +V234762239....."..... +p5993 +tp5994 +a(g900 +V\u000a +p5995 +tp5996 +a(g8 +V00000578 +p5997 +tp5998 +a(g900 +g958 +tp5999 +a(g900 +g958 +tp6000 +a(g548 +V22 +p6001 +tp6002 +a(g900 +g958 +tp6003 +a(g548 +V20 +p6004 +tp6005 +a(g900 +g958 +tp6006 +a(g548 +V20 +p6007 +tp6008 +a(g900 +g958 +tp6009 +a(g548 +V20 +p6010 +tp6011 +a(g900 +g958 +tp6012 +a(g548 +V20 +p6013 +tp6014 +a(g900 +g958 +tp6015 +a(g900 +g958 +tp6016 +a(g548 +V20 +p6017 +tp6018 +a(g900 +g958 +tp6019 +a(g548 +V20 +p6020 +tp6021 +a(g900 +g958 +tp6022 +a(g548 +V20 +p6023 +tp6024 +a(g900 +g958 +tp6025 +a(g548 +V20 +p6026 +tp6027 +a(g900 +g958 +tp6028 +a(g548 +V20 +p6029 +tp6030 +a(g900 +g958 +tp6031 +a(g900 +g958 +tp6032 +a(g548 +V20 +p6033 +tp6034 +a(g900 +g958 +tp6035 +a(g548 +V20 +p6036 +tp6037 +a(g900 +g958 +tp6038 +a(g548 +V20 +p6039 +tp6040 +a(g900 +g958 +tp6041 +a(g548 +V20 +p6042 +tp6043 +a(g900 +g958 +tp6044 +a(g548 +V20 +p6045 +tp6046 +a(g900 +g958 +tp6047 +a(g900 +g958 +tp6048 +a(g548 +V20 +p6049 +tp6050 +a(g900 +g958 +tp6051 +a(g548 +V20 +p6052 +tp6053 +a(g900 +g958 +tp6054 +a(g548 +V20 +p6055 +tp6056 +a(g900 +g958 +tp6057 +a(g548 +V20 +p6058 +tp6059 +a(g900 +g958 +tp6060 +a(g548 +V20 +p6061 +tp6062 +a(g900 +V +p6063 +tp6064 +a(g341 +V"................... +p6065 +tp6066 +a(g900 +V\u000a +p6067 +tp6068 +a(g8 +V0000058C +p6069 +tp6070 +a(g900 +g958 +tp6071 +a(g900 +g958 +tp6072 +a(g548 +V20 +p6073 +tp6074 +a(g900 +g958 +tp6075 +a(g548 +V20 +p6076 +tp6077 +a(g900 +g958 +tp6078 +a(g548 +V20 +p6079 +tp6080 +a(g900 +g958 +tp6081 +a(g548 +V20 +p6082 +tp6083 +a(g900 +g958 +tp6084 +a(g548 +V20 +p6085 +tp6086 +a(g900 +g958 +tp6087 +a(g900 +g958 +tp6088 +a(g548 +V20 +p6089 +tp6090 +a(g900 +g958 +tp6091 +a(g548 +V20 +p6092 +tp6093 +a(g900 +g958 +tp6094 +a(g548 +V20 +p6095 +tp6096 +a(g900 +g958 +tp6097 +a(g548 +V20 +p6098 +tp6099 +a(g900 +g958 +tp6100 +a(g548 +V20 +p6101 +tp6102 +a(g900 +g958 +tp6103 +a(g900 +g958 +tp6104 +a(g548 +V20 +p6105 +tp6106 +a(g900 +g958 +tp6107 +a(g548 +V20 +p6108 +tp6109 +a(g900 +g958 +tp6110 +a(g548 +V20 +p6111 +tp6112 +a(g900 +g958 +tp6113 +a(g548 +V22 +p6114 +tp6115 +a(g900 +g958 +tp6116 +a(g548 +V0A +p6117 +tp6118 +a(g900 +g958 +tp6119 +a(g900 +g958 +tp6120 +a(g548 +V20 +p6121 +tp6122 +a(g900 +g958 +tp6123 +a(g548 +V20 +p6124 +tp6125 +a(g900 +g958 +tp6126 +a(g548 +V20 +p6127 +tp6128 +a(g900 +g958 +tp6129 +a(g548 +V20 +p6130 +tp6131 +a(g900 +g958 +tp6132 +a(g548 +V22 +p6133 +tp6134 +a(g900 +V +p6135 +tp6136 +a(g341 +V............."....." +p6137 +tp6138 +a(g900 +V\u000a +p6139 +tp6140 +a(g8 +V000005A0 +p6141 +tp6142 +a(g900 +g958 +tp6143 +a(g900 +g958 +tp6144 +a(g548 +V20 +p6145 +tp6146 +a(g900 +g958 +tp6147 +a(g548 +V20 +p6148 +tp6149 +a(g900 +g958 +tp6150 +a(g548 +V20 +p6151 +tp6152 +a(g900 +g958 +tp6153 +a(g548 +V20 +p6154 +tp6155 +a(g900 +g958 +tp6156 +a(g548 +V20 +p6157 +tp6158 +a(g900 +g958 +tp6159 +a(g900 +g958 +tp6160 +a(g548 +V20 +p6161 +tp6162 +a(g900 +g958 +tp6163 +a(g548 +V20 +p6164 +tp6165 +a(g900 +g958 +tp6166 +a(g548 +V20 +p6167 +tp6168 +a(g900 +g958 +tp6169 +a(g548 +V20 +p6170 +tp6171 +a(g900 +g958 +tp6172 +a(g548 +V20 +p6173 +tp6174 +a(g900 +g958 +tp6175 +a(g900 +g958 +tp6176 +a(g548 +V20 +p6177 +tp6178 +a(g900 +g958 +tp6179 +a(g548 +V20 +p6180 +tp6181 +a(g900 +g958 +tp6182 +a(g548 +V20 +p6183 +tp6184 +a(g900 +g958 +tp6185 +a(g548 +V20 +p6186 +tp6187 +a(g900 +g958 +tp6188 +a(g548 +V20 +p6189 +tp6190 +a(g900 +g958 +tp6191 +a(g900 +g958 +tp6192 +a(g548 +V20 +p6193 +tp6194 +a(g900 +g958 +tp6195 +a(g548 +V20 +p6196 +tp6197 +a(g900 +g958 +tp6198 +a(g548 +V20 +p6199 +tp6200 +a(g900 +g958 +tp6201 +a(g548 +V20 +p6202 +tp6203 +a(g900 +g958 +tp6204 +a(g548 +V20 +p6205 +tp6206 +a(g900 +V +p6207 +tp6208 +a(g341 +V.................... +p6209 +tp6210 +a(g900 +V\u000a +p6211 +tp6212 +a(g8 +V000005B4 +p6213 +tp6214 +a(g900 +g958 +tp6215 +a(g900 +g958 +tp6216 +a(g548 +V20 +p6217 +tp6218 +a(g900 +g958 +tp6219 +a(g548 +V20 +p6220 +tp6221 +a(g900 +g958 +tp6222 +a(g548 +V20 +p6223 +tp6224 +a(g900 +g958 +tp6225 +a(g548 +V20 +p6226 +tp6227 +a(g900 +g958 +tp6228 +a(g548 +V20 +p6229 +tp6230 +a(g900 +g958 +tp6231 +a(g900 +g958 +tp6232 +a(g548 +V20 +p6233 +tp6234 +a(g900 +g958 +tp6235 +a(g548 +V20 +p6236 +tp6237 +a(g900 +g958 +tp6238 +a(g548 +V20 +p6239 +tp6240 +a(g900 +g958 +tp6241 +a(g548 +V20 +p6242 +tp6243 +a(g900 +g958 +tp6244 +a(g548 +V20 +p6245 +tp6246 +a(g900 +g958 +tp6247 +a(g900 +g958 +tp6248 +a(g548 +V20 +p6249 +tp6250 +a(g900 +g958 +tp6251 +a(g548 +V20 +p6252 +tp6253 +a(g900 +g958 +tp6254 +a(g548 +V22 +p6255 +tp6256 +a(g900 +g958 +tp6257 +a(g548 +V0A +p6258 +tp6259 +a(g900 +g958 +tp6260 +a(g548 +V20 +p6261 +tp6262 +a(g900 +g958 +tp6263 +a(g900 +g958 +tp6264 +a(g548 +V20 +p6265 +tp6266 +a(g900 +g958 +tp6267 +a(g548 +V20 +p6268 +tp6269 +a(g900 +g958 +tp6270 +a(g548 +V20 +p6271 +tp6272 +a(g900 +g958 +tp6273 +a(g548 +V22 +p6274 +tp6275 +a(g900 +g958 +tp6276 +a(g548 +V20 +p6277 +tp6278 +a(g900 +V +p6279 +tp6280 +a(g341 +V............".....". +p6281 +tp6282 +a(g900 +V\u000a +p6283 +tp6284 +a(g8 +V000005C8 +p6285 +tp6286 +a(g900 +g958 +tp6287 +a(g900 +g958 +tp6288 +a(g548 +V20 +p6289 +tp6290 +a(g900 +g958 +tp6291 +a(g548 +V20 +p6292 +tp6293 +a(g900 +g958 +tp6294 +a(g548 +V20 +p6295 +tp6296 +a(g900 +g958 +tp6297 +a(g548 +V20 +p6298 +tp6299 +a(g900 +g958 +tp6300 +a(g548 +V20 +p6301 +tp6302 +a(g900 +g958 +tp6303 +a(g900 +g958 +tp6304 +a(g548 +V20 +p6305 +tp6306 +a(g900 +g958 +tp6307 +a(g548 +V20 +p6308 +tp6309 +a(g900 +g958 +tp6310 +a(g548 +V20 +p6311 +tp6312 +a(g900 +g958 +tp6313 +a(g548 +V20 +p6314 +tp6315 +a(g900 +g958 +tp6316 +a(g548 +V20 +p6317 +tp6318 +a(g900 +g958 +tp6319 +a(g900 +g958 +tp6320 +a(g548 +V20 +p6321 +tp6322 +a(g900 +g958 +tp6323 +a(g548 +V20 +p6324 +tp6325 +a(g900 +g958 +tp6326 +a(g548 +V20 +p6327 +tp6328 +a(g900 +g958 +tp6329 +a(g548 +V20 +p6330 +tp6331 +a(g900 +g958 +tp6332 +a(g548 +V20 +p6333 +tp6334 +a(g900 +g958 +tp6335 +a(g900 +g958 +tp6336 +a(g548 +V20 +p6337 +tp6338 +a(g900 +g958 +tp6339 +a(g548 +V20 +p6340 +tp6341 +a(g900 +g958 +tp6342 +a(g548 +V20 +p6343 +tp6344 +a(g900 +g958 +tp6345 +a(g548 +V20 +p6346 +tp6347 +a(g900 +g958 +tp6348 +a(g548 +V20 +p6349 +tp6350 +a(g900 +V +p6351 +tp6352 +a(g341 +V.................... +p6353 +tp6354 +a(g900 +V\u000a +p6355 +tp6356 +a(g8 +V000005DC +p6357 +tp6358 +a(g900 +g958 +tp6359 +a(g900 +g958 +tp6360 +a(g548 +V20 +p6361 +tp6362 +a(g900 +g958 +tp6363 +a(g548 +V20 +p6364 +tp6365 +a(g900 +g958 +tp6366 +a(g548 +V20 +p6367 +tp6368 +a(g900 +g958 +tp6369 +a(g548 +V20 +p6370 +tp6371 +a(g900 +g958 +tp6372 +a(g548 +V20 +p6373 +tp6374 +a(g900 +g958 +tp6375 +a(g900 +g958 +tp6376 +a(g548 +V20 +p6377 +tp6378 +a(g900 +g958 +tp6379 +a(g548 +V20 +p6380 +tp6381 +a(g900 +g958 +tp6382 +a(g548 +V20 +p6383 +tp6384 +a(g900 +g958 +tp6385 +a(g548 +V20 +p6386 +tp6387 +a(g900 +g958 +tp6388 +a(g548 +V20 +p6389 +tp6390 +a(g900 +g958 +tp6391 +a(g900 +g958 +tp6392 +a(g548 +V20 +p6393 +tp6394 +a(g900 +g958 +tp6395 +a(g548 +V22 +p6396 +tp6397 +a(g900 +g958 +tp6398 +a(g548 +V20 +p6399 +tp6400 +a(g900 +g958 +tp6401 +a(g548 +V20 +p6402 +tp6403 +a(g900 +g958 +tp6404 +a(g548 +V20 +p6405 +tp6406 +a(g900 +g958 +tp6407 +a(g900 +g958 +tp6408 +a(g548 +V20 +p6409 +tp6410 +a(g900 +g958 +tp6411 +a(g548 +V0A +p6412 +tp6413 +a(g900 +g958 +tp6414 +a(g548 +V20 +p6415 +tp6416 +a(g900 +g958 +tp6417 +a(g548 +V20 +p6418 +tp6419 +a(g900 +g958 +tp6420 +a(g548 +V20 +p6421 +tp6422 +a(g900 +V +p6423 +tp6424 +a(g341 +V..........."........ +p6425 +tp6426 +a(g900 +V\u000a +p6427 +tp6428 +a(g8 +V000005F0 +p6429 +tp6430 +a(g900 +g958 +tp6431 +a(g900 +g958 +tp6432 +a(g548 +V20 +p6433 +tp6434 +a(g900 +g958 +tp6435 +a(g548 +V22 +p6436 +tp6437 +a(g900 +g958 +tp6438 +a(g548 +V20 +p6439 +tp6440 +a(g900 +g958 +tp6441 +a(g548 +V20 +p6442 +tp6443 +a(g900 +g958 +tp6444 +a(g548 +V20 +p6445 +tp6446 +a(g900 +g958 +tp6447 +a(g900 +g958 +tp6448 +a(g548 +V20 +p6449 +tp6450 +a(g900 +g958 +tp6451 +a(g548 +V20 +p6452 +tp6453 +a(g900 +g958 +tp6454 +a(g548 +V20 +p6455 +tp6456 +a(g900 +g958 +tp6457 +a(g548 +V20 +p6458 +tp6459 +a(g900 +g958 +tp6460 +a(g548 +V20 +p6461 +tp6462 +a(g900 +g958 +tp6463 +a(g900 +g958 +tp6464 +a(g548 +V20 +p6465 +tp6466 +a(g900 +g958 +tp6467 +a(g548 +V20 +p6468 +tp6469 +a(g900 +g958 +tp6470 +a(g548 +V20 +p6471 +tp6472 +a(g900 +g958 +tp6473 +a(g548 +V20 +p6474 +tp6475 +a(g900 +g958 +tp6476 +a(g548 +V20 +p6477 +tp6478 +a(g900 +g958 +tp6479 +a(g900 +g958 +tp6480 +a(g548 +V20 +p6481 +tp6482 +a(g900 +g958 +tp6483 +a(g548 +V20 +p6484 +tp6485 +a(g900 +g958 +tp6486 +a(g548 +V20 +p6487 +tp6488 +a(g900 +g958 +tp6489 +a(g548 +V20 +p6490 +tp6491 +a(g900 +g958 +tp6492 +a(g548 +V20 +p6493 +tp6494 +a(g900 +V +p6495 +tp6496 +a(g341 +V.".................. +p6497 +tp6498 +a(g900 +V\u000a +p6499 +tp6500 +a(g8 +V00000604 +p6501 +tp6502 +a(g900 +g958 +tp6503 +a(g900 +g958 +tp6504 +a(g548 +V20 +p6505 +tp6506 +a(g900 +g958 +tp6507 +a(g548 +V20 +p6508 +tp6509 +a(g900 +g958 +tp6510 +a(g548 +V20 +p6511 +tp6512 +a(g900 +g958 +tp6513 +a(g548 +V20 +p6514 +tp6515 +a(g900 +g958 +tp6516 +a(g548 +V20 +p6517 +tp6518 +a(g900 +g958 +tp6519 +a(g900 +g958 +tp6520 +a(g548 +V20 +p6521 +tp6522 +a(g900 +g958 +tp6523 +a(g548 +V20 +p6524 +tp6525 +a(g900 +g958 +tp6526 +a(g548 +V20 +p6527 +tp6528 +a(g900 +g958 +tp6529 +a(g548 +V20 +p6530 +tp6531 +a(g900 +g958 +tp6532 +a(g548 +V20 +p6533 +tp6534 +a(g900 +g958 +tp6535 +a(g900 +g958 +tp6536 +a(g548 +V20 +p6537 +tp6538 +a(g900 +g958 +tp6539 +a(g548 +V20 +p6540 +tp6541 +a(g900 +g958 +tp6542 +a(g548 +V20 +p6543 +tp6544 +a(g900 +g958 +tp6545 +a(g548 +V20 +p6546 +tp6547 +a(g900 +g958 +tp6548 +a(g548 +V22 +p6549 +tp6550 +a(g900 +g958 +tp6551 +a(g900 +g958 +tp6552 +a(g548 +V3B +p6553 +tp6554 +a(g900 +g958 +tp6555 +a(g548 +V0A +p6556 +tp6557 +a(g900 +g958 +tp6558 +a(g548 +V20 +p6559 +tp6560 +a(g900 +g958 +tp6561 +a(g548 +V20 +p6562 +tp6563 +a(g900 +g958 +tp6564 +a(g548 +V20 +p6565 +tp6566 +a(g900 +V +p6567 +tp6568 +a(g341 +V..............";.... +p6569 +tp6570 +a(g900 +V\u000a +p6571 +tp6572 +a(g8 +V00000618 +p6573 +tp6574 +a(g900 +g958 +tp6575 +a(g900 +g958 +tp6576 +a(g548 +V20 +p6577 +tp6578 +a(g900 +g958 +tp6579 +a(g548 +V73 +p6580 +tp6581 +a(g900 +g958 +tp6582 +a(g548 +V74 +p6583 +tp6584 +a(g900 +g958 +tp6585 +a(g548 +V72 +p6586 +tp6587 +a(g900 +g958 +tp6588 +a(g548 +V69 +p6589 +tp6590 +a(g900 +g958 +tp6591 +a(g900 +g958 +tp6592 +a(g548 +V6E +p6593 +tp6594 +a(g900 +g958 +tp6595 +a(g548 +V67 +p6596 +tp6597 +a(g900 +g958 +tp6598 +a(g548 +V20 +p6599 +tp6600 +a(g900 +g958 +tp6601 +a(g548 +V72 +p6602 +tp6603 +a(g900 +g958 +tp6604 +a(g548 +V65 +p6605 +tp6606 +a(g900 +g958 +tp6607 +a(g900 +g958 +tp6608 +a(g548 +V74 +p6609 +tp6610 +a(g900 +g958 +tp6611 +a(g548 +V3B +p6612 +tp6613 +a(g900 +g958 +tp6614 +a(g548 +V0A +p6615 +tp6616 +a(g900 +g958 +tp6617 +a(g548 +V20 +p6618 +tp6619 +a(g900 +g958 +tp6620 +a(g548 +V20 +p6621 +tp6622 +a(g900 +g958 +tp6623 +a(g900 +g958 +tp6624 +a(g548 +V20 +p6625 +tp6626 +a(g900 +g958 +tp6627 +a(g548 +V20 +p6628 +tp6629 +a(g900 +g958 +tp6630 +a(g548 +V66 +p6631 +tp6632 +a(g900 +g958 +tp6633 +a(g548 +V6F +p6634 +tp6635 +a(g900 +g958 +tp6636 +a(g548 +V72 +p6637 +tp6638 +a(g900 +V +p6639 +tp6640 +a(g341 +V.string.ret;.....for +p6641 +tp6642 +a(g900 +V\u000a +p6643 +tp6644 +a(g8 +V0000062C +p6645 +tp6646 +a(g900 +g958 +tp6647 +a(g900 +g958 +tp6648 +a(g548 +V65 +p6649 +tp6650 +a(g900 +g958 +tp6651 +a(g548 +V61 +p6652 +tp6653 +a(g900 +g958 +tp6654 +a(g548 +V63 +p6655 +tp6656 +a(g900 +g958 +tp6657 +a(g548 +V68 +p6658 +tp6659 +a(g900 +g958 +tp6660 +a(g548 +V28 +p6661 +tp6662 +a(g900 +g958 +tp6663 +a(g900 +g958 +tp6664 +a(g548 +V63 +p6665 +tp6666 +a(g900 +g958 +tp6667 +a(g548 +V3B +p6668 +tp6669 +a(g900 +g958 +tp6670 +a(g548 +V20 +p6671 +tp6672 +a(g900 +g958 +tp6673 +a(g548 +V63 +p6674 +tp6675 +a(g900 +g958 +tp6676 +a(g548 +V61 +p6677 +tp6678 +a(g900 +g958 +tp6679 +a(g900 +g958 +tp6680 +a(g548 +V73 +p6681 +tp6682 +a(g900 +g958 +tp6683 +a(g548 +V74 +p6684 +tp6685 +a(g900 +g958 +tp6686 +a(g548 +V28 +p6687 +tp6688 +a(g900 +g958 +tp6689 +a(g548 +V75 +p6690 +tp6691 +a(g900 +g958 +tp6692 +a(g548 +V62 +p6693 +tp6694 +a(g900 +g958 +tp6695 +a(g900 +g958 +tp6696 +a(g548 +V79 +p6697 +tp6698 +a(g900 +g958 +tp6699 +a(g548 +V74 +p6700 +tp6701 +a(g900 +g958 +tp6702 +a(g548 +V65 +p6703 +tp6704 +a(g900 +g958 +tp6705 +a(g548 +V5B +p6706 +tp6707 +a(g900 +g958 +tp6708 +a(g548 +V5D +p6709 +tp6710 +a(g900 +V +p6711 +tp6712 +a(g341 +Veach(c;.cast(ubyte[] +p6713 +tp6714 +a(g900 +V\u000a +p6715 +tp6716 +a(g8 +V00000640 +p6717 +tp6718 +a(g900 +g958 +tp6719 +a(g900 +g958 +tp6720 +a(g548 +V29 +p6721 +tp6722 +a(g900 +g958 +tp6723 +a(g548 +V77 +p6724 +tp6725 +a(g900 +g958 +tp6726 +a(g548 +V6F +p6727 +tp6728 +a(g900 +g958 +tp6729 +a(g548 +V72 +p6730 +tp6731 +a(g900 +g958 +tp6732 +a(g548 +V64 +p6733 +tp6734 +a(g900 +g958 +tp6735 +a(g900 +g958 +tp6736 +a(g548 +V29 +p6737 +tp6738 +a(g900 +g958 +tp6739 +a(g548 +V0A +p6740 +tp6741 +a(g900 +g958 +tp6742 +a(g548 +V20 +p6743 +tp6744 +a(g900 +g958 +tp6745 +a(g548 +V20 +p6746 +tp6747 +a(g900 +g958 +tp6748 +a(g548 +V20 +p6749 +tp6750 +a(g900 +g958 +tp6751 +a(g900 +g958 +tp6752 +a(g548 +V20 +p6753 +tp6754 +a(g900 +g958 +tp6755 +a(g548 +V20 +p6756 +tp6757 +a(g900 +g958 +tp6758 +a(g548 +V20 +p6759 +tp6760 +a(g900 +g958 +tp6761 +a(g548 +V20 +p6762 +tp6763 +a(g900 +g958 +tp6764 +a(g548 +V20 +p6765 +tp6766 +a(g900 +g958 +tp6767 +a(g900 +g958 +tp6768 +a(g548 +V69 +p6769 +tp6770 +a(g900 +g958 +tp6771 +a(g548 +V66 +p6772 +tp6773 +a(g900 +g958 +tp6774 +a(g548 +V20 +p6775 +tp6776 +a(g900 +g958 +tp6777 +a(g548 +V28 +p6778 +tp6779 +a(g900 +g958 +tp6780 +a(g548 +V54 +p6781 +tp6782 +a(g900 +V +p6783 +tp6784 +a(g341 +V)word).........if.(T +p6785 +tp6786 +a(g900 +V\u000a +p6787 +tp6788 +a(g8 +V00000654 +p6789 +tp6790 +a(g900 +g958 +tp6791 +a(g900 +g958 +tp6792 +a(g548 +V52 +p6793 +tp6794 +a(g900 +g958 +tp6795 +a(g548 +V41 +p6796 +tp6797 +a(g900 +g958 +tp6798 +a(g548 +V4E +p6799 +tp6800 +a(g900 +g958 +tp6801 +a(g548 +V53 +p6802 +tp6803 +a(g900 +g958 +tp6804 +a(g548 +V4C +p6805 +tp6806 +a(g900 +g958 +tp6807 +a(g900 +g958 +tp6808 +a(g548 +V41 +p6809 +tp6810 +a(g900 +g958 +tp6811 +a(g548 +V54 +p6812 +tp6813 +a(g900 +g958 +tp6814 +a(g548 +V45 +p6815 +tp6816 +a(g900 +g958 +tp6817 +a(g548 +V5B +p6818 +tp6819 +a(g900 +g958 +tp6820 +a(g548 +V63 +p6821 +tp6822 +a(g900 +g958 +tp6823 +a(g900 +g958 +tp6824 +a(g548 +V5D +p6825 +tp6826 +a(g900 +g958 +tp6827 +a(g548 +V20 +p6828 +tp6829 +a(g900 +g958 +tp6830 +a(g548 +V21 +p6831 +tp6832 +a(g900 +g958 +tp6833 +a(g548 +V3D +p6834 +tp6835 +a(g900 +g958 +tp6836 +a(g548 +V20 +p6837 +tp6838 +a(g900 +g958 +tp6839 +a(g900 +g958 +tp6840 +a(g548 +V27 +p6841 +tp6842 +a(g900 +g958 +tp6843 +a(g548 +V20 +p6844 +tp6845 +a(g900 +g958 +tp6846 +a(g548 +V27 +p6847 +tp6848 +a(g900 +g958 +tp6849 +a(g548 +V29 +p6850 +tp6851 +a(g900 +g958 +tp6852 +a(g548 +V0A +p6853 +tp6854 +a(g900 +V +p6855 +tp6856 +a(g341 +VRANSLATE[c].!=.'.'). +p6857 +tp6858 +a(g900 +V\u000a +p6859 +tp6860 +a(g8 +V00000668 +p6861 +tp6862 +a(g900 +g958 +tp6863 +a(g900 +g958 +tp6864 +a(g548 +V20 +p6865 +tp6866 +a(g900 +g958 +tp6867 +a(g548 +V20 +p6868 +tp6869 +a(g900 +g958 +tp6870 +a(g548 +V20 +p6871 +tp6872 +a(g900 +g958 +tp6873 +a(g548 +V20 +p6874 +tp6875 +a(g900 +g958 +tp6876 +a(g548 +V20 +p6877 +tp6878 +a(g900 +g958 +tp6879 +a(g900 +g958 +tp6880 +a(g548 +V20 +p6881 +tp6882 +a(g900 +g958 +tp6883 +a(g548 +V20 +p6884 +tp6885 +a(g900 +g958 +tp6886 +a(g548 +V20 +p6887 +tp6888 +a(g900 +g958 +tp6889 +a(g548 +V20 +p6890 +tp6891 +a(g900 +g958 +tp6892 +a(g548 +V20 +p6893 +tp6894 +a(g900 +g958 +tp6895 +a(g900 +g958 +tp6896 +a(g548 +V20 +p6897 +tp6898 +a(g900 +g958 +tp6899 +a(g548 +V20 +p6900 +tp6901 +a(g900 +g958 +tp6902 +a(g548 +V72 +p6903 +tp6904 +a(g900 +g958 +tp6905 +a(g548 +V65 +p6906 +tp6907 +a(g900 +g958 +tp6908 +a(g548 +V74 +p6909 +tp6910 +a(g900 +g958 +tp6911 +a(g900 +g958 +tp6912 +a(g548 +V20 +p6913 +tp6914 +a(g900 +g958 +tp6915 +a(g548 +V7E +p6916 +tp6917 +a(g900 +g958 +tp6918 +a(g548 +V3D +p6919 +tp6920 +a(g900 +g958 +tp6921 +a(g548 +V20 +p6922 +tp6923 +a(g900 +g958 +tp6924 +a(g548 +V54 +p6925 +tp6926 +a(g900 +V +p6927 +tp6928 +a(g341 +V............ret.~=.T +p6929 +tp6930 +a(g900 +V\u000a +p6931 +tp6932 +a(g8 +V0000067C +p6933 +tp6934 +a(g900 +g958 +tp6935 +a(g900 +g958 +tp6936 +a(g548 +V52 +p6937 +tp6938 +a(g900 +g958 +tp6939 +a(g548 +V41 +p6940 +tp6941 +a(g900 +g958 +tp6942 +a(g548 +V4E +p6943 +tp6944 +a(g900 +g958 +tp6945 +a(g548 +V53 +p6946 +tp6947 +a(g900 +g958 +tp6948 +a(g548 +V4C +p6949 +tp6950 +a(g900 +g958 +tp6951 +a(g900 +g958 +tp6952 +a(g548 +V41 +p6953 +tp6954 +a(g900 +g958 +tp6955 +a(g548 +V54 +p6956 +tp6957 +a(g900 +g958 +tp6958 +a(g548 +V45 +p6959 +tp6960 +a(g900 +g958 +tp6961 +a(g548 +V5B +p6962 +tp6963 +a(g900 +g958 +tp6964 +a(g548 +V63 +p6965 +tp6966 +a(g900 +g958 +tp6967 +a(g900 +g958 +tp6968 +a(g548 +V5D +p6969 +tp6970 +a(g900 +g958 +tp6971 +a(g548 +V3B +p6972 +tp6973 +a(g900 +g958 +tp6974 +a(g548 +V0A +p6975 +tp6976 +a(g900 +g958 +tp6977 +a(g548 +V20 +p6978 +tp6979 +a(g900 +g958 +tp6980 +a(g548 +V20 +p6981 +tp6982 +a(g900 +g958 +tp6983 +a(g900 +g958 +tp6984 +a(g548 +V20 +p6985 +tp6986 +a(g900 +g958 +tp6987 +a(g548 +V20 +p6988 +tp6989 +a(g900 +g958 +tp6990 +a(g548 +V72 +p6991 +tp6992 +a(g900 +g958 +tp6993 +a(g548 +V65 +p6994 +tp6995 +a(g900 +g958 +tp6996 +a(g548 +V74 +p6997 +tp6998 +a(g900 +V +p6999 +tp7000 +a(g341 +VRANSLATE[c];.....ret +p7001 +tp7002 +a(g900 +V\u000a +p7003 +tp7004 +a(g8 +V00000690 +p7005 +tp7006 +a(g900 +g958 +tp7007 +a(g900 +g958 +tp7008 +a(g548 +V75 +p7009 +tp7010 +a(g900 +g958 +tp7011 +a(g548 +V72 +p7012 +tp7013 +a(g900 +g958 +tp7014 +a(g548 +V6E +p7015 +tp7016 +a(g900 +g958 +tp7017 +a(g548 +V20 +p7018 +tp7019 +a(g900 +g958 +tp7020 +a(g548 +V72 +p7021 +tp7022 +a(g900 +g958 +tp7023 +a(g900 +g958 +tp7024 +a(g548 +V65 +p7025 +tp7026 +a(g900 +g958 +tp7027 +a(g548 +V74 +p7028 +tp7029 +a(g900 +g958 +tp7030 +a(g548 +V3B +p7031 +tp7032 +a(g900 +g958 +tp7033 +a(g548 +V0A +p7034 +tp7035 +a(g900 +g958 +tp7036 +a(g548 +V7D +p7037 +tp7038 +a(g900 +g958 +tp7039 +a(g900 +g958 +tp7040 +a(g548 +V0A +p7041 +tp7042 +a(g900 +g958 +tp7043 +a(g548 +V0A +p7044 +tp7045 +a(g900 +g958 +tp7046 +a(g548 +V75 +p7047 +tp7048 +a(g900 +g958 +tp7049 +a(g548 +V6E +p7050 +tp7051 +a(g900 +g958 +tp7052 +a(g548 +V69 +p7053 +tp7054 +a(g900 +g958 +tp7055 +a(g900 +g958 +tp7056 +a(g548 +V74 +p7057 +tp7058 +a(g900 +g958 +tp7059 +a(g548 +V74 +p7060 +tp7061 +a(g900 +g958 +tp7062 +a(g548 +V65 +p7063 +tp7064 +a(g900 +g958 +tp7065 +a(g548 +V73 +p7066 +tp7067 +a(g900 +g958 +tp7068 +a(g548 +V74 +p7069 +tp7070 +a(g900 +V +p7071 +tp7072 +a(g341 +Vurn.ret;.}..unittest +p7073 +tp7074 +a(g900 +V\u000a +p7075 +tp7076 +a(g8 +V000006A4 +p7077 +tp7078 +a(g900 +g958 +tp7079 +a(g900 +g958 +tp7080 +a(g548 +V20 +p7081 +tp7082 +a(g900 +g958 +tp7083 +a(g548 +V7B +p7084 +tp7085 +a(g900 +g958 +tp7086 +a(g548 +V0A +p7087 +tp7088 +a(g900 +g958 +tp7089 +a(g548 +V20 +p7090 +tp7091 +a(g900 +g958 +tp7092 +a(g548 +V2F +p7093 +tp7094 +a(g900 +g958 +tp7095 +a(g900 +g958 +tp7096 +a(g548 +V2F +p7097 +tp7098 +a(g900 +g958 +tp7099 +a(g548 +V20 +p7100 +tp7101 +a(g900 +g958 +tp7102 +a(g548 +V54 +p7103 +tp7104 +a(g900 +g958 +tp7105 +a(g548 +V65 +p7106 +tp7107 +a(g900 +g958 +tp7108 +a(g548 +V73 +p7109 +tp7110 +a(g900 +g958 +tp7111 +a(g900 +g958 +tp7112 +a(g548 +V74 +p7113 +tp7114 +a(g900 +g958 +tp7115 +a(g548 +V20 +p7116 +tp7117 +a(g900 +g958 +tp7118 +a(g548 +V77 +p7119 +tp7120 +a(g900 +g958 +tp7121 +a(g548 +V6F +p7122 +tp7123 +a(g900 +g958 +tp7124 +a(g548 +V72 +p7125 +tp7126 +a(g900 +g958 +tp7127 +a(g900 +g958 +tp7128 +a(g548 +V64 +p7129 +tp7130 +a(g900 +g958 +tp7131 +a(g548 +V54 +p7132 +tp7133 +a(g900 +g958 +tp7134 +a(g548 +V6F +p7135 +tp7136 +a(g900 +g958 +tp7137 +a(g548 +V4E +p7138 +tp7139 +a(g900 +g958 +tp7140 +a(g548 +V75 +p7141 +tp7142 +a(g900 +V +p7143 +tp7144 +a(g341 +V.{..//.Test.wordToNu +p7145 +tp7146 +a(g900 +V\u000a +p7147 +tp7148 +a(g8 +V000006B8 +p7149 +tp7150 +a(g900 +g958 +tp7151 +a(g900 +g958 +tp7152 +a(g548 +V6D +p7153 +tp7154 +a(g900 +g958 +tp7155 +a(g548 +V20 +p7156 +tp7157 +a(g900 +g958 +tp7158 +a(g548 +V75 +p7159 +tp7160 +a(g900 +g958 +tp7161 +a(g548 +V73 +p7162 +tp7163 +a(g900 +g958 +tp7164 +a(g548 +V69 +p7165 +tp7166 +a(g900 +g958 +tp7167 +a(g900 +g958 +tp7168 +a(g548 +V6E +p7169 +tp7170 +a(g900 +g958 +tp7171 +a(g548 +V67 +p7172 +tp7173 +a(g900 +g958 +tp7174 +a(g548 +V20 +p7175 +tp7176 +a(g900 +g958 +tp7177 +a(g548 +V74 +p7178 +tp7179 +a(g900 +g958 +tp7180 +a(g548 +V68 +p7181 +tp7182 +a(g900 +g958 +tp7183 +a(g900 +g958 +tp7184 +a(g548 +V65 +p7185 +tp7186 +a(g900 +g958 +tp7187 +a(g548 +V20 +p7188 +tp7189 +a(g900 +g958 +tp7190 +a(g548 +V74 +p7191 +tp7192 +a(g900 +g958 +tp7193 +a(g548 +V61 +p7194 +tp7195 +a(g900 +g958 +tp7196 +a(g548 +V62 +p7197 +tp7198 +a(g900 +g958 +tp7199 +a(g900 +g958 +tp7200 +a(g548 +V6C +p7201 +tp7202 +a(g900 +g958 +tp7203 +a(g548 +V65 +p7204 +tp7205 +a(g900 +g958 +tp7206 +a(g548 +V20 +p7207 +tp7208 +a(g900 +g958 +tp7209 +a(g548 +V66 +p7210 +tp7211 +a(g900 +g958 +tp7212 +a(g548 +V72 +p7213 +tp7214 +a(g900 +V +p7215 +tp7216 +a(g341 +Vm.using.the.table.fr +p7217 +tp7218 +a(g900 +V\u000a +p7219 +tp7220 +a(g8 +V000006CC +p7221 +tp7222 +a(g900 +g958 +tp7223 +a(g900 +g958 +tp7224 +a(g548 +V6F +p7225 +tp7226 +a(g900 +g958 +tp7227 +a(g548 +V6D +p7228 +tp7229 +a(g900 +g958 +tp7230 +a(g548 +V20 +p7231 +tp7232 +a(g900 +g958 +tp7233 +a(g548 +V74 +p7234 +tp7235 +a(g900 +g958 +tp7236 +a(g548 +V68 +p7237 +tp7238 +a(g900 +g958 +tp7239 +a(g900 +g958 +tp7240 +a(g548 +V65 +p7241 +tp7242 +a(g900 +g958 +tp7243 +a(g548 +V20 +p7244 +tp7245 +a(g900 +g958 +tp7246 +a(g548 +V74 +p7247 +tp7248 +a(g900 +g958 +tp7249 +a(g548 +V61 +p7250 +tp7251 +a(g900 +g958 +tp7252 +a(g548 +V73 +p7253 +tp7254 +a(g900 +g958 +tp7255 +a(g900 +g958 +tp7256 +a(g548 +V6B +p7257 +tp7258 +a(g900 +g958 +tp7259 +a(g548 +V20 +p7260 +tp7261 +a(g900 +g958 +tp7262 +a(g548 +V64 +p7263 +tp7264 +a(g900 +g958 +tp7265 +a(g548 +V65 +p7266 +tp7267 +a(g900 +g958 +tp7268 +a(g548 +V73 +p7269 +tp7270 +a(g900 +g958 +tp7271 +a(g900 +g958 +tp7272 +a(g548 +V63 +p7273 +tp7274 +a(g900 +g958 +tp7275 +a(g548 +V72 +p7276 +tp7277 +a(g900 +g958 +tp7278 +a(g548 +V69 +p7279 +tp7280 +a(g900 +g958 +tp7281 +a(g548 +V70 +p7282 +tp7283 +a(g900 +g958 +tp7284 +a(g548 +V74 +p7285 +tp7286 +a(g900 +V +p7287 +tp7288 +a(g341 +Vom.the.task.descript +p7289 +tp7290 +a(g900 +V\u000a +p7291 +tp7292 +a(g8 +V000006E0 +p7293 +tp7294 +a(g900 +g958 +tp7295 +a(g900 +g958 +tp7296 +a(g548 +V69 +p7297 +tp7298 +a(g900 +g958 +tp7299 +a(g548 +V6F +p7300 +tp7301 +a(g900 +g958 +tp7302 +a(g548 +V6E +p7303 +tp7304 +a(g900 +g958 +tp7305 +a(g548 +V2E +p7306 +tp7307 +a(g900 +g958 +tp7308 +a(g548 +V0A +p7309 +tp7310 +a(g900 +g958 +tp7311 +a(g900 +g958 +tp7312 +a(g548 +V20 +p7313 +tp7314 +a(g900 +g958 +tp7315 +a(g548 +V61 +p7316 +tp7317 +a(g900 +g958 +tp7318 +a(g548 +V73 +p7319 +tp7320 +a(g900 +g958 +tp7321 +a(g548 +V73 +p7322 +tp7323 +a(g900 +g958 +tp7324 +a(g548 +V65 +p7325 +tp7326 +a(g900 +g958 +tp7327 +a(g900 +g958 +tp7328 +a(g548 +V72 +p7329 +tp7330 +a(g900 +g958 +tp7331 +a(g548 +V74 +p7332 +tp7333 +a(g900 +g958 +tp7334 +a(g548 +V28 +p7335 +tp7336 +a(g900 +g958 +tp7337 +a(g548 +V20 +p7338 +tp7339 +a(g900 +g958 +tp7340 +a(g548 +V22 +p7341 +tp7342 +a(g900 +g958 +tp7343 +a(g900 +g958 +tp7344 +a(g548 +V30 +p7345 +tp7346 +a(g900 +g958 +tp7347 +a(g548 +V31 +p7348 +tp7349 +a(g900 +g958 +tp7350 +a(g548 +V31 +p7351 +tp7352 +a(g900 +g958 +tp7353 +a(g548 +V31 +p7354 +tp7355 +a(g900 +g958 +tp7356 +a(g548 +V32 +p7357 +tp7358 +a(g900 +V +p7359 +tp7360 +a(g341 +Vion...assert(."01112 +p7361 +tp7362 +a(g900 +V\u000a +p7363 +tp7364 +a(g8 +V000006F4 +p7365 +tp7366 +a(g900 +g958 +tp7367 +a(g900 +g958 +tp7368 +a(g548 +V32 +p7369 +tp7370 +a(g900 +g958 +tp7371 +a(g548 +V32 +p7372 +tp7373 +a(g900 +g958 +tp7374 +a(g548 +V33 +p7375 +tp7376 +a(g900 +g958 +tp7377 +a(g548 +V33 +p7378 +tp7379 +a(g900 +g958 +tp7380 +a(g548 +V33 +p7381 +tp7382 +a(g900 +g958 +tp7383 +a(g900 +g958 +tp7384 +a(g548 +V34 +p7385 +tp7386 +a(g900 +g958 +tp7387 +a(g548 +V34 +p7388 +tp7389 +a(g900 +g958 +tp7390 +a(g548 +V35 +p7391 +tp7392 +a(g900 +g958 +tp7393 +a(g548 +V35 +p7394 +tp7395 +a(g900 +g958 +tp7396 +a(g548 +V36 +p7397 +tp7398 +a(g900 +g958 +tp7399 +a(g900 +g958 +tp7400 +a(g548 +V36 +p7401 +tp7402 +a(g900 +g958 +tp7403 +a(g548 +V36 +p7404 +tp7405 +a(g900 +g958 +tp7406 +a(g548 +V37 +p7407 +tp7408 +a(g900 +g958 +tp7409 +a(g548 +V37 +p7410 +tp7411 +a(g900 +g958 +tp7412 +a(g548 +V37 +p7413 +tp7414 +a(g900 +g958 +tp7415 +a(g900 +g958 +tp7416 +a(g548 +V38 +p7417 +tp7418 +a(g900 +g958 +tp7419 +a(g548 +V38 +p7420 +tp7421 +a(g900 +g958 +tp7422 +a(g548 +V38 +p7423 +tp7424 +a(g900 +g958 +tp7425 +a(g548 +V39 +p7426 +tp7427 +a(g900 +g958 +tp7428 +a(g548 +V39 +p7429 +tp7430 +a(g900 +V +p7431 +tp7432 +a(g341 +V22333445566677788899 +p7433 +tp7434 +a(g900 +V\u000a +p7435 +tp7436 +a(g8 +V00000708 +p7437 +tp7438 +a(g900 +g958 +tp7439 +a(g900 +g958 +tp7440 +a(g548 +V39 +p7441 +tp7442 +a(g900 +g958 +tp7443 +a(g548 +V22 +p7444 +tp7445 +a(g900 +g958 +tp7446 +a(g548 +V20 +p7447 +tp7448 +a(g900 +g958 +tp7449 +a(g548 +V3D +p7450 +tp7451 +a(g900 +g958 +tp7452 +a(g548 +V3D +p7453 +tp7454 +a(g900 +g958 +tp7455 +a(g900 +g958 +tp7456 +a(g548 +V0A +p7457 +tp7458 +a(g900 +g958 +tp7459 +a(g548 +V20 +p7460 +tp7461 +a(g900 +g958 +tp7462 +a(g548 +V20 +p7463 +tp7464 +a(g900 +g958 +tp7465 +a(g548 +V20 +p7466 +tp7467 +a(g900 +g958 +tp7468 +a(g548 +V77 +p7469 +tp7470 +a(g900 +g958 +tp7471 +a(g900 +g958 +tp7472 +a(g548 +V6F +p7473 +tp7474 +a(g900 +g958 +tp7475 +a(g548 +V72 +p7476 +tp7477 +a(g900 +g958 +tp7478 +a(g548 +V64 +p7479 +tp7480 +a(g900 +g958 +tp7481 +a(g548 +V54 +p7482 +tp7483 +a(g900 +g958 +tp7484 +a(g548 +V6F +p7485 +tp7486 +a(g900 +g958 +tp7487 +a(g900 +g958 +tp7488 +a(g548 +V4E +p7489 +tp7490 +a(g900 +g958 +tp7491 +a(g548 +V75 +p7492 +tp7493 +a(g900 +g958 +tp7494 +a(g548 +V6D +p7495 +tp7496 +a(g900 +g958 +tp7497 +a(g548 +V28 +p7498 +tp7499 +a(g900 +g958 +tp7500 +a(g548 +V22 +p7501 +tp7502 +a(g900 +V +p7503 +tp7504 +a(g341 +V9".==....wordToNum(" +p7505 +tp7506 +a(g900 +V\u000a +p7507 +tp7508 +a(g8 +V0000071C +p7509 +tp7510 +a(g900 +g958 +tp7511 +a(g900 +g958 +tp7512 +a(g548 +V45 +p7513 +tp7514 +a(g900 +g958 +tp7515 +a(g548 +V20 +p7516 +tp7517 +a(g900 +g958 +tp7518 +a(g548 +V7C +p7519 +tp7520 +a(g900 +g958 +tp7521 +a(g548 +V20 +p7522 +tp7523 +a(g900 +g958 +tp7524 +a(g548 +V4A +p7525 +tp7526 +a(g900 +g958 +tp7527 +a(g900 +g958 +tp7528 +a(g548 +V20 +p7529 +tp7530 +a(g900 +g958 +tp7531 +a(g548 +V4E +p7532 +tp7533 +a(g900 +g958 +tp7534 +a(g548 +V20 +p7535 +tp7536 +a(g900 +g958 +tp7537 +a(g548 +V51 +p7538 +tp7539 +a(g900 +g958 +tp7540 +a(g548 +V20 +p7541 +tp7542 +a(g900 +g958 +tp7543 +a(g900 +g958 +tp7544 +a(g548 +V7C +p7545 +tp7546 +a(g900 +g958 +tp7547 +a(g548 +V20 +p7548 +tp7549 +a(g900 +g958 +tp7550 +a(g548 +V52 +p7551 +tp7552 +a(g900 +g958 +tp7553 +a(g548 +V20 +p7554 +tp7555 +a(g900 +g958 +tp7556 +a(g548 +V57 +p7557 +tp7558 +a(g900 +g958 +tp7559 +a(g900 +g958 +tp7560 +a(g548 +V20 +p7561 +tp7562 +a(g900 +g958 +tp7563 +a(g548 +V58 +p7564 +tp7565 +a(g900 +g958 +tp7566 +a(g548 +V20 +p7567 +tp7568 +a(g900 +g958 +tp7569 +a(g548 +V7C +p7570 +tp7571 +a(g900 +g958 +tp7572 +a(g548 +V20 +p7573 +tp7574 +a(g900 +V +p7575 +tp7576 +a(g341 +VE.|.J.N.Q.|.R.W.X.|. +p7577 +tp7578 +a(g900 +V\u000a +p7579 +tp7580 +a(g8 +V00000730 +p7581 +tp7582 +a(g900 +g958 +tp7583 +a(g900 +g958 +tp7584 +a(g548 +V44 +p7585 +tp7586 +a(g900 +g958 +tp7587 +a(g548 +V20 +p7588 +tp7589 +a(g900 +g958 +tp7590 +a(g548 +V53 +p7591 +tp7592 +a(g900 +g958 +tp7593 +a(g548 +V20 +p7594 +tp7595 +a(g900 +g958 +tp7596 +a(g548 +V59 +p7597 +tp7598 +a(g900 +g958 +tp7599 +a(g900 +g958 +tp7600 +a(g548 +V20 +p7601 +tp7602 +a(g900 +g958 +tp7603 +a(g548 +V7C +p7604 +tp7605 +a(g900 +g958 +tp7606 +a(g548 +V20 +p7607 +tp7608 +a(g900 +g958 +tp7609 +a(g548 +V46 +p7610 +tp7611 +a(g900 +g958 +tp7612 +a(g548 +V20 +p7613 +tp7614 +a(g900 +g958 +tp7615 +a(g900 +g958 +tp7616 +a(g548 +V54 +p7617 +tp7618 +a(g900 +g958 +tp7619 +a(g548 +V20 +p7620 +tp7621 +a(g900 +g958 +tp7622 +a(g548 +V7C +p7623 +tp7624 +a(g900 +g958 +tp7625 +a(g548 +V20 +p7626 +tp7627 +a(g900 +g958 +tp7628 +a(g548 +V41 +p7629 +tp7630 +a(g900 +g958 +tp7631 +a(g900 +g958 +tp7632 +a(g548 +V20 +p7633 +tp7634 +a(g900 +g958 +tp7635 +a(g548 +V4D +p7636 +tp7637 +a(g900 +g958 +tp7638 +a(g548 +V20 +p7639 +tp7640 +a(g900 +g958 +tp7641 +a(g548 +V7C +p7642 +tp7643 +a(g900 +g958 +tp7644 +a(g548 +V20 +p7645 +tp7646 +a(g900 +V +p7647 +tp7648 +a(g341 +VD.S.Y.|.F.T.|.A.M.|. +p7649 +tp7650 +a(g900 +V\u000a +p7651 +tp7652 +a(g8 +V00000744 +p7653 +tp7654 +a(g900 +g958 +tp7655 +a(g900 +g958 +tp7656 +a(g548 +V43 +p7657 +tp7658 +a(g900 +g958 +tp7659 +a(g548 +V20 +p7660 +tp7661 +a(g900 +g958 +tp7662 +a(g548 +V49 +p7663 +tp7664 +a(g900 +g958 +tp7665 +a(g548 +V20 +p7666 +tp7667 +a(g900 +g958 +tp7668 +a(g548 +V56 +p7669 +tp7670 +a(g900 +g958 +tp7671 +a(g900 +g958 +tp7672 +a(g548 +V20 +p7673 +tp7674 +a(g900 +g958 +tp7675 +a(g548 +V7C +p7676 +tp7677 +a(g900 +g958 +tp7678 +a(g548 +V20 +p7679 +tp7680 +a(g900 +g958 +tp7681 +a(g548 +V42 +p7682 +tp7683 +a(g900 +g958 +tp7684 +a(g548 +V20 +p7685 +tp7686 +a(g900 +g958 +tp7687 +a(g900 +g958 +tp7688 +a(g548 +V4B +p7689 +tp7690 +a(g900 +g958 +tp7691 +a(g548 +V20 +p7692 +tp7693 +a(g900 +g958 +tp7694 +a(g548 +V55 +p7695 +tp7696 +a(g900 +g958 +tp7697 +a(g548 +V20 +p7698 +tp7699 +a(g900 +g958 +tp7700 +a(g548 +V7C +p7701 +tp7702 +a(g900 +g958 +tp7703 +a(g900 +g958 +tp7704 +a(g548 +V20 +p7705 +tp7706 +a(g900 +g958 +tp7707 +a(g548 +V4C +p7708 +tp7709 +a(g900 +g958 +tp7710 +a(g548 +V20 +p7711 +tp7712 +a(g900 +g958 +tp7713 +a(g548 +V4F +p7714 +tp7715 +a(g900 +g958 +tp7716 +a(g548 +V20 +p7717 +tp7718 +a(g900 +V +p7719 +tp7720 +a(g341 +VC.I.V.|.B.K.U.|.L.O. +p7721 +tp7722 +a(g900 +V\u000a +p7723 +tp7724 +a(g8 +V00000758 +p7725 +tp7726 +a(g900 +g958 +tp7727 +a(g900 +g958 +tp7728 +a(g548 +V50 +p7729 +tp7730 +a(g900 +g958 +tp7731 +a(g548 +V20 +p7732 +tp7733 +a(g900 +g958 +tp7734 +a(g548 +V7C +p7735 +tp7736 +a(g900 +g958 +tp7737 +a(g548 +V20 +p7738 +tp7739 +a(g900 +g958 +tp7740 +a(g548 +V47 +p7741 +tp7742 +a(g900 +g958 +tp7743 +a(g900 +g958 +tp7744 +a(g548 +V20 +p7745 +tp7746 +a(g900 +g958 +tp7747 +a(g548 +V48 +p7748 +tp7749 +a(g900 +g958 +tp7750 +a(g548 +V20 +p7751 +tp7752 +a(g900 +g958 +tp7753 +a(g548 +V5A +p7754 +tp7755 +a(g900 +g958 +tp7756 +a(g548 +V22 +p7757 +tp7758 +a(g900 +g958 +tp7759 +a(g900 +g958 +tp7760 +a(g548 +V29 +p7761 +tp7762 +a(g900 +g958 +tp7763 +a(g548 +V29 +p7764 +tp7765 +a(g900 +g958 +tp7766 +a(g548 +V3B +p7767 +tp7768 +a(g900 +g958 +tp7769 +a(g548 +V0A +p7770 +tp7771 +a(g900 +g958 +tp7772 +a(g548 +V20 +p7773 +tp7774 +a(g900 +g958 +tp7775 +a(g900 +g958 +tp7776 +a(g548 +V61 +p7777 +tp7778 +a(g900 +g958 +tp7779 +a(g548 +V73 +p7780 +tp7781 +a(g900 +g958 +tp7782 +a(g548 +V73 +p7783 +tp7784 +a(g900 +g958 +tp7785 +a(g548 +V65 +p7786 +tp7787 +a(g900 +g958 +tp7788 +a(g548 +V72 +p7789 +tp7790 +a(g900 +V +p7791 +tp7792 +a(g341 +VP.|.G.H.Z"));..asser +p7793 +tp7794 +a(g900 +V\u000a +p7795 +tp7796 +a(g8 +V0000076C +p7797 +tp7798 +a(g900 +g958 +tp7799 +a(g900 +g958 +tp7800 +a(g548 +V74 +p7801 +tp7802 +a(g900 +g958 +tp7803 +a(g548 +V28 +p7804 +tp7805 +a(g900 +g958 +tp7806 +a(g548 +V20 +p7807 +tp7808 +a(g900 +g958 +tp7809 +a(g548 +V22 +p7810 +tp7811 +a(g900 +g958 +tp7812 +a(g548 +V30 +p7813 +tp7814 +a(g900 +g958 +tp7815 +a(g900 +g958 +tp7816 +a(g548 +V31 +p7817 +tp7818 +a(g900 +g958 +tp7819 +a(g548 +V31 +p7820 +tp7821 +a(g900 +g958 +tp7822 +a(g548 +V31 +p7823 +tp7824 +a(g900 +g958 +tp7825 +a(g548 +V32 +p7826 +tp7827 +a(g900 +g958 +tp7828 +a(g548 +V32 +p7829 +tp7830 +a(g900 +g958 +tp7831 +a(g900 +g958 +tp7832 +a(g548 +V32 +p7833 +tp7834 +a(g900 +g958 +tp7835 +a(g548 +V33 +p7836 +tp7837 +a(g900 +g958 +tp7838 +a(g548 +V33 +p7839 +tp7840 +a(g900 +g958 +tp7841 +a(g548 +V33 +p7842 +tp7843 +a(g900 +g958 +tp7844 +a(g548 +V34 +p7845 +tp7846 +a(g900 +g958 +tp7847 +a(g900 +g958 +tp7848 +a(g548 +V34 +p7849 +tp7850 +a(g900 +g958 +tp7851 +a(g548 +V35 +p7852 +tp7853 +a(g900 +g958 +tp7854 +a(g548 +V35 +p7855 +tp7856 +a(g900 +g958 +tp7857 +a(g548 +V36 +p7858 +tp7859 +a(g900 +g958 +tp7860 +a(g548 +V36 +p7861 +tp7862 +a(g900 +V +p7863 +tp7864 +a(g341 +Vt(."0111222333445566 +p7865 +tp7866 +a(g900 +V\u000a +p7867 +tp7868 +a(g8 +V00000780 +p7869 +tp7870 +a(g900 +g958 +tp7871 +a(g900 +g958 +tp7872 +a(g548 +V36 +p7873 +tp7874 +a(g900 +g958 +tp7875 +a(g548 +V37 +p7876 +tp7877 +a(g900 +g958 +tp7878 +a(g548 +V37 +p7879 +tp7880 +a(g900 +g958 +tp7881 +a(g548 +V37 +p7882 +tp7883 +a(g900 +g958 +tp7884 +a(g548 +V38 +p7885 +tp7886 +a(g900 +g958 +tp7887 +a(g900 +g958 +tp7888 +a(g548 +V38 +p7889 +tp7890 +a(g900 +g958 +tp7891 +a(g548 +V38 +p7892 +tp7893 +a(g900 +g958 +tp7894 +a(g548 +V39 +p7895 +tp7896 +a(g900 +g958 +tp7897 +a(g548 +V39 +p7898 +tp7899 +a(g900 +g958 +tp7900 +a(g548 +V39 +p7901 +tp7902 +a(g900 +g958 +tp7903 +a(g900 +g958 +tp7904 +a(g548 +V22 +p7905 +tp7906 +a(g900 +g958 +tp7907 +a(g548 +V20 +p7908 +tp7909 +a(g900 +g958 +tp7910 +a(g548 +V3D +p7911 +tp7912 +a(g900 +g958 +tp7913 +a(g548 +V3D +p7914 +tp7915 +a(g900 +g958 +tp7916 +a(g548 +V20 +p7917 +tp7918 +a(g900 +g958 +tp7919 +a(g900 +g958 +tp7920 +a(g548 +V0A +p7921 +tp7922 +a(g900 +g958 +tp7923 +a(g548 +V20 +p7924 +tp7925 +a(g900 +g958 +tp7926 +a(g548 +V20 +p7927 +tp7928 +a(g900 +g958 +tp7929 +a(g548 +V20 +p7930 +tp7931 +a(g900 +g958 +tp7932 +a(g548 +V77 +p7933 +tp7934 +a(g900 +V +p7935 +tp7936 +a(g341 +V6777888999".==.....w +p7937 +tp7938 +a(g900 +V\u000a +p7939 +tp7940 +a(g8 +V00000794 +p7941 +tp7942 +a(g900 +g958 +tp7943 +a(g900 +g958 +tp7944 +a(g548 +V6F +p7945 +tp7946 +a(g900 +g958 +tp7947 +a(g548 +V72 +p7948 +tp7949 +a(g900 +g958 +tp7950 +a(g548 +V64 +p7951 +tp7952 +a(g900 +g958 +tp7953 +a(g548 +V54 +p7954 +tp7955 +a(g900 +g958 +tp7956 +a(g548 +V6F +p7957 +tp7958 +a(g900 +g958 +tp7959 +a(g900 +g958 +tp7960 +a(g548 +V4E +p7961 +tp7962 +a(g900 +g958 +tp7963 +a(g548 +V75 +p7964 +tp7965 +a(g900 +g958 +tp7966 +a(g548 +V6D +p7967 +tp7968 +a(g900 +g958 +tp7969 +a(g548 +V28 +p7970 +tp7971 +a(g900 +g958 +tp7972 +a(g548 +V22 +p7973 +tp7974 +a(g900 +g958 +tp7975 +a(g900 +g958 +tp7976 +a(g548 +V65 +p7977 +tp7978 +a(g900 +g958 +tp7979 +a(g548 +V20 +p7980 +tp7981 +a(g900 +g958 +tp7982 +a(g548 +V7C +p7983 +tp7984 +a(g900 +g958 +tp7985 +a(g548 +V20 +p7986 +tp7987 +a(g900 +g958 +tp7988 +a(g548 +V6A +p7989 +tp7990 +a(g900 +g958 +tp7991 +a(g900 +g958 +tp7992 +a(g548 +V20 +p7993 +tp7994 +a(g900 +g958 +tp7995 +a(g548 +V6E +p7996 +tp7997 +a(g900 +g958 +tp7998 +a(g548 +V20 +p7999 +tp8000 +a(g900 +g958 +tp8001 +a(g548 +V71 +p8002 +tp8003 +a(g900 +g958 +tp8004 +a(g548 +V20 +p8005 +tp8006 +a(g900 +V +p8007 +tp8008 +a(g341 +VordToNum("e.|.j.n.q. +p8009 +tp8010 +a(g900 +V\u000a +p8011 +tp8012 +a(g8 +V000007A8 +p8013 +tp8014 +a(g900 +g958 +tp8015 +a(g900 +g958 +tp8016 +a(g548 +V7C +p8017 +tp8018 +a(g900 +g958 +tp8019 +a(g548 +V20 +p8020 +tp8021 +a(g900 +g958 +tp8022 +a(g548 +V72 +p8023 +tp8024 +a(g900 +g958 +tp8025 +a(g548 +V20 +p8026 +tp8027 +a(g900 +g958 +tp8028 +a(g548 +V77 +p8029 +tp8030 +a(g900 +g958 +tp8031 +a(g900 +g958 +tp8032 +a(g548 +V20 +p8033 +tp8034 +a(g900 +g958 +tp8035 +a(g548 +V78 +p8036 +tp8037 +a(g900 +g958 +tp8038 +a(g548 +V20 +p8039 +tp8040 +a(g900 +g958 +tp8041 +a(g548 +V7C +p8042 +tp8043 +a(g900 +g958 +tp8044 +a(g548 +V20 +p8045 +tp8046 +a(g900 +g958 +tp8047 +a(g900 +g958 +tp8048 +a(g548 +V64 +p8049 +tp8050 +a(g900 +g958 +tp8051 +a(g548 +V20 +p8052 +tp8053 +a(g900 +g958 +tp8054 +a(g548 +V73 +p8055 +tp8056 +a(g900 +g958 +tp8057 +a(g548 +V20 +p8058 +tp8059 +a(g900 +g958 +tp8060 +a(g548 +V79 +p8061 +tp8062 +a(g900 +g958 +tp8063 +a(g900 +g958 +tp8064 +a(g548 +V20 +p8065 +tp8066 +a(g900 +g958 +tp8067 +a(g548 +V7C +p8068 +tp8069 +a(g900 +g958 +tp8070 +a(g548 +V20 +p8071 +tp8072 +a(g900 +g958 +tp8073 +a(g548 +V66 +p8074 +tp8075 +a(g900 +g958 +tp8076 +a(g548 +V20 +p8077 +tp8078 +a(g900 +V +p8079 +tp8080 +a(g341 +V|.r.w.x.|.d.s.y.|.f. +p8081 +tp8082 +a(g900 +V\u000a +p8083 +tp8084 +a(g8 +V000007BC +p8085 +tp8086 +a(g900 +g958 +tp8087 +a(g900 +g958 +tp8088 +a(g548 +V74 +p8089 +tp8090 +a(g900 +g958 +tp8091 +a(g548 +V20 +p8092 +tp8093 +a(g900 +g958 +tp8094 +a(g548 +V7C +p8095 +tp8096 +a(g900 +g958 +tp8097 +a(g548 +V20 +p8098 +tp8099 +a(g900 +g958 +tp8100 +a(g548 +V61 +p8101 +tp8102 +a(g900 +g958 +tp8103 +a(g900 +g958 +tp8104 +a(g548 +V20 +p8105 +tp8106 +a(g900 +g958 +tp8107 +a(g548 +V6D +p8108 +tp8109 +a(g900 +g958 +tp8110 +a(g548 +V20 +p8111 +tp8112 +a(g900 +g958 +tp8113 +a(g548 +V7C +p8114 +tp8115 +a(g900 +g958 +tp8116 +a(g548 +V20 +p8117 +tp8118 +a(g900 +g958 +tp8119 +a(g900 +g958 +tp8120 +a(g548 +V63 +p8121 +tp8122 +a(g900 +g958 +tp8123 +a(g548 +V20 +p8124 +tp8125 +a(g900 +g958 +tp8126 +a(g548 +V69 +p8127 +tp8128 +a(g900 +g958 +tp8129 +a(g548 +V20 +p8130 +tp8131 +a(g900 +g958 +tp8132 +a(g548 +V76 +p8133 +tp8134 +a(g900 +g958 +tp8135 +a(g900 +g958 +tp8136 +a(g548 +V20 +p8137 +tp8138 +a(g900 +g958 +tp8139 +a(g548 +V7C +p8140 +tp8141 +a(g900 +g958 +tp8142 +a(g548 +V20 +p8143 +tp8144 +a(g900 +g958 +tp8145 +a(g548 +V62 +p8146 +tp8147 +a(g900 +g958 +tp8148 +a(g548 +V20 +p8149 +tp8150 +a(g900 +V +p8151 +tp8152 +a(g341 +Vt.|.a.m.|.c.i.v.|.b. +p8153 +tp8154 +a(g900 +V\u000a +p8155 +tp8156 +a(g8 +V000007D0 +p8157 +tp8158 +a(g900 +g958 +tp8159 +a(g900 +g958 +tp8160 +a(g548 +V6B +p8161 +tp8162 +a(g900 +g958 +tp8163 +a(g548 +V20 +p8164 +tp8165 +a(g900 +g958 +tp8166 +a(g548 +V75 +p8167 +tp8168 +a(g900 +g958 +tp8169 +a(g548 +V20 +p8170 +tp8171 +a(g900 +g958 +tp8172 +a(g548 +V7C +p8173 +tp8174 +a(g900 +g958 +tp8175 +a(g900 +g958 +tp8176 +a(g548 +V20 +p8177 +tp8178 +a(g900 +g958 +tp8179 +a(g548 +V6C +p8180 +tp8181 +a(g900 +g958 +tp8182 +a(g548 +V20 +p8183 +tp8184 +a(g900 +g958 +tp8185 +a(g548 +V6F +p8186 +tp8187 +a(g900 +g958 +tp8188 +a(g548 +V20 +p8189 +tp8190 +a(g900 +g958 +tp8191 +a(g900 +g958 +tp8192 +a(g548 +V70 +p8193 +tp8194 +a(g900 +g958 +tp8195 +a(g548 +V20 +p8196 +tp8197 +a(g900 +g958 +tp8198 +a(g548 +V7C +p8199 +tp8200 +a(g900 +g958 +tp8201 +a(g548 +V20 +p8202 +tp8203 +a(g900 +g958 +tp8204 +a(g548 +V67 +p8205 +tp8206 +a(g900 +g958 +tp8207 +a(g900 +g958 +tp8208 +a(g548 +V20 +p8209 +tp8210 +a(g900 +g958 +tp8211 +a(g548 +V68 +p8212 +tp8213 +a(g900 +g958 +tp8214 +a(g548 +V20 +p8215 +tp8216 +a(g900 +g958 +tp8217 +a(g548 +V7A +p8218 +tp8219 +a(g900 +g958 +tp8220 +a(g548 +V22 +p8221 +tp8222 +a(g900 +V +p8223 +tp8224 +a(g341 +Vk.u.|.l.o.p.|.g.h.z" +p8225 +tp8226 +a(g900 +V\u000a +p8227 +tp8228 +a(g8 +V000007E4 +p8229 +tp8230 +a(g900 +g958 +tp8231 +a(g900 +g958 +tp8232 +a(g548 +V29 +p8233 +tp8234 +a(g900 +g958 +tp8235 +a(g548 +V29 +p8236 +tp8237 +a(g900 +g958 +tp8238 +a(g548 +V3B +p8239 +tp8240 +a(g900 +g958 +tp8241 +a(g548 +V0A +p8242 +tp8243 +a(g900 +g958 +tp8244 +a(g548 +V20 +p8245 +tp8246 +a(g900 +g958 +tp8247 +a(g900 +g958 +tp8248 +a(g548 +V61 +p8249 +tp8250 +a(g900 +g958 +tp8251 +a(g548 +V73 +p8252 +tp8253 +a(g900 +g958 +tp8254 +a(g548 +V73 +p8255 +tp8256 +a(g900 +g958 +tp8257 +a(g548 +V65 +p8258 +tp8259 +a(g900 +g958 +tp8260 +a(g548 +V72 +p8261 +tp8262 +a(g900 +g958 +tp8263 +a(g900 +g958 +tp8264 +a(g548 +V74 +p8265 +tp8266 +a(g900 +g958 +tp8267 +a(g548 +V28 +p8268 +tp8269 +a(g900 +g958 +tp8270 +a(g548 +V20 +p8271 +tp8272 +a(g900 +g958 +tp8273 +a(g548 +V22 +p8274 +tp8275 +a(g900 +g958 +tp8276 +a(g548 +V30 +p8277 +tp8278 +a(g900 +g958 +tp8279 +a(g900 +g958 +tp8280 +a(g548 +V31 +p8281 +tp8282 +a(g900 +g958 +tp8283 +a(g548 +V32 +p8284 +tp8285 +a(g900 +g958 +tp8286 +a(g548 +V33 +p8287 +tp8288 +a(g900 +g958 +tp8289 +a(g548 +V34 +p8290 +tp8291 +a(g900 +g958 +tp8292 +a(g548 +V35 +p8293 +tp8294 +a(g900 +V +p8295 +tp8296 +a(g341 +V));..assert(."012345 +p8297 +tp8298 +a(g900 +V\u000a +p8299 +tp8300 +a(g8 +V000007F8 +p8301 +tp8302 +a(g900 +g958 +tp8303 +a(g900 +g958 +tp8304 +a(g548 +V36 +p8305 +tp8306 +a(g900 +g958 +tp8307 +a(g548 +V37 +p8308 +tp8309 +a(g900 +g958 +tp8310 +a(g548 +V38 +p8311 +tp8312 +a(g900 +g958 +tp8313 +a(g548 +V39 +p8314 +tp8315 +a(g900 +g958 +tp8316 +a(g548 +V22 +p8317 +tp8318 +a(g900 +g958 +tp8319 +a(g900 +g958 +tp8320 +a(g548 +V20 +p8321 +tp8322 +a(g900 +g958 +tp8323 +a(g548 +V3D +p8324 +tp8325 +a(g900 +g958 +tp8326 +a(g548 +V3D +p8327 +tp8328 +a(g900 +g958 +tp8329 +a(g548 +V20 +p8330 +tp8331 +a(g900 +g958 +tp8332 +a(g548 +V0A +p8333 +tp8334 +a(g900 +g958 +tp8335 +a(g900 +g958 +tp8336 +a(g548 +V20 +p8337 +tp8338 +a(g900 +g958 +tp8339 +a(g548 +V20 +p8340 +tp8341 +a(g900 +g958 +tp8342 +a(g548 +V20 +p8343 +tp8344 +a(g900 +g958 +tp8345 +a(g548 +V77 +p8346 +tp8347 +a(g900 +g958 +tp8348 +a(g548 +V6F +p8349 +tp8350 +a(g900 +g958 +tp8351 +a(g900 +g958 +tp8352 +a(g548 +V72 +p8353 +tp8354 +a(g900 +g958 +tp8355 +a(g548 +V64 +p8356 +tp8357 +a(g900 +g958 +tp8358 +a(g548 +V54 +p8359 +tp8360 +a(g900 +g958 +tp8361 +a(g548 +V6F +p8362 +tp8363 +a(g900 +g958 +tp8364 +a(g548 +V4E +p8365 +tp8366 +a(g900 +V +p8367 +tp8368 +a(g341 +V6789".==.....wordToN +p8369 +tp8370 +a(g900 +V\u000a +p8371 +tp8372 +a(g8 +V0000080C +p8373 +tp8374 +a(g900 +g958 +tp8375 +a(g900 +g958 +tp8376 +a(g548 +V75 +p8377 +tp8378 +a(g900 +g958 +tp8379 +a(g548 +V6D +p8380 +tp8381 +a(g900 +g958 +tp8382 +a(g548 +V28 +p8383 +tp8384 +a(g900 +g958 +tp8385 +a(g548 +V22 +p8386 +tp8387 +a(g900 +g958 +tp8388 +a(g548 +V30 +p8389 +tp8390 +a(g900 +g958 +tp8391 +a(g900 +g958 +tp8392 +a(g548 +V20 +p8393 +tp8394 +a(g900 +g958 +tp8395 +a(g548 +V7C +p8396 +tp8397 +a(g900 +g958 +tp8398 +a(g548 +V20 +p8399 +tp8400 +a(g900 +g958 +tp8401 +a(g548 +V20 +p8402 +tp8403 +a(g900 +g958 +tp8404 +a(g548 +V20 +p8405 +tp8406 +a(g900 +g958 +tp8407 +a(g900 +g958 +tp8408 +a(g548 +V31 +p8409 +tp8410 +a(g900 +g958 +tp8411 +a(g548 +V20 +p8412 +tp8413 +a(g900 +g958 +tp8414 +a(g548 +V20 +p8415 +tp8416 +a(g900 +g958 +tp8417 +a(g548 +V20 +p8418 +tp8419 +a(g900 +g958 +tp8420 +a(g548 +V7C +p8421 +tp8422 +a(g900 +g958 +tp8423 +a(g900 +g958 +tp8424 +a(g548 +V20 +p8425 +tp8426 +a(g900 +g958 +tp8427 +a(g548 +V20 +p8428 +tp8429 +a(g900 +g958 +tp8430 +a(g548 +V20 +p8431 +tp8432 +a(g900 +g958 +tp8433 +a(g548 +V32 +p8434 +tp8435 +a(g900 +g958 +tp8436 +a(g548 +V20 +p8437 +tp8438 +a(g900 +V +p8439 +tp8440 +a(g341 +Vum("0.|...1...|...2. +p8441 +tp8442 +a(g900 +V\u000a +p8443 +tp8444 +a(g8 +V00000820 +p8445 +tp8446 +a(g900 +g958 +tp8447 +a(g900 +g958 +tp8448 +a(g548 +V20 +p8449 +tp8450 +a(g900 +g958 +tp8451 +a(g548 +V20 +p8452 +tp8453 +a(g900 +g958 +tp8454 +a(g548 +V7C +p8455 +tp8456 +a(g900 +g958 +tp8457 +a(g548 +V20 +p8458 +tp8459 +a(g900 +g958 +tp8460 +a(g548 +V20 +p8461 +tp8462 +a(g900 +g958 +tp8463 +a(g900 +g958 +tp8464 +a(g548 +V20 +p8465 +tp8466 +a(g900 +g958 +tp8467 +a(g548 +V33 +p8468 +tp8469 +a(g900 +g958 +tp8470 +a(g548 +V20 +p8471 +tp8472 +a(g900 +g958 +tp8473 +a(g548 +V20 +p8474 +tp8475 +a(g900 +g958 +tp8476 +a(g548 +V20 +p8477 +tp8478 +a(g900 +g958 +tp8479 +a(g900 +g958 +tp8480 +a(g548 +V7C +p8481 +tp8482 +a(g900 +g958 +tp8483 +a(g548 +V20 +p8484 +tp8485 +a(g900 +g958 +tp8486 +a(g548 +V20 +p8487 +tp8488 +a(g900 +g958 +tp8489 +a(g548 +V34 +p8490 +tp8491 +a(g900 +g958 +tp8492 +a(g548 +V20 +p8493 +tp8494 +a(g900 +g958 +tp8495 +a(g900 +g958 +tp8496 +a(g548 +V20 +p8497 +tp8498 +a(g900 +g958 +tp8499 +a(g548 +V7C +p8500 +tp8501 +a(g900 +g958 +tp8502 +a(g548 +V20 +p8503 +tp8504 +a(g900 +g958 +tp8505 +a(g548 +V20 +p8506 +tp8507 +a(g900 +g958 +tp8508 +a(g548 +V35 +p8509 +tp8510 +a(g900 +V +p8511 +tp8512 +a(g341 +V..|...3...|..4..|..5 +p8513 +tp8514 +a(g900 +V\u000a +p8515 +tp8516 +a(g8 +V00000834 +p8517 +tp8518 +a(g900 +g958 +tp8519 +a(g900 +g958 +tp8520 +a(g548 +V20 +p8521 +tp8522 +a(g900 +g958 +tp8523 +a(g548 +V20 +p8524 +tp8525 +a(g900 +g958 +tp8526 +a(g548 +V7C +p8527 +tp8528 +a(g900 +g958 +tp8529 +a(g548 +V20 +p8530 +tp8531 +a(g900 +g958 +tp8532 +a(g548 +V20 +p8533 +tp8534 +a(g900 +g958 +tp8535 +a(g900 +g958 +tp8536 +a(g548 +V20 +p8537 +tp8538 +a(g900 +g958 +tp8539 +a(g548 +V36 +p8540 +tp8541 +a(g900 +g958 +tp8542 +a(g548 +V20 +p8543 +tp8544 +a(g900 +g958 +tp8545 +a(g548 +V20 +p8546 +tp8547 +a(g900 +g958 +tp8548 +a(g548 +V20 +p8549 +tp8550 +a(g900 +g958 +tp8551 +a(g900 +g958 +tp8552 +a(g548 +V7C +p8553 +tp8554 +a(g900 +g958 +tp8555 +a(g548 +V20 +p8556 +tp8557 +a(g900 +g958 +tp8558 +a(g548 +V20 +p8559 +tp8560 +a(g900 +g958 +tp8561 +a(g548 +V20 +p8562 +tp8563 +a(g900 +g958 +tp8564 +a(g548 +V37 +p8565 +tp8566 +a(g900 +g958 +tp8567 +a(g900 +g958 +tp8568 +a(g548 +V20 +p8569 +tp8570 +a(g900 +g958 +tp8571 +a(g548 +V20 +p8572 +tp8573 +a(g900 +g958 +tp8574 +a(g548 +V20 +p8575 +tp8576 +a(g900 +g958 +tp8577 +a(g548 +V7C +p8578 +tp8579 +a(g900 +g958 +tp8580 +a(g548 +V20 +p8581 +tp8582 +a(g900 +V +p8583 +tp8584 +a(g341 +V..|...6...|...7...|. +p8585 +tp8586 +a(g900 +V\u000a +p8587 +tp8588 +a(g8 +V00000848 +p8589 +tp8590 +a(g900 +g958 +tp8591 +a(g900 +g958 +tp8592 +a(g548 +V20 +p8593 +tp8594 +a(g900 +g958 +tp8595 +a(g548 +V20 +p8596 +tp8597 +a(g900 +g958 +tp8598 +a(g548 +V38 +p8599 +tp8600 +a(g900 +g958 +tp8601 +a(g548 +V20 +p8602 +tp8603 +a(g900 +g958 +tp8604 +a(g548 +V20 +p8605 +tp8606 +a(g900 +g958 +tp8607 +a(g900 +g958 +tp8608 +a(g548 +V20 +p8609 +tp8610 +a(g900 +g958 +tp8611 +a(g548 +V7C +p8612 +tp8613 +a(g900 +g958 +tp8614 +a(g548 +V20 +p8615 +tp8616 +a(g900 +g958 +tp8617 +a(g548 +V20 +p8618 +tp8619 +a(g900 +g958 +tp8620 +a(g548 +V20 +p8621 +tp8622 +a(g900 +g958 +tp8623 +a(g900 +g958 +tp8624 +a(g548 +V39 +p8625 +tp8626 +a(g900 +g958 +tp8627 +a(g548 +V22 +p8628 +tp8629 +a(g900 +g958 +tp8630 +a(g548 +V29 +p8631 +tp8632 +a(g900 +g958 +tp8633 +a(g548 +V29 +p8634 +tp8635 +a(g900 +g958 +tp8636 +a(g548 +V3B +p8637 +tp8638 +a(g900 +g958 +tp8639 +a(g900 +g958 +tp8640 +a(g548 +V0A +p8641 +tp8642 +a(g900 +g958 +tp8643 +a(g548 +V7D +p8644 +tp8645 +a(g900 +g958 +tp8646 +a(g548 +V0A +p8647 +tp8648 +a(g900 +g958 +tp8649 +a(g548 +V0A +p8650 +tp8651 +a(g900 +g958 +tp8652 +a(g548 +V76 +p8653 +tp8654 +a(g900 +V +p8655 +tp8656 +a(g341 +V..8...|...9"));.}..v +p8657 +tp8658 +a(g900 +V\u000a +p8659 +tp8660 +a(g8 +V0000085C +p8661 +tp8662 +a(g900 +g958 +tp8663 +a(g900 +g958 +tp8664 +a(g548 +V6F +p8665 +tp8666 +a(g900 +g958 +tp8667 +a(g548 +V69 +p8668 +tp8669 +a(g900 +g958 +tp8670 +a(g548 +V64 +p8671 +tp8672 +a(g900 +g958 +tp8673 +a(g548 +V20 +p8674 +tp8675 +a(g900 +g958 +tp8676 +a(g548 +V6D +p8677 +tp8678 +a(g900 +g958 +tp8679 +a(g900 +g958 +tp8680 +a(g548 +V61 +p8681 +tp8682 +a(g900 +g958 +tp8683 +a(g548 +V69 +p8684 +tp8685 +a(g900 +g958 +tp8686 +a(g548 +V6E +p8687 +tp8688 +a(g900 +g958 +tp8689 +a(g548 +V28 +p8690 +tp8691 +a(g900 +g958 +tp8692 +a(g548 +V20 +p8693 +tp8694 +a(g900 +g958 +tp8695 +a(g900 +g958 +tp8696 +a(g548 +V73 +p8697 +tp8698 +a(g900 +g958 +tp8699 +a(g548 +V74 +p8700 +tp8701 +a(g900 +g958 +tp8702 +a(g548 +V72 +p8703 +tp8704 +a(g900 +g958 +tp8705 +a(g548 +V69 +p8706 +tp8707 +a(g900 +g958 +tp8708 +a(g548 +V6E +p8709 +tp8710 +a(g900 +g958 +tp8711 +a(g900 +g958 +tp8712 +a(g548 +V67 +p8713 +tp8714 +a(g900 +g958 +tp8715 +a(g548 +V5B +p8716 +tp8717 +a(g900 +g958 +tp8718 +a(g548 +V5D +p8719 +tp8720 +a(g900 +g958 +tp8721 +a(g548 +V20 +p8722 +tp8723 +a(g900 +g958 +tp8724 +a(g548 +V61 +p8725 +tp8726 +a(g900 +V +p8727 +tp8728 +a(g341 +Void.main(.string[].a +p8729 +tp8730 +a(g900 +V\u000a +p8731 +tp8732 +a(g8 +V00000870 +p8733 +tp8734 +a(g900 +g958 +tp8735 +a(g900 +g958 +tp8736 +a(g548 +V72 +p8737 +tp8738 +a(g900 +g958 +tp8739 +a(g548 +V67 +p8740 +tp8741 +a(g900 +g958 +tp8742 +a(g548 +V73 +p8743 +tp8744 +a(g900 +g958 +tp8745 +a(g548 +V20 +p8746 +tp8747 +a(g900 +g958 +tp8748 +a(g548 +V29 +p8749 +tp8750 +a(g900 +g958 +tp8751 +a(g900 +g958 +tp8752 +a(g548 +V0A +p8753 +tp8754 +a(g900 +g958 +tp8755 +a(g548 +V7B +p8756 +tp8757 +a(g900 +g958 +tp8758 +a(g548 +V0A +p8759 +tp8760 +a(g900 +g958 +tp8761 +a(g548 +V20 +p8762 +tp8763 +a(g900 +g958 +tp8764 +a(g548 +V20 +p8765 +tp8766 +a(g900 +g958 +tp8767 +a(g900 +g958 +tp8768 +a(g548 +V20 +p8769 +tp8770 +a(g900 +g958 +tp8771 +a(g548 +V20 +p8772 +tp8773 +a(g900 +g958 +tp8774 +a(g548 +V2F +p8775 +tp8776 +a(g900 +g958 +tp8777 +a(g548 +V2F +p8778 +tp8779 +a(g900 +g958 +tp8780 +a(g548 +V20 +p8781 +tp8782 +a(g900 +g958 +tp8783 +a(g900 +g958 +tp8784 +a(g548 +V54 +p8785 +tp8786 +a(g900 +g958 +tp8787 +a(g548 +V68 +p8788 +tp8789 +a(g900 +g958 +tp8790 +a(g548 +V69 +p8791 +tp8792 +a(g900 +g958 +tp8793 +a(g548 +V73 +p8794 +tp8795 +a(g900 +g958 +tp8796 +a(g548 +V20 +p8797 +tp8798 +a(g900 +V +p8799 +tp8800 +a(g341 +Vrgs.).{.....//.This. +p8801 +tp8802 +a(g900 +V\u000a +p8803 +tp8804 +a(g8 +V00000884 +p8805 +tp8806 +a(g900 +g958 +tp8807 +a(g900 +g958 +tp8808 +a(g548 +V61 +p8809 +tp8810 +a(g900 +g958 +tp8811 +a(g548 +V73 +p8812 +tp8813 +a(g900 +g958 +tp8814 +a(g548 +V73 +p8815 +tp8816 +a(g900 +g958 +tp8817 +a(g548 +V6F +p8818 +tp8819 +a(g900 +g958 +tp8820 +a(g548 +V63 +p8821 +tp8822 +a(g900 +g958 +tp8823 +a(g900 +g958 +tp8824 +a(g548 +V69 +p8825 +tp8826 +a(g900 +g958 +tp8827 +a(g548 +V61 +p8828 +tp8829 +a(g900 +g958 +tp8830 +a(g548 +V74 +p8831 +tp8832 +a(g900 +g958 +tp8833 +a(g548 +V69 +p8834 +tp8835 +a(g900 +g958 +tp8836 +a(g548 +V76 +p8837 +tp8838 +a(g900 +g958 +tp8839 +a(g900 +g958 +tp8840 +a(g548 +V65 +p8841 +tp8842 +a(g900 +g958 +tp8843 +a(g548 +V20 +p8844 +tp8845 +a(g900 +g958 +tp8846 +a(g548 +V61 +p8847 +tp8848 +a(g900 +g958 +tp8849 +a(g548 +V72 +p8850 +tp8851 +a(g900 +g958 +tp8852 +a(g548 +V72 +p8853 +tp8854 +a(g900 +g958 +tp8855 +a(g900 +g958 +tp8856 +a(g548 +V61 +p8857 +tp8858 +a(g900 +g958 +tp8859 +a(g548 +V79 +p8860 +tp8861 +a(g900 +g958 +tp8862 +a(g548 +V20 +p8863 +tp8864 +a(g900 +g958 +tp8865 +a(g548 +V6D +p8866 +tp8867 +a(g900 +g958 +tp8868 +a(g548 +V61 +p8869 +tp8870 +a(g900 +V +p8871 +tp8872 +a(g341 +Vassociative.array.ma +p8873 +tp8874 +a(g900 +V\u000a +p8875 +tp8876 +a(g8 +V00000898 +p8877 +tp8878 +a(g900 +g958 +tp8879 +a(g900 +g958 +tp8880 +a(g548 +V70 +p8881 +tp8882 +a(g900 +g958 +tp8883 +a(g548 +V73 +p8884 +tp8885 +a(g900 +g958 +tp8886 +a(g548 +V20 +p8887 +tp8888 +a(g900 +g958 +tp8889 +a(g548 +V61 +p8890 +tp8891 +a(g900 +g958 +tp8892 +a(g548 +V20 +p8893 +tp8894 +a(g900 +g958 +tp8895 +a(g900 +g958 +tp8896 +a(g548 +V6E +p8897 +tp8898 +a(g900 +g958 +tp8899 +a(g548 +V75 +p8900 +tp8901 +a(g900 +g958 +tp8902 +a(g548 +V6D +p8903 +tp8904 +a(g900 +g958 +tp8905 +a(g548 +V62 +p8906 +tp8907 +a(g900 +g958 +tp8908 +a(g548 +V65 +p8909 +tp8910 +a(g900 +g958 +tp8911 +a(g900 +g958 +tp8912 +a(g548 +V72 +p8913 +tp8914 +a(g900 +g958 +tp8915 +a(g548 +V20 +p8916 +tp8917 +a(g900 +g958 +tp8918 +a(g548 +V74 +p8919 +tp8920 +a(g900 +g958 +tp8921 +a(g548 +V6F +p8922 +tp8923 +a(g900 +g958 +tp8924 +a(g548 +V20 +p8925 +tp8926 +a(g900 +g958 +tp8927 +a(g900 +g958 +tp8928 +a(g548 +V61 +p8929 +tp8930 +a(g900 +g958 +tp8931 +a(g548 +V6E +p8932 +tp8933 +a(g900 +g958 +tp8934 +a(g548 +V20 +p8935 +tp8936 +a(g900 +g958 +tp8937 +a(g548 +V61 +p8938 +tp8939 +a(g900 +g958 +tp8940 +a(g548 +V72 +p8941 +tp8942 +a(g900 +V +p8943 +tp8944 +a(g341 +Vps.a.number.to.an.ar +p8945 +tp8946 +a(g900 +V\u000a +p8947 +tp8948 +a(g8 +V000008AC +p8949 +tp8950 +a(g900 +g958 +tp8951 +a(g900 +g958 +tp8952 +a(g548 +V72 +p8953 +tp8954 +a(g900 +g958 +tp8955 +a(g548 +V61 +p8956 +tp8957 +a(g900 +g958 +tp8958 +a(g548 +V79 +p8959 +tp8960 +a(g900 +g958 +tp8961 +a(g548 +V20 +p8962 +tp8963 +a(g900 +g958 +tp8964 +a(g548 +V6F +p8965 +tp8966 +a(g900 +g958 +tp8967 +a(g900 +g958 +tp8968 +a(g548 +V66 +p8969 +tp8970 +a(g900 +g958 +tp8971 +a(g548 +V20 +p8972 +tp8973 +a(g900 +g958 +tp8974 +a(g548 +V77 +p8975 +tp8976 +a(g900 +g958 +tp8977 +a(g548 +V6F +p8978 +tp8979 +a(g900 +g958 +tp8980 +a(g548 +V72 +p8981 +tp8982 +a(g900 +g958 +tp8983 +a(g900 +g958 +tp8984 +a(g548 +V64 +p8985 +tp8986 +a(g900 +g958 +tp8987 +a(g548 +V73 +p8988 +tp8989 +a(g900 +g958 +tp8990 +a(g548 +V2E +p8991 +tp8992 +a(g900 +g958 +tp8993 +a(g548 +V20 +p8994 +tp8995 +a(g900 +g958 +tp8996 +a(g548 +V20 +p8997 +tp8998 +a(g900 +g958 +tp8999 +a(g900 +g958 +tp9000 +a(g548 +V20 +p9001 +tp9002 +a(g900 +g958 +tp9003 +a(g548 +V20 +p9004 +tp9005 +a(g900 +g958 +tp9006 +a(g548 +V0A +p9007 +tp9008 +a(g900 +g958 +tp9009 +a(g548 +V20 +p9010 +tp9011 +a(g900 +g958 +tp9012 +a(g548 +V20 +p9013 +tp9014 +a(g900 +V +p9015 +tp9016 +a(g341 +Vray.of.words........ +p9017 +tp9018 +a(g900 +V\u000a +p9019 +tp9020 +a(g8 +V000008C0 +p9021 +tp9022 +a(g900 +g958 +tp9023 +a(g900 +g958 +tp9024 +a(g548 +V20 +p9025 +tp9026 +a(g900 +g958 +tp9027 +a(g548 +V20 +p9028 +tp9029 +a(g900 +g958 +tp9030 +a(g548 +V73 +p9031 +tp9032 +a(g900 +g958 +tp9033 +a(g548 +V74 +p9034 +tp9035 +a(g900 +g958 +tp9036 +a(g548 +V72 +p9037 +tp9038 +a(g900 +g958 +tp9039 +a(g900 +g958 +tp9040 +a(g548 +V69 +p9041 +tp9042 +a(g900 +g958 +tp9043 +a(g548 +V6E +p9044 +tp9045 +a(g900 +g958 +tp9046 +a(g548 +V67 +p9047 +tp9048 +a(g900 +g958 +tp9049 +a(g548 +V61 +p9050 +tp9051 +a(g900 +g958 +tp9052 +a(g548 +V72 +p9053 +tp9054 +a(g900 +g958 +tp9055 +a(g900 +g958 +tp9056 +a(g548 +V72 +p9057 +tp9058 +a(g900 +g958 +tp9059 +a(g548 +V61 +p9060 +tp9061 +a(g900 +g958 +tp9062 +a(g548 +V79 +p9063 +tp9064 +a(g900 +g958 +tp9065 +a(g548 +V5B +p9066 +tp9067 +a(g900 +g958 +tp9068 +a(g548 +V73 +p9069 +tp9070 +a(g900 +g958 +tp9071 +a(g900 +g958 +tp9072 +a(g548 +V74 +p9073 +tp9074 +a(g900 +g958 +tp9075 +a(g548 +V72 +p9076 +tp9077 +a(g900 +g958 +tp9078 +a(g548 +V69 +p9079 +tp9080 +a(g900 +g958 +tp9081 +a(g548 +V6E +p9082 +tp9083 +a(g900 +g958 +tp9084 +a(g548 +V67 +p9085 +tp9086 +a(g900 +V +p9087 +tp9088 +a(g341 +V..stringarray[string +p9089 +tp9090 +a(g900 +V\u000a +p9091 +tp9092 +a(g8 +V000008D4 +p9093 +tp9094 +a(g900 +g958 +tp9095 +a(g900 +g958 +tp9096 +a(g548 +V5D +p9097 +tp9098 +a(g900 +g958 +tp9099 +a(g548 +V20 +p9100 +tp9101 +a(g900 +g958 +tp9102 +a(g548 +V20 +p9103 +tp9104 +a(g900 +g958 +tp9105 +a(g548 +V20 +p9106 +tp9107 +a(g900 +g958 +tp9108 +a(g548 +V20 +p9109 +tp9110 +a(g900 +g958 +tp9111 +a(g900 +g958 +tp9112 +a(g548 +V6E +p9113 +tp9114 +a(g900 +g958 +tp9115 +a(g548 +V75 +p9116 +tp9117 +a(g900 +g958 +tp9118 +a(g548 +V6D +p9119 +tp9120 +a(g900 +g958 +tp9121 +a(g548 +V32 +p9122 +tp9123 +a(g900 +g958 +tp9124 +a(g548 +V77 +p9125 +tp9126 +a(g900 +g958 +tp9127 +a(g900 +g958 +tp9128 +a(g548 +V6F +p9129 +tp9130 +a(g900 +g958 +tp9131 +a(g548 +V72 +p9132 +tp9133 +a(g900 +g958 +tp9134 +a(g548 +V64 +p9135 +tp9136 +a(g900 +g958 +tp9137 +a(g548 +V73 +p9138 +tp9139 +a(g900 +g958 +tp9140 +a(g548 +V3B +p9141 +tp9142 +a(g900 +g958 +tp9143 +a(g900 +g958 +tp9144 +a(g548 +V0A +p9145 +tp9146 +a(g900 +g958 +tp9147 +a(g548 +V0A +p9148 +tp9149 +a(g900 +g958 +tp9150 +a(g548 +V20 +p9151 +tp9152 +a(g900 +g958 +tp9153 +a(g548 +V20 +p9154 +tp9155 +a(g900 +g958 +tp9156 +a(g548 +V20 +p9157 +tp9158 +a(g900 +V +p9159 +tp9160 +a(g341 +V]....num2words;..... +p9161 +tp9162 +a(g900 +V\u000a +p9163 +tp9164 +a(g8 +V000008E8 +p9165 +tp9166 +a(g900 +g958 +tp9167 +a(g900 +g958 +tp9168 +a(g548 +V20 +p9169 +tp9170 +a(g900 +g958 +tp9171 +a(g548 +V66 +p9172 +tp9173 +a(g900 +g958 +tp9174 +a(g548 +V6F +p9175 +tp9176 +a(g900 +g958 +tp9177 +a(g548 +V72 +p9178 +tp9179 +a(g900 +g958 +tp9180 +a(g548 +V65 +p9181 +tp9182 +a(g900 +g958 +tp9183 +a(g900 +g958 +tp9184 +a(g548 +V61 +p9185 +tp9186 +a(g900 +g958 +tp9187 +a(g548 +V63 +p9188 +tp9189 +a(g900 +g958 +tp9190 +a(g548 +V68 +p9191 +tp9192 +a(g900 +g958 +tp9193 +a(g548 +V28 +p9194 +tp9195 +a(g900 +g958 +tp9196 +a(g548 +V73 +p9197 +tp9198 +a(g900 +g958 +tp9199 +a(g900 +g958 +tp9200 +a(g548 +V74 +p9201 +tp9202 +a(g900 +g958 +tp9203 +a(g548 +V72 +p9204 +tp9205 +a(g900 +g958 +tp9206 +a(g548 +V69 +p9207 +tp9208 +a(g900 +g958 +tp9209 +a(g548 +V6E +p9210 +tp9211 +a(g900 +g958 +tp9212 +a(g548 +V67 +p9213 +tp9214 +a(g900 +g958 +tp9215 +a(g900 +g958 +tp9216 +a(g548 +V20 +p9217 +tp9218 +a(g900 +g958 +tp9219 +a(g548 +V77 +p9220 +tp9221 +a(g900 +g958 +tp9222 +a(g548 +V6F +p9223 +tp9224 +a(g900 +g958 +tp9225 +a(g548 +V72 +p9226 +tp9227 +a(g900 +g958 +tp9228 +a(g548 +V64 +p9229 +tp9230 +a(g900 +V +p9231 +tp9232 +a(g341 +V.foreach(string.word +p9233 +tp9234 +a(g900 +V\u000a +p9235 +tp9236 +a(g8 +V000008FC +p9237 +tp9238 +a(g900 +g958 +tp9239 +a(g900 +g958 +tp9240 +a(g548 +V3B +p9241 +tp9242 +a(g900 +g958 +tp9243 +a(g548 +V20 +p9244 +tp9245 +a(g900 +g958 +tp9246 +a(g548 +V6E +p9247 +tp9248 +a(g900 +g958 +tp9249 +a(g548 +V65 +p9250 +tp9251 +a(g900 +g958 +tp9252 +a(g548 +V77 +p9253 +tp9254 +a(g900 +g958 +tp9255 +a(g900 +g958 +tp9256 +a(g548 +V20 +p9257 +tp9258 +a(g900 +g958 +tp9259 +a(g548 +V42 +p9260 +tp9261 +a(g900 +g958 +tp9262 +a(g548 +V75 +p9263 +tp9264 +a(g900 +g958 +tp9265 +a(g548 +V66 +p9266 +tp9267 +a(g900 +g958 +tp9268 +a(g548 +V66 +p9269 +tp9270 +a(g900 +g958 +tp9271 +a(g900 +g958 +tp9272 +a(g548 +V65 +p9273 +tp9274 +a(g900 +g958 +tp9275 +a(g548 +V72 +p9276 +tp9277 +a(g900 +g958 +tp9278 +a(g548 +V65 +p9279 +tp9280 +a(g900 +g958 +tp9281 +a(g548 +V64 +p9282 +tp9283 +a(g900 +g958 +tp9284 +a(g548 +V46 +p9285 +tp9286 +a(g900 +g958 +tp9287 +a(g900 +g958 +tp9288 +a(g548 +V69 +p9289 +tp9290 +a(g900 +g958 +tp9291 +a(g548 +V6C +p9292 +tp9293 +a(g900 +g958 +tp9294 +a(g548 +V65 +p9295 +tp9296 +a(g900 +g958 +tp9297 +a(g548 +V28 +p9298 +tp9299 +a(g900 +g958 +tp9300 +a(g548 +V22 +p9301 +tp9302 +a(g900 +V +p9303 +tp9304 +a(g341 +V;.new.BufferedFile(" +p9305 +tp9306 +a(g900 +V\u000a +p9307 +tp9308 +a(g8 +V00000910 +p9309 +tp9310 +a(g900 +g958 +tp9311 +a(g900 +g958 +tp9312 +a(g548 +V64 +p9313 +tp9314 +a(g900 +g958 +tp9315 +a(g548 +V69 +p9316 +tp9317 +a(g900 +g958 +tp9318 +a(g548 +V63 +p9319 +tp9320 +a(g900 +g958 +tp9321 +a(g548 +V74 +p9322 +tp9323 +a(g900 +g958 +tp9324 +a(g548 +V69 +p9325 +tp9326 +a(g900 +g958 +tp9327 +a(g900 +g958 +tp9328 +a(g548 +V6F +p9329 +tp9330 +a(g900 +g958 +tp9331 +a(g548 +V6E +p9332 +tp9333 +a(g900 +g958 +tp9334 +a(g548 +V61 +p9335 +tp9336 +a(g900 +g958 +tp9337 +a(g548 +V72 +p9338 +tp9339 +a(g900 +g958 +tp9340 +a(g548 +V79 +p9341 +tp9342 +a(g900 +g958 +tp9343 +a(g900 +g958 +tp9344 +a(g548 +V2E +p9345 +tp9346 +a(g900 +g958 +tp9347 +a(g548 +V74 +p9348 +tp9349 +a(g900 +g958 +tp9350 +a(g548 +V78 +p9351 +tp9352 +a(g900 +g958 +tp9353 +a(g548 +V74 +p9354 +tp9355 +a(g900 +g958 +tp9356 +a(g548 +V22 +p9357 +tp9358 +a(g900 +g958 +tp9359 +a(g900 +g958 +tp9360 +a(g548 +V20 +p9361 +tp9362 +a(g900 +g958 +tp9363 +a(g548 +V29 +p9364 +tp9365 +a(g900 +g958 +tp9366 +a(g548 +V20 +p9367 +tp9368 +a(g900 +g958 +tp9369 +a(g548 +V29 +p9370 +tp9371 +a(g900 +g958 +tp9372 +a(g548 +V0A +p9373 +tp9374 +a(g900 +V +p9375 +tp9376 +a(g341 +Vdictionary.txt".).). +p9377 +tp9378 +a(g900 +V\u000a +p9379 +tp9380 +a(g8 +V00000924 +p9381 +tp9382 +a(g900 +g958 +tp9383 +a(g900 +g958 +tp9384 +a(g548 +V20 +p9385 +tp9386 +a(g900 +g958 +tp9387 +a(g548 +V20 +p9388 +tp9389 +a(g900 +g958 +tp9390 +a(g548 +V20 +p9391 +tp9392 +a(g900 +g958 +tp9393 +a(g548 +V20 +p9394 +tp9395 +a(g900 +g958 +tp9396 +a(g548 +V20 +p9397 +tp9398 +a(g900 +g958 +tp9399 +a(g900 +g958 +tp9400 +a(g548 +V20 +p9401 +tp9402 +a(g900 +g958 +tp9403 +a(g548 +V20 +p9404 +tp9405 +a(g900 +g958 +tp9406 +a(g548 +V20 +p9407 +tp9408 +a(g900 +g958 +tp9409 +a(g548 +V6E +p9410 +tp9411 +a(g900 +g958 +tp9412 +a(g548 +V75 +p9413 +tp9414 +a(g900 +g958 +tp9415 +a(g900 +g958 +tp9416 +a(g548 +V6D +p9417 +tp9418 +a(g900 +g958 +tp9419 +a(g548 +V32 +p9420 +tp9421 +a(g900 +g958 +tp9422 +a(g548 +V77 +p9423 +tp9424 +a(g900 +g958 +tp9425 +a(g548 +V6F +p9426 +tp9427 +a(g900 +g958 +tp9428 +a(g548 +V72 +p9429 +tp9430 +a(g900 +g958 +tp9431 +a(g900 +g958 +tp9432 +a(g548 +V64 +p9433 +tp9434 +a(g900 +g958 +tp9435 +a(g548 +V73 +p9436 +tp9437 +a(g900 +g958 +tp9438 +a(g548 +V5B +p9439 +tp9440 +a(g900 +g958 +tp9441 +a(g548 +V20 +p9442 +tp9443 +a(g900 +g958 +tp9444 +a(g548 +V77 +p9445 +tp9446 +a(g900 +V +p9447 +tp9448 +a(g341 +V........num2words[.w +p9449 +tp9450 +a(g900 +V\u000a +p9451 +tp9452 +a(g8 +V00000938 +p9453 +tp9454 +a(g900 +g958 +tp9455 +a(g900 +g958 +tp9456 +a(g548 +V6F +p9457 +tp9458 +a(g900 +g958 +tp9459 +a(g548 +V72 +p9460 +tp9461 +a(g900 +g958 +tp9462 +a(g548 +V64 +p9463 +tp9464 +a(g900 +g958 +tp9465 +a(g548 +V54 +p9466 +tp9467 +a(g900 +g958 +tp9468 +a(g548 +V6F +p9469 +tp9470 +a(g900 +g958 +tp9471 +a(g900 +g958 +tp9472 +a(g548 +V4E +p9473 +tp9474 +a(g900 +g958 +tp9475 +a(g548 +V75 +p9476 +tp9477 +a(g900 +g958 +tp9478 +a(g548 +V6D +p9479 +tp9480 +a(g900 +g958 +tp9481 +a(g548 +V28 +p9482 +tp9483 +a(g900 +g958 +tp9484 +a(g548 +V77 +p9485 +tp9486 +a(g900 +g958 +tp9487 +a(g900 +g958 +tp9488 +a(g548 +V6F +p9489 +tp9490 +a(g900 +g958 +tp9491 +a(g548 +V72 +p9492 +tp9493 +a(g900 +g958 +tp9494 +a(g548 +V64 +p9495 +tp9496 +a(g900 +g958 +tp9497 +a(g548 +V29 +p9498 +tp9499 +a(g900 +g958 +tp9500 +a(g548 +V20 +p9501 +tp9502 +a(g900 +g958 +tp9503 +a(g900 +g958 +tp9504 +a(g548 +V5D +p9505 +tp9506 +a(g900 +g958 +tp9507 +a(g548 +V20 +p9508 +tp9509 +a(g900 +g958 +tp9510 +a(g548 +V7E +p9511 +tp9512 +a(g900 +g958 +tp9513 +a(g548 +V3D +p9514 +tp9515 +a(g900 +g958 +tp9516 +a(g548 +V20 +p9517 +tp9518 +a(g900 +V +p9519 +tp9520 +a(g341 +VordToNum(word).].~=. +p9521 +tp9522 +a(g900 +V\u000a +p9523 +tp9524 +a(g8 +V0000094C +p9525 +tp9526 +a(g900 +g958 +tp9527 +a(g900 +g958 +tp9528 +a(g548 +V77 +p9529 +tp9530 +a(g900 +g958 +tp9531 +a(g548 +V6F +p9532 +tp9533 +a(g900 +g958 +tp9534 +a(g548 +V72 +p9535 +tp9536 +a(g900 +g958 +tp9537 +a(g548 +V64 +p9538 +tp9539 +a(g900 +g958 +tp9540 +a(g548 +V2E +p9541 +tp9542 +a(g900 +g958 +tp9543 +a(g900 +g958 +tp9544 +a(g548 +V64 +p9545 +tp9546 +a(g900 +g958 +tp9547 +a(g548 +V75 +p9548 +tp9549 +a(g900 +g958 +tp9550 +a(g548 +V70 +p9551 +tp9552 +a(g900 +g958 +tp9553 +a(g548 +V3B +p9554 +tp9555 +a(g900 +g958 +tp9556 +a(g548 +V20 +p9557 +tp9558 +a(g900 +g958 +tp9559 +a(g900 +g958 +tp9560 +a(g548 +V20 +p9561 +tp9562 +a(g900 +g958 +tp9563 +a(g548 +V20 +p9564 +tp9565 +a(g900 +g958 +tp9566 +a(g548 +V20 +p9567 +tp9568 +a(g900 +g958 +tp9569 +a(g548 +V20 +p9570 +tp9571 +a(g900 +g958 +tp9572 +a(g548 +V20 +p9573 +tp9574 +a(g900 +g958 +tp9575 +a(g900 +g958 +tp9576 +a(g548 +V20 +p9577 +tp9578 +a(g900 +g958 +tp9579 +a(g548 +V20 +p9580 +tp9581 +a(g900 +g958 +tp9582 +a(g548 +V2F +p9583 +tp9584 +a(g900 +g958 +tp9585 +a(g548 +V2F +p9586 +tp9587 +a(g900 +g958 +tp9588 +a(g548 +V20 +p9589 +tp9590 +a(g900 +V +p9591 +tp9592 +a(g341 +Vword.dup;........//. +p9593 +tp9594 +a(g900 +V\u000a +p9595 +tp9596 +a(g8 +V00000960 +p9597 +tp9598 +a(g900 +g958 +tp9599 +a(g900 +g958 +tp9600 +a(g548 +V6D +p9601 +tp9602 +a(g900 +g958 +tp9603 +a(g548 +V75 +p9604 +tp9605 +a(g900 +g958 +tp9606 +a(g548 +V73 +p9607 +tp9608 +a(g900 +g958 +tp9609 +a(g548 +V74 +p9610 +tp9611 +a(g900 +g958 +tp9612 +a(g548 +V20 +p9613 +tp9614 +a(g900 +g958 +tp9615 +a(g900 +g958 +tp9616 +a(g548 +V64 +p9617 +tp9618 +a(g900 +g958 +tp9619 +a(g548 +V75 +p9620 +tp9621 +a(g900 +g958 +tp9622 +a(g548 +V70 +p9623 +tp9624 +a(g900 +g958 +tp9625 +a(g548 +V0A +p9626 +tp9627 +a(g900 +g958 +tp9628 +a(g548 +V0A +p9629 +tp9630 +a(g900 +g958 +tp9631 +a(g900 +g958 +tp9632 +a(g548 +V20 +p9633 +tp9634 +a(g900 +g958 +tp9635 +a(g548 +V20 +p9636 +tp9637 +a(g900 +g958 +tp9638 +a(g548 +V20 +p9639 +tp9640 +a(g900 +g958 +tp9641 +a(g548 +V20 +p9642 +tp9643 +a(g900 +g958 +tp9644 +a(g548 +V2F +p9645 +tp9646 +a(g900 +g958 +tp9647 +a(g900 +g958 +tp9648 +a(g548 +V2F +p9649 +tp9650 +a(g900 +g958 +tp9651 +a(g548 +V2F +p9652 +tp9653 +a(g900 +g958 +tp9654 +a(g548 +V20 +p9655 +tp9656 +a(g900 +g958 +tp9657 +a(g548 +V46 +p9658 +tp9659 +a(g900 +g958 +tp9660 +a(g548 +V69 +p9661 +tp9662 +a(g900 +V +p9663 +tp9664 +a(g341 +Vmust.dup......///.Fi +p9665 +tp9666 +a(g900 +V\u000a +p9667 +tp9668 +a(g8 +V00000974 +p9669 +tp9670 +a(g900 +g958 +tp9671 +a(g900 +g958 +tp9672 +a(g548 +V6E +p9673 +tp9674 +a(g900 +g958 +tp9675 +a(g548 +V64 +p9676 +tp9677 +a(g900 +g958 +tp9678 +a(g548 +V73 +p9679 +tp9680 +a(g900 +g958 +tp9681 +a(g548 +V20 +p9682 +tp9683 +a(g900 +g958 +tp9684 +a(g548 +V61 +p9685 +tp9686 +a(g900 +g958 +tp9687 +a(g900 +g958 +tp9688 +a(g548 +V6C +p9689 +tp9690 +a(g900 +g958 +tp9691 +a(g548 +V6C +p9692 +tp9693 +a(g900 +g958 +tp9694 +a(g548 +V20 +p9695 +tp9696 +a(g900 +g958 +tp9697 +a(g548 +V61 +p9698 +tp9699 +a(g900 +g958 +tp9700 +a(g548 +V6C +p9701 +tp9702 +a(g900 +g958 +tp9703 +a(g900 +g958 +tp9704 +a(g548 +V74 +p9705 +tp9706 +a(g900 +g958 +tp9707 +a(g548 +V65 +p9708 +tp9709 +a(g900 +g958 +tp9710 +a(g548 +V72 +p9711 +tp9712 +a(g900 +g958 +tp9713 +a(g548 +V6E +p9714 +tp9715 +a(g900 +g958 +tp9716 +a(g548 +V61 +p9717 +tp9718 +a(g900 +g958 +tp9719 +a(g900 +g958 +tp9720 +a(g548 +V74 +p9721 +tp9722 +a(g900 +g958 +tp9723 +a(g548 +V69 +p9724 +tp9725 +a(g900 +g958 +tp9726 +a(g548 +V76 +p9727 +tp9728 +a(g900 +g958 +tp9729 +a(g548 +V65 +p9730 +tp9731 +a(g900 +g958 +tp9732 +a(g548 +V73 +p9733 +tp9734 +a(g900 +V +p9735 +tp9736 +a(g341 +Vnds.all.alternatives +p9737 +tp9738 +a(g900 +V\u000a +p9739 +tp9740 +a(g8 +V00000988 +p9741 +tp9742 +a(g900 +g958 +tp9743 +a(g900 +g958 +tp9744 +a(g548 +V20 +p9745 +tp9746 +a(g900 +g958 +tp9747 +a(g548 +V66 +p9748 +tp9749 +a(g900 +g958 +tp9750 +a(g548 +V6F +p9751 +tp9752 +a(g900 +g958 +tp9753 +a(g548 +V72 +p9754 +tp9755 +a(g900 +g958 +tp9756 +a(g548 +V20 +p9757 +tp9758 +a(g900 +g958 +tp9759 +a(g900 +g958 +tp9760 +a(g548 +V74 +p9761 +tp9762 +a(g900 +g958 +tp9763 +a(g548 +V68 +p9764 +tp9765 +a(g900 +g958 +tp9766 +a(g548 +V65 +p9767 +tp9768 +a(g900 +g958 +tp9769 +a(g548 +V20 +p9770 +tp9771 +a(g900 +g958 +tp9772 +a(g548 +V67 +p9773 +tp9774 +a(g900 +g958 +tp9775 +a(g900 +g958 +tp9776 +a(g548 +V69 +p9777 +tp9778 +a(g900 +g958 +tp9779 +a(g548 +V76 +p9780 +tp9781 +a(g900 +g958 +tp9782 +a(g548 +V65 +p9783 +tp9784 +a(g900 +g958 +tp9785 +a(g548 +V6E +p9786 +tp9787 +a(g900 +g958 +tp9788 +a(g548 +V20 +p9789 +tp9790 +a(g900 +g958 +tp9791 +a(g900 +g958 +tp9792 +a(g548 +V6E +p9793 +tp9794 +a(g900 +g958 +tp9795 +a(g548 +V75 +p9796 +tp9797 +a(g900 +g958 +tp9798 +a(g548 +V6D +p9799 +tp9800 +a(g900 +g958 +tp9801 +a(g548 +V62 +p9802 +tp9803 +a(g900 +g958 +tp9804 +a(g548 +V65 +p9805 +tp9806 +a(g900 +V +p9807 +tp9808 +a(g341 +V.for.the.given.numbe +p9809 +tp9810 +a(g900 +V\u000a +p9811 +tp9812 +a(g8 +V0000099C +p9813 +tp9814 +a(g900 +g958 +tp9815 +a(g900 +g958 +tp9816 +a(g548 +V72 +p9817 +tp9818 +a(g900 +g958 +tp9819 +a(g548 +V0A +p9820 +tp9821 +a(g900 +g958 +tp9822 +a(g548 +V20 +p9823 +tp9824 +a(g900 +g958 +tp9825 +a(g548 +V20 +p9826 +tp9827 +a(g900 +g958 +tp9828 +a(g548 +V20 +p9829 +tp9830 +a(g900 +g958 +tp9831 +a(g900 +g958 +tp9832 +a(g548 +V20 +p9833 +tp9834 +a(g900 +g958 +tp9835 +a(g548 +V2F +p9836 +tp9837 +a(g900 +g958 +tp9838 +a(g548 +V2F +p9839 +tp9840 +a(g900 +g958 +tp9841 +a(g548 +V2F +p9842 +tp9843 +a(g900 +g958 +tp9844 +a(g548 +V20 +p9845 +tp9846 +a(g900 +g958 +tp9847 +a(g900 +g958 +tp9848 +a(g548 +V28 +p9849 +tp9850 +a(g900 +g958 +tp9851 +a(g548 +V73 +p9852 +tp9853 +a(g900 +g958 +tp9854 +a(g548 +V68 +p9855 +tp9856 +a(g900 +g958 +tp9857 +a(g548 +V6F +p9858 +tp9859 +a(g900 +g958 +tp9860 +a(g548 +V75 +p9861 +tp9862 +a(g900 +g958 +tp9863 +a(g900 +g958 +tp9864 +a(g548 +V6C +p9865 +tp9866 +a(g900 +g958 +tp9867 +a(g548 +V64 +p9868 +tp9869 +a(g900 +g958 +tp9870 +a(g548 +V20 +p9871 +tp9872 +a(g900 +g958 +tp9873 +a(g548 +V68 +p9874 +tp9875 +a(g900 +g958 +tp9876 +a(g548 +V61 +p9877 +tp9878 +a(g900 +V +p9879 +tp9880 +a(g341 +Vr.....///.(should.ha +p9881 +tp9882 +a(g900 +V\u000a +p9883 +tp9884 +a(g8 +V000009B0 +p9885 +tp9886 +a(g900 +g958 +tp9887 +a(g900 +g958 +tp9888 +a(g548 +V76 +p9889 +tp9890 +a(g900 +g958 +tp9891 +a(g548 +V65 +p9892 +tp9893 +a(g900 +g958 +tp9894 +a(g548 +V20 +p9895 +tp9896 +a(g900 +g958 +tp9897 +a(g548 +V62 +p9898 +tp9899 +a(g900 +g958 +tp9900 +a(g548 +V65 +p9901 +tp9902 +a(g900 +g958 +tp9903 +a(g900 +g958 +tp9904 +a(g548 +V65 +p9905 +tp9906 +a(g900 +g958 +tp9907 +a(g548 +V6E +p9908 +tp9909 +a(g900 +g958 +tp9910 +a(g548 +V20 +p9911 +tp9912 +a(g900 +g958 +tp9913 +a(g548 +V73 +p9914 +tp9915 +a(g900 +g958 +tp9916 +a(g548 +V74 +p9917 +tp9918 +a(g900 +g958 +tp9919 +a(g900 +g958 +tp9920 +a(g548 +V72 +p9921 +tp9922 +a(g900 +g958 +tp9923 +a(g548 +V69 +p9924 +tp9925 +a(g900 +g958 +tp9926 +a(g548 +V70 +p9927 +tp9928 +a(g900 +g958 +tp9929 +a(g548 +V70 +p9930 +tp9931 +a(g900 +g958 +tp9932 +a(g548 +V65 +p9933 +tp9934 +a(g900 +g958 +tp9935 +a(g900 +g958 +tp9936 +a(g548 +V64 +p9937 +tp9938 +a(g900 +g958 +tp9939 +a(g548 +V20 +p9940 +tp9941 +a(g900 +g958 +tp9942 +a(g548 +V66 +p9943 +tp9944 +a(g900 +g958 +tp9945 +a(g548 +V72 +p9946 +tp9947 +a(g900 +g958 +tp9948 +a(g548 +V6F +p9949 +tp9950 +a(g900 +V +p9951 +tp9952 +a(g341 +Vve.been.stripped.fro +p9953 +tp9954 +a(g900 +V\u000a +p9955 +tp9956 +a(g8 +V000009C4 +p9957 +tp9958 +a(g900 +g958 +tp9959 +a(g900 +g958 +tp9960 +a(g548 +V6D +p9961 +tp9962 +a(g900 +g958 +tp9963 +a(g548 +V20 +p9964 +tp9965 +a(g900 +g958 +tp9966 +a(g548 +V6E +p9967 +tp9968 +a(g900 +g958 +tp9969 +a(g548 +V6F +p9970 +tp9971 +a(g900 +g958 +tp9972 +a(g548 +V6E +p9973 +tp9974 +a(g900 +g958 +tp9975 +a(g900 +g958 +tp9976 +a(g548 +V2D +p9977 +tp9978 +a(g900 +g958 +tp9979 +a(g548 +V64 +p9980 +tp9981 +a(g900 +g958 +tp9982 +a(g548 +V69 +p9983 +tp9984 +a(g900 +g958 +tp9985 +a(g548 +V67 +p9986 +tp9987 +a(g900 +g958 +tp9988 +a(g548 +V69 +p9989 +tp9990 +a(g900 +g958 +tp9991 +a(g900 +g958 +tp9992 +a(g548 +V74 +p9993 +tp9994 +a(g900 +g958 +tp9995 +a(g548 +V20 +p9996 +tp9997 +a(g900 +g958 +tp9998 +a(g548 +V63 +p9999 +tp10000 +a(g900 +g958 +tp10001 +a(g548 +V68 +p10002 +tp10003 +a(g900 +g958 +tp10004 +a(g548 +V61 +p10005 +tp10006 +a(g900 +g958 +tp10007 +a(g900 +g958 +tp10008 +a(g548 +V72 +p10009 +tp10010 +a(g900 +g958 +tp10011 +a(g548 +V61 +p10012 +tp10013 +a(g900 +g958 +tp10014 +a(g548 +V63 +p10015 +tp10016 +a(g900 +g958 +tp10017 +a(g548 +V74 +p10018 +tp10019 +a(g900 +g958 +tp10020 +a(g548 +V65 +p10021 +tp10022 +a(g900 +V +p10023 +tp10024 +a(g341 +Vm.non-digit.characte +p10025 +tp10026 +a(g900 +V\u000a +p10027 +tp10028 +a(g8 +V000009D8 +p10029 +tp10030 +a(g900 +g958 +tp10031 +a(g900 +g958 +tp10032 +a(g548 +V72 +p10033 +tp10034 +a(g900 +g958 +tp10035 +a(g548 +V73 +p10036 +tp10037 +a(g900 +g958 +tp10038 +a(g548 +V29 +p10039 +tp10040 +a(g900 +g958 +tp10041 +a(g548 +V0A +p10042 +tp10043 +a(g900 +g958 +tp10044 +a(g548 +V20 +p10045 +tp10046 +a(g900 +g958 +tp10047 +a(g900 +g958 +tp10048 +a(g548 +V20 +p10049 +tp10050 +a(g900 +g958 +tp10051 +a(g548 +V20 +p10052 +tp10053 +a(g900 +g958 +tp10054 +a(g548 +V20 +p10055 +tp10056 +a(g900 +g958 +tp10057 +a(g548 +V73 +p10058 +tp10059 +a(g900 +g958 +tp10060 +a(g548 +V74 +p10061 +tp10062 +a(g900 +g958 +tp10063 +a(g900 +g958 +tp10064 +a(g548 +V72 +p10065 +tp10066 +a(g900 +g958 +tp10067 +a(g548 +V69 +p10068 +tp10069 +a(g900 +g958 +tp10070 +a(g548 +V6E +p10071 +tp10072 +a(g900 +g958 +tp10073 +a(g548 +V67 +p10074 +tp10075 +a(g900 +g958 +tp10076 +a(g548 +V61 +p10077 +tp10078 +a(g900 +g958 +tp10079 +a(g900 +g958 +tp10080 +a(g548 +V72 +p10081 +tp10082 +a(g900 +g958 +tp10083 +a(g548 +V72 +p10084 +tp10085 +a(g900 +g958 +tp10086 +a(g548 +V61 +p10087 +tp10088 +a(g900 +g958 +tp10089 +a(g548 +V79 +p10090 +tp10091 +a(g900 +g958 +tp10092 +a(g548 +V20 +p10093 +tp10094 +a(g900 +V +p10095 +tp10096 +a(g341 +Vrs).....stringarray. +p10097 +tp10098 +a(g900 +V\u000a +p10099 +tp10100 +a(g8 +V000009EC +p10101 +tp10102 +a(g900 +g958 +tp10103 +a(g900 +g958 +tp10104 +a(g548 +V5F +p10105 +tp10106 +a(g900 +g958 +tp10107 +a(g548 +V46 +p10108 +tp10109 +a(g900 +g958 +tp10110 +a(g548 +V69 +p10111 +tp10112 +a(g900 +g958 +tp10113 +a(g548 +V6E +p10114 +tp10115 +a(g900 +g958 +tp10116 +a(g548 +V64 +p10117 +tp10118 +a(g900 +g958 +tp10119 +a(g900 +g958 +tp10120 +a(g548 +V57 +p10121 +tp10122 +a(g900 +g958 +tp10123 +a(g548 +V6F +p10124 +tp10125 +a(g900 +g958 +tp10126 +a(g548 +V72 +p10127 +tp10128 +a(g900 +g958 +tp10129 +a(g548 +V64 +p10130 +tp10131 +a(g900 +g958 +tp10132 +a(g548 +V73 +p10133 +tp10134 +a(g900 +g958 +tp10135 +a(g900 +g958 +tp10136 +a(g548 +V28 +p10137 +tp10138 +a(g900 +g958 +tp10139 +a(g548 +V20 +p10140 +tp10141 +a(g900 +g958 +tp10142 +a(g548 +V73 +p10143 +tp10144 +a(g900 +g958 +tp10145 +a(g548 +V74 +p10146 +tp10147 +a(g900 +g958 +tp10148 +a(g548 +V72 +p10149 +tp10150 +a(g900 +g958 +tp10151 +a(g900 +g958 +tp10152 +a(g548 +V69 +p10153 +tp10154 +a(g900 +g958 +tp10155 +a(g548 +V6E +p10156 +tp10157 +a(g900 +g958 +tp10158 +a(g548 +V67 +p10159 +tp10160 +a(g900 +g958 +tp10161 +a(g548 +V20 +p10162 +tp10163 +a(g900 +g958 +tp10164 +a(g548 +V6E +p10165 +tp10166 +a(g900 +V +p10167 +tp10168 +a(g341 +V_FindWords(.string.n +p10169 +tp10170 +a(g900 +V\u000a +p10171 +tp10172 +a(g8 +V00000A00 +p10173 +tp10174 +a(g900 +g958 +tp10175 +a(g900 +g958 +tp10176 +a(g548 +V75 +p10177 +tp10178 +a(g900 +g958 +tp10179 +a(g548 +V6D +p10180 +tp10181 +a(g900 +g958 +tp10182 +a(g548 +V62 +p10183 +tp10184 +a(g900 +g958 +tp10185 +a(g548 +V65 +p10186 +tp10187 +a(g900 +g958 +tp10188 +a(g548 +V72 +p10189 +tp10190 +a(g900 +g958 +tp10191 +a(g900 +g958 +tp10192 +a(g548 +V73 +p10193 +tp10194 +a(g900 +g958 +tp10195 +a(g548 +V2C +p10196 +tp10197 +a(g900 +g958 +tp10198 +a(g548 +V20 +p10199 +tp10200 +a(g900 +g958 +tp10201 +a(g548 +V62 +p10202 +tp10203 +a(g900 +g958 +tp10204 +a(g548 +V6F +p10205 +tp10206 +a(g900 +g958 +tp10207 +a(g900 +g958 +tp10208 +a(g548 +V6F +p10209 +tp10210 +a(g900 +g958 +tp10211 +a(g548 +V6C +p10212 +tp10213 +a(g900 +g958 +tp10214 +a(g548 +V20 +p10215 +tp10216 +a(g900 +g958 +tp10217 +a(g548 +V64 +p10218 +tp10219 +a(g900 +g958 +tp10220 +a(g548 +V69 +p10221 +tp10222 +a(g900 +g958 +tp10223 +a(g900 +g958 +tp10224 +a(g548 +V67 +p10225 +tp10226 +a(g900 +g958 +tp10227 +a(g548 +V69 +p10228 +tp10229 +a(g900 +g958 +tp10230 +a(g548 +V74 +p10231 +tp10232 +a(g900 +g958 +tp10233 +a(g548 +V6F +p10234 +tp10235 +a(g900 +g958 +tp10236 +a(g548 +V6B +p10237 +tp10238 +a(g900 +V +p10239 +tp10240 +a(g341 +Vumbers,.bool.digitok +p10241 +tp10242 +a(g900 +V\u000a +p10243 +tp10244 +a(g8 +V00000A14 +p10245 +tp10246 +a(g900 +g958 +tp10247 +a(g900 +g958 +tp10248 +a(g548 +V20 +p10249 +tp10250 +a(g900 +g958 +tp10251 +a(g548 +V29 +p10252 +tp10253 +a(g900 +g958 +tp10254 +a(g548 +V0A +p10255 +tp10256 +a(g900 +g958 +tp10257 +a(g548 +V20 +p10258 +tp10259 +a(g900 +g958 +tp10260 +a(g548 +V20 +p10261 +tp10262 +a(g900 +g958 +tp10263 +a(g900 +g958 +tp10264 +a(g548 +V20 +p10265 +tp10266 +a(g900 +g958 +tp10267 +a(g548 +V20 +p10268 +tp10269 +a(g900 +g958 +tp10270 +a(g548 +V69 +p10271 +tp10272 +a(g900 +g958 +tp10273 +a(g548 +V6E +p10274 +tp10275 +a(g900 +g958 +tp10276 +a(g548 +V20 +p10277 +tp10278 +a(g900 +g958 +tp10279 +a(g900 +g958 +tp10280 +a(g548 +V7B +p10281 +tp10282 +a(g900 +g958 +tp10283 +a(g548 +V0A +p10284 +tp10285 +a(g900 +g958 +tp10286 +a(g548 +V20 +p10287 +tp10288 +a(g900 +g958 +tp10289 +a(g548 +V20 +p10290 +tp10291 +a(g900 +g958 +tp10292 +a(g548 +V20 +p10293 +tp10294 +a(g900 +g958 +tp10295 +a(g900 +g958 +tp10296 +a(g548 +V20 +p10297 +tp10298 +a(g900 +g958 +tp10299 +a(g548 +V20 +p10300 +tp10301 +a(g900 +g958 +tp10302 +a(g548 +V20 +p10303 +tp10304 +a(g900 +g958 +tp10305 +a(g548 +V20 +p10306 +tp10307 +a(g900 +g958 +tp10308 +a(g548 +V20 +p10309 +tp10310 +a(g900 +V +p10311 +tp10312 +a(g341 +V.).....in.{......... +p10313 +tp10314 +a(g900 +V\u000a +p10315 +tp10316 +a(g8 +V00000A28 +p10317 +tp10318 +a(g900 +g958 +tp10319 +a(g900 +g958 +tp10320 +a(g548 +V61 +p10321 +tp10322 +a(g900 +g958 +tp10323 +a(g548 +V73 +p10324 +tp10325 +a(g900 +g958 +tp10326 +a(g548 +V73 +p10327 +tp10328 +a(g900 +g958 +tp10329 +a(g548 +V65 +p10330 +tp10331 +a(g900 +g958 +tp10332 +a(g548 +V72 +p10333 +tp10334 +a(g900 +g958 +tp10335 +a(g900 +g958 +tp10336 +a(g548 +V74 +p10337 +tp10338 +a(g900 +g958 +tp10339 +a(g548 +V28 +p10340 +tp10341 +a(g900 +g958 +tp10342 +a(g548 +V6E +p10343 +tp10344 +a(g900 +g958 +tp10345 +a(g548 +V75 +p10346 +tp10347 +a(g900 +g958 +tp10348 +a(g548 +V6D +p10349 +tp10350 +a(g900 +g958 +tp10351 +a(g900 +g958 +tp10352 +a(g548 +V62 +p10353 +tp10354 +a(g900 +g958 +tp10355 +a(g548 +V65 +p10356 +tp10357 +a(g900 +g958 +tp10358 +a(g548 +V72 +p10359 +tp10360 +a(g900 +g958 +tp10361 +a(g548 +V73 +p10362 +tp10363 +a(g900 +g958 +tp10364 +a(g548 +V2E +p10365 +tp10366 +a(g900 +g958 +tp10367 +a(g900 +g958 +tp10368 +a(g548 +V6C +p10369 +tp10370 +a(g900 +g958 +tp10371 +a(g548 +V65 +p10372 +tp10373 +a(g900 +g958 +tp10374 +a(g548 +V6E +p10375 +tp10376 +a(g900 +g958 +tp10377 +a(g548 +V67 +p10378 +tp10379 +a(g900 +g958 +tp10380 +a(g548 +V74 +p10381 +tp10382 +a(g900 +V +p10383 +tp10384 +a(g341 +Vassert(numbers.lengt +p10385 +tp10386 +a(g900 +V\u000a +p10387 +tp10388 +a(g8 +V00000A3C +p10389 +tp10390 +a(g900 +g958 +tp10391 +a(g900 +g958 +tp10392 +a(g548 +V68 +p10393 +tp10394 +a(g900 +g958 +tp10395 +a(g548 +V20 +p10396 +tp10397 +a(g900 +g958 +tp10398 +a(g548 +V3E +p10399 +tp10400 +a(g900 +g958 +tp10401 +a(g548 +V20 +p10402 +tp10403 +a(g900 +g958 +tp10404 +a(g548 +V20 +p10405 +tp10406 +a(g900 +g958 +tp10407 +a(g900 +g958 +tp10408 +a(g548 +V30 +p10409 +tp10410 +a(g900 +g958 +tp10411 +a(g548 +V29 +p10412 +tp10413 +a(g900 +g958 +tp10414 +a(g548 +V3B +p10415 +tp10416 +a(g900 +g958 +tp10417 +a(g548 +V20 +p10418 +tp10419 +a(g900 +g958 +tp10420 +a(g548 +V20 +p10421 +tp10422 +a(g900 +g958 +tp10423 +a(g900 +g958 +tp10424 +a(g548 +V20 +p10425 +tp10426 +a(g900 +g958 +tp10427 +a(g548 +V20 +p10428 +tp10429 +a(g900 +g958 +tp10430 +a(g548 +V0A +p10431 +tp10432 +a(g900 +g958 +tp10433 +a(g548 +V20 +p10434 +tp10435 +a(g900 +g958 +tp10436 +a(g548 +V20 +p10437 +tp10438 +a(g900 +g958 +tp10439 +a(g900 +g958 +tp10440 +a(g548 +V20 +p10441 +tp10442 +a(g900 +g958 +tp10443 +a(g548 +V20 +p10444 +tp10445 +a(g900 +g958 +tp10446 +a(g548 +V7D +p10447 +tp10448 +a(g900 +g958 +tp10449 +a(g548 +V20 +p10450 +tp10451 +a(g900 +g958 +tp10452 +a(g548 +V20 +p10453 +tp10454 +a(g900 +V +p10455 +tp10456 +a(g341 +Vh.>..0);.........}.. +p10457 +tp10458 +a(g900 +V\u000a +p10459 +tp10460 +a(g8 +V00000A50 +p10461 +tp10462 +a(g900 +g958 +tp10463 +a(g900 +g958 +tp10464 +a(g548 +V20 +p10465 +tp10466 +a(g900 +g958 +tp10467 +a(g548 +V20 +p10468 +tp10469 +a(g900 +g958 +tp10470 +a(g548 +V0A +p10471 +tp10472 +a(g900 +g958 +tp10473 +a(g548 +V20 +p10474 +tp10475 +a(g900 +g958 +tp10476 +a(g548 +V20 +p10477 +tp10478 +a(g900 +g958 +tp10479 +a(g900 +g958 +tp10480 +a(g548 +V20 +p10481 +tp10482 +a(g900 +g958 +tp10483 +a(g548 +V20 +p10484 +tp10485 +a(g900 +g958 +tp10486 +a(g548 +V6F +p10487 +tp10488 +a(g900 +g958 +tp10489 +a(g548 +V75 +p10490 +tp10491 +a(g900 +g958 +tp10492 +a(g548 +V74 +p10493 +tp10494 +a(g900 +g958 +tp10495 +a(g900 +g958 +tp10496 +a(g548 +V28 +p10497 +tp10498 +a(g900 +g958 +tp10499 +a(g548 +V72 +p10500 +tp10501 +a(g900 +g958 +tp10502 +a(g548 +V65 +p10503 +tp10504 +a(g900 +g958 +tp10505 +a(g548 +V73 +p10506 +tp10507 +a(g900 +g958 +tp10508 +a(g548 +V75 +p10509 +tp10510 +a(g900 +g958 +tp10511 +a(g900 +g958 +tp10512 +a(g548 +V6C +p10513 +tp10514 +a(g900 +g958 +tp10515 +a(g548 +V74 +p10516 +tp10517 +a(g900 +g958 +tp10518 +a(g548 +V29 +p10519 +tp10520 +a(g900 +g958 +tp10521 +a(g548 +V20 +p10522 +tp10523 +a(g900 +g958 +tp10524 +a(g548 +V7B +p10525 +tp10526 +a(g900 +V +p10527 +tp10528 +a(g341 +V.......out(result).{ +p10529 +tp10530 +a(g900 +V\u000a +p10531 +tp10532 +a(g8 +V00000A64 +p10533 +tp10534 +a(g900 +g958 +tp10535 +a(g900 +g958 +tp10536 +a(g548 +V0A +p10537 +tp10538 +a(g900 +g958 +tp10539 +a(g548 +V20 +p10540 +tp10541 +a(g900 +g958 +tp10542 +a(g548 +V20 +p10543 +tp10544 +a(g900 +g958 +tp10545 +a(g548 +V20 +p10546 +tp10547 +a(g900 +g958 +tp10548 +a(g548 +V20 +p10549 +tp10550 +a(g900 +g958 +tp10551 +a(g900 +g958 +tp10552 +a(g548 +V20 +p10553 +tp10554 +a(g900 +g958 +tp10555 +a(g548 +V20 +p10556 +tp10557 +a(g900 +g958 +tp10558 +a(g548 +V20 +p10559 +tp10560 +a(g900 +g958 +tp10561 +a(g548 +V20 +p10562 +tp10563 +a(g900 +g958 +tp10564 +a(g548 +V66 +p10565 +tp10566 +a(g900 +g958 +tp10567 +a(g900 +g958 +tp10568 +a(g548 +V6F +p10569 +tp10570 +a(g900 +g958 +tp10571 +a(g548 +V72 +p10572 +tp10573 +a(g900 +g958 +tp10574 +a(g548 +V65 +p10575 +tp10576 +a(g900 +g958 +tp10577 +a(g548 +V61 +p10578 +tp10579 +a(g900 +g958 +tp10580 +a(g548 +V63 +p10581 +tp10582 +a(g900 +g958 +tp10583 +a(g900 +g958 +tp10584 +a(g548 +V68 +p10585 +tp10586 +a(g900 +g958 +tp10587 +a(g548 +V20 +p10588 +tp10589 +a(g900 +g958 +tp10590 +a(g548 +V28 +p10591 +tp10592 +a(g900 +g958 +tp10593 +a(g548 +V61 +p10594 +tp10595 +a(g900 +g958 +tp10596 +a(g548 +V3B +p10597 +tp10598 +a(g900 +V +p10599 +tp10600 +a(g341 +V.........foreach.(a; +p10601 +tp10602 +a(g900 +V\u000a +p10603 +tp10604 +a(g8 +V00000A78 +p10605 +tp10606 +a(g900 +g958 +tp10607 +a(g900 +g958 +tp10608 +a(g548 +V20 +p10609 +tp10610 +a(g900 +g958 +tp10611 +a(g548 +V72 +p10612 +tp10613 +a(g900 +g958 +tp10614 +a(g548 +V65 +p10615 +tp10616 +a(g900 +g958 +tp10617 +a(g548 +V73 +p10618 +tp10619 +a(g900 +g958 +tp10620 +a(g548 +V75 +p10621 +tp10622 +a(g900 +g958 +tp10623 +a(g900 +g958 +tp10624 +a(g548 +V6C +p10625 +tp10626 +a(g900 +g958 +tp10627 +a(g548 +V74 +p10628 +tp10629 +a(g900 +g958 +tp10630 +a(g548 +V29 +p10631 +tp10632 +a(g900 +g958 +tp10633 +a(g548 +V0A +p10634 +tp10635 +a(g900 +g958 +tp10636 +a(g548 +V20 +p10637 +tp10638 +a(g900 +g958 +tp10639 +a(g900 +g958 +tp10640 +a(g548 +V20 +p10641 +tp10642 +a(g900 +g958 +tp10643 +a(g548 +V20 +p10644 +tp10645 +a(g900 +g958 +tp10646 +a(g548 +V20 +p10647 +tp10648 +a(g900 +g958 +tp10649 +a(g548 +V20 +p10650 +tp10651 +a(g900 +g958 +tp10652 +a(g548 +V20 +p10653 +tp10654 +a(g900 +g958 +tp10655 +a(g900 +g958 +tp10656 +a(g548 +V20 +p10657 +tp10658 +a(g900 +g958 +tp10659 +a(g548 +V20 +p10660 +tp10661 +a(g900 +g958 +tp10662 +a(g548 +V20 +p10663 +tp10664 +a(g900 +g958 +tp10665 +a(g548 +V20 +p10666 +tp10667 +a(g900 +g958 +tp10668 +a(g548 +V20 +p10669 +tp10670 +a(g900 +V +p10671 +tp10672 +a(g341 +V.result)............ +p10673 +tp10674 +a(g900 +V\u000a +p10675 +tp10676 +a(g8 +V00000A8C +p10677 +tp10678 +a(g900 +g958 +tp10679 +a(g900 +g958 +tp10680 +a(g548 +V20 +p10681 +tp10682 +a(g900 +g958 +tp10683 +a(g548 +V61 +p10684 +tp10685 +a(g900 +g958 +tp10686 +a(g548 +V73 +p10687 +tp10688 +a(g900 +g958 +tp10689 +a(g548 +V73 +p10690 +tp10691 +a(g900 +g958 +tp10692 +a(g548 +V65 +p10693 +tp10694 +a(g900 +g958 +tp10695 +a(g900 +g958 +tp10696 +a(g548 +V72 +p10697 +tp10698 +a(g900 +g958 +tp10699 +a(g548 +V74 +p10700 +tp10701 +a(g900 +g958 +tp10702 +a(g548 +V28 +p10703 +tp10704 +a(g900 +g958 +tp10705 +a(g548 +V20 +p10706 +tp10707 +a(g900 +g958 +tp10708 +a(g548 +V77 +p10709 +tp10710 +a(g900 +g958 +tp10711 +a(g900 +g958 +tp10712 +a(g548 +V6F +p10713 +tp10714 +a(g900 +g958 +tp10715 +a(g548 +V72 +p10716 +tp10717 +a(g900 +g958 +tp10718 +a(g548 +V64 +p10719 +tp10720 +a(g900 +g958 +tp10721 +a(g548 +V54 +p10722 +tp10723 +a(g900 +g958 +tp10724 +a(g548 +V6F +p10725 +tp10726 +a(g900 +g958 +tp10727 +a(g900 +g958 +tp10728 +a(g548 +V4E +p10729 +tp10730 +a(g900 +g958 +tp10731 +a(g548 +V75 +p10732 +tp10733 +a(g900 +g958 +tp10734 +a(g548 +V6D +p10735 +tp10736 +a(g900 +g958 +tp10737 +a(g548 +V28 +p10738 +tp10739 +a(g900 +g958 +tp10740 +a(g548 +V61 +p10741 +tp10742 +a(g900 +V +p10743 +tp10744 +a(g341 +V.assert(.wordToNum(a +p10745 +tp10746 +a(g900 +V\u000a +p10747 +tp10748 +a(g8 +V00000AA0 +p10749 +tp10750 +a(g900 +g958 +tp10751 +a(g900 +g958 +tp10752 +a(g548 +V29 +p10753 +tp10754 +a(g900 +g958 +tp10755 +a(g548 +V20 +p10756 +tp10757 +a(g900 +g958 +tp10758 +a(g548 +V3D +p10759 +tp10760 +a(g900 +g958 +tp10761 +a(g548 +V3D +p10762 +tp10763 +a(g900 +g958 +tp10764 +a(g548 +V20 +p10765 +tp10766 +a(g900 +g958 +tp10767 +a(g900 +g958 +tp10768 +a(g548 +V6E +p10769 +tp10770 +a(g900 +g958 +tp10771 +a(g548 +V75 +p10772 +tp10773 +a(g900 +g958 +tp10774 +a(g548 +V6D +p10775 +tp10776 +a(g900 +g958 +tp10777 +a(g548 +V62 +p10778 +tp10779 +a(g900 +g958 +tp10780 +a(g548 +V65 +p10781 +tp10782 +a(g900 +g958 +tp10783 +a(g900 +g958 +tp10784 +a(g548 +V72 +p10785 +tp10786 +a(g900 +g958 +tp10787 +a(g548 +V73 +p10788 +tp10789 +a(g900 +g958 +tp10790 +a(g548 +V20 +p10791 +tp10792 +a(g900 +g958 +tp10793 +a(g548 +V29 +p10794 +tp10795 +a(g900 +g958 +tp10796 +a(g548 +V3B +p10797 +tp10798 +a(g900 +g958 +tp10799 +a(g900 +g958 +tp10800 +a(g548 +V0A +p10801 +tp10802 +a(g900 +g958 +tp10803 +a(g548 +V20 +p10804 +tp10805 +a(g900 +g958 +tp10806 +a(g548 +V20 +p10807 +tp10808 +a(g900 +g958 +tp10809 +a(g548 +V20 +p10810 +tp10811 +a(g900 +g958 +tp10812 +a(g548 +V20 +p10813 +tp10814 +a(g900 +V +p10815 +tp10816 +a(g341 +V).==.numbers.);..... +p10817 +tp10818 +a(g900 +V\u000a +p10819 +tp10820 +a(g8 +V00000AB4 +p10821 +tp10822 +a(g900 +g958 +tp10823 +a(g900 +g958 +tp10824 +a(g548 +V7D +p10825 +tp10826 +a(g900 +g958 +tp10827 +a(g548 +V20 +p10828 +tp10829 +a(g900 +g958 +tp10830 +a(g548 +V20 +p10831 +tp10832 +a(g900 +g958 +tp10833 +a(g548 +V20 +p10834 +tp10835 +a(g900 +g958 +tp10836 +a(g548 +V20 +p10837 +tp10838 +a(g900 +g958 +tp10839 +a(g900 +g958 +tp10840 +a(g548 +V0A +p10841 +tp10842 +a(g900 +g958 +tp10843 +a(g548 +V20 +p10844 +tp10845 +a(g900 +g958 +tp10846 +a(g548 +V20 +p10847 +tp10848 +a(g900 +g958 +tp10849 +a(g548 +V20 +p10850 +tp10851 +a(g900 +g958 +tp10852 +a(g548 +V20 +p10853 +tp10854 +a(g900 +g958 +tp10855 +a(g900 +g958 +tp10856 +a(g548 +V62 +p10857 +tp10858 +a(g900 +g958 +tp10859 +a(g548 +V6F +p10860 +tp10861 +a(g900 +g958 +tp10862 +a(g548 +V64 +p10863 +tp10864 +a(g900 +g958 +tp10865 +a(g548 +V79 +p10866 +tp10867 +a(g900 +g958 +tp10868 +a(g548 +V20 +p10869 +tp10870 +a(g900 +g958 +tp10871 +a(g900 +g958 +tp10872 +a(g548 +V7B +p10873 +tp10874 +a(g900 +g958 +tp10875 +a(g548 +V0A +p10876 +tp10877 +a(g900 +g958 +tp10878 +a(g548 +V20 +p10879 +tp10880 +a(g900 +g958 +tp10881 +a(g548 +V20 +p10882 +tp10883 +a(g900 +g958 +tp10884 +a(g548 +V20 +p10885 +tp10886 +a(g900 +V +p10887 +tp10888 +a(g341 +V}.........body.{.... +p10889 +tp10890 +a(g900 +V\u000a +p10891 +tp10892 +a(g8 +V00000AC8 +p10893 +tp10894 +a(g900 +g958 +tp10895 +a(g900 +g958 +tp10896 +a(g548 +V20 +p10897 +tp10898 +a(g900 +g958 +tp10899 +a(g548 +V20 +p10900 +tp10901 +a(g900 +g958 +tp10902 +a(g548 +V20 +p10903 +tp10904 +a(g900 +g958 +tp10905 +a(g548 +V20 +p10906 +tp10907 +a(g900 +g958 +tp10908 +a(g548 +V20 +p10909 +tp10910 +a(g900 +g958 +tp10911 +a(g900 +g958 +tp10912 +a(g548 +V73 +p10913 +tp10914 +a(g900 +g958 +tp10915 +a(g548 +V74 +p10916 +tp10917 +a(g900 +g958 +tp10918 +a(g548 +V72 +p10919 +tp10920 +a(g900 +g958 +tp10921 +a(g548 +V69 +p10922 +tp10923 +a(g900 +g958 +tp10924 +a(g548 +V6E +p10925 +tp10926 +a(g900 +g958 +tp10927 +a(g900 +g958 +tp10928 +a(g548 +V67 +p10929 +tp10930 +a(g900 +g958 +tp10931 +a(g548 +V61 +p10932 +tp10933 +a(g900 +g958 +tp10934 +a(g548 +V72 +p10935 +tp10936 +a(g900 +g958 +tp10937 +a(g548 +V72 +p10938 +tp10939 +a(g900 +g958 +tp10940 +a(g548 +V61 +p10941 +tp10942 +a(g900 +g958 +tp10943 +a(g900 +g958 +tp10944 +a(g548 +V79 +p10945 +tp10946 +a(g900 +g958 +tp10947 +a(g548 +V20 +p10948 +tp10949 +a(g900 +g958 +tp10950 +a(g548 +V72 +p10951 +tp10952 +a(g900 +g958 +tp10953 +a(g548 +V65 +p10954 +tp10955 +a(g900 +g958 +tp10956 +a(g548 +V74 +p10957 +tp10958 +a(g900 +V +p10959 +tp10960 +a(g341 +V.....stringarray.ret +p10961 +tp10962 +a(g900 +V\u000a +p10963 +tp10964 +a(g8 +V00000ADC +p10965 +tp10966 +a(g900 +g958 +tp10967 +a(g900 +g958 +tp10968 +a(g548 +V3B +p10969 +tp10970 +a(g900 +g958 +tp10971 +a(g548 +V0A +p10972 +tp10973 +a(g900 +g958 +tp10974 +a(g548 +V20 +p10975 +tp10976 +a(g900 +g958 +tp10977 +a(g548 +V20 +p10978 +tp10979 +a(g900 +g958 +tp10980 +a(g548 +V20 +p10981 +tp10982 +a(g900 +g958 +tp10983 +a(g900 +g958 +tp10984 +a(g548 +V20 +p10985 +tp10986 +a(g900 +g958 +tp10987 +a(g548 +V20 +p10988 +tp10989 +a(g900 +g958 +tp10990 +a(g548 +V20 +p10991 +tp10992 +a(g900 +g958 +tp10993 +a(g548 +V20 +p10994 +tp10995 +a(g900 +g958 +tp10996 +a(g548 +V20 +p10997 +tp10998 +a(g900 +g958 +tp10999 +a(g900 +g958 +tp11000 +a(g548 +V62 +p11001 +tp11002 +a(g900 +g958 +tp11003 +a(g548 +V6F +p11004 +tp11005 +a(g900 +g958 +tp11006 +a(g548 +V6F +p11007 +tp11008 +a(g900 +g958 +tp11009 +a(g548 +V6C +p11010 +tp11011 +a(g900 +g958 +tp11012 +a(g548 +V20 +p11013 +tp11014 +a(g900 +g958 +tp11015 +a(g900 +g958 +tp11016 +a(g548 +V66 +p11017 +tp11018 +a(g900 +g958 +tp11019 +a(g548 +V6F +p11020 +tp11021 +a(g900 +g958 +tp11022 +a(g548 +V75 +p11023 +tp11024 +a(g900 +g958 +tp11025 +a(g548 +V6E +p11026 +tp11027 +a(g900 +g958 +tp11028 +a(g548 +V64 +p11029 +tp11030 +a(g900 +V +p11031 +tp11032 +a(g341 +V;.........bool.found +p11033 +tp11034 +a(g900 +V\u000a +p11035 +tp11036 +a(g8 +V00000AF0 +p11037 +tp11038 +a(g900 +g958 +tp11039 +a(g900 +g958 +tp11040 +a(g548 +V77 +p11041 +tp11042 +a(g900 +g958 +tp11043 +a(g548 +V6F +p11044 +tp11045 +a(g900 +g958 +tp11046 +a(g548 +V72 +p11047 +tp11048 +a(g900 +g958 +tp11049 +a(g548 +V64 +p11050 +tp11051 +a(g900 +g958 +tp11052 +a(g548 +V20 +p11053 +tp11054 +a(g900 +g958 +tp11055 +a(g900 +g958 +tp11056 +a(g548 +V3D +p11057 +tp11058 +a(g900 +g958 +tp11059 +a(g548 +V20 +p11060 +tp11061 +a(g900 +g958 +tp11062 +a(g548 +V66 +p11063 +tp11064 +a(g900 +g958 +tp11065 +a(g548 +V61 +p11066 +tp11067 +a(g900 +g958 +tp11068 +a(g548 +V6C +p11069 +tp11070 +a(g900 +g958 +tp11071 +a(g900 +g958 +tp11072 +a(g548 +V73 +p11073 +tp11074 +a(g900 +g958 +tp11075 +a(g548 +V65 +p11076 +tp11077 +a(g900 +g958 +tp11078 +a(g548 +V3B +p11079 +tp11080 +a(g900 +g958 +tp11081 +a(g548 +V0A +p11082 +tp11083 +a(g900 +g958 +tp11084 +a(g548 +V20 +p11085 +tp11086 +a(g900 +g958 +tp11087 +a(g900 +g958 +tp11088 +a(g548 +V20 +p11089 +tp11090 +a(g900 +g958 +tp11091 +a(g548 +V20 +p11092 +tp11093 +a(g900 +g958 +tp11094 +a(g548 +V20 +p11095 +tp11096 +a(g900 +g958 +tp11097 +a(g548 +V20 +p11098 +tp11099 +a(g900 +g958 +tp11100 +a(g548 +V20 +p11101 +tp11102 +a(g900 +V +p11103 +tp11104 +a(g341 +Vword.=.false;....... +p11105 +tp11106 +a(g900 +V\u000a +p11107 +tp11108 +a(g8 +V00000B04 +p11109 +tp11110 +a(g900 +g958 +tp11111 +a(g900 +g958 +tp11112 +a(g548 +V20 +p11113 +tp11114 +a(g900 +g958 +tp11115 +a(g548 +V20 +p11116 +tp11117 +a(g900 +g958 +tp11118 +a(g548 +V66 +p11119 +tp11120 +a(g900 +g958 +tp11121 +a(g548 +V6F +p11122 +tp11123 +a(g900 +g958 +tp11124 +a(g548 +V72 +p11125 +tp11126 +a(g900 +g958 +tp11127 +a(g900 +g958 +tp11128 +a(g548 +V20 +p11129 +tp11130 +a(g900 +g958 +tp11131 +a(g548 +V28 +p11132 +tp11133 +a(g900 +g958 +tp11134 +a(g548 +V75 +p11135 +tp11136 +a(g900 +g958 +tp11137 +a(g548 +V69 +p11138 +tp11139 +a(g900 +g958 +tp11140 +a(g548 +V6E +p11141 +tp11142 +a(g900 +g958 +tp11143 +a(g900 +g958 +tp11144 +a(g548 +V74 +p11145 +tp11146 +a(g900 +g958 +tp11147 +a(g548 +V20 +p11148 +tp11149 +a(g900 +g958 +tp11150 +a(g548 +V74 +p11151 +tp11152 +a(g900 +g958 +tp11153 +a(g548 +V3D +p11154 +tp11155 +a(g900 +g958 +tp11156 +a(g548 +V31 +p11157 +tp11158 +a(g900 +g958 +tp11159 +a(g900 +g958 +tp11160 +a(g548 +V3B +p11161 +tp11162 +a(g900 +g958 +tp11163 +a(g548 +V20 +p11164 +tp11165 +a(g900 +g958 +tp11166 +a(g548 +V74 +p11167 +tp11168 +a(g900 +g958 +tp11169 +a(g548 +V3C +p11170 +tp11171 +a(g900 +g958 +tp11172 +a(g548 +V3D +p11173 +tp11174 +a(g900 +V +p11175 +tp11176 +a(g341 +V..for.(uint.t=1;.t<= +p11177 +tp11178 +a(g900 +V\u000a +p11179 +tp11180 +a(g8 +V00000B18 +p11181 +tp11182 +a(g900 +g958 +tp11183 +a(g900 +g958 +tp11184 +a(g548 +V6E +p11185 +tp11186 +a(g900 +g958 +tp11187 +a(g548 +V75 +p11188 +tp11189 +a(g900 +g958 +tp11190 +a(g548 +V6D +p11191 +tp11192 +a(g900 +g958 +tp11193 +a(g548 +V62 +p11194 +tp11195 +a(g900 +g958 +tp11196 +a(g548 +V65 +p11197 +tp11198 +a(g900 +g958 +tp11199 +a(g900 +g958 +tp11200 +a(g548 +V72 +p11201 +tp11202 +a(g900 +g958 +tp11203 +a(g548 +V73 +p11204 +tp11205 +a(g900 +g958 +tp11206 +a(g548 +V2E +p11207 +tp11208 +a(g900 +g958 +tp11209 +a(g548 +V6C +p11210 +tp11211 +a(g900 +g958 +tp11212 +a(g548 +V65 +p11213 +tp11214 +a(g900 +g958 +tp11215 +a(g900 +g958 +tp11216 +a(g548 +V6E +p11217 +tp11218 +a(g900 +g958 +tp11219 +a(g548 +V67 +p11220 +tp11221 +a(g900 +g958 +tp11222 +a(g548 +V74 +p11223 +tp11224 +a(g900 +g958 +tp11225 +a(g548 +V68 +p11226 +tp11227 +a(g900 +g958 +tp11228 +a(g548 +V3B +p11229 +tp11230 +a(g900 +g958 +tp11231 +a(g900 +g958 +tp11232 +a(g548 +V20 +p11233 +tp11234 +a(g900 +g958 +tp11235 +a(g548 +V2B +p11236 +tp11237 +a(g900 +g958 +tp11238 +a(g548 +V2B +p11239 +tp11240 +a(g900 +g958 +tp11241 +a(g548 +V74 +p11242 +tp11243 +a(g900 +g958 +tp11244 +a(g548 +V29 +p11245 +tp11246 +a(g900 +V +p11247 +tp11248 +a(g341 +Vnumbers.length;.++t) +p11249 +tp11250 +a(g900 +V\u000a +p11251 +tp11252 +a(g8 +V00000B2C +p11253 +tp11254 +a(g900 +g958 +tp11255 +a(g900 +g958 +tp11256 +a(g548 +V20 +p11257 +tp11258 +a(g900 +g958 +tp11259 +a(g548 +V7B +p11260 +tp11261 +a(g900 +g958 +tp11262 +a(g548 +V0A +p11263 +tp11264 +a(g900 +g958 +tp11265 +a(g548 +V20 +p11266 +tp11267 +a(g900 +g958 +tp11268 +a(g548 +V20 +p11269 +tp11270 +a(g900 +g958 +tp11271 +a(g900 +g958 +tp11272 +a(g548 +V20 +p11273 +tp11274 +a(g900 +g958 +tp11275 +a(g548 +V20 +p11276 +tp11277 +a(g900 +g958 +tp11278 +a(g548 +V20 +p11279 +tp11280 +a(g900 +g958 +tp11281 +a(g548 +V20 +p11282 +tp11283 +a(g900 +g958 +tp11284 +a(g548 +V20 +p11285 +tp11286 +a(g900 +g958 +tp11287 +a(g900 +g958 +tp11288 +a(g548 +V20 +p11289 +tp11290 +a(g900 +g958 +tp11291 +a(g548 +V20 +p11292 +tp11293 +a(g900 +g958 +tp11294 +a(g548 +V20 +p11295 +tp11296 +a(g900 +g958 +tp11297 +a(g548 +V20 +p11298 +tp11299 +a(g900 +g958 +tp11300 +a(g548 +V20 +p11301 +tp11302 +a(g900 +g958 +tp11303 +a(g900 +g958 +tp11304 +a(g548 +V61 +p11305 +tp11306 +a(g900 +g958 +tp11307 +a(g548 +V75 +p11308 +tp11309 +a(g900 +g958 +tp11310 +a(g548 +V74 +p11311 +tp11312 +a(g900 +g958 +tp11313 +a(g548 +V6F +p11314 +tp11315 +a(g900 +g958 +tp11316 +a(g548 +V20 +p11317 +tp11318 +a(g900 +V +p11319 +tp11320 +a(g341 +V.{.............auto. +p11321 +tp11322 +a(g900 +V\u000a +p11323 +tp11324 +a(g8 +V00000B40 +p11325 +tp11326 +a(g900 +g958 +tp11327 +a(g900 +g958 +tp11328 +a(g548 +V61 +p11329 +tp11330 +a(g900 +g958 +tp11331 +a(g548 +V6C +p11332 +tp11333 +a(g900 +g958 +tp11334 +a(g548 +V74 +p11335 +tp11336 +a(g900 +g958 +tp11337 +a(g548 +V65 +p11338 +tp11339 +a(g900 +g958 +tp11340 +a(g548 +V72 +p11341 +tp11342 +a(g900 +g958 +tp11343 +a(g900 +g958 +tp11344 +a(g548 +V6E +p11345 +tp11346 +a(g900 +g958 +tp11347 +a(g548 +V61 +p11348 +tp11349 +a(g900 +g958 +tp11350 +a(g548 +V74 +p11351 +tp11352 +a(g900 +g958 +tp11353 +a(g548 +V69 +p11354 +tp11355 +a(g900 +g958 +tp11356 +a(g548 +V76 +p11357 +tp11358 +a(g900 +g958 +tp11359 +a(g900 +g958 +tp11360 +a(g548 +V65 +p11361 +tp11362 +a(g900 +g958 +tp11363 +a(g548 +V73 +p11364 +tp11365 +a(g900 +g958 +tp11366 +a(g548 +V20 +p11367 +tp11368 +a(g900 +g958 +tp11369 +a(g548 +V3D +p11370 +tp11371 +a(g900 +g958 +tp11372 +a(g548 +V20 +p11373 +tp11374 +a(g900 +g958 +tp11375 +a(g900 +g958 +tp11376 +a(g548 +V6E +p11377 +tp11378 +a(g900 +g958 +tp11379 +a(g548 +V75 +p11380 +tp11381 +a(g900 +g958 +tp11382 +a(g548 +V6D +p11383 +tp11384 +a(g900 +g958 +tp11385 +a(g548 +V62 +p11386 +tp11387 +a(g900 +g958 +tp11388 +a(g548 +V65 +p11389 +tp11390 +a(g900 +V +p11391 +tp11392 +a(g341 +Valternatives.=.numbe +p11393 +tp11394 +a(g900 +V\u000a +p11395 +tp11396 +a(g8 +V00000B54 +p11397 +tp11398 +a(g900 +g958 +tp11399 +a(g900 +g958 +tp11400 +a(g548 +V72 +p11401 +tp11402 +a(g900 +g958 +tp11403 +a(g548 +V73 +p11404 +tp11405 +a(g900 +g958 +tp11406 +a(g548 +V5B +p11407 +tp11408 +a(g900 +g958 +tp11409 +a(g548 +V30 +p11410 +tp11411 +a(g900 +g958 +tp11412 +a(g548 +V2E +p11413 +tp11414 +a(g900 +g958 +tp11415 +a(g900 +g958 +tp11416 +a(g548 +V2E +p11417 +tp11418 +a(g900 +g958 +tp11419 +a(g548 +V74 +p11420 +tp11421 +a(g900 +g958 +tp11422 +a(g548 +V5D +p11423 +tp11424 +a(g900 +g958 +tp11425 +a(g548 +V20 +p11426 +tp11427 +a(g900 +g958 +tp11428 +a(g548 +V69 +p11429 +tp11430 +a(g900 +g958 +tp11431 +a(g900 +g958 +tp11432 +a(g548 +V6E +p11433 +tp11434 +a(g900 +g958 +tp11435 +a(g548 +V20 +p11436 +tp11437 +a(g900 +g958 +tp11438 +a(g548 +V6E +p11439 +tp11440 +a(g900 +g958 +tp11441 +a(g548 +V75 +p11442 +tp11443 +a(g900 +g958 +tp11444 +a(g548 +V6D +p11445 +tp11446 +a(g900 +g958 +tp11447 +a(g900 +g958 +tp11448 +a(g548 +V32 +p11449 +tp11450 +a(g900 +g958 +tp11451 +a(g548 +V77 +p11452 +tp11453 +a(g900 +g958 +tp11454 +a(g548 +V6F +p11455 +tp11456 +a(g900 +g958 +tp11457 +a(g548 +V72 +p11458 +tp11459 +a(g900 +g958 +tp11460 +a(g548 +V64 +p11461 +tp11462 +a(g900 +V +p11463 +tp11464 +a(g341 +Vrs[0..t].in.num2word +p11465 +tp11466 +a(g900 +V\u000a +p11467 +tp11468 +a(g8 +V00000B68 +p11469 +tp11470 +a(g900 +g958 +tp11471 +a(g900 +g958 +tp11472 +a(g548 +V73 +p11473 +tp11474 +a(g900 +g958 +tp11475 +a(g548 +V3B +p11476 +tp11477 +a(g900 +g958 +tp11478 +a(g548 +V0A +p11479 +tp11480 +a(g900 +g958 +tp11481 +a(g548 +V20 +p11482 +tp11483 +a(g900 +g958 +tp11484 +a(g548 +V20 +p11485 +tp11486 +a(g900 +g958 +tp11487 +a(g900 +g958 +tp11488 +a(g548 +V20 +p11489 +tp11490 +a(g900 +g958 +tp11491 +a(g548 +V20 +p11492 +tp11493 +a(g900 +g958 +tp11494 +a(g548 +V20 +p11495 +tp11496 +a(g900 +g958 +tp11497 +a(g548 +V20 +p11498 +tp11499 +a(g900 +g958 +tp11500 +a(g548 +V20 +p11501 +tp11502 +a(g900 +g958 +tp11503 +a(g900 +g958 +tp11504 +a(g548 +V20 +p11505 +tp11506 +a(g900 +g958 +tp11507 +a(g548 +V20 +p11508 +tp11509 +a(g900 +g958 +tp11510 +a(g548 +V20 +p11511 +tp11512 +a(g900 +g958 +tp11513 +a(g548 +V20 +p11514 +tp11515 +a(g900 +g958 +tp11516 +a(g548 +V20 +p11517 +tp11518 +a(g900 +g958 +tp11519 +a(g900 +g958 +tp11520 +a(g548 +V69 +p11521 +tp11522 +a(g900 +g958 +tp11523 +a(g548 +V66 +p11524 +tp11525 +a(g900 +g958 +tp11526 +a(g548 +V20 +p11527 +tp11528 +a(g900 +g958 +tp11529 +a(g548 +V28 +p11530 +tp11531 +a(g900 +g958 +tp11532 +a(g548 +V21 +p11533 +tp11534 +a(g900 +V +p11535 +tp11536 +a(g341 +Vs;.............if.(! +p11537 +tp11538 +a(g900 +V\u000a +p11539 +tp11540 +a(g8 +V00000B7C +p11541 +tp11542 +a(g900 +g958 +tp11543 +a(g900 +g958 +tp11544 +a(g548 +V61 +p11545 +tp11546 +a(g900 +g958 +tp11547 +a(g548 +V6C +p11548 +tp11549 +a(g900 +g958 +tp11550 +a(g548 +V74 +p11551 +tp11552 +a(g900 +g958 +tp11553 +a(g548 +V65 +p11554 +tp11555 +a(g900 +g958 +tp11556 +a(g548 +V72 +p11557 +tp11558 +a(g900 +g958 +tp11559 +a(g900 +g958 +tp11560 +a(g548 +V6E +p11561 +tp11562 +a(g900 +g958 +tp11563 +a(g548 +V61 +p11564 +tp11565 +a(g900 +g958 +tp11566 +a(g548 +V74 +p11567 +tp11568 +a(g900 +g958 +tp11569 +a(g548 +V69 +p11570 +tp11571 +a(g900 +g958 +tp11572 +a(g548 +V76 +p11573 +tp11574 +a(g900 +g958 +tp11575 +a(g900 +g958 +tp11576 +a(g548 +V65 +p11577 +tp11578 +a(g900 +g958 +tp11579 +a(g548 +V73 +p11580 +tp11581 +a(g900 +g958 +tp11582 +a(g548 +V29 +p11583 +tp11584 +a(g900 +g958 +tp11585 +a(g548 +V0A +p11586 +tp11587 +a(g900 +g958 +tp11588 +a(g548 +V20 +p11589 +tp11590 +a(g900 +g958 +tp11591 +a(g900 +g958 +tp11592 +a(g548 +V20 +p11593 +tp11594 +a(g900 +g958 +tp11595 +a(g548 +V20 +p11596 +tp11597 +a(g900 +g958 +tp11598 +a(g548 +V20 +p11599 +tp11600 +a(g900 +g958 +tp11601 +a(g548 +V20 +p11602 +tp11603 +a(g900 +g958 +tp11604 +a(g548 +V20 +p11605 +tp11606 +a(g900 +V +p11607 +tp11608 +a(g341 +Valternatives)....... +p11609 +tp11610 +a(g900 +V\u000a +p11611 +tp11612 +a(g8 +V00000B90 +p11613 +tp11614 +a(g900 +g958 +tp11615 +a(g900 +g958 +tp11616 +a(g548 +V20 +p11617 +tp11618 +a(g900 +g958 +tp11619 +a(g548 +V20 +p11620 +tp11621 +a(g900 +g958 +tp11622 +a(g548 +V20 +p11623 +tp11624 +a(g900 +g958 +tp11625 +a(g548 +V20 +p11626 +tp11627 +a(g900 +g958 +tp11628 +a(g548 +V20 +p11629 +tp11630 +a(g900 +g958 +tp11631 +a(g900 +g958 +tp11632 +a(g548 +V20 +p11633 +tp11634 +a(g900 +g958 +tp11635 +a(g548 +V20 +p11636 +tp11637 +a(g900 +g958 +tp11638 +a(g548 +V20 +p11639 +tp11640 +a(g900 +g958 +tp11641 +a(g548 +V20 +p11642 +tp11643 +a(g900 +g958 +tp11644 +a(g548 +V20 +p11645 +tp11646 +a(g900 +g958 +tp11647 +a(g900 +g958 +tp11648 +a(g548 +V63 +p11649 +tp11650 +a(g900 +g958 +tp11651 +a(g548 +V6F +p11652 +tp11653 +a(g900 +g958 +tp11654 +a(g548 +V6E +p11655 +tp11656 +a(g900 +g958 +tp11657 +a(g548 +V74 +p11658 +tp11659 +a(g900 +g958 +tp11660 +a(g548 +V69 +p11661 +tp11662 +a(g900 +g958 +tp11663 +a(g900 +g958 +tp11664 +a(g548 +V6E +p11665 +tp11666 +a(g900 +g958 +tp11667 +a(g548 +V75 +p11668 +tp11669 +a(g900 +g958 +tp11670 +a(g548 +V65 +p11671 +tp11672 +a(g900 +g958 +tp11673 +a(g548 +V3B +p11674 +tp11675 +a(g900 +g958 +tp11676 +a(g548 +V0A +p11677 +tp11678 +a(g900 +V +p11679 +tp11680 +a(g341 +V..........continue;. +p11681 +tp11682 +a(g900 +V\u000a +p11683 +tp11684 +a(g8 +V00000BA4 +p11685 +tp11686 +a(g900 +g958 +tp11687 +a(g900 +g958 +tp11688 +a(g548 +V20 +p11689 +tp11690 +a(g900 +g958 +tp11691 +a(g548 +V20 +p11692 +tp11693 +a(g900 +g958 +tp11694 +a(g548 +V20 +p11695 +tp11696 +a(g900 +g958 +tp11697 +a(g548 +V20 +p11698 +tp11699 +a(g900 +g958 +tp11700 +a(g548 +V20 +p11701 +tp11702 +a(g900 +g958 +tp11703 +a(g900 +g958 +tp11704 +a(g548 +V20 +p11705 +tp11706 +a(g900 +g958 +tp11707 +a(g548 +V20 +p11708 +tp11709 +a(g900 +g958 +tp11710 +a(g548 +V20 +p11711 +tp11712 +a(g900 +g958 +tp11713 +a(g548 +V20 +p11714 +tp11715 +a(g900 +g958 +tp11716 +a(g548 +V20 +p11717 +tp11718 +a(g900 +g958 +tp11719 +a(g900 +g958 +tp11720 +a(g548 +V20 +p11721 +tp11722 +a(g900 +g958 +tp11723 +a(g548 +V20 +p11724 +tp11725 +a(g900 +g958 +tp11726 +a(g548 +V66 +p11727 +tp11728 +a(g900 +g958 +tp11729 +a(g548 +V6F +p11730 +tp11731 +a(g900 +g958 +tp11732 +a(g548 +V75 +p11733 +tp11734 +a(g900 +g958 +tp11735 +a(g900 +g958 +tp11736 +a(g548 +V6E +p11737 +tp11738 +a(g900 +g958 +tp11739 +a(g548 +V64 +p11740 +tp11741 +a(g900 +g958 +tp11742 +a(g548 +V77 +p11743 +tp11744 +a(g900 +g958 +tp11745 +a(g548 +V6F +p11746 +tp11747 +a(g900 +g958 +tp11748 +a(g548 +V72 +p11749 +tp11750 +a(g900 +V +p11751 +tp11752 +a(g341 +V............foundwor +p11753 +tp11754 +a(g900 +V\u000a +p11755 +tp11756 +a(g8 +V00000BB8 +p11757 +tp11758 +a(g900 +g958 +tp11759 +a(g900 +g958 +tp11760 +a(g548 +V64 +p11761 +tp11762 +a(g900 +g958 +tp11763 +a(g548 +V20 +p11764 +tp11765 +a(g900 +g958 +tp11766 +a(g548 +V3D +p11767 +tp11768 +a(g900 +g958 +tp11769 +a(g548 +V20 +p11770 +tp11771 +a(g900 +g958 +tp11772 +a(g548 +V74 +p11773 +tp11774 +a(g900 +g958 +tp11775 +a(g900 +g958 +tp11776 +a(g548 +V72 +p11777 +tp11778 +a(g900 +g958 +tp11779 +a(g548 +V75 +p11780 +tp11781 +a(g900 +g958 +tp11782 +a(g548 +V65 +p11783 +tp11784 +a(g900 +g958 +tp11785 +a(g548 +V3B +p11786 +tp11787 +a(g900 +g958 +tp11788 +a(g548 +V0A +p11789 +tp11790 +a(g900 +g958 +tp11791 +a(g900 +g958 +tp11792 +a(g548 +V20 +p11793 +tp11794 +a(g900 +g958 +tp11795 +a(g548 +V20 +p11796 +tp11797 +a(g900 +g958 +tp11798 +a(g548 +V20 +p11799 +tp11800 +a(g900 +g958 +tp11801 +a(g548 +V20 +p11802 +tp11803 +a(g900 +g958 +tp11804 +a(g548 +V20 +p11805 +tp11806 +a(g900 +g958 +tp11807 +a(g900 +g958 +tp11808 +a(g548 +V20 +p11809 +tp11810 +a(g900 +g958 +tp11811 +a(g548 +V20 +p11812 +tp11813 +a(g900 +g958 +tp11814 +a(g548 +V20 +p11815 +tp11816 +a(g900 +g958 +tp11817 +a(g548 +V20 +p11818 +tp11819 +a(g900 +g958 +tp11820 +a(g548 +V20 +p11821 +tp11822 +a(g900 +V +p11823 +tp11824 +a(g341 +Vd.=.true;........... +p11825 +tp11826 +a(g900 +V\u000a +p11827 +tp11828 +a(g8 +V00000BCC +p11829 +tp11830 +a(g900 +g958 +tp11831 +a(g900 +g958 +tp11832 +a(g548 +V20 +p11833 +tp11834 +a(g900 +g958 +tp11835 +a(g548 +V20 +p11836 +tp11837 +a(g900 +g958 +tp11838 +a(g548 +V69 +p11839 +tp11840 +a(g900 +g958 +tp11841 +a(g548 +V66 +p11842 +tp11843 +a(g900 +g958 +tp11844 +a(g548 +V20 +p11845 +tp11846 +a(g900 +g958 +tp11847 +a(g900 +g958 +tp11848 +a(g548 +V28 +p11849 +tp11850 +a(g900 +g958 +tp11851 +a(g548 +V6E +p11852 +tp11853 +a(g900 +g958 +tp11854 +a(g548 +V75 +p11855 +tp11856 +a(g900 +g958 +tp11857 +a(g548 +V6D +p11858 +tp11859 +a(g900 +g958 +tp11860 +a(g548 +V62 +p11861 +tp11862 +a(g900 +g958 +tp11863 +a(g900 +g958 +tp11864 +a(g548 +V65 +p11865 +tp11866 +a(g900 +g958 +tp11867 +a(g548 +V72 +p11868 +tp11869 +a(g900 +g958 +tp11870 +a(g548 +V73 +p11871 +tp11872 +a(g900 +g958 +tp11873 +a(g548 +V2E +p11874 +tp11875 +a(g900 +g958 +tp11876 +a(g548 +V6C +p11877 +tp11878 +a(g900 +g958 +tp11879 +a(g900 +g958 +tp11880 +a(g548 +V65 +p11881 +tp11882 +a(g900 +g958 +tp11883 +a(g548 +V6E +p11884 +tp11885 +a(g900 +g958 +tp11886 +a(g548 +V67 +p11887 +tp11888 +a(g900 +g958 +tp11889 +a(g548 +V74 +p11890 +tp11891 +a(g900 +g958 +tp11892 +a(g548 +V68 +p11893 +tp11894 +a(g900 +V +p11895 +tp11896 +a(g341 +V..if.(numbers.length +p11897 +tp11898 +a(g900 +V\u000a +p11899 +tp11900 +a(g8 +V00000BE0 +p11901 +tp11902 +a(g900 +g958 +tp11903 +a(g900 +g958 +tp11904 +a(g548 +V20 +p11905 +tp11906 +a(g900 +g958 +tp11907 +a(g548 +V3E +p11908 +tp11909 +a(g900 +g958 +tp11910 +a(g548 +V20 +p11911 +tp11912 +a(g900 +g958 +tp11913 +a(g548 +V20 +p11914 +tp11915 +a(g900 +g958 +tp11916 +a(g548 +V74 +p11917 +tp11918 +a(g900 +g958 +tp11919 +a(g900 +g958 +tp11920 +a(g548 +V29 +p11921 +tp11922 +a(g900 +g958 +tp11923 +a(g548 +V20 +p11924 +tp11925 +a(g900 +g958 +tp11926 +a(g548 +V7B +p11927 +tp11928 +a(g900 +g958 +tp11929 +a(g548 +V0A +p11930 +tp11931 +a(g900 +g958 +tp11932 +a(g548 +V20 +p11933 +tp11934 +a(g900 +g958 +tp11935 +a(g900 +g958 +tp11936 +a(g548 +V20 +p11937 +tp11938 +a(g900 +g958 +tp11939 +a(g548 +V20 +p11940 +tp11941 +a(g900 +g958 +tp11942 +a(g548 +V20 +p11943 +tp11944 +a(g900 +g958 +tp11945 +a(g548 +V20 +p11946 +tp11947 +a(g900 +g958 +tp11948 +a(g548 +V20 +p11949 +tp11950 +a(g900 +g958 +tp11951 +a(g900 +g958 +tp11952 +a(g548 +V20 +p11953 +tp11954 +a(g900 +g958 +tp11955 +a(g548 +V20 +p11956 +tp11957 +a(g900 +g958 +tp11958 +a(g548 +V20 +p11959 +tp11960 +a(g900 +g958 +tp11961 +a(g548 +V20 +p11962 +tp11963 +a(g900 +g958 +tp11964 +a(g548 +V20 +p11965 +tp11966 +a(g900 +V +p11967 +tp11968 +a(g341 +V.>..t).{............ +p11969 +tp11970 +a(g900 +V\u000a +p11971 +tp11972 +a(g8 +V00000BF4 +p11973 +tp11974 +a(g900 +g958 +tp11975 +a(g900 +g958 +tp11976 +a(g548 +V20 +p11977 +tp11978 +a(g900 +g958 +tp11979 +a(g548 +V20 +p11980 +tp11981 +a(g900 +g958 +tp11982 +a(g548 +V20 +p11983 +tp11984 +a(g900 +g958 +tp11985 +a(g548 +V20 +p11986 +tp11987 +a(g900 +g958 +tp11988 +a(g548 +V20 +p11989 +tp11990 +a(g900 +g958 +tp11991 +a(g900 +g958 +tp11992 +a(g548 +V2F +p11993 +tp11994 +a(g900 +g958 +tp11995 +a(g548 +V2F +p11996 +tp11997 +a(g900 +g958 +tp11998 +a(g548 +V20 +p11999 +tp12000 +a(g900 +g958 +tp12001 +a(g548 +V43 +p12002 +tp12003 +a(g900 +g958 +tp12004 +a(g548 +V6F +p12005 +tp12006 +a(g900 +g958 +tp12007 +a(g900 +g958 +tp12008 +a(g548 +V6D +p12009 +tp12010 +a(g900 +g958 +tp12011 +a(g548 +V62 +p12012 +tp12013 +a(g900 +g958 +tp12014 +a(g548 +V69 +p12015 +tp12016 +a(g900 +g958 +tp12017 +a(g548 +V6E +p12018 +tp12019 +a(g900 +g958 +tp12020 +a(g548 +V65 +p12021 +tp12022 +a(g900 +g958 +tp12023 +a(g900 +g958 +tp12024 +a(g548 +V20 +p12025 +tp12026 +a(g900 +g958 +tp12027 +a(g548 +V61 +p12028 +tp12029 +a(g900 +g958 +tp12030 +a(g548 +V6C +p12031 +tp12032 +a(g900 +g958 +tp12033 +a(g548 +V6C +p12034 +tp12035 +a(g900 +g958 +tp12036 +a(g548 +V20 +p12037 +tp12038 +a(g900 +V +p12039 +tp12040 +a(g341 +V.....//.Combine.all. +p12041 +tp12042 +a(g900 +V\u000a +p12043 +tp12044 +a(g8 +V00000C08 +p12045 +tp12046 +a(g900 +g958 +tp12047 +a(g900 +g958 +tp12048 +a(g548 +V63 +p12049 +tp12050 +a(g900 +g958 +tp12051 +a(g548 +V75 +p12052 +tp12053 +a(g900 +g958 +tp12054 +a(g548 +V72 +p12055 +tp12056 +a(g900 +g958 +tp12057 +a(g548 +V72 +p12058 +tp12059 +a(g900 +g958 +tp12060 +a(g548 +V65 +p12061 +tp12062 +a(g900 +g958 +tp12063 +a(g900 +g958 +tp12064 +a(g548 +V6E +p12065 +tp12066 +a(g900 +g958 +tp12067 +a(g548 +V74 +p12068 +tp12069 +a(g900 +g958 +tp12070 +a(g548 +V20 +p12071 +tp12072 +a(g900 +g958 +tp12073 +a(g548 +V61 +p12074 +tp12075 +a(g900 +g958 +tp12076 +a(g548 +V6C +p12077 +tp12078 +a(g900 +g958 +tp12079 +a(g900 +g958 +tp12080 +a(g548 +V74 +p12081 +tp12082 +a(g900 +g958 +tp12083 +a(g548 +V65 +p12084 +tp12085 +a(g900 +g958 +tp12086 +a(g548 +V72 +p12087 +tp12088 +a(g900 +g958 +tp12089 +a(g548 +V6E +p12090 +tp12091 +a(g900 +g958 +tp12092 +a(g548 +V61 +p12093 +tp12094 +a(g900 +g958 +tp12095 +a(g900 +g958 +tp12096 +a(g548 +V74 +p12097 +tp12098 +a(g900 +g958 +tp12099 +a(g548 +V69 +p12100 +tp12101 +a(g900 +g958 +tp12102 +a(g548 +V76 +p12103 +tp12104 +a(g900 +g958 +tp12105 +a(g548 +V65 +p12106 +tp12107 +a(g900 +g958 +tp12108 +a(g548 +V73 +p12109 +tp12110 +a(g900 +V +p12111 +tp12112 +a(g341 +Vcurrent.alternatives +p12113 +tp12114 +a(g900 +V\u000a +p12115 +tp12116 +a(g8 +V00000C1C +p12117 +tp12118 +a(g900 +g958 +tp12119 +a(g900 +g958 +tp12120 +a(g548 +V20 +p12121 +tp12122 +a(g900 +g958 +tp12123 +a(g548 +V77 +p12124 +tp12125 +a(g900 +g958 +tp12126 +a(g548 +V69 +p12127 +tp12128 +a(g900 +g958 +tp12129 +a(g548 +V74 +p12130 +tp12131 +a(g900 +g958 +tp12132 +a(g548 +V68 +p12133 +tp12134 +a(g900 +g958 +tp12135 +a(g900 +g958 +tp12136 +a(g548 +V20 +p12137 +tp12138 +a(g900 +g958 +tp12139 +a(g548 +V61 +p12140 +tp12141 +a(g900 +g958 +tp12142 +a(g548 +V6C +p12143 +tp12144 +a(g900 +g958 +tp12145 +a(g548 +V6C +p12146 +tp12147 +a(g900 +g958 +tp12148 +a(g548 +V20 +p12149 +tp12150 +a(g900 +g958 +tp12151 +a(g900 +g958 +tp12152 +a(g548 +V61 +p12153 +tp12154 +a(g900 +g958 +tp12155 +a(g548 +V6C +p12156 +tp12157 +a(g900 +g958 +tp12158 +a(g548 +V74 +p12159 +tp12160 +a(g900 +g958 +tp12161 +a(g548 +V65 +p12162 +tp12163 +a(g900 +g958 +tp12164 +a(g548 +V72 +p12165 +tp12166 +a(g900 +g958 +tp12167 +a(g900 +g958 +tp12168 +a(g548 +V6E +p12169 +tp12170 +a(g900 +g958 +tp12171 +a(g548 +V61 +p12172 +tp12173 +a(g900 +g958 +tp12174 +a(g548 +V74 +p12175 +tp12176 +a(g900 +g958 +tp12177 +a(g548 +V69 +p12178 +tp12179 +a(g900 +g958 +tp12180 +a(g548 +V76 +p12181 +tp12182 +a(g900 +V +p12183 +tp12184 +a(g341 +V.with.all.alternativ +p12185 +tp12186 +a(g900 +V\u000a +p12187 +tp12188 +a(g8 +V00000C30 +p12189 +tp12190 +a(g900 +g958 +tp12191 +a(g900 +g958 +tp12192 +a(g548 +V65 +p12193 +tp12194 +a(g900 +g958 +tp12195 +a(g548 +V73 +p12196 +tp12197 +a(g900 +g958 +tp12198 +a(g548 +V20 +p12199 +tp12200 +a(g900 +g958 +tp12201 +a(g548 +V20 +p12202 +tp12203 +a(g900 +g958 +tp12204 +a(g548 +V20 +p12205 +tp12206 +a(g900 +g958 +tp12207 +a(g900 +g958 +tp12208 +a(g548 +V20 +p12209 +tp12210 +a(g900 +g958 +tp12211 +a(g548 +V20 +p12212 +tp12213 +a(g900 +g958 +tp12214 +a(g548 +V0A +p12215 +tp12216 +a(g900 +g958 +tp12217 +a(g548 +V20 +p12218 +tp12219 +a(g900 +g958 +tp12220 +a(g548 +V20 +p12221 +tp12222 +a(g900 +g958 +tp12223 +a(g900 +g958 +tp12224 +a(g548 +V20 +p12225 +tp12226 +a(g900 +g958 +tp12227 +a(g548 +V20 +p12228 +tp12229 +a(g900 +g958 +tp12230 +a(g548 +V20 +p12231 +tp12232 +a(g900 +g958 +tp12233 +a(g548 +V20 +p12234 +tp12235 +a(g900 +g958 +tp12236 +a(g548 +V20 +p12237 +tp12238 +a(g900 +g958 +tp12239 +a(g900 +g958 +tp12240 +a(g548 +V20 +p12241 +tp12242 +a(g900 +g958 +tp12243 +a(g548 +V20 +p12244 +tp12245 +a(g900 +g958 +tp12246 +a(g548 +V20 +p12247 +tp12248 +a(g900 +g958 +tp12249 +a(g548 +V20 +p12250 +tp12251 +a(g900 +g958 +tp12252 +a(g548 +V20 +p12253 +tp12254 +a(g900 +V +p12255 +tp12256 +a(g341 +Ves.................. +p12257 +tp12258 +a(g900 +V\u000a +p12259 +tp12260 +a(g8 +V00000C44 +p12261 +tp12262 +a(g900 +g958 +tp12263 +a(g900 +g958 +tp12264 +a(g548 +V20 +p12265 +tp12266 +a(g900 +g958 +tp12267 +a(g548 +V20 +p12268 +tp12269 +a(g900 +g958 +tp12270 +a(g548 +V20 +p12271 +tp12272 +a(g900 +g958 +tp12273 +a(g548 +V20 +p12274 +tp12275 +a(g900 +g958 +tp12276 +a(g548 +V2F +p12277 +tp12278 +a(g900 +g958 +tp12279 +a(g900 +g958 +tp12280 +a(g548 +V2F +p12281 +tp12282 +a(g900 +g958 +tp12283 +a(g548 +V20 +p12284 +tp12285 +a(g900 +g958 +tp12286 +a(g548 +V6F +p12287 +tp12288 +a(g900 +g958 +tp12289 +a(g548 +V66 +p12290 +tp12291 +a(g900 +g958 +tp12292 +a(g548 +V20 +p12293 +tp12294 +a(g900 +g958 +tp12295 +a(g900 +g958 +tp12296 +a(g548 +V74 +p12297 +tp12298 +a(g900 +g958 +tp12299 +a(g548 +V68 +p12300 +tp12301 +a(g900 +g958 +tp12302 +a(g548 +V65 +p12303 +tp12304 +a(g900 +g958 +tp12305 +a(g548 +V20 +p12306 +tp12307 +a(g900 +g958 +tp12308 +a(g548 +V72 +p12309 +tp12310 +a(g900 +g958 +tp12311 +a(g900 +g958 +tp12312 +a(g548 +V65 +p12313 +tp12314 +a(g900 +g958 +tp12315 +a(g548 +V73 +p12316 +tp12317 +a(g900 +g958 +tp12318 +a(g548 +V74 +p12319 +tp12320 +a(g900 +g958 +tp12321 +a(g548 +V20 +p12322 +tp12323 +a(g900 +g958 +tp12324 +a(g548 +V28 +p12325 +tp12326 +a(g900 +V +p12327 +tp12328 +a(g341 +V....//.of.the.rest.( +p12329 +tp12330 +a(g900 +V\u000a +p12331 +tp12332 +a(g8 +V00000C58 +p12333 +tp12334 +a(g900 +g958 +tp12335 +a(g900 +g958 +tp12336 +a(g548 +V6E +p12337 +tp12338 +a(g900 +g958 +tp12339 +a(g548 +V65 +p12340 +tp12341 +a(g900 +g958 +tp12342 +a(g548 +V78 +p12343 +tp12344 +a(g900 +g958 +tp12345 +a(g548 +V74 +p12346 +tp12347 +a(g900 +g958 +tp12348 +a(g548 +V20 +p12349 +tp12350 +a(g900 +g958 +tp12351 +a(g900 +g958 +tp12352 +a(g548 +V70 +p12353 +tp12354 +a(g900 +g958 +tp12355 +a(g548 +V69 +p12356 +tp12357 +a(g900 +g958 +tp12358 +a(g548 +V65 +p12359 +tp12360 +a(g900 +g958 +tp12361 +a(g548 +V63 +p12362 +tp12363 +a(g900 +g958 +tp12364 +a(g548 +V65 +p12365 +tp12366 +a(g900 +g958 +tp12367 +a(g900 +g958 +tp12368 +a(g548 +V20 +p12369 +tp12370 +a(g900 +g958 +tp12371 +a(g548 +V63 +p12372 +tp12373 +a(g900 +g958 +tp12374 +a(g548 +V61 +p12375 +tp12376 +a(g900 +g958 +tp12377 +a(g548 +V6E +p12378 +tp12379 +a(g900 +g958 +tp12380 +a(g548 +V20 +p12381 +tp12382 +a(g900 +g958 +tp12383 +a(g900 +g958 +tp12384 +a(g548 +V73 +p12385 +tp12386 +a(g900 +g958 +tp12387 +a(g548 +V74 +p12388 +tp12389 +a(g900 +g958 +tp12390 +a(g548 +V61 +p12391 +tp12392 +a(g900 +g958 +tp12393 +a(g548 +V72 +p12394 +tp12395 +a(g900 +g958 +tp12396 +a(g548 +V74 +p12397 +tp12398 +a(g900 +V +p12399 +tp12400 +a(g341 +Vnext.piece.can.start +p12401 +tp12402 +a(g900 +V\u000a +p12403 +tp12404 +a(g8 +V00000C6C +p12405 +tp12406 +a(g900 +g958 +tp12407 +a(g900 +g958 +tp12408 +a(g548 +V20 +p12409 +tp12410 +a(g900 +g958 +tp12411 +a(g548 +V77 +p12412 +tp12413 +a(g900 +g958 +tp12414 +a(g548 +V69 +p12415 +tp12416 +a(g900 +g958 +tp12417 +a(g548 +V74 +p12418 +tp12419 +a(g900 +g958 +tp12420 +a(g548 +V68 +p12421 +tp12422 +a(g900 +g958 +tp12423 +a(g900 +g958 +tp12424 +a(g548 +V20 +p12425 +tp12426 +a(g900 +g958 +tp12427 +a(g548 +V61 +p12428 +tp12429 +a(g900 +g958 +tp12430 +a(g548 +V20 +p12431 +tp12432 +a(g900 +g958 +tp12433 +a(g548 +V64 +p12434 +tp12435 +a(g900 +g958 +tp12436 +a(g548 +V69 +p12437 +tp12438 +a(g900 +g958 +tp12439 +a(g900 +g958 +tp12440 +a(g548 +V67 +p12441 +tp12442 +a(g900 +g958 +tp12443 +a(g548 +V69 +p12444 +tp12445 +a(g900 +g958 +tp12446 +a(g548 +V74 +p12447 +tp12448 +a(g900 +g958 +tp12449 +a(g548 +V29 +p12450 +tp12451 +a(g900 +g958 +tp12452 +a(g548 +V20 +p12453 +tp12454 +a(g900 +g958 +tp12455 +a(g900 +g958 +tp12456 +a(g548 +V20 +p12457 +tp12458 +a(g900 +g958 +tp12459 +a(g548 +V20 +p12460 +tp12461 +a(g900 +g958 +tp12462 +a(g548 +V20 +p12463 +tp12464 +a(g900 +g958 +tp12465 +a(g548 +V20 +p12466 +tp12467 +a(g900 +g958 +tp12468 +a(g548 +V20 +p12469 +tp12470 +a(g900 +V +p12471 +tp12472 +a(g341 +V.with.a.digit)...... +p12473 +tp12474 +a(g900 +V\u000a +p12475 +tp12476 +a(g8 +V00000C80 +p12477 +tp12478 +a(g900 +g958 +tp12479 +a(g900 +g958 +tp12480 +a(g548 +V20 +p12481 +tp12482 +a(g900 +g958 +tp12483 +a(g548 +V20 +p12484 +tp12485 +a(g900 +g958 +tp12486 +a(g548 +V20 +p12487 +tp12488 +a(g900 +g958 +tp12489 +a(g548 +V20 +p12490 +tp12491 +a(g900 +g958 +tp12492 +a(g548 +V20 +p12493 +tp12494 +a(g900 +g958 +tp12495 +a(g900 +g958 +tp12496 +a(g548 +V20 +p12497 +tp12498 +a(g900 +g958 +tp12499 +a(g548 +V20 +p12500 +tp12501 +a(g900 +g958 +tp12502 +a(g548 +V20 +p12503 +tp12504 +a(g900 +g958 +tp12505 +a(g548 +V0A +p12506 +tp12507 +a(g900 +g958 +tp12508 +a(g548 +V20 +p12509 +tp12510 +a(g900 +g958 +tp12511 +a(g900 +g958 +tp12512 +a(g548 +V20 +p12513 +tp12514 +a(g900 +g958 +tp12515 +a(g548 +V20 +p12516 +tp12517 +a(g900 +g958 +tp12518 +a(g548 +V20 +p12519 +tp12520 +a(g900 +g958 +tp12521 +a(g548 +V20 +p12522 +tp12523 +a(g900 +g958 +tp12524 +a(g548 +V20 +p12525 +tp12526 +a(g900 +g958 +tp12527 +a(g900 +g958 +tp12528 +a(g548 +V20 +p12529 +tp12530 +a(g900 +g958 +tp12531 +a(g548 +V20 +p12532 +tp12533 +a(g900 +g958 +tp12534 +a(g548 +V20 +p12535 +tp12536 +a(g900 +g958 +tp12537 +a(g548 +V20 +p12538 +tp12539 +a(g900 +g958 +tp12540 +a(g548 +V20 +p12541 +tp12542 +a(g900 +V +p12543 +tp12544 +a(g341 +V.................... +p12545 +tp12546 +a(g900 +V\u000a +p12547 +tp12548 +a(g8 +V00000C94 +p12549 +tp12550 +a(g900 +g958 +tp12551 +a(g900 +g958 +tp12552 +a(g548 +V20 +p12553 +tp12554 +a(g900 +g958 +tp12555 +a(g548 +V20 +p12556 +tp12557 +a(g900 +g958 +tp12558 +a(g548 +V20 +p12559 +tp12560 +a(g900 +g958 +tp12561 +a(g548 +V20 +p12562 +tp12563 +a(g900 +g958 +tp12564 +a(g548 +V20 +p12565 +tp12566 +a(g900 +g958 +tp12567 +a(g900 +g958 +tp12568 +a(g548 +V66 +p12569 +tp12570 +a(g900 +g958 +tp12571 +a(g548 +V6F +p12572 +tp12573 +a(g900 +g958 +tp12574 +a(g548 +V72 +p12575 +tp12576 +a(g900 +g958 +tp12577 +a(g548 +V65 +p12578 +tp12579 +a(g900 +g958 +tp12580 +a(g548 +V61 +p12581 +tp12582 +a(g900 +g958 +tp12583 +a(g900 +g958 +tp12584 +a(g548 +V63 +p12585 +tp12586 +a(g900 +g958 +tp12587 +a(g548 +V68 +p12588 +tp12589 +a(g900 +g958 +tp12590 +a(g548 +V20 +p12591 +tp12592 +a(g900 +g958 +tp12593 +a(g548 +V28 +p12594 +tp12595 +a(g900 +g958 +tp12596 +a(g548 +V61 +p12597 +tp12598 +a(g900 +g958 +tp12599 +a(g900 +g958 +tp12600 +a(g548 +V32 +p12601 +tp12602 +a(g900 +g958 +tp12603 +a(g548 +V3B +p12604 +tp12605 +a(g900 +g958 +tp12606 +a(g548 +V20 +p12607 +tp12608 +a(g900 +g958 +tp12609 +a(g548 +V5F +p12610 +tp12611 +a(g900 +g958 +tp12612 +a(g548 +V46 +p12613 +tp12614 +a(g900 +V +p12615 +tp12616 +a(g341 +V.....foreach.(a2;._F +p12617 +tp12618 +a(g900 +V\u000a +p12619 +tp12620 +a(g8 +V00000CA8 +p12621 +tp12622 +a(g900 +g958 +tp12623 +a(g900 +g958 +tp12624 +a(g548 +V69 +p12625 +tp12626 +a(g900 +g958 +tp12627 +a(g548 +V6E +p12628 +tp12629 +a(g900 +g958 +tp12630 +a(g548 +V64 +p12631 +tp12632 +a(g900 +g958 +tp12633 +a(g548 +V57 +p12634 +tp12635 +a(g900 +g958 +tp12636 +a(g548 +V6F +p12637 +tp12638 +a(g900 +g958 +tp12639 +a(g900 +g958 +tp12640 +a(g548 +V72 +p12641 +tp12642 +a(g900 +g958 +tp12643 +a(g548 +V64 +p12644 +tp12645 +a(g900 +g958 +tp12646 +a(g548 +V73 +p12647 +tp12648 +a(g900 +g958 +tp12649 +a(g548 +V28 +p12650 +tp12651 +a(g900 +g958 +tp12652 +a(g548 +V20 +p12653 +tp12654 +a(g900 +g958 +tp12655 +a(g900 +g958 +tp12656 +a(g548 +V6E +p12657 +tp12658 +a(g900 +g958 +tp12659 +a(g548 +V75 +p12660 +tp12661 +a(g900 +g958 +tp12662 +a(g548 +V6D +p12663 +tp12664 +a(g900 +g958 +tp12665 +a(g548 +V62 +p12666 +tp12667 +a(g900 +g958 +tp12668 +a(g548 +V65 +p12669 +tp12670 +a(g900 +g958 +tp12671 +a(g900 +g958 +tp12672 +a(g548 +V72 +p12673 +tp12674 +a(g900 +g958 +tp12675 +a(g548 +V73 +p12676 +tp12677 +a(g900 +g958 +tp12678 +a(g548 +V5B +p12679 +tp12680 +a(g900 +g958 +tp12681 +a(g548 +V74 +p12682 +tp12683 +a(g900 +g958 +tp12684 +a(g548 +V2E +p12685 +tp12686 +a(g900 +V +p12687 +tp12688 +a(g341 +VindWords(.numbers[t. +p12689 +tp12690 +a(g900 +V\u000a +p12691 +tp12692 +a(g8 +V00000CBC +p12693 +tp12694 +a(g900 +g958 +tp12695 +a(g900 +g958 +tp12696 +a(g548 +V2E +p12697 +tp12698 +a(g900 +g958 +tp12699 +a(g548 +V24 +p12700 +tp12701 +a(g900 +g958 +tp12702 +a(g548 +V5D +p12703 +tp12704 +a(g900 +g958 +tp12705 +a(g548 +V2C +p12706 +tp12707 +a(g900 +g958 +tp12708 +a(g548 +V20 +p12709 +tp12710 +a(g900 +g958 +tp12711 +a(g900 +g958 +tp12712 +a(g548 +V74 +p12713 +tp12714 +a(g900 +g958 +tp12715 +a(g548 +V72 +p12716 +tp12717 +a(g900 +g958 +tp12718 +a(g548 +V75 +p12719 +tp12720 +a(g900 +g958 +tp12721 +a(g548 +V65 +p12722 +tp12723 +a(g900 +g958 +tp12724 +a(g548 +V20 +p12725 +tp12726 +a(g900 +g958 +tp12727 +a(g900 +g958 +tp12728 +a(g548 +V20 +p12729 +tp12730 +a(g900 +g958 +tp12731 +a(g548 +V20 +p12732 +tp12733 +a(g900 +g958 +tp12734 +a(g548 +V20 +p12735 +tp12736 +a(g900 +g958 +tp12737 +a(g548 +V20 +p12738 +tp12739 +a(g900 +g958 +tp12740 +a(g548 +V29 +p12741 +tp12742 +a(g900 +g958 +tp12743 +a(g900 +g958 +tp12744 +a(g548 +V20 +p12745 +tp12746 +a(g900 +g958 +tp12747 +a(g548 +V29 +p12748 +tp12749 +a(g900 +g958 +tp12750 +a(g548 +V0A +p12751 +tp12752 +a(g900 +g958 +tp12753 +a(g548 +V20 +p12754 +tp12755 +a(g900 +g958 +tp12756 +a(g548 +V20 +p12757 +tp12758 +a(g900 +V +p12759 +tp12760 +a(g341 +V.$],.true.....).)... +p12761 +tp12762 +a(g900 +V\u000a +p12763 +tp12764 +a(g8 +V00000CD0 +p12765 +tp12766 +a(g900 +g958 +tp12767 +a(g900 +g958 +tp12768 +a(g548 +V20 +p12769 +tp12770 +a(g900 +g958 +tp12771 +a(g548 +V20 +p12772 +tp12773 +a(g900 +g958 +tp12774 +a(g548 +V20 +p12775 +tp12776 +a(g900 +g958 +tp12777 +a(g548 +V20 +p12778 +tp12779 +a(g900 +g958 +tp12780 +a(g548 +V20 +p12781 +tp12782 +a(g900 +g958 +tp12783 +a(g900 +g958 +tp12784 +a(g548 +V20 +p12785 +tp12786 +a(g900 +g958 +tp12787 +a(g548 +V20 +p12788 +tp12789 +a(g900 +g958 +tp12790 +a(g548 +V20 +p12791 +tp12792 +a(g900 +g958 +tp12793 +a(g548 +V20 +p12794 +tp12795 +a(g900 +g958 +tp12796 +a(g548 +V20 +p12797 +tp12798 +a(g900 +g958 +tp12799 +a(g900 +g958 +tp12800 +a(g548 +V20 +p12801 +tp12802 +a(g900 +g958 +tp12803 +a(g548 +V20 +p12804 +tp12805 +a(g900 +g958 +tp12806 +a(g548 +V20 +p12807 +tp12808 +a(g900 +g958 +tp12809 +a(g548 +V20 +p12810 +tp12811 +a(g900 +g958 +tp12812 +a(g548 +V20 +p12813 +tp12814 +a(g900 +g958 +tp12815 +a(g900 +g958 +tp12816 +a(g548 +V20 +p12817 +tp12818 +a(g900 +g958 +tp12819 +a(g548 +V20 +p12820 +tp12821 +a(g900 +g958 +tp12822 +a(g548 +V20 +p12823 +tp12824 +a(g900 +g958 +tp12825 +a(g548 +V66 +p12826 +tp12827 +a(g900 +g958 +tp12828 +a(g548 +V6F +p12829 +tp12830 +a(g900 +V +p12831 +tp12832 +a(g341 +V..................fo +p12833 +tp12834 +a(g900 +V\u000a +p12835 +tp12836 +a(g8 +V00000CE4 +p12837 +tp12838 +a(g900 +g958 +tp12839 +a(g900 +g958 +tp12840 +a(g548 +V72 +p12841 +tp12842 +a(g900 +g958 +tp12843 +a(g548 +V65 +p12844 +tp12845 +a(g900 +g958 +tp12846 +a(g548 +V61 +p12847 +tp12848 +a(g900 +g958 +tp12849 +a(g548 +V63 +p12850 +tp12851 +a(g900 +g958 +tp12852 +a(g548 +V68 +p12853 +tp12854 +a(g900 +g958 +tp12855 +a(g900 +g958 +tp12856 +a(g548 +V28 +p12857 +tp12858 +a(g900 +g958 +tp12859 +a(g548 +V61 +p12860 +tp12861 +a(g900 +g958 +tp12862 +a(g548 +V31 +p12863 +tp12864 +a(g900 +g958 +tp12865 +a(g548 +V3B +p12866 +tp12867 +a(g900 +g958 +tp12868 +a(g548 +V20 +p12869 +tp12870 +a(g900 +g958 +tp12871 +a(g900 +g958 +tp12872 +a(g548 +V2A +p12873 +tp12874 +a(g900 +g958 +tp12875 +a(g548 +V61 +p12876 +tp12877 +a(g900 +g958 +tp12878 +a(g548 +V6C +p12879 +tp12880 +a(g900 +g958 +tp12881 +a(g548 +V74 +p12882 +tp12883 +a(g900 +g958 +tp12884 +a(g548 +V65 +p12885 +tp12886 +a(g900 +g958 +tp12887 +a(g900 +g958 +tp12888 +a(g548 +V72 +p12889 +tp12890 +a(g900 +g958 +tp12891 +a(g548 +V6E +p12892 +tp12893 +a(g900 +g958 +tp12894 +a(g548 +V61 +p12895 +tp12896 +a(g900 +g958 +tp12897 +a(g548 +V74 +p12898 +tp12899 +a(g900 +g958 +tp12900 +a(g548 +V69 +p12901 +tp12902 +a(g900 +V +p12903 +tp12904 +a(g341 +Vreach(a1;.*alternati +p12905 +tp12906 +a(g900 +V\u000a +p12907 +tp12908 +a(g8 +V00000CF8 +p12909 +tp12910 +a(g900 +g958 +tp12911 +a(g900 +g958 +tp12912 +a(g548 +V76 +p12913 +tp12914 +a(g900 +g958 +tp12915 +a(g548 +V65 +p12916 +tp12917 +a(g900 +g958 +tp12918 +a(g548 +V73 +p12919 +tp12920 +a(g900 +g958 +tp12921 +a(g548 +V29 +p12922 +tp12923 +a(g900 +g958 +tp12924 +a(g548 +V0A +p12925 +tp12926 +a(g900 +g958 +tp12927 +a(g900 +g958 +tp12928 +a(g548 +V20 +p12929 +tp12930 +a(g900 +g958 +tp12931 +a(g548 +V20 +p12932 +tp12933 +a(g900 +g958 +tp12934 +a(g548 +V20 +p12935 +tp12936 +a(g900 +g958 +tp12937 +a(g548 +V20 +p12938 +tp12939 +a(g900 +g958 +tp12940 +a(g548 +V20 +p12941 +tp12942 +a(g900 +g958 +tp12943 +a(g900 +g958 +tp12944 +a(g548 +V20 +p12945 +tp12946 +a(g900 +g958 +tp12947 +a(g548 +V20 +p12948 +tp12949 +a(g900 +g958 +tp12950 +a(g548 +V20 +p12951 +tp12952 +a(g900 +g958 +tp12953 +a(g548 +V20 +p12954 +tp12955 +a(g900 +g958 +tp12956 +a(g548 +V20 +p12957 +tp12958 +a(g900 +g958 +tp12959 +a(g900 +g958 +tp12960 +a(g548 +V20 +p12961 +tp12962 +a(g900 +g958 +tp12963 +a(g548 +V20 +p12964 +tp12965 +a(g900 +g958 +tp12966 +a(g548 +V20 +p12967 +tp12968 +a(g900 +g958 +tp12969 +a(g548 +V20 +p12970 +tp12971 +a(g900 +g958 +tp12972 +a(g548 +V20 +p12973 +tp12974 +a(g900 +V +p12975 +tp12976 +a(g341 +Vves)................ +p12977 +tp12978 +a(g900 +V\u000a +p12979 +tp12980 +a(g8 +V00000D0C +p12981 +tp12982 +a(g900 +g958 +tp12983 +a(g900 +g958 +tp12984 +a(g548 +V20 +p12985 +tp12986 +a(g900 +g958 +tp12987 +a(g548 +V20 +p12988 +tp12989 +a(g900 +g958 +tp12990 +a(g548 +V20 +p12991 +tp12992 +a(g900 +g958 +tp12993 +a(g548 +V20 +p12994 +tp12995 +a(g900 +g958 +tp12996 +a(g548 +V20 +p12997 +tp12998 +a(g900 +g958 +tp12999 +a(g900 +g958 +tp13000 +a(g548 +V20 +p13001 +tp13002 +a(g900 +g958 +tp13003 +a(g548 +V20 +p13004 +tp13005 +a(g900 +g958 +tp13006 +a(g548 +V20 +p13007 +tp13008 +a(g900 +g958 +tp13009 +a(g548 +V72 +p13010 +tp13011 +a(g900 +g958 +tp13012 +a(g548 +V65 +p13013 +tp13014 +a(g900 +g958 +tp13015 +a(g900 +g958 +tp13016 +a(g548 +V74 +p13017 +tp13018 +a(g900 +g958 +tp13019 +a(g548 +V20 +p13020 +tp13021 +a(g900 +g958 +tp13022 +a(g548 +V7E +p13023 +tp13024 +a(g900 +g958 +tp13025 +a(g548 +V3D +p13026 +tp13027 +a(g900 +g958 +tp13028 +a(g548 +V20 +p13029 +tp13030 +a(g900 +g958 +tp13031 +a(g900 +g958 +tp13032 +a(g548 +V61 +p13033 +tp13034 +a(g900 +g958 +tp13035 +a(g548 +V31 +p13036 +tp13037 +a(g900 +g958 +tp13038 +a(g548 +V20 +p13039 +tp13040 +a(g900 +g958 +tp13041 +a(g548 +V7E +p13042 +tp13043 +a(g900 +g958 +tp13044 +a(g548 +V20 +p13045 +tp13046 +a(g900 +V +p13047 +tp13048 +a(g341 +V........ret.~=.a1.~. +p13049 +tp13050 +a(g900 +V\u000a +p13051 +tp13052 +a(g8 +V00000D20 +p13053 +tp13054 +a(g900 +g958 +tp13055 +a(g900 +g958 +tp13056 +a(g548 +V22 +p13057 +tp13058 +a(g900 +g958 +tp13059 +a(g548 +V20 +p13060 +tp13061 +a(g900 +g958 +tp13062 +a(g548 +V22 +p13063 +tp13064 +a(g900 +g958 +tp13065 +a(g548 +V20 +p13066 +tp13067 +a(g900 +g958 +tp13068 +a(g548 +V7E +p13069 +tp13070 +a(g900 +g958 +tp13071 +a(g900 +g958 +tp13072 +a(g548 +V20 +p13073 +tp13074 +a(g900 +g958 +tp13075 +a(g548 +V61 +p13076 +tp13077 +a(g900 +g958 +tp13078 +a(g548 +V32 +p13079 +tp13080 +a(g900 +g958 +tp13081 +a(g548 +V3B +p13082 +tp13083 +a(g900 +g958 +tp13084 +a(g548 +V0A +p13085 +tp13086 +a(g900 +g958 +tp13087 +a(g900 +g958 +tp13088 +a(g548 +V20 +p13089 +tp13090 +a(g900 +g958 +tp13091 +a(g548 +V20 +p13092 +tp13093 +a(g900 +g958 +tp13094 +a(g548 +V20 +p13095 +tp13096 +a(g900 +g958 +tp13097 +a(g548 +V20 +p13098 +tp13099 +a(g900 +g958 +tp13100 +a(g548 +V20 +p13101 +tp13102 +a(g900 +g958 +tp13103 +a(g900 +g958 +tp13104 +a(g548 +V20 +p13105 +tp13106 +a(g900 +g958 +tp13107 +a(g548 +V20 +p13108 +tp13109 +a(g900 +g958 +tp13110 +a(g548 +V20 +p13111 +tp13112 +a(g900 +g958 +tp13113 +a(g548 +V20 +p13114 +tp13115 +a(g900 +g958 +tp13116 +a(g548 +V20 +p13117 +tp13118 +a(g900 +V +p13119 +tp13120 +a(g341 +V".".~.a2;........... +p13121 +tp13122 +a(g900 +V\u000a +p13123 +tp13124 +a(g8 +V00000D34 +p13125 +tp13126 +a(g900 +g958 +tp13127 +a(g900 +g958 +tp13128 +a(g548 +V20 +p13129 +tp13130 +a(g900 +g958 +tp13131 +a(g548 +V20 +p13132 +tp13133 +a(g900 +g958 +tp13134 +a(g548 +V7D +p13135 +tp13136 +a(g900 +g958 +tp13137 +a(g548 +V0A +p13138 +tp13139 +a(g900 +g958 +tp13140 +a(g548 +V20 +p13141 +tp13142 +a(g900 +g958 +tp13143 +a(g900 +g958 +tp13144 +a(g548 +V20 +p13145 +tp13146 +a(g900 +g958 +tp13147 +a(g548 +V20 +p13148 +tp13149 +a(g900 +g958 +tp13150 +a(g548 +V20 +p13151 +tp13152 +a(g900 +g958 +tp13153 +a(g548 +V20 +p13154 +tp13155 +a(g900 +g958 +tp13156 +a(g548 +V20 +p13157 +tp13158 +a(g900 +g958 +tp13159 +a(g900 +g958 +tp13160 +a(g548 +V20 +p13161 +tp13162 +a(g900 +g958 +tp13163 +a(g548 +V20 +p13164 +tp13165 +a(g900 +g958 +tp13166 +a(g548 +V20 +p13167 +tp13168 +a(g900 +g958 +tp13169 +a(g548 +V20 +p13170 +tp13171 +a(g900 +g958 +tp13172 +a(g548 +V20 +p13173 +tp13174 +a(g900 +g958 +tp13175 +a(g900 +g958 +tp13176 +a(g548 +V20 +p13177 +tp13178 +a(g900 +g958 +tp13179 +a(g548 +V65 +p13180 +tp13181 +a(g900 +g958 +tp13182 +a(g548 +V6C +p13183 +tp13184 +a(g900 +g958 +tp13185 +a(g548 +V73 +p13186 +tp13187 +a(g900 +g958 +tp13188 +a(g548 +V65 +p13189 +tp13190 +a(g900 +V +p13191 +tp13192 +a(g341 +V..}.............else +p13193 +tp13194 +a(g900 +V\u000a +p13195 +tp13196 +a(g8 +V00000D48 +p13197 +tp13198 +a(g900 +g958 +tp13199 +a(g900 +g958 +tp13200 +a(g548 +V20 +p13201 +tp13202 +a(g900 +g958 +tp13203 +a(g548 +V20 +p13204 +tp13205 +a(g900 +g958 +tp13206 +a(g548 +V20 +p13207 +tp13208 +a(g900 +g958 +tp13209 +a(g548 +V20 +p13210 +tp13211 +a(g900 +g958 +tp13212 +a(g548 +V0A +p13213 +tp13214 +a(g900 +g958 +tp13215 +a(g900 +g958 +tp13216 +a(g548 +V20 +p13217 +tp13218 +a(g900 +g958 +tp13219 +a(g548 +V20 +p13220 +tp13221 +a(g900 +g958 +tp13222 +a(g548 +V20 +p13223 +tp13224 +a(g900 +g958 +tp13225 +a(g548 +V20 +p13226 +tp13227 +a(g900 +g958 +tp13228 +a(g548 +V20 +p13229 +tp13230 +a(g900 +g958 +tp13231 +a(g900 +g958 +tp13232 +a(g548 +V20 +p13233 +tp13234 +a(g900 +g958 +tp13235 +a(g548 +V20 +p13236 +tp13237 +a(g900 +g958 +tp13238 +a(g548 +V20 +p13239 +tp13240 +a(g900 +g958 +tp13241 +a(g548 +V20 +p13242 +tp13243 +a(g900 +g958 +tp13244 +a(g548 +V20 +p13245 +tp13246 +a(g900 +g958 +tp13247 +a(g900 +g958 +tp13248 +a(g548 +V20 +p13249 +tp13250 +a(g900 +g958 +tp13251 +a(g548 +V20 +p13252 +tp13253 +a(g900 +g958 +tp13254 +a(g548 +V20 +p13255 +tp13256 +a(g900 +g958 +tp13257 +a(g548 +V20 +p13258 +tp13259 +a(g900 +g958 +tp13260 +a(g548 +V20 +p13261 +tp13262 +a(g900 +V +p13263 +tp13264 +a(g341 +V.................... +p13265 +tp13266 +a(g900 +V\u000a +p13267 +tp13268 +a(g8 +V00000D5C +p13269 +tp13270 +a(g900 +g958 +tp13271 +a(g900 +g958 +tp13272 +a(g548 +V20 +p13273 +tp13274 +a(g900 +g958 +tp13275 +a(g548 +V72 +p13276 +tp13277 +a(g900 +g958 +tp13278 +a(g548 +V65 +p13279 +tp13280 +a(g900 +g958 +tp13281 +a(g548 +V74 +p13282 +tp13283 +a(g900 +g958 +tp13284 +a(g548 +V20 +p13285 +tp13286 +a(g900 +g958 +tp13287 +a(g900 +g958 +tp13288 +a(g548 +V7E +p13289 +tp13290 +a(g900 +g958 +tp13291 +a(g548 +V3D +p13292 +tp13293 +a(g900 +g958 +tp13294 +a(g548 +V20 +p13295 +tp13296 +a(g900 +g958 +tp13297 +a(g548 +V2A +p13298 +tp13299 +a(g900 +g958 +tp13300 +a(g548 +V61 +p13301 +tp13302 +a(g900 +g958 +tp13303 +a(g900 +g958 +tp13304 +a(g548 +V6C +p13305 +tp13306 +a(g900 +g958 +tp13307 +a(g548 +V74 +p13308 +tp13309 +a(g900 +g958 +tp13310 +a(g548 +V65 +p13311 +tp13312 +a(g900 +g958 +tp13313 +a(g548 +V72 +p13314 +tp13315 +a(g900 +g958 +tp13316 +a(g548 +V6E +p13317 +tp13318 +a(g900 +g958 +tp13319 +a(g900 +g958 +tp13320 +a(g548 +V61 +p13321 +tp13322 +a(g900 +g958 +tp13323 +a(g548 +V74 +p13324 +tp13325 +a(g900 +g958 +tp13326 +a(g548 +V69 +p13327 +tp13328 +a(g900 +g958 +tp13329 +a(g548 +V76 +p13330 +tp13331 +a(g900 +g958 +tp13332 +a(g548 +V65 +p13333 +tp13334 +a(g900 +V +p13335 +tp13336 +a(g341 +V.ret.~=.*alternative +p13337 +tp13338 +a(g900 +V\u000a +p13339 +tp13340 +a(g8 +V00000D70 +p13341 +tp13342 +a(g900 +g958 +tp13343 +a(g900 +g958 +tp13344 +a(g548 +V73 +p13345 +tp13346 +a(g900 +g958 +tp13347 +a(g548 +V3B +p13348 +tp13349 +a(g900 +g958 +tp13350 +a(g548 +V20 +p13351 +tp13352 +a(g900 +g958 +tp13353 +a(g548 +V20 +p13354 +tp13355 +a(g900 +g958 +tp13356 +a(g548 +V20 +p13357 +tp13358 +a(g900 +g958 +tp13359 +a(g900 +g958 +tp13360 +a(g548 +V20 +p13361 +tp13362 +a(g900 +g958 +tp13363 +a(g548 +V2F +p13364 +tp13365 +a(g900 +g958 +tp13366 +a(g548 +V2F +p13367 +tp13368 +a(g900 +g958 +tp13369 +a(g548 +V20 +p13370 +tp13371 +a(g900 +g958 +tp13372 +a(g548 +V61 +p13373 +tp13374 +a(g900 +g958 +tp13375 +a(g900 +g958 +tp13376 +a(g548 +V70 +p13377 +tp13378 +a(g900 +g958 +tp13379 +a(g548 +V70 +p13380 +tp13381 +a(g900 +g958 +tp13382 +a(g548 +V65 +p13383 +tp13384 +a(g900 +g958 +tp13385 +a(g548 +V6E +p13386 +tp13387 +a(g900 +g958 +tp13388 +a(g548 +V64 +p13389 +tp13390 +a(g900 +g958 +tp13391 +a(g900 +g958 +tp13392 +a(g548 +V20 +p13393 +tp13394 +a(g900 +g958 +tp13395 +a(g548 +V74 +p13396 +tp13397 +a(g900 +g958 +tp13398 +a(g548 +V68 +p13399 +tp13400 +a(g900 +g958 +tp13401 +a(g548 +V65 +p13402 +tp13403 +a(g900 +g958 +tp13404 +a(g548 +V73 +p13405 +tp13406 +a(g900 +V +p13407 +tp13408 +a(g341 +Vs;....//.append.thes +p13409 +tp13410 +a(g900 +V\u000a +p13411 +tp13412 +a(g8 +V00000D84 +p13413 +tp13414 +a(g900 +g958 +tp13415 +a(g900 +g958 +tp13416 +a(g548 +V65 +p13417 +tp13418 +a(g900 +g958 +tp13419 +a(g548 +V20 +p13420 +tp13421 +a(g900 +g958 +tp13422 +a(g548 +V61 +p13423 +tp13424 +a(g900 +g958 +tp13425 +a(g548 +V6C +p13426 +tp13427 +a(g900 +g958 +tp13428 +a(g548 +V74 +p13429 +tp13430 +a(g900 +g958 +tp13431 +a(g900 +g958 +tp13432 +a(g548 +V65 +p13433 +tp13434 +a(g900 +g958 +tp13435 +a(g548 +V72 +p13436 +tp13437 +a(g900 +g958 +tp13438 +a(g548 +V6E +p13439 +tp13440 +a(g900 +g958 +tp13441 +a(g548 +V61 +p13442 +tp13443 +a(g900 +g958 +tp13444 +a(g548 +V74 +p13445 +tp13446 +a(g900 +g958 +tp13447 +a(g900 +g958 +tp13448 +a(g548 +V69 +p13449 +tp13450 +a(g900 +g958 +tp13451 +a(g548 +V76 +p13452 +tp13453 +a(g900 +g958 +tp13454 +a(g548 +V65 +p13455 +tp13456 +a(g900 +g958 +tp13457 +a(g548 +V73 +p13458 +tp13459 +a(g900 +g958 +tp13460 +a(g548 +V0A +p13461 +tp13462 +a(g900 +g958 +tp13463 +a(g900 +g958 +tp13464 +a(g548 +V20 +p13465 +tp13466 +a(g900 +g958 +tp13467 +a(g548 +V20 +p13468 +tp13469 +a(g900 +g958 +tp13470 +a(g548 +V20 +p13471 +tp13472 +a(g900 +g958 +tp13473 +a(g548 +V20 +p13474 +tp13475 +a(g900 +g958 +tp13476 +a(g548 +V20 +p13477 +tp13478 +a(g900 +V +p13479 +tp13480 +a(g341 +Ve.alternatives...... +p13481 +tp13482 +a(g900 +V\u000a +p13483 +tp13484 +a(g8 +V00000D98 +p13485 +tp13486 +a(g900 +g958 +tp13487 +a(g900 +g958 +tp13488 +a(g548 +V20 +p13489 +tp13490 +a(g900 +g958 +tp13491 +a(g548 +V20 +p13492 +tp13493 +a(g900 +g958 +tp13494 +a(g548 +V20 +p13495 +tp13496 +a(g900 +g958 +tp13497 +a(g548 +V7D +p13498 +tp13499 +a(g900 +g958 +tp13500 +a(g548 +V0A +p13501 +tp13502 +a(g900 +g958 +tp13503 +a(g900 +g958 +tp13504 +a(g548 +V20 +p13505 +tp13506 +a(g900 +g958 +tp13507 +a(g548 +V20 +p13508 +tp13509 +a(g900 +g958 +tp13510 +a(g548 +V20 +p13511 +tp13512 +a(g900 +g958 +tp13513 +a(g548 +V20 +p13514 +tp13515 +a(g900 +g958 +tp13516 +a(g548 +V20 +p13517 +tp13518 +a(g900 +g958 +tp13519 +a(g900 +g958 +tp13520 +a(g548 +V20 +p13521 +tp13522 +a(g900 +g958 +tp13523 +a(g548 +V20 +p13524 +tp13525 +a(g900 +g958 +tp13526 +a(g548 +V20 +p13527 +tp13528 +a(g900 +g958 +tp13529 +a(g548 +V2F +p13530 +tp13531 +a(g900 +g958 +tp13532 +a(g548 +V2F +p13533 +tp13534 +a(g900 +g958 +tp13535 +a(g900 +g958 +tp13536 +a(g548 +V20 +p13537 +tp13538 +a(g900 +g958 +tp13539 +a(g548 +V54 +p13540 +tp13541 +a(g900 +g958 +tp13542 +a(g548 +V72 +p13543 +tp13544 +a(g900 +g958 +tp13545 +a(g548 +V79 +p13546 +tp13547 +a(g900 +g958 +tp13548 +a(g548 +V20 +p13549 +tp13550 +a(g900 +V +p13551 +tp13552 +a(g341 +V...}.........//.Try. +p13553 +tp13554 +a(g900 +V\u000a +p13555 +tp13556 +a(g8 +V00000DAC +p13557 +tp13558 +a(g900 +g958 +tp13559 +a(g900 +g958 +tp13560 +a(g548 +V74 +p13561 +tp13562 +a(g900 +g958 +tp13563 +a(g548 +V6F +p13564 +tp13565 +a(g900 +g958 +tp13566 +a(g548 +V20 +p13567 +tp13568 +a(g900 +g958 +tp13569 +a(g548 +V6B +p13570 +tp13571 +a(g900 +g958 +tp13572 +a(g548 +V65 +p13573 +tp13574 +a(g900 +g958 +tp13575 +a(g900 +g958 +tp13576 +a(g548 +V65 +p13577 +tp13578 +a(g900 +g958 +tp13579 +a(g548 +V70 +p13580 +tp13581 +a(g900 +g958 +tp13582 +a(g548 +V20 +p13583 +tp13584 +a(g900 +g958 +tp13585 +a(g548 +V31 +p13586 +tp13587 +a(g900 +g958 +tp13588 +a(g548 +V20 +p13589 +tp13590 +a(g900 +g958 +tp13591 +a(g900 +g958 +tp13592 +a(g548 +V64 +p13593 +tp13594 +a(g900 +g958 +tp13595 +a(g548 +V69 +p13596 +tp13597 +a(g900 +g958 +tp13598 +a(g548 +V67 +p13599 +tp13600 +a(g900 +g958 +tp13601 +a(g548 +V69 +p13602 +tp13603 +a(g900 +g958 +tp13604 +a(g548 +V74 +p13605 +tp13606 +a(g900 +g958 +tp13607 +a(g900 +g958 +tp13608 +a(g548 +V2C +p13609 +tp13610 +a(g900 +g958 +tp13611 +a(g548 +V20 +p13612 +tp13613 +a(g900 +g958 +tp13614 +a(g548 +V6F +p13615 +tp13616 +a(g900 +g958 +tp13617 +a(g548 +V6E +p13618 +tp13619 +a(g900 +g958 +tp13620 +a(g548 +V6C +p13621 +tp13622 +a(g900 +V +p13623 +tp13624 +a(g341 +Vto.keep.1.digit,.onl +p13625 +tp13626 +a(g900 +V\u000a +p13627 +tp13628 +a(g8 +V00000DC0 +p13629 +tp13630 +a(g900 +g958 +tp13631 +a(g900 +g958 +tp13632 +a(g548 +V79 +p13633 +tp13634 +a(g900 +g958 +tp13635 +a(g548 +V20 +p13636 +tp13637 +a(g900 +g958 +tp13638 +a(g548 +V69 +p13639 +tp13640 +a(g900 +g958 +tp13641 +a(g548 +V66 +p13642 +tp13643 +a(g900 +g958 +tp13644 +a(g548 +V20 +p13645 +tp13646 +a(g900 +g958 +tp13647 +a(g900 +g958 +tp13648 +a(g548 +V77 +p13649 +tp13650 +a(g900 +g958 +tp13651 +a(g548 +V65 +p13652 +tp13653 +a(g900 +g958 +tp13654 +a(g548 +V27 +p13655 +tp13656 +a(g900 +g958 +tp13657 +a(g548 +V72 +p13658 +tp13659 +a(g900 +g958 +tp13660 +a(g548 +V65 +p13661 +tp13662 +a(g900 +g958 +tp13663 +a(g900 +g958 +tp13664 +a(g548 +V20 +p13665 +tp13666 +a(g900 +g958 +tp13667 +a(g548 +V61 +p13668 +tp13669 +a(g900 +g958 +tp13670 +a(g548 +V6C +p13671 +tp13672 +a(g900 +g958 +tp13673 +a(g548 +V6C +p13674 +tp13675 +a(g900 +g958 +tp13676 +a(g548 +V6F +p13677 +tp13678 +a(g900 +g958 +tp13679 +a(g900 +g958 +tp13680 +a(g548 +V77 +p13681 +tp13682 +a(g900 +g958 +tp13683 +a(g548 +V65 +p13684 +tp13685 +a(g900 +g958 +tp13686 +a(g548 +V64 +p13687 +tp13688 +a(g900 +g958 +tp13689 +a(g548 +V20 +p13690 +tp13691 +a(g900 +g958 +tp13692 +a(g548 +V61 +p13693 +tp13694 +a(g900 +V +p13695 +tp13696 +a(g341 +Vy.if.we're.allowed.a +p13697 +tp13698 +a(g900 +V\u000a +p13699 +tp13700 +a(g8 +V00000DD4 +p13701 +tp13702 +a(g900 +g958 +tp13703 +a(g900 +g958 +tp13704 +a(g548 +V6E +p13705 +tp13706 +a(g900 +g958 +tp13707 +a(g548 +V64 +p13708 +tp13709 +a(g900 +g958 +tp13710 +a(g548 +V20 +p13711 +tp13712 +a(g900 +g958 +tp13713 +a(g548 +V6E +p13714 +tp13715 +a(g900 +g958 +tp13716 +a(g548 +V6F +p13717 +tp13718 +a(g900 +g958 +tp13719 +a(g900 +g958 +tp13720 +a(g548 +V20 +p13721 +tp13722 +a(g900 +g958 +tp13723 +a(g548 +V6F +p13724 +tp13725 +a(g900 +g958 +tp13726 +a(g548 +V74 +p13727 +tp13728 +a(g900 +g958 +tp13729 +a(g548 +V68 +p13730 +tp13731 +a(g900 +g958 +tp13732 +a(g548 +V65 +p13733 +tp13734 +a(g900 +g958 +tp13735 +a(g900 +g958 +tp13736 +a(g548 +V72 +p13737 +tp13738 +a(g900 +g958 +tp13739 +a(g548 +V0A +p13740 +tp13741 +a(g900 +g958 +tp13742 +a(g548 +V20 +p13743 +tp13744 +a(g900 +g958 +tp13745 +a(g548 +V20 +p13746 +tp13747 +a(g900 +g958 +tp13748 +a(g548 +V20 +p13749 +tp13750 +a(g900 +g958 +tp13751 +a(g900 +g958 +tp13752 +a(g548 +V20 +p13753 +tp13754 +a(g900 +g958 +tp13755 +a(g548 +V20 +p13756 +tp13757 +a(g900 +g958 +tp13758 +a(g548 +V20 +p13759 +tp13760 +a(g900 +g958 +tp13761 +a(g548 +V20 +p13762 +tp13763 +a(g900 +g958 +tp13764 +a(g548 +V20 +p13765 +tp13766 +a(g900 +V +p13767 +tp13768 +a(g341 +Vnd.no.other......... +p13769 +tp13770 +a(g900 +V\u000a +p13771 +tp13772 +a(g8 +V00000DE8 +p13773 +tp13774 +a(g900 +g958 +tp13775 +a(g900 +g958 +tp13776 +a(g548 +V2F +p13777 +tp13778 +a(g900 +g958 +tp13779 +a(g548 +V2F +p13780 +tp13781 +a(g900 +g958 +tp13782 +a(g548 +V20 +p13783 +tp13784 +a(g900 +g958 +tp13785 +a(g548 +V61 +p13786 +tp13787 +a(g900 +g958 +tp13788 +a(g548 +V6C +p13789 +tp13790 +a(g900 +g958 +tp13791 +a(g900 +g958 +tp13792 +a(g548 +V74 +p13793 +tp13794 +a(g900 +g958 +tp13795 +a(g548 +V65 +p13796 +tp13797 +a(g900 +g958 +tp13798 +a(g548 +V72 +p13799 +tp13800 +a(g900 +g958 +tp13801 +a(g548 +V6E +p13802 +tp13803 +a(g900 +g958 +tp13804 +a(g548 +V61 +p13805 +tp13806 +a(g900 +g958 +tp13807 +a(g900 +g958 +tp13808 +a(g548 +V74 +p13809 +tp13810 +a(g900 +g958 +tp13811 +a(g548 +V69 +p13812 +tp13813 +a(g900 +g958 +tp13814 +a(g548 +V76 +p13815 +tp13816 +a(g900 +g958 +tp13817 +a(g548 +V65 +p13818 +tp13819 +a(g900 +g958 +tp13820 +a(g548 +V73 +p13821 +tp13822 +a(g900 +g958 +tp13823 +a(g900 +g958 +tp13824 +a(g548 +V20 +p13825 +tp13826 +a(g900 +g958 +tp13827 +a(g548 +V77 +p13828 +tp13829 +a(g900 +g958 +tp13830 +a(g548 +V65 +p13831 +tp13832 +a(g900 +g958 +tp13833 +a(g548 +V72 +p13834 +tp13835 +a(g900 +g958 +tp13836 +a(g548 +V65 +p13837 +tp13838 +a(g900 +V +p13839 +tp13840 +a(g341 +V//.alternatives.were +p13841 +tp13842 +a(g900 +V\u000a +p13843 +tp13844 +a(g8 +V00000DFC +p13845 +tp13846 +a(g900 +g958 +tp13847 +a(g900 +g958 +tp13848 +a(g548 +V20 +p13849 +tp13850 +a(g900 +g958 +tp13851 +a(g548 +V66 +p13852 +tp13853 +a(g900 +g958 +tp13854 +a(g548 +V6F +p13855 +tp13856 +a(g900 +g958 +tp13857 +a(g548 +V75 +p13858 +tp13859 +a(g900 +g958 +tp13860 +a(g548 +V6E +p13861 +tp13862 +a(g900 +g958 +tp13863 +a(g900 +g958 +tp13864 +a(g548 +V64 +p13865 +tp13866 +a(g900 +g958 +tp13867 +a(g548 +V0A +p13868 +tp13869 +a(g900 +g958 +tp13870 +a(g548 +V20 +p13871 +tp13872 +a(g900 +g958 +tp13873 +a(g548 +V20 +p13874 +tp13875 +a(g900 +g958 +tp13876 +a(g548 +V20 +p13877 +tp13878 +a(g900 +g958 +tp13879 +a(g900 +g958 +tp13880 +a(g548 +V20 +p13881 +tp13882 +a(g900 +g958 +tp13883 +a(g548 +V20 +p13884 +tp13885 +a(g900 +g958 +tp13886 +a(g548 +V20 +p13887 +tp13888 +a(g900 +g958 +tp13889 +a(g548 +V20 +p13890 +tp13891 +a(g900 +g958 +tp13892 +a(g548 +V20 +p13893 +tp13894 +a(g900 +g958 +tp13895 +a(g900 +g958 +tp13896 +a(g548 +V2F +p13897 +tp13898 +a(g900 +g958 +tp13899 +a(g548 +V2F +p13900 +tp13901 +a(g900 +g958 +tp13902 +a(g548 +V20 +p13903 +tp13904 +a(g900 +g958 +tp13905 +a(g548 +V54 +p13906 +tp13907 +a(g900 +g958 +tp13908 +a(g548 +V65 +p13909 +tp13910 +a(g900 +V +p13911 +tp13912 +a(g341 +V.found.........//.Te +p13913 +tp13914 +a(g900 +V\u000a +p13915 +tp13916 +a(g8 +V00000E10 +p13917 +tp13918 +a(g900 +g958 +tp13919 +a(g900 +g958 +tp13920 +a(g548 +V73 +p13921 +tp13922 +a(g900 +g958 +tp13923 +a(g548 +V74 +p13924 +tp13925 +a(g900 +g958 +tp13926 +a(g548 +V69 +p13927 +tp13928 +a(g900 +g958 +tp13929 +a(g548 +V6E +p13930 +tp13931 +a(g900 +g958 +tp13932 +a(g548 +V67 +p13933 +tp13934 +a(g900 +g958 +tp13935 +a(g900 +g958 +tp13936 +a(g548 +V20 +p13937 +tp13938 +a(g900 +g958 +tp13939 +a(g548 +V22 +p13940 +tp13941 +a(g900 +g958 +tp13942 +a(g548 +V72 +p13943 +tp13944 +a(g900 +g958 +tp13945 +a(g548 +V65 +p13946 +tp13947 +a(g900 +g958 +tp13948 +a(g548 +V74 +p13949 +tp13950 +a(g900 +g958 +tp13951 +a(g900 +g958 +tp13952 +a(g548 +V2E +p13953 +tp13954 +a(g900 +g958 +tp13955 +a(g548 +V6C +p13956 +tp13957 +a(g900 +g958 +tp13958 +a(g548 +V65 +p13959 +tp13960 +a(g900 +g958 +tp13961 +a(g548 +V6E +p13962 +tp13963 +a(g900 +g958 +tp13964 +a(g548 +V67 +p13965 +tp13966 +a(g900 +g958 +tp13967 +a(g900 +g958 +tp13968 +a(g548 +V74 +p13969 +tp13970 +a(g900 +g958 +tp13971 +a(g548 +V68 +p13972 +tp13973 +a(g900 +g958 +tp13974 +a(g548 +V22 +p13975 +tp13976 +a(g900 +g958 +tp13977 +a(g548 +V20 +p13978 +tp13979 +a(g900 +g958 +tp13980 +a(g548 +V6D +p13981 +tp13982 +a(g900 +V +p13983 +tp13984 +a(g341 +Vsting."ret.length".m +p13985 +tp13986 +a(g900 +V\u000a +p13987 +tp13988 +a(g8 +V00000E24 +p13989 +tp13990 +a(g900 +g958 +tp13991 +a(g900 +g958 +tp13992 +a(g548 +V61 +p13993 +tp13994 +a(g900 +g958 +tp13995 +a(g548 +V6B +p13996 +tp13997 +a(g900 +g958 +tp13998 +a(g548 +V65 +p13999 +tp14000 +a(g900 +g958 +tp14001 +a(g548 +V73 +p14002 +tp14003 +a(g900 +g958 +tp14004 +a(g548 +V20 +p14005 +tp14006 +a(g900 +g958 +tp14007 +a(g900 +g958 +tp14008 +a(g548 +V6D +p14009 +tp14010 +a(g900 +g958 +tp14011 +a(g548 +V6F +p14012 +tp14013 +a(g900 +g958 +tp14014 +a(g548 +V72 +p14015 +tp14016 +a(g900 +g958 +tp14017 +a(g548 +V65 +p14018 +tp14019 +a(g900 +g958 +tp14020 +a(g548 +V20 +p14021 +tp14022 +a(g900 +g958 +tp14023 +a(g900 +g958 +tp14024 +a(g548 +V73 +p14025 +tp14026 +a(g900 +g958 +tp14027 +a(g548 +V65 +p14028 +tp14029 +a(g900 +g958 +tp14030 +a(g548 +V6E +p14031 +tp14032 +a(g900 +g958 +tp14033 +a(g548 +V73 +p14034 +tp14035 +a(g900 +g958 +tp14036 +a(g548 +V65 +p14037 +tp14038 +a(g900 +g958 +tp14039 +a(g900 +g958 +tp14040 +a(g548 +V20 +p14041 +tp14042 +a(g900 +g958 +tp14043 +a(g548 +V74 +p14044 +tp14045 +a(g900 +g958 +tp14046 +a(g548 +V68 +p14047 +tp14048 +a(g900 +g958 +tp14049 +a(g548 +V61 +p14050 +tp14051 +a(g900 +g958 +tp14052 +a(g548 +V6E +p14053 +tp14054 +a(g900 +V +p14055 +tp14056 +a(g341 +Vakes.more.sense.than +p14057 +tp14058 +a(g900 +V\u000a +p14059 +tp14060 +a(g8 +V00000E38 +p14061 +tp14062 +a(g900 +g958 +tp14063 +a(g900 +g958 +tp14064 +a(g548 +V20 +p14065 +tp14066 +a(g900 +g958 +tp14067 +a(g548 +V74 +p14068 +tp14069 +a(g900 +g958 +tp14070 +a(g548 +V65 +p14071 +tp14072 +a(g900 +g958 +tp14073 +a(g548 +V73 +p14074 +tp14075 +a(g900 +g958 +tp14076 +a(g548 +V74 +p14077 +tp14078 +a(g900 +g958 +tp14079 +a(g900 +g958 +tp14080 +a(g548 +V69 +p14081 +tp14082 +a(g900 +g958 +tp14083 +a(g548 +V6E +p14084 +tp14085 +a(g900 +g958 +tp14086 +a(g548 +V67 +p14087 +tp14088 +a(g900 +g958 +tp14089 +a(g548 +V20 +p14090 +tp14091 +a(g900 +g958 +tp14092 +a(g548 +V22 +p14093 +tp14094 +a(g900 +g958 +tp14095 +a(g900 +g958 +tp14096 +a(g548 +V66 +p14097 +tp14098 +a(g900 +g958 +tp14099 +a(g548 +V6F +p14100 +tp14101 +a(g900 +g958 +tp14102 +a(g548 +V75 +p14103 +tp14104 +a(g900 +g958 +tp14105 +a(g548 +V6E +p14106 +tp14107 +a(g900 +g958 +tp14108 +a(g548 +V64 +p14109 +tp14110 +a(g900 +g958 +tp14111 +a(g900 +g958 +tp14112 +a(g548 +V77 +p14113 +tp14114 +a(g900 +g958 +tp14115 +a(g548 +V6F +p14116 +tp14117 +a(g900 +g958 +tp14118 +a(g548 +V72 +p14119 +tp14120 +a(g900 +g958 +tp14121 +a(g548 +V64 +p14122 +tp14123 +a(g900 +g958 +tp14124 +a(g548 +V22 +p14125 +tp14126 +a(g900 +V +p14127 +tp14128 +a(g341 +V.testing."foundword" +p14129 +tp14130 +a(g900 +V\u000a +p14131 +tp14132 +a(g8 +V00000E4C +p14133 +tp14134 +a(g900 +g958 +tp14135 +a(g900 +g958 +tp14136 +a(g548 +V2C +p14137 +tp14138 +a(g900 +g958 +tp14139 +a(g548 +V0A +p14140 +tp14141 +a(g900 +g958 +tp14142 +a(g548 +V20 +p14143 +tp14144 +a(g900 +g958 +tp14145 +a(g548 +V20 +p14146 +tp14147 +a(g900 +g958 +tp14148 +a(g548 +V20 +p14149 +tp14150 +a(g900 +g958 +tp14151 +a(g900 +g958 +tp14152 +a(g548 +V20 +p14153 +tp14154 +a(g900 +g958 +tp14155 +a(g548 +V20 +p14156 +tp14157 +a(g900 +g958 +tp14158 +a(g548 +V20 +p14159 +tp14160 +a(g900 +g958 +tp14161 +a(g548 +V20 +p14162 +tp14163 +a(g900 +g958 +tp14164 +a(g548 +V20 +p14165 +tp14166 +a(g900 +g958 +tp14167 +a(g900 +g958 +tp14168 +a(g548 +V2F +p14169 +tp14170 +a(g900 +g958 +tp14171 +a(g548 +V2F +p14172 +tp14173 +a(g900 +g958 +tp14174 +a(g548 +V20 +p14175 +tp14176 +a(g900 +g958 +tp14177 +a(g548 +V62 +p14178 +tp14179 +a(g900 +g958 +tp14180 +a(g548 +V75 +p14181 +tp14182 +a(g900 +g958 +tp14183 +a(g900 +g958 +tp14184 +a(g548 +V74 +p14185 +tp14186 +a(g900 +g958 +tp14187 +a(g548 +V20 +p14188 +tp14189 +a(g900 +g958 +tp14190 +a(g548 +V74 +p14191 +tp14192 +a(g900 +g958 +tp14193 +a(g548 +V68 +p14194 +tp14195 +a(g900 +g958 +tp14196 +a(g548 +V65 +p14197 +tp14198 +a(g900 +V +p14199 +tp14200 +a(g341 +V,.........//.but.the +p14201 +tp14202 +a(g900 +V\u000a +p14203 +tp14204 +a(g8 +V00000E60 +p14205 +tp14206 +a(g900 +g958 +tp14207 +a(g900 +g958 +tp14208 +a(g548 +V20 +p14209 +tp14210 +a(g900 +g958 +tp14211 +a(g548 +V6F +p14212 +tp14213 +a(g900 +g958 +tp14214 +a(g548 +V74 +p14215 +tp14216 +a(g900 +g958 +tp14217 +a(g548 +V68 +p14218 +tp14219 +a(g900 +g958 +tp14220 +a(g548 +V65 +p14221 +tp14222 +a(g900 +g958 +tp14223 +a(g900 +g958 +tp14224 +a(g548 +V72 +p14225 +tp14226 +a(g900 +g958 +tp14227 +a(g548 +V20 +p14228 +tp14229 +a(g900 +g958 +tp14230 +a(g548 +V69 +p14231 +tp14232 +a(g900 +g958 +tp14233 +a(g548 +V6D +p14234 +tp14235 +a(g900 +g958 +tp14236 +a(g548 +V70 +p14237 +tp14238 +a(g900 +g958 +tp14239 +a(g900 +g958 +tp14240 +a(g548 +V6C +p14241 +tp14242 +a(g900 +g958 +tp14243 +a(g548 +V65 +p14244 +tp14245 +a(g900 +g958 +tp14246 +a(g548 +V6D +p14247 +tp14248 +a(g900 +g958 +tp14249 +a(g548 +V65 +p14250 +tp14251 +a(g900 +g958 +tp14252 +a(g548 +V6E +p14253 +tp14254 +a(g900 +g958 +tp14255 +a(g900 +g958 +tp14256 +a(g548 +V74 +p14257 +tp14258 +a(g900 +g958 +tp14259 +a(g548 +V61 +p14260 +tp14261 +a(g900 +g958 +tp14262 +a(g548 +V74 +p14263 +tp14264 +a(g900 +g958 +tp14265 +a(g548 +V69 +p14266 +tp14267 +a(g900 +g958 +tp14268 +a(g548 +V6F +p14269 +tp14270 +a(g900 +V +p14271 +tp14272 +a(g341 +V.other.implementatio +p14273 +tp14274 +a(g900 +V\u000a +p14275 +tp14276 +a(g8 +V00000E74 +p14277 +tp14278 +a(g900 +g958 +tp14279 +a(g900 +g958 +tp14280 +a(g548 +V6E +p14281 +tp14282 +a(g900 +g958 +tp14283 +a(g548 +V73 +p14284 +tp14285 +a(g900 +g958 +tp14286 +a(g548 +V20 +p14287 +tp14288 +a(g900 +g958 +tp14289 +a(g548 +V73 +p14290 +tp14291 +a(g900 +g958 +tp14292 +a(g548 +V65 +p14293 +tp14294 +a(g900 +g958 +tp14295 +a(g900 +g958 +tp14296 +a(g548 +V65 +p14297 +tp14298 +a(g900 +g958 +tp14299 +a(g548 +V6D +p14300 +tp14301 +a(g900 +g958 +tp14302 +a(g548 +V20 +p14303 +tp14304 +a(g900 +g958 +tp14305 +a(g548 +V74 +p14306 +tp14307 +a(g900 +g958 +tp14308 +a(g548 +V6F +p14309 +tp14310 +a(g900 +g958 +tp14311 +a(g900 +g958 +tp14312 +a(g548 +V20 +p14313 +tp14314 +a(g900 +g958 +tp14315 +a(g548 +V64 +p14316 +tp14317 +a(g900 +g958 +tp14318 +a(g548 +V6F +p14319 +tp14320 +a(g900 +g958 +tp14321 +a(g548 +V20 +p14322 +tp14323 +a(g900 +g958 +tp14324 +a(g548 +V6A +p14325 +tp14326 +a(g900 +g958 +tp14327 +a(g900 +g958 +tp14328 +a(g548 +V75 +p14329 +tp14330 +a(g900 +g958 +tp14331 +a(g548 +V73 +p14332 +tp14333 +a(g900 +g958 +tp14334 +a(g548 +V74 +p14335 +tp14336 +a(g900 +g958 +tp14337 +a(g548 +V20 +p14338 +tp14339 +a(g900 +g958 +tp14340 +a(g548 +V74 +p14341 +tp14342 +a(g900 +V +p14343 +tp14344 +a(g341 +Vns.seem.to.do.just.t +p14345 +tp14346 +a(g900 +V\u000a +p14347 +tp14348 +a(g8 +V00000E88 +p14349 +tp14350 +a(g900 +g958 +tp14351 +a(g900 +g958 +tp14352 +a(g548 +V68 +p14353 +tp14354 +a(g900 +g958 +tp14355 +a(g548 +V69 +p14356 +tp14357 +a(g900 +g958 +tp14358 +a(g548 +V73 +p14359 +tp14360 +a(g900 +g958 +tp14361 +a(g548 +V2E +p14362 +tp14363 +a(g900 +g958 +tp14364 +a(g548 +V0A +p14365 +tp14366 +a(g900 +g958 +tp14367 +a(g900 +g958 +tp14368 +a(g548 +V20 +p14369 +tp14370 +a(g900 +g958 +tp14371 +a(g548 +V20 +p14372 +tp14373 +a(g900 +g958 +tp14374 +a(g548 +V20 +p14375 +tp14376 +a(g900 +g958 +tp14377 +a(g548 +V20 +p14378 +tp14379 +a(g900 +g958 +tp14380 +a(g548 +V20 +p14381 +tp14382 +a(g900 +g958 +tp14383 +a(g900 +g958 +tp14384 +a(g548 +V20 +p14385 +tp14386 +a(g900 +g958 +tp14387 +a(g548 +V20 +p14388 +tp14389 +a(g900 +g958 +tp14390 +a(g548 +V20 +p14391 +tp14392 +a(g900 +g958 +tp14393 +a(g548 +V69 +p14394 +tp14395 +a(g900 +g958 +tp14396 +a(g548 +V66 +p14397 +tp14398 +a(g900 +g958 +tp14399 +a(g900 +g958 +tp14400 +a(g548 +V20 +p14401 +tp14402 +a(g900 +g958 +tp14403 +a(g548 +V28 +p14404 +tp14405 +a(g900 +g958 +tp14406 +a(g548 +V64 +p14407 +tp14408 +a(g900 +g958 +tp14409 +a(g548 +V69 +p14410 +tp14411 +a(g900 +g958 +tp14412 +a(g548 +V67 +p14413 +tp14414 +a(g900 +V +p14415 +tp14416 +a(g341 +Vhis..........if.(dig +p14417 +tp14418 +a(g900 +V\u000a +p14419 +tp14420 +a(g8 +V00000E9C +p14421 +tp14422 +a(g900 +g958 +tp14423 +a(g900 +g958 +tp14424 +a(g548 +V69 +p14425 +tp14426 +a(g900 +g958 +tp14427 +a(g548 +V74 +p14428 +tp14429 +a(g900 +g958 +tp14430 +a(g548 +V6F +p14431 +tp14432 +a(g900 +g958 +tp14433 +a(g548 +V6B +p14434 +tp14435 +a(g900 +g958 +tp14436 +a(g548 +V20 +p14437 +tp14438 +a(g900 +g958 +tp14439 +a(g900 +g958 +tp14440 +a(g548 +V26 +p14441 +tp14442 +a(g900 +g958 +tp14443 +a(g548 +V26 +p14444 +tp14445 +a(g900 +g958 +tp14446 +a(g548 +V20 +p14447 +tp14448 +a(g900 +g958 +tp14449 +a(g548 +V21 +p14450 +tp14451 +a(g900 +g958 +tp14452 +a(g548 +V66 +p14453 +tp14454 +a(g900 +g958 +tp14455 +a(g900 +g958 +tp14456 +a(g548 +V6F +p14457 +tp14458 +a(g900 +g958 +tp14459 +a(g548 +V75 +p14460 +tp14461 +a(g900 +g958 +tp14462 +a(g548 +V6E +p14463 +tp14464 +a(g900 +g958 +tp14465 +a(g548 +V64 +p14466 +tp14467 +a(g900 +g958 +tp14468 +a(g548 +V77 +p14469 +tp14470 +a(g900 +g958 +tp14471 +a(g900 +g958 +tp14472 +a(g548 +V6F +p14473 +tp14474 +a(g900 +g958 +tp14475 +a(g548 +V72 +p14476 +tp14477 +a(g900 +g958 +tp14478 +a(g548 +V64 +p14479 +tp14480 +a(g900 +g958 +tp14481 +a(g548 +V29 +p14482 +tp14483 +a(g900 +g958 +tp14484 +a(g548 +V20 +p14485 +tp14486 +a(g900 +V +p14487 +tp14488 +a(g341 +Vitok.&&.!foundword). +p14489 +tp14490 +a(g900 +V\u000a +p14491 +tp14492 +a(g8 +V00000EB0 +p14493 +tp14494 +a(g900 +g958 +tp14495 +a(g900 +g958 +tp14496 +a(g548 +V7B +p14497 +tp14498 +a(g900 +g958 +tp14499 +a(g548 +V20 +p14500 +tp14501 +a(g900 +g958 +tp14502 +a(g548 +V2F +p14503 +tp14504 +a(g900 +g958 +tp14505 +a(g548 +V2F +p14506 +tp14507 +a(g900 +g958 +tp14508 +a(g548 +V72 +p14509 +tp14510 +a(g900 +g958 +tp14511 +a(g900 +g958 +tp14512 +a(g548 +V65 +p14513 +tp14514 +a(g900 +g958 +tp14515 +a(g548 +V74 +p14516 +tp14517 +a(g900 +g958 +tp14518 +a(g548 +V2E +p14519 +tp14520 +a(g900 +g958 +tp14521 +a(g548 +V6C +p14522 +tp14523 +a(g900 +g958 +tp14524 +a(g548 +V65 +p14525 +tp14526 +a(g900 +g958 +tp14527 +a(g900 +g958 +tp14528 +a(g548 +V6E +p14529 +tp14530 +a(g900 +g958 +tp14531 +a(g548 +V67 +p14532 +tp14533 +a(g900 +g958 +tp14534 +a(g548 +V74 +p14535 +tp14536 +a(g900 +g958 +tp14537 +a(g548 +V68 +p14538 +tp14539 +a(g900 +g958 +tp14540 +a(g548 +V20 +p14541 +tp14542 +a(g900 +g958 +tp14543 +a(g900 +g958 +tp14544 +a(g548 +V3D +p14545 +tp14546 +a(g900 +g958 +tp14547 +a(g548 +V3D +p14548 +tp14549 +a(g900 +g958 +tp14550 +a(g548 +V20 +p14551 +tp14552 +a(g900 +g958 +tp14553 +a(g548 +V30 +p14554 +tp14555 +a(g900 +g958 +tp14556 +a(g548 +V20 +p14557 +tp14558 +a(g900 +V +p14559 +tp14560 +a(g341 +V{.//ret.length.==.0. +p14561 +tp14562 +a(g900 +V\u000a +p14563 +tp14564 +a(g8 +V00000EC4 +p14565 +tp14566 +a(g900 +g958 +tp14567 +a(g900 +g958 +tp14568 +a(g548 +V20 +p14569 +tp14570 +a(g900 +g958 +tp14571 +a(g548 +V0A +p14572 +tp14573 +a(g900 +g958 +tp14574 +a(g548 +V20 +p14575 +tp14576 +a(g900 +g958 +tp14577 +a(g548 +V20 +p14578 +tp14579 +a(g900 +g958 +tp14580 +a(g548 +V20 +p14581 +tp14582 +a(g900 +g958 +tp14583 +a(g900 +g958 +tp14584 +a(g548 +V20 +p14585 +tp14586 +a(g900 +g958 +tp14587 +a(g548 +V20 +p14588 +tp14589 +a(g900 +g958 +tp14590 +a(g548 +V20 +p14591 +tp14592 +a(g900 +g958 +tp14593 +a(g548 +V20 +p14594 +tp14595 +a(g900 +g958 +tp14596 +a(g548 +V20 +p14597 +tp14598 +a(g900 +g958 +tp14599 +a(g900 +g958 +tp14600 +a(g548 +V20 +p14601 +tp14602 +a(g900 +g958 +tp14603 +a(g548 +V20 +p14604 +tp14605 +a(g900 +g958 +tp14606 +a(g548 +V20 +p14607 +tp14608 +a(g900 +g958 +tp14609 +a(g548 +V20 +p14610 +tp14611 +a(g900 +g958 +tp14612 +a(g548 +V69 +p14613 +tp14614 +a(g900 +g958 +tp14615 +a(g900 +g958 +tp14616 +a(g548 +V66 +p14617 +tp14618 +a(g900 +g958 +tp14619 +a(g548 +V28 +p14620 +tp14621 +a(g900 +g958 +tp14622 +a(g548 +V6E +p14623 +tp14624 +a(g900 +g958 +tp14625 +a(g548 +V75 +p14626 +tp14627 +a(g900 +g958 +tp14628 +a(g548 +V6D +p14629 +tp14630 +a(g900 +V +p14631 +tp14632 +a(g341 +V..............if(num +p14633 +tp14634 +a(g900 +V\u000a +p14635 +tp14636 +a(g8 +V00000ED8 +p14637 +tp14638 +a(g900 +g958 +tp14639 +a(g900 +g958 +tp14640 +a(g548 +V62 +p14641 +tp14642 +a(g900 +g958 +tp14643 +a(g548 +V65 +p14644 +tp14645 +a(g900 +g958 +tp14646 +a(g548 +V72 +p14647 +tp14648 +a(g900 +g958 +tp14649 +a(g548 +V73 +p14650 +tp14651 +a(g900 +g958 +tp14652 +a(g548 +V2E +p14653 +tp14654 +a(g900 +g958 +tp14655 +a(g900 +g958 +tp14656 +a(g548 +V6C +p14657 +tp14658 +a(g900 +g958 +tp14659 +a(g548 +V65 +p14660 +tp14661 +a(g900 +g958 +tp14662 +a(g548 +V6E +p14663 +tp14664 +a(g900 +g958 +tp14665 +a(g548 +V67 +p14666 +tp14667 +a(g900 +g958 +tp14668 +a(g548 +V74 +p14669 +tp14670 +a(g900 +g958 +tp14671 +a(g900 +g958 +tp14672 +a(g548 +V68 +p14673 +tp14674 +a(g900 +g958 +tp14675 +a(g548 +V20 +p14676 +tp14677 +a(g900 +g958 +tp14678 +a(g548 +V3E +p14679 +tp14680 +a(g900 +g958 +tp14681 +a(g548 +V20 +p14682 +tp14683 +a(g900 +g958 +tp14684 +a(g548 +V20 +p14685 +tp14686 +a(g900 +g958 +tp14687 +a(g900 +g958 +tp14688 +a(g548 +V31 +p14689 +tp14690 +a(g900 +g958 +tp14691 +a(g548 +V29 +p14692 +tp14693 +a(g900 +g958 +tp14694 +a(g548 +V20 +p14695 +tp14696 +a(g900 +g958 +tp14697 +a(g548 +V7B +p14698 +tp14699 +a(g900 +g958 +tp14700 +a(g548 +V0A +p14701 +tp14702 +a(g900 +V +p14703 +tp14704 +a(g341 +Vbers.length.>..1).{. +p14705 +tp14706 +a(g900 +V\u000a +p14707 +tp14708 +a(g8 +V00000EEC +p14709 +tp14710 +a(g900 +g958 +tp14711 +a(g900 +g958 +tp14712 +a(g548 +V20 +p14713 +tp14714 +a(g900 +g958 +tp14715 +a(g548 +V20 +p14716 +tp14717 +a(g900 +g958 +tp14718 +a(g548 +V20 +p14719 +tp14720 +a(g900 +g958 +tp14721 +a(g548 +V20 +p14722 +tp14723 +a(g900 +g958 +tp14724 +a(g548 +V20 +p14725 +tp14726 +a(g900 +g958 +tp14727 +a(g900 +g958 +tp14728 +a(g548 +V20 +p14729 +tp14730 +a(g900 +g958 +tp14731 +a(g548 +V20 +p14732 +tp14733 +a(g900 +g958 +tp14734 +a(g548 +V20 +p14735 +tp14736 +a(g900 +g958 +tp14737 +a(g548 +V20 +p14738 +tp14739 +a(g900 +g958 +tp14740 +a(g548 +V20 +p14741 +tp14742 +a(g900 +g958 +tp14743 +a(g900 +g958 +tp14744 +a(g548 +V20 +p14745 +tp14746 +a(g900 +g958 +tp14747 +a(g548 +V20 +p14748 +tp14749 +a(g900 +g958 +tp14750 +a(g548 +V20 +p14751 +tp14752 +a(g900 +g958 +tp14753 +a(g548 +V20 +p14754 +tp14755 +a(g900 +g958 +tp14756 +a(g548 +V20 +p14757 +tp14758 +a(g900 +g958 +tp14759 +a(g900 +g958 +tp14760 +a(g548 +V20 +p14761 +tp14762 +a(g900 +g958 +tp14763 +a(g548 +V2F +p14764 +tp14765 +a(g900 +g958 +tp14766 +a(g548 +V2F +p14767 +tp14768 +a(g900 +g958 +tp14769 +a(g548 +V20 +p14770 +tp14771 +a(g900 +g958 +tp14772 +a(g548 +V43 +p14773 +tp14774 +a(g900 +V +p14775 +tp14776 +a(g341 +V................//.C +p14777 +tp14778 +a(g900 +V\u000a +p14779 +tp14780 +a(g8 +V00000F00 +p14781 +tp14782 +a(g900 +g958 +tp14783 +a(g900 +g958 +tp14784 +a(g548 +V6F +p14785 +tp14786 +a(g900 +g958 +tp14787 +a(g548 +V6D +p14788 +tp14789 +a(g900 +g958 +tp14790 +a(g548 +V62 +p14791 +tp14792 +a(g900 +g958 +tp14793 +a(g548 +V69 +p14794 +tp14795 +a(g900 +g958 +tp14796 +a(g548 +V6E +p14797 +tp14798 +a(g900 +g958 +tp14799 +a(g900 +g958 +tp14800 +a(g548 +V65 +p14801 +tp14802 +a(g900 +g958 +tp14803 +a(g548 +V20 +p14804 +tp14805 +a(g900 +g958 +tp14806 +a(g548 +V31 +p14807 +tp14808 +a(g900 +g958 +tp14809 +a(g548 +V20 +p14810 +tp14811 +a(g900 +g958 +tp14812 +a(g548 +V64 +p14813 +tp14814 +a(g900 +g958 +tp14815 +a(g900 +g958 +tp14816 +a(g548 +V69 +p14817 +tp14818 +a(g900 +g958 +tp14819 +a(g548 +V67 +p14820 +tp14821 +a(g900 +g958 +tp14822 +a(g548 +V69 +p14823 +tp14824 +a(g900 +g958 +tp14825 +a(g548 +V74 +p14826 +tp14827 +a(g900 +g958 +tp14828 +a(g548 +V20 +p14829 +tp14830 +a(g900 +g958 +tp14831 +a(g900 +g958 +tp14832 +a(g548 +V77 +p14833 +tp14834 +a(g900 +g958 +tp14835 +a(g548 +V69 +p14836 +tp14837 +a(g900 +g958 +tp14838 +a(g548 +V74 +p14839 +tp14840 +a(g900 +g958 +tp14841 +a(g548 +V68 +p14842 +tp14843 +a(g900 +g958 +tp14844 +a(g548 +V20 +p14845 +tp14846 +a(g900 +V +p14847 +tp14848 +a(g341 +Vombine.1.digit.with. +p14849 +tp14850 +a(g900 +V\u000a +p14851 +tp14852 +a(g8 +V00000F14 +p14853 +tp14854 +a(g900 +g958 +tp14855 +a(g900 +g958 +tp14856 +a(g548 +V61 +p14857 +tp14858 +a(g900 +g958 +tp14859 +a(g548 +V6C +p14860 +tp14861 +a(g900 +g958 +tp14862 +a(g548 +V6C +p14863 +tp14864 +a(g900 +g958 +tp14865 +a(g548 +V20 +p14866 +tp14867 +a(g900 +g958 +tp14868 +a(g548 +V61 +p14869 +tp14870 +a(g900 +g958 +tp14871 +a(g900 +g958 +tp14872 +a(g548 +V6C +p14873 +tp14874 +a(g900 +g958 +tp14875 +a(g548 +V74 +p14876 +tp14877 +a(g900 +g958 +tp14878 +a(g548 +V65 +p14879 +tp14880 +a(g900 +g958 +tp14881 +a(g548 +V6E +p14882 +tp14883 +a(g900 +g958 +tp14884 +a(g548 +V61 +p14885 +tp14886 +a(g900 +g958 +tp14887 +a(g900 +g958 +tp14888 +a(g548 +V74 +p14889 +tp14890 +a(g900 +g958 +tp14891 +a(g548 +V69 +p14892 +tp14893 +a(g900 +g958 +tp14894 +a(g548 +V76 +p14895 +tp14896 +a(g900 +g958 +tp14897 +a(g548 +V65 +p14898 +tp14899 +a(g900 +g958 +tp14900 +a(g548 +V73 +p14901 +tp14902 +a(g900 +g958 +tp14903 +a(g900 +g958 +tp14904 +a(g548 +V20 +p14905 +tp14906 +a(g900 +g958 +tp14907 +a(g548 +V66 +p14908 +tp14909 +a(g900 +g958 +tp14910 +a(g548 +V72 +p14911 +tp14912 +a(g900 +g958 +tp14913 +a(g548 +V6F +p14914 +tp14915 +a(g900 +g958 +tp14916 +a(g548 +V6D +p14917 +tp14918 +a(g900 +V +p14919 +tp14920 +a(g341 +Vall.altenatives.from +p14921 +tp14922 +a(g900 +V\u000a +p14923 +tp14924 +a(g8 +V00000F28 +p14925 +tp14926 +a(g900 +g958 +tp14927 +a(g900 +g958 +tp14928 +a(g548 +V20 +p14929 +tp14930 +a(g900 +g958 +tp14931 +a(g548 +V74 +p14932 +tp14933 +a(g900 +g958 +tp14934 +a(g548 +V68 +p14935 +tp14936 +a(g900 +g958 +tp14937 +a(g548 +V65 +p14938 +tp14939 +a(g900 +g958 +tp14940 +a(g548 +V20 +p14941 +tp14942 +a(g900 +g958 +tp14943 +a(g900 +g958 +tp14944 +a(g548 +V72 +p14945 +tp14946 +a(g900 +g958 +tp14947 +a(g548 +V65 +p14948 +tp14949 +a(g900 +g958 +tp14950 +a(g548 +V73 +p14951 +tp14952 +a(g900 +g958 +tp14953 +a(g548 +V74 +p14954 +tp14955 +a(g900 +g958 +tp14956 +a(g548 +V20 +p14957 +tp14958 +a(g900 +g958 +tp14959 +a(g900 +g958 +tp14960 +a(g548 +V20 +p14961 +tp14962 +a(g900 +g958 +tp14963 +a(g548 +V20 +p14964 +tp14965 +a(g900 +g958 +tp14966 +a(g548 +V20 +p14967 +tp14968 +a(g900 +g958 +tp14969 +a(g548 +V0A +p14970 +tp14971 +a(g900 +g958 +tp14972 +a(g548 +V20 +p14973 +tp14974 +a(g900 +g958 +tp14975 +a(g900 +g958 +tp14976 +a(g548 +V20 +p14977 +tp14978 +a(g900 +g958 +tp14979 +a(g548 +V20 +p14980 +tp14981 +a(g900 +g958 +tp14982 +a(g548 +V20 +p14983 +tp14984 +a(g900 +g958 +tp14985 +a(g548 +V20 +p14986 +tp14987 +a(g900 +g958 +tp14988 +a(g548 +V20 +p14989 +tp14990 +a(g900 +V +p14991 +tp14992 +a(g341 +V.the.rest........... +p14993 +tp14994 +a(g900 +V\u000a +p14995 +tp14996 +a(g8 +V00000F3C +p14997 +tp14998 +a(g900 +g958 +tp14999 +a(g900 +g958 +tp15000 +a(g548 +V20 +p15001 +tp15002 +a(g900 +g958 +tp15003 +a(g548 +V20 +p15004 +tp15005 +a(g900 +g958 +tp15006 +a(g548 +V20 +p15007 +tp15008 +a(g900 +g958 +tp15009 +a(g548 +V20 +p15010 +tp15011 +a(g900 +g958 +tp15012 +a(g548 +V20 +p15013 +tp15014 +a(g900 +g958 +tp15015 +a(g900 +g958 +tp15016 +a(g548 +V20 +p15017 +tp15018 +a(g900 +g958 +tp15019 +a(g548 +V20 +p15020 +tp15021 +a(g900 +g958 +tp15022 +a(g548 +V20 +p15023 +tp15024 +a(g900 +g958 +tp15025 +a(g548 +V20 +p15026 +tp15027 +a(g900 +g958 +tp15028 +a(g548 +V20 +p15029 +tp15030 +a(g900 +g958 +tp15031 +a(g900 +g958 +tp15032 +a(g548 +V2F +p15033 +tp15034 +a(g900 +g958 +tp15035 +a(g548 +V2F +p15036 +tp15037 +a(g900 +g958 +tp15038 +a(g548 +V20 +p15039 +tp15040 +a(g900 +g958 +tp15041 +a(g548 +V28 +p15042 +tp15043 +a(g900 +g958 +tp15044 +a(g548 +V6E +p15045 +tp15046 +a(g900 +g958 +tp15047 +a(g900 +g958 +tp15048 +a(g548 +V65 +p15049 +tp15050 +a(g900 +g958 +tp15051 +a(g548 +V78 +p15052 +tp15053 +a(g900 +g958 +tp15054 +a(g548 +V74 +p15055 +tp15056 +a(g900 +g958 +tp15057 +a(g548 +V20 +p15058 +tp15059 +a(g900 +g958 +tp15060 +a(g548 +V70 +p15061 +tp15062 +a(g900 +V +p15063 +tp15064 +a(g341 +V..........//.(next.p +p15065 +tp15066 +a(g900 +V\u000a +p15067 +tp15068 +a(g8 +V00000F50 +p15069 +tp15070 +a(g900 +g958 +tp15071 +a(g900 +g958 +tp15072 +a(g548 +V69 +p15073 +tp15074 +a(g900 +g958 +tp15075 +a(g548 +V65 +p15076 +tp15077 +a(g900 +g958 +tp15078 +a(g548 +V63 +p15079 +tp15080 +a(g900 +g958 +tp15081 +a(g548 +V65 +p15082 +tp15083 +a(g900 +g958 +tp15084 +a(g548 +V20 +p15085 +tp15086 +a(g900 +g958 +tp15087 +a(g900 +g958 +tp15088 +a(g548 +V63 +p15089 +tp15090 +a(g900 +g958 +tp15091 +a(g548 +V61 +p15092 +tp15093 +a(g900 +g958 +tp15094 +a(g548 +V6E +p15095 +tp15096 +a(g900 +g958 +tp15097 +a(g548 +V20 +p15098 +tp15099 +a(g900 +g958 +tp15100 +a(g548 +V6E +p15101 +tp15102 +a(g900 +g958 +tp15103 +a(g900 +g958 +tp15104 +a(g548 +V6F +p15105 +tp15106 +a(g900 +g958 +tp15107 +a(g548 +V74 +p15108 +tp15109 +a(g900 +g958 +tp15110 +a(g548 +V20 +p15111 +tp15112 +a(g900 +g958 +tp15113 +a(g548 +V73 +p15114 +tp15115 +a(g900 +g958 +tp15116 +a(g548 +V74 +p15117 +tp15118 +a(g900 +g958 +tp15119 +a(g900 +g958 +tp15120 +a(g548 +V61 +p15121 +tp15122 +a(g900 +g958 +tp15123 +a(g548 +V72 +p15124 +tp15125 +a(g900 +g958 +tp15126 +a(g548 +V74 +p15127 +tp15128 +a(g900 +g958 +tp15129 +a(g548 +V20 +p15130 +tp15131 +a(g900 +g958 +tp15132 +a(g548 +V77 +p15133 +tp15134 +a(g900 +V +p15135 +tp15136 +a(g341 +Viece.can.not.start.w +p15137 +tp15138 +a(g900 +V\u000a +p15139 +tp15140 +a(g8 +V00000F64 +p15141 +tp15142 +a(g900 +g958 +tp15143 +a(g900 +g958 +tp15144 +a(g548 +V69 +p15145 +tp15146 +a(g900 +g958 +tp15147 +a(g548 +V74 +p15148 +tp15149 +a(g900 +g958 +tp15150 +a(g548 +V68 +p15151 +tp15152 +a(g900 +g958 +tp15153 +a(g548 +V20 +p15154 +tp15155 +a(g900 +g958 +tp15156 +a(g548 +V61 +p15157 +tp15158 +a(g900 +g958 +tp15159 +a(g900 +g958 +tp15160 +a(g548 +V20 +p15161 +tp15162 +a(g900 +g958 +tp15163 +a(g548 +V64 +p15164 +tp15165 +a(g900 +g958 +tp15166 +a(g548 +V69 +p15167 +tp15168 +a(g900 +g958 +tp15169 +a(g548 +V67 +p15170 +tp15171 +a(g900 +g958 +tp15172 +a(g548 +V69 +p15173 +tp15174 +a(g900 +g958 +tp15175 +a(g900 +g958 +tp15176 +a(g548 +V74 +p15177 +tp15178 +a(g900 +g958 +tp15179 +a(g548 +V29 +p15180 +tp15181 +a(g900 +g958 +tp15182 +a(g548 +V20 +p15183 +tp15184 +a(g900 +g958 +tp15185 +a(g548 +V20 +p15186 +tp15187 +a(g900 +g958 +tp15188 +a(g548 +V20 +p15189 +tp15190 +a(g900 +g958 +tp15191 +a(g900 +g958 +tp15192 +a(g548 +V20 +p15193 +tp15194 +a(g900 +g958 +tp15195 +a(g548 +V20 +p15196 +tp15197 +a(g900 +g958 +tp15198 +a(g548 +V20 +p15199 +tp15200 +a(g900 +g958 +tp15201 +a(g548 +V20 +p15202 +tp15203 +a(g900 +g958 +tp15204 +a(g548 +V20 +p15205 +tp15206 +a(g900 +V +p15207 +tp15208 +a(g341 +Vith.a.digit)........ +p15209 +tp15210 +a(g900 +V\u000a +p15211 +tp15212 +a(g8 +V00000F78 +p15213 +tp15214 +a(g900 +g958 +tp15215 +a(g900 +g958 +tp15216 +a(g548 +V20 +p15217 +tp15218 +a(g900 +g958 +tp15219 +a(g548 +V20 +p15220 +tp15221 +a(g900 +g958 +tp15222 +a(g548 +V0A +p15223 +tp15224 +a(g900 +g958 +tp15225 +a(g548 +V20 +p15226 +tp15227 +a(g900 +g958 +tp15228 +a(g548 +V20 +p15229 +tp15230 +a(g900 +g958 +tp15231 +a(g900 +g958 +tp15232 +a(g548 +V20 +p15233 +tp15234 +a(g900 +g958 +tp15235 +a(g548 +V20 +p15236 +tp15237 +a(g900 +g958 +tp15238 +a(g548 +V20 +p15239 +tp15240 +a(g900 +g958 +tp15241 +a(g548 +V20 +p15242 +tp15243 +a(g900 +g958 +tp15244 +a(g548 +V20 +p15245 +tp15246 +a(g900 +g958 +tp15247 +a(g900 +g958 +tp15248 +a(g548 +V20 +p15249 +tp15250 +a(g900 +g958 +tp15251 +a(g548 +V20 +p15252 +tp15253 +a(g900 +g958 +tp15254 +a(g548 +V20 +p15255 +tp15256 +a(g900 +g958 +tp15257 +a(g548 +V20 +p15258 +tp15259 +a(g900 +g958 +tp15260 +a(g548 +V20 +p15261 +tp15262 +a(g900 +g958 +tp15263 +a(g900 +g958 +tp15264 +a(g548 +V20 +p15265 +tp15266 +a(g900 +g958 +tp15267 +a(g548 +V20 +p15268 +tp15269 +a(g900 +g958 +tp15270 +a(g548 +V20 +p15271 +tp15272 +a(g900 +g958 +tp15273 +a(g548 +V20 +p15274 +tp15275 +a(g900 +g958 +tp15276 +a(g548 +V66 +p15277 +tp15278 +a(g900 +V +p15279 +tp15280 +a(g341 +V...................f +p15281 +tp15282 +a(g900 +V\u000a +p15283 +tp15284 +a(g8 +V00000F8C +p15285 +tp15286 +a(g900 +g958 +tp15287 +a(g900 +g958 +tp15288 +a(g548 +V6F +p15289 +tp15290 +a(g900 +g958 +tp15291 +a(g548 +V72 +p15292 +tp15293 +a(g900 +g958 +tp15294 +a(g548 +V65 +p15295 +tp15296 +a(g900 +g958 +tp15297 +a(g548 +V61 +p15298 +tp15299 +a(g900 +g958 +tp15300 +a(g548 +V63 +p15301 +tp15302 +a(g900 +g958 +tp15303 +a(g900 +g958 +tp15304 +a(g548 +V68 +p15305 +tp15306 +a(g900 +g958 +tp15307 +a(g548 +V20 +p15308 +tp15309 +a(g900 +g958 +tp15310 +a(g548 +V28 +p15311 +tp15312 +a(g900 +g958 +tp15313 +a(g548 +V61 +p15314 +tp15315 +a(g900 +g958 +tp15316 +a(g548 +V3B +p15317 +tp15318 +a(g900 +g958 +tp15319 +a(g900 +g958 +tp15320 +a(g548 +V20 +p15321 +tp15322 +a(g900 +g958 +tp15323 +a(g548 +V5F +p15324 +tp15325 +a(g900 +g958 +tp15326 +a(g548 +V46 +p15327 +tp15328 +a(g900 +g958 +tp15329 +a(g548 +V69 +p15330 +tp15331 +a(g900 +g958 +tp15332 +a(g548 +V6E +p15333 +tp15334 +a(g900 +g958 +tp15335 +a(g900 +g958 +tp15336 +a(g548 +V64 +p15337 +tp15338 +a(g900 +g958 +tp15339 +a(g548 +V57 +p15340 +tp15341 +a(g900 +g958 +tp15342 +a(g548 +V6F +p15343 +tp15344 +a(g900 +g958 +tp15345 +a(g548 +V72 +p15346 +tp15347 +a(g900 +g958 +tp15348 +a(g548 +V64 +p15349 +tp15350 +a(g900 +V +p15351 +tp15352 +a(g341 +Voreach.(a;._FindWord +p15353 +tp15354 +a(g900 +V\u000a +p15355 +tp15356 +a(g8 +V00000FA0 +p15357 +tp15358 +a(g900 +g958 +tp15359 +a(g900 +g958 +tp15360 +a(g548 +V73 +p15361 +tp15362 +a(g900 +g958 +tp15363 +a(g548 +V28 +p15364 +tp15365 +a(g900 +g958 +tp15366 +a(g548 +V20 +p15367 +tp15368 +a(g900 +g958 +tp15369 +a(g548 +V6E +p15370 +tp15371 +a(g900 +g958 +tp15372 +a(g548 +V75 +p15373 +tp15374 +a(g900 +g958 +tp15375 +a(g900 +g958 +tp15376 +a(g548 +V6D +p15377 +tp15378 +a(g900 +g958 +tp15379 +a(g548 +V62 +p15380 +tp15381 +a(g900 +g958 +tp15382 +a(g548 +V65 +p15383 +tp15384 +a(g900 +g958 +tp15385 +a(g548 +V72 +p15386 +tp15387 +a(g900 +g958 +tp15388 +a(g548 +V73 +p15389 +tp15390 +a(g900 +g958 +tp15391 +a(g900 +g958 +tp15392 +a(g548 +V5B +p15393 +tp15394 +a(g900 +g958 +tp15395 +a(g548 +V31 +p15396 +tp15397 +a(g900 +g958 +tp15398 +a(g548 +V2E +p15399 +tp15400 +a(g900 +g958 +tp15401 +a(g548 +V2E +p15402 +tp15403 +a(g900 +g958 +tp15404 +a(g548 +V24 +p15405 +tp15406 +a(g900 +g958 +tp15407 +a(g900 +g958 +tp15408 +a(g548 +V5D +p15409 +tp15410 +a(g900 +g958 +tp15411 +a(g548 +V2C +p15412 +tp15413 +a(g900 +g958 +tp15414 +a(g548 +V20 +p15415 +tp15416 +a(g900 +g958 +tp15417 +a(g548 +V66 +p15418 +tp15419 +a(g900 +g958 +tp15420 +a(g548 +V61 +p15421 +tp15422 +a(g900 +V +p15423 +tp15424 +a(g341 +Vs(.numbers[1..$],.fa +p15425 +tp15426 +a(g900 +V\u000a +p15427 +tp15428 +a(g8 +V00000FB4 +p15429 +tp15430 +a(g900 +g958 +tp15431 +a(g900 +g958 +tp15432 +a(g548 +V6C +p15433 +tp15434 +a(g900 +g958 +tp15435 +a(g548 +V73 +p15436 +tp15437 +a(g900 +g958 +tp15438 +a(g548 +V65 +p15439 +tp15440 +a(g900 +g958 +tp15441 +a(g548 +V20 +p15442 +tp15443 +a(g900 +g958 +tp15444 +a(g548 +V29 +p15445 +tp15446 +a(g900 +g958 +tp15447 +a(g900 +g958 +tp15448 +a(g548 +V20 +p15449 +tp15450 +a(g900 +g958 +tp15451 +a(g548 +V29 +p15452 +tp15453 +a(g900 +g958 +tp15454 +a(g548 +V0A +p15455 +tp15456 +a(g900 +g958 +tp15457 +a(g548 +V20 +p15458 +tp15459 +a(g900 +g958 +tp15460 +a(g548 +V20 +p15461 +tp15462 +a(g900 +g958 +tp15463 +a(g900 +g958 +tp15464 +a(g548 +V20 +p15465 +tp15466 +a(g900 +g958 +tp15467 +a(g548 +V20 +p15468 +tp15469 +a(g900 +g958 +tp15470 +a(g548 +V20 +p15471 +tp15472 +a(g900 +g958 +tp15473 +a(g548 +V20 +p15474 +tp15475 +a(g900 +g958 +tp15476 +a(g548 +V20 +p15477 +tp15478 +a(g900 +g958 +tp15479 +a(g900 +g958 +tp15480 +a(g548 +V20 +p15481 +tp15482 +a(g900 +g958 +tp15483 +a(g548 +V20 +p15484 +tp15485 +a(g900 +g958 +tp15486 +a(g548 +V20 +p15487 +tp15488 +a(g900 +g958 +tp15489 +a(g548 +V20 +p15490 +tp15491 +a(g900 +g958 +tp15492 +a(g548 +V20 +p15493 +tp15494 +a(g900 +V +p15495 +tp15496 +a(g341 +Vlse.).)............. +p15497 +tp15498 +a(g900 +V\u000a +p15499 +tp15500 +a(g8 +V00000FC8 +p15501 +tp15502 +a(g900 +g958 +tp15503 +a(g900 +g958 +tp15504 +a(g548 +V20 +p15505 +tp15506 +a(g900 +g958 +tp15507 +a(g548 +V20 +p15508 +tp15509 +a(g900 +g958 +tp15510 +a(g548 +V20 +p15511 +tp15512 +a(g900 +g958 +tp15513 +a(g548 +V20 +p15514 +tp15515 +a(g900 +g958 +tp15516 +a(g548 +V20 +p15517 +tp15518 +a(g900 +g958 +tp15519 +a(g900 +g958 +tp15520 +a(g548 +V20 +p15521 +tp15522 +a(g900 +g958 +tp15523 +a(g548 +V20 +p15524 +tp15525 +a(g900 +g958 +tp15526 +a(g548 +V20 +p15527 +tp15528 +a(g900 +g958 +tp15529 +a(g548 +V72 +p15530 +tp15531 +a(g900 +g958 +tp15532 +a(g548 +V65 +p15533 +tp15534 +a(g900 +g958 +tp15535 +a(g900 +g958 +tp15536 +a(g548 +V74 +p15537 +tp15538 +a(g900 +g958 +tp15539 +a(g548 +V20 +p15540 +tp15541 +a(g900 +g958 +tp15542 +a(g548 +V7E +p15543 +tp15544 +a(g900 +g958 +tp15545 +a(g548 +V3D +p15546 +tp15547 +a(g900 +g958 +tp15548 +a(g548 +V20 +p15549 +tp15550 +a(g900 +g958 +tp15551 +a(g900 +g958 +tp15552 +a(g548 +V6E +p15553 +tp15554 +a(g900 +g958 +tp15555 +a(g548 +V75 +p15556 +tp15557 +a(g900 +g958 +tp15558 +a(g548 +V6D +p15559 +tp15560 +a(g900 +g958 +tp15561 +a(g548 +V62 +p15562 +tp15563 +a(g900 +g958 +tp15564 +a(g548 +V65 +p15565 +tp15566 +a(g900 +V +p15567 +tp15568 +a(g341 +V........ret.~=.numbe +p15569 +tp15570 +a(g900 +V\u000a +p15571 +tp15572 +a(g8 +V00000FDC +p15573 +tp15574 +a(g900 +g958 +tp15575 +a(g900 +g958 +tp15576 +a(g548 +V72 +p15577 +tp15578 +a(g900 +g958 +tp15579 +a(g548 +V73 +p15580 +tp15581 +a(g900 +g958 +tp15582 +a(g548 +V5B +p15583 +tp15584 +a(g900 +g958 +tp15585 +a(g548 +V30 +p15586 +tp15587 +a(g900 +g958 +tp15588 +a(g548 +V2E +p15589 +tp15590 +a(g900 +g958 +tp15591 +a(g900 +g958 +tp15592 +a(g548 +V2E +p15593 +tp15594 +a(g900 +g958 +tp15595 +a(g548 +V31 +p15596 +tp15597 +a(g900 +g958 +tp15598 +a(g548 +V5D +p15599 +tp15600 +a(g900 +g958 +tp15601 +a(g548 +V20 +p15602 +tp15603 +a(g900 +g958 +tp15604 +a(g548 +V7E +p15605 +tp15606 +a(g900 +g958 +tp15607 +a(g900 +g958 +tp15608 +a(g548 +V20 +p15609 +tp15610 +a(g900 +g958 +tp15611 +a(g548 +V22 +p15612 +tp15613 +a(g900 +g958 +tp15614 +a(g548 +V20 +p15615 +tp15616 +a(g900 +g958 +tp15617 +a(g548 +V22 +p15618 +tp15619 +a(g900 +g958 +tp15620 +a(g548 +V20 +p15621 +tp15622 +a(g900 +g958 +tp15623 +a(g900 +g958 +tp15624 +a(g548 +V7E +p15625 +tp15626 +a(g900 +g958 +tp15627 +a(g548 +V20 +p15628 +tp15629 +a(g900 +g958 +tp15630 +a(g548 +V61 +p15631 +tp15632 +a(g900 +g958 +tp15633 +a(g548 +V3B +p15634 +tp15635 +a(g900 +g958 +tp15636 +a(g548 +V0A +p15637 +tp15638 +a(g900 +V +p15639 +tp15640 +a(g341 +Vrs[0..1].~.".".~.a;. +p15641 +tp15642 +a(g900 +V\u000a +p15643 +tp15644 +a(g8 +V00000FF0 +p15645 +tp15646 +a(g900 +g958 +tp15647 +a(g900 +g958 +tp15648 +a(g548 +V20 +p15649 +tp15650 +a(g900 +g958 +tp15651 +a(g548 +V20 +p15652 +tp15653 +a(g900 +g958 +tp15654 +a(g548 +V20 +p15655 +tp15656 +a(g900 +g958 +tp15657 +a(g548 +V20 +p15658 +tp15659 +a(g900 +g958 +tp15660 +a(g548 +V20 +p15661 +tp15662 +a(g900 +g958 +tp15663 +a(g900 +g958 +tp15664 +a(g548 +V20 +p15665 +tp15666 +a(g900 +g958 +tp15667 +a(g548 +V20 +p15668 +tp15669 +a(g900 +g958 +tp15670 +a(g548 +V20 +p15671 +tp15672 +a(g900 +g958 +tp15673 +a(g548 +V20 +p15674 +tp15675 +a(g900 +g958 +tp15676 +a(g548 +V20 +p15677 +tp15678 +a(g900 +g958 +tp15679 +a(g900 +g958 +tp15680 +a(g548 +V20 +p15681 +tp15682 +a(g900 +g958 +tp15683 +a(g548 +V20 +p15684 +tp15685 +a(g900 +g958 +tp15686 +a(g548 +V7D +p15687 +tp15688 +a(g900 +g958 +tp15689 +a(g548 +V20 +p15690 +tp15691 +a(g900 +g958 +tp15692 +a(g548 +V20 +p15693 +tp15694 +a(g900 +g958 +tp15695 +a(g900 +g958 +tp15696 +a(g548 +V20 +p15697 +tp15698 +a(g900 +g958 +tp15699 +a(g548 +V20 +p15700 +tp15701 +a(g900 +g958 +tp15702 +a(g548 +V0A +p15703 +tp15704 +a(g900 +g958 +tp15705 +a(g548 +V20 +p15706 +tp15707 +a(g900 +g958 +tp15708 +a(g548 +V20 +p15709 +tp15710 +a(g900 +V +p15711 +tp15712 +a(g341 +V............}....... +p15713 +tp15714 +a(g900 +V\u000a +p15715 +tp15716 +a(g8 +V00001004 +p15717 +tp15718 +a(g900 +g958 +tp15719 +a(g900 +g958 +tp15720 +a(g548 +V20 +p15721 +tp15722 +a(g900 +g958 +tp15723 +a(g548 +V20 +p15724 +tp15725 +a(g900 +g958 +tp15726 +a(g548 +V20 +p15727 +tp15728 +a(g900 +g958 +tp15729 +a(g548 +V20 +p15730 +tp15731 +a(g900 +g958 +tp15732 +a(g548 +V20 +p15733 +tp15734 +a(g900 +g958 +tp15735 +a(g900 +g958 +tp15736 +a(g548 +V20 +p15737 +tp15738 +a(g900 +g958 +tp15739 +a(g548 +V20 +p15740 +tp15741 +a(g900 +g958 +tp15742 +a(g548 +V20 +p15743 +tp15744 +a(g900 +g958 +tp15745 +a(g548 +V20 +p15746 +tp15747 +a(g900 +g958 +tp15748 +a(g548 +V20 +p15749 +tp15750 +a(g900 +g958 +tp15751 +a(g900 +g958 +tp15752 +a(g548 +V65 +p15753 +tp15754 +a(g900 +g958 +tp15755 +a(g548 +V6C +p15756 +tp15757 +a(g900 +g958 +tp15758 +a(g548 +V73 +p15759 +tp15760 +a(g900 +g958 +tp15761 +a(g548 +V65 +p15762 +tp15763 +a(g900 +g958 +tp15764 +a(g548 +V20 +p15765 +tp15766 +a(g900 +g958 +tp15767 +a(g900 +g958 +tp15768 +a(g548 +V20 +p15769 +tp15770 +a(g900 +g958 +tp15771 +a(g548 +V20 +p15772 +tp15773 +a(g900 +g958 +tp15774 +a(g548 +V20 +p15775 +tp15776 +a(g900 +g958 +tp15777 +a(g548 +V0A +p15778 +tp15779 +a(g900 +g958 +tp15780 +a(g548 +V20 +p15781 +tp15782 +a(g900 +V +p15783 +tp15784 +a(g341 +V..........else...... +p15785 +tp15786 +a(g900 +V\u000a +p15787 +tp15788 +a(g8 +V00001018 +p15789 +tp15790 +a(g900 +g958 +tp15791 +a(g900 +g958 +tp15792 +a(g548 +V20 +p15793 +tp15794 +a(g900 +g958 +tp15795 +a(g548 +V20 +p15796 +tp15797 +a(g900 +g958 +tp15798 +a(g548 +V20 +p15799 +tp15800 +a(g900 +g958 +tp15801 +a(g548 +V20 +p15802 +tp15803 +a(g900 +g958 +tp15804 +a(g548 +V20 +p15805 +tp15806 +a(g900 +g958 +tp15807 +a(g900 +g958 +tp15808 +a(g548 +V20 +p15809 +tp15810 +a(g900 +g958 +tp15811 +a(g548 +V20 +p15812 +tp15813 +a(g900 +g958 +tp15814 +a(g548 +V20 +p15815 +tp15816 +a(g900 +g958 +tp15817 +a(g548 +V20 +p15818 +tp15819 +a(g900 +g958 +tp15820 +a(g548 +V20 +p15821 +tp15822 +a(g900 +g958 +tp15823 +a(g900 +g958 +tp15824 +a(g548 +V20 +p15825 +tp15826 +a(g900 +g958 +tp15827 +a(g548 +V20 +p15828 +tp15829 +a(g900 +g958 +tp15830 +a(g548 +V20 +p15831 +tp15832 +a(g900 +g958 +tp15833 +a(g548 +V20 +p15834 +tp15835 +a(g900 +g958 +tp15836 +a(g548 +V20 +p15837 +tp15838 +a(g900 +g958 +tp15839 +a(g900 +g958 +tp15840 +a(g548 +V72 +p15841 +tp15842 +a(g900 +g958 +tp15843 +a(g548 +V65 +p15844 +tp15845 +a(g900 +g958 +tp15846 +a(g548 +V74 +p15847 +tp15848 +a(g900 +g958 +tp15849 +a(g548 +V20 +p15850 +tp15851 +a(g900 +g958 +tp15852 +a(g548 +V7E +p15853 +tp15854 +a(g900 +V +p15855 +tp15856 +a(g341 +V...............ret.~ +p15857 +tp15858 +a(g900 +V\u000a +p15859 +tp15860 +a(g8 +V0000102C +p15861 +tp15862 +a(g900 +g958 +tp15863 +a(g900 +g958 +tp15864 +a(g548 +V3D +p15865 +tp15866 +a(g900 +g958 +tp15867 +a(g548 +V20 +p15868 +tp15869 +a(g900 +g958 +tp15870 +a(g548 +V6E +p15871 +tp15872 +a(g900 +g958 +tp15873 +a(g548 +V75 +p15874 +tp15875 +a(g900 +g958 +tp15876 +a(g548 +V6D +p15877 +tp15878 +a(g900 +g958 +tp15879 +a(g900 +g958 +tp15880 +a(g548 +V62 +p15881 +tp15882 +a(g900 +g958 +tp15883 +a(g548 +V65 +p15884 +tp15885 +a(g900 +g958 +tp15886 +a(g548 +V72 +p15887 +tp15888 +a(g900 +g958 +tp15889 +a(g548 +V73 +p15890 +tp15891 +a(g900 +g958 +tp15892 +a(g548 +V5B +p15893 +tp15894 +a(g900 +g958 +tp15895 +a(g900 +g958 +tp15896 +a(g548 +V30 +p15897 +tp15898 +a(g900 +g958 +tp15899 +a(g548 +V2E +p15900 +tp15901 +a(g900 +g958 +tp15902 +a(g548 +V2E +p15903 +tp15904 +a(g900 +g958 +tp15905 +a(g548 +V31 +p15906 +tp15907 +a(g900 +g958 +tp15908 +a(g548 +V5D +p15909 +tp15910 +a(g900 +g958 +tp15911 +a(g900 +g958 +tp15912 +a(g548 +V3B +p15913 +tp15914 +a(g900 +g958 +tp15915 +a(g548 +V20 +p15916 +tp15917 +a(g900 +g958 +tp15918 +a(g548 +V20 +p15919 +tp15920 +a(g900 +g958 +tp15921 +a(g548 +V20 +p15922 +tp15923 +a(g900 +g958 +tp15924 +a(g548 +V20 +p15925 +tp15926 +a(g900 +V +p15927 +tp15928 +a(g341 +V=.numbers[0..1];.... +p15929 +tp15930 +a(g900 +V\u000a +p15931 +tp15932 +a(g8 +V00001040 +p15933 +tp15934 +a(g900 +g958 +tp15935 +a(g900 +g958 +tp15936 +a(g548 +V2F +p15937 +tp15938 +a(g900 +g958 +tp15939 +a(g548 +V2F +p15940 +tp15941 +a(g900 +g958 +tp15942 +a(g548 +V20 +p15943 +tp15944 +a(g900 +g958 +tp15945 +a(g548 +V6A +p15946 +tp15947 +a(g900 +g958 +tp15948 +a(g548 +V75 +p15949 +tp15950 +a(g900 +g958 +tp15951 +a(g900 +g958 +tp15952 +a(g548 +V73 +p15953 +tp15954 +a(g900 +g958 +tp15955 +a(g548 +V74 +p15956 +tp15957 +a(g900 +g958 +tp15958 +a(g548 +V20 +p15959 +tp15960 +a(g900 +g958 +tp15961 +a(g548 +V61 +p15962 +tp15963 +a(g900 +g958 +tp15964 +a(g548 +V70 +p15965 +tp15966 +a(g900 +g958 +tp15967 +a(g900 +g958 +tp15968 +a(g548 +V70 +p15969 +tp15970 +a(g900 +g958 +tp15971 +a(g548 +V65 +p15972 +tp15973 +a(g900 +g958 +tp15974 +a(g548 +V6E +p15975 +tp15976 +a(g900 +g958 +tp15977 +a(g548 +V64 +p15978 +tp15979 +a(g900 +g958 +tp15980 +a(g548 +V20 +p15981 +tp15982 +a(g900 +g958 +tp15983 +a(g900 +g958 +tp15984 +a(g548 +V74 +p15985 +tp15986 +a(g900 +g958 +tp15987 +a(g548 +V68 +p15988 +tp15989 +a(g900 +g958 +tp15990 +a(g548 +V69 +p15991 +tp15992 +a(g900 +g958 +tp15993 +a(g548 +V73 +p15994 +tp15995 +a(g900 +g958 +tp15996 +a(g548 +V20 +p15997 +tp15998 +a(g900 +V +p15999 +tp16000 +a(g341 +V//.just.append.this. +p16001 +tp16002 +a(g900 +V\u000a +p16003 +tp16004 +a(g8 +V00001054 +p16005 +tp16006 +a(g900 +g958 +tp16007 +a(g900 +g958 +tp16008 +a(g548 +V64 +p16009 +tp16010 +a(g900 +g958 +tp16011 +a(g548 +V69 +p16012 +tp16013 +a(g900 +g958 +tp16014 +a(g548 +V67 +p16015 +tp16016 +a(g900 +g958 +tp16017 +a(g548 +V69 +p16018 +tp16019 +a(g900 +g958 +tp16020 +a(g548 +V74 +p16021 +tp16022 +a(g900 +g958 +tp16023 +a(g900 +g958 +tp16024 +a(g548 +V20 +p16025 +tp16026 +a(g900 +g958 +tp16027 +a(g548 +V20 +p16028 +tp16029 +a(g900 +g958 +tp16030 +a(g548 +V20 +p16031 +tp16032 +a(g900 +g958 +tp16033 +a(g548 +V20 +p16034 +tp16035 +a(g900 +g958 +tp16036 +a(g548 +V20 +p16037 +tp16038 +a(g900 +g958 +tp16039 +a(g900 +g958 +tp16040 +a(g548 +V20 +p16041 +tp16042 +a(g900 +g958 +tp16043 +a(g548 +V20 +p16044 +tp16045 +a(g900 +g958 +tp16046 +a(g548 +V20 +p16047 +tp16048 +a(g900 +g958 +tp16049 +a(g548 +V20 +p16050 +tp16051 +a(g900 +g958 +tp16052 +a(g548 +V20 +p16053 +tp16054 +a(g900 +g958 +tp16055 +a(g900 +g958 +tp16056 +a(g548 +V20 +p16057 +tp16058 +a(g900 +g958 +tp16059 +a(g548 +V20 +p16060 +tp16061 +a(g900 +g958 +tp16062 +a(g548 +V20 +p16063 +tp16064 +a(g900 +g958 +tp16065 +a(g548 +V0A +p16066 +tp16067 +a(g900 +g958 +tp16068 +a(g548 +V20 +p16069 +tp16070 +a(g900 +V +p16071 +tp16072 +a(g341 +Vdigit............... +p16073 +tp16074 +a(g900 +V\u000a +p16075 +tp16076 +a(g8 +V00001068 +p16077 +tp16078 +a(g900 +g958 +tp16079 +a(g900 +g958 +tp16080 +a(g548 +V20 +p16081 +tp16082 +a(g900 +g958 +tp16083 +a(g548 +V20 +p16084 +tp16085 +a(g900 +g958 +tp16086 +a(g548 +V20 +p16087 +tp16088 +a(g900 +g958 +tp16089 +a(g548 +V20 +p16090 +tp16091 +a(g900 +g958 +tp16092 +a(g548 +V20 +p16093 +tp16094 +a(g900 +g958 +tp16095 +a(g900 +g958 +tp16096 +a(g548 +V20 +p16097 +tp16098 +a(g900 +g958 +tp16099 +a(g548 +V20 +p16100 +tp16101 +a(g900 +g958 +tp16102 +a(g548 +V7D +p16103 +tp16104 +a(g900 +g958 +tp16105 +a(g548 +V20 +p16106 +tp16107 +a(g900 +g958 +tp16108 +a(g548 +V20 +p16109 +tp16110 +a(g900 +g958 +tp16111 +a(g900 +g958 +tp16112 +a(g548 +V20 +p16113 +tp16114 +a(g900 +g958 +tp16115 +a(g548 +V20 +p16116 +tp16117 +a(g900 +g958 +tp16118 +a(g548 +V0A +p16119 +tp16120 +a(g900 +g958 +tp16121 +a(g548 +V20 +p16122 +tp16123 +a(g900 +g958 +tp16124 +a(g548 +V20 +p16125 +tp16126 +a(g900 +g958 +tp16127 +a(g900 +g958 +tp16128 +a(g548 +V20 +p16129 +tp16130 +a(g900 +g958 +tp16131 +a(g548 +V20 +p16132 +tp16133 +a(g900 +g958 +tp16134 +a(g548 +V20 +p16135 +tp16136 +a(g900 +g958 +tp16137 +a(g548 +V20 +p16138 +tp16139 +a(g900 +g958 +tp16140 +a(g548 +V20 +p16141 +tp16142 +a(g900 +V +p16143 +tp16144 +a(g341 +V.......}............ +p16145 +tp16146 +a(g900 +V\u000a +p16147 +tp16148 +a(g8 +V0000107C +p16149 +tp16150 +a(g900 +g958 +tp16151 +a(g900 +g958 +tp16152 +a(g548 +V20 +p16153 +tp16154 +a(g900 +g958 +tp16155 +a(g548 +V72 +p16156 +tp16157 +a(g900 +g958 +tp16158 +a(g548 +V65 +p16159 +tp16160 +a(g900 +g958 +tp16161 +a(g548 +V74 +p16162 +tp16163 +a(g900 +g958 +tp16164 +a(g548 +V75 +p16165 +tp16166 +a(g900 +g958 +tp16167 +a(g900 +g958 +tp16168 +a(g548 +V72 +p16169 +tp16170 +a(g900 +g958 +tp16171 +a(g548 +V6E +p16172 +tp16173 +a(g900 +g958 +tp16174 +a(g548 +V20 +p16175 +tp16176 +a(g900 +g958 +tp16177 +a(g548 +V72 +p16178 +tp16179 +a(g900 +g958 +tp16180 +a(g548 +V65 +p16181 +tp16182 +a(g900 +g958 +tp16183 +a(g900 +g958 +tp16184 +a(g548 +V74 +p16185 +tp16186 +a(g900 +g958 +tp16187 +a(g548 +V3B +p16188 +tp16189 +a(g900 +g958 +tp16190 +a(g548 +V0A +p16191 +tp16192 +a(g900 +g958 +tp16193 +a(g548 +V20 +p16194 +tp16195 +a(g900 +g958 +tp16196 +a(g548 +V20 +p16197 +tp16198 +a(g900 +g958 +tp16199 +a(g900 +g958 +tp16200 +a(g548 +V20 +p16201 +tp16202 +a(g900 +g958 +tp16203 +a(g548 +V20 +p16204 +tp16205 +a(g900 +g958 +tp16206 +a(g548 +V7D +p16207 +tp16208 +a(g900 +g958 +tp16209 +a(g548 +V0A +p16210 +tp16211 +a(g900 +g958 +tp16212 +a(g548 +V0A +p16213 +tp16214 +a(g900 +V +p16215 +tp16216 +a(g341 +V.return.ret;.....}.. +p16217 +tp16218 +a(g900 +V\u000a +p16219 +tp16220 +a(g8 +V00001090 +p16221 +tp16222 +a(g900 +g958 +tp16223 +a(g900 +g958 +tp16224 +a(g548 +V20 +p16225 +tp16226 +a(g900 +g958 +tp16227 +a(g548 +V20 +p16228 +tp16229 +a(g900 +g958 +tp16230 +a(g548 +V20 +p16231 +tp16232 +a(g900 +g958 +tp16233 +a(g548 +V20 +p16234 +tp16235 +a(g900 +g958 +tp16236 +a(g548 +V2F +p16237 +tp16238 +a(g900 +g958 +tp16239 +a(g900 +g958 +tp16240 +a(g548 +V2F +p16241 +tp16242 +a(g900 +g958 +tp16243 +a(g548 +V2F +p16244 +tp16245 +a(g900 +g958 +tp16246 +a(g548 +V20 +p16247 +tp16248 +a(g900 +g958 +tp16249 +a(g548 +V28 +p16250 +tp16251 +a(g900 +g958 +tp16252 +a(g548 +V54 +p16253 +tp16254 +a(g900 +g958 +tp16255 +a(g900 +g958 +tp16256 +a(g548 +V68 +p16257 +tp16258 +a(g900 +g958 +tp16259 +a(g548 +V69 +p16260 +tp16261 +a(g900 +g958 +tp16262 +a(g548 +V73 +p16263 +tp16264 +a(g900 +g958 +tp16265 +a(g548 +V20 +p16266 +tp16267 +a(g900 +g958 +tp16268 +a(g548 +V66 +p16269 +tp16270 +a(g900 +g958 +tp16271 +a(g900 +g958 +tp16272 +a(g548 +V75 +p16273 +tp16274 +a(g900 +g958 +tp16275 +a(g548 +V6E +p16276 +tp16277 +a(g900 +g958 +tp16278 +a(g548 +V63 +p16279 +tp16280 +a(g900 +g958 +tp16281 +a(g548 +V74 +p16282 +tp16283 +a(g900 +g958 +tp16284 +a(g548 +V69 +p16285 +tp16286 +a(g900 +V +p16287 +tp16288 +a(g341 +V....///.(This.functi +p16289 +tp16290 +a(g900 +V\u000a +p16291 +tp16292 +a(g8 +V000010A4 +p16293 +tp16294 +a(g900 +g958 +tp16295 +a(g900 +g958 +tp16296 +a(g548 +V6F +p16297 +tp16298 +a(g900 +g958 +tp16299 +a(g548 +V6E +p16300 +tp16301 +a(g900 +g958 +tp16302 +a(g548 +V20 +p16303 +tp16304 +a(g900 +g958 +tp16305 +a(g548 +V77 +p16306 +tp16307 +a(g900 +g958 +tp16308 +a(g548 +V61 +p16309 +tp16310 +a(g900 +g958 +tp16311 +a(g900 +g958 +tp16312 +a(g548 +V73 +p16313 +tp16314 +a(g900 +g958 +tp16315 +a(g548 +V20 +p16316 +tp16317 +a(g900 +g958 +tp16318 +a(g548 +V69 +p16319 +tp16320 +a(g900 +g958 +tp16321 +a(g548 +V6E +p16322 +tp16323 +a(g900 +g958 +tp16324 +a(g548 +V6C +p16325 +tp16326 +a(g900 +g958 +tp16327 +a(g900 +g958 +tp16328 +a(g548 +V69 +p16329 +tp16330 +a(g900 +g958 +tp16331 +a(g548 +V6E +p16332 +tp16333 +a(g900 +g958 +tp16334 +a(g548 +V65 +p16335 +tp16336 +a(g900 +g958 +tp16337 +a(g548 +V64 +p16338 +tp16339 +a(g900 +g958 +tp16340 +a(g548 +V20 +p16341 +tp16342 +a(g900 +g958 +tp16343 +a(g900 +g958 +tp16344 +a(g548 +V69 +p16345 +tp16346 +a(g900 +g958 +tp16347 +a(g548 +V6E +p16348 +tp16349 +a(g900 +g958 +tp16350 +a(g548 +V20 +p16351 +tp16352 +a(g900 +g958 +tp16353 +a(g548 +V74 +p16354 +tp16355 +a(g900 +g958 +tp16356 +a(g548 +V68 +p16357 +tp16358 +a(g900 +V +p16359 +tp16360 +a(g341 +Von.was.inlined.in.th +p16361 +tp16362 +a(g900 +V\u000a +p16363 +tp16364 +a(g8 +V000010B8 +p16365 +tp16366 +a(g900 +g958 +tp16367 +a(g900 +g958 +tp16368 +a(g548 +V65 +p16369 +tp16370 +a(g900 +g958 +tp16371 +a(g548 +V20 +p16372 +tp16373 +a(g900 +g958 +tp16374 +a(g548 +V6F +p16375 +tp16376 +a(g900 +g958 +tp16377 +a(g548 +V72 +p16378 +tp16379 +a(g900 +g958 +tp16380 +a(g548 +V69 +p16381 +tp16382 +a(g900 +g958 +tp16383 +a(g900 +g958 +tp16384 +a(g548 +V67 +p16385 +tp16386 +a(g900 +g958 +tp16387 +a(g548 +V69 +p16388 +tp16389 +a(g900 +g958 +tp16390 +a(g548 +V6E +p16391 +tp16392 +a(g900 +g958 +tp16393 +a(g548 +V61 +p16394 +tp16395 +a(g900 +g958 +tp16396 +a(g548 +V6C +p16397 +tp16398 +a(g900 +g958 +tp16399 +a(g900 +g958 +tp16400 +a(g548 +V20 +p16401 +tp16402 +a(g900 +g958 +tp16403 +a(g548 +V70 +p16404 +tp16405 +a(g900 +g958 +tp16406 +a(g548 +V72 +p16407 +tp16408 +a(g900 +g958 +tp16409 +a(g548 +V6F +p16410 +tp16411 +a(g900 +g958 +tp16412 +a(g548 +V67 +p16413 +tp16414 +a(g900 +g958 +tp16415 +a(g900 +g958 +tp16416 +a(g548 +V72 +p16417 +tp16418 +a(g900 +g958 +tp16419 +a(g548 +V61 +p16420 +tp16421 +a(g900 +g958 +tp16422 +a(g548 +V6D +p16423 +tp16424 +a(g900 +g958 +tp16425 +a(g548 +V29 +p16426 +tp16427 +a(g900 +g958 +tp16428 +a(g548 +V20 +p16429 +tp16430 +a(g900 +V +p16431 +tp16432 +a(g341 +Ve.original.program). +p16433 +tp16434 +a(g900 +V\u000a +p16435 +tp16436 +a(g8 +V000010CC +p16437 +tp16438 +a(g900 +g958 +tp16439 +a(g900 +g958 +tp16440 +a(g548 +V0A +p16441 +tp16442 +a(g900 +g958 +tp16443 +a(g548 +V20 +p16444 +tp16445 +a(g900 +g958 +tp16446 +a(g548 +V20 +p16447 +tp16448 +a(g900 +g958 +tp16449 +a(g548 +V20 +p16450 +tp16451 +a(g900 +g958 +tp16452 +a(g548 +V20 +p16453 +tp16454 +a(g900 +g958 +tp16455 +a(g900 +g958 +tp16456 +a(g548 +V2F +p16457 +tp16458 +a(g900 +g958 +tp16459 +a(g548 +V2F +p16460 +tp16461 +a(g900 +g958 +tp16462 +a(g548 +V2F +p16463 +tp16464 +a(g900 +g958 +tp16465 +a(g548 +V20 +p16466 +tp16467 +a(g900 +g958 +tp16468 +a(g548 +V46 +p16469 +tp16470 +a(g900 +g958 +tp16471 +a(g900 +g958 +tp16472 +a(g548 +V69 +p16473 +tp16474 +a(g900 +g958 +tp16475 +a(g548 +V6E +p16476 +tp16477 +a(g900 +g958 +tp16478 +a(g548 +V64 +p16479 +tp16480 +a(g900 +g958 +tp16481 +a(g548 +V73 +p16482 +tp16483 +a(g900 +g958 +tp16484 +a(g548 +V20 +p16485 +tp16486 +a(g900 +g958 +tp16487 +a(g900 +g958 +tp16488 +a(g548 +V61 +p16489 +tp16490 +a(g900 +g958 +tp16491 +a(g548 +V6C +p16492 +tp16493 +a(g900 +g958 +tp16494 +a(g548 +V6C +p16495 +tp16496 +a(g900 +g958 +tp16497 +a(g548 +V20 +p16498 +tp16499 +a(g900 +g958 +tp16500 +a(g548 +V61 +p16501 +tp16502 +a(g900 +V +p16503 +tp16504 +a(g341 +V.....///.Finds.all.a +p16505 +tp16506 +a(g900 +V\u000a +p16507 +tp16508 +a(g8 +V000010E0 +p16509 +tp16510 +a(g900 +g958 +tp16511 +a(g900 +g958 +tp16512 +a(g548 +V6C +p16513 +tp16514 +a(g900 +g958 +tp16515 +a(g548 +V74 +p16516 +tp16517 +a(g900 +g958 +tp16518 +a(g548 +V65 +p16519 +tp16520 +a(g900 +g958 +tp16521 +a(g548 +V72 +p16522 +tp16523 +a(g900 +g958 +tp16524 +a(g548 +V6E +p16525 +tp16526 +a(g900 +g958 +tp16527 +a(g900 +g958 +tp16528 +a(g548 +V61 +p16529 +tp16530 +a(g900 +g958 +tp16531 +a(g548 +V74 +p16532 +tp16533 +a(g900 +g958 +tp16534 +a(g548 +V69 +p16535 +tp16536 +a(g900 +g958 +tp16537 +a(g548 +V76 +p16538 +tp16539 +a(g900 +g958 +tp16540 +a(g548 +V65 +p16541 +tp16542 +a(g900 +g958 +tp16543 +a(g900 +g958 +tp16544 +a(g548 +V73 +p16545 +tp16546 +a(g900 +g958 +tp16547 +a(g548 +V20 +p16548 +tp16549 +a(g900 +g958 +tp16550 +a(g548 +V66 +p16551 +tp16552 +a(g900 +g958 +tp16553 +a(g548 +V6F +p16554 +tp16555 +a(g900 +g958 +tp16556 +a(g548 +V72 +p16557 +tp16558 +a(g900 +g958 +tp16559 +a(g900 +g958 +tp16560 +a(g548 +V20 +p16561 +tp16562 +a(g900 +g958 +tp16563 +a(g548 +V74 +p16564 +tp16565 +a(g900 +g958 +tp16566 +a(g548 +V68 +p16567 +tp16568 +a(g900 +g958 +tp16569 +a(g548 +V65 +p16570 +tp16571 +a(g900 +g958 +tp16572 +a(g548 +V20 +p16573 +tp16574 +a(g900 +V +p16575 +tp16576 +a(g341 +Vlternatives.for.the. +p16577 +tp16578 +a(g900 +V\u000a +p16579 +tp16580 +a(g8 +V000010F4 +p16581 +tp16582 +a(g900 +g958 +tp16583 +a(g900 +g958 +tp16584 +a(g548 +V67 +p16585 +tp16586 +a(g900 +g958 +tp16587 +a(g548 +V69 +p16588 +tp16589 +a(g900 +g958 +tp16590 +a(g548 +V76 +p16591 +tp16592 +a(g900 +g958 +tp16593 +a(g548 +V65 +p16594 +tp16595 +a(g900 +g958 +tp16596 +a(g548 +V6E +p16597 +tp16598 +a(g900 +g958 +tp16599 +a(g900 +g958 +tp16600 +a(g548 +V20 +p16601 +tp16602 +a(g900 +g958 +tp16603 +a(g548 +V70 +p16604 +tp16605 +a(g900 +g958 +tp16606 +a(g548 +V68 +p16607 +tp16608 +a(g900 +g958 +tp16609 +a(g548 +V6F +p16610 +tp16611 +a(g900 +g958 +tp16612 +a(g548 +V6E +p16613 +tp16614 +a(g900 +g958 +tp16615 +a(g900 +g958 +tp16616 +a(g548 +V65 +p16617 +tp16618 +a(g900 +g958 +tp16619 +a(g548 +V20 +p16620 +tp16621 +a(g900 +g958 +tp16622 +a(g548 +V6E +p16623 +tp16624 +a(g900 +g958 +tp16625 +a(g548 +V75 +p16626 +tp16627 +a(g900 +g958 +tp16628 +a(g548 +V6D +p16629 +tp16630 +a(g900 +g958 +tp16631 +a(g900 +g958 +tp16632 +a(g548 +V62 +p16633 +tp16634 +a(g900 +g958 +tp16635 +a(g548 +V65 +p16636 +tp16637 +a(g900 +g958 +tp16638 +a(g548 +V72 +p16639 +tp16640 +a(g900 +g958 +tp16641 +a(g548 +V20 +p16642 +tp16643 +a(g900 +g958 +tp16644 +a(g548 +V0A +p16645 +tp16646 +a(g900 +V +p16647 +tp16648 +a(g341 +Vgiven.phone.number.. +p16649 +tp16650 +a(g900 +V\u000a +p16651 +tp16652 +a(g8 +V00001108 +p16653 +tp16654 +a(g900 +g958 +tp16655 +a(g900 +g958 +tp16656 +a(g548 +V20 +p16657 +tp16658 +a(g900 +g958 +tp16659 +a(g548 +V20 +p16660 +tp16661 +a(g900 +g958 +tp16662 +a(g548 +V20 +p16663 +tp16664 +a(g900 +g958 +tp16665 +a(g548 +V20 +p16666 +tp16667 +a(g900 +g958 +tp16668 +a(g548 +V2F +p16669 +tp16670 +a(g900 +g958 +tp16671 +a(g900 +g958 +tp16672 +a(g548 +V2F +p16673 +tp16674 +a(g900 +g958 +tp16675 +a(g548 +V2F +p16676 +tp16677 +a(g900 +g958 +tp16678 +a(g548 +V20 +p16679 +tp16680 +a(g900 +g958 +tp16681 +a(g548 +V52 +p16682 +tp16683 +a(g900 +g958 +tp16684 +a(g548 +V65 +p16685 +tp16686 +a(g900 +g958 +tp16687 +a(g900 +g958 +tp16688 +a(g548 +V74 +p16689 +tp16690 +a(g900 +g958 +tp16691 +a(g548 +V75 +p16692 +tp16693 +a(g900 +g958 +tp16694 +a(g548 +V72 +p16695 +tp16696 +a(g900 +g958 +tp16697 +a(g548 +V6E +p16698 +tp16699 +a(g900 +g958 +tp16700 +a(g548 +V73 +p16701 +tp16702 +a(g900 +g958 +tp16703 +a(g900 +g958 +tp16704 +a(g548 +V3A +p16705 +tp16706 +a(g900 +g958 +tp16707 +a(g548 +V20 +p16708 +tp16709 +a(g900 +g958 +tp16710 +a(g548 +V61 +p16711 +tp16712 +a(g900 +g958 +tp16713 +a(g548 +V72 +p16714 +tp16715 +a(g900 +g958 +tp16716 +a(g548 +V72 +p16717 +tp16718 +a(g900 +V +p16719 +tp16720 +a(g341 +V....///.Returns:.arr +p16721 +tp16722 +a(g900 +V\u000a +p16723 +tp16724 +a(g8 +V0000111C +p16725 +tp16726 +a(g900 +g958 +tp16727 +a(g900 +g958 +tp16728 +a(g548 +V61 +p16729 +tp16730 +a(g900 +g958 +tp16731 +a(g548 +V79 +p16732 +tp16733 +a(g900 +g958 +tp16734 +a(g548 +V20 +p16735 +tp16736 +a(g900 +g958 +tp16737 +a(g548 +V6F +p16738 +tp16739 +a(g900 +g958 +tp16740 +a(g548 +V66 +p16741 +tp16742 +a(g900 +g958 +tp16743 +a(g900 +g958 +tp16744 +a(g548 +V20 +p16745 +tp16746 +a(g900 +g958 +tp16747 +a(g548 +V73 +p16748 +tp16749 +a(g900 +g958 +tp16750 +a(g548 +V74 +p16751 +tp16752 +a(g900 +g958 +tp16753 +a(g548 +V72 +p16754 +tp16755 +a(g900 +g958 +tp16756 +a(g548 +V69 +p16757 +tp16758 +a(g900 +g958 +tp16759 +a(g900 +g958 +tp16760 +a(g548 +V6E +p16761 +tp16762 +a(g900 +g958 +tp16763 +a(g548 +V67 +p16764 +tp16765 +a(g900 +g958 +tp16766 +a(g548 +V73 +p16767 +tp16768 +a(g900 +g958 +tp16769 +a(g548 +V20 +p16770 +tp16771 +a(g900 +g958 +tp16772 +a(g548 +V0A +p16773 +tp16774 +a(g900 +g958 +tp16775 +a(g900 +g958 +tp16776 +a(g548 +V20 +p16777 +tp16778 +a(g900 +g958 +tp16779 +a(g548 +V20 +p16780 +tp16781 +a(g900 +g958 +tp16782 +a(g548 +V20 +p16783 +tp16784 +a(g900 +g958 +tp16785 +a(g548 +V20 +p16786 +tp16787 +a(g900 +g958 +tp16788 +a(g548 +V73 +p16789 +tp16790 +a(g900 +V +p16791 +tp16792 +a(g341 +Vay.of.strings......s +p16793 +tp16794 +a(g900 +V\u000a +p16795 +tp16796 +a(g8 +V00001130 +p16797 +tp16798 +a(g900 +g958 +tp16799 +a(g900 +g958 +tp16800 +a(g548 +V74 +p16801 +tp16802 +a(g900 +g958 +tp16803 +a(g548 +V72 +p16804 +tp16805 +a(g900 +g958 +tp16806 +a(g548 +V69 +p16807 +tp16808 +a(g900 +g958 +tp16809 +a(g548 +V6E +p16810 +tp16811 +a(g900 +g958 +tp16812 +a(g548 +V67 +p16813 +tp16814 +a(g900 +g958 +tp16815 +a(g900 +g958 +tp16816 +a(g548 +V61 +p16817 +tp16818 +a(g900 +g958 +tp16819 +a(g548 +V72 +p16820 +tp16821 +a(g900 +g958 +tp16822 +a(g548 +V72 +p16823 +tp16824 +a(g900 +g958 +tp16825 +a(g548 +V61 +p16826 +tp16827 +a(g900 +g958 +tp16828 +a(g548 +V79 +p16829 +tp16830 +a(g900 +g958 +tp16831 +a(g900 +g958 +tp16832 +a(g548 +V20 +p16833 +tp16834 +a(g900 +g958 +tp16835 +a(g548 +V46 +p16836 +tp16837 +a(g900 +g958 +tp16838 +a(g548 +V69 +p16839 +tp16840 +a(g900 +g958 +tp16841 +a(g548 +V6E +p16842 +tp16843 +a(g900 +g958 +tp16844 +a(g548 +V64 +p16845 +tp16846 +a(g900 +g958 +tp16847 +a(g900 +g958 +tp16848 +a(g548 +V57 +p16849 +tp16850 +a(g900 +g958 +tp16851 +a(g548 +V6F +p16852 +tp16853 +a(g900 +g958 +tp16854 +a(g548 +V72 +p16855 +tp16856 +a(g900 +g958 +tp16857 +a(g548 +V64 +p16858 +tp16859 +a(g900 +g958 +tp16860 +a(g548 +V73 +p16861 +tp16862 +a(g900 +V +p16863 +tp16864 +a(g341 +Vtringarray.FindWords +p16865 +tp16866 +a(g900 +V\u000a +p16867 +tp16868 +a(g8 +V00001144 +p16869 +tp16870 +a(g900 +g958 +tp16871 +a(g900 +g958 +tp16872 +a(g548 +V28 +p16873 +tp16874 +a(g900 +g958 +tp16875 +a(g548 +V20 +p16876 +tp16877 +a(g900 +g958 +tp16878 +a(g548 +V73 +p16879 +tp16880 +a(g900 +g958 +tp16881 +a(g548 +V74 +p16882 +tp16883 +a(g900 +g958 +tp16884 +a(g548 +V72 +p16885 +tp16886 +a(g900 +g958 +tp16887 +a(g900 +g958 +tp16888 +a(g548 +V69 +p16889 +tp16890 +a(g900 +g958 +tp16891 +a(g548 +V6E +p16892 +tp16893 +a(g900 +g958 +tp16894 +a(g548 +V67 +p16895 +tp16896 +a(g900 +g958 +tp16897 +a(g548 +V20 +p16898 +tp16899 +a(g900 +g958 +tp16900 +a(g548 +V70 +p16901 +tp16902 +a(g900 +g958 +tp16903 +a(g900 +g958 +tp16904 +a(g548 +V68 +p16905 +tp16906 +a(g900 +g958 +tp16907 +a(g548 +V6F +p16908 +tp16909 +a(g900 +g958 +tp16910 +a(g548 +V6E +p16911 +tp16912 +a(g900 +g958 +tp16913 +a(g548 +V65 +p16914 +tp16915 +a(g900 +g958 +tp16916 +a(g548 +V5F +p16917 +tp16918 +a(g900 +g958 +tp16919 +a(g900 +g958 +tp16920 +a(g548 +V6E +p16921 +tp16922 +a(g900 +g958 +tp16923 +a(g548 +V75 +p16924 +tp16925 +a(g900 +g958 +tp16926 +a(g548 +V6D +p16927 +tp16928 +a(g900 +g958 +tp16929 +a(g548 +V62 +p16930 +tp16931 +a(g900 +g958 +tp16932 +a(g548 +V65 +p16933 +tp16934 +a(g900 +V +p16935 +tp16936 +a(g341 +V(.string.phone_numbe +p16937 +tp16938 +a(g900 +V\u000a +p16939 +tp16940 +a(g8 +V00001158 +p16941 +tp16942 +a(g900 +g958 +tp16943 +a(g900 +g958 +tp16944 +a(g548 +V72 +p16945 +tp16946 +a(g900 +g958 +tp16947 +a(g548 +V20 +p16948 +tp16949 +a(g900 +g958 +tp16950 +a(g548 +V29 +p16951 +tp16952 +a(g900 +g958 +tp16953 +a(g548 +V0A +p16954 +tp16955 +a(g900 +g958 +tp16956 +a(g548 +V20 +p16957 +tp16958 +a(g900 +g958 +tp16959 +a(g900 +g958 +tp16960 +a(g548 +V20 +p16961 +tp16962 +a(g900 +g958 +tp16963 +a(g548 +V20 +p16964 +tp16965 +a(g900 +g958 +tp16966 +a(g548 +V20 +p16967 +tp16968 +a(g900 +g958 +tp16969 +a(g548 +V7B +p16970 +tp16971 +a(g900 +g958 +tp16972 +a(g548 +V0A +p16973 +tp16974 +a(g900 +g958 +tp16975 +a(g900 +g958 +tp16976 +a(g548 +V20 +p16977 +tp16978 +a(g900 +g958 +tp16979 +a(g548 +V20 +p16980 +tp16981 +a(g900 +g958 +tp16982 +a(g548 +V20 +p16983 +tp16984 +a(g900 +g958 +tp16985 +a(g548 +V20 +p16986 +tp16987 +a(g900 +g958 +tp16988 +a(g548 +V20 +p16989 +tp16990 +a(g900 +g958 +tp16991 +a(g900 +g958 +tp16992 +a(g548 +V20 +p16993 +tp16994 +a(g900 +g958 +tp16995 +a(g548 +V20 +p16996 +tp16997 +a(g900 +g958 +tp16998 +a(g548 +V20 +p16999 +tp17000 +a(g900 +g958 +tp17001 +a(g548 +V69 +p17002 +tp17003 +a(g900 +g958 +tp17004 +a(g548 +V66 +p17005 +tp17006 +a(g900 +V +p17007 +tp17008 +a(g341 +Vr.).....{.........if +p17009 +tp17010 +a(g900 +V\u000a +p17011 +tp17012 +a(g8 +V0000116C +p17013 +tp17014 +a(g900 +g958 +tp17015 +a(g900 +g958 +tp17016 +a(g548 +V20 +p17017 +tp17018 +a(g900 +g958 +tp17019 +a(g548 +V28 +p17020 +tp17021 +a(g900 +g958 +tp17022 +a(g548 +V21 +p17023 +tp17024 +a(g900 +g958 +tp17025 +a(g548 +V70 +p17026 +tp17027 +a(g900 +g958 +tp17028 +a(g548 +V68 +p17029 +tp17030 +a(g900 +g958 +tp17031 +a(g900 +g958 +tp17032 +a(g548 +V6F +p17033 +tp17034 +a(g900 +g958 +tp17035 +a(g548 +V6E +p17036 +tp17037 +a(g900 +g958 +tp17038 +a(g548 +V65 +p17039 +tp17040 +a(g900 +g958 +tp17041 +a(g548 +V5F +p17042 +tp17043 +a(g900 +g958 +tp17044 +a(g548 +V6E +p17045 +tp17046 +a(g900 +g958 +tp17047 +a(g900 +g958 +tp17048 +a(g548 +V75 +p17049 +tp17050 +a(g900 +g958 +tp17051 +a(g548 +V6D +p17052 +tp17053 +a(g900 +g958 +tp17054 +a(g548 +V62 +p17055 +tp17056 +a(g900 +g958 +tp17057 +a(g548 +V65 +p17058 +tp17059 +a(g900 +g958 +tp17060 +a(g548 +V72 +p17061 +tp17062 +a(g900 +g958 +tp17063 +a(g900 +g958 +tp17064 +a(g548 +V2E +p17065 +tp17066 +a(g900 +g958 +tp17067 +a(g548 +V6C +p17068 +tp17069 +a(g900 +g958 +tp17070 +a(g548 +V65 +p17071 +tp17072 +a(g900 +g958 +tp17073 +a(g548 +V6E +p17074 +tp17075 +a(g900 +g958 +tp17076 +a(g548 +V67 +p17077 +tp17078 +a(g900 +V +p17079 +tp17080 +a(g341 +V.(!phone_number.leng +p17081 +tp17082 +a(g900 +V\u000a +p17083 +tp17084 +a(g8 +V00001180 +p17085 +tp17086 +a(g900 +g958 +tp17087 +a(g900 +g958 +tp17088 +a(g548 +V74 +p17089 +tp17090 +a(g900 +g958 +tp17091 +a(g548 +V68 +p17092 +tp17093 +a(g900 +g958 +tp17094 +a(g548 +V29 +p17095 +tp17096 +a(g900 +g958 +tp17097 +a(g548 +V0A +p17098 +tp17099 +a(g900 +g958 +tp17100 +a(g548 +V20 +p17101 +tp17102 +a(g900 +g958 +tp17103 +a(g900 +g958 +tp17104 +a(g548 +V20 +p17105 +tp17106 +a(g900 +g958 +tp17107 +a(g548 +V20 +p17108 +tp17109 +a(g900 +g958 +tp17110 +a(g548 +V20 +p17111 +tp17112 +a(g900 +g958 +tp17113 +a(g548 +V20 +p17114 +tp17115 +a(g900 +g958 +tp17116 +a(g548 +V20 +p17117 +tp17118 +a(g900 +g958 +tp17119 +a(g900 +g958 +tp17120 +a(g548 +V20 +p17121 +tp17122 +a(g900 +g958 +tp17123 +a(g548 +V20 +p17124 +tp17125 +a(g900 +g958 +tp17126 +a(g548 +V20 +p17127 +tp17128 +a(g900 +g958 +tp17129 +a(g548 +V20 +p17130 +tp17131 +a(g900 +g958 +tp17132 +a(g548 +V20 +p17133 +tp17134 +a(g900 +g958 +tp17135 +a(g900 +g958 +tp17136 +a(g548 +V20 +p17137 +tp17138 +a(g900 +g958 +tp17139 +a(g548 +V72 +p17140 +tp17141 +a(g900 +g958 +tp17142 +a(g548 +V65 +p17143 +tp17144 +a(g900 +g958 +tp17145 +a(g548 +V74 +p17146 +tp17147 +a(g900 +g958 +tp17148 +a(g548 +V75 +p17149 +tp17150 +a(g900 +V +p17151 +tp17152 +a(g341 +Vth).............retu +p17153 +tp17154 +a(g900 +V\u000a +p17155 +tp17156 +a(g8 +V00001194 +p17157 +tp17158 +a(g900 +g958 +tp17159 +a(g900 +g958 +tp17160 +a(g548 +V72 +p17161 +tp17162 +a(g900 +g958 +tp17163 +a(g548 +V6E +p17164 +tp17165 +a(g900 +g958 +tp17166 +a(g548 +V20 +p17167 +tp17168 +a(g900 +g958 +tp17169 +a(g548 +V6E +p17170 +tp17171 +a(g900 +g958 +tp17172 +a(g548 +V75 +p17173 +tp17174 +a(g900 +g958 +tp17175 +a(g900 +g958 +tp17176 +a(g548 +V6C +p17177 +tp17178 +a(g900 +g958 +tp17179 +a(g548 +V6C +p17180 +tp17181 +a(g900 +g958 +tp17182 +a(g548 +V3B +p17183 +tp17184 +a(g900 +g958 +tp17185 +a(g548 +V0A +p17186 +tp17187 +a(g900 +g958 +tp17188 +a(g548 +V20 +p17189 +tp17190 +a(g900 +g958 +tp17191 +a(g900 +g958 +tp17192 +a(g548 +V20 +p17193 +tp17194 +a(g900 +g958 +tp17195 +a(g548 +V20 +p17196 +tp17197 +a(g900 +g958 +tp17198 +a(g548 +V20 +p17199 +tp17200 +a(g900 +g958 +tp17201 +a(g548 +V20 +p17202 +tp17203 +a(g900 +g958 +tp17204 +a(g548 +V20 +p17205 +tp17206 +a(g900 +g958 +tp17207 +a(g900 +g958 +tp17208 +a(g548 +V20 +p17209 +tp17210 +a(g900 +g958 +tp17211 +a(g548 +V20 +p17212 +tp17213 +a(g900 +g958 +tp17214 +a(g548 +V2F +p17215 +tp17216 +a(g900 +g958 +tp17217 +a(g548 +V2F +p17218 +tp17219 +a(g900 +g958 +tp17220 +a(g548 +V20 +p17221 +tp17222 +a(g900 +V +p17223 +tp17224 +a(g341 +Vrn.null;.........//. +p17225 +tp17226 +a(g900 +V\u000a +p17227 +tp17228 +a(g8 +V000011A8 +p17229 +tp17230 +a(g900 +g958 +tp17231 +a(g900 +g958 +tp17232 +a(g548 +V53 +p17233 +tp17234 +a(g900 +g958 +tp17235 +a(g548 +V74 +p17236 +tp17237 +a(g900 +g958 +tp17238 +a(g548 +V72 +p17239 +tp17240 +a(g900 +g958 +tp17241 +a(g548 +V69 +p17242 +tp17243 +a(g900 +g958 +tp17244 +a(g548 +V70 +p17245 +tp17246 +a(g900 +g958 +tp17247 +a(g900 +g958 +tp17248 +a(g548 +V20 +p17249 +tp17250 +a(g900 +g958 +tp17251 +a(g548 +V74 +p17252 +tp17253 +a(g900 +g958 +tp17254 +a(g548 +V68 +p17255 +tp17256 +a(g900 +g958 +tp17257 +a(g548 +V65 +p17258 +tp17259 +a(g900 +g958 +tp17260 +a(g548 +V20 +p17261 +tp17262 +a(g900 +g958 +tp17263 +a(g900 +g958 +tp17264 +a(g548 +V6E +p17265 +tp17266 +a(g900 +g958 +tp17267 +a(g548 +V6F +p17268 +tp17269 +a(g900 +g958 +tp17270 +a(g548 +V6E +p17271 +tp17272 +a(g900 +g958 +tp17273 +a(g548 +V2D +p17274 +tp17275 +a(g900 +g958 +tp17276 +a(g548 +V64 +p17277 +tp17278 +a(g900 +g958 +tp17279 +a(g900 +g958 +tp17280 +a(g548 +V69 +p17281 +tp17282 +a(g900 +g958 +tp17283 +a(g548 +V67 +p17284 +tp17285 +a(g900 +g958 +tp17286 +a(g548 +V69 +p17287 +tp17288 +a(g900 +g958 +tp17289 +a(g548 +V74 +p17290 +tp17291 +a(g900 +g958 +tp17292 +a(g548 +V20 +p17293 +tp17294 +a(g900 +V +p17295 +tp17296 +a(g341 +VStrip.the.non-digit. +p17297 +tp17298 +a(g900 +V\u000a +p17299 +tp17300 +a(g8 +V000011BC +p17301 +tp17302 +a(g900 +g958 +tp17303 +a(g900 +g958 +tp17304 +a(g548 +V63 +p17305 +tp17306 +a(g900 +g958 +tp17307 +a(g548 +V68 +p17308 +tp17309 +a(g900 +g958 +tp17310 +a(g548 +V61 +p17311 +tp17312 +a(g900 +g958 +tp17313 +a(g548 +V72 +p17314 +tp17315 +a(g900 +g958 +tp17316 +a(g548 +V61 +p17317 +tp17318 +a(g900 +g958 +tp17319 +a(g900 +g958 +tp17320 +a(g548 +V63 +p17321 +tp17322 +a(g900 +g958 +tp17323 +a(g548 +V74 +p17324 +tp17325 +a(g900 +g958 +tp17326 +a(g548 +V65 +p17327 +tp17328 +a(g900 +g958 +tp17329 +a(g548 +V72 +p17330 +tp17331 +a(g900 +g958 +tp17332 +a(g548 +V73 +p17333 +tp17334 +a(g900 +g958 +tp17335 +a(g900 +g958 +tp17336 +a(g548 +V20 +p17337 +tp17338 +a(g900 +g958 +tp17339 +a(g548 +V66 +p17340 +tp17341 +a(g900 +g958 +tp17342 +a(g548 +V72 +p17343 +tp17344 +a(g900 +g958 +tp17345 +a(g548 +V6F +p17346 +tp17347 +a(g900 +g958 +tp17348 +a(g548 +V6D +p17349 +tp17350 +a(g900 +g958 +tp17351 +a(g900 +g958 +tp17352 +a(g548 +V20 +p17353 +tp17354 +a(g900 +g958 +tp17355 +a(g548 +V74 +p17356 +tp17357 +a(g900 +g958 +tp17358 +a(g548 +V68 +p17359 +tp17360 +a(g900 +g958 +tp17361 +a(g548 +V65 +p17362 +tp17363 +a(g900 +g958 +tp17364 +a(g548 +V20 +p17365 +tp17366 +a(g900 +V +p17367 +tp17368 +a(g341 +Vcharacters.from.the. +p17369 +tp17370 +a(g900 +V\u000a +p17371 +tp17372 +a(g8 +V000011D0 +p17373 +tp17374 +a(g900 +g958 +tp17375 +a(g900 +g958 +tp17376 +a(g548 +V70 +p17377 +tp17378 +a(g900 +g958 +tp17379 +a(g548 +V68 +p17380 +tp17381 +a(g900 +g958 +tp17382 +a(g548 +V6F +p17383 +tp17384 +a(g900 +g958 +tp17385 +a(g548 +V6E +p17386 +tp17387 +a(g900 +g958 +tp17388 +a(g548 +V65 +p17389 +tp17390 +a(g900 +g958 +tp17391 +a(g900 +g958 +tp17392 +a(g548 +V20 +p17393 +tp17394 +a(g900 +g958 +tp17395 +a(g548 +V6E +p17396 +tp17397 +a(g900 +g958 +tp17398 +a(g548 +V75 +p17399 +tp17400 +a(g900 +g958 +tp17401 +a(g548 +V6D +p17402 +tp17403 +a(g900 +g958 +tp17404 +a(g548 +V62 +p17405 +tp17406 +a(g900 +g958 +tp17407 +a(g900 +g958 +tp17408 +a(g548 +V65 +p17409 +tp17410 +a(g900 +g958 +tp17411 +a(g548 +V72 +p17412 +tp17413 +a(g900 +g958 +tp17414 +a(g548 +V2C +p17415 +tp17416 +a(g900 +g958 +tp17417 +a(g548 +V20 +p17418 +tp17419 +a(g900 +g958 +tp17420 +a(g548 +V61 +p17421 +tp17422 +a(g900 +g958 +tp17423 +a(g900 +g958 +tp17424 +a(g548 +V6E +p17425 +tp17426 +a(g900 +g958 +tp17427 +a(g548 +V64 +p17428 +tp17429 +a(g900 +g958 +tp17430 +a(g548 +V0A +p17431 +tp17432 +a(g900 +g958 +tp17433 +a(g548 +V20 +p17434 +tp17435 +a(g900 +g958 +tp17436 +a(g548 +V20 +p17437 +tp17438 +a(g900 +V +p17439 +tp17440 +a(g341 +Vphone.number,.and... +p17441 +tp17442 +a(g900 +V\u000a +p17443 +tp17444 +a(g8 +V000011E4 +p17445 +tp17446 +a(g900 +g958 +tp17447 +a(g900 +g958 +tp17448 +a(g548 +V20 +p17449 +tp17450 +a(g900 +g958 +tp17451 +a(g548 +V20 +p17452 +tp17453 +a(g900 +g958 +tp17454 +a(g548 +V20 +p17455 +tp17456 +a(g900 +g958 +tp17457 +a(g548 +V20 +p17458 +tp17459 +a(g900 +g958 +tp17460 +a(g548 +V20 +p17461 +tp17462 +a(g900 +g958 +tp17463 +a(g900 +g958 +tp17464 +a(g548 +V20 +p17465 +tp17466 +a(g900 +g958 +tp17467 +a(g548 +V2F +p17468 +tp17469 +a(g900 +g958 +tp17470 +a(g548 +V2F +p17471 +tp17472 +a(g900 +g958 +tp17473 +a(g548 +V20 +p17474 +tp17475 +a(g900 +g958 +tp17476 +a(g548 +V70 +p17477 +tp17478 +a(g900 +g958 +tp17479 +a(g900 +g958 +tp17480 +a(g548 +V61 +p17481 +tp17482 +a(g900 +g958 +tp17483 +a(g548 +V73 +p17484 +tp17485 +a(g900 +g958 +tp17486 +a(g548 +V73 +p17487 +tp17488 +a(g900 +g958 +tp17489 +a(g548 +V20 +p17490 +tp17491 +a(g900 +g958 +tp17492 +a(g548 +V69 +p17493 +tp17494 +a(g900 +g958 +tp17495 +a(g900 +g958 +tp17496 +a(g548 +V74 +p17497 +tp17498 +a(g900 +g958 +tp17499 +a(g548 +V20 +p17500 +tp17501 +a(g900 +g958 +tp17502 +a(g548 +V74 +p17503 +tp17504 +a(g900 +g958 +tp17505 +a(g548 +V6F +p17506 +tp17507 +a(g900 +g958 +tp17508 +a(g548 +V20 +p17509 +tp17510 +a(g900 +V +p17511 +tp17512 +a(g341 +V......//.pass.it.to. +p17513 +tp17514 +a(g900 +V\u000a +p17515 +tp17516 +a(g8 +V000011F8 +p17517 +tp17518 +a(g900 +g958 +tp17519 +a(g900 +g958 +tp17520 +a(g548 +V74 +p17521 +tp17522 +a(g900 +g958 +tp17523 +a(g548 +V68 +p17524 +tp17525 +a(g900 +g958 +tp17526 +a(g548 +V65 +p17527 +tp17528 +a(g900 +g958 +tp17529 +a(g548 +V20 +p17530 +tp17531 +a(g900 +g958 +tp17532 +a(g548 +V72 +p17533 +tp17534 +a(g900 +g958 +tp17535 +a(g900 +g958 +tp17536 +a(g548 +V65 +p17537 +tp17538 +a(g900 +g958 +tp17539 +a(g548 +V63 +p17540 +tp17541 +a(g900 +g958 +tp17542 +a(g548 +V75 +p17543 +tp17544 +a(g900 +g958 +tp17545 +a(g548 +V72 +p17546 +tp17547 +a(g900 +g958 +tp17548 +a(g548 +V73 +p17549 +tp17550 +a(g900 +g958 +tp17551 +a(g900 +g958 +tp17552 +a(g548 +V69 +p17553 +tp17554 +a(g900 +g958 +tp17555 +a(g548 +V76 +p17556 +tp17557 +a(g900 +g958 +tp17558 +a(g548 +V65 +p17559 +tp17560 +a(g900 +g958 +tp17561 +a(g548 +V20 +p17562 +tp17563 +a(g900 +g958 +tp17564 +a(g548 +V66 +p17565 +tp17566 +a(g900 +g958 +tp17567 +a(g900 +g958 +tp17568 +a(g548 +V75 +p17569 +tp17570 +a(g900 +g958 +tp17571 +a(g548 +V6E +p17572 +tp17573 +a(g900 +g958 +tp17574 +a(g548 +V63 +p17575 +tp17576 +a(g900 +g958 +tp17577 +a(g548 +V74 +p17578 +tp17579 +a(g900 +g958 +tp17580 +a(g548 +V69 +p17581 +tp17582 +a(g900 +V +p17583 +tp17584 +a(g341 +Vthe.recursive.functi +p17585 +tp17586 +a(g900 +V\u000a +p17587 +tp17588 +a(g8 +V0000120C +p17589 +tp17590 +a(g900 +g958 +tp17591 +a(g900 +g958 +tp17592 +a(g548 +V6F +p17593 +tp17594 +a(g900 +g958 +tp17595 +a(g548 +V6E +p17596 +tp17597 +a(g900 +g958 +tp17598 +a(g548 +V20 +p17599 +tp17600 +a(g900 +g958 +tp17601 +a(g548 +V28 +p17602 +tp17603 +a(g900 +g958 +tp17604 +a(g548 +V6C +p17605 +tp17606 +a(g900 +g958 +tp17607 +a(g900 +g958 +tp17608 +a(g548 +V65 +p17609 +tp17610 +a(g900 +g958 +tp17611 +a(g548 +V61 +p17612 +tp17613 +a(g900 +g958 +tp17614 +a(g548 +V64 +p17615 +tp17616 +a(g900 +g958 +tp17617 +a(g548 +V69 +p17618 +tp17619 +a(g900 +g958 +tp17620 +a(g548 +V6E +p17621 +tp17622 +a(g900 +g958 +tp17623 +a(g900 +g958 +tp17624 +a(g548 +V67 +p17625 +tp17626 +a(g900 +g958 +tp17627 +a(g548 +V20 +p17628 +tp17629 +a(g900 +g958 +tp17630 +a(g548 +V64 +p17631 +tp17632 +a(g900 +g958 +tp17633 +a(g548 +V69 +p17634 +tp17635 +a(g900 +g958 +tp17636 +a(g548 +V67 +p17637 +tp17638 +a(g900 +g958 +tp17639 +a(g900 +g958 +tp17640 +a(g548 +V69 +p17641 +tp17642 +a(g900 +g958 +tp17643 +a(g548 +V74 +p17644 +tp17645 +a(g900 +g958 +tp17646 +a(g548 +V20 +p17647 +tp17648 +a(g900 +g958 +tp17649 +a(g548 +V69 +p17650 +tp17651 +a(g900 +g958 +tp17652 +a(g548 +V73 +p17653 +tp17654 +a(g900 +V +p17655 +tp17656 +a(g341 +Von.(leading.digit.is +p17657 +tp17658 +a(g900 +V\u000a +p17659 +tp17660 +a(g8 +V00001220 +p17661 +tp17662 +a(g900 +g958 +tp17663 +a(g900 +g958 +tp17664 +a(g548 +V20 +p17665 +tp17666 +a(g900 +g958 +tp17667 +a(g548 +V61 +p17668 +tp17669 +a(g900 +g958 +tp17670 +a(g548 +V6C +p17671 +tp17672 +a(g900 +g958 +tp17673 +a(g548 +V6C +p17674 +tp17675 +a(g900 +g958 +tp17676 +a(g548 +V6F +p17677 +tp17678 +a(g900 +g958 +tp17679 +a(g900 +g958 +tp17680 +a(g548 +V77 +p17681 +tp17682 +a(g900 +g958 +tp17683 +a(g548 +V65 +p17684 +tp17685 +a(g900 +g958 +tp17686 +a(g548 +V64 +p17687 +tp17688 +a(g900 +g958 +tp17689 +a(g548 +V29 +p17690 +tp17691 +a(g900 +g958 +tp17692 +a(g548 +V0A +p17693 +tp17694 +a(g900 +g958 +tp17695 +a(g900 +g958 +tp17696 +a(g548 +V20 +p17697 +tp17698 +a(g900 +g958 +tp17699 +a(g548 +V20 +p17700 +tp17701 +a(g900 +g958 +tp17702 +a(g548 +V20 +p17703 +tp17704 +a(g900 +g958 +tp17705 +a(g548 +V20 +p17706 +tp17707 +a(g900 +g958 +tp17708 +a(g548 +V20 +p17709 +tp17710 +a(g900 +g958 +tp17711 +a(g900 +g958 +tp17712 +a(g548 +V20 +p17713 +tp17714 +a(g900 +g958 +tp17715 +a(g548 +V20 +p17716 +tp17717 +a(g900 +g958 +tp17718 +a(g548 +V20 +p17719 +tp17720 +a(g900 +g958 +tp17721 +a(g548 +V72 +p17722 +tp17723 +a(g900 +g958 +tp17724 +a(g548 +V65 +p17725 +tp17726 +a(g900 +V +p17727 +tp17728 +a(g341 +V.allowed).........re +p17729 +tp17730 +a(g900 +V\u000a +p17731 +tp17732 +a(g8 +V00001234 +p17733 +tp17734 +a(g900 +g958 +tp17735 +a(g900 +g958 +tp17736 +a(g548 +V74 +p17737 +tp17738 +a(g900 +g958 +tp17739 +a(g548 +V75 +p17740 +tp17741 +a(g900 +g958 +tp17742 +a(g548 +V72 +p17743 +tp17744 +a(g900 +g958 +tp17745 +a(g548 +V6E +p17746 +tp17747 +a(g900 +g958 +tp17748 +a(g548 +V20 +p17749 +tp17750 +a(g900 +g958 +tp17751 +a(g900 +g958 +tp17752 +a(g548 +V5F +p17753 +tp17754 +a(g900 +g958 +tp17755 +a(g548 +V46 +p17756 +tp17757 +a(g900 +g958 +tp17758 +a(g548 +V69 +p17759 +tp17760 +a(g900 +g958 +tp17761 +a(g548 +V6E +p17762 +tp17763 +a(g900 +g958 +tp17764 +a(g548 +V64 +p17765 +tp17766 +a(g900 +g958 +tp17767 +a(g900 +g958 +tp17768 +a(g548 +V57 +p17769 +tp17770 +a(g900 +g958 +tp17771 +a(g548 +V6F +p17772 +tp17773 +a(g900 +g958 +tp17774 +a(g548 +V72 +p17775 +tp17776 +a(g900 +g958 +tp17777 +a(g548 +V64 +p17778 +tp17779 +a(g900 +g958 +tp17780 +a(g548 +V73 +p17781 +tp17782 +a(g900 +g958 +tp17783 +a(g900 +g958 +tp17784 +a(g548 +V28 +p17785 +tp17786 +a(g900 +g958 +tp17787 +a(g548 +V20 +p17788 +tp17789 +a(g900 +g958 +tp17790 +a(g548 +V73 +p17791 +tp17792 +a(g900 +g958 +tp17793 +a(g548 +V74 +p17794 +tp17795 +a(g900 +g958 +tp17796 +a(g548 +V72 +p17797 +tp17798 +a(g900 +V +p17799 +tp17800 +a(g341 +Vturn._FindWords(.str +p17801 +tp17802 +a(g900 +V\u000a +p17803 +tp17804 +a(g8 +V00001248 +p17805 +tp17806 +a(g900 +g958 +tp17807 +a(g900 +g958 +tp17808 +a(g548 +V69 +p17809 +tp17810 +a(g900 +g958 +tp17811 +a(g548 +V70 +p17812 +tp17813 +a(g900 +g958 +tp17814 +a(g548 +V4E +p17815 +tp17816 +a(g900 +g958 +tp17817 +a(g548 +V6F +p17818 +tp17819 +a(g900 +g958 +tp17820 +a(g548 +V6E +p17821 +tp17822 +a(g900 +g958 +tp17823 +a(g900 +g958 +tp17824 +a(g548 +V44 +p17825 +tp17826 +a(g900 +g958 +tp17827 +a(g548 +V69 +p17828 +tp17829 +a(g900 +g958 +tp17830 +a(g548 +V67 +p17831 +tp17832 +a(g900 +g958 +tp17833 +a(g548 +V69 +p17834 +tp17835 +a(g900 +g958 +tp17836 +a(g548 +V74 +p17837 +tp17838 +a(g900 +g958 +tp17839 +a(g900 +g958 +tp17840 +a(g548 +V28 +p17841 +tp17842 +a(g900 +g958 +tp17843 +a(g548 +V70 +p17844 +tp17845 +a(g900 +g958 +tp17846 +a(g548 +V68 +p17847 +tp17848 +a(g900 +g958 +tp17849 +a(g548 +V6F +p17850 +tp17851 +a(g900 +g958 +tp17852 +a(g548 +V6E +p17853 +tp17854 +a(g900 +g958 +tp17855 +a(g900 +g958 +tp17856 +a(g548 +V65 +p17857 +tp17858 +a(g900 +g958 +tp17859 +a(g548 +V5F +p17860 +tp17861 +a(g900 +g958 +tp17862 +a(g548 +V6E +p17863 +tp17864 +a(g900 +g958 +tp17865 +a(g548 +V75 +p17866 +tp17867 +a(g900 +g958 +tp17868 +a(g548 +V6D +p17869 +tp17870 +a(g900 +V +p17871 +tp17872 +a(g341 +VipNonDigit(phone_num +p17873 +tp17874 +a(g900 +V\u000a +p17875 +tp17876 +a(g8 +V0000125C +p17877 +tp17878 +a(g900 +g958 +tp17879 +a(g900 +g958 +tp17880 +a(g548 +V62 +p17881 +tp17882 +a(g900 +g958 +tp17883 +a(g548 +V65 +p17884 +tp17885 +a(g900 +g958 +tp17886 +a(g548 +V72 +p17887 +tp17888 +a(g900 +g958 +tp17889 +a(g548 +V29 +p17890 +tp17891 +a(g900 +g958 +tp17892 +a(g548 +V2C +p17893 +tp17894 +a(g900 +g958 +tp17895 +a(g900 +g958 +tp17896 +a(g548 +V20 +p17897 +tp17898 +a(g900 +g958 +tp17899 +a(g548 +V74 +p17900 +tp17901 +a(g900 +g958 +tp17902 +a(g548 +V72 +p17903 +tp17904 +a(g900 +g958 +tp17905 +a(g548 +V75 +p17906 +tp17907 +a(g900 +g958 +tp17908 +a(g548 +V65 +p17909 +tp17910 +a(g900 +g958 +tp17911 +a(g900 +g958 +tp17912 +a(g548 +V20 +p17913 +tp17914 +a(g900 +g958 +tp17915 +a(g548 +V29 +p17916 +tp17917 +a(g900 +g958 +tp17918 +a(g548 +V3B +p17919 +tp17920 +a(g900 +g958 +tp17921 +a(g548 +V20 +p17922 +tp17923 +a(g900 +g958 +tp17924 +a(g548 +V20 +p17925 +tp17926 +a(g900 +g958 +tp17927 +a(g900 +g958 +tp17928 +a(g548 +V20 +p17929 +tp17930 +a(g900 +g958 +tp17931 +a(g548 +V20 +p17932 +tp17933 +a(g900 +g958 +tp17934 +a(g548 +V0A +p17935 +tp17936 +a(g900 +g958 +tp17937 +a(g548 +V20 +p17938 +tp17939 +a(g900 +g958 +tp17940 +a(g548 +V20 +p17941 +tp17942 +a(g900 +V +p17943 +tp17944 +a(g341 +Vber),.true.);....... +p17945 +tp17946 +a(g900 +V\u000a +p17947 +tp17948 +a(g8 +V00001270 +p17949 +tp17950 +a(g900 +g958 +tp17951 +a(g900 +g958 +tp17952 +a(g548 +V20 +p17953 +tp17954 +a(g900 +g958 +tp17955 +a(g548 +V20 +p17956 +tp17957 +a(g900 +g958 +tp17958 +a(g548 +V7D +p17959 +tp17960 +a(g900 +g958 +tp17961 +a(g548 +V20 +p17962 +tp17963 +a(g900 +g958 +tp17964 +a(g548 +V20 +p17965 +tp17966 +a(g900 +g958 +tp17967 +a(g900 +g958 +tp17968 +a(g548 +V20 +p17969 +tp17970 +a(g900 +g958 +tp17971 +a(g548 +V20 +p17972 +tp17973 +a(g900 +g958 +tp17974 +a(g548 +V0A +p17975 +tp17976 +a(g900 +g958 +tp17977 +a(g548 +V20 +p17978 +tp17979 +a(g900 +g958 +tp17980 +a(g548 +V20 +p17981 +tp17982 +a(g900 +g958 +tp17983 +a(g900 +g958 +tp17984 +a(g548 +V20 +p17985 +tp17986 +a(g900 +g958 +tp17987 +a(g548 +V20 +p17988 +tp17989 +a(g900 +g958 +tp17990 +a(g548 +V0A +p17991 +tp17992 +a(g900 +g958 +tp17993 +a(g548 +V20 +p17994 +tp17995 +a(g900 +g958 +tp17996 +a(g548 +V20 +p17997 +tp17998 +a(g900 +g958 +tp17999 +a(g900 +g958 +tp18000 +a(g548 +V20 +p18001 +tp18002 +a(g900 +g958 +tp18003 +a(g548 +V20 +p18004 +tp18005 +a(g900 +g958 +tp18006 +a(g548 +V2F +p18007 +tp18008 +a(g900 +g958 +tp18009 +a(g548 +V2F +p18010 +tp18011 +a(g900 +g958 +tp18012 +a(g548 +V20 +p18013 +tp18014 +a(g900 +V +p18015 +tp18016 +a(g341 +V..}..............//. +p18017 +tp18018 +a(g900 +V\u000a +p18019 +tp18020 +a(g8 +V00001284 +p18021 +tp18022 +a(g900 +g958 +tp18023 +a(g900 +g958 +tp18024 +a(g548 +V52 +p18025 +tp18026 +a(g900 +g958 +tp18027 +a(g548 +V65 +p18028 +tp18029 +a(g900 +g958 +tp18030 +a(g548 +V61 +p18031 +tp18032 +a(g900 +g958 +tp18033 +a(g548 +V64 +p18034 +tp18035 +a(g900 +g958 +tp18036 +a(g548 +V20 +p18037 +tp18038 +a(g900 +g958 +tp18039 +a(g900 +g958 +tp18040 +a(g548 +V74 +p18041 +tp18042 +a(g900 +g958 +tp18043 +a(g548 +V68 +p18044 +tp18045 +a(g900 +g958 +tp18046 +a(g548 +V65 +p18047 +tp18048 +a(g900 +g958 +tp18049 +a(g548 +V20 +p18050 +tp18051 +a(g900 +g958 +tp18052 +a(g548 +V70 +p18053 +tp18054 +a(g900 +g958 +tp18055 +a(g900 +g958 +tp18056 +a(g548 +V68 +p18057 +tp18058 +a(g900 +g958 +tp18059 +a(g548 +V6F +p18060 +tp18061 +a(g900 +g958 +tp18062 +a(g548 +V6E +p18063 +tp18064 +a(g900 +g958 +tp18065 +a(g548 +V65 +p18066 +tp18067 +a(g900 +g958 +tp18068 +a(g548 +V20 +p18069 +tp18070 +a(g900 +g958 +tp18071 +a(g900 +g958 +tp18072 +a(g548 +V6E +p18073 +tp18074 +a(g900 +g958 +tp18075 +a(g548 +V75 +p18076 +tp18077 +a(g900 +g958 +tp18078 +a(g548 +V6D +p18079 +tp18080 +a(g900 +g958 +tp18081 +a(g548 +V62 +p18082 +tp18083 +a(g900 +g958 +tp18084 +a(g548 +V65 +p18085 +tp18086 +a(g900 +V +p18087 +tp18088 +a(g341 +VRead.the.phone.numbe +p18089 +tp18090 +a(g900 +V\u000a +p18091 +tp18092 +a(g8 +V00001298 +p18093 +tp18094 +a(g900 +g958 +tp18095 +a(g900 +g958 +tp18096 +a(g548 +V72 +p18097 +tp18098 +a(g900 +g958 +tp18099 +a(g548 +V73 +p18100 +tp18101 +a(g900 +g958 +tp18102 +a(g548 +V20 +p18103 +tp18104 +a(g900 +g958 +tp18105 +a(g548 +V20 +p18106 +tp18107 +a(g900 +g958 +tp18108 +a(g548 +V20 +p18109 +tp18110 +a(g900 +g958 +tp18111 +a(g900 +g958 +tp18112 +a(g548 +V20 +p18113 +tp18114 +a(g900 +g958 +tp18115 +a(g548 +V20 +p18116 +tp18117 +a(g900 +g958 +tp18118 +a(g548 +V0A +p18119 +tp18120 +a(g900 +g958 +tp18121 +a(g548 +V20 +p18122 +tp18123 +a(g900 +g958 +tp18124 +a(g548 +V20 +p18125 +tp18126 +a(g900 +g958 +tp18127 +a(g900 +g958 +tp18128 +a(g548 +V20 +p18129 +tp18130 +a(g900 +g958 +tp18131 +a(g548 +V20 +p18132 +tp18133 +a(g900 +g958 +tp18134 +a(g548 +V66 +p18135 +tp18136 +a(g900 +g958 +tp18137 +a(g548 +V6F +p18138 +tp18139 +a(g900 +g958 +tp18140 +a(g548 +V72 +p18141 +tp18142 +a(g900 +g958 +tp18143 +a(g900 +g958 +tp18144 +a(g548 +V65 +p18145 +tp18146 +a(g900 +g958 +tp18147 +a(g548 +V61 +p18148 +tp18149 +a(g900 +g958 +tp18150 +a(g548 +V63 +p18151 +tp18152 +a(g900 +g958 +tp18153 +a(g548 +V68 +p18154 +tp18155 +a(g900 +g958 +tp18156 +a(g548 +V28 +p18157 +tp18158 +a(g900 +V +p18159 +tp18160 +a(g341 +Vrs..........foreach( +p18161 +tp18162 +a(g900 +V\u000a +p18163 +tp18164 +a(g8 +V000012AC +p18165 +tp18166 +a(g900 +g958 +tp18167 +a(g900 +g958 +tp18168 +a(g548 +V73 +p18169 +tp18170 +a(g900 +g958 +tp18171 +a(g548 +V74 +p18172 +tp18173 +a(g900 +g958 +tp18174 +a(g548 +V72 +p18175 +tp18176 +a(g900 +g958 +tp18177 +a(g548 +V69 +p18178 +tp18179 +a(g900 +g958 +tp18180 +a(g548 +V6E +p18181 +tp18182 +a(g900 +g958 +tp18183 +a(g900 +g958 +tp18184 +a(g548 +V67 +p18185 +tp18186 +a(g900 +g958 +tp18187 +a(g548 +V20 +p18188 +tp18189 +a(g900 +g958 +tp18190 +a(g548 +V70 +p18191 +tp18192 +a(g900 +g958 +tp18193 +a(g548 +V68 +p18194 +tp18195 +a(g900 +g958 +tp18196 +a(g548 +V6F +p18197 +tp18198 +a(g900 +g958 +tp18199 +a(g900 +g958 +tp18200 +a(g548 +V6E +p18201 +tp18202 +a(g900 +g958 +tp18203 +a(g548 +V65 +p18204 +tp18205 +a(g900 +g958 +tp18206 +a(g548 +V3B +p18207 +tp18208 +a(g900 +g958 +tp18209 +a(g548 +V20 +p18210 +tp18211 +a(g900 +g958 +tp18212 +a(g548 +V6E +p18213 +tp18214 +a(g900 +g958 +tp18215 +a(g900 +g958 +tp18216 +a(g548 +V65 +p18217 +tp18218 +a(g900 +g958 +tp18219 +a(g548 +V77 +p18220 +tp18221 +a(g900 +g958 +tp18222 +a(g548 +V20 +p18223 +tp18224 +a(g900 +g958 +tp18225 +a(g548 +V42 +p18226 +tp18227 +a(g900 +g958 +tp18228 +a(g548 +V75 +p18229 +tp18230 +a(g900 +V +p18231 +tp18232 +a(g341 +Vstring.phone;.new.Bu +p18233 +tp18234 +a(g900 +V\u000a +p18235 +tp18236 +a(g8 +V000012C0 +p18237 +tp18238 +a(g900 +g958 +tp18239 +a(g900 +g958 +tp18240 +a(g548 +V66 +p18241 +tp18242 +a(g900 +g958 +tp18243 +a(g548 +V66 +p18244 +tp18245 +a(g900 +g958 +tp18246 +a(g548 +V65 +p18247 +tp18248 +a(g900 +g958 +tp18249 +a(g548 +V72 +p18250 +tp18251 +a(g900 +g958 +tp18252 +a(g548 +V65 +p18253 +tp18254 +a(g900 +g958 +tp18255 +a(g900 +g958 +tp18256 +a(g548 +V64 +p18257 +tp18258 +a(g900 +g958 +tp18259 +a(g548 +V46 +p18260 +tp18261 +a(g900 +g958 +tp18262 +a(g548 +V69 +p18263 +tp18264 +a(g900 +g958 +tp18265 +a(g548 +V6C +p18266 +tp18267 +a(g900 +g958 +tp18268 +a(g548 +V65 +p18269 +tp18270 +a(g900 +g958 +tp18271 +a(g900 +g958 +tp18272 +a(g548 +V28 +p18273 +tp18274 +a(g900 +g958 +tp18275 +a(g548 +V22 +p18276 +tp18277 +a(g900 +g958 +tp18278 +a(g548 +V69 +p18279 +tp18280 +a(g900 +g958 +tp18281 +a(g548 +V6E +p18282 +tp18283 +a(g900 +g958 +tp18284 +a(g548 +V70 +p18285 +tp18286 +a(g900 +g958 +tp18287 +a(g900 +g958 +tp18288 +a(g548 +V75 +p18289 +tp18290 +a(g900 +g958 +tp18291 +a(g548 +V74 +p18292 +tp18293 +a(g900 +g958 +tp18294 +a(g548 +V2E +p18295 +tp18296 +a(g900 +g958 +tp18297 +a(g548 +V74 +p18298 +tp18299 +a(g900 +g958 +tp18300 +a(g548 +V78 +p18301 +tp18302 +a(g900 +V +p18303 +tp18304 +a(g341 +VfferedFile("input.tx +p18305 +tp18306 +a(g900 +V\u000a +p18307 +tp18308 +a(g8 +V000012D4 +p18309 +tp18310 +a(g900 +g958 +tp18311 +a(g900 +g958 +tp18312 +a(g548 +V74 +p18313 +tp18314 +a(g900 +g958 +tp18315 +a(g548 +V22 +p18316 +tp18317 +a(g900 +g958 +tp18318 +a(g548 +V20 +p18319 +tp18320 +a(g900 +g958 +tp18321 +a(g548 +V20 +p18322 +tp18323 +a(g900 +g958 +tp18324 +a(g548 +V20 +p18325 +tp18326 +a(g900 +g958 +tp18327 +a(g900 +g958 +tp18328 +a(g548 +V29 +p18329 +tp18330 +a(g900 +g958 +tp18331 +a(g548 +V20 +p18332 +tp18333 +a(g900 +g958 +tp18334 +a(g548 +V29 +p18335 +tp18336 +a(g900 +g958 +tp18337 +a(g548 +V0A +p18338 +tp18339 +a(g900 +g958 +tp18340 +a(g548 +V20 +p18341 +tp18342 +a(g900 +g958 +tp18343 +a(g900 +g958 +tp18344 +a(g548 +V20 +p18345 +tp18346 +a(g900 +g958 +tp18347 +a(g548 +V20 +p18348 +tp18349 +a(g900 +g958 +tp18350 +a(g548 +V20 +p18351 +tp18352 +a(g900 +g958 +tp18353 +a(g548 +V20 +p18354 +tp18355 +a(g900 +g958 +tp18356 +a(g548 +V20 +p18357 +tp18358 +a(g900 +g958 +tp18359 +a(g900 +g958 +tp18360 +a(g548 +V20 +p18361 +tp18362 +a(g900 +g958 +tp18363 +a(g548 +V20 +p18364 +tp18365 +a(g900 +g958 +tp18366 +a(g548 +V66 +p18367 +tp18368 +a(g900 +g958 +tp18369 +a(g548 +V6F +p18370 +tp18371 +a(g900 +g958 +tp18372 +a(g548 +V72 +p18373 +tp18374 +a(g900 +V +p18375 +tp18376 +a(g341 +Vt"...).).........for +p18377 +tp18378 +a(g900 +V\u000a +p18379 +tp18380 +a(g8 +V000012E8 +p18381 +tp18382 +a(g900 +g958 +tp18383 +a(g900 +g958 +tp18384 +a(g548 +V65 +p18385 +tp18386 +a(g900 +g958 +tp18387 +a(g548 +V61 +p18388 +tp18389 +a(g900 +g958 +tp18390 +a(g548 +V63 +p18391 +tp18392 +a(g900 +g958 +tp18393 +a(g548 +V68 +p18394 +tp18395 +a(g900 +g958 +tp18396 +a(g548 +V28 +p18397 +tp18398 +a(g900 +g958 +tp18399 +a(g900 +g958 +tp18400 +a(g548 +V61 +p18401 +tp18402 +a(g900 +g958 +tp18403 +a(g548 +V6C +p18404 +tp18405 +a(g900 +g958 +tp18406 +a(g548 +V74 +p18407 +tp18408 +a(g900 +g958 +tp18409 +a(g548 +V65 +p18410 +tp18411 +a(g900 +g958 +tp18412 +a(g548 +V72 +p18413 +tp18414 +a(g900 +g958 +tp18415 +a(g900 +g958 +tp18416 +a(g548 +V6E +p18417 +tp18418 +a(g900 +g958 +tp18419 +a(g548 +V61 +p18420 +tp18421 +a(g900 +g958 +tp18422 +a(g548 +V74 +p18423 +tp18424 +a(g900 +g958 +tp18425 +a(g548 +V69 +p18426 +tp18427 +a(g900 +g958 +tp18428 +a(g548 +V76 +p18429 +tp18430 +a(g900 +g958 +tp18431 +a(g900 +g958 +tp18432 +a(g548 +V65 +p18433 +tp18434 +a(g900 +g958 +tp18435 +a(g548 +V3B +p18436 +tp18437 +a(g900 +g958 +tp18438 +a(g548 +V20 +p18439 +tp18440 +a(g900 +g958 +tp18441 +a(g548 +V46 +p18442 +tp18443 +a(g900 +g958 +tp18444 +a(g548 +V69 +p18445 +tp18446 +a(g900 +V +p18447 +tp18448 +a(g341 +Veach(alternative;.Fi +p18449 +tp18450 +a(g900 +V\u000a +p18451 +tp18452 +a(g8 +V000012FC +p18453 +tp18454 +a(g900 +g958 +tp18455 +a(g900 +g958 +tp18456 +a(g548 +V6E +p18457 +tp18458 +a(g900 +g958 +tp18459 +a(g548 +V64 +p18460 +tp18461 +a(g900 +g958 +tp18462 +a(g548 +V57 +p18463 +tp18464 +a(g900 +g958 +tp18465 +a(g548 +V6F +p18466 +tp18467 +a(g900 +g958 +tp18468 +a(g548 +V72 +p18469 +tp18470 +a(g900 +g958 +tp18471 +a(g900 +g958 +tp18472 +a(g548 +V64 +p18473 +tp18474 +a(g900 +g958 +tp18475 +a(g548 +V73 +p18476 +tp18477 +a(g900 +g958 +tp18478 +a(g548 +V28 +p18479 +tp18480 +a(g900 +g958 +tp18481 +a(g548 +V20 +p18482 +tp18483 +a(g900 +g958 +tp18484 +a(g548 +V70 +p18485 +tp18486 +a(g900 +g958 +tp18487 +a(g900 +g958 +tp18488 +a(g548 +V68 +p18489 +tp18490 +a(g900 +g958 +tp18491 +a(g548 +V6F +p18492 +tp18493 +a(g900 +g958 +tp18494 +a(g548 +V6E +p18495 +tp18496 +a(g900 +g958 +tp18497 +a(g548 +V65 +p18498 +tp18499 +a(g900 +g958 +tp18500 +a(g548 +V20 +p18501 +tp18502 +a(g900 +g958 +tp18503 +a(g900 +g958 +tp18504 +a(g548 +V29 +p18505 +tp18506 +a(g900 +g958 +tp18507 +a(g548 +V20 +p18508 +tp18509 +a(g900 +g958 +tp18510 +a(g548 +V29 +p18511 +tp18512 +a(g900 +g958 +tp18513 +a(g548 +V0A +p18514 +tp18515 +a(g900 +g958 +tp18516 +a(g548 +V20 +p18517 +tp18518 +a(g900 +V +p18519 +tp18520 +a(g341 +VndWords(.phone.).).. +p18521 +tp18522 +a(g900 +V\u000a +p18523 +tp18524 +a(g8 +V00001310 +p18525 +tp18526 +a(g900 +g958 +tp18527 +a(g900 +g958 +tp18528 +a(g548 +V20 +p18529 +tp18530 +a(g900 +g958 +tp18531 +a(g548 +V20 +p18532 +tp18533 +a(g900 +g958 +tp18534 +a(g548 +V20 +p18535 +tp18536 +a(g900 +g958 +tp18537 +a(g548 +V20 +p18538 +tp18539 +a(g900 +g958 +tp18540 +a(g548 +V20 +p18541 +tp18542 +a(g900 +g958 +tp18543 +a(g900 +g958 +tp18544 +a(g548 +V20 +p18545 +tp18546 +a(g900 +g958 +tp18547 +a(g548 +V20 +p18548 +tp18549 +a(g900 +g958 +tp18550 +a(g548 +V20 +p18551 +tp18552 +a(g900 +g958 +tp18553 +a(g548 +V20 +p18554 +tp18555 +a(g900 +g958 +tp18556 +a(g548 +V20 +p18557 +tp18558 +a(g900 +g958 +tp18559 +a(g900 +g958 +tp18560 +a(g548 +V20 +p18561 +tp18562 +a(g900 +g958 +tp18563 +a(g548 +V77 +p18564 +tp18565 +a(g900 +g958 +tp18566 +a(g548 +V72 +p18567 +tp18568 +a(g900 +g958 +tp18569 +a(g548 +V69 +p18570 +tp18571 +a(g900 +g958 +tp18572 +a(g548 +V74 +p18573 +tp18574 +a(g900 +g958 +tp18575 +a(g900 +g958 +tp18576 +a(g548 +V65 +p18577 +tp18578 +a(g900 +g958 +tp18579 +a(g548 +V66 +p18580 +tp18581 +a(g900 +g958 +tp18582 +a(g548 +V6C +p18583 +tp18584 +a(g900 +g958 +tp18585 +a(g548 +V6E +p18586 +tp18587 +a(g900 +g958 +tp18588 +a(g548 +V28 +p18589 +tp18590 +a(g900 +V +p18591 +tp18592 +a(g341 +V...........writefln( +p18593 +tp18594 +a(g900 +V\u000a +p18595 +tp18596 +a(g8 +V00001324 +p18597 +tp18598 +a(g900 +g958 +tp18599 +a(g900 +g958 +tp18600 +a(g548 +V70 +p18601 +tp18602 +a(g900 +g958 +tp18603 +a(g548 +V68 +p18604 +tp18605 +a(g900 +g958 +tp18606 +a(g548 +V6F +p18607 +tp18608 +a(g900 +g958 +tp18609 +a(g548 +V6E +p18610 +tp18611 +a(g900 +g958 +tp18612 +a(g548 +V65 +p18613 +tp18614 +a(g900 +g958 +tp18615 +a(g900 +g958 +tp18616 +a(g548 +V2C +p18617 +tp18618 +a(g900 +g958 +tp18619 +a(g548 +V20 +p18620 +tp18621 +a(g900 +g958 +tp18622 +a(g548 +V22 +p18623 +tp18624 +a(g900 +g958 +tp18625 +a(g548 +V3A +p18626 +tp18627 +a(g900 +g958 +tp18628 +a(g548 +V20 +p18629 +tp18630 +a(g900 +g958 +tp18631 +a(g900 +g958 +tp18632 +a(g548 +V22 +p18633 +tp18634 +a(g900 +g958 +tp18635 +a(g548 +V2C +p18636 +tp18637 +a(g900 +g958 +tp18638 +a(g548 +V20 +p18639 +tp18640 +a(g900 +g958 +tp18641 +a(g548 +V61 +p18642 +tp18643 +a(g900 +g958 +tp18644 +a(g548 +V6C +p18645 +tp18646 +a(g900 +g958 +tp18647 +a(g900 +g958 +tp18648 +a(g548 +V74 +p18649 +tp18650 +a(g900 +g958 +tp18651 +a(g548 +V65 +p18652 +tp18653 +a(g900 +g958 +tp18654 +a(g548 +V72 +p18655 +tp18656 +a(g900 +g958 +tp18657 +a(g548 +V6E +p18658 +tp18659 +a(g900 +g958 +tp18660 +a(g548 +V61 +p18661 +tp18662 +a(g900 +V +p18663 +tp18664 +a(g341 +Vphone,.":.",.alterna +p18665 +tp18666 +a(g900 +V\u000a +p18667 +tp18668 +a(g8 +V00001338 +p18669 +tp18670 +a(g900 +g958 +tp18671 +a(g900 +g958 +tp18672 +a(g548 +V74 +p18673 +tp18674 +a(g900 +g958 +tp18675 +a(g548 +V69 +p18676 +tp18677 +a(g900 +g958 +tp18678 +a(g548 +V76 +p18679 +tp18680 +a(g900 +g958 +tp18681 +a(g548 +V65 +p18682 +tp18683 +a(g900 +g958 +tp18684 +a(g548 +V20 +p18685 +tp18686 +a(g900 +g958 +tp18687 +a(g900 +g958 +tp18688 +a(g548 +V29 +p18689 +tp18690 +a(g900 +g958 +tp18691 +a(g548 +V3B +p18692 +tp18693 +a(g900 +g958 +tp18694 +a(g548 +V0A +p18695 +tp18696 +a(g900 +g958 +tp18697 +a(g548 +V7D +p18698 +tp18699 +a(g900 +g958 +tp18700 +a(g548 +V0A +p18701 +tp18702 +a(g900 +g958 +tp18703 +a(g900 +g958 +tp18704 +a(g548 +V0A +p18705 +tp18706 +a(g900 +V +p18707 +tp18708 +a(g341 +Vtive.);.}.. +p18709 +tp18710 +a(g900 +V\u000a +p18711 +tp18712 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hexdump_hexdump b/tests/examplefiles/output/hexdump_hexdump new file mode 100644 index 0000000..33866aa --- /dev/null +++ b/tests/examplefiles/output/hexdump_hexdump @@ -0,0 +1,31883 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV0000000 +p956 +tp957 +a(g900 +V +p958 +tp959 +a(g548 +V2f +p960 +tp961 +a(g548 +V2f +p962 +tp963 +a(g900 +g958 +tp964 +a(g548 +V43 +p965 +tp966 +a(g548 +V20 +p967 +tp968 +a(g900 +g958 +tp969 +a(g548 +V65 +p970 +tp971 +a(g548 +V72 +p972 +tp973 +a(g900 +g958 +tp974 +a(g548 +V74 +p975 +tp976 +a(g548 +V61 +p977 +tp978 +a(g900 +g958 +tp979 +a(g548 +V64 +p980 +tp981 +a(g548 +V65 +p982 +tp983 +a(g900 +g958 +tp984 +a(g548 +V62 +p985 +tp986 +a(g548 +V20 +p987 +tp988 +a(g900 +g958 +tp989 +a(g548 +V20 +p990 +tp991 +a(g548 +V79 +p992 +tp993 +a(g900 +g958 +tp994 +a(g548 +V69 +p995 +tp996 +a(g548 +V4c +p997 +tp998 +a(g900 +V\u000a +p999 +tp1000 +a(g8 +V0000010 +p1001 +tp1002 +a(g900 +g958 +tp1003 +a(g548 +V6e +p1004 +tp1005 +a(g548 +V6f +p1006 +tp1007 +a(g900 +g958 +tp1008 +a(g548 +V6c +p1009 +tp1010 +a(g548 +V65 +p1011 +tp1012 +a(g900 +g958 +tp1013 +a(g548 +V6f +p1014 +tp1015 +a(g548 +V6c +p1016 +tp1017 +a(g900 +g958 +tp1018 +a(g548 +V4c +p1019 +tp1020 +a(g548 +V20 +p1021 +tp1022 +a(g900 +g958 +tp1023 +a(g548 +V6e +p1024 +tp1025 +a(g548 +V75 +p1026 +tp1027 +a(g900 +g958 +tp1028 +a(g548 +V73 +p1029 +tp1030 +a(g548 +V65 +p1031 +tp1032 +a(g900 +g958 +tp1033 +a(g548 +V20 +p1034 +tp1035 +a(g548 +V75 +p1036 +tp1037 +a(g900 +g958 +tp1038 +a(g548 +V6e +p1039 +tp1040 +a(g548 +V61 +p1041 +tp1042 +a(g900 +V\u000a +p1043 +tp1044 +a(g8 +V0000020 +p1045 +tp1046 +a(g900 +g958 +tp1047 +a(g548 +V20 +p1048 +tp1049 +a(g548 +V64 +p1050 +tp1051 +a(g900 +g958 +tp1052 +a(g548 +V6c +p1053 +tp1054 +a(g548 +V70 +p1055 +tp1056 +a(g900 +g958 +tp1057 +a(g548 +V63 +p1058 +tp1059 +a(g548 +V61 +p1060 +tp1061 +a(g900 +g958 +tp1062 +a(g548 +V64 +p1063 +tp1064 +a(g548 +V65 +p1065 +tp1066 +a(g900 +g958 +tp1067 +a(g548 +V69 +p1068 +tp1069 +a(g548 +V20 +p1070 +tp1071 +a(g900 +g958 +tp1072 +a(g548 +V20 +p1073 +tp1074 +a(g548 +V6e +p1075 +tp1076 +a(g900 +g958 +tp1077 +a(g548 +V68 +p1078 +tp1079 +a(g548 +V74 +p1080 +tp1081 +a(g900 +g958 +tp1082 +a(g548 +V20 +p1083 +tp1084 +a(g548 +V65 +p1085 +tp1086 +a(g900 +V\u000a +p1087 +tp1088 +a(g8 +V0000030 +p1089 +tp1090 +a(g900 +g958 +tp1091 +a(g548 +V75 +p1092 +tp1093 +a(g548 +V70 +p1094 +tp1095 +a(g900 +g958 +tp1096 +a(g548 +V6c +p1097 +tp1098 +a(g548 +V62 +p1099 +tp1100 +a(g900 +g958 +tp1101 +a(g548 +V63 +p1102 +tp1103 +a(g548 +V69 +p1104 +tp1105 +a(g900 +g958 +tp1106 +a(g548 +V64 +p1107 +tp1108 +a(g548 +V20 +p1109 +tp1110 +a(g900 +g958 +tp1111 +a(g548 +V6d +p1112 +tp1113 +a(g548 +V6f +p1114 +tp1115 +a(g900 +g958 +tp1116 +a(g548 +V69 +p1117 +tp1118 +a(g548 +V61 +p1119 +tp1120 +a(g900 +g958 +tp1121 +a(g548 +V2e +p1122 +tp1123 +a(g548 +V6e +p1124 +tp1125 +a(g900 +g958 +tp1126 +a(g548 +V2f +p1127 +tp1128 +a(g548 +V0a +p1129 +tp1130 +a(g900 +V\u000a +p1131 +tp1132 +a(g8 +V0000040 +p1133 +tp1134 +a(g900 +g958 +tp1135 +a(g548 +V20 +p1136 +tp1137 +a(g548 +V2f +p1138 +tp1139 +a(g900 +g958 +tp1140 +a(g548 +V68 +p1141 +tp1142 +a(g548 +V54 +p1143 +tp1144 +a(g900 +g958 +tp1145 +a(g548 +V73 +p1146 +tp1147 +a(g548 +V69 +p1148 +tp1149 +a(g900 +g958 +tp1150 +a(g548 +V66 +p1151 +tp1152 +a(g548 +V20 +p1153 +tp1154 +a(g900 +g958 +tp1155 +a(g548 +V6c +p1156 +tp1157 +a(g548 +V69 +p1158 +tp1159 +a(g900 +g958 +tp1160 +a(g548 +V20 +p1161 +tp1162 +a(g548 +V65 +p1163 +tp1164 +a(g900 +g958 +tp1165 +a(g548 +V61 +p1166 +tp1167 +a(g548 +V68 +p1168 +tp1169 +a(g900 +g958 +tp1170 +a(g548 +V20 +p1171 +tp1172 +a(g548 +V73 +p1173 +tp1174 +a(g900 +V\u000a +p1175 +tp1176 +a(g8 +V0000050 +p1177 +tp1178 +a(g900 +g958 +tp1179 +a(g548 +V65 +p1180 +tp1181 +a(g548 +V62 +p1182 +tp1183 +a(g900 +g958 +tp1184 +a(g548 +V6e +p1185 +tp1186 +a(g548 +V65 +p1187 +tp1188 +a(g900 +g958 +tp1189 +a(g548 +V6d +p1190 +tp1191 +a(g548 +V20 +p1192 +tp1193 +a(g900 +g958 +tp1194 +a(g548 +V64 +p1195 +tp1196 +a(g548 +V6f +p1197 +tp1198 +a(g900 +g958 +tp1199 +a(g548 +V66 +p1200 +tp1201 +a(g548 +V69 +p1202 +tp1203 +a(g900 +g958 +tp1204 +a(g548 +V65 +p1205 +tp1206 +a(g548 +V69 +p1207 +tp1208 +a(g900 +g958 +tp1209 +a(g548 +V20 +p1210 +tp1211 +a(g548 +V64 +p1212 +tp1213 +a(g900 +g958 +tp1214 +a(g548 +V72 +p1215 +tp1216 +a(g548 +V66 +p1217 +tp1218 +a(g900 +V\u000a +p1219 +tp1220 +a(g8 +V0000060 +p1221 +tp1222 +a(g900 +g958 +tp1223 +a(g548 +V6d +p1224 +tp1225 +a(g548 +V6f +p1226 +tp1227 +a(g900 +g958 +tp1228 +a(g548 +V69 +p1229 +tp1230 +a(g548 +V20 +p1231 +tp1232 +a(g900 +g958 +tp1233 +a(g548 +V73 +p1234 +tp1235 +a(g548 +V74 +p1236 +tp1237 +a(g900 +g958 +tp1238 +a(g548 +V6f +p1239 +tp1240 +a(g548 +V20 +p1241 +tp1242 +a(g900 +g958 +tp1243 +a(g548 +V69 +p1244 +tp1245 +a(g548 +V72 +p1246 +tp1247 +a(g900 +g958 +tp1248 +a(g548 +V69 +p1249 +tp1250 +a(g548 +V67 +p1251 +tp1252 +a(g900 +g958 +tp1253 +a(g548 +V61 +p1254 +tp1255 +a(g548 +V6e +p1256 +tp1257 +a(g900 +g958 +tp1258 +a(g548 +V20 +p1259 +tp1260 +a(g548 +V6c +p1261 +tp1262 +a(g900 +V\u000a +p1263 +tp1264 +a(g8 +V0000070 +p1265 +tp1266 +a(g900 +g958 +tp1267 +a(g548 +V65 +p1268 +tp1269 +a(g548 +V76 +p1270 +tp1271 +a(g900 +g958 +tp1272 +a(g548 +V73 +p1273 +tp1274 +a(g548 +V72 +p1275 +tp1276 +a(g900 +g958 +tp1277 +a(g548 +V6f +p1278 +tp1279 +a(g548 +V69 +p1280 +tp1281 +a(g900 +g958 +tp1282 +a(g548 +V2e +p1283 +tp1284 +a(g548 +V6e +p1285 +tp1286 +a(g900 +g958 +tp1287 +a(g548 +V2f +p1288 +tp1289 +a(g548 +V0a +p1290 +tp1291 +a(g900 +g958 +tp1292 +a(g548 +V20 +p1293 +tp1294 +a(g548 +V2f +p1295 +tp1296 +a(g900 +g958 +tp1297 +a(g548 +V74 +p1298 +tp1299 +a(g548 +V49 +p1300 +tp1301 +a(g900 +g958 +tp1302 +a(g548 +V68 +p1303 +tp1304 +a(g548 +V20 +p1305 +tp1306 +a(g900 +V\u000a +p1307 +tp1308 +a(g8 +V0000080 +p1309 +tp1310 +a(g900 +g958 +tp1311 +a(g548 +V73 +p1312 +tp1313 +a(g548 +V61 +p1314 +tp1315 +a(g900 +g958 +tp1316 +a(g548 +V62 +p1317 +tp1318 +a(g548 +V20 +p1319 +tp1320 +a(g900 +g958 +tp1321 +a(g548 +V65 +p1322 +tp1323 +a(g548 +V65 +p1324 +tp1325 +a(g900 +g958 +tp1326 +a(g548 +V20 +p1327 +tp1328 +a(g548 +V6e +p1329 +tp1330 +a(g900 +g958 +tp1331 +a(g548 +V6f +p1332 +tp1333 +a(g548 +V66 +p1334 +tp1335 +a(g900 +g958 +tp1336 +a(g548 +V6d +p1337 +tp1338 +a(g548 +V72 +p1339 +tp1340 +a(g900 +g958 +tp1341 +a(g548 +V74 +p1342 +tp1343 +a(g548 +V61 +p1344 +tp1345 +a(g900 +g958 +tp1346 +a(g548 +V65 +p1347 +tp1348 +a(g548 +V74 +p1349 +tp1350 +a(g900 +V\u000a +p1351 +tp1352 +a(g8 +V0000090 +p1353 +tp1354 +a(g900 +g958 +tp1355 +a(g548 +V20 +p1356 +tp1357 +a(g548 +V64 +p1358 +tp1359 +a(g900 +g958 +tp1360 +a(g548 +V6f +p1361 +tp1362 +a(g548 +V74 +p1363 +tp1364 +a(g900 +g958 +tp1365 +a(g548 +V66 +p1366 +tp1367 +a(g548 +V20 +p1368 +tp1369 +a(g900 +g958 +tp1370 +a(g548 +V74 +p1371 +tp1372 +a(g548 +V69 +p1373 +tp1374 +a(g900 +g958 +tp1375 +a(g548 +V79 +p1376 +tp1377 +a(g548 +V20 +p1378 +tp1379 +a(g900 +g958 +tp1380 +a(g548 +V75 +p1381 +tp1382 +a(g548 +V6f +p1383 +tp1384 +a(g900 +g958 +tp1385 +a(g548 +V20 +p1386 +tp1387 +a(g548 +V72 +p1388 +tp1389 +a(g900 +g958 +tp1390 +a(g548 +V63 +p1391 +tp1392 +a(g548 +V73 +p1393 +tp1394 +a(g900 +V\u000a +p1395 +tp1396 +a(g8 +V00000a0 +p1397 +tp1398 +a(g900 +g958 +tp1399 +a(g548 +V65 +p1400 +tp1401 +a(g548 +V72 +p1402 +tp1403 +a(g900 +g958 +tp1404 +a(g548 +V6e +p1405 +tp1406 +a(g548 +V65 +p1407 +tp1408 +a(g900 +g958 +tp1409 +a(g548 +V0a +p1410 +tp1411 +a(g548 +V2e +p1412 +tp1413 +a(g900 +g958 +tp1414 +a(g548 +V6f +p1415 +tp1416 +a(g548 +V6d +p1417 +tp1418 +a(g900 +g958 +tp1419 +a(g548 +V75 +p1420 +tp1421 +a(g548 +V64 +p1422 +tp1423 +a(g900 +g958 +tp1424 +a(g548 +V65 +p1425 +tp1426 +a(g548 +V6c +p1427 +tp1428 +a(g900 +g958 +tp1429 +a(g548 +V70 +p1430 +tp1431 +a(g548 +V20 +p1432 +tp1433 +a(g900 +g958 +tp1434 +a(g548 +V6f +p1435 +tp1436 +a(g548 +V68 +p1437 +tp1438 +a(g900 +V\u000a +p1439 +tp1440 +a(g8 +V00000b0 +p1441 +tp1442 +a(g900 +g958 +tp1443 +a(g548 +V65 +p1444 +tp1445 +a(g548 +V6e +p1446 +tp1447 +a(g900 +g958 +tp1448 +a(g548 +V6f +p1449 +tp1450 +a(g548 +V6e +p1451 +tp1452 +a(g900 +g958 +tp1453 +a(g548 +V20 +p1454 +tp1455 +a(g548 +V3b +p1456 +tp1457 +a(g900 +g958 +tp1458 +a(g548 +V20 +p1459 +tp1460 +a(g548 +V20 +p1461 +tp1462 +a(g900 +g958 +tp1463 +a(g548 +V20 +p1464 +tp1465 +a(g548 +V20 +p1466 +tp1467 +a(g900 +g958 +tp1468 +a(g548 +V2f +p1469 +tp1470 +a(g548 +V2f +p1471 +tp1472 +a(g900 +g958 +tp1473 +a(g548 +V6f +p1474 +tp1475 +a(g548 +V20 +p1476 +tp1477 +a(g900 +g958 +tp1478 +a(g548 +V74 +p1479 +tp1480 +a(g548 +V70 +p1481 +tp1482 +a(g900 +V\u000a +p1483 +tp1484 +a(g8 +V00000c0 +p1485 +tp1486 +a(g900 +g958 +tp1487 +a(g548 +V6f +p1488 +tp1489 +a(g548 +V69 +p1490 +tp1491 +a(g900 +g958 +tp1492 +a(g548 +V61 +p1493 +tp1494 +a(g548 +V6e +p1495 +tp1496 +a(g900 +g958 +tp1497 +a(g548 +V0a +p1498 +tp1499 +a(g548 +V6c +p1500 +tp1501 +a(g900 +g958 +tp1502 +a(g548 +V6d +p1503 +tp1504 +a(g548 +V69 +p1505 +tp1506 +a(g900 +g958 +tp1507 +a(g548 +V6f +p1508 +tp1509 +a(g548 +V70 +p1510 +tp1511 +a(g900 +g958 +tp1512 +a(g548 +V74 +p1513 +tp1514 +a(g548 +V72 +p1515 +tp1516 +a(g900 +g958 +tp1517 +a(g548 +V73 +p1518 +tp1519 +a(g548 +V20 +p1520 +tp1521 +a(g900 +g958 +tp1522 +a(g548 +V64 +p1523 +tp1524 +a(g548 +V74 +p1525 +tp1526 +a(g900 +V\u000a +p1527 +tp1528 +a(g8 +V00000d0 +p1529 +tp1530 +a(g900 +g958 +tp1531 +a(g548 +V73 +p1532 +tp1533 +a(g548 +V2e +p1534 +tp1535 +a(g900 +g958 +tp1536 +a(g548 +V64 +p1537 +tp1538 +a(g548 +V74 +p1539 +tp1540 +a(g900 +g958 +tp1541 +a(g548 +V6f +p1542 +tp1543 +a(g548 +V69 +p1544 +tp1545 +a(g900 +g958 +tp1546 +a(g548 +V20 +p1547 +tp1548 +a(g548 +V3b +p1549 +tp1550 +a(g900 +g958 +tp1551 +a(g548 +V20 +p1552 +tp1553 +a(g548 +V20 +p1554 +tp1555 +a(g900 +g958 +tp1556 +a(g548 +V2f +p1557 +tp1558 +a(g548 +V2f +p1559 +tp1560 +a(g900 +g958 +tp1561 +a(g548 +V77 +p1562 +tp1563 +a(g548 +V20 +p1564 +tp1565 +a(g900 +g958 +tp1566 +a(g548 +V69 +p1567 +tp1568 +a(g548 +V72 +p1569 +tp1570 +a(g900 +V\u000a +p1571 +tp1572 +a(g8 +V00000e0 +p1573 +tp1574 +a(g900 +g958 +tp1575 +a(g548 +V65 +p1576 +tp1577 +a(g548 +V74 +p1578 +tp1579 +a(g900 +g958 +tp1580 +a(g548 +V6c +p1581 +tp1582 +a(g548 +V66 +p1583 +tp1584 +a(g900 +g958 +tp1585 +a(g548 +V20 +p1586 +tp1587 +a(g548 +V6e +p1588 +tp1589 +a(g900 +g958 +tp1590 +a(g548 +V20 +p1591 +tp1592 +a(g548 +V20 +p1593 +tp1594 +a(g900 +g958 +tp1595 +a(g548 +V20 +p1596 +tp1597 +a(g548 +V20 +p1598 +tp1599 +a(g900 +g958 +tp1600 +a(g548 +V69 +p1601 +tp1602 +a(g548 +V0a +p1603 +tp1604 +a(g900 +g958 +tp1605 +a(g548 +V70 +p1606 +tp1607 +a(g548 +V6d +p1608 +tp1609 +a(g900 +g958 +tp1610 +a(g548 +V72 +p1611 +tp1612 +a(g548 +V6f +p1613 +tp1614 +a(g900 +V\u000a +p1615 +tp1616 +a(g8 +V00000f0 +p1617 +tp1618 +a(g900 +g958 +tp1619 +a(g548 +V20 +p1620 +tp1621 +a(g548 +V74 +p1622 +tp1623 +a(g900 +g958 +tp1624 +a(g548 +V74 +p1625 +tp1626 +a(g548 +V73 +p1627 +tp1628 +a(g900 +g958 +tp1629 +a(g548 +V2e +p1630 +tp1631 +a(g548 +V64 +p1632 +tp1633 +a(g900 +g958 +tp1634 +a(g548 +V74 +p1635 +tp1636 +a(g548 +V63 +p1637 +tp1638 +a(g900 +g958 +tp1639 +a(g548 +V70 +p1640 +tp1641 +a(g548 +V79 +p1642 +tp1643 +a(g900 +g958 +tp1644 +a(g548 +V3b +p1645 +tp1646 +a(g548 +V65 +p1647 +tp1648 +a(g900 +g958 +tp1649 +a(g548 +V20 +p1650 +tp1651 +a(g548 +V20 +p1652 +tp1653 +a(g900 +g958 +tp1654 +a(g548 +V2f +p1655 +tp1656 +a(g548 +V20 +p1657 +tp1658 +a(g900 +V\u000a +p1659 +tp1660 +a(g8 +V0000100 +p1661 +tp1662 +a(g900 +g958 +tp1663 +a(g548 +V20 +p1664 +tp1665 +a(g548 +V2f +p1666 +tp1667 +a(g900 +g958 +tp1668 +a(g548 +V73 +p1669 +tp1670 +a(g548 +V69 +p1671 +tp1672 +a(g900 +g958 +tp1673 +a(g548 +V69 +p1674 +tp1675 +a(g548 +V64 +p1676 +tp1677 +a(g900 +g958 +tp1678 +a(g548 +V69 +p1679 +tp1680 +a(g548 +V67 +p1681 +tp1682 +a(g900 +g958 +tp1683 +a(g548 +V20 +p1684 +tp1685 +a(g548 +V74 +p1686 +tp1687 +a(g900 +g958 +tp1688 +a(g548 +V20 +p1689 +tp1690 +a(g548 +V20 +p1691 +tp1692 +a(g900 +g958 +tp1693 +a(g548 +V20 +p1694 +tp1695 +a(g548 +V20 +p1696 +tp1697 +a(g900 +g958 +tp1698 +a(g548 +V69 +p1699 +tp1700 +a(g548 +V0a +p1701 +tp1702 +a(g900 +V\u000a +p1703 +tp1704 +a(g8 +V0000110 +p1705 +tp1706 +a(g900 +g958 +tp1707 +a(g548 +V70 +p1708 +tp1709 +a(g548 +V6d +p1710 +tp1711 +a(g900 +g958 +tp1712 +a(g548 +V72 +p1713 +tp1714 +a(g548 +V6f +p1715 +tp1716 +a(g900 +g958 +tp1717 +a(g548 +V20 +p1718 +tp1719 +a(g548 +V74 +p1720 +tp1721 +a(g900 +g958 +tp1722 +a(g548 +V74 +p1723 +tp1724 +a(g548 +V73 +p1725 +tp1726 +a(g900 +g958 +tp1727 +a(g548 +V2e +p1728 +tp1729 +a(g548 +V64 +p1730 +tp1731 +a(g900 +g958 +tp1732 +a(g548 +V74 +p1733 +tp1734 +a(g548 +V73 +p1735 +tp1736 +a(g900 +g958 +tp1737 +a(g548 +V65 +p1738 +tp1739 +a(g548 +V72 +p1740 +tp1741 +a(g900 +g958 +tp1742 +a(g548 +V6d +p1743 +tp1744 +a(g548 +V61 +p1745 +tp1746 +a(g900 +V\u000a +p1747 +tp1748 +a(g8 +V0000120 +p1749 +tp1750 +a(g900 +g958 +tp1751 +a(g548 +V20 +p1752 +tp1753 +a(g548 +V3b +p1754 +tp1755 +a(g900 +g958 +tp1756 +a(g548 +V2f +p1757 +tp1758 +a(g548 +V20 +p1759 +tp1760 +a(g900 +g958 +tp1761 +a(g548 +V20 +p1762 +tp1763 +a(g548 +V2f +p1764 +tp1765 +a(g900 +g958 +tp1766 +a(g548 +V75 +p1767 +tp1768 +a(g548 +V42 +p1769 +tp1770 +a(g900 +g958 +tp1771 +a(g548 +V66 +p1772 +tp1773 +a(g548 +V66 +p1774 +tp1775 +a(g900 +g958 +tp1776 +a(g548 +V72 +p1777 +tp1778 +a(g548 +V65 +p1779 +tp1780 +a(g900 +g958 +tp1781 +a(g548 +V64 +p1782 +tp1783 +a(g548 +V65 +p1784 +tp1785 +a(g900 +g958 +tp1786 +a(g548 +V69 +p1787 +tp1788 +a(g548 +V46 +p1789 +tp1790 +a(g900 +V\u000a +p1791 +tp1792 +a(g8 +V0000130 +p1793 +tp1794 +a(g900 +g958 +tp1795 +a(g548 +V65 +p1796 +tp1797 +a(g548 +V6c +p1798 +tp1799 +a(g900 +g958 +tp1800 +a(g548 +V0a +p1801 +tp1802 +a(g548 +V0a +p1803 +tp1804 +a(g900 +g958 +tp1805 +a(g548 +V2f +p1806 +tp1807 +a(g548 +V2f +p1808 +tp1809 +a(g900 +g958 +tp1810 +a(g548 +V4a +p1811 +tp1812 +a(g548 +V20 +p1813 +tp1814 +a(g900 +g958 +tp1815 +a(g548 +V73 +p1816 +tp1817 +a(g548 +V75 +p1818 +tp1819 +a(g900 +g958 +tp1820 +a(g548 +V20 +p1821 +tp1822 +a(g548 +V74 +p1823 +tp1824 +a(g900 +g958 +tp1825 +a(g548 +V6f +p1826 +tp1827 +a(g548 +V66 +p1828 +tp1829 +a(g900 +g958 +tp1830 +a(g548 +V20 +p1831 +tp1832 +a(g548 +V72 +p1833 +tp1834 +a(g900 +V\u000a +p1835 +tp1836 +a(g8 +V0000140 +p1837 +tp1838 +a(g900 +g958 +tp1839 +a(g548 +V65 +p1840 +tp1841 +a(g548 +V72 +p1842 +tp1843 +a(g900 +g958 +tp1844 +a(g548 +V64 +p1845 +tp1846 +a(g548 +V61 +p1847 +tp1848 +a(g900 +g958 +tp1849 +a(g548 +V62 +p1850 +tp1851 +a(g548 +V61 +p1852 +tp1853 +a(g900 +g958 +tp1854 +a(g548 +V6c +p1855 +tp1856 +a(g548 +V69 +p1857 +tp1858 +a(g900 +g958 +tp1859 +a(g548 +V74 +p1860 +tp1861 +a(g548 +V69 +p1862 +tp1863 +a(g900 +g958 +tp1864 +a(g548 +V20 +p1865 +tp1866 +a(g548 +V79 +p1867 +tp1868 +a(g900 +g958 +tp1869 +a(g548 +V69 +p1870 +tp1871 +a(g548 +V28 +p1872 +tp1873 +a(g900 +g958 +tp1874 +a(g548 +V61 +p1875 +tp1876 +a(g548 +V6d +p1877 +tp1878 +a(g900 +V\u000a +p1879 +tp1880 +a(g8 +V0000150 +p1881 +tp1882 +a(g900 +g958 +tp1883 +a(g548 +V69 +p1884 +tp1885 +a(g548 +V67 +p1886 +tp1887 +a(g900 +g958 +tp1888 +a(g548 +V65 +p1889 +tp1890 +a(g548 +V6e +p1891 +tp1892 +a(g900 +g958 +tp1893 +a(g548 +V63 +p1894 +tp1895 +a(g548 +V20 +p1896 +tp1897 +a(g900 +g958 +tp1898 +a(g548 +V61 +p1899 +tp1900 +a(g548 +V68 +p1901 +tp1902 +a(g900 +g958 +tp1903 +a(g548 +V5b +p1904 +tp1905 +a(g548 +V72 +p1906 +tp1907 +a(g900 +g958 +tp1908 +a(g548 +V5b +p1909 +tp1910 +a(g548 +V5d +p1911 +tp1912 +a(g900 +g958 +tp1913 +a(g548 +V5b +p1914 +tp1915 +a(g548 +V5d +p1916 +tp1917 +a(g900 +g958 +tp1918 +a(g548 +V68 +p1919 +tp1920 +a(g548 +V63 +p1921 +tp1922 +a(g900 +V\u000a +p1923 +tp1924 +a(g8 +V0000160 +p1925 +tp1926 +a(g900 +g958 +tp1927 +a(g548 +V72 +p1928 +tp1929 +a(g548 +V61 +p1930 +tp1931 +a(g900 +g958 +tp1932 +a(g548 +V5d +p1933 +tp1934 +a(g548 +V5b +p1935 +tp1936 +a(g900 +g958 +tp1937 +a(g548 +V29 +p1938 +tp1939 +a(g548 +V5d +p1940 +tp1941 +a(g900 +g958 +tp1942 +a(g548 +V20 +p1943 +tp1944 +a(g548 +V20 +p1945 +tp1946 +a(g900 +g958 +tp1947 +a(g548 +V20 +p1948 +tp1949 +a(g548 +V20 +p1950 +tp1951 +a(g900 +g958 +tp1952 +a(g548 +V61 +p1953 +tp1954 +a(g548 +V0a +p1955 +tp1956 +a(g900 +g958 +tp1957 +a(g548 +V69 +p1958 +tp1959 +a(g548 +V6c +p1960 +tp1961 +a(g900 +g958 +tp1962 +a(g548 +V73 +p1963 +tp1964 +a(g548 +V61 +p1965 +tp1966 +a(g900 +V\u000a +p1967 +tp1968 +a(g8 +V0000170 +p1969 +tp1970 +a(g900 +g958 +tp1971 +a(g548 +V63 +p1972 +tp1973 +a(g548 +V20 +p1974 +tp1975 +a(g900 +g958 +tp1976 +a(g548 +V61 +p1977 +tp1978 +a(g548 +V68 +p1979 +tp1980 +a(g900 +g958 +tp1981 +a(g548 +V5b +p1982 +tp1983 +a(g548 +V72 +p1984 +tp1985 +a(g900 +g958 +tp1986 +a(g548 +V20 +p1987 +tp1988 +a(g548 +V5d +p1989 +tp1990 +a(g900 +g958 +tp1991 +a(g548 +V74 +p1992 +tp1993 +a(g548 +V73 +p1994 +tp1995 +a(g900 +g958 +tp1996 +a(g548 +V69 +p1997 +tp1998 +a(g548 +V72 +p1999 +tp2000 +a(g900 +g958 +tp2001 +a(g548 +V67 +p2002 +tp2003 +a(g548 +V6e +p2004 +tp2005 +a(g900 +g958 +tp2006 +a(g548 +V0a +p2007 +tp2008 +a(g548 +V3b +p2009 +tp2010 +a(g900 +V\u000a +p2011 +tp2012 +a(g8 +V0000180 +p2013 +tp2014 +a(g900 +g958 +tp2015 +a(g548 +V6c +p2016 +tp2017 +a(g548 +V61 +p2018 +tp2019 +a(g900 +g958 +tp2020 +a(g548 +V61 +p2021 +tp2022 +a(g548 +V69 +p2023 +tp2024 +a(g900 +g958 +tp2025 +a(g548 +V20 +p2026 +tp2027 +a(g548 +V73 +p2028 +tp2029 +a(g900 +g958 +tp2030 +a(g548 +V74 +p2031 +tp2032 +a(g548 +V73 +p2033 +tp2034 +a(g900 +g958 +tp2035 +a(g548 +V69 +p2036 +tp2037 +a(g548 +V72 +p2038 +tp2039 +a(g900 +g958 +tp2040 +a(g548 +V67 +p2041 +tp2042 +a(g548 +V6e +p2043 +tp2044 +a(g900 +g958 +tp2045 +a(g548 +V5d +p2046 +tp2047 +a(g548 +V5b +p2048 +tp2049 +a(g900 +g958 +tp2050 +a(g548 +V73 +p2051 +tp2052 +a(g548 +V20 +p2053 +tp2054 +a(g900 +V\u000a +p2055 +tp2056 +a(g8 +V0000190 +p2057 +tp2058 +a(g900 +g958 +tp2059 +a(g548 +V72 +p2060 +tp2061 +a(g548 +V74 +p2062 +tp2063 +a(g900 +g958 +tp2064 +a(g548 +V6e +p2065 +tp2066 +a(g548 +V69 +p2067 +tp2068 +a(g900 +g958 +tp2069 +a(g548 +V61 +p2070 +tp2071 +a(g548 +V67 +p2072 +tp2073 +a(g900 +g958 +tp2074 +a(g548 +V72 +p2075 +tp2076 +a(g548 +V72 +p2077 +tp2078 +a(g900 +g958 +tp2079 +a(g548 +V79 +p2080 +tp2081 +a(g548 +V61 +p2082 +tp2083 +a(g900 +g958 +tp2084 +a(g548 +V0a +p2085 +tp2086 +a(g548 +V3b +p2087 +tp2088 +a(g900 +g958 +tp2089 +a(g548 +V2f +p2090 +tp2091 +a(g548 +V0a +p2092 +tp2093 +a(g900 +g958 +tp2094 +a(g548 +V2f +p2095 +tp2096 +a(g548 +V2f +p2097 +tp2098 +a(g900 +V\u000a +p2099 +tp2100 +a(g8 +V00001a0 +p2101 +tp2102 +a(g900 +g958 +tp2103 +a(g548 +V53 +p2104 +tp2105 +a(g548 +V20 +p2106 +tp2107 +a(g900 +g958 +tp2108 +a(g548 +V72 +p2109 +tp2110 +a(g548 +V74 +p2111 +tp2112 +a(g900 +g958 +tp2113 +a(g548 +V70 +p2114 +tp2115 +a(g548 +V69 +p2116 +tp2117 +a(g900 +g958 +tp2118 +a(g548 +V20 +p2119 +tp2120 +a(g548 +V73 +p2121 +tp2122 +a(g900 +g958 +tp2123 +a(g548 +V6f +p2124 +tp2125 +a(g548 +V6e +p2126 +tp2127 +a(g900 +g958 +tp2128 +a(g548 +V2d +p2129 +tp2130 +a(g548 +V6e +p2131 +tp2132 +a(g900 +g958 +tp2133 +a(g548 +V69 +p2134 +tp2135 +a(g548 +V64 +p2136 +tp2137 +a(g900 +g958 +tp2138 +a(g548 +V69 +p2139 +tp2140 +a(g548 +V67 +p2141 +tp2142 +a(g900 +V\u000a +p2143 +tp2144 +a(g8 +V00001b0 +p2145 +tp2146 +a(g900 +g958 +tp2147 +a(g548 +V20 +p2148 +tp2149 +a(g548 +V74 +p2150 +tp2151 +a(g900 +g958 +tp2152 +a(g548 +V68 +p2153 +tp2154 +a(g548 +V63 +p2155 +tp2156 +a(g900 +g958 +tp2157 +a(g548 +V72 +p2158 +tp2159 +a(g548 +V61 +p2160 +tp2161 +a(g900 +g958 +tp2162 +a(g548 +V63 +p2163 +tp2164 +a(g548 +V61 +p2165 +tp2166 +a(g900 +g958 +tp2167 +a(g548 +V65 +p2168 +tp2169 +a(g548 +V74 +p2170 +tp2171 +a(g900 +g958 +tp2172 +a(g548 +V73 +p2173 +tp2174 +a(g548 +V72 +p2175 +tp2176 +a(g900 +g958 +tp2177 +a(g548 +V66 +p2178 +tp2179 +a(g548 +V20 +p2180 +tp2181 +a(g900 +g958 +tp2182 +a(g548 +V6f +p2183 +tp2184 +a(g548 +V72 +p2185 +tp2186 +a(g900 +V\u000a +p2187 +tp2188 +a(g8 +V00001c0 +p2189 +tp2190 +a(g900 +g958 +tp2191 +a(g548 +V20 +p2192 +tp2193 +a(g548 +V6d +p2194 +tp2195 +a(g900 +g958 +tp2196 +a(g548 +V68 +p2197 +tp2198 +a(g548 +V74 +p2199 +tp2200 +a(g900 +g958 +tp2201 +a(g548 +V20 +p2202 +tp2203 +a(g548 +V65 +p2204 +tp2205 +a(g900 +g958 +tp2206 +a(g548 +V74 +p2207 +tp2208 +a(g548 +V73 +p2209 +tp2210 +a(g900 +g958 +tp2211 +a(g548 +V69 +p2212 +tp2213 +a(g548 +V72 +p2214 +tp2215 +a(g900 +g958 +tp2216 +a(g548 +V67 +p2217 +tp2218 +a(g548 +V6e +p2219 +tp2220 +a(g900 +g958 +tp2221 +a(g548 +V28 +p2222 +tp2223 +a(g548 +V20 +p2224 +tp2225 +a(g900 +g958 +tp2226 +a(g548 +V4f +p2227 +tp2228 +a(g548 +V43 +p2229 +tp2230 +a(g900 +V\u000a +p2231 +tp2232 +a(g8 +V00001d0 +p2233 +tp2234 +a(g900 +g958 +tp2235 +a(g548 +V29 +p2236 +tp2237 +a(g548 +V57 +p2238 +tp2239 +a(g900 +g958 +tp2240 +a(g548 +V73 +p2241 +tp2242 +a(g548 +V0a +p2243 +tp2244 +a(g900 +g958 +tp2245 +a(g548 +V72 +p2246 +tp2247 +a(g548 +V74 +p2248 +tp2249 +a(g900 +g958 +tp2250 +a(g548 +V6e +p2251 +tp2252 +a(g548 +V69 +p2253 +tp2254 +a(g900 +g958 +tp2255 +a(g548 +V20 +p2256 +tp2257 +a(g548 +V67 +p2258 +tp2259 +a(g900 +g958 +tp2260 +a(g548 +V74 +p2261 +tp2262 +a(g548 +V73 +p2263 +tp2264 +a(g900 +g958 +tp2265 +a(g548 +V69 +p2266 +tp2267 +a(g548 +V72 +p2268 +tp2269 +a(g900 +g958 +tp2270 +a(g548 +V4e +p2271 +tp2272 +a(g548 +V70 +p2273 +tp2274 +a(g900 +V\u000a +p2275 +tp2276 +a(g8 +V00001e0 +p2277 +tp2278 +a(g900 +g958 +tp2279 +a(g548 +V6e +p2280 +tp2281 +a(g548 +V6f +p2282 +tp2283 +a(g900 +g958 +tp2284 +a(g548 +V69 +p2285 +tp2286 +a(g548 +V44 +p2287 +tp2288 +a(g900 +g958 +tp2289 +a(g548 +V69 +p2290 +tp2291 +a(g548 +V67 +p2292 +tp2293 +a(g900 +g958 +tp2294 +a(g548 +V28 +p2295 +tp2296 +a(g548 +V74 +p2297 +tp2298 +a(g900 +g958 +tp2299 +a(g548 +V69 +p2300 +tp2301 +a(g548 +V20 +p2302 +tp2303 +a(g900 +g958 +tp2304 +a(g548 +V20 +p2305 +tp2306 +a(g548 +V6e +p2307 +tp2308 +a(g900 +g958 +tp2309 +a(g548 +V74 +p2310 +tp2311 +a(g548 +V73 +p2312 +tp2313 +a(g900 +g958 +tp2314 +a(g548 +V69 +p2315 +tp2316 +a(g548 +V72 +p2317 +tp2318 +a(g900 +V\u000a +p2319 +tp2320 +a(g8 +V00001f0 +p2321 +tp2322 +a(g900 +g958 +tp2323 +a(g548 +V67 +p2324 +tp2325 +a(g548 +V6e +p2326 +tp2327 +a(g900 +g958 +tp2328 +a(g548 +V6c +p2329 +tp2330 +a(g548 +V20 +p2331 +tp2332 +a(g900 +g958 +tp2333 +a(g548 +V6e +p2334 +tp2335 +a(g548 +V69 +p2336 +tp2337 +a(g900 +g958 +tp2338 +a(g548 +V20 +p2339 +tp2340 +a(g548 +V65 +p2341 +tp2342 +a(g900 +g958 +tp2343 +a(g548 +V20 +p2344 +tp2345 +a(g548 +V29 +p2346 +tp2347 +a(g900 +g958 +tp2348 +a(g548 +V7b +p2349 +tp2350 +a(g548 +V0a +p2351 +tp2352 +a(g900 +g958 +tp2353 +a(g548 +V20 +p2354 +tp2355 +a(g548 +V0a +p2356 +tp2357 +a(g900 +g958 +tp2358 +a(g548 +V20 +p2359 +tp2360 +a(g548 +V20 +p2361 +tp2362 +a(g900 +V\u000a +p2363 +tp2364 +a(g8 +V0000200 +p2365 +tp2366 +a(g900 +g958 +tp2367 +a(g548 +V73 +p2368 +tp2369 +a(g548 +V20 +p2370 +tp2371 +a(g900 +g958 +tp2372 +a(g548 +V72 +p2373 +tp2374 +a(g548 +V74 +p2375 +tp2376 +a(g900 +g958 +tp2377 +a(g548 +V6e +p2378 +tp2379 +a(g548 +V69 +p2380 +tp2381 +a(g900 +g958 +tp2382 +a(g548 +V20 +p2383 +tp2384 +a(g548 +V67 +p2385 +tp2386 +a(g900 +g958 +tp2387 +a(g548 +V65 +p2388 +tp2389 +a(g548 +V72 +p2390 +tp2391 +a(g900 +g958 +tp2392 +a(g548 +V3b +p2393 +tp2394 +a(g548 +V74 +p2395 +tp2396 +a(g900 +g958 +tp2397 +a(g548 +V20 +p2398 +tp2399 +a(g548 +V0a +p2400 +tp2401 +a(g900 +g958 +tp2402 +a(g548 +V20 +p2403 +tp2404 +a(g548 +V20 +p2405 +tp2406 +a(g900 +V\u000a +p2407 +tp2408 +a(g8 +V0000210 +p2409 +tp2410 +a(g900 +g958 +tp2411 +a(g548 +V66 +p2412 +tp2413 +a(g548 +V20 +p2414 +tp2415 +a(g900 +g958 +tp2416 +a(g548 +V72 +p2417 +tp2418 +a(g548 +V6f +p2419 +tp2420 +a(g900 +g958 +tp2421 +a(g548 +V61 +p2422 +tp2423 +a(g548 +V65 +p2424 +tp2425 +a(g900 +g958 +tp2426 +a(g548 +V68 +p2427 +tp2428 +a(g548 +V63 +p2429 +tp2430 +a(g900 +g958 +tp2431 +a(g548 +V75 +p2432 +tp2433 +a(g548 +V28 +p2434 +tp2435 +a(g900 +g958 +tp2436 +a(g548 +V6e +p2437 +tp2438 +a(g548 +V69 +p2439 +tp2440 +a(g900 +g958 +tp2441 +a(g548 +V20 +p2442 +tp2443 +a(g548 +V74 +p2444 +tp2445 +a(g900 +g958 +tp2446 +a(g548 +V2c +p2447 +tp2448 +a(g548 +V69 +p2449 +tp2450 +a(g900 +V\u000a +p2451 +tp2452 +a(g8 +V0000220 +p2453 +tp2454 +a(g900 +g958 +tp2455 +a(g548 +V63 +p2456 +tp2457 +a(g548 +V20 +p2458 +tp2459 +a(g900 +g958 +tp2460 +a(g548 +V20 +p2461 +tp2462 +a(g548 +V3b +p2463 +tp2464 +a(g900 +g958 +tp2465 +a(g548 +V69 +p2466 +tp2467 +a(g548 +V6c +p2468 +tp2469 +a(g900 +g958 +tp2470 +a(g548 +V65 +p2471 +tp2472 +a(g548 +V6e +p2473 +tp2474 +a(g900 +g958 +tp2475 +a(g548 +V20 +p2476 +tp2477 +a(g548 +V29 +p2478 +tp2479 +a(g900 +g958 +tp2480 +a(g548 +V0a +p2481 +tp2482 +a(g548 +V7b +p2483 +tp2484 +a(g900 +g958 +tp2485 +a(g548 +V20 +p2486 +tp2487 +a(g548 +V20 +p2488 +tp2489 +a(g900 +g958 +tp2490 +a(g548 +V20 +p2491 +tp2492 +a(g548 +V20 +p2493 +tp2494 +a(g900 +V\u000a +p2495 +tp2496 +a(g8 +V0000230 +p2497 +tp2498 +a(g900 +g958 +tp2499 +a(g548 +V20 +p2500 +tp2501 +a(g548 +V20 +p2502 +tp2503 +a(g900 +g958 +tp2504 +a(g548 +V20 +p2505 +tp2506 +a(g548 +V20 +p2507 +tp2508 +a(g900 +g958 +tp2509 +a(g548 +V2f +p2510 +tp2511 +a(g548 +V2f +p2512 +tp2513 +a(g900 +g958 +tp2514 +a(g548 +V45 +p2515 +tp2516 +a(g548 +V20 +p2517 +tp2518 +a(g900 +g958 +tp2519 +a(g548 +V72 +p2520 +tp2521 +a(g548 +V72 +p2522 +tp2523 +a(g900 +g958 +tp2524 +a(g548 +V72 +p2525 +tp2526 +a(g548 +V6f +p2527 +tp2528 +a(g900 +g958 +tp2529 +a(g548 +V20 +p2530 +tp2531 +a(g548 +V3a +p2532 +tp2533 +a(g900 +g958 +tp2534 +a(g548 +V74 +p2535 +tp2536 +a(g548 +V73 +p2537 +tp2538 +a(g900 +V\u000a +p2539 +tp2540 +a(g8 +V0000240 +p2541 +tp2542 +a(g900 +g958 +tp2543 +a(g548 +V2e +p2544 +tp2545 +a(g548 +V64 +p2546 +tp2547 +a(g900 +g958 +tp2548 +a(g548 +V74 +p2549 +tp2550 +a(g548 +V63 +p2551 +tp2552 +a(g900 +g958 +tp2553 +a(g548 +V70 +p2554 +tp2555 +a(g548 +V79 +p2556 +tp2557 +a(g900 +g958 +tp2558 +a(g548 +V2e +p2559 +tp2560 +a(g548 +V65 +p2561 +tp2562 +a(g900 +g958 +tp2563 +a(g548 +V73 +p2564 +tp2565 +a(g548 +V69 +p2566 +tp2567 +a(g900 +g958 +tp2568 +a(g548 +V69 +p2569 +tp2570 +a(g548 +V64 +p2571 +tp2572 +a(g900 +g958 +tp2573 +a(g548 +V69 +p2574 +tp2575 +a(g548 +V67 +p2576 +tp2577 +a(g900 +g958 +tp2578 +a(g548 +V20 +p2579 +tp2580 +a(g548 +V74 +p2581 +tp2582 +a(g900 +V\u000a +p2583 +tp2584 +a(g8 +V0000250 +p2585 +tp2586 +a(g900 +g958 +tp2587 +a(g548 +V74 +p2588 +tp2589 +a(g548 +V61 +p2590 +tp2591 +a(g900 +g958 +tp2592 +a(g548 +V43 +p2593 +tp2594 +a(g548 +V20 +p2595 +tp2596 +a(g900 +g958 +tp2597 +a(g548 +V5c +p2598 +tp2599 +a(g548 +V3a +p2600 +tp2601 +a(g900 +g958 +tp2602 +a(g548 +V6d +p2603 +tp2604 +a(g548 +V64 +p2605 +tp2606 +a(g900 +g958 +tp2607 +a(g548 +V5c +p2608 +tp2609 +a(g548 +V64 +p2610 +tp2611 +a(g900 +g958 +tp2612 +a(g548 +V72 +p2613 +tp2614 +a(g548 +V73 +p2615 +tp2616 +a(g900 +g958 +tp2617 +a(g548 +V5c +p2618 +tp2619 +a(g548 +V63 +p2620 +tp2621 +a(g900 +g958 +tp2622 +a(g548 +V68 +p2623 +tp2624 +a(g548 +V70 +p2625 +tp2626 +a(g900 +V\u000a +p2627 +tp2628 +a(g8 +V0000260 +p2629 +tp2630 +a(g900 +g958 +tp2631 +a(g548 +V62 +p2632 +tp2633 +a(g548 +V6f +p2634 +tp2635 +a(g900 +g958 +tp2636 +a(g548 +V73 +p2637 +tp2638 +a(g548 +V6f +p2639 +tp2640 +a(g900 +g958 +tp2641 +a(g548 +V73 +p2642 +tp2643 +a(g548 +V5c +p2644 +tp2645 +a(g900 +g958 +tp2646 +a(g548 +V64 +p2647 +tp2648 +a(g548 +V74 +p2649 +tp2650 +a(g900 +g958 +tp2651 +a(g548 +V63 +p2652 +tp2653 +a(g548 +V5c +p2654 +tp2655 +a(g900 +g958 +tp2656 +a(g548 +V79 +p2657 +tp2658 +a(g548 +V74 +p2659 +tp2660 +a(g900 +g958 +tp2661 +a(g548 +V65 +p2662 +tp2663 +a(g548 +V70 +p2664 +tp2665 +a(g900 +g958 +tp2666 +a(g548 +V64 +p2667 +tp2668 +a(g548 +V2e +p2669 +tp2670 +a(g900 +V\u000a +p2671 +tp2672 +a(g8 +V0000270 +p2673 +tp2674 +a(g900 +g958 +tp2675 +a(g548 +V33 +p2676 +tp2677 +a(g548 +V28 +p2678 +tp2679 +a(g900 +g958 +tp2680 +a(g548 +V29 +p2681 +tp2682 +a(g548 +V37 +p2683 +tp2684 +a(g900 +g958 +tp2685 +a(g548 +V0a +p2686 +tp2687 +a(g548 +V20 +p2688 +tp2689 +a(g900 +g958 +tp2690 +a(g548 +V20 +p2691 +tp2692 +a(g548 +V20 +p2693 +tp2694 +a(g900 +g958 +tp2695 +a(g548 +V20 +p2696 +tp2697 +a(g548 +V20 +p2698 +tp2699 +a(g900 +g958 +tp2700 +a(g548 +V20 +p2701 +tp2702 +a(g548 +V20 +p2703 +tp2704 +a(g900 +g958 +tp2705 +a(g548 +V20 +p2706 +tp2707 +a(g548 +V20 +p2708 +tp2709 +a(g900 +g958 +tp2710 +a(g548 +V2f +p2711 +tp2712 +a(g548 +V2f +p2713 +tp2714 +a(g900 +V\u000a +p2715 +tp2716 +a(g8 +V0000280 +p2717 +tp2718 +a(g900 +g958 +tp2719 +a(g548 +V63 +p2720 +tp2721 +a(g548 +V20 +p2722 +tp2723 +a(g900 +g958 +tp2724 +a(g548 +V6e +p2725 +tp2726 +a(g548 +V6f +p2727 +tp2728 +a(g900 +g958 +tp2729 +a(g548 +V6c +p2730 +tp2731 +a(g548 +V66 +p2732 +tp2733 +a(g900 +g958 +tp2734 +a(g548 +V63 +p2735 +tp2736 +a(g548 +V69 +p2737 +tp2738 +a(g900 +g958 +tp2739 +a(g548 +V73 +p2740 +tp2741 +a(g548 +V74 +p2742 +tp2743 +a(g900 +g958 +tp2744 +a(g548 +V77 +p2745 +tp2746 +a(g548 +V20 +p2747 +tp2748 +a(g900 +g958 +tp2749 +a(g548 +V74 +p2750 +tp2751 +a(g548 +V69 +p2752 +tp2753 +a(g900 +g958 +tp2754 +a(g548 +V20 +p2755 +tp2756 +a(g548 +V68 +p2757 +tp2758 +a(g900 +V\u000a +p2759 +tp2760 +a(g8 +V0000290 +p2761 +tp2762 +a(g900 +g958 +tp2763 +a(g548 +V74 +p2764 +tp2765 +a(g548 +V73 +p2766 +tp2767 +a(g900 +g958 +tp2768 +a(g548 +V2e +p2769 +tp2770 +a(g548 +V64 +p2771 +tp2772 +a(g900 +g958 +tp2773 +a(g548 +V74 +p2774 +tp2775 +a(g548 +V73 +p2776 +tp2777 +a(g900 +g958 +tp2778 +a(g548 +V65 +p2779 +tp2780 +a(g548 +V72 +p2781 +tp2782 +a(g900 +g958 +tp2783 +a(g548 +V6d +p2784 +tp2785 +a(g548 +V61 +p2786 +tp2787 +a(g900 +g958 +tp2788 +a(g548 +V69 +p2789 +tp2790 +a(g548 +V2e +p2791 +tp2792 +a(g900 +g958 +tp2793 +a(g548 +V64 +p2794 +tp2795 +a(g548 +V73 +p2796 +tp2797 +a(g900 +g958 +tp2798 +a(g548 +V67 +p2799 +tp2800 +a(g548 +V69 +p2801 +tp2802 +a(g900 +V\u000a +p2803 +tp2804 +a(g8 +V00002a0 +p2805 +tp2806 +a(g900 +g958 +tp2807 +a(g548 +V74 +p2808 +tp2809 +a(g548 +V69 +p2810 +tp2811 +a(g900 +g958 +tp2812 +a(g548 +V61 +p2813 +tp2814 +a(g548 +V20 +p2815 +tp2816 +a(g900 +g958 +tp2817 +a(g548 +V20 +p2818 +tp2819 +a(g548 +V74 +p2820 +tp2821 +a(g900 +g958 +tp2822 +a(g548 +V3a +p2823 +tp2824 +a(g548 +V43 +p2825 +tp2826 +a(g900 +g958 +tp2827 +a(g548 +V64 +p2828 +tp2829 +a(g548 +V5c +p2830 +tp2831 +a(g900 +g958 +tp2832 +a(g548 +V64 +p2833 +tp2834 +a(g548 +V6d +p2835 +tp2836 +a(g900 +g958 +tp2837 +a(g548 +V73 +p2838 +tp2839 +a(g548 +V5c +p2840 +tp2841 +a(g900 +g958 +tp2842 +a(g548 +V63 +p2843 +tp2844 +a(g548 +V72 +p2845 +tp2846 +a(g900 +V\u000a +p2847 +tp2848 +a(g8 +V00002b0 +p2849 +tp2850 +a(g900 +g958 +tp2851 +a(g548 +V70 +p2852 +tp2853 +a(g548 +V5c +p2854 +tp2855 +a(g900 +g958 +tp2856 +a(g548 +V6f +p2857 +tp2858 +a(g548 +V68 +p2859 +tp2860 +a(g900 +g958 +tp2861 +a(g548 +V6f +p2862 +tp2863 +a(g548 +V62 +p2864 +tp2865 +a(g900 +g958 +tp2866 +a(g548 +V5c +p2867 +tp2868 +a(g548 +V73 +p2869 +tp2870 +a(g900 +g958 +tp2871 +a(g548 +V74 +p2872 +tp2873 +a(g548 +V73 +p2874 +tp2875 +a(g900 +g958 +tp2876 +a(g548 +V5c +p2877 +tp2878 +a(g548 +V64 +p2879 +tp2880 +a(g900 +g958 +tp2881 +a(g548 +V74 +p2882 +tp2883 +a(g548 +V73 +p2884 +tp2885 +a(g900 +g958 +tp2886 +a(g548 +V65 +p2887 +tp2888 +a(g548 +V72 +p2889 +tp2890 +a(g900 +V\u000a +p2891 +tp2892 +a(g8 +V00002c0 +p2893 +tp2894 +a(g900 +g958 +tp2895 +a(g548 +V6d +p2896 +tp2897 +a(g548 +V61 +p2898 +tp2899 +a(g900 +g958 +tp2900 +a(g548 +V64 +p2901 +tp2902 +a(g548 +V2e +p2903 +tp2904 +a(g900 +g958 +tp2905 +a(g548 +V32 +p2906 +tp2907 +a(g548 +V28 +p2908 +tp2909 +a(g900 +g958 +tp2910 +a(g548 +V32 +p2911 +tp2912 +a(g548 +V39 +p2913 +tp2914 +a(g900 +g958 +tp2915 +a(g548 +V29 +p2916 +tp2917 +a(g548 +V34 +p2918 +tp2919 +a(g900 +g958 +tp2920 +a(g548 +V20 +p2921 +tp2922 +a(g548 +V0a +p2923 +tp2924 +a(g900 +g958 +tp2925 +a(g548 +V20 +p2926 +tp2927 +a(g548 +V20 +p2928 +tp2929 +a(g900 +g958 +tp2930 +a(g548 +V20 +p2931 +tp2932 +a(g548 +V20 +p2933 +tp2934 +a(g900 +V\u000a +p2935 +tp2936 +a(g8 +V00002d0 +p2937 +tp2938 +a(g900 +g958 +tp2939 +a(g548 +V20 +p2940 +tp2941 +a(g548 +V20 +p2942 +tp2943 +a(g900 +g958 +tp2944 +a(g548 +V69 +p2945 +tp2946 +a(g548 +V20 +p2947 +tp2948 +a(g900 +g958 +tp2949 +a(g548 +V20 +p2950 +tp2951 +a(g548 +V66 +p2952 +tp2953 +a(g900 +g958 +tp2954 +a(g548 +V21 +p2955 +tp2956 +a(g548 +V28 +p2957 +tp2958 +a(g900 +g958 +tp2959 +a(g548 +V74 +p2960 +tp2961 +a(g548 +V73 +p2962 +tp2963 +a(g900 +g958 +tp2964 +a(g548 +V2e +p2965 +tp2966 +a(g548 +V64 +p2967 +tp2968 +a(g900 +g958 +tp2969 +a(g548 +V74 +p2970 +tp2971 +a(g548 +V63 +p2972 +tp2973 +a(g900 +g958 +tp2974 +a(g548 +V70 +p2975 +tp2976 +a(g548 +V79 +p2977 +tp2978 +a(g900 +V\u000a +p2979 +tp2980 +a(g8 +V00002e0 +p2981 +tp2982 +a(g900 +g958 +tp2983 +a(g548 +V2e +p2984 +tp2985 +a(g548 +V65 +p2986 +tp2987 +a(g900 +g958 +tp2988 +a(g548 +V73 +p2989 +tp2990 +a(g548 +V69 +p2991 +tp2992 +a(g900 +g958 +tp2993 +a(g548 +V69 +p2994 +tp2995 +a(g548 +V64 +p2996 +tp2997 +a(g900 +g958 +tp2998 +a(g548 +V69 +p2999 +tp3000 +a(g548 +V67 +p3001 +tp3002 +a(g900 +g958 +tp3003 +a(g548 +V28 +p3004 +tp3005 +a(g548 +V74 +p3006 +tp3007 +a(g900 +g958 +tp3008 +a(g548 +V29 +p3009 +tp3010 +a(g548 +V63 +p3011 +tp3012 +a(g900 +g958 +tp3013 +a(g548 +V20 +p3014 +tp3015 +a(g548 +V29 +p3016 +tp3017 +a(g900 +g958 +tp3018 +a(g548 +V0a +p3019 +tp3020 +a(g548 +V7b +p3021 +tp3022 +a(g900 +V\u000a +p3023 +tp3024 +a(g8 +V00002f0 +p3025 +tp3026 +a(g900 +g958 +tp3027 +a(g548 +V20 +p3028 +tp3029 +a(g548 +V20 +p3030 +tp3031 +a(g900 +g958 +tp3032 +a(g548 +V20 +p3033 +tp3034 +a(g548 +V20 +p3035 +tp3036 +a(g900 +g958 +tp3037 +a(g548 +V20 +p3038 +tp3039 +a(g548 +V20 +p3040 +tp3041 +a(g900 +g958 +tp3042 +a(g548 +V20 +p3043 +tp3044 +a(g548 +V20 +p3045 +tp3046 +a(g900 +g958 +tp3047 +a(g548 +V20 +p3048 +tp3049 +a(g548 +V20 +p3050 +tp3051 +a(g900 +g958 +tp3052 +a(g548 +V20 +p3053 +tp3054 +a(g548 +V20 +p3055 +tp3056 +a(g900 +g958 +tp3057 +a(g548 +V66 +p3058 +tp3059 +a(g548 +V69 +p3060 +tp3061 +a(g900 +g958 +tp3062 +a(g548 +V28 +p3063 +tp3064 +a(g548 +V20 +p3065 +tp3066 +a(g900 +V\u000a +p3067 +tp3068 +a(g8 +V0000300 +p3069 +tp3070 +a(g900 +g958 +tp3071 +a(g548 +V72 +p3072 +tp3073 +a(g548 +V21 +p3074 +tp3075 +a(g900 +g958 +tp3076 +a(g548 +V74 +p3077 +tp3078 +a(g548 +V65 +p3079 +tp3080 +a(g900 +g958 +tp3081 +a(g548 +V0a +p3082 +tp3083 +a(g548 +V29 +p3084 +tp3085 +a(g900 +g958 +tp3086 +a(g548 +V20 +p3087 +tp3088 +a(g548 +V20 +p3089 +tp3090 +a(g900 +g958 +tp3091 +a(g548 +V20 +p3092 +tp3093 +a(g548 +V20 +p3094 +tp3095 +a(g900 +g958 +tp3096 +a(g548 +V20 +p3097 +tp3098 +a(g548 +V20 +p3099 +tp3100 +a(g900 +g958 +tp3101 +a(g548 +V20 +p3102 +tp3103 +a(g548 +V20 +p3104 +tp3105 +a(g900 +g958 +tp3106 +a(g548 +V20 +p3107 +tp3108 +a(g548 +V20 +p3109 +tp3110 +a(g900 +V\u000a +p3111 +tp3112 +a(g8 +V0000310 +p3113 +tp3114 +a(g900 +g958 +tp3115 +a(g548 +V20 +p3116 +tp3117 +a(g548 +V20 +p3118 +tp3119 +a(g900 +g958 +tp3120 +a(g548 +V20 +p3121 +tp3122 +a(g548 +V20 +p3123 +tp3124 +a(g900 +g958 +tp3125 +a(g548 +V20 +p3126 +tp3127 +a(g548 +V20 +p3128 +tp3129 +a(g900 +g958 +tp3130 +a(g548 +V65 +p3131 +tp3132 +a(g548 +V72 +p3133 +tp3134 +a(g900 +g958 +tp3135 +a(g548 +V20 +p3136 +tp3137 +a(g548 +V74 +p3138 +tp3139 +a(g900 +g958 +tp3140 +a(g548 +V20 +p3141 +tp3142 +a(g548 +V3d +p3143 +tp3144 +a(g900 +g958 +tp3145 +a(g548 +V69 +p3146 +tp3147 +a(g548 +V6c +p3148 +tp3149 +a(g900 +g958 +tp3150 +a(g548 +V65 +p3151 +tp3152 +a(g548 +V6e +p3153 +tp3154 +a(g900 +V\u000a +p3155 +tp3156 +a(g8 +V0000320 +p3157 +tp3158 +a(g900 +g958 +tp3159 +a(g548 +V30 +p3160 +tp3161 +a(g548 +V5b +p3162 +tp3163 +a(g900 +g958 +tp3164 +a(g548 +V2e +p3165 +tp3166 +a(g548 +V2e +p3167 +tp3168 +a(g900 +g958 +tp3169 +a(g548 +V5d +p3170 +tp3171 +a(g548 +V69 +p3172 +tp3173 +a(g900 +g958 +tp3174 +a(g548 +V20 +p3175 +tp3176 +a(g548 +V3b +p3177 +tp3178 +a(g900 +g958 +tp3179 +a(g548 +V20 +p3180 +tp3181 +a(g548 +V20 +p3182 +tp3183 +a(g900 +g958 +tp3184 +a(g548 +V0a +p3185 +tp3186 +a(g548 +V20 +p3187 +tp3188 +a(g900 +g958 +tp3189 +a(g548 +V20 +p3190 +tp3191 +a(g548 +V20 +p3192 +tp3193 +a(g900 +g958 +tp3194 +a(g548 +V20 +p3195 +tp3196 +a(g548 +V20 +p3197 +tp3198 +a(g900 +V\u000a +p3199 +tp3200 +a(g8 +V0000330 +p3201 +tp3202 +a(g900 +g958 +tp3203 +a(g548 +V20 +p3204 +tp3205 +a(g548 +V20 +p3206 +tp3207 +a(g900 +g958 +tp3208 +a(g548 +V20 +p3209 +tp3210 +a(g548 +V20 +p3211 +tp3212 +a(g900 +g958 +tp3213 +a(g548 +V20 +p3214 +tp3215 +a(g548 +V7d +p3216 +tp3217 +a(g900 +g958 +tp3218 +a(g548 +V20 +p3219 +tp3220 +a(g548 +V20 +p3221 +tp3222 +a(g900 +g958 +tp3223 +a(g548 +V0a +p3224 +tp3225 +a(g548 +V20 +p3226 +tp3227 +a(g900 +g958 +tp3228 +a(g548 +V20 +p3229 +tp3230 +a(g548 +V20 +p3231 +tp3232 +a(g900 +g958 +tp3233 +a(g548 +V20 +p3234 +tp3235 +a(g548 +V20 +p3236 +tp3237 +a(g900 +g958 +tp3238 +a(g548 +V20 +p3239 +tp3240 +a(g548 +V20 +p3241 +tp3242 +a(g900 +V\u000a +p3243 +tp3244 +a(g8 +V0000340 +p3245 +tp3246 +a(g900 +g958 +tp3247 +a(g548 +V20 +p3248 +tp3249 +a(g548 +V20 +p3250 +tp3251 +a(g900 +g958 +tp3252 +a(g548 +V6c +p3253 +tp3254 +a(g548 +V65 +p3255 +tp3256 +a(g900 +g958 +tp3257 +a(g548 +V65 +p3258 +tp3259 +a(g548 +V73 +p3260 +tp3261 +a(g900 +g958 +tp3262 +a(g548 +V69 +p3263 +tp3264 +a(g548 +V20 +p3265 +tp3266 +a(g900 +g958 +tp3267 +a(g548 +V20 +p3268 +tp3269 +a(g548 +V66 +p3270 +tp3271 +a(g900 +g958 +tp3272 +a(g548 +V72 +p3273 +tp3274 +a(g548 +V28 +p3275 +tp3276 +a(g900 +g958 +tp3277 +a(g548 +V74 +p3278 +tp3279 +a(g548 +V65 +p3280 +tp3281 +a(g900 +g958 +tp3282 +a(g548 +V0a +p3283 +tp3284 +a(g548 +V29 +p3285 +tp3286 +a(g900 +V\u000a +p3287 +tp3288 +a(g8 +V0000350 +p3289 +tp3290 +a(g900 +g958 +tp3291 +a(g548 +V20 +p3292 +tp3293 +a(g548 +V20 +p3294 +tp3295 +a(g900 +g958 +tp3296 +a(g548 +V20 +p3297 +tp3298 +a(g548 +V20 +p3299 +tp3300 +a(g900 +g958 +tp3301 +a(g548 +V20 +p3302 +tp3303 +a(g548 +V20 +p3304 +tp3305 +a(g900 +g958 +tp3306 +a(g548 +V20 +p3307 +tp3308 +a(g548 +V20 +p3309 +tp3310 +a(g900 +g958 +tp3311 +a(g548 +V20 +p3312 +tp3313 +a(g548 +V20 +p3314 +tp3315 +a(g900 +g958 +tp3316 +a(g548 +V20 +p3317 +tp3318 +a(g548 +V20 +p3319 +tp3320 +a(g900 +g958 +tp3321 +a(g548 +V65 +p3322 +tp3323 +a(g548 +V72 +p3324 +tp3325 +a(g900 +g958 +tp3326 +a(g548 +V20 +p3327 +tp3328 +a(g548 +V74 +p3329 +tp3330 +a(g900 +V\u000a +p3331 +tp3332 +a(g8 +V0000360 +p3333 +tp3334 +a(g900 +g958 +tp3335 +a(g548 +V3d +p3336 +tp3337 +a(g548 +V7e +p3338 +tp3339 +a(g900 +g958 +tp3340 +a(g548 +V63 +p3341 +tp3342 +a(g548 +V20 +p3343 +tp3344 +a(g900 +g958 +tp3345 +a(g548 +V20 +p3346 +tp3347 +a(g548 +V3b +p3348 +tp3349 +a(g900 +g958 +tp3350 +a(g548 +V20 +p3351 +tp3352 +a(g548 +V20 +p3353 +tp3354 +a(g900 +g958 +tp3355 +a(g548 +V0a +p3356 +tp3357 +a(g548 +V20 +p3358 +tp3359 +a(g900 +g958 +tp3360 +a(g548 +V20 +p3361 +tp3362 +a(g548 +V20 +p3363 +tp3364 +a(g900 +g958 +tp3365 +a(g548 +V20 +p3366 +tp3367 +a(g548 +V20 +p3368 +tp3369 +a(g900 +g958 +tp3370 +a(g548 +V20 +p3371 +tp3372 +a(g548 +V7d +p3373 +tp3374 +a(g900 +V\u000a +p3375 +tp3376 +a(g8 +V0000370 +p3377 +tp3378 +a(g900 +g958 +tp3379 +a(g548 +V20 +p3380 +tp3381 +a(g548 +V20 +p3382 +tp3383 +a(g900 +g958 +tp3384 +a(g548 +V0a +p3385 +tp3386 +a(g548 +V20 +p3387 +tp3388 +a(g900 +g958 +tp3389 +a(g548 +V20 +p3390 +tp3391 +a(g548 +V20 +p3392 +tp3393 +a(g900 +g958 +tp3394 +a(g548 +V20 +p3395 +tp3396 +a(g548 +V20 +p3397 +tp3398 +a(g900 +g958 +tp3399 +a(g548 +V65 +p3400 +tp3401 +a(g548 +V72 +p3402 +tp3403 +a(g900 +g958 +tp3404 +a(g548 +V75 +p3405 +tp3406 +a(g548 +V74 +p3407 +tp3408 +a(g900 +g958 +tp3409 +a(g548 +V6e +p3410 +tp3411 +a(g548 +V72 +p3412 +tp3413 +a(g900 +g958 +tp3414 +a(g548 +V72 +p3415 +tp3416 +a(g548 +V20 +p3417 +tp3418 +a(g900 +V\u000a +p3419 +tp3420 +a(g8 +V0000380 +p3421 +tp3422 +a(g900 +g958 +tp3423 +a(g548 +V74 +p3424 +tp3425 +a(g548 +V65 +p3426 +tp3427 +a(g900 +g958 +tp3428 +a(g548 +V72 +p3429 +tp3430 +a(g548 +V3f +p3431 +tp3432 +a(g900 +g958 +tp3433 +a(g548 +V74 +p3434 +tp3435 +a(g548 +V65 +p3436 +tp3437 +a(g900 +g958 +tp3438 +a(g548 +V6c +p3439 +tp3440 +a(g548 +V3a +p3441 +tp3442 +a(g900 +g958 +tp3443 +a(g548 +V6e +p3444 +tp3445 +a(g548 +V69 +p3446 +tp3447 +a(g900 +g958 +tp3448 +a(g548 +V3b +p3449 +tp3450 +a(g548 +V65 +p3451 +tp3452 +a(g900 +g958 +tp3453 +a(g548 +V7d +p3454 +tp3455 +a(g548 +V0a +p3456 +tp3457 +a(g900 +g958 +tp3458 +a(g548 +V0a +p3459 +tp3460 +a(g548 +V0a +p3461 +tp3462 +a(g900 +V\u000a +p3463 +tp3464 +a(g8 +V0000390 +p3465 +tp3466 +a(g900 +g958 +tp3467 +a(g548 +V6e +p3468 +tp3469 +a(g548 +V75 +p3470 +tp3471 +a(g900 +g958 +tp3472 +a(g548 +V74 +p3473 +tp3474 +a(g548 +V69 +p3475 +tp3476 +a(g900 +g958 +tp3477 +a(g548 +V65 +p3478 +tp3479 +a(g548 +V74 +p3480 +tp3481 +a(g900 +g958 +tp3482 +a(g548 +V74 +p3483 +tp3484 +a(g548 +V73 +p3485 +tp3486 +a(g900 +g958 +tp3487 +a(g548 +V7b +p3488 +tp3489 +a(g548 +V20 +p3490 +tp3491 +a(g900 +g958 +tp3492 +a(g548 +V20 +p3493 +tp3494 +a(g548 +V0a +p3495 +tp3496 +a(g900 +g958 +tp3497 +a(g548 +V20 +p3498 +tp3499 +a(g548 +V20 +p3500 +tp3501 +a(g900 +g958 +tp3502 +a(g548 +V61 +p3503 +tp3504 +a(g548 +V20 +p3505 +tp3506 +a(g900 +V\u000a +p3507 +tp3508 +a(g8 +V00003a0 +p3509 +tp3510 +a(g900 +g958 +tp3511 +a(g548 +V73 +p3512 +tp3513 +a(g548 +V73 +p3514 +tp3515 +a(g900 +g958 +tp3516 +a(g548 +V72 +p3517 +tp3518 +a(g548 +V65 +p3519 +tp3520 +a(g900 +g958 +tp3521 +a(g548 +V28 +p3522 +tp3523 +a(g548 +V74 +p3524 +tp3525 +a(g900 +g958 +tp3526 +a(g548 +V73 +p3527 +tp3528 +a(g548 +V20 +p3529 +tp3530 +a(g900 +g958 +tp3531 +a(g548 +V72 +p3532 +tp3533 +a(g548 +V74 +p3534 +tp3535 +a(g900 +g958 +tp3536 +a(g548 +V70 +p3537 +tp3538 +a(g548 +V69 +p3539 +tp3540 +a(g900 +g958 +tp3541 +a(g548 +V6f +p3542 +tp3543 +a(g548 +V4e +p3544 +tp3545 +a(g900 +g958 +tp3546 +a(g548 +V44 +p3547 +tp3548 +a(g548 +V6e +p3549 +tp3550 +a(g900 +V\u000a +p3551 +tp3552 +a(g8 +V00003b0 +p3553 +tp3554 +a(g900 +g958 +tp3555 +a(g548 +V67 +p3556 +tp3557 +a(g548 +V69 +p3558 +tp3559 +a(g900 +g958 +tp3560 +a(g548 +V74 +p3561 +tp3562 +a(g548 +V69 +p3563 +tp3564 +a(g900 +g958 +tp3565 +a(g548 +V22 +p3566 +tp3567 +a(g548 +V28 +p3568 +tp3569 +a(g900 +g958 +tp3570 +a(g548 +V73 +p3571 +tp3572 +a(g548 +V61 +p3573 +tp3574 +a(g900 +g958 +tp3575 +a(g548 +V66 +p3576 +tp3577 +a(g548 +V64 +p3578 +tp3579 +a(g900 +g958 +tp3580 +a(g548 +V29 +p3581 +tp3582 +a(g548 +V22 +p3583 +tp3584 +a(g900 +g958 +tp3585 +a(g548 +V3d +p3586 +tp3587 +a(g548 +V20 +p3588 +tp3589 +a(g900 +g958 +tp3590 +a(g548 +V20 +p3591 +tp3592 +a(g548 +V3d +p3593 +tp3594 +a(g900 +V\u000a +p3595 +tp3596 +a(g8 +V00003c0 +p3597 +tp3598 +a(g900 +g958 +tp3599 +a(g548 +V22 +p3600 +tp3601 +a(g548 +V22 +p3602 +tp3603 +a(g900 +g958 +tp3604 +a(g548 +V20 +p3605 +tp3606 +a(g548 +V20 +p3607 +tp3608 +a(g900 +g958 +tp3609 +a(g548 +V3b +p3610 +tp3611 +a(g548 +V29 +p3612 +tp3613 +a(g900 +g958 +tp3614 +a(g548 +V20 +p3615 +tp3616 +a(g548 +V0a +p3617 +tp3618 +a(g900 +g958 +tp3619 +a(g548 +V20 +p3620 +tp3621 +a(g548 +V20 +p3622 +tp3623 +a(g900 +g958 +tp3624 +a(g548 +V61 +p3625 +tp3626 +a(g548 +V20 +p3627 +tp3628 +a(g900 +g958 +tp3629 +a(g548 +V73 +p3630 +tp3631 +a(g548 +V73 +p3632 +tp3633 +a(g900 +g958 +tp3634 +a(g548 +V72 +p3635 +tp3636 +a(g548 +V65 +p3637 +tp3638 +a(g900 +V\u000a +p3639 +tp3640 +a(g8 +V00003d0 +p3641 +tp3642 +a(g900 +g958 +tp3643 +a(g548 +V28 +p3644 +tp3645 +a(g548 +V74 +p3646 +tp3647 +a(g900 +g958 +tp3648 +a(g548 +V73 +p3649 +tp3650 +a(g548 +V20 +p3651 +tp3652 +a(g900 +g958 +tp3653 +a(g548 +V72 +p3654 +tp3655 +a(g548 +V74 +p3656 +tp3657 +a(g900 +g958 +tp3658 +a(g548 +V70 +p3659 +tp3660 +a(g548 +V69 +p3661 +tp3662 +a(g900 +g958 +tp3663 +a(g548 +V6f +p3664 +tp3665 +a(g548 +V4e +p3666 +tp3667 +a(g900 +g958 +tp3668 +a(g548 +V44 +p3669 +tp3670 +a(g548 +V6e +p3671 +tp3672 +a(g900 +g958 +tp3673 +a(g548 +V67 +p3674 +tp3675 +a(g548 +V69 +p3676 +tp3677 +a(g900 +g958 +tp3678 +a(g548 +V74 +p3679 +tp3680 +a(g548 +V69 +p3681 +tp3682 +a(g900 +V\u000a +p3683 +tp3684 +a(g8 +V00003e0 +p3685 +tp3686 +a(g900 +g958 +tp3687 +a(g548 +V22 +p3688 +tp3689 +a(g548 +V28 +p3690 +tp3691 +a(g900 +g958 +tp3692 +a(g548 +V27 +p3693 +tp3694 +a(g548 +V5c +p3695 +tp3696 +a(g900 +g958 +tp3697 +a(g548 +V33 +p3698 +tp3699 +a(g548 +V31 +p3700 +tp3701 +a(g900 +g958 +tp3702 +a(g548 +V3d +p3703 +tp3704 +a(g548 +V2d +p3705 +tp3706 +a(g900 +g958 +tp3707 +a(g548 +V20 +p3708 +tp3709 +a(g548 +V32 +p3710 +tp3711 +a(g900 +g958 +tp3712 +a(g548 +V6b +p3713 +tp3714 +a(g548 +V34 +p3715 +tp3716 +a(g900 +g958 +tp3717 +a(g548 +V70 +p3718 +tp3719 +a(g548 +V6f +p3720 +tp3721 +a(g900 +g958 +tp3722 +a(g548 +V29 +p3723 +tp3724 +a(g548 +V22 +p3725 +tp3726 +a(g900 +V\u000a +p3727 +tp3728 +a(g8 +V00003f0 +p3729 +tp3730 +a(g900 +g958 +tp3731 +a(g548 +V3d +p3732 +tp3733 +a(g548 +V20 +p3734 +tp3735 +a(g900 +g958 +tp3736 +a(g548 +V20 +p3737 +tp3738 +a(g548 +V3d +p3739 +tp3740 +a(g900 +g958 +tp3741 +a(g548 +V22 +p3742 +tp3743 +a(g548 +V20 +p3744 +tp3745 +a(g900 +g958 +tp3746 +a(g548 +V33 +p3747 +tp3748 +a(g548 +V31 +p3749 +tp3750 +a(g900 +g958 +tp3751 +a(g548 +V34 +p3752 +tp3753 +a(g548 +V32 +p3754 +tp3755 +a(g900 +g958 +tp3756 +a(g548 +V20 +p3757 +tp3758 +a(g548 +V22 +p3759 +tp3760 +a(g900 +g958 +tp3761 +a(g548 +V29 +p3762 +tp3763 +a(g548 +V20 +p3764 +tp3765 +a(g900 +g958 +tp3766 +a(g548 +V0a +p3767 +tp3768 +a(g548 +V3b +p3769 +tp3770 +a(g900 +V\u000a +p3771 +tp3772 +a(g8 +V0000400 +p3773 +tp3774 +a(g900 +g958 +tp3775 +a(g548 +V0a +p3776 +tp3777 +a(g548 +V7d +p3778 +tp3779 +a(g900 +g958 +tp3780 +a(g548 +V2f +p3781 +tp3782 +a(g548 +V0a +p3783 +tp3784 +a(g900 +g958 +tp3785 +a(g548 +V2f +p3786 +tp3787 +a(g548 +V2f +p3788 +tp3789 +a(g900 +g958 +tp3790 +a(g548 +V43 +p3791 +tp3792 +a(g548 +V20 +p3793 +tp3794 +a(g900 +g958 +tp3795 +a(g548 +V6e +p3796 +tp3797 +a(g548 +V6f +p3798 +tp3799 +a(g900 +g958 +tp3800 +a(g548 +V65 +p3801 +tp3802 +a(g548 +V76 +p3803 +tp3804 +a(g900 +g958 +tp3805 +a(g548 +V74 +p3806 +tp3807 +a(g548 +V72 +p3808 +tp3809 +a(g900 +g958 +tp3810 +a(g548 +V20 +p3811 +tp3812 +a(g548 +V73 +p3813 +tp3814 +a(g900 +V\u000a +p3815 +tp3816 +a(g8 +V0000410 +p3817 +tp3818 +a(g900 +g958 +tp3819 +a(g548 +V20 +p3820 +tp3821 +a(g548 +V61 +p3822 +tp3823 +a(g900 +g958 +tp3824 +a(g548 +V6f +p3825 +tp3826 +a(g548 +V77 +p3827 +tp3828 +a(g900 +g958 +tp3829 +a(g548 +V64 +p3830 +tp3831 +a(g548 +V72 +p3832 +tp3833 +a(g900 +g958 +tp3834 +a(g548 +V69 +p3835 +tp3836 +a(g548 +V20 +p3837 +tp3838 +a(g900 +g958 +tp3839 +a(g548 +V74 +p3840 +tp3841 +a(g548 +V6e +p3842 +tp3843 +a(g900 +g958 +tp3844 +a(g548 +V20 +p3845 +tp3846 +a(g548 +V6f +p3847 +tp3848 +a(g900 +g958 +tp3849 +a(g548 +V20 +p3850 +tp3851 +a(g548 +V61 +p3852 +tp3853 +a(g900 +g958 +tp3854 +a(g548 +V75 +p3855 +tp3856 +a(g548 +V6e +p3857 +tp3858 +a(g900 +V\u000a +p3859 +tp3860 +a(g8 +V0000420 +p3861 +tp3862 +a(g900 +g958 +tp3863 +a(g548 +V62 +p3864 +tp3865 +a(g548 +V6d +p3866 +tp3867 +a(g900 +g958 +tp3868 +a(g548 +V72 +p3869 +tp3870 +a(g548 +V65 +p3871 +tp3872 +a(g900 +g958 +tp3873 +a(g548 +V20 +p3874 +tp3875 +a(g548 +V2c +p3876 +tp3877 +a(g900 +g958 +tp3878 +a(g548 +V67 +p3879 +tp3880 +a(g548 +V69 +p3881 +tp3882 +a(g900 +g958 +tp3883 +a(g548 +V6f +p3884 +tp3885 +a(g548 +V6e +p3886 +tp3887 +a(g900 +g958 +tp3888 +a(g548 +V69 +p3889 +tp3890 +a(g548 +V72 +p3891 +tp3892 +a(g900 +g958 +tp3893 +a(g548 +V67 +p3894 +tp3895 +a(g548 +V6e +p3896 +tp3897 +a(g900 +g958 +tp3898 +a(g548 +V61 +p3899 +tp3900 +a(g548 +V20 +p3901 +tp3902 +a(g900 +V\u000a +p3903 +tp3904 +a(g8 +V0000430 +p3905 +tp3906 +a(g900 +g958 +tp3907 +a(g548 +V6c +p3908 +tp3909 +a(g548 +V6c +p3910 +tp3911 +a(g900 +g958 +tp3912 +a(g548 +V6e +p3913 +tp3914 +a(g548 +V20 +p3915 +tp3916 +a(g900 +g958 +tp3917 +a(g548 +V6e +p3918 +tp3919 +a(g548 +V6f +p3920 +tp3921 +a(g900 +g958 +tp3922 +a(g548 +V61 +p3923 +tp3924 +a(g548 +V20 +p3925 +tp3926 +a(g900 +g958 +tp3927 +a(g548 +V70 +p3928 +tp3929 +a(g548 +V6c +p3930 +tp3931 +a(g900 +g958 +tp3932 +a(g548 +V61 +p3933 +tp3934 +a(g548 +V68 +p3935 +tp3936 +a(g900 +g958 +tp3937 +a(g548 +V63 +p3938 +tp3939 +a(g548 +V20 +p3940 +tp3941 +a(g900 +g958 +tp3942 +a(g548 +V61 +p3943 +tp3944 +a(g548 +V68 +p3945 +tp3946 +a(g900 +V\u000a +p3947 +tp3948 +a(g8 +V0000440 +p3949 +tp3950 +a(g900 +g958 +tp3951 +a(g548 +V61 +p3952 +tp3953 +a(g548 +V72 +p3954 +tp3955 +a(g900 +g958 +tp3956 +a(g548 +V74 +p3957 +tp3958 +a(g548 +V63 +p3959 +tp3960 +a(g900 +g958 +tp3961 +a(g548 +V72 +p3962 +tp3963 +a(g548 +V65 +p3964 +tp3965 +a(g900 +g958 +tp3966 +a(g548 +V20 +p3967 +tp3968 +a(g548 +V73 +p3969 +tp3970 +a(g900 +g958 +tp3971 +a(g548 +V0a +p3972 +tp3973 +a(g548 +V20 +p3974 +tp3975 +a(g900 +g958 +tp3976 +a(g548 +V74 +p3977 +tp3978 +a(g548 +V73 +p3979 +tp3980 +a(g900 +g958 +tp3981 +a(g548 +V69 +p3982 +tp3983 +a(g548 +V72 +p3984 +tp3985 +a(g900 +g958 +tp3986 +a(g548 +V67 +p3987 +tp3988 +a(g548 +V6e +p3989 +tp3990 +a(g900 +V\u000a +p3991 +tp3992 +a(g8 +V0000450 +p3993 +tp3994 +a(g900 +g958 +tp3995 +a(g548 +V77 +p3996 +tp3997 +a(g548 +V20 +p3998 +tp3999 +a(g900 +g958 +tp4000 +a(g548 +V72 +p4001 +tp4002 +a(g548 +V6f +p4003 +tp4004 +a(g900 +g958 +tp4005 +a(g548 +V54 +p4006 +tp4007 +a(g548 +V64 +p4008 +tp4009 +a(g900 +g958 +tp4010 +a(g548 +V4e +p4011 +tp4012 +a(g548 +V6f +p4013 +tp4014 +a(g900 +g958 +tp4015 +a(g548 +V6d +p4016 +tp4017 +a(g548 +V75 +p4018 +tp4019 +a(g900 +g958 +tp4020 +a(g548 +V20 +p4021 +tp4022 +a(g548 +V28 +p4023 +tp4024 +a(g900 +g958 +tp4025 +a(g548 +V6e +p4026 +tp4027 +a(g548 +V69 +p4028 +tp4029 +a(g900 +g958 +tp4030 +a(g548 +V73 +p4031 +tp4032 +a(g548 +V20 +p4033 +tp4034 +a(g900 +V\u000a +p4035 +tp4036 +a(g8 +V0000460 +p4037 +tp4038 +a(g900 +g958 +tp4039 +a(g548 +V72 +p4040 +tp4041 +a(g548 +V74 +p4042 +tp4043 +a(g900 +g958 +tp4044 +a(g548 +V6e +p4045 +tp4046 +a(g548 +V69 +p4047 +tp4048 +a(g900 +g958 +tp4049 +a(g548 +V20 +p4050 +tp4051 +a(g548 +V67 +p4052 +tp4053 +a(g900 +g958 +tp4054 +a(g548 +V6f +p4055 +tp4056 +a(g548 +V77 +p4057 +tp4058 +a(g900 +g958 +tp4059 +a(g548 +V64 +p4060 +tp4061 +a(g548 +V72 +p4062 +tp4063 +a(g900 +g958 +tp4064 +a(g548 +V29 +p4065 +tp4066 +a(g548 +V20 +p4067 +tp4068 +a(g900 +g958 +tp4069 +a(g548 +V7b +p4070 +tp4071 +a(g548 +V0a +p4072 +tp4073 +a(g900 +g958 +tp4074 +a(g548 +V2f +p4075 +tp4076 +a(g548 +V0a +p4077 +tp4078 +a(g900 +V\u000a +p4079 +tp4080 +a(g8 +V0000470 +p4081 +tp4082 +a(g900 +g958 +tp4083 +a(g548 +V20 +p4084 +tp4085 +a(g548 +V2f +p4086 +tp4087 +a(g900 +g958 +tp4088 +a(g548 +V72 +p4089 +tp4090 +a(g548 +V74 +p4091 +tp4092 +a(g900 +g958 +tp4093 +a(g548 +V6e +p4094 +tp4095 +a(g548 +V61 +p4096 +tp4097 +a(g900 +g958 +tp4098 +a(g548 +V6c +p4099 +tp4100 +a(g548 +V73 +p4101 +tp4102 +a(g900 +g958 +tp4103 +a(g548 +V74 +p4104 +tp4105 +a(g548 +V61 +p4106 +tp4107 +a(g900 +g958 +tp4108 +a(g548 +V6f +p4109 +tp4110 +a(g548 +V69 +p4111 +tp4112 +a(g900 +g958 +tp4113 +a(g548 +V20 +p4114 +tp4115 +a(g548 +V6e +p4116 +tp4117 +a(g900 +g958 +tp4118 +a(g548 +V61 +p4119 +tp4120 +a(g548 +V74 +p4121 +tp4122 +a(g900 +V\u000a +p4123 +tp4124 +a(g8 +V0000480 +p4125 +tp4126 +a(g900 +g958 +tp4127 +a(g548 +V6c +p4128 +tp4129 +a(g548 +V62 +p4130 +tp4131 +a(g900 +g958 +tp4132 +a(g548 +V20 +p4133 +tp4134 +a(g548 +V65 +p4135 +tp4136 +a(g900 +g958 +tp4137 +a(g548 +V6f +p4138 +tp4139 +a(g548 +V66 +p4140 +tp4141 +a(g900 +g958 +tp4142 +a(g548 +V20 +p4143 +tp4144 +a(g548 +V72 +p4145 +tp4146 +a(g900 +g958 +tp4147 +a(g548 +V68 +p4148 +tp4149 +a(g548 +V74 +p4150 +tp4151 +a(g900 +g958 +tp4152 +a(g548 +V20 +p4153 +tp4154 +a(g548 +V65 +p4155 +tp4156 +a(g900 +g958 +tp4157 +a(g548 +V61 +p4158 +tp4159 +a(g548 +V74 +p4160 +tp4161 +a(g900 +g958 +tp4162 +a(g548 +V6b +p4163 +tp4164 +a(g548 +V73 +p4165 +tp4166 +a(g900 +V\u000a +p4167 +tp4168 +a(g8 +V0000490 +p4169 +tp4170 +a(g900 +g958 +tp4171 +a(g548 +V61 +p4172 +tp4173 +a(g548 +V20 +p4174 +tp4175 +a(g900 +g958 +tp4176 +a(g548 +V20 +p4177 +tp4178 +a(g548 +V74 +p4179 +tp4180 +a(g900 +g958 +tp4181 +a(g548 +V61 +p4182 +tp4183 +a(g548 +V68 +p4184 +tp4185 +a(g900 +g958 +tp4186 +a(g548 +V64 +p4187 +tp4188 +a(g548 +V6e +p4189 +tp4190 +a(g900 +g958 +tp4191 +a(g548 +V63 +p4192 +tp4193 +a(g548 +V0a +p4194 +tp4195 +a(g900 +g958 +tp4196 +a(g548 +V6e +p4197 +tp4198 +a(g548 +V6f +p4199 +tp4200 +a(g900 +g958 +tp4201 +a(g548 +V74 +p4202 +tp4203 +a(g548 +V73 +p4204 +tp4205 +a(g900 +g958 +tp4206 +a(g548 +V63 +p4207 +tp4208 +a(g548 +V20 +p4209 +tp4210 +a(g900 +V\u000a +p4211 +tp4212 +a(g8 +V00004a0 +p4213 +tp4214 +a(g900 +g958 +tp4215 +a(g548 +V61 +p4216 +tp4217 +a(g548 +V68 +p4218 +tp4219 +a(g900 +g958 +tp4220 +a(g548 +V5b +p4221 +tp4222 +a(g548 +V72 +p4223 +tp4224 +a(g900 +g958 +tp4225 +a(g548 +V35 +p4226 +tp4227 +a(g548 +V32 +p4228 +tp4229 +a(g900 +g958 +tp4230 +a(g548 +V5d +p4231 +tp4232 +a(g548 +V36 +p4233 +tp4234 +a(g900 +g958 +tp4235 +a(g548 +V54 +p4236 +tp4237 +a(g548 +V20 +p4238 +tp4239 +a(g900 +g958 +tp4240 +a(g548 +V41 +p4241 +tp4242 +a(g548 +V52 +p4243 +tp4244 +a(g900 +g958 +tp4245 +a(g548 +V53 +p4246 +tp4247 +a(g548 +V4e +p4248 +tp4249 +a(g900 +g958 +tp4250 +a(g548 +V41 +p4251 +tp4252 +a(g548 +V4c +p4253 +tp4254 +a(g900 +V\u000a +p4255 +tp4256 +a(g8 +V00004b0 +p4257 +tp4258 +a(g900 +g958 +tp4259 +a(g548 +V45 +p4260 +tp4261 +a(g548 +V54 +p4262 +tp4263 +a(g900 +g958 +tp4264 +a(g548 +V3d +p4265 +tp4266 +a(g548 +V20 +p4267 +tp4268 +a(g900 +g958 +tp4269 +a(g548 +V20 +p4270 +tp4271 +a(g548 +V20 +p4272 +tp4273 +a(g900 +g958 +tp4274 +a(g548 +V20 +p4275 +tp4276 +a(g548 +V20 +p4277 +tp4278 +a(g900 +g958 +tp4279 +a(g548 +V20 +p4280 +tp4281 +a(g548 +V0a +p4282 +tp4283 +a(g900 +g958 +tp4284 +a(g548 +V20 +p4285 +tp4286 +a(g548 +V20 +p4287 +tp4288 +a(g900 +g958 +tp4289 +a(g548 +V22 +p4290 +tp4291 +a(g548 +V20 +p4292 +tp4293 +a(g900 +g958 +tp4294 +a(g548 +V20 +p4295 +tp4296 +a(g548 +V20 +p4297 +tp4298 +a(g900 +V\u000a +p4299 +tp4300 +a(g8 +V00004c0 +p4301 +tp4302 +a(g900 +g958 +tp4303 +a(g548 +V20 +p4304 +tp4305 +a(g548 +V20 +p4306 +tp4307 +a(g900 +g958 +tp4308 +a(g548 +V20 +p4309 +tp4310 +a(g548 +V20 +p4311 +tp4312 +a(g900 +g958 +tp4313 +a(g548 +V20 +p4314 +tp4315 +a(g548 +V20 +p4316 +tp4317 +a(g900 +g958 +tp4318 +a(g548 +V20 +p4319 +tp4320 +a(g548 +V20 +p4321 +tp4322 +a(g900 +g958 +tp4323 +a(g548 +V20 +p4324 +tp4325 +a(g548 +V20 +p4326 +tp4327 +a(g900 +g958 +tp4328 +a(g548 +V20 +p4329 +tp4330 +a(g548 +V20 +p4331 +tp4332 +a(g900 +g958 +tp4333 +a(g548 +V20 +p4334 +tp4335 +a(g548 +V20 +p4336 +tp4337 +a(g900 +g958 +tp4338 +a(g548 +V20 +p4339 +tp4340 +a(g548 +V20 +p4341 +tp4342 +a(g900 +V\u000a +p4343 +tp4344 +a(g8 +V00004d0 +p4345 +tp4346 +a(g900 +g958 +tp4347 +a(g548 +V20 +p4348 +tp4349 +a(g548 +V20 +p4350 +tp4351 +a(g900 +g958 +tp4352 +a(g548 +V20 +p4353 +tp4354 +a(g548 +V20 +p4355 +tp4356 +a(g900 +g958 +tp4357 +a(g548 +V20 +p4358 +tp4359 +a(g548 +V20 +p4360 +tp4361 +a(g900 +g958 +tp4362 +a(g548 +V20 +p4363 +tp4364 +a(g548 +V20 +p4365 +tp4366 +a(g900 +g958 +tp4367 +a(g548 +V20 +p4368 +tp4369 +a(g548 +V20 +p4370 +tp4371 +a(g900 +g958 +tp4372 +a(g548 +V20 +p4373 +tp4374 +a(g548 +V20 +p4375 +tp4376 +a(g900 +g958 +tp4377 +a(g548 +V20 +p4378 +tp4379 +a(g548 +V20 +p4380 +tp4381 +a(g900 +g958 +tp4382 +a(g548 +V20 +p4383 +tp4384 +a(g548 +V22 +p4385 +tp4386 +a(g900 +V\u000a +p4387 +tp4388 +a(g8 +V00004e0 +p4389 +tp4390 +a(g900 +g958 +tp4391 +a(g548 +V2f +p4392 +tp4393 +a(g548 +V20 +p4394 +tp4395 +a(g900 +g958 +tp4396 +a(g548 +V20 +p4397 +tp4398 +a(g548 +V2f +p4399 +tp4400 +a(g900 +g958 +tp4401 +a(g548 +V20 +p4402 +tp4403 +a(g548 +V30 +p4404 +tp4405 +a(g900 +g958 +tp4406 +a(g548 +V20 +p4407 +tp4408 +a(g548 +V20 +p4409 +tp4410 +a(g900 +g958 +tp4411 +a(g548 +V20 +p4412 +tp4413 +a(g548 +V0a +p4414 +tp4415 +a(g900 +g958 +tp4416 +a(g548 +V20 +p4417 +tp4418 +a(g548 +V20 +p4419 +tp4420 +a(g900 +g958 +tp4421 +a(g548 +V22 +p4422 +tp4423 +a(g548 +V20 +p4424 +tp4425 +a(g900 +g958 +tp4426 +a(g548 +V20 +p4427 +tp4428 +a(g548 +V20 +p4429 +tp4430 +a(g900 +V\u000a +p4431 +tp4432 +a(g8 +V00004f0 +p4433 +tp4434 +a(g900 +g958 +tp4435 +a(g548 +V20 +p4436 +tp4437 +a(g548 +V20 +p4438 +tp4439 +a(g900 +g958 +tp4440 +a(g548 +V20 +p4441 +tp4442 +a(g548 +V20 +p4443 +tp4444 +a(g900 +g958 +tp4445 +a(g548 +V20 +p4446 +tp4447 +a(g548 +V20 +p4448 +tp4449 +a(g900 +g958 +tp4450 +a(g548 +V20 +p4451 +tp4452 +a(g548 +V20 +p4453 +tp4454 +a(g900 +g958 +tp4455 +a(g548 +V20 +p4456 +tp4457 +a(g548 +V20 +p4458 +tp4459 +a(g900 +g958 +tp4460 +a(g548 +V20 +p4461 +tp4462 +a(g548 +V20 +p4463 +tp4464 +a(g900 +g958 +tp4465 +a(g548 +V20 +p4466 +tp4467 +a(g548 +V20 +p4468 +tp4469 +a(g900 +g958 +tp4470 +a(g548 +V31 +p4471 +tp4472 +a(g548 +V30 +p4473 +tp4474 +a(g900 +V\u000a +p4475 +tp4476 +a(g8 +V0000500 +p4477 +tp4478 +a(g900 +g958 +tp4479 +a(g548 +V33 +p4480 +tp4481 +a(g548 +V32 +p4482 +tp4483 +a(g900 +g958 +tp4484 +a(g548 +V35 +p4485 +tp4486 +a(g548 +V34 +p4487 +tp4488 +a(g900 +g958 +tp4489 +a(g548 +V37 +p4490 +tp4491 +a(g548 +V36 +p4492 +tp4493 +a(g900 +g958 +tp4494 +a(g548 +V39 +p4495 +tp4496 +a(g548 +V38 +p4497 +tp4498 +a(g900 +g958 +tp4499 +a(g548 +V20 +p4500 +tp4501 +a(g548 +V20 +p4502 +tp4503 +a(g900 +g958 +tp4504 +a(g548 +V20 +p4505 +tp4506 +a(g548 +V20 +p4507 +tp4508 +a(g900 +g958 +tp4509 +a(g548 +V20 +p4510 +tp4511 +a(g548 +V20 +p4512 +tp4513 +a(g900 +g958 +tp4514 +a(g548 +V20 +p4515 +tp4516 +a(g548 +V22 +p4517 +tp4518 +a(g900 +V\u000a +p4519 +tp4520 +a(g8 +V0000510 +p4521 +tp4522 +a(g900 +g958 +tp4523 +a(g548 +V2f +p4524 +tp4525 +a(g548 +V20 +p4526 +tp4527 +a(g900 +g958 +tp4528 +a(g548 +V20 +p4529 +tp4530 +a(g548 +V2f +p4531 +tp4532 +a(g900 +g958 +tp4533 +a(g548 +V32 +p4534 +tp4535 +a(g548 +V33 +p4536 +tp4537 +a(g900 +g958 +tp4538 +a(g548 +V20 +p4539 +tp4540 +a(g548 +V20 +p4541 +tp4542 +a(g900 +g958 +tp4543 +a(g548 +V20 +p4544 +tp4545 +a(g548 +V20 +p4546 +tp4547 +a(g900 +g958 +tp4548 +a(g548 +V0a +p4549 +tp4550 +a(g548 +V20 +p4551 +tp4552 +a(g900 +g958 +tp4553 +a(g548 +V20 +p4554 +tp4555 +a(g548 +V20 +p4556 +tp4557 +a(g900 +g958 +tp4558 +a(g548 +V20 +p4559 +tp4560 +a(g548 +V20 +p4561 +tp4562 +a(g900 +V\u000a +p4563 +tp4564 +a(g8 +V0000520 +p4565 +tp4566 +a(g900 +g958 +tp4567 +a(g548 +V20 +p4568 +tp4569 +a(g548 +V22 +p4570 +tp4571 +a(g900 +g958 +tp4572 +a(g548 +V37 +p4573 +tp4574 +a(g548 +V35 +p4575 +tp4576 +a(g900 +g958 +tp4577 +a(g548 +V33 +p4578 +tp4579 +a(g548 +V36 +p4580 +tp4581 +a(g900 +g958 +tp4582 +a(g548 +V34 +p4583 +tp4584 +a(g548 +V30 +p4585 +tp4586 +a(g900 +g958 +tp4587 +a(g548 +V39 +p4588 +tp4589 +a(g548 +V39 +p4590 +tp4591 +a(g900 +g958 +tp4592 +a(g548 +V31 +p4593 +tp4594 +a(g548 +V36 +p4595 +tp4596 +a(g900 +g958 +tp4597 +a(g548 +V38 +p4598 +tp4599 +a(g548 +V37 +p4600 +tp4601 +a(g900 +g958 +tp4602 +a(g548 +V31 +p4603 +tp4604 +a(g548 +V35 +p4605 +tp4606 +a(g900 +V\u000a +p4607 +tp4608 +a(g8 +V0000530 +p4609 +tp4610 +a(g900 +g958 +tp4611 +a(g548 +V38 +p4612 +tp4613 +a(g548 +V38 +p4614 +tp4615 +a(g900 +g958 +tp4616 +a(g548 +V32 +p4617 +tp4618 +a(g548 +V31 +p4619 +tp4620 +a(g900 +g958 +tp4621 +a(g548 +V34 +p4622 +tp4623 +a(g548 +V33 +p4624 +tp4625 +a(g900 +g958 +tp4626 +a(g548 +V36 +p4627 +tp4628 +a(g548 +V37 +p4629 +tp4630 +a(g900 +g958 +tp4631 +a(g548 +V32 +p4632 +tp4633 +a(g548 +V32 +p4634 +tp4635 +a(g900 +g958 +tp4636 +a(g548 +V39 +p4637 +tp4638 +a(g548 +V33 +p4639 +tp4640 +a(g900 +g958 +tp4641 +a(g548 +V20 +p4642 +tp4643 +a(g548 +V20 +p4644 +tp4645 +a(g900 +g958 +tp4646 +a(g548 +V20 +p4647 +tp4648 +a(g548 +V20 +p4649 +tp4650 +a(g900 +V\u000a +p4651 +tp4652 +a(g8 +V0000540 +p4653 +tp4654 +a(g900 +g958 +tp4655 +a(g548 +V22 +p4656 +tp4657 +a(g548 +V20 +p4658 +tp4659 +a(g900 +g958 +tp4660 +a(g548 +V20 +p4661 +tp4662 +a(g548 +V20 +p4663 +tp4664 +a(g900 +g958 +tp4665 +a(g548 +V2f +p4666 +tp4667 +a(g548 +V2f +p4668 +tp4669 +a(g900 +g958 +tp4670 +a(g548 +V36 +p4671 +tp4672 +a(g548 +V20 +p4673 +tp4674 +a(g900 +g958 +tp4675 +a(g548 +V20 +p4676 +tp4677 +a(g548 +V34 +p4678 +tp4679 +a(g900 +g958 +tp4680 +a(g548 +V20 +p4681 +tp4682 +a(g548 +V20 +p4683 +tp4684 +a(g900 +g958 +tp4685 +a(g548 +V20 +p4686 +tp4687 +a(g548 +V0a +p4688 +tp4689 +a(g900 +g958 +tp4690 +a(g548 +V20 +p4691 +tp4692 +a(g548 +V20 +p4693 +tp4694 +a(g900 +V\u000a +p4695 +tp4696 +a(g8 +V0000550 +p4697 +tp4698 +a(g900 +g958 +tp4699 +a(g548 +V22 +p4700 +tp4701 +a(g548 +V20 +p4702 +tp4703 +a(g900 +g958 +tp4704 +a(g548 +V35 +p4705 +tp4706 +a(g548 +V20 +p4707 +tp4708 +a(g900 +g958 +tp4709 +a(g548 +V36 +p4710 +tp4711 +a(g548 +V37 +p4712 +tp4713 +a(g900 +g958 +tp4714 +a(g548 +V30 +p4715 +tp4716 +a(g548 +V33 +p4717 +tp4718 +a(g900 +g958 +tp4719 +a(g548 +V39 +p4720 +tp4721 +a(g548 +V34 +p4722 +tp4723 +a(g900 +g958 +tp4724 +a(g548 +V36 +p4725 +tp4726 +a(g548 +V39 +p4727 +tp4728 +a(g900 +g958 +tp4729 +a(g548 +V37 +p4730 +tp4731 +a(g548 +V31 +p4732 +tp4733 +a(g900 +g958 +tp4734 +a(g548 +V35 +p4735 +tp4736 +a(g548 +V38 +p4737 +tp4738 +a(g900 +V\u000a +p4739 +tp4740 +a(g8 +V0000560 +p4741 +tp4742 +a(g900 +g958 +tp4743 +a(g548 +V38 +p4744 +tp4745 +a(g548 +V31 +p4746 +tp4747 +a(g900 +g958 +tp4748 +a(g548 +V31 +p4749 +tp4750 +a(g548 +V38 +p4751 +tp4752 +a(g900 +g958 +tp4753 +a(g548 +V33 +p4754 +tp4755 +a(g548 +V32 +p4756 +tp4757 +a(g900 +g958 +tp4758 +a(g548 +V37 +p4759 +tp4760 +a(g548 +V34 +p4761 +tp4762 +a(g900 +g958 +tp4763 +a(g548 +V32 +p4764 +tp4765 +a(g548 +V36 +p4766 +tp4767 +a(g900 +g958 +tp4768 +a(g548 +V33 +p4769 +tp4770 +a(g548 +V32 +p4771 +tp4772 +a(g900 +g958 +tp4773 +a(g548 +V20 +p4774 +tp4775 +a(g548 +V39 +p4776 +tp4777 +a(g900 +g958 +tp4778 +a(g548 +V20 +p4779 +tp4780 +a(g548 +V20 +p4781 +tp4782 +a(g900 +V\u000a +p4783 +tp4784 +a(g8 +V0000570 +p4785 +tp4786 +a(g900 +g958 +tp4787 +a(g548 +V20 +p4788 +tp4789 +a(g548 +V20 +p4790 +tp4791 +a(g900 +g958 +tp4792 +a(g548 +V0a +p4793 +tp4794 +a(g548 +V22 +p4795 +tp4796 +a(g900 +g958 +tp4797 +a(g548 +V20 +p4798 +tp4799 +a(g548 +V20 +p4800 +tp4801 +a(g900 +g958 +tp4802 +a(g548 +V20 +p4803 +tp4804 +a(g548 +V20 +p4805 +tp4806 +a(g900 +g958 +tp4807 +a(g548 +V20 +p4808 +tp4809 +a(g548 +V22 +p4810 +tp4811 +a(g900 +g958 +tp4812 +a(g548 +V20 +p4813 +tp4814 +a(g548 +V20 +p4815 +tp4816 +a(g900 +g958 +tp4817 +a(g548 +V20 +p4818 +tp4819 +a(g548 +V20 +p4820 +tp4821 +a(g900 +g958 +tp4822 +a(g548 +V20 +p4823 +tp4824 +a(g548 +V20 +p4825 +tp4826 +a(g900 +V\u000a +p4827 +tp4828 +a(g8 +V0000580 +p4829 +tp4830 +a(g900 +g958 +tp4831 +a(g548 +V20 +p4832 +tp4833 +a(g548 +V20 +p4834 +tp4835 +a(g900 +g958 +tp4836 +a(g548 +V20 +p4837 +tp4838 +a(g548 +V20 +p4839 +tp4840 +a(g900 +g958 +tp4841 +a(g548 +V20 +p4842 +tp4843 +a(g548 +V20 +p4844 +tp4845 +a(g900 +g958 +tp4846 +a(g548 +V20 +p4847 +tp4848 +a(g548 +V20 +p4849 +tp4850 +a(g900 +g958 +tp4851 +a(g548 +V20 +p4852 +tp4853 +a(g548 +V20 +p4854 +tp4855 +a(g900 +g958 +tp4856 +a(g548 +V20 +p4857 +tp4858 +a(g548 +V20 +p4859 +tp4860 +a(g900 +g958 +tp4861 +a(g548 +V20 +p4862 +tp4863 +a(g548 +V20 +p4864 +tp4865 +a(g900 +g958 +tp4866 +a(g548 +V20 +p4867 +tp4868 +a(g548 +V20 +p4869 +tp4870 +a(g900 +V\u000a +p4871 +tp4872 +a(g8 +V0000590 +p4873 +tp4874 +a(g900 +g958 +tp4875 +a(g548 +V20 +p4876 +tp4877 +a(g548 +V20 +p4878 +tp4879 +a(g900 +g958 +tp4880 +a(g548 +V20 +p4881 +tp4882 +a(g548 +V20 +p4883 +tp4884 +a(g900 +g958 +tp4885 +a(g548 +V20 +p4886 +tp4887 +a(g548 +V20 +p4888 +tp4889 +a(g900 +g958 +tp4890 +a(g548 +V20 +p4891 +tp4892 +a(g548 +V20 +p4893 +tp4894 +a(g900 +g958 +tp4895 +a(g548 +V22 +p4896 +tp4897 +a(g548 +V20 +p4898 +tp4899 +a(g900 +g958 +tp4900 +a(g548 +V20 +p4901 +tp4902 +a(g548 +V0a +p4903 +tp4904 +a(g900 +g958 +tp4905 +a(g548 +V20 +p4906 +tp4907 +a(g548 +V20 +p4908 +tp4909 +a(g900 +g958 +tp4910 +a(g548 +V22 +p4911 +tp4912 +a(g548 +V20 +p4913 +tp4914 +a(g900 +V\u000a +p4915 +tp4916 +a(g8 +V00005a0 +p4917 +tp4918 +a(g900 +g958 +tp4919 +a(g548 +V20 +p4920 +tp4921 +a(g548 +V20 +p4922 +tp4923 +a(g900 +g958 +tp4924 +a(g548 +V20 +p4925 +tp4926 +a(g548 +V20 +p4927 +tp4928 +a(g900 +g958 +tp4929 +a(g548 +V20 +p4930 +tp4931 +a(g548 +V20 +p4932 +tp4933 +a(g900 +g958 +tp4934 +a(g548 +V20 +p4935 +tp4936 +a(g548 +V20 +p4937 +tp4938 +a(g900 +g958 +tp4939 +a(g548 +V20 +p4940 +tp4941 +a(g548 +V20 +p4942 +tp4943 +a(g900 +g958 +tp4944 +a(g548 +V20 +p4945 +tp4946 +a(g548 +V20 +p4947 +tp4948 +a(g900 +g958 +tp4949 +a(g548 +V20 +p4950 +tp4951 +a(g548 +V20 +p4952 +tp4953 +a(g900 +g958 +tp4954 +a(g548 +V20 +p4955 +tp4956 +a(g548 +V20 +p4957 +tp4958 +a(g900 +V\u000a +p4959 +tp4960 +a(g685 +V* +p4961 +tp4962 +a(g900 +V\u000a +p4963 +tp4964 +a(g8 +V00005c0 +p4965 +tp4966 +a(g900 +g958 +tp4967 +a(g548 +V0a +p4968 +tp4969 +a(g548 +V22 +p4970 +tp4971 +a(g900 +g958 +tp4972 +a(g548 +V20 +p4973 +tp4974 +a(g548 +V20 +p4975 +tp4976 +a(g900 +g958 +tp4977 +a(g548 +V20 +p4978 +tp4979 +a(g548 +V20 +p4980 +tp4981 +a(g900 +g958 +tp4982 +a(g548 +V20 +p4983 +tp4984 +a(g548 +V22 +p4985 +tp4986 +a(g900 +g958 +tp4987 +a(g548 +V20 +p4988 +tp4989 +a(g548 +V20 +p4990 +tp4991 +a(g900 +g958 +tp4992 +a(g548 +V20 +p4993 +tp4994 +a(g548 +V20 +p4995 +tp4996 +a(g900 +g958 +tp4997 +a(g548 +V20 +p4998 +tp4999 +a(g548 +V20 +p5000 +tp5001 +a(g900 +g958 +tp5002 +a(g548 +V20 +p5003 +tp5004 +a(g548 +V20 +p5005 +tp5006 +a(g900 +V\u000a +p5007 +tp5008 +a(g8 +V00005d0 +p5009 +tp5010 +a(g900 +g958 +tp5011 +a(g548 +V20 +p5012 +tp5013 +a(g548 +V20 +p5014 +tp5015 +a(g900 +g958 +tp5016 +a(g548 +V20 +p5017 +tp5018 +a(g548 +V20 +p5019 +tp5020 +a(g900 +g958 +tp5021 +a(g548 +V20 +p5022 +tp5023 +a(g548 +V20 +p5024 +tp5025 +a(g900 +g958 +tp5026 +a(g548 +V20 +p5027 +tp5028 +a(g548 +V20 +p5029 +tp5030 +a(g900 +g958 +tp5031 +a(g548 +V20 +p5032 +tp5033 +a(g548 +V20 +p5034 +tp5035 +a(g900 +g958 +tp5036 +a(g548 +V20 +p5037 +tp5038 +a(g548 +V20 +p5039 +tp5040 +a(g900 +g958 +tp5041 +a(g548 +V20 +p5042 +tp5043 +a(g548 +V20 +p5044 +tp5045 +a(g900 +g958 +tp5046 +a(g548 +V20 +p5047 +tp5048 +a(g548 +V20 +p5049 +tp5050 +a(g900 +V\u000a +p5051 +tp5052 +a(g8 +V00005e0 +p5053 +tp5054 +a(g900 +g958 +tp5055 +a(g548 +V20 +p5056 +tp5057 +a(g548 +V20 +p5058 +tp5059 +a(g900 +g958 +tp5060 +a(g548 +V20 +p5061 +tp5062 +a(g548 +V20 +p5063 +tp5064 +a(g900 +g958 +tp5065 +a(g548 +V20 +p5066 +tp5067 +a(g548 +V20 +p5068 +tp5069 +a(g900 +g958 +tp5070 +a(g548 +V22 +p5071 +tp5072 +a(g548 +V20 +p5073 +tp5074 +a(g900 +g958 +tp5075 +a(g548 +V20 +p5076 +tp5077 +a(g548 +V20 +p5078 +tp5079 +a(g900 +g958 +tp5080 +a(g548 +V20 +p5081 +tp5082 +a(g548 +V20 +p5083 +tp5084 +a(g900 +g958 +tp5085 +a(g548 +V20 +p5086 +tp5087 +a(g548 +V0a +p5088 +tp5089 +a(g900 +g958 +tp5090 +a(g548 +V20 +p5091 +tp5092 +a(g548 +V20 +p5093 +tp5094 +a(g900 +V\u000a +p5095 +tp5096 +a(g8 +V00005f0 +p5097 +tp5098 +a(g900 +g958 +tp5099 +a(g548 +V22 +p5100 +tp5101 +a(g548 +V20 +p5102 +tp5103 +a(g900 +g958 +tp5104 +a(g548 +V20 +p5105 +tp5106 +a(g548 +V20 +p5107 +tp5108 +a(g900 +g958 +tp5109 +a(g548 +V20 +p5110 +tp5111 +a(g548 +V20 +p5112 +tp5113 +a(g900 +g958 +tp5114 +a(g548 +V20 +p5115 +tp5116 +a(g548 +V20 +p5117 +tp5118 +a(g900 +g958 +tp5119 +a(g548 +V20 +p5120 +tp5121 +a(g548 +V20 +p5122 +tp5123 +a(g900 +g958 +tp5124 +a(g548 +V20 +p5125 +tp5126 +a(g548 +V20 +p5127 +tp5128 +a(g900 +g958 +tp5129 +a(g548 +V20 +p5130 +tp5131 +a(g548 +V20 +p5132 +tp5133 +a(g900 +g958 +tp5134 +a(g548 +V20 +p5135 +tp5136 +a(g548 +V20 +p5137 +tp5138 +a(g900 +V\u000a +p5139 +tp5140 +a(g8 +V0000600 +p5141 +tp5142 +a(g900 +g958 +tp5143 +a(g548 +V20 +p5144 +tp5145 +a(g548 +V20 +p5146 +tp5147 +a(g900 +g958 +tp5148 +a(g548 +V20 +p5149 +tp5150 +a(g548 +V20 +p5151 +tp5152 +a(g900 +g958 +tp5153 +a(g548 +V20 +p5154 +tp5155 +a(g548 +V20 +p5156 +tp5157 +a(g900 +g958 +tp5158 +a(g548 +V20 +p5159 +tp5160 +a(g548 +V20 +p5161 +tp5162 +a(g900 +g958 +tp5163 +a(g548 +V20 +p5164 +tp5165 +a(g548 +V20 +p5166 +tp5167 +a(g900 +g958 +tp5168 +a(g548 +V20 +p5169 +tp5170 +a(g548 +V20 +p5171 +tp5172 +a(g900 +g958 +tp5173 +a(g548 +V20 +p5174 +tp5175 +a(g548 +V20 +p5176 +tp5177 +a(g900 +g958 +tp5178 +a(g548 +V20 +p5179 +tp5180 +a(g548 +V20 +p5181 +tp5182 +a(g900 +V\u000a +p5183 +tp5184 +a(g8 +V0000610 +p5185 +tp5186 +a(g900 +g958 +tp5187 +a(g548 +V20 +p5188 +tp5189 +a(g548 +V20 +p5190 +tp5191 +a(g900 +g958 +tp5192 +a(g548 +V3b +p5193 +tp5194 +a(g548 +V22 +p5195 +tp5196 +a(g900 +g958 +tp5197 +a(g548 +V20 +p5198 +tp5199 +a(g548 +V0a +p5200 +tp5201 +a(g900 +g958 +tp5202 +a(g548 +V20 +p5203 +tp5204 +a(g548 +V20 +p5205 +tp5206 +a(g900 +g958 +tp5207 +a(g548 +V73 +p5208 +tp5209 +a(g548 +V20 +p5210 +tp5211 +a(g900 +g958 +tp5212 +a(g548 +V72 +p5213 +tp5214 +a(g548 +V74 +p5215 +tp5216 +a(g900 +g958 +tp5217 +a(g548 +V6e +p5218 +tp5219 +a(g548 +V69 +p5220 +tp5221 +a(g900 +g958 +tp5222 +a(g548 +V20 +p5223 +tp5224 +a(g548 +V67 +p5225 +tp5226 +a(g900 +V\u000a +p5227 +tp5228 +a(g8 +V0000620 +p5229 +tp5230 +a(g900 +g958 +tp5231 +a(g548 +V65 +p5232 +tp5233 +a(g548 +V72 +p5234 +tp5235 +a(g900 +g958 +tp5236 +a(g548 +V3b +p5237 +tp5238 +a(g548 +V74 +p5239 +tp5240 +a(g900 +g958 +tp5241 +a(g548 +V20 +p5242 +tp5243 +a(g548 +V0a +p5244 +tp5245 +a(g900 +g958 +tp5246 +a(g548 +V20 +p5247 +tp5248 +a(g548 +V20 +p5249 +tp5250 +a(g900 +g958 +tp5251 +a(g548 +V66 +p5252 +tp5253 +a(g548 +V20 +p5254 +tp5255 +a(g900 +g958 +tp5256 +a(g548 +V72 +p5257 +tp5258 +a(g548 +V6f +p5259 +tp5260 +a(g900 +g958 +tp5261 +a(g548 +V61 +p5262 +tp5263 +a(g548 +V65 +p5264 +tp5265 +a(g900 +g958 +tp5266 +a(g548 +V68 +p5267 +tp5268 +a(g548 +V63 +p5269 +tp5270 +a(g900 +V\u000a +p5271 +tp5272 +a(g8 +V0000630 +p5273 +tp5274 +a(g900 +g958 +tp5275 +a(g548 +V63 +p5276 +tp5277 +a(g548 +V28 +p5278 +tp5279 +a(g900 +g958 +tp5280 +a(g548 +V20 +p5281 +tp5282 +a(g548 +V3b +p5283 +tp5284 +a(g900 +g958 +tp5285 +a(g548 +V61 +p5286 +tp5287 +a(g548 +V63 +p5288 +tp5289 +a(g900 +g958 +tp5290 +a(g548 +V74 +p5291 +tp5292 +a(g548 +V73 +p5293 +tp5294 +a(g900 +g958 +tp5295 +a(g548 +V75 +p5296 +tp5297 +a(g548 +V28 +p5298 +tp5299 +a(g900 +g958 +tp5300 +a(g548 +V79 +p5301 +tp5302 +a(g548 +V62 +p5303 +tp5304 +a(g900 +g958 +tp5305 +a(g548 +V65 +p5306 +tp5307 +a(g548 +V74 +p5308 +tp5309 +a(g900 +g958 +tp5310 +a(g548 +V5d +p5311 +tp5312 +a(g548 +V5b +p5313 +tp5314 +a(g900 +V\u000a +p5315 +tp5316 +a(g8 +V0000640 +p5317 +tp5318 +a(g900 +g958 +tp5319 +a(g548 +V77 +p5320 +tp5321 +a(g548 +V29 +p5322 +tp5323 +a(g900 +g958 +tp5324 +a(g548 +V72 +p5325 +tp5326 +a(g548 +V6f +p5327 +tp5328 +a(g900 +g958 +tp5329 +a(g548 +V29 +p5330 +tp5331 +a(g548 +V64 +p5332 +tp5333 +a(g900 +g958 +tp5334 +a(g548 +V20 +p5335 +tp5336 +a(g548 +V0a +p5337 +tp5338 +a(g900 +g958 +tp5339 +a(g548 +V20 +p5340 +tp5341 +a(g548 +V20 +p5342 +tp5343 +a(g900 +g958 +tp5344 +a(g548 +V20 +p5345 +tp5346 +a(g548 +V20 +p5347 +tp5348 +a(g900 +g958 +tp5349 +a(g548 +V20 +p5350 +tp5351 +a(g548 +V20 +p5352 +tp5353 +a(g900 +g958 +tp5354 +a(g548 +V69 +p5355 +tp5356 +a(g548 +V20 +p5357 +tp5358 +a(g900 +V\u000a +p5359 +tp5360 +a(g8 +V0000650 +p5361 +tp5362 +a(g900 +g958 +tp5363 +a(g548 +V20 +p5364 +tp5365 +a(g548 +V66 +p5366 +tp5367 +a(g900 +g958 +tp5368 +a(g548 +V54 +p5369 +tp5370 +a(g548 +V28 +p5371 +tp5372 +a(g900 +g958 +tp5373 +a(g548 +V41 +p5374 +tp5375 +a(g548 +V52 +p5376 +tp5377 +a(g900 +g958 +tp5378 +a(g548 +V53 +p5379 +tp5380 +a(g548 +V4e +p5381 +tp5382 +a(g900 +g958 +tp5383 +a(g548 +V41 +p5384 +tp5385 +a(g548 +V4c +p5386 +tp5387 +a(g900 +g958 +tp5388 +a(g548 +V45 +p5389 +tp5390 +a(g548 +V54 +p5391 +tp5392 +a(g900 +g958 +tp5393 +a(g548 +V63 +p5394 +tp5395 +a(g548 +V5b +p5396 +tp5397 +a(g900 +g958 +tp5398 +a(g548 +V20 +p5399 +tp5400 +a(g548 +V5d +p5401 +tp5402 +a(g900 +V\u000a +p5403 +tp5404 +a(g8 +V0000660 +p5405 +tp5406 +a(g900 +g958 +tp5407 +a(g548 +V3d +p5408 +tp5409 +a(g548 +V21 +p5410 +tp5411 +a(g900 +g958 +tp5412 +a(g548 +V27 +p5413 +tp5414 +a(g548 +V20 +p5415 +tp5416 +a(g900 +g958 +tp5417 +a(g548 +V27 +p5418 +tp5419 +a(g548 +V20 +p5420 +tp5421 +a(g900 +g958 +tp5422 +a(g548 +V0a +p5423 +tp5424 +a(g548 +V29 +p5425 +tp5426 +a(g900 +g958 +tp5427 +a(g548 +V20 +p5428 +tp5429 +a(g548 +V20 +p5430 +tp5431 +a(g900 +g958 +tp5432 +a(g548 +V20 +p5433 +tp5434 +a(g548 +V20 +p5435 +tp5436 +a(g900 +g958 +tp5437 +a(g548 +V20 +p5438 +tp5439 +a(g548 +V20 +p5440 +tp5441 +a(g900 +g958 +tp5442 +a(g548 +V20 +p5443 +tp5444 +a(g548 +V20 +p5445 +tp5446 +a(g900 +V\u000a +p5447 +tp5448 +a(g8 +V0000670 +p5449 +tp5450 +a(g900 +g958 +tp5451 +a(g548 +V20 +p5452 +tp5453 +a(g548 +V20 +p5454 +tp5455 +a(g900 +g958 +tp5456 +a(g548 +V20 +p5457 +tp5458 +a(g548 +V20 +p5459 +tp5460 +a(g900 +g958 +tp5461 +a(g548 +V65 +p5462 +tp5463 +a(g548 +V72 +p5464 +tp5465 +a(g900 +g958 +tp5466 +a(g548 +V20 +p5467 +tp5468 +a(g548 +V74 +p5469 +tp5470 +a(g900 +g958 +tp5471 +a(g548 +V3d +p5472 +tp5473 +a(g548 +V7e +p5474 +tp5475 +a(g900 +g958 +tp5476 +a(g548 +V54 +p5477 +tp5478 +a(g548 +V20 +p5479 +tp5480 +a(g900 +g958 +tp5481 +a(g548 +V41 +p5482 +tp5483 +a(g548 +V52 +p5484 +tp5485 +a(g900 +g958 +tp5486 +a(g548 +V53 +p5487 +tp5488 +a(g548 +V4e +p5489 +tp5490 +a(g900 +V\u000a +p5491 +tp5492 +a(g8 +V0000680 +p5493 +tp5494 +a(g900 +g958 +tp5495 +a(g548 +V41 +p5496 +tp5497 +a(g548 +V4c +p5498 +tp5499 +a(g900 +g958 +tp5500 +a(g548 +V45 +p5501 +tp5502 +a(g548 +V54 +p5503 +tp5504 +a(g900 +g958 +tp5505 +a(g548 +V63 +p5506 +tp5507 +a(g548 +V5b +p5508 +tp5509 +a(g900 +g958 +tp5510 +a(g548 +V3b +p5511 +tp5512 +a(g548 +V5d +p5513 +tp5514 +a(g900 +g958 +tp5515 +a(g548 +V20 +p5516 +tp5517 +a(g548 +V0a +p5518 +tp5519 +a(g900 +g958 +tp5520 +a(g548 +V20 +p5521 +tp5522 +a(g548 +V20 +p5523 +tp5524 +a(g900 +g958 +tp5525 +a(g548 +V72 +p5526 +tp5527 +a(g548 +V20 +p5528 +tp5529 +a(g900 +g958 +tp5530 +a(g548 +V74 +p5531 +tp5532 +a(g548 +V65 +p5533 +tp5534 +a(g900 +V\u000a +p5535 +tp5536 +a(g8 +V0000690 +p5537 +tp5538 +a(g900 +g958 +tp5539 +a(g548 +V72 +p5540 +tp5541 +a(g548 +V75 +p5542 +tp5543 +a(g900 +g958 +tp5544 +a(g548 +V20 +p5545 +tp5546 +a(g548 +V6e +p5547 +tp5548 +a(g900 +g958 +tp5549 +a(g548 +V65 +p5550 +tp5551 +a(g548 +V72 +p5552 +tp5553 +a(g900 +g958 +tp5554 +a(g548 +V3b +p5555 +tp5556 +a(g548 +V74 +p5557 +tp5558 +a(g900 +g958 +tp5559 +a(g548 +V7d +p5560 +tp5561 +a(g548 +V0a +p5562 +tp5563 +a(g900 +g958 +tp5564 +a(g548 +V0a +p5565 +tp5566 +a(g548 +V0a +p5567 +tp5568 +a(g900 +g958 +tp5569 +a(g548 +V6e +p5570 +tp5571 +a(g548 +V75 +p5572 +tp5573 +a(g900 +g958 +tp5574 +a(g548 +V74 +p5575 +tp5576 +a(g548 +V69 +p5577 +tp5578 +a(g900 +V\u000a +p5579 +tp5580 +a(g8 +V00006a0 +p5581 +tp5582 +a(g900 +g958 +tp5583 +a(g548 +V65 +p5584 +tp5585 +a(g548 +V74 +p5586 +tp5587 +a(g900 +g958 +tp5588 +a(g548 +V74 +p5589 +tp5590 +a(g548 +V73 +p5591 +tp5592 +a(g900 +g958 +tp5593 +a(g548 +V7b +p5594 +tp5595 +a(g548 +V20 +p5596 +tp5597 +a(g900 +g958 +tp5598 +a(g548 +V20 +p5599 +tp5600 +a(g548 +V0a +p5601 +tp5602 +a(g900 +g958 +tp5603 +a(g548 +V2f +p5604 +tp5605 +a(g548 +V2f +p5606 +tp5607 +a(g900 +g958 +tp5608 +a(g548 +V54 +p5609 +tp5610 +a(g548 +V20 +p5611 +tp5612 +a(g900 +g958 +tp5613 +a(g548 +V73 +p5614 +tp5615 +a(g548 +V65 +p5616 +tp5617 +a(g900 +g958 +tp5618 +a(g548 +V20 +p5619 +tp5620 +a(g548 +V74 +p5621 +tp5622 +a(g900 +V\u000a +p5623 +tp5624 +a(g8 +V00006b0 +p5625 +tp5626 +a(g900 +g958 +tp5627 +a(g548 +V6f +p5628 +tp5629 +a(g548 +V77 +p5630 +tp5631 +a(g900 +g958 +tp5632 +a(g548 +V64 +p5633 +tp5634 +a(g548 +V72 +p5635 +tp5636 +a(g900 +g958 +tp5637 +a(g548 +V6f +p5638 +tp5639 +a(g548 +V54 +p5640 +tp5641 +a(g900 +g958 +tp5642 +a(g548 +V75 +p5643 +tp5644 +a(g548 +V4e +p5645 +tp5646 +a(g900 +g958 +tp5647 +a(g548 +V20 +p5648 +tp5649 +a(g548 +V6d +p5650 +tp5651 +a(g900 +g958 +tp5652 +a(g548 +V73 +p5653 +tp5654 +a(g548 +V75 +p5655 +tp5656 +a(g900 +g958 +tp5657 +a(g548 +V6e +p5658 +tp5659 +a(g548 +V69 +p5660 +tp5661 +a(g900 +g958 +tp5662 +a(g548 +V20 +p5663 +tp5664 +a(g548 +V67 +p5665 +tp5666 +a(g900 +V\u000a +p5667 +tp5668 +a(g8 +V00006c0 +p5669 +tp5670 +a(g900 +g958 +tp5671 +a(g548 +V68 +p5672 +tp5673 +a(g548 +V74 +p5674 +tp5675 +a(g900 +g958 +tp5676 +a(g548 +V20 +p5677 +tp5678 +a(g548 +V65 +p5679 +tp5680 +a(g900 +g958 +tp5681 +a(g548 +V61 +p5682 +tp5683 +a(g548 +V74 +p5684 +tp5685 +a(g900 +g958 +tp5686 +a(g548 +V6c +p5687 +tp5688 +a(g548 +V62 +p5689 +tp5690 +a(g900 +g958 +tp5691 +a(g548 +V20 +p5692 +tp5693 +a(g548 +V65 +p5694 +tp5695 +a(g900 +g958 +tp5696 +a(g548 +V72 +p5697 +tp5698 +a(g548 +V66 +p5699 +tp5700 +a(g900 +g958 +tp5701 +a(g548 +V6d +p5702 +tp5703 +a(g548 +V6f +p5704 +tp5705 +a(g900 +g958 +tp5706 +a(g548 +V74 +p5707 +tp5708 +a(g548 +V20 +p5709 +tp5710 +a(g900 +V\u000a +p5711 +tp5712 +a(g8 +V00006d0 +p5713 +tp5714 +a(g900 +g958 +tp5715 +a(g548 +V65 +p5716 +tp5717 +a(g548 +V68 +p5718 +tp5719 +a(g900 +g958 +tp5720 +a(g548 +V74 +p5721 +tp5722 +a(g548 +V20 +p5723 +tp5724 +a(g900 +g958 +tp5725 +a(g548 +V73 +p5726 +tp5727 +a(g548 +V61 +p5728 +tp5729 +a(g900 +g958 +tp5730 +a(g548 +V20 +p5731 +tp5732 +a(g548 +V6b +p5733 +tp5734 +a(g900 +g958 +tp5735 +a(g548 +V65 +p5736 +tp5737 +a(g548 +V64 +p5738 +tp5739 +a(g900 +g958 +tp5740 +a(g548 +V63 +p5741 +tp5742 +a(g548 +V73 +p5743 +tp5744 +a(g900 +g958 +tp5745 +a(g548 +V69 +p5746 +tp5747 +a(g548 +V72 +p5748 +tp5749 +a(g900 +g958 +tp5750 +a(g548 +V74 +p5751 +tp5752 +a(g548 +V70 +p5753 +tp5754 +a(g900 +V\u000a +p5755 +tp5756 +a(g8 +V00006e0 +p5757 +tp5758 +a(g900 +g958 +tp5759 +a(g548 +V6f +p5760 +tp5761 +a(g548 +V69 +p5762 +tp5763 +a(g900 +g958 +tp5764 +a(g548 +V2e +p5765 +tp5766 +a(g548 +V6e +p5767 +tp5768 +a(g900 +g958 +tp5769 +a(g548 +V20 +p5770 +tp5771 +a(g548 +V0a +p5772 +tp5773 +a(g900 +g958 +tp5774 +a(g548 +V73 +p5775 +tp5776 +a(g548 +V61 +p5777 +tp5778 +a(g900 +g958 +tp5779 +a(g548 +V65 +p5780 +tp5781 +a(g548 +V73 +p5782 +tp5783 +a(g900 +g958 +tp5784 +a(g548 +V74 +p5785 +tp5786 +a(g548 +V72 +p5787 +tp5788 +a(g900 +g958 +tp5789 +a(g548 +V20 +p5790 +tp5791 +a(g548 +V28 +p5792 +tp5793 +a(g900 +g958 +tp5794 +a(g548 +V30 +p5795 +tp5796 +a(g548 +V22 +p5797 +tp5798 +a(g900 +V\u000a +p5799 +tp5800 +a(g8 +V00006f0 +p5801 +tp5802 +a(g900 +g958 +tp5803 +a(g548 +V31 +p5804 +tp5805 +a(g548 +V31 +p5806 +tp5807 +a(g900 +g958 +tp5808 +a(g548 +V32 +p5809 +tp5810 +a(g548 +V31 +p5811 +tp5812 +a(g900 +g958 +tp5813 +a(g548 +V32 +p5814 +tp5815 +a(g548 +V32 +p5816 +tp5817 +a(g900 +g958 +tp5818 +a(g548 +V33 +p5819 +tp5820 +a(g548 +V33 +p5821 +tp5822 +a(g900 +g958 +tp5823 +a(g548 +V34 +p5824 +tp5825 +a(g548 +V33 +p5826 +tp5827 +a(g900 +g958 +tp5828 +a(g548 +V35 +p5829 +tp5830 +a(g548 +V34 +p5831 +tp5832 +a(g900 +g958 +tp5833 +a(g548 +V36 +p5834 +tp5835 +a(g548 +V35 +p5836 +tp5837 +a(g900 +g958 +tp5838 +a(g548 +V36 +p5839 +tp5840 +a(g548 +V36 +p5841 +tp5842 +a(g900 +V\u000a +p5843 +tp5844 +a(g8 +V0000700 +p5845 +tp5846 +a(g900 +g958 +tp5847 +a(g548 +V37 +p5848 +tp5849 +a(g548 +V37 +p5850 +tp5851 +a(g900 +g958 +tp5852 +a(g548 +V38 +p5853 +tp5854 +a(g548 +V37 +p5855 +tp5856 +a(g900 +g958 +tp5857 +a(g548 +V38 +p5858 +tp5859 +a(g548 +V38 +p5860 +tp5861 +a(g900 +g958 +tp5862 +a(g548 +V39 +p5863 +tp5864 +a(g548 +V39 +p5865 +tp5866 +a(g900 +g958 +tp5867 +a(g548 +V22 +p5868 +tp5869 +a(g548 +V39 +p5870 +tp5871 +a(g900 +g958 +tp5872 +a(g548 +V3d +p5873 +tp5874 +a(g548 +V20 +p5875 +tp5876 +a(g900 +g958 +tp5877 +a(g548 +V0a +p5878 +tp5879 +a(g548 +V3d +p5880 +tp5881 +a(g900 +g958 +tp5882 +a(g548 +V20 +p5883 +tp5884 +a(g548 +V20 +p5885 +tp5886 +a(g900 +V\u000a +p5887 +tp5888 +a(g8 +V0000710 +p5889 +tp5890 +a(g900 +g958 +tp5891 +a(g548 +V77 +p5892 +tp5893 +a(g548 +V20 +p5894 +tp5895 +a(g900 +g958 +tp5896 +a(g548 +V72 +p5897 +tp5898 +a(g548 +V6f +p5899 +tp5900 +a(g900 +g958 +tp5901 +a(g548 +V54 +p5902 +tp5903 +a(g548 +V64 +p5904 +tp5905 +a(g900 +g958 +tp5906 +a(g548 +V4e +p5907 +tp5908 +a(g548 +V6f +p5909 +tp5910 +a(g900 +g958 +tp5911 +a(g548 +V6d +p5912 +tp5913 +a(g548 +V75 +p5914 +tp5915 +a(g900 +g958 +tp5916 +a(g548 +V22 +p5917 +tp5918 +a(g548 +V28 +p5919 +tp5920 +a(g900 +g958 +tp5921 +a(g548 +V20 +p5922 +tp5923 +a(g548 +V45 +p5924 +tp5925 +a(g900 +g958 +tp5926 +a(g548 +V20 +p5927 +tp5928 +a(g548 +V7c +p5929 +tp5930 +a(g900 +V\u000a +p5931 +tp5932 +a(g8 +V0000720 +p5933 +tp5934 +a(g900 +g958 +tp5935 +a(g548 +V20 +p5936 +tp5937 +a(g548 +V4a +p5938 +tp5939 +a(g900 +g958 +tp5940 +a(g548 +V20 +p5941 +tp5942 +a(g548 +V4e +p5943 +tp5944 +a(g900 +g958 +tp5945 +a(g548 +V20 +p5946 +tp5947 +a(g548 +V51 +p5948 +tp5949 +a(g900 +g958 +tp5950 +a(g548 +V20 +p5951 +tp5952 +a(g548 +V7c +p5953 +tp5954 +a(g900 +g958 +tp5955 +a(g548 +V20 +p5956 +tp5957 +a(g548 +V52 +p5958 +tp5959 +a(g900 +g958 +tp5960 +a(g548 +V20 +p5961 +tp5962 +a(g548 +V57 +p5963 +tp5964 +a(g900 +g958 +tp5965 +a(g548 +V20 +p5966 +tp5967 +a(g548 +V58 +p5968 +tp5969 +a(g900 +g958 +tp5970 +a(g548 +V20 +p5971 +tp5972 +a(g548 +V7c +p5973 +tp5974 +a(g900 +V\u000a +p5975 +tp5976 +a(g8 +V0000730 +p5977 +tp5978 +a(g900 +g958 +tp5979 +a(g548 +V20 +p5980 +tp5981 +a(g548 +V44 +p5982 +tp5983 +a(g900 +g958 +tp5984 +a(g548 +V20 +p5985 +tp5986 +a(g548 +V53 +p5987 +tp5988 +a(g900 +g958 +tp5989 +a(g548 +V20 +p5990 +tp5991 +a(g548 +V59 +p5992 +tp5993 +a(g900 +g958 +tp5994 +a(g548 +V20 +p5995 +tp5996 +a(g548 +V7c +p5997 +tp5998 +a(g900 +g958 +tp5999 +a(g548 +V20 +p6000 +tp6001 +a(g548 +V46 +p6002 +tp6003 +a(g900 +g958 +tp6004 +a(g548 +V20 +p6005 +tp6006 +a(g548 +V54 +p6007 +tp6008 +a(g900 +g958 +tp6009 +a(g548 +V20 +p6010 +tp6011 +a(g548 +V7c +p6012 +tp6013 +a(g900 +g958 +tp6014 +a(g548 +V20 +p6015 +tp6016 +a(g548 +V41 +p6017 +tp6018 +a(g900 +V\u000a +p6019 +tp6020 +a(g8 +V0000740 +p6021 +tp6022 +a(g900 +g958 +tp6023 +a(g548 +V20 +p6024 +tp6025 +a(g548 +V4d +p6026 +tp6027 +a(g900 +g958 +tp6028 +a(g548 +V20 +p6029 +tp6030 +a(g548 +V7c +p6031 +tp6032 +a(g900 +g958 +tp6033 +a(g548 +V20 +p6034 +tp6035 +a(g548 +V43 +p6036 +tp6037 +a(g900 +g958 +tp6038 +a(g548 +V20 +p6039 +tp6040 +a(g548 +V49 +p6041 +tp6042 +a(g900 +g958 +tp6043 +a(g548 +V20 +p6044 +tp6045 +a(g548 +V56 +p6046 +tp6047 +a(g900 +g958 +tp6048 +a(g548 +V20 +p6049 +tp6050 +a(g548 +V7c +p6051 +tp6052 +a(g900 +g958 +tp6053 +a(g548 +V20 +p6054 +tp6055 +a(g548 +V42 +p6056 +tp6057 +a(g900 +g958 +tp6058 +a(g548 +V20 +p6059 +tp6060 +a(g548 +V4b +p6061 +tp6062 +a(g900 +V\u000a +p6063 +tp6064 +a(g8 +V0000750 +p6065 +tp6066 +a(g900 +g958 +tp6067 +a(g548 +V20 +p6068 +tp6069 +a(g548 +V55 +p6070 +tp6071 +a(g900 +g958 +tp6072 +a(g548 +V20 +p6073 +tp6074 +a(g548 +V7c +p6075 +tp6076 +a(g900 +g958 +tp6077 +a(g548 +V20 +p6078 +tp6079 +a(g548 +V4c +p6080 +tp6081 +a(g900 +g958 +tp6082 +a(g548 +V20 +p6083 +tp6084 +a(g548 +V4f +p6085 +tp6086 +a(g900 +g958 +tp6087 +a(g548 +V20 +p6088 +tp6089 +a(g548 +V50 +p6090 +tp6091 +a(g900 +g958 +tp6092 +a(g548 +V20 +p6093 +tp6094 +a(g548 +V7c +p6095 +tp6096 +a(g900 +g958 +tp6097 +a(g548 +V20 +p6098 +tp6099 +a(g548 +V47 +p6100 +tp6101 +a(g900 +g958 +tp6102 +a(g548 +V20 +p6103 +tp6104 +a(g548 +V48 +p6105 +tp6106 +a(g900 +V\u000a +p6107 +tp6108 +a(g8 +V0000760 +p6109 +tp6110 +a(g900 +g958 +tp6111 +a(g548 +V22 +p6112 +tp6113 +a(g548 +V5a +p6114 +tp6115 +a(g900 +g958 +tp6116 +a(g548 +V29 +p6117 +tp6118 +a(g548 +V29 +p6119 +tp6120 +a(g900 +g958 +tp6121 +a(g548 +V0a +p6122 +tp6123 +a(g548 +V3b +p6124 +tp6125 +a(g900 +g958 +tp6126 +a(g548 +V61 +p6127 +tp6128 +a(g548 +V20 +p6129 +tp6130 +a(g900 +g958 +tp6131 +a(g548 +V73 +p6132 +tp6133 +a(g548 +V73 +p6134 +tp6135 +a(g900 +g958 +tp6136 +a(g548 +V72 +p6137 +tp6138 +a(g548 +V65 +p6139 +tp6140 +a(g900 +g958 +tp6141 +a(g548 +V28 +p6142 +tp6143 +a(g548 +V74 +p6144 +tp6145 +a(g900 +g958 +tp6146 +a(g548 +V22 +p6147 +tp6148 +a(g548 +V20 +p6149 +tp6150 +a(g900 +V\u000a +p6151 +tp6152 +a(g8 +V0000770 +p6153 +tp6154 +a(g900 +g958 +tp6155 +a(g548 +V31 +p6156 +tp6157 +a(g548 +V30 +p6158 +tp6159 +a(g900 +g958 +tp6160 +a(g548 +V31 +p6161 +tp6162 +a(g548 +V31 +p6163 +tp6164 +a(g900 +g958 +tp6165 +a(g548 +V32 +p6166 +tp6167 +a(g548 +V32 +p6168 +tp6169 +a(g900 +g958 +tp6170 +a(g548 +V33 +p6171 +tp6172 +a(g548 +V32 +p6173 +tp6174 +a(g900 +g958 +tp6175 +a(g548 +V33 +p6176 +tp6177 +a(g548 +V33 +p6178 +tp6179 +a(g900 +g958 +tp6180 +a(g548 +V34 +p6181 +tp6182 +a(g548 +V34 +p6183 +tp6184 +a(g900 +g958 +tp6185 +a(g548 +V35 +p6186 +tp6187 +a(g548 +V35 +p6188 +tp6189 +a(g900 +g958 +tp6190 +a(g548 +V36 +p6191 +tp6192 +a(g548 +V36 +p6193 +tp6194 +a(g900 +V\u000a +p6195 +tp6196 +a(g8 +V0000780 +p6197 +tp6198 +a(g900 +g958 +tp6199 +a(g548 +V37 +p6200 +tp6201 +a(g548 +V36 +p6202 +tp6203 +a(g900 +g958 +tp6204 +a(g548 +V37 +p6205 +tp6206 +a(g548 +V37 +p6207 +tp6208 +a(g900 +g958 +tp6209 +a(g548 +V38 +p6210 +tp6211 +a(g548 +V38 +p6212 +tp6213 +a(g900 +g958 +tp6214 +a(g548 +V39 +p6215 +tp6216 +a(g548 +V38 +p6217 +tp6218 +a(g900 +g958 +tp6219 +a(g548 +V39 +p6220 +tp6221 +a(g548 +V39 +p6222 +tp6223 +a(g900 +g958 +tp6224 +a(g548 +V20 +p6225 +tp6226 +a(g548 +V22 +p6227 +tp6228 +a(g900 +g958 +tp6229 +a(g548 +V3d +p6230 +tp6231 +a(g548 +V3d +p6232 +tp6233 +a(g900 +g958 +tp6234 +a(g548 +V0a +p6235 +tp6236 +a(g548 +V20 +p6237 +tp6238 +a(g900 +V\u000a +p6239 +tp6240 +a(g8 +V0000790 +p6241 +tp6242 +a(g900 +g958 +tp6243 +a(g548 +V20 +p6244 +tp6245 +a(g548 +V20 +p6246 +tp6247 +a(g900 +g958 +tp6248 +a(g548 +V77 +p6249 +tp6250 +a(g548 +V20 +p6251 +tp6252 +a(g900 +g958 +tp6253 +a(g548 +V72 +p6254 +tp6255 +a(g548 +V6f +p6256 +tp6257 +a(g900 +g958 +tp6258 +a(g548 +V54 +p6259 +tp6260 +a(g548 +V64 +p6261 +tp6262 +a(g900 +g958 +tp6263 +a(g548 +V4e +p6264 +tp6265 +a(g548 +V6f +p6266 +tp6267 +a(g900 +g958 +tp6268 +a(g548 +V6d +p6269 +tp6270 +a(g548 +V75 +p6271 +tp6272 +a(g900 +g958 +tp6273 +a(g548 +V22 +p6274 +tp6275 +a(g548 +V28 +p6276 +tp6277 +a(g900 +g958 +tp6278 +a(g548 +V20 +p6279 +tp6280 +a(g548 +V65 +p6281 +tp6282 +a(g900 +V\u000a +p6283 +tp6284 +a(g8 +V00007a0 +p6285 +tp6286 +a(g900 +g958 +tp6287 +a(g548 +V20 +p6288 +tp6289 +a(g548 +V7c +p6290 +tp6291 +a(g900 +g958 +tp6292 +a(g548 +V20 +p6293 +tp6294 +a(g548 +V6a +p6295 +tp6296 +a(g900 +g958 +tp6297 +a(g548 +V20 +p6298 +tp6299 +a(g548 +V6e +p6300 +tp6301 +a(g900 +g958 +tp6302 +a(g548 +V20 +p6303 +tp6304 +a(g548 +V71 +p6305 +tp6306 +a(g900 +g958 +tp6307 +a(g548 +V20 +p6308 +tp6309 +a(g548 +V7c +p6310 +tp6311 +a(g900 +g958 +tp6312 +a(g548 +V20 +p6313 +tp6314 +a(g548 +V72 +p6315 +tp6316 +a(g900 +g958 +tp6317 +a(g548 +V20 +p6318 +tp6319 +a(g548 +V77 +p6320 +tp6321 +a(g900 +g958 +tp6322 +a(g548 +V20 +p6323 +tp6324 +a(g548 +V78 +p6325 +tp6326 +a(g900 +V\u000a +p6327 +tp6328 +a(g8 +V00007b0 +p6329 +tp6330 +a(g900 +g958 +tp6331 +a(g548 +V20 +p6332 +tp6333 +a(g548 +V7c +p6334 +tp6335 +a(g900 +g958 +tp6336 +a(g548 +V20 +p6337 +tp6338 +a(g548 +V64 +p6339 +tp6340 +a(g900 +g958 +tp6341 +a(g548 +V20 +p6342 +tp6343 +a(g548 +V73 +p6344 +tp6345 +a(g900 +g958 +tp6346 +a(g548 +V20 +p6347 +tp6348 +a(g548 +V79 +p6349 +tp6350 +a(g900 +g958 +tp6351 +a(g548 +V20 +p6352 +tp6353 +a(g548 +V7c +p6354 +tp6355 +a(g900 +g958 +tp6356 +a(g548 +V20 +p6357 +tp6358 +a(g548 +V66 +p6359 +tp6360 +a(g900 +g958 +tp6361 +a(g548 +V20 +p6362 +tp6363 +a(g548 +V74 +p6364 +tp6365 +a(g900 +g958 +tp6366 +a(g548 +V20 +p6367 +tp6368 +a(g548 +V7c +p6369 +tp6370 +a(g900 +V\u000a +p6371 +tp6372 +a(g8 +V00007c0 +p6373 +tp6374 +a(g900 +g958 +tp6375 +a(g548 +V20 +p6376 +tp6377 +a(g548 +V61 +p6378 +tp6379 +a(g900 +g958 +tp6380 +a(g548 +V20 +p6381 +tp6382 +a(g548 +V6d +p6383 +tp6384 +a(g900 +g958 +tp6385 +a(g548 +V20 +p6386 +tp6387 +a(g548 +V7c +p6388 +tp6389 +a(g900 +g958 +tp6390 +a(g548 +V20 +p6391 +tp6392 +a(g548 +V63 +p6393 +tp6394 +a(g900 +g958 +tp6395 +a(g548 +V20 +p6396 +tp6397 +a(g548 +V69 +p6398 +tp6399 +a(g900 +g958 +tp6400 +a(g548 +V20 +p6401 +tp6402 +a(g548 +V76 +p6403 +tp6404 +a(g900 +g958 +tp6405 +a(g548 +V20 +p6406 +tp6407 +a(g548 +V7c +p6408 +tp6409 +a(g900 +g958 +tp6410 +a(g548 +V20 +p6411 +tp6412 +a(g548 +V62 +p6413 +tp6414 +a(g900 +V\u000a +p6415 +tp6416 +a(g8 +V00007d0 +p6417 +tp6418 +a(g900 +g958 +tp6419 +a(g548 +V20 +p6420 +tp6421 +a(g548 +V6b +p6422 +tp6423 +a(g900 +g958 +tp6424 +a(g548 +V20 +p6425 +tp6426 +a(g548 +V75 +p6427 +tp6428 +a(g900 +g958 +tp6429 +a(g548 +V20 +p6430 +tp6431 +a(g548 +V7c +p6432 +tp6433 +a(g900 +g958 +tp6434 +a(g548 +V20 +p6435 +tp6436 +a(g548 +V6c +p6437 +tp6438 +a(g900 +g958 +tp6439 +a(g548 +V20 +p6440 +tp6441 +a(g548 +V6f +p6442 +tp6443 +a(g900 +g958 +tp6444 +a(g548 +V20 +p6445 +tp6446 +a(g548 +V70 +p6447 +tp6448 +a(g900 +g958 +tp6449 +a(g548 +V20 +p6450 +tp6451 +a(g548 +V7c +p6452 +tp6453 +a(g900 +g958 +tp6454 +a(g548 +V20 +p6455 +tp6456 +a(g548 +V67 +p6457 +tp6458 +a(g900 +V\u000a +p6459 +tp6460 +a(g8 +V00007e0 +p6461 +tp6462 +a(g900 +g958 +tp6463 +a(g548 +V20 +p6464 +tp6465 +a(g548 +V68 +p6466 +tp6467 +a(g900 +g958 +tp6468 +a(g548 +V22 +p6469 +tp6470 +a(g548 +V7a +p6471 +tp6472 +a(g900 +g958 +tp6473 +a(g548 +V29 +p6474 +tp6475 +a(g548 +V29 +p6476 +tp6477 +a(g900 +g958 +tp6478 +a(g548 +V0a +p6479 +tp6480 +a(g548 +V3b +p6481 +tp6482 +a(g900 +g958 +tp6483 +a(g548 +V61 +p6484 +tp6485 +a(g548 +V20 +p6486 +tp6487 +a(g900 +g958 +tp6488 +a(g548 +V73 +p6489 +tp6490 +a(g548 +V73 +p6491 +tp6492 +a(g900 +g958 +tp6493 +a(g548 +V72 +p6494 +tp6495 +a(g548 +V65 +p6496 +tp6497 +a(g900 +g958 +tp6498 +a(g548 +V28 +p6499 +tp6500 +a(g548 +V74 +p6501 +tp6502 +a(g900 +V\u000a +p6503 +tp6504 +a(g8 +V00007f0 +p6505 +tp6506 +a(g900 +g958 +tp6507 +a(g548 +V22 +p6508 +tp6509 +a(g548 +V20 +p6510 +tp6511 +a(g900 +g958 +tp6512 +a(g548 +V31 +p6513 +tp6514 +a(g548 +V30 +p6515 +tp6516 +a(g900 +g958 +tp6517 +a(g548 +V33 +p6518 +tp6519 +a(g548 +V32 +p6520 +tp6521 +a(g900 +g958 +tp6522 +a(g548 +V35 +p6523 +tp6524 +a(g548 +V34 +p6525 +tp6526 +a(g900 +g958 +tp6527 +a(g548 +V37 +p6528 +tp6529 +a(g548 +V36 +p6530 +tp6531 +a(g900 +g958 +tp6532 +a(g548 +V39 +p6533 +tp6534 +a(g548 +V38 +p6535 +tp6536 +a(g900 +g958 +tp6537 +a(g548 +V20 +p6538 +tp6539 +a(g548 +V22 +p6540 +tp6541 +a(g900 +g958 +tp6542 +a(g548 +V3d +p6543 +tp6544 +a(g548 +V3d +p6545 +tp6546 +a(g900 +V\u000a +p6547 +tp6548 +a(g8 +V0000800 +p6549 +tp6550 +a(g900 +g958 +tp6551 +a(g548 +V0a +p6552 +tp6553 +a(g548 +V20 +p6554 +tp6555 +a(g900 +g958 +tp6556 +a(g548 +V20 +p6557 +tp6558 +a(g548 +V20 +p6559 +tp6560 +a(g900 +g958 +tp6561 +a(g548 +V77 +p6562 +tp6563 +a(g548 +V20 +p6564 +tp6565 +a(g900 +g958 +tp6566 +a(g548 +V72 +p6567 +tp6568 +a(g548 +V6f +p6569 +tp6570 +a(g900 +g958 +tp6571 +a(g548 +V54 +p6572 +tp6573 +a(g548 +V64 +p6574 +tp6575 +a(g900 +g958 +tp6576 +a(g548 +V4e +p6577 +tp6578 +a(g548 +V6f +p6579 +tp6580 +a(g900 +g958 +tp6581 +a(g548 +V6d +p6582 +tp6583 +a(g548 +V75 +p6584 +tp6585 +a(g900 +g958 +tp6586 +a(g548 +V22 +p6587 +tp6588 +a(g548 +V28 +p6589 +tp6590 +a(g900 +V\u000a +p6591 +tp6592 +a(g8 +V0000810 +p6593 +tp6594 +a(g900 +g958 +tp6595 +a(g548 +V20 +p6596 +tp6597 +a(g548 +V30 +p6598 +tp6599 +a(g900 +g958 +tp6600 +a(g548 +V20 +p6601 +tp6602 +a(g548 +V7c +p6603 +tp6604 +a(g900 +g958 +tp6605 +a(g548 +V20 +p6606 +tp6607 +a(g548 +V20 +p6608 +tp6609 +a(g900 +g958 +tp6610 +a(g548 +V20 +p6611 +tp6612 +a(g548 +V31 +p6613 +tp6614 +a(g900 +g958 +tp6615 +a(g548 +V20 +p6616 +tp6617 +a(g548 +V20 +p6618 +tp6619 +a(g900 +g958 +tp6620 +a(g548 +V20 +p6621 +tp6622 +a(g548 +V7c +p6623 +tp6624 +a(g900 +g958 +tp6625 +a(g548 +V20 +p6626 +tp6627 +a(g548 +V20 +p6628 +tp6629 +a(g900 +g958 +tp6630 +a(g548 +V20 +p6631 +tp6632 +a(g548 +V32 +p6633 +tp6634 +a(g900 +V\u000a +p6635 +tp6636 +a(g8 +V0000820 +p6637 +tp6638 +a(g900 +g958 +tp6639 +a(g548 +V20 +p6640 +tp6641 +a(g548 +V20 +p6642 +tp6643 +a(g900 +g958 +tp6644 +a(g548 +V20 +p6645 +tp6646 +a(g548 +V7c +p6647 +tp6648 +a(g900 +g958 +tp6649 +a(g548 +V20 +p6650 +tp6651 +a(g548 +V20 +p6652 +tp6653 +a(g900 +g958 +tp6654 +a(g548 +V20 +p6655 +tp6656 +a(g548 +V33 +p6657 +tp6658 +a(g900 +g958 +tp6659 +a(g548 +V20 +p6660 +tp6661 +a(g548 +V20 +p6662 +tp6663 +a(g900 +g958 +tp6664 +a(g548 +V20 +p6665 +tp6666 +a(g548 +V7c +p6667 +tp6668 +a(g900 +g958 +tp6669 +a(g548 +V34 +p6670 +tp6671 +a(g548 +V20 +p6672 +tp6673 +a(g900 +g958 +tp6674 +a(g548 +V20 +p6675 +tp6676 +a(g548 +V20 +p6677 +tp6678 +a(g900 +V\u000a +p6679 +tp6680 +a(g8 +V0000830 +p6681 +tp6682 +a(g900 +g958 +tp6683 +a(g548 +V20 +p6684 +tp6685 +a(g548 +V7c +p6686 +tp6687 +a(g900 +g958 +tp6688 +a(g548 +V35 +p6689 +tp6690 +a(g548 +V20 +p6691 +tp6692 +a(g900 +g958 +tp6693 +a(g548 +V20 +p6694 +tp6695 +a(g548 +V20 +p6696 +tp6697 +a(g900 +g958 +tp6698 +a(g548 +V20 +p6699 +tp6700 +a(g548 +V7c +p6701 +tp6702 +a(g900 +g958 +tp6703 +a(g548 +V20 +p6704 +tp6705 +a(g548 +V20 +p6706 +tp6707 +a(g900 +g958 +tp6708 +a(g548 +V20 +p6709 +tp6710 +a(g548 +V36 +p6711 +tp6712 +a(g900 +g958 +tp6713 +a(g548 +V20 +p6714 +tp6715 +a(g548 +V20 +p6716 +tp6717 +a(g900 +g958 +tp6718 +a(g548 +V20 +p6719 +tp6720 +a(g548 +V7c +p6721 +tp6722 +a(g900 +V\u000a +p6723 +tp6724 +a(g8 +V0000840 +p6725 +tp6726 +a(g900 +g958 +tp6727 +a(g548 +V20 +p6728 +tp6729 +a(g548 +V20 +p6730 +tp6731 +a(g900 +g958 +tp6732 +a(g548 +V20 +p6733 +tp6734 +a(g548 +V37 +p6735 +tp6736 +a(g900 +g958 +tp6737 +a(g548 +V20 +p6738 +tp6739 +a(g548 +V20 +p6740 +tp6741 +a(g900 +g958 +tp6742 +a(g548 +V20 +p6743 +tp6744 +a(g548 +V7c +p6745 +tp6746 +a(g900 +g958 +tp6747 +a(g548 +V20 +p6748 +tp6749 +a(g548 +V20 +p6750 +tp6751 +a(g900 +g958 +tp6752 +a(g548 +V20 +p6753 +tp6754 +a(g548 +V38 +p6755 +tp6756 +a(g900 +g958 +tp6757 +a(g548 +V20 +p6758 +tp6759 +a(g548 +V20 +p6760 +tp6761 +a(g900 +g958 +tp6762 +a(g548 +V20 +p6763 +tp6764 +a(g548 +V7c +p6765 +tp6766 +a(g900 +V\u000a +p6767 +tp6768 +a(g8 +V0000850 +p6769 +tp6770 +a(g900 +g958 +tp6771 +a(g548 +V20 +p6772 +tp6773 +a(g548 +V20 +p6774 +tp6775 +a(g900 +g958 +tp6776 +a(g548 +V22 +p6777 +tp6778 +a(g548 +V39 +p6779 +tp6780 +a(g900 +g958 +tp6781 +a(g548 +V29 +p6782 +tp6783 +a(g548 +V29 +p6784 +tp6785 +a(g900 +g958 +tp6786 +a(g548 +V0a +p6787 +tp6788 +a(g548 +V3b +p6789 +tp6790 +a(g900 +g958 +tp6791 +a(g548 +V0a +p6792 +tp6793 +a(g548 +V7d +p6794 +tp6795 +a(g900 +g958 +tp6796 +a(g548 +V76 +p6797 +tp6798 +a(g548 +V0a +p6799 +tp6800 +a(g900 +g958 +tp6801 +a(g548 +V69 +p6802 +tp6803 +a(g548 +V6f +p6804 +tp6805 +a(g900 +g958 +tp6806 +a(g548 +V20 +p6807 +tp6808 +a(g548 +V64 +p6809 +tp6810 +a(g900 +V\u000a +p6811 +tp6812 +a(g8 +V0000860 +p6813 +tp6814 +a(g900 +g958 +tp6815 +a(g548 +V61 +p6816 +tp6817 +a(g548 +V6d +p6818 +tp6819 +a(g900 +g958 +tp6820 +a(g548 +V6e +p6821 +tp6822 +a(g548 +V69 +p6823 +tp6824 +a(g900 +g958 +tp6825 +a(g548 +V20 +p6826 +tp6827 +a(g548 +V28 +p6828 +tp6829 +a(g900 +g958 +tp6830 +a(g548 +V74 +p6831 +tp6832 +a(g548 +V73 +p6833 +tp6834 +a(g900 +g958 +tp6835 +a(g548 +V69 +p6836 +tp6837 +a(g548 +V72 +p6838 +tp6839 +a(g900 +g958 +tp6840 +a(g548 +V67 +p6841 +tp6842 +a(g548 +V6e +p6843 +tp6844 +a(g900 +g958 +tp6845 +a(g548 +V5d +p6846 +tp6847 +a(g548 +V5b +p6848 +tp6849 +a(g900 +g958 +tp6850 +a(g548 +V61 +p6851 +tp6852 +a(g548 +V20 +p6853 +tp6854 +a(g900 +V\u000a +p6855 +tp6856 +a(g8 +V0000870 +p6857 +tp6858 +a(g900 +g958 +tp6859 +a(g548 +V67 +p6860 +tp6861 +a(g548 +V72 +p6862 +tp6863 +a(g900 +g958 +tp6864 +a(g548 +V20 +p6865 +tp6866 +a(g548 +V73 +p6867 +tp6868 +a(g900 +g958 +tp6869 +a(g548 +V0a +p6870 +tp6871 +a(g548 +V29 +p6872 +tp6873 +a(g900 +g958 +tp6874 +a(g548 +V0a +p6875 +tp6876 +a(g548 +V7b +p6877 +tp6878 +a(g900 +g958 +tp6879 +a(g548 +V20 +p6880 +tp6881 +a(g548 +V20 +p6882 +tp6883 +a(g900 +g958 +tp6884 +a(g548 +V20 +p6885 +tp6886 +a(g548 +V20 +p6887 +tp6888 +a(g900 +g958 +tp6889 +a(g548 +V2f +p6890 +tp6891 +a(g548 +V2f +p6892 +tp6893 +a(g900 +g958 +tp6894 +a(g548 +V54 +p6895 +tp6896 +a(g548 +V20 +p6897 +tp6898 +a(g900 +V\u000a +p6899 +tp6900 +a(g8 +V0000880 +p6901 +tp6902 +a(g900 +g958 +tp6903 +a(g548 +V69 +p6904 +tp6905 +a(g548 +V68 +p6906 +tp6907 +a(g900 +g958 +tp6908 +a(g548 +V20 +p6909 +tp6910 +a(g548 +V73 +p6911 +tp6912 +a(g900 +g958 +tp6913 +a(g548 +V73 +p6914 +tp6915 +a(g548 +V61 +p6916 +tp6917 +a(g900 +g958 +tp6918 +a(g548 +V6f +p6919 +tp6920 +a(g548 +V73 +p6921 +tp6922 +a(g900 +g958 +tp6923 +a(g548 +V69 +p6924 +tp6925 +a(g548 +V63 +p6926 +tp6927 +a(g900 +g958 +tp6928 +a(g548 +V74 +p6929 +tp6930 +a(g548 +V61 +p6931 +tp6932 +a(g900 +g958 +tp6933 +a(g548 +V76 +p6934 +tp6935 +a(g548 +V69 +p6936 +tp6937 +a(g900 +g958 +tp6938 +a(g548 +V20 +p6939 +tp6940 +a(g548 +V65 +p6941 +tp6942 +a(g900 +V\u000a +p6943 +tp6944 +a(g8 +V0000890 +p6945 +tp6946 +a(g900 +g958 +tp6947 +a(g548 +V72 +p6948 +tp6949 +a(g548 +V61 +p6950 +tp6951 +a(g900 +g958 +tp6952 +a(g548 +V61 +p6953 +tp6954 +a(g548 +V72 +p6955 +tp6956 +a(g900 +g958 +tp6957 +a(g548 +V20 +p6958 +tp6959 +a(g548 +V79 +p6960 +tp6961 +a(g900 +g958 +tp6962 +a(g548 +V61 +p6963 +tp6964 +a(g548 +V6d +p6965 +tp6966 +a(g900 +g958 +tp6967 +a(g548 +V73 +p6968 +tp6969 +a(g548 +V70 +p6970 +tp6971 +a(g900 +g958 +tp6972 +a(g548 +V61 +p6973 +tp6974 +a(g548 +V20 +p6975 +tp6976 +a(g900 +g958 +tp6977 +a(g548 +V6e +p6978 +tp6979 +a(g548 +V20 +p6980 +tp6981 +a(g900 +g958 +tp6982 +a(g548 +V6d +p6983 +tp6984 +a(g548 +V75 +p6985 +tp6986 +a(g900 +V\u000a +p6987 +tp6988 +a(g8 +V00008a0 +p6989 +tp6990 +a(g900 +g958 +tp6991 +a(g548 +V65 +p6992 +tp6993 +a(g548 +V62 +p6994 +tp6995 +a(g900 +g958 +tp6996 +a(g548 +V20 +p6997 +tp6998 +a(g548 +V72 +p6999 +tp7000 +a(g900 +g958 +tp7001 +a(g548 +V6f +p7002 +tp7003 +a(g548 +V74 +p7004 +tp7005 +a(g900 +g958 +tp7006 +a(g548 +V61 +p7007 +tp7008 +a(g548 +V20 +p7009 +tp7010 +a(g900 +g958 +tp7011 +a(g548 +V20 +p7012 +tp7013 +a(g548 +V6e +p7014 +tp7015 +a(g900 +g958 +tp7016 +a(g548 +V72 +p7017 +tp7018 +a(g548 +V61 +p7019 +tp7020 +a(g900 +g958 +tp7021 +a(g548 +V61 +p7022 +tp7023 +a(g548 +V72 +p7024 +tp7025 +a(g900 +g958 +tp7026 +a(g548 +V20 +p7027 +tp7028 +a(g548 +V79 +p7029 +tp7030 +a(g900 +V\u000a +p7031 +tp7032 +a(g8 +V00008b0 +p7033 +tp7034 +a(g900 +g958 +tp7035 +a(g548 +V66 +p7036 +tp7037 +a(g548 +V6f +p7038 +tp7039 +a(g900 +g958 +tp7040 +a(g548 +V77 +p7041 +tp7042 +a(g548 +V20 +p7043 +tp7044 +a(g900 +g958 +tp7045 +a(g548 +V72 +p7046 +tp7047 +a(g548 +V6f +p7048 +tp7049 +a(g900 +g958 +tp7050 +a(g548 +V73 +p7051 +tp7052 +a(g548 +V64 +p7053 +tp7054 +a(g900 +g958 +tp7055 +a(g548 +V20 +p7056 +tp7057 +a(g548 +V2e +p7058 +tp7059 +a(g900 +g958 +tp7060 +a(g548 +V20 +p7061 +tp7062 +a(g548 +V20 +p7063 +tp7064 +a(g900 +g958 +tp7065 +a(g548 +V0a +p7066 +tp7067 +a(g548 +V20 +p7068 +tp7069 +a(g900 +g958 +tp7070 +a(g548 +V20 +p7071 +tp7072 +a(g548 +V20 +p7073 +tp7074 +a(g900 +V\u000a +p7075 +tp7076 +a(g8 +V00008c0 +p7077 +tp7078 +a(g900 +g958 +tp7079 +a(g548 +V20 +p7080 +tp7081 +a(g548 +V20 +p7082 +tp7083 +a(g900 +g958 +tp7084 +a(g548 +V74 +p7085 +tp7086 +a(g548 +V73 +p7087 +tp7088 +a(g900 +g958 +tp7089 +a(g548 +V69 +p7090 +tp7091 +a(g548 +V72 +p7092 +tp7093 +a(g900 +g958 +tp7094 +a(g548 +V67 +p7095 +tp7096 +a(g548 +V6e +p7097 +tp7098 +a(g900 +g958 +tp7099 +a(g548 +V72 +p7100 +tp7101 +a(g548 +V61 +p7102 +tp7103 +a(g900 +g958 +tp7104 +a(g548 +V61 +p7105 +tp7106 +a(g548 +V72 +p7107 +tp7108 +a(g900 +g958 +tp7109 +a(g548 +V5b +p7110 +tp7111 +a(g548 +V79 +p7112 +tp7113 +a(g900 +g958 +tp7114 +a(g548 +V74 +p7115 +tp7116 +a(g548 +V73 +p7117 +tp7118 +a(g900 +V\u000a +p7119 +tp7120 +a(g8 +V00008d0 +p7121 +tp7122 +a(g900 +g958 +tp7123 +a(g548 +V69 +p7124 +tp7125 +a(g548 +V72 +p7126 +tp7127 +a(g900 +g958 +tp7128 +a(g548 +V67 +p7129 +tp7130 +a(g548 +V6e +p7131 +tp7132 +a(g900 +g958 +tp7133 +a(g548 +V20 +p7134 +tp7135 +a(g548 +V5d +p7136 +tp7137 +a(g900 +g958 +tp7138 +a(g548 +V20 +p7139 +tp7140 +a(g548 +V20 +p7141 +tp7142 +a(g900 +g958 +tp7143 +a(g548 +V6e +p7144 +tp7145 +a(g548 +V20 +p7146 +tp7147 +a(g900 +g958 +tp7148 +a(g548 +V6d +p7149 +tp7150 +a(g548 +V75 +p7151 +tp7152 +a(g900 +g958 +tp7153 +a(g548 +V77 +p7154 +tp7155 +a(g548 +V32 +p7156 +tp7157 +a(g900 +g958 +tp7158 +a(g548 +V72 +p7159 +tp7160 +a(g548 +V6f +p7161 +tp7162 +a(g900 +V\u000a +p7163 +tp7164 +a(g8 +V00008e0 +p7165 +tp7166 +a(g900 +g958 +tp7167 +a(g548 +V73 +p7168 +tp7169 +a(g548 +V64 +p7170 +tp7171 +a(g900 +g958 +tp7172 +a(g548 +V0a +p7173 +tp7174 +a(g548 +V3b +p7175 +tp7176 +a(g900 +g958 +tp7177 +a(g548 +V20 +p7178 +tp7179 +a(g548 +V0a +p7180 +tp7181 +a(g900 +g958 +tp7182 +a(g548 +V20 +p7183 +tp7184 +a(g548 +V20 +p7185 +tp7186 +a(g900 +g958 +tp7187 +a(g548 +V66 +p7188 +tp7189 +a(g548 +V20 +p7190 +tp7191 +a(g900 +g958 +tp7192 +a(g548 +V72 +p7193 +tp7194 +a(g548 +V6f +p7195 +tp7196 +a(g900 +g958 +tp7197 +a(g548 +V61 +p7198 +tp7199 +a(g548 +V65 +p7200 +tp7201 +a(g900 +g958 +tp7202 +a(g548 +V68 +p7203 +tp7204 +a(g548 +V63 +p7205 +tp7206 +a(g900 +V\u000a +p7207 +tp7208 +a(g8 +V00008f0 +p7209 +tp7210 +a(g900 +g958 +tp7211 +a(g548 +V73 +p7212 +tp7213 +a(g548 +V28 +p7214 +tp7215 +a(g900 +g958 +tp7216 +a(g548 +V72 +p7217 +tp7218 +a(g548 +V74 +p7219 +tp7220 +a(g900 +g958 +tp7221 +a(g548 +V6e +p7222 +tp7223 +a(g548 +V69 +p7224 +tp7225 +a(g900 +g958 +tp7226 +a(g548 +V20 +p7227 +tp7228 +a(g548 +V67 +p7229 +tp7230 +a(g900 +g958 +tp7231 +a(g548 +V6f +p7232 +tp7233 +a(g548 +V77 +p7234 +tp7235 +a(g900 +g958 +tp7236 +a(g548 +V64 +p7237 +tp7238 +a(g548 +V72 +p7239 +tp7240 +a(g900 +g958 +tp7241 +a(g548 +V20 +p7242 +tp7243 +a(g548 +V3b +p7244 +tp7245 +a(g900 +g958 +tp7246 +a(g548 +V65 +p7247 +tp7248 +a(g548 +V6e +p7249 +tp7250 +a(g900 +V\u000a +p7251 +tp7252 +a(g8 +V0000900 +p7253 +tp7254 +a(g900 +g958 +tp7255 +a(g548 +V20 +p7256 +tp7257 +a(g548 +V77 +p7258 +tp7259 +a(g900 +g958 +tp7260 +a(g548 +V75 +p7261 +tp7262 +a(g548 +V42 +p7263 +tp7264 +a(g900 +g958 +tp7265 +a(g548 +V66 +p7266 +tp7267 +a(g548 +V66 +p7268 +tp7269 +a(g900 +g958 +tp7270 +a(g548 +V72 +p7271 +tp7272 +a(g548 +V65 +p7273 +tp7274 +a(g900 +g958 +tp7275 +a(g548 +V64 +p7276 +tp7277 +a(g548 +V65 +p7278 +tp7279 +a(g900 +g958 +tp7280 +a(g548 +V69 +p7281 +tp7282 +a(g548 +V46 +p7283 +tp7284 +a(g900 +g958 +tp7285 +a(g548 +V65 +p7286 +tp7287 +a(g548 +V6c +p7288 +tp7289 +a(g900 +g958 +tp7290 +a(g548 +V22 +p7291 +tp7292 +a(g548 +V28 +p7293 +tp7294 +a(g900 +V\u000a +p7295 +tp7296 +a(g8 +V0000910 +p7297 +tp7298 +a(g900 +g958 +tp7299 +a(g548 +V69 +p7300 +tp7301 +a(g548 +V64 +p7302 +tp7303 +a(g900 +g958 +tp7304 +a(g548 +V74 +p7305 +tp7306 +a(g548 +V63 +p7307 +tp7308 +a(g900 +g958 +tp7309 +a(g548 +V6f +p7310 +tp7311 +a(g548 +V69 +p7312 +tp7313 +a(g900 +g958 +tp7314 +a(g548 +V61 +p7315 +tp7316 +a(g548 +V6e +p7317 +tp7318 +a(g900 +g958 +tp7319 +a(g548 +V79 +p7320 +tp7321 +a(g548 +V72 +p7322 +tp7323 +a(g900 +g958 +tp7324 +a(g548 +V74 +p7325 +tp7326 +a(g548 +V2e +p7327 +tp7328 +a(g900 +g958 +tp7329 +a(g548 +V74 +p7330 +tp7331 +a(g548 +V78 +p7332 +tp7333 +a(g900 +g958 +tp7334 +a(g548 +V20 +p7335 +tp7336 +a(g548 +V22 +p7337 +tp7338 +a(g900 +V\u000a +p7339 +tp7340 +a(g8 +V0000920 +p7341 +tp7342 +a(g900 +g958 +tp7343 +a(g548 +V20 +p7344 +tp7345 +a(g548 +V29 +p7346 +tp7347 +a(g900 +g958 +tp7348 +a(g548 +V0a +p7349 +tp7350 +a(g548 +V29 +p7351 +tp7352 +a(g900 +g958 +tp7353 +a(g548 +V20 +p7354 +tp7355 +a(g548 +V20 +p7356 +tp7357 +a(g900 +g958 +tp7358 +a(g548 +V20 +p7359 +tp7360 +a(g548 +V20 +p7361 +tp7362 +a(g900 +g958 +tp7363 +a(g548 +V20 +p7364 +tp7365 +a(g548 +V20 +p7366 +tp7367 +a(g900 +g958 +tp7368 +a(g548 +V20 +p7369 +tp7370 +a(g548 +V20 +p7371 +tp7372 +a(g900 +g958 +tp7373 +a(g548 +V75 +p7374 +tp7375 +a(g548 +V6e +p7376 +tp7377 +a(g900 +g958 +tp7378 +a(g548 +V32 +p7379 +tp7380 +a(g548 +V6d +p7381 +tp7382 +a(g900 +V\u000a +p7383 +tp7384 +a(g8 +V0000930 +p7385 +tp7386 +a(g900 +g958 +tp7387 +a(g548 +V6f +p7388 +tp7389 +a(g548 +V77 +p7390 +tp7391 +a(g900 +g958 +tp7392 +a(g548 +V64 +p7393 +tp7394 +a(g548 +V72 +p7395 +tp7396 +a(g900 +g958 +tp7397 +a(g548 +V5b +p7398 +tp7399 +a(g548 +V73 +p7400 +tp7401 +a(g900 +g958 +tp7402 +a(g548 +V77 +p7403 +tp7404 +a(g548 +V20 +p7405 +tp7406 +a(g900 +g958 +tp7407 +a(g548 +V72 +p7408 +tp7409 +a(g548 +V6f +p7410 +tp7411 +a(g900 +g958 +tp7412 +a(g548 +V54 +p7413 +tp7414 +a(g548 +V64 +p7415 +tp7416 +a(g900 +g958 +tp7417 +a(g548 +V4e +p7418 +tp7419 +a(g548 +V6f +p7420 +tp7421 +a(g900 +g958 +tp7422 +a(g548 +V6d +p7423 +tp7424 +a(g548 +V75 +p7425 +tp7426 +a(g900 +V\u000a +p7427 +tp7428 +a(g8 +V0000940 +p7429 +tp7430 +a(g900 +g958 +tp7431 +a(g548 +V77 +p7432 +tp7433 +a(g548 +V28 +p7434 +tp7435 +a(g900 +g958 +tp7436 +a(g548 +V72 +p7437 +tp7438 +a(g548 +V6f +p7439 +tp7440 +a(g900 +g958 +tp7441 +a(g548 +V29 +p7442 +tp7443 +a(g548 +V64 +p7444 +tp7445 +a(g900 +g958 +tp7446 +a(g548 +V5d +p7447 +tp7448 +a(g548 +V20 +p7449 +tp7450 +a(g900 +g958 +tp7451 +a(g548 +V7e +p7452 +tp7453 +a(g548 +V20 +p7454 +tp7455 +a(g900 +g958 +tp7456 +a(g548 +V20 +p7457 +tp7458 +a(g548 +V3d +p7459 +tp7460 +a(g900 +g958 +tp7461 +a(g548 +V6f +p7462 +tp7463 +a(g548 +V77 +p7464 +tp7465 +a(g900 +g958 +tp7466 +a(g548 +V64 +p7467 +tp7468 +a(g548 +V72 +p7469 +tp7470 +a(g900 +V\u000a +p7471 +tp7472 +a(g8 +V0000950 +p7473 +tp7474 +a(g900 +g958 +tp7475 +a(g548 +V64 +p7476 +tp7477 +a(g548 +V2e +p7478 +tp7479 +a(g900 +g958 +tp7480 +a(g548 +V70 +p7481 +tp7482 +a(g548 +V75 +p7483 +tp7484 +a(g900 +g958 +tp7485 +a(g548 +V20 +p7486 +tp7487 +a(g548 +V3b +p7488 +tp7489 +a(g900 +g958 +tp7490 +a(g548 +V20 +p7491 +tp7492 +a(g548 +V20 +p7493 +tp7494 +a(g900 +g958 +tp7495 +a(g548 +V20 +p7496 +tp7497 +a(g548 +V20 +p7498 +tp7499 +a(g900 +g958 +tp7500 +a(g548 +V20 +p7501 +tp7502 +a(g548 +V20 +p7503 +tp7504 +a(g900 +g958 +tp7505 +a(g548 +V2f +p7506 +tp7507 +a(g548 +V20 +p7508 +tp7509 +a(g900 +g958 +tp7510 +a(g548 +V20 +p7511 +tp7512 +a(g548 +V2f +p7513 +tp7514 +a(g900 +V\u000a +p7515 +tp7516 +a(g8 +V0000960 +p7517 +tp7518 +a(g900 +g958 +tp7519 +a(g548 +V75 +p7520 +tp7521 +a(g548 +V6d +p7522 +tp7523 +a(g900 +g958 +tp7524 +a(g548 +V74 +p7525 +tp7526 +a(g548 +V73 +p7527 +tp7528 +a(g900 +g958 +tp7529 +a(g548 +V64 +p7530 +tp7531 +a(g548 +V20 +p7532 +tp7533 +a(g900 +g958 +tp7534 +a(g548 +V70 +p7535 +tp7536 +a(g548 +V75 +p7537 +tp7538 +a(g900 +g958 +tp7539 +a(g548 +V0a +p7540 +tp7541 +a(g548 +V0a +p7542 +tp7543 +a(g900 +g958 +tp7544 +a(g548 +V20 +p7545 +tp7546 +a(g548 +V20 +p7547 +tp7548 +a(g900 +g958 +tp7549 +a(g548 +V20 +p7550 +tp7551 +a(g548 +V20 +p7552 +tp7553 +a(g900 +g958 +tp7554 +a(g548 +V2f +p7555 +tp7556 +a(g548 +V2f +p7557 +tp7558 +a(g900 +V\u000a +p7559 +tp7560 +a(g8 +V0000970 +p7561 +tp7562 +a(g900 +g958 +tp7563 +a(g548 +V20 +p7564 +tp7565 +a(g548 +V2f +p7566 +tp7567 +a(g900 +g958 +tp7568 +a(g548 +V69 +p7569 +tp7570 +a(g548 +V46 +p7571 +tp7572 +a(g900 +g958 +tp7573 +a(g548 +V64 +p7574 +tp7575 +a(g548 +V6e +p7576 +tp7577 +a(g900 +g958 +tp7578 +a(g548 +V20 +p7579 +tp7580 +a(g548 +V73 +p7581 +tp7582 +a(g900 +g958 +tp7583 +a(g548 +V6c +p7584 +tp7585 +a(g548 +V61 +p7586 +tp7587 +a(g900 +g958 +tp7588 +a(g548 +V20 +p7589 +tp7590 +a(g548 +V6c +p7591 +tp7592 +a(g900 +g958 +tp7593 +a(g548 +V6c +p7594 +tp7595 +a(g548 +V61 +p7596 +tp7597 +a(g900 +g958 +tp7598 +a(g548 +V65 +p7599 +tp7600 +a(g548 +V74 +p7601 +tp7602 +a(g900 +V\u000a +p7603 +tp7604 +a(g8 +V0000980 +p7605 +tp7606 +a(g900 +g958 +tp7607 +a(g548 +V6e +p7608 +tp7609 +a(g548 +V72 +p7610 +tp7611 +a(g900 +g958 +tp7612 +a(g548 +V74 +p7613 +tp7614 +a(g548 +V61 +p7615 +tp7616 +a(g900 +g958 +tp7617 +a(g548 +V76 +p7618 +tp7619 +a(g548 +V69 +p7620 +tp7621 +a(g900 +g958 +tp7622 +a(g548 +V73 +p7623 +tp7624 +a(g548 +V65 +p7625 +tp7626 +a(g900 +g958 +tp7627 +a(g548 +V66 +p7628 +tp7629 +a(g548 +V20 +p7630 +tp7631 +a(g900 +g958 +tp7632 +a(g548 +V72 +p7633 +tp7634 +a(g548 +V6f +p7635 +tp7636 +a(g900 +g958 +tp7637 +a(g548 +V74 +p7638 +tp7639 +a(g548 +V20 +p7640 +tp7641 +a(g900 +g958 +tp7642 +a(g548 +V65 +p7643 +tp7644 +a(g548 +V68 +p7645 +tp7646 +a(g900 +V\u000a +p7647 +tp7648 +a(g8 +V0000990 +p7649 +tp7650 +a(g900 +g958 +tp7651 +a(g548 +V67 +p7652 +tp7653 +a(g548 +V20 +p7654 +tp7655 +a(g900 +g958 +tp7656 +a(g548 +V76 +p7657 +tp7658 +a(g548 +V69 +p7659 +tp7660 +a(g900 +g958 +tp7661 +a(g548 +V6e +p7662 +tp7663 +a(g548 +V65 +p7664 +tp7665 +a(g900 +g958 +tp7666 +a(g548 +V6e +p7667 +tp7668 +a(g548 +V20 +p7669 +tp7670 +a(g900 +g958 +tp7671 +a(g548 +V6d +p7672 +tp7673 +a(g548 +V75 +p7674 +tp7675 +a(g900 +g958 +tp7676 +a(g548 +V65 +p7677 +tp7678 +a(g548 +V62 +p7679 +tp7680 +a(g900 +g958 +tp7681 +a(g548 +V0a +p7682 +tp7683 +a(g548 +V72 +p7684 +tp7685 +a(g900 +g958 +tp7686 +a(g548 +V20 +p7687 +tp7688 +a(g548 +V20 +p7689 +tp7690 +a(g900 +V\u000a +p7691 +tp7692 +a(g8 +V00009a0 +p7693 +tp7694 +a(g900 +g958 +tp7695 +a(g548 +V20 +p7696 +tp7697 +a(g548 +V20 +p7698 +tp7699 +a(g900 +g958 +tp7700 +a(g548 +V2f +p7701 +tp7702 +a(g548 +V2f +p7703 +tp7704 +a(g900 +g958 +tp7705 +a(g548 +V20 +p7706 +tp7707 +a(g548 +V2f +p7708 +tp7709 +a(g900 +g958 +tp7710 +a(g548 +V73 +p7711 +tp7712 +a(g548 +V28 +p7713 +tp7714 +a(g900 +g958 +tp7715 +a(g548 +V6f +p7716 +tp7717 +a(g548 +V68 +p7718 +tp7719 +a(g900 +g958 +tp7720 +a(g548 +V6c +p7721 +tp7722 +a(g548 +V75 +p7723 +tp7724 +a(g900 +g958 +tp7725 +a(g548 +V20 +p7726 +tp7727 +a(g548 +V64 +p7728 +tp7729 +a(g900 +g958 +tp7730 +a(g548 +V61 +p7731 +tp7732 +a(g548 +V68 +p7733 +tp7734 +a(g900 +V\u000a +p7735 +tp7736 +a(g8 +V00009b0 +p7737 +tp7738 +a(g900 +g958 +tp7739 +a(g548 +V65 +p7740 +tp7741 +a(g548 +V76 +p7742 +tp7743 +a(g900 +g958 +tp7744 +a(g548 +V62 +p7745 +tp7746 +a(g548 +V20 +p7747 +tp7748 +a(g900 +g958 +tp7749 +a(g548 +V65 +p7750 +tp7751 +a(g548 +V65 +p7752 +tp7753 +a(g900 +g958 +tp7754 +a(g548 +V20 +p7755 +tp7756 +a(g548 +V6e +p7757 +tp7758 +a(g900 +g958 +tp7759 +a(g548 +V74 +p7760 +tp7761 +a(g548 +V73 +p7762 +tp7763 +a(g900 +g958 +tp7764 +a(g548 +V69 +p7765 +tp7766 +a(g548 +V72 +p7767 +tp7768 +a(g900 +g958 +tp7769 +a(g548 +V70 +p7770 +tp7771 +a(g548 +V70 +p7772 +tp7773 +a(g900 +g958 +tp7774 +a(g548 +V64 +p7775 +tp7776 +a(g548 +V65 +p7777 +tp7778 +a(g900 +V\u000a +p7779 +tp7780 +a(g8 +V00009c0 +p7781 +tp7782 +a(g900 +g958 +tp7783 +a(g548 +V66 +p7784 +tp7785 +a(g548 +V20 +p7786 +tp7787 +a(g900 +g958 +tp7788 +a(g548 +V6f +p7789 +tp7790 +a(g548 +V72 +p7791 +tp7792 +a(g900 +g958 +tp7793 +a(g548 +V20 +p7794 +tp7795 +a(g548 +V6d +p7796 +tp7797 +a(g900 +g958 +tp7798 +a(g548 +V6f +p7799 +tp7800 +a(g548 +V6e +p7801 +tp7802 +a(g900 +g958 +tp7803 +a(g548 +V2d +p7804 +tp7805 +a(g548 +V6e +p7806 +tp7807 +a(g900 +g958 +tp7808 +a(g548 +V69 +p7809 +tp7810 +a(g548 +V64 +p7811 +tp7812 +a(g900 +g958 +tp7813 +a(g548 +V69 +p7814 +tp7815 +a(g548 +V67 +p7816 +tp7817 +a(g900 +g958 +tp7818 +a(g548 +V20 +p7819 +tp7820 +a(g548 +V74 +p7821 +tp7822 +a(g900 +V\u000a +p7823 +tp7824 +a(g8 +V00009d0 +p7825 +tp7826 +a(g900 +g958 +tp7827 +a(g548 +V68 +p7828 +tp7829 +a(g548 +V63 +p7830 +tp7831 +a(g900 +g958 +tp7832 +a(g548 +V72 +p7833 +tp7834 +a(g548 +V61 +p7835 +tp7836 +a(g900 +g958 +tp7837 +a(g548 +V63 +p7838 +tp7839 +a(g548 +V61 +p7840 +tp7841 +a(g900 +g958 +tp7842 +a(g548 +V65 +p7843 +tp7844 +a(g548 +V74 +p7845 +tp7846 +a(g900 +g958 +tp7847 +a(g548 +V73 +p7848 +tp7849 +a(g548 +V72 +p7850 +tp7851 +a(g900 +g958 +tp7852 +a(g548 +V0a +p7853 +tp7854 +a(g548 +V29 +p7855 +tp7856 +a(g900 +g958 +tp7857 +a(g548 +V20 +p7858 +tp7859 +a(g548 +V20 +p7860 +tp7861 +a(g900 +g958 +tp7862 +a(g548 +V20 +p7863 +tp7864 +a(g548 +V20 +p7865 +tp7866 +a(g900 +V\u000a +p7867 +tp7868 +a(g8 +V00009e0 +p7869 +tp7870 +a(g900 +g958 +tp7871 +a(g548 +V74 +p7872 +tp7873 +a(g548 +V73 +p7874 +tp7875 +a(g900 +g958 +tp7876 +a(g548 +V69 +p7877 +tp7878 +a(g548 +V72 +p7879 +tp7880 +a(g900 +g958 +tp7881 +a(g548 +V67 +p7882 +tp7883 +a(g548 +V6e +p7884 +tp7885 +a(g900 +g958 +tp7886 +a(g548 +V72 +p7887 +tp7888 +a(g548 +V61 +p7889 +tp7890 +a(g900 +g958 +tp7891 +a(g548 +V61 +p7892 +tp7893 +a(g548 +V72 +p7894 +tp7895 +a(g900 +g958 +tp7896 +a(g548 +V20 +p7897 +tp7898 +a(g548 +V79 +p7899 +tp7900 +a(g900 +g958 +tp7901 +a(g548 +V46 +p7902 +tp7903 +a(g548 +V5f +p7904 +tp7905 +a(g900 +g958 +tp7906 +a(g548 +V6e +p7907 +tp7908 +a(g548 +V69 +p7909 +tp7910 +a(g900 +V\u000a +p7911 +tp7912 +a(g8 +V00009f0 +p7913 +tp7914 +a(g900 +g958 +tp7915 +a(g548 +V57 +p7916 +tp7917 +a(g548 +V64 +p7918 +tp7919 +a(g900 +g958 +tp7920 +a(g548 +V72 +p7921 +tp7922 +a(g548 +V6f +p7923 +tp7924 +a(g900 +g958 +tp7925 +a(g548 +V73 +p7926 +tp7927 +a(g548 +V64 +p7928 +tp7929 +a(g900 +g958 +tp7930 +a(g548 +V20 +p7931 +tp7932 +a(g548 +V28 +p7933 +tp7934 +a(g900 +g958 +tp7935 +a(g548 +V74 +p7936 +tp7937 +a(g548 +V73 +p7938 +tp7939 +a(g900 +g958 +tp7940 +a(g548 +V69 +p7941 +tp7942 +a(g548 +V72 +p7943 +tp7944 +a(g900 +g958 +tp7945 +a(g548 +V67 +p7946 +tp7947 +a(g548 +V6e +p7948 +tp7949 +a(g900 +g958 +tp7950 +a(g548 +V6e +p7951 +tp7952 +a(g548 +V20 +p7953 +tp7954 +a(g900 +V\u000a +p7955 +tp7956 +a(g8 +V0000a00 +p7957 +tp7958 +a(g900 +g958 +tp7959 +a(g548 +V6d +p7960 +tp7961 +a(g548 +V75 +p7962 +tp7963 +a(g900 +g958 +tp7964 +a(g548 +V65 +p7965 +tp7966 +a(g548 +V62 +p7967 +tp7968 +a(g900 +g958 +tp7969 +a(g548 +V73 +p7970 +tp7971 +a(g548 +V72 +p7972 +tp7973 +a(g900 +g958 +tp7974 +a(g548 +V20 +p7975 +tp7976 +a(g548 +V2c +p7977 +tp7978 +a(g900 +g958 +tp7979 +a(g548 +V6f +p7980 +tp7981 +a(g548 +V62 +p7982 +tp7983 +a(g900 +g958 +tp7984 +a(g548 +V6c +p7985 +tp7986 +a(g548 +V6f +p7987 +tp7988 +a(g900 +g958 +tp7989 +a(g548 +V64 +p7990 +tp7991 +a(g548 +V20 +p7992 +tp7993 +a(g900 +g958 +tp7994 +a(g548 +V67 +p7995 +tp7996 +a(g548 +V69 +p7997 +tp7998 +a(g900 +V\u000a +p7999 +tp8000 +a(g8 +V0000a10 +p8001 +tp8002 +a(g900 +g958 +tp8003 +a(g548 +V74 +p8004 +tp8005 +a(g548 +V69 +p8006 +tp8007 +a(g900 +g958 +tp8008 +a(g548 +V6b +p8009 +tp8010 +a(g548 +V6f +p8011 +tp8012 +a(g900 +g958 +tp8013 +a(g548 +V29 +p8014 +tp8015 +a(g548 +V20 +p8016 +tp8017 +a(g900 +g958 +tp8018 +a(g548 +V20 +p8019 +tp8020 +a(g548 +V0a +p8021 +tp8022 +a(g900 +g958 +tp8023 +a(g548 +V20 +p8024 +tp8025 +a(g548 +V20 +p8026 +tp8027 +a(g900 +g958 +tp8028 +a(g548 +V69 +p8029 +tp8030 +a(g548 +V20 +p8031 +tp8032 +a(g900 +g958 +tp8033 +a(g548 +V20 +p8034 +tp8035 +a(g548 +V6e +p8036 +tp8037 +a(g900 +g958 +tp8038 +a(g548 +V0a +p8039 +tp8040 +a(g548 +V7b +p8041 +tp8042 +a(g900 +V\u000a +p8043 +tp8044 +a(g8 +V0000a20 +p8045 +tp8046 +a(g900 +g958 +tp8047 +a(g548 +V20 +p8048 +tp8049 +a(g548 +V20 +p8050 +tp8051 +a(g900 +g958 +tp8052 +a(g548 +V20 +p8053 +tp8054 +a(g548 +V20 +p8055 +tp8056 +a(g900 +g958 +tp8057 +a(g548 +V20 +p8058 +tp8059 +a(g548 +V20 +p8060 +tp8061 +a(g900 +g958 +tp8062 +a(g548 +V20 +p8063 +tp8064 +a(g548 +V20 +p8065 +tp8066 +a(g900 +g958 +tp8067 +a(g548 +V73 +p8068 +tp8069 +a(g548 +V61 +p8070 +tp8071 +a(g900 +g958 +tp8072 +a(g548 +V65 +p8073 +tp8074 +a(g548 +V73 +p8075 +tp8076 +a(g900 +g958 +tp8077 +a(g548 +V74 +p8078 +tp8079 +a(g548 +V72 +p8080 +tp8081 +a(g900 +g958 +tp8082 +a(g548 +V6e +p8083 +tp8084 +a(g548 +V28 +p8085 +tp8086 +a(g900 +V\u000a +p8087 +tp8088 +a(g8 +V0000a30 +p8089 +tp8090 +a(g900 +g958 +tp8091 +a(g548 +V6d +p8092 +tp8093 +a(g548 +V75 +p8094 +tp8095 +a(g900 +g958 +tp8096 +a(g548 +V65 +p8097 +tp8098 +a(g548 +V62 +p8099 +tp8100 +a(g900 +g958 +tp8101 +a(g548 +V73 +p8102 +tp8103 +a(g548 +V72 +p8104 +tp8105 +a(g900 +g958 +tp8106 +a(g548 +V6c +p8107 +tp8108 +a(g548 +V2e +p8109 +tp8110 +a(g900 +g958 +tp8111 +a(g548 +V6e +p8112 +tp8113 +a(g548 +V65 +p8114 +tp8115 +a(g900 +g958 +tp8116 +a(g548 +V74 +p8117 +tp8118 +a(g548 +V67 +p8119 +tp8120 +a(g900 +g958 +tp8121 +a(g548 +V20 +p8122 +tp8123 +a(g548 +V68 +p8124 +tp8125 +a(g900 +g958 +tp8126 +a(g548 +V20 +p8127 +tp8128 +a(g548 +V3e +p8129 +tp8130 +a(g900 +V\u000a +p8131 +tp8132 +a(g8 +V0000a40 +p8133 +tp8134 +a(g900 +g958 +tp8135 +a(g548 +V30 +p8136 +tp8137 +a(g548 +V20 +p8138 +tp8139 +a(g900 +g958 +tp8140 +a(g548 +V3b +p8141 +tp8142 +a(g548 +V29 +p8143 +tp8144 +a(g900 +g958 +tp8145 +a(g548 +V20 +p8146 +tp8147 +a(g548 +V20 +p8148 +tp8149 +a(g900 +g958 +tp8150 +a(g548 +V20 +p8151 +tp8152 +a(g548 +V20 +p8153 +tp8154 +a(g900 +g958 +tp8155 +a(g548 +V20 +p8156 +tp8157 +a(g548 +V0a +p8158 +tp8159 +a(g900 +g958 +tp8160 +a(g548 +V20 +p8161 +tp8162 +a(g548 +V20 +p8163 +tp8164 +a(g900 +g958 +tp8165 +a(g548 +V7d +p8166 +tp8167 +a(g548 +V20 +p8168 +tp8169 +a(g900 +g958 +tp8170 +a(g548 +V20 +p8171 +tp8172 +a(g548 +V20 +p8173 +tp8174 +a(g900 +V\u000a +p8175 +tp8176 +a(g8 +V0000a50 +p8177 +tp8178 +a(g900 +g958 +tp8179 +a(g548 +V20 +p8180 +tp8181 +a(g548 +V20 +p8182 +tp8183 +a(g900 +g958 +tp8184 +a(g548 +V20 +p8185 +tp8186 +a(g548 +V0a +p8187 +tp8188 +a(g900 +g958 +tp8189 +a(g548 +V20 +p8190 +tp8191 +a(g548 +V20 +p8192 +tp8193 +a(g900 +g958 +tp8194 +a(g548 +V6f +p8195 +tp8196 +a(g548 +V20 +p8197 +tp8198 +a(g900 +g958 +tp8199 +a(g548 +V74 +p8200 +tp8201 +a(g548 +V75 +p8202 +tp8203 +a(g900 +g958 +tp8204 +a(g548 +V72 +p8205 +tp8206 +a(g548 +V28 +p8207 +tp8208 +a(g900 +g958 +tp8209 +a(g548 +V73 +p8210 +tp8211 +a(g548 +V65 +p8212 +tp8213 +a(g900 +g958 +tp8214 +a(g548 +V6c +p8215 +tp8216 +a(g548 +V75 +p8217 +tp8218 +a(g900 +V\u000a +p8219 +tp8220 +a(g8 +V0000a60 +p8221 +tp8222 +a(g900 +g958 +tp8223 +a(g548 +V29 +p8224 +tp8225 +a(g548 +V74 +p8226 +tp8227 +a(g900 +g958 +tp8228 +a(g548 +V7b +p8229 +tp8230 +a(g548 +V20 +p8231 +tp8232 +a(g900 +g958 +tp8233 +a(g548 +V20 +p8234 +tp8235 +a(g548 +V0a +p8236 +tp8237 +a(g900 +g958 +tp8238 +a(g548 +V20 +p8239 +tp8240 +a(g548 +V20 +p8241 +tp8242 +a(g900 +g958 +tp8243 +a(g548 +V20 +p8244 +tp8245 +a(g548 +V20 +p8246 +tp8247 +a(g900 +g958 +tp8248 +a(g548 +V20 +p8249 +tp8250 +a(g548 +V20 +p8251 +tp8252 +a(g900 +g958 +tp8253 +a(g548 +V66 +p8254 +tp8255 +a(g548 +V20 +p8256 +tp8257 +a(g900 +g958 +tp8258 +a(g548 +V72 +p8259 +tp8260 +a(g548 +V6f +p8261 +tp8262 +a(g900 +V\u000a +p8263 +tp8264 +a(g8 +V0000a70 +p8265 +tp8266 +a(g900 +g958 +tp8267 +a(g548 +V61 +p8268 +tp8269 +a(g548 +V65 +p8270 +tp8271 +a(g900 +g958 +tp8272 +a(g548 +V68 +p8273 +tp8274 +a(g548 +V63 +p8275 +tp8276 +a(g900 +g958 +tp8277 +a(g548 +V28 +p8278 +tp8279 +a(g548 +V20 +p8280 +tp8281 +a(g900 +g958 +tp8282 +a(g548 +V3b +p8283 +tp8284 +a(g548 +V61 +p8285 +tp8286 +a(g900 +g958 +tp8287 +a(g548 +V72 +p8288 +tp8289 +a(g548 +V20 +p8290 +tp8291 +a(g900 +g958 +tp8292 +a(g548 +V73 +p8293 +tp8294 +a(g548 +V65 +p8295 +tp8296 +a(g900 +g958 +tp8297 +a(g548 +V6c +p8298 +tp8299 +a(g548 +V75 +p8300 +tp8301 +a(g900 +g958 +tp8302 +a(g548 +V29 +p8303 +tp8304 +a(g548 +V74 +p8305 +tp8306 +a(g900 +V\u000a +p8307 +tp8308 +a(g8 +V0000a80 +p8309 +tp8310 +a(g900 +g958 +tp8311 +a(g548 +V20 +p8312 +tp8313 +a(g548 +V0a +p8314 +tp8315 +a(g900 +g958 +tp8316 +a(g548 +V20 +p8317 +tp8318 +a(g548 +V20 +p8319 +tp8320 +a(g900 +g958 +tp8321 +a(g548 +V20 +p8322 +tp8323 +a(g548 +V20 +p8324 +tp8325 +a(g900 +g958 +tp8326 +a(g548 +V20 +p8327 +tp8328 +a(g548 +V20 +p8329 +tp8330 +a(g900 +g958 +tp8331 +a(g548 +V20 +p8332 +tp8333 +a(g548 +V20 +p8334 +tp8335 +a(g900 +g958 +tp8336 +a(g548 +V20 +p8337 +tp8338 +a(g548 +V20 +p8339 +tp8340 +a(g900 +g958 +tp8341 +a(g548 +V61 +p8342 +tp8343 +a(g548 +V20 +p8344 +tp8345 +a(g900 +g958 +tp8346 +a(g548 +V73 +p8347 +tp8348 +a(g548 +V73 +p8349 +tp8350 +a(g900 +V\u000a +p8351 +tp8352 +a(g8 +V0000a90 +p8353 +tp8354 +a(g900 +g958 +tp8355 +a(g548 +V72 +p8356 +tp8357 +a(g548 +V65 +p8358 +tp8359 +a(g900 +g958 +tp8360 +a(g548 +V28 +p8361 +tp8362 +a(g548 +V74 +p8363 +tp8364 +a(g900 +g958 +tp8365 +a(g548 +V77 +p8366 +tp8367 +a(g548 +V20 +p8368 +tp8369 +a(g900 +g958 +tp8370 +a(g548 +V72 +p8371 +tp8372 +a(g548 +V6f +p8373 +tp8374 +a(g900 +g958 +tp8375 +a(g548 +V54 +p8376 +tp8377 +a(g548 +V64 +p8378 +tp8379 +a(g900 +g958 +tp8380 +a(g548 +V4e +p8381 +tp8382 +a(g548 +V6f +p8383 +tp8384 +a(g900 +g958 +tp8385 +a(g548 +V6d +p8386 +tp8387 +a(g548 +V75 +p8388 +tp8389 +a(g900 +g958 +tp8390 +a(g548 +V61 +p8391 +tp8392 +a(g548 +V28 +p8393 +tp8394 +a(g900 +V\u000a +p8395 +tp8396 +a(g8 +V0000aa0 +p8397 +tp8398 +a(g900 +g958 +tp8399 +a(g548 +V20 +p8400 +tp8401 +a(g548 +V29 +p8402 +tp8403 +a(g900 +g958 +tp8404 +a(g548 +V3d +p8405 +tp8406 +a(g548 +V3d +p8407 +tp8408 +a(g900 +g958 +tp8409 +a(g548 +V6e +p8410 +tp8411 +a(g548 +V20 +p8412 +tp8413 +a(g900 +g958 +tp8414 +a(g548 +V6d +p8415 +tp8416 +a(g548 +V75 +p8417 +tp8418 +a(g900 +g958 +tp8419 +a(g548 +V65 +p8420 +tp8421 +a(g548 +V62 +p8422 +tp8423 +a(g900 +g958 +tp8424 +a(g548 +V73 +p8425 +tp8426 +a(g548 +V72 +p8427 +tp8428 +a(g900 +g958 +tp8429 +a(g548 +V29 +p8430 +tp8431 +a(g548 +V20 +p8432 +tp8433 +a(g900 +g958 +tp8434 +a(g548 +V0a +p8435 +tp8436 +a(g548 +V3b +p8437 +tp8438 +a(g900 +V\u000a +p8439 +tp8440 +a(g8 +V0000ab0 +p8441 +tp8442 +a(g900 +g958 +tp8443 +a(g548 +V20 +p8444 +tp8445 +a(g548 +V20 +p8446 +tp8447 +a(g900 +g958 +tp8448 +a(g548 +V20 +p8449 +tp8450 +a(g548 +V20 +p8451 +tp8452 +a(g900 +g958 +tp8453 +a(g548 +V20 +p8454 +tp8455 +a(g548 +V7d +p8456 +tp8457 +a(g900 +g958 +tp8458 +a(g548 +V20 +p8459 +tp8460 +a(g548 +V20 +p8461 +tp8462 +a(g900 +g958 +tp8463 +a(g548 +V0a +p8464 +tp8465 +a(g548 +V20 +p8466 +tp8467 +a(g900 +g958 +tp8468 +a(g548 +V20 +p8469 +tp8470 +a(g548 +V20 +p8471 +tp8472 +a(g900 +g958 +tp8473 +a(g548 +V20 +p8474 +tp8475 +a(g548 +V20 +p8476 +tp8477 +a(g900 +g958 +tp8478 +a(g548 +V6f +p8479 +tp8480 +a(g548 +V62 +p8481 +tp8482 +a(g900 +V\u000a +p8483 +tp8484 +a(g8 +V0000ac0 +p8485 +tp8486 +a(g900 +g958 +tp8487 +a(g548 +V79 +p8488 +tp8489 +a(g548 +V64 +p8490 +tp8491 +a(g900 +g958 +tp8492 +a(g548 +V7b +p8493 +tp8494 +a(g548 +V20 +p8495 +tp8496 +a(g900 +g958 +tp8497 +a(g548 +V20 +p8498 +tp8499 +a(g548 +V0a +p8500 +tp8501 +a(g900 +g958 +tp8502 +a(g548 +V20 +p8503 +tp8504 +a(g548 +V20 +p8505 +tp8506 +a(g900 +g958 +tp8507 +a(g548 +V20 +p8508 +tp8509 +a(g548 +V20 +p8510 +tp8511 +a(g900 +g958 +tp8512 +a(g548 +V20 +p8513 +tp8514 +a(g548 +V20 +p8515 +tp8516 +a(g900 +g958 +tp8517 +a(g548 +V73 +p8518 +tp8519 +a(g548 +V20 +p8520 +tp8521 +a(g900 +g958 +tp8522 +a(g548 +V72 +p8523 +tp8524 +a(g548 +V74 +p8525 +tp8526 +a(g900 +V\u000a +p8527 +tp8528 +a(g8 +V0000ad0 +p8529 +tp8530 +a(g900 +g958 +tp8531 +a(g548 +V6e +p8532 +tp8533 +a(g548 +V69 +p8534 +tp8535 +a(g900 +g958 +tp8536 +a(g548 +V61 +p8537 +tp8538 +a(g548 +V67 +p8539 +tp8540 +a(g900 +g958 +tp8541 +a(g548 +V72 +p8542 +tp8543 +a(g548 +V72 +p8544 +tp8545 +a(g900 +g958 +tp8546 +a(g548 +V79 +p8547 +tp8548 +a(g548 +V61 +p8549 +tp8550 +a(g900 +g958 +tp8551 +a(g548 +V72 +p8552 +tp8553 +a(g548 +V20 +p8554 +tp8555 +a(g900 +g958 +tp8556 +a(g548 +V74 +p8557 +tp8558 +a(g548 +V65 +p8559 +tp8560 +a(g900 +g958 +tp8561 +a(g548 +V0a +p8562 +tp8563 +a(g548 +V3b +p8564 +tp8565 +a(g900 +g958 +tp8566 +a(g548 +V20 +p8567 +tp8568 +a(g548 +V20 +p8569 +tp8570 +a(g900 +V\u000a +p8571 +tp8572 +a(g8 +V0000ae0 +p8573 +tp8574 +a(g900 +g958 +tp8575 +a(g548 +V20 +p8576 +tp8577 +a(g548 +V20 +p8578 +tp8579 +a(g900 +g958 +tp8580 +a(g548 +V20 +p8581 +tp8582 +a(g548 +V20 +p8583 +tp8584 +a(g900 +g958 +tp8585 +a(g548 +V20 +p8586 +tp8587 +a(g548 +V20 +p8588 +tp8589 +a(g900 +g958 +tp8590 +a(g548 +V6f +p8591 +tp8592 +a(g548 +V62 +p8593 +tp8594 +a(g900 +g958 +tp8595 +a(g548 +V6c +p8596 +tp8597 +a(g548 +V6f +p8598 +tp8599 +a(g900 +g958 +tp8600 +a(g548 +V66 +p8601 +tp8602 +a(g548 +V20 +p8603 +tp8604 +a(g900 +g958 +tp8605 +a(g548 +V75 +p8606 +tp8607 +a(g548 +V6f +p8608 +tp8609 +a(g900 +g958 +tp8610 +a(g548 +V64 +p8611 +tp8612 +a(g548 +V6e +p8613 +tp8614 +a(g900 +V\u000a +p8615 +tp8616 +a(g8 +V0000af0 +p8617 +tp8618 +a(g900 +g958 +tp8619 +a(g548 +V6f +p8620 +tp8621 +a(g548 +V77 +p8622 +tp8623 +a(g900 +g958 +tp8624 +a(g548 +V64 +p8625 +tp8626 +a(g548 +V72 +p8627 +tp8628 +a(g900 +g958 +tp8629 +a(g548 +V3d +p8630 +tp8631 +a(g548 +V20 +p8632 +tp8633 +a(g900 +g958 +tp8634 +a(g548 +V66 +p8635 +tp8636 +a(g548 +V20 +p8637 +tp8638 +a(g900 +g958 +tp8639 +a(g548 +V6c +p8640 +tp8641 +a(g548 +V61 +p8642 +tp8643 +a(g900 +g958 +tp8644 +a(g548 +V65 +p8645 +tp8646 +a(g548 +V73 +p8647 +tp8648 +a(g900 +g958 +tp8649 +a(g548 +V0a +p8650 +tp8651 +a(g548 +V3b +p8652 +tp8653 +a(g900 +g958 +tp8654 +a(g548 +V20 +p8655 +tp8656 +a(g548 +V20 +p8657 +tp8658 +a(g900 +V\u000a +p8659 +tp8660 +a(g8 +V0000b00 +p8661 +tp8662 +a(g900 +g958 +tp8663 +a(g548 +V20 +p8664 +tp8665 +a(g548 +V20 +p8666 +tp8667 +a(g900 +g958 +tp8668 +a(g548 +V20 +p8669 +tp8670 +a(g548 +V20 +p8671 +tp8672 +a(g900 +g958 +tp8673 +a(g548 +V20 +p8674 +tp8675 +a(g548 +V20 +p8676 +tp8677 +a(g900 +g958 +tp8678 +a(g548 +V6f +p8679 +tp8680 +a(g548 +V66 +p8681 +tp8682 +a(g900 +g958 +tp8683 +a(g548 +V20 +p8684 +tp8685 +a(g548 +V72 +p8686 +tp8687 +a(g900 +g958 +tp8688 +a(g548 +V75 +p8689 +tp8690 +a(g548 +V28 +p8691 +tp8692 +a(g900 +g958 +tp8693 +a(g548 +V6e +p8694 +tp8695 +a(g548 +V69 +p8696 +tp8697 +a(g900 +g958 +tp8698 +a(g548 +V20 +p8699 +tp8700 +a(g548 +V74 +p8701 +tp8702 +a(g900 +V\u000a +p8703 +tp8704 +a(g8 +V0000b10 +p8705 +tp8706 +a(g900 +g958 +tp8707 +a(g548 +V3d +p8708 +tp8709 +a(g548 +V74 +p8710 +tp8711 +a(g900 +g958 +tp8712 +a(g548 +V3b +p8713 +tp8714 +a(g548 +V31 +p8715 +tp8716 +a(g900 +g958 +tp8717 +a(g548 +V74 +p8718 +tp8719 +a(g548 +V20 +p8720 +tp8721 +a(g900 +g958 +tp8722 +a(g548 +V3d +p8723 +tp8724 +a(g548 +V3c +p8725 +tp8726 +a(g900 +g958 +tp8727 +a(g548 +V75 +p8728 +tp8729 +a(g548 +V6e +p8730 +tp8731 +a(g900 +g958 +tp8732 +a(g548 +V62 +p8733 +tp8734 +a(g548 +V6d +p8735 +tp8736 +a(g900 +g958 +tp8737 +a(g548 +V72 +p8738 +tp8739 +a(g548 +V65 +p8740 +tp8741 +a(g900 +g958 +tp8742 +a(g548 +V2e +p8743 +tp8744 +a(g548 +V73 +p8745 +tp8746 +a(g900 +V\u000a +p8747 +tp8748 +a(g8 +V0000b20 +p8749 +tp8750 +a(g900 +g958 +tp8751 +a(g548 +V65 +p8752 +tp8753 +a(g548 +V6c +p8754 +tp8755 +a(g900 +g958 +tp8756 +a(g548 +V67 +p8757 +tp8758 +a(g548 +V6e +p8759 +tp8760 +a(g900 +g958 +tp8761 +a(g548 +V68 +p8762 +tp8763 +a(g548 +V74 +p8764 +tp8765 +a(g900 +g958 +tp8766 +a(g548 +V20 +p8767 +tp8768 +a(g548 +V3b +p8769 +tp8770 +a(g900 +g958 +tp8771 +a(g548 +V2b +p8772 +tp8773 +a(g548 +V2b +p8774 +tp8775 +a(g900 +g958 +tp8776 +a(g548 +V29 +p8777 +tp8778 +a(g548 +V74 +p8779 +tp8780 +a(g900 +g958 +tp8781 +a(g548 +V7b +p8782 +tp8783 +a(g548 +V20 +p8784 +tp8785 +a(g900 +g958 +tp8786 +a(g548 +V20 +p8787 +tp8788 +a(g548 +V0a +p8789 +tp8790 +a(g900 +V\u000a +p8791 +tp8792 +a(g8 +V0000b30 +p8793 +tp8794 +a(g900 +g958 +tp8795 +a(g548 +V20 +p8796 +tp8797 +a(g548 +V20 +p8798 +tp8799 +a(g900 +g958 +tp8800 +a(g548 +V20 +p8801 +tp8802 +a(g548 +V20 +p8803 +tp8804 +a(g900 +g958 +tp8805 +a(g548 +V20 +p8806 +tp8807 +a(g548 +V20 +p8808 +tp8809 +a(g900 +g958 +tp8810 +a(g548 +V20 +p8811 +tp8812 +a(g548 +V20 +p8813 +tp8814 +a(g900 +g958 +tp8815 +a(g548 +V20 +p8816 +tp8817 +a(g548 +V20 +p8818 +tp8819 +a(g900 +g958 +tp8820 +a(g548 +V61 +p8821 +tp8822 +a(g548 +V20 +p8823 +tp8824 +a(g900 +g958 +tp8825 +a(g548 +V74 +p8826 +tp8827 +a(g548 +V75 +p8828 +tp8829 +a(g900 +g958 +tp8830 +a(g548 +V20 +p8831 +tp8832 +a(g548 +V6f +p8833 +tp8834 +a(g900 +V\u000a +p8835 +tp8836 +a(g8 +V0000b40 +p8837 +tp8838 +a(g900 +g958 +tp8839 +a(g548 +V6c +p8840 +tp8841 +a(g548 +V61 +p8842 +tp8843 +a(g900 +g958 +tp8844 +a(g548 +V65 +p8845 +tp8846 +a(g548 +V74 +p8847 +tp8848 +a(g900 +g958 +tp8849 +a(g548 +V6e +p8850 +tp8851 +a(g548 +V72 +p8852 +tp8853 +a(g900 +g958 +tp8854 +a(g548 +V74 +p8855 +tp8856 +a(g548 +V61 +p8857 +tp8858 +a(g900 +g958 +tp8859 +a(g548 +V76 +p8860 +tp8861 +a(g548 +V69 +p8862 +tp8863 +a(g900 +g958 +tp8864 +a(g548 +V73 +p8865 +tp8866 +a(g548 +V65 +p8867 +tp8868 +a(g900 +g958 +tp8869 +a(g548 +V3d +p8870 +tp8871 +a(g548 +V20 +p8872 +tp8873 +a(g900 +g958 +tp8874 +a(g548 +V6e +p8875 +tp8876 +a(g548 +V20 +p8877 +tp8878 +a(g900 +V\u000a +p8879 +tp8880 +a(g8 +V0000b50 +p8881 +tp8882 +a(g900 +g958 +tp8883 +a(g548 +V6d +p8884 +tp8885 +a(g548 +V75 +p8886 +tp8887 +a(g900 +g958 +tp8888 +a(g548 +V65 +p8889 +tp8890 +a(g548 +V62 +p8891 +tp8892 +a(g900 +g958 +tp8893 +a(g548 +V73 +p8894 +tp8895 +a(g548 +V72 +p8896 +tp8897 +a(g900 +g958 +tp8898 +a(g548 +V30 +p8899 +tp8900 +a(g548 +V5b +p8901 +tp8902 +a(g900 +g958 +tp8903 +a(g548 +V2e +p8904 +tp8905 +a(g548 +V2e +p8906 +tp8907 +a(g900 +g958 +tp8908 +a(g548 +V5d +p8909 +tp8910 +a(g548 +V74 +p8911 +tp8912 +a(g900 +g958 +tp8913 +a(g548 +V69 +p8914 +tp8915 +a(g548 +V20 +p8916 +tp8917 +a(g900 +g958 +tp8918 +a(g548 +V20 +p8919 +tp8920 +a(g548 +V6e +p8921 +tp8922 +a(g900 +V\u000a +p8923 +tp8924 +a(g8 +V0000b60 +p8925 +tp8926 +a(g900 +g958 +tp8927 +a(g548 +V75 +p8928 +tp8929 +a(g548 +V6e +p8930 +tp8931 +a(g900 +g958 +tp8932 +a(g548 +V32 +p8933 +tp8934 +a(g548 +V6d +p8935 +tp8936 +a(g900 +g958 +tp8937 +a(g548 +V6f +p8938 +tp8939 +a(g548 +V77 +p8940 +tp8941 +a(g900 +g958 +tp8942 +a(g548 +V64 +p8943 +tp8944 +a(g548 +V72 +p8945 +tp8946 +a(g900 +g958 +tp8947 +a(g548 +V3b +p8948 +tp8949 +a(g548 +V73 +p8950 +tp8951 +a(g900 +g958 +tp8952 +a(g548 +V20 +p8953 +tp8954 +a(g548 +V0a +p8955 +tp8956 +a(g900 +g958 +tp8957 +a(g548 +V20 +p8958 +tp8959 +a(g548 +V20 +p8960 +tp8961 +a(g900 +g958 +tp8962 +a(g548 +V20 +p8963 +tp8964 +a(g548 +V20 +p8965 +tp8966 +a(g900 +V\u000a +p8967 +tp8968 +a(g8 +V0000b70 +p8969 +tp8970 +a(g900 +g958 +tp8971 +a(g548 +V20 +p8972 +tp8973 +a(g548 +V20 +p8974 +tp8975 +a(g900 +g958 +tp8976 +a(g548 +V20 +p8977 +tp8978 +a(g548 +V20 +p8979 +tp8980 +a(g900 +g958 +tp8981 +a(g548 +V20 +p8982 +tp8983 +a(g548 +V20 +p8984 +tp8985 +a(g900 +g958 +tp8986 +a(g548 +V69 +p8987 +tp8988 +a(g548 +V20 +p8989 +tp8990 +a(g900 +g958 +tp8991 +a(g548 +V20 +p8992 +tp8993 +a(g548 +V66 +p8994 +tp8995 +a(g900 +g958 +tp8996 +a(g548 +V21 +p8997 +tp8998 +a(g548 +V28 +p8999 +tp9000 +a(g900 +g958 +tp9001 +a(g548 +V6c +p9002 +tp9003 +a(g548 +V61 +p9004 +tp9005 +a(g900 +g958 +tp9006 +a(g548 +V65 +p9007 +tp9008 +a(g548 +V74 +p9009 +tp9010 +a(g900 +V\u000a +p9011 +tp9012 +a(g8 +V0000b80 +p9013 +tp9014 +a(g900 +g958 +tp9015 +a(g548 +V6e +p9016 +tp9017 +a(g548 +V72 +p9018 +tp9019 +a(g900 +g958 +tp9020 +a(g548 +V74 +p9021 +tp9022 +a(g548 +V61 +p9023 +tp9024 +a(g900 +g958 +tp9025 +a(g548 +V76 +p9026 +tp9027 +a(g548 +V69 +p9028 +tp9029 +a(g900 +g958 +tp9030 +a(g548 +V73 +p9031 +tp9032 +a(g548 +V65 +p9033 +tp9034 +a(g900 +g958 +tp9035 +a(g548 +V0a +p9036 +tp9037 +a(g548 +V29 +p9038 +tp9039 +a(g900 +g958 +tp9040 +a(g548 +V20 +p9041 +tp9042 +a(g548 +V20 +p9043 +tp9044 +a(g900 +g958 +tp9045 +a(g548 +V20 +p9046 +tp9047 +a(g548 +V20 +p9048 +tp9049 +a(g900 +g958 +tp9050 +a(g548 +V20 +p9051 +tp9052 +a(g548 +V20 +p9053 +tp9054 +a(g900 +V\u000a +p9055 +tp9056 +a(g8 +V0000b90 +p9057 +tp9058 +a(g900 +g958 +tp9059 +a(g548 +V20 +p9060 +tp9061 +a(g548 +V20 +p9062 +tp9063 +a(g900 +g958 +tp9064 +a(g548 +V20 +p9065 +tp9066 +a(g548 +V20 +p9067 +tp9068 +a(g900 +g958 +tp9069 +a(g548 +V20 +p9070 +tp9071 +a(g548 +V20 +p9072 +tp9073 +a(g900 +g958 +tp9074 +a(g548 +V20 +p9075 +tp9076 +a(g548 +V20 +p9077 +tp9078 +a(g900 +g958 +tp9079 +a(g548 +V20 +p9080 +tp9081 +a(g548 +V20 +p9082 +tp9083 +a(g900 +g958 +tp9084 +a(g548 +V6f +p9085 +tp9086 +a(g548 +V63 +p9087 +tp9088 +a(g900 +g958 +tp9089 +a(g548 +V74 +p9090 +tp9091 +a(g548 +V6e +p9092 +tp9093 +a(g900 +g958 +tp9094 +a(g548 +V6e +p9095 +tp9096 +a(g548 +V69 +p9097 +tp9098 +a(g900 +V\u000a +p9099 +tp9100 +a(g8 +V0000ba0 +p9101 +tp9102 +a(g900 +g958 +tp9103 +a(g548 +V65 +p9104 +tp9105 +a(g548 +V75 +p9106 +tp9107 +a(g900 +g958 +tp9108 +a(g548 +V0a +p9109 +tp9110 +a(g548 +V3b +p9111 +tp9112 +a(g900 +g958 +tp9113 +a(g548 +V20 +p9114 +tp9115 +a(g548 +V20 +p9116 +tp9117 +a(g900 +g958 +tp9118 +a(g548 +V20 +p9119 +tp9120 +a(g548 +V20 +p9121 +tp9122 +a(g900 +g958 +tp9123 +a(g548 +V20 +p9124 +tp9125 +a(g548 +V20 +p9126 +tp9127 +a(g900 +g958 +tp9128 +a(g548 +V20 +p9129 +tp9130 +a(g548 +V20 +p9131 +tp9132 +a(g900 +g958 +tp9133 +a(g548 +V20 +p9134 +tp9135 +a(g548 +V20 +p9136 +tp9137 +a(g900 +g958 +tp9138 +a(g548 +V20 +p9139 +tp9140 +a(g548 +V20 +p9141 +tp9142 +a(g900 +V\u000a +p9143 +tp9144 +a(g8 +V0000bb0 +p9145 +tp9146 +a(g900 +g958 +tp9147 +a(g548 +V6f +p9148 +tp9149 +a(g548 +V66 +p9150 +tp9151 +a(g900 +g958 +tp9152 +a(g548 +V6e +p9153 +tp9154 +a(g548 +V75 +p9155 +tp9156 +a(g900 +g958 +tp9157 +a(g548 +V77 +p9158 +tp9159 +a(g548 +V64 +p9160 +tp9161 +a(g900 +g958 +tp9162 +a(g548 +V72 +p9163 +tp9164 +a(g548 +V6f +p9165 +tp9166 +a(g900 +g958 +tp9167 +a(g548 +V20 +p9168 +tp9169 +a(g548 +V64 +p9170 +tp9171 +a(g900 +g958 +tp9172 +a(g548 +V20 +p9173 +tp9174 +a(g548 +V3d +p9175 +tp9176 +a(g900 +g958 +tp9177 +a(g548 +V72 +p9178 +tp9179 +a(g548 +V74 +p9180 +tp9181 +a(g900 +g958 +tp9182 +a(g548 +V65 +p9183 +tp9184 +a(g548 +V75 +p9185 +tp9186 +a(g900 +V\u000a +p9187 +tp9188 +a(g8 +V0000bc0 +p9189 +tp9190 +a(g900 +g958 +tp9191 +a(g548 +V0a +p9192 +tp9193 +a(g548 +V3b +p9194 +tp9195 +a(g900 +g958 +tp9196 +a(g548 +V20 +p9197 +tp9198 +a(g548 +V20 +p9199 +tp9200 +a(g900 +g958 +tp9201 +a(g548 +V20 +p9202 +tp9203 +a(g548 +V20 +p9204 +tp9205 +a(g900 +g958 +tp9206 +a(g548 +V20 +p9207 +tp9208 +a(g548 +V20 +p9209 +tp9210 +a(g900 +g958 +tp9211 +a(g548 +V20 +p9212 +tp9213 +a(g548 +V20 +p9214 +tp9215 +a(g900 +g958 +tp9216 +a(g548 +V20 +p9217 +tp9218 +a(g548 +V20 +p9219 +tp9220 +a(g900 +g958 +tp9221 +a(g548 +V20 +p9222 +tp9223 +a(g548 +V20 +p9224 +tp9225 +a(g900 +g958 +tp9226 +a(g548 +V66 +p9227 +tp9228 +a(g548 +V69 +p9229 +tp9230 +a(g900 +V\u000a +p9231 +tp9232 +a(g8 +V0000bd0 +p9233 +tp9234 +a(g900 +g958 +tp9235 +a(g548 +V28 +p9236 +tp9237 +a(g548 +V20 +p9238 +tp9239 +a(g900 +g958 +tp9240 +a(g548 +V75 +p9241 +tp9242 +a(g548 +V6e +p9243 +tp9244 +a(g900 +g958 +tp9245 +a(g548 +V62 +p9246 +tp9247 +a(g548 +V6d +p9248 +tp9249 +a(g900 +g958 +tp9250 +a(g548 +V72 +p9251 +tp9252 +a(g548 +V65 +p9253 +tp9254 +a(g900 +g958 +tp9255 +a(g548 +V2e +p9256 +tp9257 +a(g548 +V73 +p9258 +tp9259 +a(g900 +g958 +tp9260 +a(g548 +V65 +p9261 +tp9262 +a(g548 +V6c +p9263 +tp9264 +a(g900 +g958 +tp9265 +a(g548 +V67 +p9266 +tp9267 +a(g548 +V6e +p9268 +tp9269 +a(g900 +g958 +tp9270 +a(g548 +V68 +p9271 +tp9272 +a(g548 +V74 +p9273 +tp9274 +a(g900 +V\u000a +p9275 +tp9276 +a(g8 +V0000be0 +p9277 +tp9278 +a(g900 +g958 +tp9279 +a(g548 +V3e +p9280 +tp9281 +a(g548 +V20 +p9282 +tp9283 +a(g900 +g958 +tp9284 +a(g548 +V20 +p9285 +tp9286 +a(g548 +V20 +p9287 +tp9288 +a(g900 +g958 +tp9289 +a(g548 +V29 +p9290 +tp9291 +a(g548 +V74 +p9292 +tp9293 +a(g900 +g958 +tp9294 +a(g548 +V7b +p9295 +tp9296 +a(g548 +V20 +p9297 +tp9298 +a(g900 +g958 +tp9299 +a(g548 +V20 +p9300 +tp9301 +a(g548 +V0a +p9302 +tp9303 +a(g900 +g958 +tp9304 +a(g548 +V20 +p9305 +tp9306 +a(g548 +V20 +p9307 +tp9308 +a(g900 +g958 +tp9309 +a(g548 +V20 +p9310 +tp9311 +a(g548 +V20 +p9312 +tp9313 +a(g900 +g958 +tp9314 +a(g548 +V20 +p9315 +tp9316 +a(g548 +V20 +p9317 +tp9318 +a(g900 +V\u000a +p9319 +tp9320 +a(g8 +V0000bf0 +p9321 +tp9322 +a(g900 +g958 +tp9323 +a(g548 +V20 +p9324 +tp9325 +a(g548 +V20 +p9326 +tp9327 +a(g900 +g958 +tp9328 +a(g548 +V20 +p9329 +tp9330 +a(g548 +V20 +p9331 +tp9332 +a(g900 +g958 +tp9333 +a(g548 +V20 +p9334 +tp9335 +a(g548 +V20 +p9336 +tp9337 +a(g900 +g958 +tp9338 +a(g548 +V20 +p9339 +tp9340 +a(g548 +V20 +p9341 +tp9342 +a(g900 +g958 +tp9343 +a(g548 +V2f +p9344 +tp9345 +a(g548 +V20 +p9346 +tp9347 +a(g900 +g958 +tp9348 +a(g548 +V20 +p9349 +tp9350 +a(g548 +V2f +p9351 +tp9352 +a(g900 +g958 +tp9353 +a(g548 +V6f +p9354 +tp9355 +a(g548 +V43 +p9356 +tp9357 +a(g900 +g958 +tp9358 +a(g548 +V62 +p9359 +tp9360 +a(g548 +V6d +p9361 +tp9362 +a(g900 +V\u000a +p9363 +tp9364 +a(g8 +V0000c00 +p9365 +tp9366 +a(g900 +g958 +tp9367 +a(g548 +V6e +p9368 +tp9369 +a(g548 +V69 +p9370 +tp9371 +a(g900 +g958 +tp9372 +a(g548 +V20 +p9373 +tp9374 +a(g548 +V65 +p9375 +tp9376 +a(g900 +g958 +tp9377 +a(g548 +V6c +p9378 +tp9379 +a(g548 +V61 +p9380 +tp9381 +a(g900 +g958 +tp9382 +a(g548 +V20 +p9383 +tp9384 +a(g548 +V6c +p9385 +tp9386 +a(g900 +g958 +tp9387 +a(g548 +V75 +p9388 +tp9389 +a(g548 +V63 +p9390 +tp9391 +a(g900 +g958 +tp9392 +a(g548 +V72 +p9393 +tp9394 +a(g548 +V72 +p9395 +tp9396 +a(g900 +g958 +tp9397 +a(g548 +V6e +p9398 +tp9399 +a(g548 +V65 +p9400 +tp9401 +a(g900 +g958 +tp9402 +a(g548 +V20 +p9403 +tp9404 +a(g548 +V74 +p9405 +tp9406 +a(g900 +V\u000a +p9407 +tp9408 +a(g8 +V0000c10 +p9409 +tp9410 +a(g900 +g958 +tp9411 +a(g548 +V6c +p9412 +tp9413 +a(g548 +V61 +p9414 +tp9415 +a(g900 +g958 +tp9416 +a(g548 +V65 +p9417 +tp9418 +a(g548 +V74 +p9419 +tp9420 +a(g900 +g958 +tp9421 +a(g548 +V6e +p9422 +tp9423 +a(g548 +V72 +p9424 +tp9425 +a(g900 +g958 +tp9426 +a(g548 +V74 +p9427 +tp9428 +a(g548 +V61 +p9429 +tp9430 +a(g900 +g958 +tp9431 +a(g548 +V76 +p9432 +tp9433 +a(g548 +V69 +p9434 +tp9435 +a(g900 +g958 +tp9436 +a(g548 +V73 +p9437 +tp9438 +a(g548 +V65 +p9439 +tp9440 +a(g900 +g958 +tp9441 +a(g548 +V77 +p9442 +tp9443 +a(g548 +V20 +p9444 +tp9445 +a(g900 +g958 +tp9446 +a(g548 +V74 +p9447 +tp9448 +a(g548 +V69 +p9449 +tp9450 +a(g900 +V\u000a +p9451 +tp9452 +a(g8 +V0000c20 +p9453 +tp9454 +a(g900 +g958 +tp9455 +a(g548 +V20 +p9456 +tp9457 +a(g548 +V68 +p9458 +tp9459 +a(g900 +g958 +tp9460 +a(g548 +V6c +p9461 +tp9462 +a(g548 +V61 +p9463 +tp9464 +a(g900 +g958 +tp9465 +a(g548 +V20 +p9466 +tp9467 +a(g548 +V6c +p9468 +tp9469 +a(g900 +g958 +tp9470 +a(g548 +V6c +p9471 +tp9472 +a(g548 +V61 +p9473 +tp9474 +a(g900 +g958 +tp9475 +a(g548 +V65 +p9476 +tp9477 +a(g548 +V74 +p9478 +tp9479 +a(g900 +g958 +tp9480 +a(g548 +V6e +p9481 +tp9482 +a(g548 +V72 +p9483 +tp9484 +a(g900 +g958 +tp9485 +a(g548 +V74 +p9486 +tp9487 +a(g548 +V61 +p9488 +tp9489 +a(g900 +g958 +tp9490 +a(g548 +V76 +p9491 +tp9492 +a(g548 +V69 +p9493 +tp9494 +a(g900 +V\u000a +p9495 +tp9496 +a(g8 +V0000c30 +p9497 +tp9498 +a(g900 +g958 +tp9499 +a(g548 +V73 +p9500 +tp9501 +a(g548 +V65 +p9502 +tp9503 +a(g900 +g958 +tp9504 +a(g548 +V20 +p9505 +tp9506 +a(g548 +V20 +p9507 +tp9508 +a(g900 +g958 +tp9509 +a(g548 +V20 +p9510 +tp9511 +a(g548 +V20 +p9512 +tp9513 +a(g900 +g958 +tp9514 +a(g548 +V0a +p9515 +tp9516 +a(g548 +V20 +p9517 +tp9518 +a(g900 +g958 +tp9519 +a(g548 +V20 +p9520 +tp9521 +a(g548 +V20 +p9522 +tp9523 +a(g900 +g958 +tp9524 +a(g548 +V20 +p9525 +tp9526 +a(g548 +V20 +p9527 +tp9528 +a(g900 +g958 +tp9529 +a(g548 +V20 +p9530 +tp9531 +a(g548 +V20 +p9532 +tp9533 +a(g900 +g958 +tp9534 +a(g548 +V20 +p9535 +tp9536 +a(g548 +V20 +p9537 +tp9538 +a(g900 +V\u000a +p9539 +tp9540 +a(g8 +V0000c40 +p9541 +tp9542 +a(g900 +g958 +tp9543 +a(g548 +V20 +p9544 +tp9545 +a(g548 +V20 +p9546 +tp9547 +a(g900 +g958 +tp9548 +a(g548 +V20 +p9549 +tp9550 +a(g548 +V20 +p9551 +tp9552 +a(g900 +g958 +tp9553 +a(g548 +V20 +p9554 +tp9555 +a(g548 +V20 +p9556 +tp9557 +a(g900 +g958 +tp9558 +a(g548 +V20 +p9559 +tp9560 +a(g548 +V20 +p9561 +tp9562 +a(g900 +g958 +tp9563 +a(g548 +V2f +p9564 +tp9565 +a(g548 +V2f +p9566 +tp9567 +a(g900 +g958 +tp9568 +a(g548 +V6f +p9569 +tp9570 +a(g548 +V20 +p9571 +tp9572 +a(g900 +g958 +tp9573 +a(g548 +V20 +p9574 +tp9575 +a(g548 +V66 +p9576 +tp9577 +a(g900 +g958 +tp9578 +a(g548 +V68 +p9579 +tp9580 +a(g548 +V74 +p9581 +tp9582 +a(g900 +V\u000a +p9583 +tp9584 +a(g8 +V0000c50 +p9585 +tp9586 +a(g900 +g958 +tp9587 +a(g548 +V20 +p9588 +tp9589 +a(g548 +V65 +p9590 +tp9591 +a(g900 +g958 +tp9592 +a(g548 +V65 +p9593 +tp9594 +a(g548 +V72 +p9595 +tp9596 +a(g900 +g958 +tp9597 +a(g548 +V74 +p9598 +tp9599 +a(g548 +V73 +p9600 +tp9601 +a(g900 +g958 +tp9602 +a(g548 +V28 +p9603 +tp9604 +a(g548 +V20 +p9605 +tp9606 +a(g900 +g958 +tp9607 +a(g548 +V65 +p9608 +tp9609 +a(g548 +V6e +p9610 +tp9611 +a(g900 +g958 +tp9612 +a(g548 +V74 +p9613 +tp9614 +a(g548 +V78 +p9615 +tp9616 +a(g900 +g958 +tp9617 +a(g548 +V70 +p9618 +tp9619 +a(g548 +V20 +p9620 +tp9621 +a(g900 +g958 +tp9622 +a(g548 +V65 +p9623 +tp9624 +a(g548 +V69 +p9625 +tp9626 +a(g900 +V\u000a +p9627 +tp9628 +a(g8 +V0000c60 +p9629 +tp9630 +a(g900 +g958 +tp9631 +a(g548 +V65 +p9632 +tp9633 +a(g548 +V63 +p9634 +tp9635 +a(g900 +g958 +tp9636 +a(g548 +V63 +p9637 +tp9638 +a(g548 +V20 +p9639 +tp9640 +a(g900 +g958 +tp9641 +a(g548 +V6e +p9642 +tp9643 +a(g548 +V61 +p9644 +tp9645 +a(g900 +g958 +tp9646 +a(g548 +V73 +p9647 +tp9648 +a(g548 +V20 +p9649 +tp9650 +a(g900 +g958 +tp9651 +a(g548 +V61 +p9652 +tp9653 +a(g548 +V74 +p9654 +tp9655 +a(g900 +g958 +tp9656 +a(g548 +V74 +p9657 +tp9658 +a(g548 +V72 +p9659 +tp9660 +a(g900 +g958 +tp9661 +a(g548 +V77 +p9662 +tp9663 +a(g548 +V20 +p9664 +tp9665 +a(g900 +g958 +tp9666 +a(g548 +V74 +p9667 +tp9668 +a(g548 +V69 +p9669 +tp9670 +a(g900 +V\u000a +p9671 +tp9672 +a(g8 +V0000c70 +p9673 +tp9674 +a(g900 +g958 +tp9675 +a(g548 +V20 +p9676 +tp9677 +a(g548 +V68 +p9678 +tp9679 +a(g900 +g958 +tp9680 +a(g548 +V20 +p9681 +tp9682 +a(g548 +V61 +p9683 +tp9684 +a(g900 +g958 +tp9685 +a(g548 +V69 +p9686 +tp9687 +a(g548 +V64 +p9688 +tp9689 +a(g900 +g958 +tp9690 +a(g548 +V69 +p9691 +tp9692 +a(g548 +V67 +p9693 +tp9694 +a(g900 +g958 +tp9695 +a(g548 +V29 +p9696 +tp9697 +a(g548 +V74 +p9698 +tp9699 +a(g900 +g958 +tp9700 +a(g548 +V20 +p9701 +tp9702 +a(g548 +V20 +p9703 +tp9704 +a(g900 +g958 +tp9705 +a(g548 +V20 +p9706 +tp9707 +a(g548 +V20 +p9708 +tp9709 +a(g900 +g958 +tp9710 +a(g548 +V20 +p9711 +tp9712 +a(g548 +V20 +p9713 +tp9714 +a(g900 +V\u000a +p9715 +tp9716 +a(g8 +V0000c80 +p9717 +tp9718 +a(g900 +g958 +tp9719 +a(g548 +V20 +p9720 +tp9721 +a(g548 +V20 +p9722 +tp9723 +a(g900 +g958 +tp9724 +a(g548 +V20 +p9725 +tp9726 +a(g548 +V20 +p9727 +tp9728 +a(g900 +g958 +tp9729 +a(g548 +V20 +p9730 +tp9731 +a(g548 +V20 +p9732 +tp9733 +a(g900 +g958 +tp9734 +a(g548 +V20 +p9735 +tp9736 +a(g548 +V20 +p9737 +tp9738 +a(g900 +g958 +tp9739 +a(g548 +V20 +p9740 +tp9741 +a(g548 +V0a +p9742 +tp9743 +a(g900 +g958 +tp9744 +a(g548 +V20 +p9745 +tp9746 +a(g548 +V20 +p9747 +tp9748 +a(g900 +g958 +tp9749 +a(g548 +V20 +p9750 +tp9751 +a(g548 +V20 +p9752 +tp9753 +a(g900 +g958 +tp9754 +a(g548 +V20 +p9755 +tp9756 +a(g548 +V20 +p9757 +tp9758 +a(g900 +V\u000a +p9759 +tp9760 +a(g8 +V0000c90 +p9761 +tp9762 +a(g900 +g958 +tp9763 +a(g548 +V20 +p9764 +tp9765 +a(g548 +V20 +p9766 +tp9767 +a(g900 +g958 +tp9768 +a(g548 +V20 +p9769 +tp9770 +a(g548 +V20 +p9771 +tp9772 +a(g900 +g958 +tp9773 +a(g548 +V20 +p9774 +tp9775 +a(g548 +V20 +p9776 +tp9777 +a(g900 +g958 +tp9778 +a(g548 +V20 +p9779 +tp9780 +a(g548 +V20 +p9781 +tp9782 +a(g900 +g958 +tp9783 +a(g548 +V66 +p9784 +tp9785 +a(g548 +V20 +p9786 +tp9787 +a(g900 +g958 +tp9788 +a(g548 +V72 +p9789 +tp9790 +a(g548 +V6f +p9791 +tp9792 +a(g900 +g958 +tp9793 +a(g548 +V61 +p9794 +tp9795 +a(g548 +V65 +p9796 +tp9797 +a(g900 +g958 +tp9798 +a(g548 +V68 +p9799 +tp9800 +a(g548 +V63 +p9801 +tp9802 +a(g900 +V\u000a +p9803 +tp9804 +a(g8 +V0000ca0 +p9805 +tp9806 +a(g900 +g958 +tp9807 +a(g548 +V28 +p9808 +tp9809 +a(g548 +V20 +p9810 +tp9811 +a(g900 +g958 +tp9812 +a(g548 +V32 +p9813 +tp9814 +a(g548 +V61 +p9815 +tp9816 +a(g900 +g958 +tp9817 +a(g548 +V20 +p9818 +tp9819 +a(g548 +V3b +p9820 +tp9821 +a(g900 +g958 +tp9822 +a(g548 +V46 +p9823 +tp9824 +a(g548 +V5f +p9825 +tp9826 +a(g900 +g958 +tp9827 +a(g548 +V6e +p9828 +tp9829 +a(g548 +V69 +p9830 +tp9831 +a(g900 +g958 +tp9832 +a(g548 +V57 +p9833 +tp9834 +a(g548 +V64 +p9835 +tp9836 +a(g900 +g958 +tp9837 +a(g548 +V72 +p9838 +tp9839 +a(g548 +V6f +p9840 +tp9841 +a(g900 +g958 +tp9842 +a(g548 +V73 +p9843 +tp9844 +a(g548 +V64 +p9845 +tp9846 +a(g900 +V\u000a +p9847 +tp9848 +a(g8 +V0000cb0 +p9849 +tp9850 +a(g900 +g958 +tp9851 +a(g548 +V20 +p9852 +tp9853 +a(g548 +V28 +p9854 +tp9855 +a(g900 +g958 +tp9856 +a(g548 +V75 +p9857 +tp9858 +a(g548 +V6e +p9859 +tp9860 +a(g900 +g958 +tp9861 +a(g548 +V62 +p9862 +tp9863 +a(g548 +V6d +p9864 +tp9865 +a(g900 +g958 +tp9866 +a(g548 +V72 +p9867 +tp9868 +a(g548 +V65 +p9869 +tp9870 +a(g900 +g958 +tp9871 +a(g548 +V5b +p9872 +tp9873 +a(g548 +V73 +p9874 +tp9875 +a(g900 +g958 +tp9876 +a(g548 +V2e +p9877 +tp9878 +a(g548 +V74 +p9879 +tp9880 +a(g900 +g958 +tp9881 +a(g548 +V24 +p9882 +tp9883 +a(g548 +V2e +p9884 +tp9885 +a(g900 +g958 +tp9886 +a(g548 +V2c +p9887 +tp9888 +a(g548 +V5d +p9889 +tp9890 +a(g900 +V\u000a +p9891 +tp9892 +a(g8 +V0000cc0 +p9893 +tp9894 +a(g900 +g958 +tp9895 +a(g548 +V74 +p9896 +tp9897 +a(g548 +V20 +p9898 +tp9899 +a(g900 +g958 +tp9900 +a(g548 +V75 +p9901 +tp9902 +a(g548 +V72 +p9903 +tp9904 +a(g900 +g958 +tp9905 +a(g548 +V20 +p9906 +tp9907 +a(g548 +V65 +p9908 +tp9909 +a(g900 +g958 +tp9910 +a(g548 +V20 +p9911 +tp9912 +a(g548 +V20 +p9913 +tp9914 +a(g900 +g958 +tp9915 +a(g548 +V20 +p9916 +tp9917 +a(g548 +V20 +p9918 +tp9919 +a(g900 +g958 +tp9920 +a(g548 +V20 +p9921 +tp9922 +a(g548 +V29 +p9923 +tp9924 +a(g900 +g958 +tp9925 +a(g548 +V0a +p9926 +tp9927 +a(g548 +V29 +p9928 +tp9929 +a(g900 +g958 +tp9930 +a(g548 +V20 +p9931 +tp9932 +a(g548 +V20 +p9933 +tp9934 +a(g900 +V\u000a +p9935 +tp9936 +a(g8 +V0000cd0 +p9937 +tp9938 +a(g900 +g958 +tp9939 +a(g548 +V20 +p9940 +tp9941 +a(g548 +V20 +p9942 +tp9943 +a(g900 +g958 +tp9944 +a(g548 +V20 +p9945 +tp9946 +a(g548 +V20 +p9947 +tp9948 +a(g900 +g958 +tp9949 +a(g548 +V20 +p9950 +tp9951 +a(g548 +V20 +p9952 +tp9953 +a(g900 +g958 +tp9954 +a(g548 +V20 +p9955 +tp9956 +a(g548 +V20 +p9957 +tp9958 +a(g900 +g958 +tp9959 +a(g548 +V20 +p9960 +tp9961 +a(g548 +V20 +p9962 +tp9963 +a(g900 +g958 +tp9964 +a(g548 +V20 +p9965 +tp9966 +a(g548 +V20 +p9967 +tp9968 +a(g900 +g958 +tp9969 +a(g548 +V20 +p9970 +tp9971 +a(g548 +V20 +p9972 +tp9973 +a(g900 +g958 +tp9974 +a(g548 +V20 +p9975 +tp9976 +a(g548 +V20 +p9977 +tp9978 +a(g900 +V\u000a +p9979 +tp9980 +a(g8 +V0000ce0 +p9981 +tp9982 +a(g900 +g958 +tp9983 +a(g548 +V20 +p9984 +tp9985 +a(g548 +V20 +p9986 +tp9987 +a(g900 +g958 +tp9988 +a(g548 +V6f +p9989 +tp9990 +a(g548 +V66 +p9991 +tp9992 +a(g900 +g958 +tp9993 +a(g548 +V65 +p9994 +tp9995 +a(g548 +V72 +p9996 +tp9997 +a(g900 +g958 +tp9998 +a(g548 +V63 +p9999 +tp10000 +a(g548 +V61 +p10001 +tp10002 +a(g900 +g958 +tp10003 +a(g548 +V28 +p10004 +tp10005 +a(g548 +V68 +p10006 +tp10007 +a(g900 +g958 +tp10008 +a(g548 +V31 +p10009 +tp10010 +a(g548 +V61 +p10011 +tp10012 +a(g900 +g958 +tp10013 +a(g548 +V20 +p10014 +tp10015 +a(g548 +V3b +p10016 +tp10017 +a(g900 +g958 +tp10018 +a(g548 +V61 +p10019 +tp10020 +a(g548 +V2a +p10021 +tp10022 +a(g900 +V\u000a +p10023 +tp10024 +a(g8 +V0000cf0 +p10025 +tp10026 +a(g900 +g958 +tp10027 +a(g548 +V74 +p10028 +tp10029 +a(g548 +V6c +p10030 +tp10031 +a(g900 +g958 +tp10032 +a(g548 +V72 +p10033 +tp10034 +a(g548 +V65 +p10035 +tp10036 +a(g900 +g958 +tp10037 +a(g548 +V61 +p10038 +tp10039 +a(g548 +V6e +p10040 +tp10041 +a(g900 +g958 +tp10042 +a(g548 +V69 +p10043 +tp10044 +a(g548 +V74 +p10045 +tp10046 +a(g900 +g958 +tp10047 +a(g548 +V65 +p10048 +tp10049 +a(g548 +V76 +p10050 +tp10051 +a(g900 +g958 +tp10052 +a(g548 +V29 +p10053 +tp10054 +a(g548 +V73 +p10055 +tp10056 +a(g900 +g958 +tp10057 +a(g548 +V20 +p10058 +tp10059 +a(g548 +V0a +p10060 +tp10061 +a(g900 +g958 +tp10062 +a(g548 +V20 +p10063 +tp10064 +a(g548 +V20 +p10065 +tp10066 +a(g900 +V\u000a +p10067 +tp10068 +a(g8 +V0000d00 +p10069 +tp10070 +a(g900 +g958 +tp10071 +a(g548 +V20 +p10072 +tp10073 +a(g548 +V20 +p10074 +tp10075 +a(g900 +g958 +tp10076 +a(g548 +V20 +p10077 +tp10078 +a(g548 +V20 +p10079 +tp10080 +a(g900 +g958 +tp10081 +a(g548 +V20 +p10082 +tp10083 +a(g548 +V20 +p10084 +tp10085 +a(g900 +g958 +tp10086 +a(g548 +V20 +p10087 +tp10088 +a(g548 +V20 +p10089 +tp10090 +a(g900 +g958 +tp10091 +a(g548 +V20 +p10092 +tp10093 +a(g548 +V20 +p10094 +tp10095 +a(g900 +g958 +tp10096 +a(g548 +V20 +p10097 +tp10098 +a(g548 +V20 +p10099 +tp10100 +a(g900 +g958 +tp10101 +a(g548 +V20 +p10102 +tp10103 +a(g548 +V20 +p10104 +tp10105 +a(g900 +g958 +tp10106 +a(g548 +V20 +p10107 +tp10108 +a(g548 +V20 +p10109 +tp10110 +a(g900 +V\u000a +p10111 +tp10112 +a(g8 +V0000d10 +p10113 +tp10114 +a(g900 +g958 +tp10115 +a(g548 +V20 +p10116 +tp10117 +a(g548 +V20 +p10118 +tp10119 +a(g900 +g958 +tp10120 +a(g548 +V20 +p10121 +tp10122 +a(g548 +V20 +p10123 +tp10124 +a(g900 +g958 +tp10125 +a(g548 +V65 +p10126 +tp10127 +a(g548 +V72 +p10128 +tp10129 +a(g900 +g958 +tp10130 +a(g548 +V20 +p10131 +tp10132 +a(g548 +V74 +p10133 +tp10134 +a(g900 +g958 +tp10135 +a(g548 +V3d +p10136 +tp10137 +a(g548 +V7e +p10138 +tp10139 +a(g900 +g958 +tp10140 +a(g548 +V61 +p10141 +tp10142 +a(g548 +V20 +p10143 +tp10144 +a(g900 +g958 +tp10145 +a(g548 +V20 +p10146 +tp10147 +a(g548 +V31 +p10148 +tp10149 +a(g900 +g958 +tp10150 +a(g548 +V20 +p10151 +tp10152 +a(g548 +V7e +p10153 +tp10154 +a(g900 +V\u000a +p10155 +tp10156 +a(g8 +V0000d20 +p10157 +tp10158 +a(g900 +g958 +tp10159 +a(g548 +V20 +p10160 +tp10161 +a(g548 +V22 +p10162 +tp10163 +a(g900 +g958 +tp10164 +a(g548 +V20 +p10165 +tp10166 +a(g548 +V22 +p10167 +tp10168 +a(g900 +g958 +tp10169 +a(g548 +V20 +p10170 +tp10171 +a(g548 +V7e +p10172 +tp10173 +a(g900 +g958 +tp10174 +a(g548 +V32 +p10175 +tp10176 +a(g548 +V61 +p10177 +tp10178 +a(g900 +g958 +tp10179 +a(g548 +V0a +p10180 +tp10181 +a(g548 +V3b +p10182 +tp10183 +a(g900 +g958 +tp10184 +a(g548 +V20 +p10185 +tp10186 +a(g548 +V20 +p10187 +tp10188 +a(g900 +g958 +tp10189 +a(g548 +V20 +p10190 +tp10191 +a(g548 +V20 +p10192 +tp10193 +a(g900 +g958 +tp10194 +a(g548 +V20 +p10195 +tp10196 +a(g548 +V20 +p10197 +tp10198 +a(g900 +V\u000a +p10199 +tp10200 +a(g8 +V0000d30 +p10201 +tp10202 +a(g900 +g958 +tp10203 +a(g548 +V20 +p10204 +tp10205 +a(g548 +V20 +p10206 +tp10207 +a(g900 +g958 +tp10208 +a(g548 +V20 +p10209 +tp10210 +a(g548 +V20 +p10211 +tp10212 +a(g900 +g958 +tp10213 +a(g548 +V20 +p10214 +tp10215 +a(g548 +V20 +p10216 +tp10217 +a(g900 +g958 +tp10218 +a(g548 +V0a +p10219 +tp10220 +a(g548 +V7d +p10221 +tp10222 +a(g900 +g958 +tp10223 +a(g548 +V20 +p10224 +tp10225 +a(g548 +V20 +p10226 +tp10227 +a(g900 +g958 +tp10228 +a(g548 +V20 +p10229 +tp10230 +a(g548 +V20 +p10231 +tp10232 +a(g900 +g958 +tp10233 +a(g548 +V20 +p10234 +tp10235 +a(g548 +V20 +p10236 +tp10237 +a(g900 +g958 +tp10238 +a(g548 +V20 +p10239 +tp10240 +a(g548 +V20 +p10241 +tp10242 +a(g900 +V\u000a +p10243 +tp10244 +a(g8 +V0000d40 +p10245 +tp10246 +a(g900 +g958 +tp10247 +a(g548 +V20 +p10248 +tp10249 +a(g548 +V20 +p10250 +tp10251 +a(g900 +g958 +tp10252 +a(g548 +V20 +p10253 +tp10254 +a(g548 +V20 +p10255 +tp10256 +a(g900 +g958 +tp10257 +a(g548 +V6c +p10258 +tp10259 +a(g548 +V65 +p10260 +tp10261 +a(g900 +g958 +tp10262 +a(g548 +V65 +p10263 +tp10264 +a(g548 +V73 +p10265 +tp10266 +a(g900 +g958 +tp10267 +a(g548 +V20 +p10268 +tp10269 +a(g548 +V20 +p10270 +tp10271 +a(g900 +g958 +tp10272 +a(g548 +V20 +p10273 +tp10274 +a(g548 +V20 +p10275 +tp10276 +a(g900 +g958 +tp10277 +a(g548 +V20 +p10278 +tp10279 +a(g548 +V0a +p10280 +tp10281 +a(g900 +g958 +tp10282 +a(g548 +V20 +p10283 +tp10284 +a(g548 +V20 +p10285 +tp10286 +a(g900 +V\u000a +p10287 +tp10288 +a(g8 +V0000d50 +p10289 +tp10290 +a(g900 +g958 +tp10291 +a(g548 +V20 +p10292 +tp10293 +a(g548 +V20 +p10294 +tp10295 +a(g900 +g958 +tp10296 +a(g548 +V20 +p10297 +tp10298 +a(g548 +V20 +p10299 +tp10300 +a(g900 +g958 +tp10301 +a(g548 +V20 +p10302 +tp10303 +a(g548 +V20 +p10304 +tp10305 +a(g900 +g958 +tp10306 +a(g548 +V20 +p10307 +tp10308 +a(g548 +V20 +p10309 +tp10310 +a(g900 +g958 +tp10311 +a(g548 +V20 +p10312 +tp10313 +a(g548 +V20 +p10314 +tp10315 +a(g900 +g958 +tp10316 +a(g548 +V20 +p10317 +tp10318 +a(g548 +V20 +p10319 +tp10320 +a(g900 +g958 +tp10321 +a(g548 +V72 +p10322 +tp10323 +a(g548 +V20 +p10324 +tp10325 +a(g900 +g958 +tp10326 +a(g548 +V74 +p10327 +tp10328 +a(g548 +V65 +p10329 +tp10330 +a(g900 +V\u000a +p10331 +tp10332 +a(g8 +V0000d60 +p10333 +tp10334 +a(g900 +g958 +tp10335 +a(g548 +V7e +p10336 +tp10337 +a(g548 +V20 +p10338 +tp10339 +a(g900 +g958 +tp10340 +a(g548 +V20 +p10341 +tp10342 +a(g548 +V3d +p10343 +tp10344 +a(g900 +g958 +tp10345 +a(g548 +V61 +p10346 +tp10347 +a(g548 +V2a +p10348 +tp10349 +a(g900 +g958 +tp10350 +a(g548 +V74 +p10351 +tp10352 +a(g548 +V6c +p10353 +tp10354 +a(g900 +g958 +tp10355 +a(g548 +V72 +p10356 +tp10357 +a(g548 +V65 +p10358 +tp10359 +a(g900 +g958 +tp10360 +a(g548 +V61 +p10361 +tp10362 +a(g548 +V6e +p10363 +tp10364 +a(g900 +g958 +tp10365 +a(g548 +V69 +p10366 +tp10367 +a(g548 +V74 +p10368 +tp10369 +a(g900 +g958 +tp10370 +a(g548 +V65 +p10371 +tp10372 +a(g548 +V76 +p10373 +tp10374 +a(g900 +V\u000a +p10375 +tp10376 +a(g8 +V0000d70 +p10377 +tp10378 +a(g900 +g958 +tp10379 +a(g548 +V3b +p10380 +tp10381 +a(g548 +V73 +p10382 +tp10383 +a(g900 +g958 +tp10384 +a(g548 +V20 +p10385 +tp10386 +a(g548 +V20 +p10387 +tp10388 +a(g900 +g958 +tp10389 +a(g548 +V20 +p10390 +tp10391 +a(g548 +V20 +p10392 +tp10393 +a(g900 +g958 +tp10394 +a(g548 +V2f +p10395 +tp10396 +a(g548 +V2f +p10397 +tp10398 +a(g900 +g958 +tp10399 +a(g548 +V61 +p10400 +tp10401 +a(g548 +V20 +p10402 +tp10403 +a(g900 +g958 +tp10404 +a(g548 +V70 +p10405 +tp10406 +a(g548 +V70 +p10407 +tp10408 +a(g900 +g958 +tp10409 +a(g548 +V6e +p10410 +tp10411 +a(g548 +V65 +p10412 +tp10413 +a(g900 +g958 +tp10414 +a(g548 +V20 +p10415 +tp10416 +a(g548 +V64 +p10417 +tp10418 +a(g900 +V\u000a +p10419 +tp10420 +a(g8 +V0000d80 +p10421 +tp10422 +a(g900 +g958 +tp10423 +a(g548 +V68 +p10424 +tp10425 +a(g548 +V74 +p10426 +tp10427 +a(g900 +g958 +tp10428 +a(g548 +V73 +p10429 +tp10430 +a(g548 +V65 +p10431 +tp10432 +a(g900 +g958 +tp10433 +a(g548 +V20 +p10434 +tp10435 +a(g548 +V65 +p10436 +tp10437 +a(g900 +g958 +tp10438 +a(g548 +V6c +p10439 +tp10440 +a(g548 +V61 +p10441 +tp10442 +a(g900 +g958 +tp10443 +a(g548 +V65 +p10444 +tp10445 +a(g548 +V74 +p10446 +tp10447 +a(g900 +g958 +tp10448 +a(g548 +V6e +p10449 +tp10450 +a(g548 +V72 +p10451 +tp10452 +a(g900 +g958 +tp10453 +a(g548 +V74 +p10454 +tp10455 +a(g548 +V61 +p10456 +tp10457 +a(g900 +g958 +tp10458 +a(g548 +V76 +p10459 +tp10460 +a(g548 +V69 +p10461 +tp10462 +a(g900 +V\u000a +p10463 +tp10464 +a(g8 +V0000d90 +p10465 +tp10466 +a(g900 +g958 +tp10467 +a(g548 +V73 +p10468 +tp10469 +a(g548 +V65 +p10470 +tp10471 +a(g900 +g958 +tp10472 +a(g548 +V20 +p10473 +tp10474 +a(g548 +V0a +p10475 +tp10476 +a(g900 +g958 +tp10477 +a(g548 +V20 +p10478 +tp10479 +a(g548 +V20 +p10480 +tp10481 +a(g900 +g958 +tp10482 +a(g548 +V20 +p10483 +tp10484 +a(g548 +V20 +p10485 +tp10486 +a(g900 +g958 +tp10487 +a(g548 +V20 +p10488 +tp10489 +a(g548 +V20 +p10490 +tp10491 +a(g900 +g958 +tp10492 +a(g548 +V7d +p10493 +tp10494 +a(g548 +V20 +p10495 +tp10496 +a(g900 +g958 +tp10497 +a(g548 +V20 +p10498 +tp10499 +a(g548 +V0a +p10500 +tp10501 +a(g900 +g958 +tp10502 +a(g548 +V20 +p10503 +tp10504 +a(g548 +V20 +p10505 +tp10506 +a(g900 +V\u000a +p10507 +tp10508 +a(g8 +V0000da0 +p10509 +tp10510 +a(g900 +g958 +tp10511 +a(g548 +V20 +p10512 +tp10513 +a(g548 +V20 +p10514 +tp10515 +a(g900 +g958 +tp10516 +a(g548 +V20 +p10517 +tp10518 +a(g548 +V20 +p10519 +tp10520 +a(g900 +g958 +tp10521 +a(g548 +V2f +p10522 +tp10523 +a(g548 +V20 +p10524 +tp10525 +a(g900 +g958 +tp10526 +a(g548 +V20 +p10527 +tp10528 +a(g548 +V2f +p10529 +tp10530 +a(g900 +g958 +tp10531 +a(g548 +V72 +p10532 +tp10533 +a(g548 +V54 +p10534 +tp10535 +a(g900 +g958 +tp10536 +a(g548 +V20 +p10537 +tp10538 +a(g548 +V79 +p10539 +tp10540 +a(g900 +g958 +tp10541 +a(g548 +V6f +p10542 +tp10543 +a(g548 +V74 +p10544 +tp10545 +a(g900 +g958 +tp10546 +a(g548 +V6b +p10547 +tp10548 +a(g548 +V20 +p10549 +tp10550 +a(g900 +V\u000a +p10551 +tp10552 +a(g8 +V0000db0 +p10553 +tp10554 +a(g900 +g958 +tp10555 +a(g548 +V65 +p10556 +tp10557 +a(g548 +V65 +p10558 +tp10559 +a(g900 +g958 +tp10560 +a(g548 +V20 +p10561 +tp10562 +a(g548 +V70 +p10563 +tp10564 +a(g900 +g958 +tp10565 +a(g548 +V20 +p10566 +tp10567 +a(g548 +V31 +p10568 +tp10569 +a(g900 +g958 +tp10570 +a(g548 +V69 +p10571 +tp10572 +a(g548 +V64 +p10573 +tp10574 +a(g900 +g958 +tp10575 +a(g548 +V69 +p10576 +tp10577 +a(g548 +V67 +p10578 +tp10579 +a(g900 +g958 +tp10580 +a(g548 +V2c +p10581 +tp10582 +a(g548 +V74 +p10583 +tp10584 +a(g900 +g958 +tp10585 +a(g548 +V6f +p10586 +tp10587 +a(g548 +V20 +p10588 +tp10589 +a(g900 +g958 +tp10590 +a(g548 +V6c +p10591 +tp10592 +a(g548 +V6e +p10593 +tp10594 +a(g900 +V\u000a +p10595 +tp10596 +a(g8 +V0000dc0 +p10597 +tp10598 +a(g900 +g958 +tp10599 +a(g548 +V20 +p10600 +tp10601 +a(g548 +V79 +p10602 +tp10603 +a(g900 +g958 +tp10604 +a(g548 +V66 +p10605 +tp10606 +a(g548 +V69 +p10607 +tp10608 +a(g900 +g958 +tp10609 +a(g548 +V77 +p10610 +tp10611 +a(g548 +V20 +p10612 +tp10613 +a(g900 +g958 +tp10614 +a(g548 +V27 +p10615 +tp10616 +a(g548 +V65 +p10617 +tp10618 +a(g900 +g958 +tp10619 +a(g548 +V65 +p10620 +tp10621 +a(g548 +V72 +p10622 +tp10623 +a(g900 +g958 +tp10624 +a(g548 +V61 +p10625 +tp10626 +a(g548 +V20 +p10627 +tp10628 +a(g900 +g958 +tp10629 +a(g548 +V6c +p10630 +tp10631 +a(g548 +V6c +p10632 +tp10633 +a(g900 +g958 +tp10634 +a(g548 +V77 +p10635 +tp10636 +a(g548 +V6f +p10637 +tp10638 +a(g900 +V\u000a +p10639 +tp10640 +a(g8 +V0000dd0 +p10641 +tp10642 +a(g900 +g958 +tp10643 +a(g548 +V64 +p10644 +tp10645 +a(g548 +V65 +p10646 +tp10647 +a(g900 +g958 +tp10648 +a(g548 +V61 +p10649 +tp10650 +a(g548 +V20 +p10651 +tp10652 +a(g900 +g958 +tp10653 +a(g548 +V64 +p10654 +tp10655 +a(g548 +V6e +p10656 +tp10657 +a(g900 +g958 +tp10658 +a(g548 +V6e +p10659 +tp10660 +a(g548 +V20 +p10661 +tp10662 +a(g900 +g958 +tp10663 +a(g548 +V20 +p10664 +tp10665 +a(g548 +V6f +p10666 +tp10667 +a(g900 +g958 +tp10668 +a(g548 +V74 +p10669 +tp10670 +a(g548 +V6f +p10671 +tp10672 +a(g900 +g958 +tp10673 +a(g548 +V65 +p10674 +tp10675 +a(g548 +V68 +p10676 +tp10677 +a(g900 +g958 +tp10678 +a(g548 +V0a +p10679 +tp10680 +a(g548 +V72 +p10681 +tp10682 +a(g900 +V\u000a +p10683 +tp10684 +a(g8 +V0000de0 +p10685 +tp10686 +a(g900 +g958 +tp10687 +a(g548 +V20 +p10688 +tp10689 +a(g548 +V20 +p10690 +tp10691 +a(g900 +g958 +tp10692 +a(g548 +V20 +p10693 +tp10694 +a(g548 +V20 +p10695 +tp10696 +a(g900 +g958 +tp10697 +a(g548 +V20 +p10698 +tp10699 +a(g548 +V20 +p10700 +tp10701 +a(g900 +g958 +tp10702 +a(g548 +V20 +p10703 +tp10704 +a(g548 +V20 +p10705 +tp10706 +a(g900 +g958 +tp10707 +a(g548 +V2f +p10708 +tp10709 +a(g548 +V2f +p10710 +tp10711 +a(g900 +g958 +tp10712 +a(g548 +V61 +p10713 +tp10714 +a(g548 +V20 +p10715 +tp10716 +a(g900 +g958 +tp10717 +a(g548 +V74 +p10718 +tp10719 +a(g548 +V6c +p10720 +tp10721 +a(g900 +g958 +tp10722 +a(g548 +V72 +p10723 +tp10724 +a(g548 +V65 +p10725 +tp10726 +a(g900 +V\u000a +p10727 +tp10728 +a(g8 +V0000df0 +p10729 +tp10730 +a(g900 +g958 +tp10731 +a(g548 +V61 +p10732 +tp10733 +a(g548 +V6e +p10734 +tp10735 +a(g900 +g958 +tp10736 +a(g548 +V69 +p10737 +tp10738 +a(g548 +V74 +p10739 +tp10740 +a(g900 +g958 +tp10741 +a(g548 +V65 +p10742 +tp10743 +a(g548 +V76 +p10744 +tp10745 +a(g900 +g958 +tp10746 +a(g548 +V20 +p10747 +tp10748 +a(g548 +V73 +p10749 +tp10750 +a(g900 +g958 +tp10751 +a(g548 +V65 +p10752 +tp10753 +a(g548 +V77 +p10754 +tp10755 +a(g900 +g958 +tp10756 +a(g548 +V65 +p10757 +tp10758 +a(g548 +V72 +p10759 +tp10760 +a(g900 +g958 +tp10761 +a(g548 +V66 +p10762 +tp10763 +a(g548 +V20 +p10764 +tp10765 +a(g900 +g958 +tp10766 +a(g548 +V75 +p10767 +tp10768 +a(g548 +V6f +p10769 +tp10770 +a(g900 +V\u000a +p10771 +tp10772 +a(g8 +V0000e00 +p10773 +tp10774 +a(g900 +g958 +tp10775 +a(g548 +V64 +p10776 +tp10777 +a(g548 +V6e +p10778 +tp10779 +a(g900 +g958 +tp10780 +a(g548 +V20 +p10781 +tp10782 +a(g548 +V0a +p10783 +tp10784 +a(g900 +g958 +tp10785 +a(g548 +V20 +p10786 +tp10787 +a(g548 +V20 +p10788 +tp10789 +a(g900 +g958 +tp10790 +a(g548 +V20 +p10791 +tp10792 +a(g548 +V20 +p10793 +tp10794 +a(g900 +g958 +tp10795 +a(g548 +V20 +p10796 +tp10797 +a(g548 +V20 +p10798 +tp10799 +a(g900 +g958 +tp10800 +a(g548 +V2f +p10801 +tp10802 +a(g548 +V20 +p10803 +tp10804 +a(g900 +g958 +tp10805 +a(g548 +V20 +p10806 +tp10807 +a(g548 +V2f +p10808 +tp10809 +a(g900 +g958 +tp10810 +a(g548 +V65 +p10811 +tp10812 +a(g548 +V54 +p10813 +tp10814 +a(g900 +V\u000a +p10815 +tp10816 +a(g8 +V0000e10 +p10817 +tp10818 +a(g900 +g958 +tp10819 +a(g548 +V74 +p10820 +tp10821 +a(g548 +V73 +p10822 +tp10823 +a(g900 +g958 +tp10824 +a(g548 +V6e +p10825 +tp10826 +a(g548 +V69 +p10827 +tp10828 +a(g900 +g958 +tp10829 +a(g548 +V20 +p10830 +tp10831 +a(g548 +V67 +p10832 +tp10833 +a(g900 +g958 +tp10834 +a(g548 +V72 +p10835 +tp10836 +a(g548 +V22 +p10837 +tp10838 +a(g900 +g958 +tp10839 +a(g548 +V74 +p10840 +tp10841 +a(g548 +V65 +p10842 +tp10843 +a(g900 +g958 +tp10844 +a(g548 +V6c +p10845 +tp10846 +a(g548 +V2e +p10847 +tp10848 +a(g900 +g958 +tp10849 +a(g548 +V6e +p10850 +tp10851 +a(g548 +V65 +p10852 +tp10853 +a(g900 +g958 +tp10854 +a(g548 +V74 +p10855 +tp10856 +a(g548 +V67 +p10857 +tp10858 +a(g900 +V\u000a +p10859 +tp10860 +a(g8 +V0000e20 +p10861 +tp10862 +a(g900 +g958 +tp10863 +a(g548 +V22 +p10864 +tp10865 +a(g548 +V68 +p10866 +tp10867 +a(g900 +g958 +tp10868 +a(g548 +V6d +p10869 +tp10870 +a(g548 +V20 +p10871 +tp10872 +a(g900 +g958 +tp10873 +a(g548 +V6b +p10874 +tp10875 +a(g548 +V61 +p10876 +tp10877 +a(g900 +g958 +tp10878 +a(g548 +V73 +p10879 +tp10880 +a(g548 +V65 +p10881 +tp10882 +a(g900 +g958 +tp10883 +a(g548 +V6d +p10884 +tp10885 +a(g548 +V20 +p10886 +tp10887 +a(g900 +g958 +tp10888 +a(g548 +V72 +p10889 +tp10890 +a(g548 +V6f +p10891 +tp10892 +a(g900 +g958 +tp10893 +a(g548 +V20 +p10894 +tp10895 +a(g548 +V65 +p10896 +tp10897 +a(g900 +g958 +tp10898 +a(g548 +V65 +p10899 +tp10900 +a(g548 +V73 +p10901 +tp10902 +a(g900 +V\u000a +p10903 +tp10904 +a(g8 +V0000e30 +p10905 +tp10906 +a(g900 +g958 +tp10907 +a(g548 +V73 +p10908 +tp10909 +a(g548 +V6e +p10910 +tp10911 +a(g900 +g958 +tp10912 +a(g548 +V20 +p10913 +tp10914 +a(g548 +V65 +p10915 +tp10916 +a(g900 +g958 +tp10917 +a(g548 +V68 +p10918 +tp10919 +a(g548 +V74 +p10920 +tp10921 +a(g900 +g958 +tp10922 +a(g548 +V6e +p10923 +tp10924 +a(g548 +V61 +p10925 +tp10926 +a(g900 +g958 +tp10927 +a(g548 +V74 +p10928 +tp10929 +a(g548 +V20 +p10930 +tp10931 +a(g900 +g958 +tp10932 +a(g548 +V73 +p10933 +tp10934 +a(g548 +V65 +p10935 +tp10936 +a(g900 +g958 +tp10937 +a(g548 +V69 +p10938 +tp10939 +a(g548 +V74 +p10940 +tp10941 +a(g900 +g958 +tp10942 +a(g548 +V67 +p10943 +tp10944 +a(g548 +V6e +p10945 +tp10946 +a(g900 +V\u000a +p10947 +tp10948 +a(g8 +V0000e40 +p10949 +tp10950 +a(g900 +g958 +tp10951 +a(g548 +V22 +p10952 +tp10953 +a(g548 +V20 +p10954 +tp10955 +a(g900 +g958 +tp10956 +a(g548 +V6f +p10957 +tp10958 +a(g548 +V66 +p10959 +tp10960 +a(g900 +g958 +tp10961 +a(g548 +V6e +p10962 +tp10963 +a(g548 +V75 +p10964 +tp10965 +a(g900 +g958 +tp10966 +a(g548 +V77 +p10967 +tp10968 +a(g548 +V64 +p10969 +tp10970 +a(g900 +g958 +tp10971 +a(g548 +V72 +p10972 +tp10973 +a(g548 +V6f +p10974 +tp10975 +a(g900 +g958 +tp10976 +a(g548 +V22 +p10977 +tp10978 +a(g548 +V64 +p10979 +tp10980 +a(g900 +g958 +tp10981 +a(g548 +V0a +p10982 +tp10983 +a(g548 +V2c +p10984 +tp10985 +a(g900 +g958 +tp10986 +a(g548 +V20 +p10987 +tp10988 +a(g548 +V20 +p10989 +tp10990 +a(g900 +V\u000a +p10991 +tp10992 +a(g8 +V0000e50 +p10993 +tp10994 +a(g900 +g958 +tp10995 +a(g548 +V20 +p10996 +tp10997 +a(g548 +V20 +p10998 +tp10999 +a(g900 +g958 +tp11000 +a(g548 +V20 +p11001 +tp11002 +a(g548 +V20 +p11003 +tp11004 +a(g900 +g958 +tp11005 +a(g548 +V20 +p11006 +tp11007 +a(g548 +V20 +p11008 +tp11009 +a(g900 +g958 +tp11010 +a(g548 +V2f +p11011 +tp11012 +a(g548 +V2f +p11013 +tp11014 +a(g900 +g958 +tp11015 +a(g548 +V62 +p11016 +tp11017 +a(g548 +V20 +p11018 +tp11019 +a(g900 +g958 +tp11020 +a(g548 +V74 +p11021 +tp11022 +a(g548 +V75 +p11023 +tp11024 +a(g900 +g958 +tp11025 +a(g548 +V74 +p11026 +tp11027 +a(g548 +V20 +p11028 +tp11029 +a(g900 +g958 +tp11030 +a(g548 +V65 +p11031 +tp11032 +a(g548 +V68 +p11033 +tp11034 +a(g900 +V\u000a +p11035 +tp11036 +a(g8 +V0000e60 +p11037 +tp11038 +a(g900 +g958 +tp11039 +a(g548 +V6f +p11040 +tp11041 +a(g548 +V20 +p11042 +tp11043 +a(g900 +g958 +tp11044 +a(g548 +V68 +p11045 +tp11046 +a(g548 +V74 +p11047 +tp11048 +a(g900 +g958 +tp11049 +a(g548 +V72 +p11050 +tp11051 +a(g548 +V65 +p11052 +tp11053 +a(g900 +g958 +tp11054 +a(g548 +V69 +p11055 +tp11056 +a(g548 +V20 +p11057 +tp11058 +a(g900 +g958 +tp11059 +a(g548 +V70 +p11060 +tp11061 +a(g548 +V6d +p11062 +tp11063 +a(g900 +g958 +tp11064 +a(g548 +V65 +p11065 +tp11066 +a(g548 +V6c +p11067 +tp11068 +a(g900 +g958 +tp11069 +a(g548 +V65 +p11070 +tp11071 +a(g548 +V6d +p11072 +tp11073 +a(g900 +g958 +tp11074 +a(g548 +V74 +p11075 +tp11076 +a(g548 +V6e +p11077 +tp11078 +a(g900 +V\u000a +p11079 +tp11080 +a(g8 +V0000e70 +p11081 +tp11082 +a(g900 +g958 +tp11083 +a(g548 +V74 +p11084 +tp11085 +a(g548 +V61 +p11086 +tp11087 +a(g900 +g958 +tp11088 +a(g548 +V6f +p11089 +tp11090 +a(g548 +V69 +p11091 +tp11092 +a(g900 +g958 +tp11093 +a(g548 +V73 +p11094 +tp11095 +a(g548 +V6e +p11096 +tp11097 +a(g900 +g958 +tp11098 +a(g548 +V73 +p11099 +tp11100 +a(g548 +V20 +p11101 +tp11102 +a(g900 +g958 +tp11103 +a(g548 +V65 +p11104 +tp11105 +a(g548 +V65 +p11106 +tp11107 +a(g900 +g958 +tp11108 +a(g548 +V20 +p11109 +tp11110 +a(g548 +V6d +p11111 +tp11112 +a(g900 +g958 +tp11113 +a(g548 +V6f +p11114 +tp11115 +a(g548 +V74 +p11116 +tp11117 +a(g900 +g958 +tp11118 +a(g548 +V64 +p11119 +tp11120 +a(g548 +V20 +p11121 +tp11122 +a(g900 +V\u000a +p11123 +tp11124 +a(g8 +V0000e80 +p11125 +tp11126 +a(g900 +g958 +tp11127 +a(g548 +V20 +p11128 +tp11129 +a(g548 +V6f +p11130 +tp11131 +a(g900 +g958 +tp11132 +a(g548 +V75 +p11133 +tp11134 +a(g548 +V6a +p11135 +tp11136 +a(g900 +g958 +tp11137 +a(g548 +V74 +p11138 +tp11139 +a(g548 +V73 +p11140 +tp11141 +a(g900 +g958 +tp11142 +a(g548 +V74 +p11143 +tp11144 +a(g548 +V20 +p11145 +tp11146 +a(g900 +g958 +tp11147 +a(g548 +V69 +p11148 +tp11149 +a(g548 +V68 +p11150 +tp11151 +a(g900 +g958 +tp11152 +a(g548 +V2e +p11153 +tp11154 +a(g548 +V73 +p11155 +tp11156 +a(g900 +g958 +tp11157 +a(g548 +V20 +p11158 +tp11159 +a(g548 +V0a +p11160 +tp11161 +a(g900 +g958 +tp11162 +a(g548 +V20 +p11163 +tp11164 +a(g548 +V20 +p11165 +tp11166 +a(g900 +V\u000a +p11167 +tp11168 +a(g8 +V0000e90 +p11169 +tp11170 +a(g900 +g958 +tp11171 +a(g548 +V20 +p11172 +tp11173 +a(g548 +V20 +p11174 +tp11175 +a(g900 +g958 +tp11176 +a(g548 +V20 +p11177 +tp11178 +a(g548 +V20 +p11179 +tp11180 +a(g900 +g958 +tp11181 +a(g548 +V69 +p11182 +tp11183 +a(g548 +V20 +p11184 +tp11185 +a(g900 +g958 +tp11186 +a(g548 +V20 +p11187 +tp11188 +a(g548 +V66 +p11189 +tp11190 +a(g900 +g958 +tp11191 +a(g548 +V64 +p11192 +tp11193 +a(g548 +V28 +p11194 +tp11195 +a(g900 +g958 +tp11196 +a(g548 +V67 +p11197 +tp11198 +a(g548 +V69 +p11199 +tp11200 +a(g900 +g958 +tp11201 +a(g548 +V74 +p11202 +tp11203 +a(g548 +V69 +p11204 +tp11205 +a(g900 +g958 +tp11206 +a(g548 +V6b +p11207 +tp11208 +a(g548 +V6f +p11209 +tp11210 +a(g900 +V\u000a +p11211 +tp11212 +a(g8 +V0000ea0 +p11213 +tp11214 +a(g900 +g958 +tp11215 +a(g548 +V26 +p11216 +tp11217 +a(g548 +V20 +p11218 +tp11219 +a(g900 +g958 +tp11220 +a(g548 +V20 +p11221 +tp11222 +a(g548 +V26 +p11223 +tp11224 +a(g900 +g958 +tp11225 +a(g548 +V66 +p11226 +tp11227 +a(g548 +V21 +p11228 +tp11229 +a(g900 +g958 +tp11230 +a(g548 +V75 +p11231 +tp11232 +a(g548 +V6f +p11233 +tp11234 +a(g900 +g958 +tp11235 +a(g548 +V64 +p11236 +tp11237 +a(g548 +V6e +p11238 +tp11239 +a(g900 +g958 +tp11240 +a(g548 +V6f +p11241 +tp11242 +a(g548 +V77 +p11243 +tp11244 +a(g900 +g958 +tp11245 +a(g548 +V64 +p11246 +tp11247 +a(g548 +V72 +p11248 +tp11249 +a(g900 +g958 +tp11250 +a(g548 +V20 +p11251 +tp11252 +a(g548 +V29 +p11253 +tp11254 +a(g900 +V\u000a +p11255 +tp11256 +a(g8 +V0000eb0 +p11257 +tp11258 +a(g900 +g958 +tp11259 +a(g548 +V20 +p11260 +tp11261 +a(g548 +V7b +p11262 +tp11263 +a(g900 +g958 +tp11264 +a(g548 +V2f +p11265 +tp11266 +a(g548 +V2f +p11267 +tp11268 +a(g900 +g958 +tp11269 +a(g548 +V65 +p11270 +tp11271 +a(g548 +V72 +p11272 +tp11273 +a(g900 +g958 +tp11274 +a(g548 +V2e +p11275 +tp11276 +a(g548 +V74 +p11277 +tp11278 +a(g900 +g958 +tp11279 +a(g548 +V65 +p11280 +tp11281 +a(g548 +V6c +p11282 +tp11283 +a(g900 +g958 +tp11284 +a(g548 +V67 +p11285 +tp11286 +a(g548 +V6e +p11287 +tp11288 +a(g900 +g958 +tp11289 +a(g548 +V68 +p11290 +tp11291 +a(g548 +V74 +p11292 +tp11293 +a(g900 +g958 +tp11294 +a(g548 +V3d +p11295 +tp11296 +a(g548 +V20 +p11297 +tp11298 +a(g900 +V\u000a +p11299 +tp11300 +a(g8 +V0000ec0 +p11301 +tp11302 +a(g900 +g958 +tp11303 +a(g548 +V20 +p11304 +tp11305 +a(g548 +V3d +p11306 +tp11307 +a(g900 +g958 +tp11308 +a(g548 +V20 +p11309 +tp11310 +a(g548 +V30 +p11311 +tp11312 +a(g900 +g958 +tp11313 +a(g548 +V0a +p11314 +tp11315 +a(g548 +V20 +p11316 +tp11317 +a(g900 +g958 +tp11318 +a(g548 +V20 +p11319 +tp11320 +a(g548 +V20 +p11321 +tp11322 +a(g900 +g958 +tp11323 +a(g548 +V20 +p11324 +tp11325 +a(g548 +V20 +p11326 +tp11327 +a(g900 +g958 +tp11328 +a(g548 +V20 +p11329 +tp11330 +a(g548 +V20 +p11331 +tp11332 +a(g900 +g958 +tp11333 +a(g548 +V20 +p11334 +tp11335 +a(g548 +V20 +p11336 +tp11337 +a(g900 +g958 +tp11338 +a(g548 +V20 +p11339 +tp11340 +a(g548 +V20 +p11341 +tp11342 +a(g900 +V\u000a +p11343 +tp11344 +a(g8 +V0000ed0 +p11345 +tp11346 +a(g900 +g958 +tp11347 +a(g548 +V20 +p11348 +tp11349 +a(g548 +V20 +p11350 +tp11351 +a(g900 +g958 +tp11352 +a(g548 +V66 +p11353 +tp11354 +a(g548 +V69 +p11355 +tp11356 +a(g900 +g958 +tp11357 +a(g548 +V6e +p11358 +tp11359 +a(g548 +V28 +p11360 +tp11361 +a(g900 +g958 +tp11362 +a(g548 +V6d +p11363 +tp11364 +a(g548 +V75 +p11365 +tp11366 +a(g900 +g958 +tp11367 +a(g548 +V65 +p11368 +tp11369 +a(g548 +V62 +p11370 +tp11371 +a(g900 +g958 +tp11372 +a(g548 +V73 +p11373 +tp11374 +a(g548 +V72 +p11375 +tp11376 +a(g900 +g958 +tp11377 +a(g548 +V6c +p11378 +tp11379 +a(g548 +V2e +p11380 +tp11381 +a(g900 +g958 +tp11382 +a(g548 +V6e +p11383 +tp11384 +a(g548 +V65 +p11385 +tp11386 +a(g900 +V\u000a +p11387 +tp11388 +a(g8 +V0000ee0 +p11389 +tp11390 +a(g900 +g958 +tp11391 +a(g548 +V74 +p11392 +tp11393 +a(g548 +V67 +p11394 +tp11395 +a(g900 +g958 +tp11396 +a(g548 +V20 +p11397 +tp11398 +a(g548 +V68 +p11399 +tp11400 +a(g900 +g958 +tp11401 +a(g548 +V20 +p11402 +tp11403 +a(g548 +V3e +p11404 +tp11405 +a(g900 +g958 +tp11406 +a(g548 +V31 +p11407 +tp11408 +a(g548 +V20 +p11409 +tp11410 +a(g900 +g958 +tp11411 +a(g548 +V20 +p11412 +tp11413 +a(g548 +V29 +p11414 +tp11415 +a(g900 +g958 +tp11416 +a(g548 +V0a +p11417 +tp11418 +a(g548 +V7b +p11419 +tp11420 +a(g900 +g958 +tp11421 +a(g548 +V20 +p11422 +tp11423 +a(g548 +V20 +p11424 +tp11425 +a(g900 +g958 +tp11426 +a(g548 +V20 +p11427 +tp11428 +a(g548 +V20 +p11429 +tp11430 +a(g900 +V\u000a +p11431 +tp11432 +a(g8 +V0000ef0 +p11433 +tp11434 +a(g900 +g958 +tp11435 +a(g548 +V20 +p11436 +tp11437 +a(g548 +V20 +p11438 +tp11439 +a(g900 +g958 +tp11440 +a(g548 +V20 +p11441 +tp11442 +a(g548 +V20 +p11443 +tp11444 +a(g900 +g958 +tp11445 +a(g548 +V20 +p11446 +tp11447 +a(g548 +V20 +p11448 +tp11449 +a(g900 +g958 +tp11450 +a(g548 +V20 +p11451 +tp11452 +a(g548 +V20 +p11453 +tp11454 +a(g900 +g958 +tp11455 +a(g548 +V20 +p11456 +tp11457 +a(g548 +V20 +p11458 +tp11459 +a(g900 +g958 +tp11460 +a(g548 +V20 +p11461 +tp11462 +a(g548 +V20 +p11463 +tp11464 +a(g900 +g958 +tp11465 +a(g548 +V2f +p11466 +tp11467 +a(g548 +V2f +p11468 +tp11469 +a(g900 +g958 +tp11470 +a(g548 +V43 +p11471 +tp11472 +a(g548 +V20 +p11473 +tp11474 +a(g900 +V\u000a +p11475 +tp11476 +a(g8 +V0000f00 +p11477 +tp11478 +a(g900 +g958 +tp11479 +a(g548 +V6d +p11480 +tp11481 +a(g548 +V6f +p11482 +tp11483 +a(g900 +g958 +tp11484 +a(g548 +V69 +p11485 +tp11486 +a(g548 +V62 +p11487 +tp11488 +a(g900 +g958 +tp11489 +a(g548 +V65 +p11490 +tp11491 +a(g548 +V6e +p11492 +tp11493 +a(g900 +g958 +tp11494 +a(g548 +V31 +p11495 +tp11496 +a(g548 +V20 +p11497 +tp11498 +a(g900 +g958 +tp11499 +a(g548 +V64 +p11500 +tp11501 +a(g548 +V20 +p11502 +tp11503 +a(g900 +g958 +tp11504 +a(g548 +V67 +p11505 +tp11506 +a(g548 +V69 +p11507 +tp11508 +a(g900 +g958 +tp11509 +a(g548 +V74 +p11510 +tp11511 +a(g548 +V69 +p11512 +tp11513 +a(g900 +g958 +tp11514 +a(g548 +V77 +p11515 +tp11516 +a(g548 +V20 +p11517 +tp11518 +a(g900 +V\u000a +p11519 +tp11520 +a(g8 +V0000f10 +p11521 +tp11522 +a(g900 +g958 +tp11523 +a(g548 +V74 +p11524 +tp11525 +a(g548 +V69 +p11526 +tp11527 +a(g900 +g958 +tp11528 +a(g548 +V20 +p11529 +tp11530 +a(g548 +V68 +p11531 +tp11532 +a(g900 +g958 +tp11533 +a(g548 +V6c +p11534 +tp11535 +a(g548 +V61 +p11536 +tp11537 +a(g900 +g958 +tp11538 +a(g548 +V20 +p11539 +tp11540 +a(g548 +V6c +p11541 +tp11542 +a(g900 +g958 +tp11543 +a(g548 +V6c +p11544 +tp11545 +a(g548 +V61 +p11546 +tp11547 +a(g900 +g958 +tp11548 +a(g548 +V65 +p11549 +tp11550 +a(g548 +V74 +p11551 +tp11552 +a(g900 +g958 +tp11553 +a(g548 +V61 +p11554 +tp11555 +a(g548 +V6e +p11556 +tp11557 +a(g900 +g958 +tp11558 +a(g548 +V69 +p11559 +tp11560 +a(g548 +V74 +p11561 +tp11562 +a(g900 +V\u000a +p11563 +tp11564 +a(g8 +V0000f20 +p11565 +tp11566 +a(g900 +g958 +tp11567 +a(g548 +V65 +p11568 +tp11569 +a(g548 +V76 +p11570 +tp11571 +a(g900 +g958 +tp11572 +a(g548 +V20 +p11573 +tp11574 +a(g548 +V73 +p11575 +tp11576 +a(g900 +g958 +tp11577 +a(g548 +V72 +p11578 +tp11579 +a(g548 +V66 +p11580 +tp11581 +a(g900 +g958 +tp11582 +a(g548 +V6d +p11583 +tp11584 +a(g548 +V6f +p11585 +tp11586 +a(g900 +g958 +tp11587 +a(g548 +V74 +p11588 +tp11589 +a(g548 +V20 +p11590 +tp11591 +a(g900 +g958 +tp11592 +a(g548 +V65 +p11593 +tp11594 +a(g548 +V68 +p11595 +tp11596 +a(g900 +g958 +tp11597 +a(g548 +V72 +p11598 +tp11599 +a(g548 +V20 +p11600 +tp11601 +a(g900 +g958 +tp11602 +a(g548 +V73 +p11603 +tp11604 +a(g548 +V65 +p11605 +tp11606 +a(g900 +V\u000a +p11607 +tp11608 +a(g8 +V0000f30 +p11609 +tp11610 +a(g900 +g958 +tp11611 +a(g548 +V20 +p11612 +tp11613 +a(g548 +V74 +p11614 +tp11615 +a(g900 +g958 +tp11616 +a(g548 +V20 +p11617 +tp11618 +a(g548 +V20 +p11619 +tp11620 +a(g900 +g958 +tp11621 +a(g548 +V0a +p11622 +tp11623 +a(g548 +V20 +p11624 +tp11625 +a(g900 +g958 +tp11626 +a(g548 +V20 +p11627 +tp11628 +a(g548 +V20 +p11629 +tp11630 +a(g900 +g958 +tp11631 +a(g548 +V20 +p11632 +tp11633 +a(g548 +V20 +p11634 +tp11635 +a(g900 +g958 +tp11636 +a(g548 +V20 +p11637 +tp11638 +a(g548 +V20 +p11639 +tp11640 +a(g900 +g958 +tp11641 +a(g548 +V20 +p11642 +tp11643 +a(g548 +V20 +p11644 +tp11645 +a(g900 +g958 +tp11646 +a(g548 +V20 +p11647 +tp11648 +a(g548 +V20 +p11649 +tp11650 +a(g900 +V\u000a +p11651 +tp11652 +a(g8 +V0000f40 +p11653 +tp11654 +a(g900 +g958 +tp11655 +a(g548 +V20 +p11656 +tp11657 +a(g548 +V20 +p11658 +tp11659 +a(g900 +g958 +tp11660 +a(g548 +V20 +p11661 +tp11662 +a(g548 +V20 +p11663 +tp11664 +a(g900 +g958 +tp11665 +a(g548 +V20 +p11666 +tp11667 +a(g548 +V20 +p11668 +tp11669 +a(g900 +g958 +tp11670 +a(g548 +V2f +p11671 +tp11672 +a(g548 +V2f +p11673 +tp11674 +a(g900 +g958 +tp11675 +a(g548 +V28 +p11676 +tp11677 +a(g548 +V20 +p11678 +tp11679 +a(g900 +g958 +tp11680 +a(g548 +V65 +p11681 +tp11682 +a(g548 +V6e +p11683 +tp11684 +a(g900 +g958 +tp11685 +a(g548 +V74 +p11686 +tp11687 +a(g548 +V78 +p11688 +tp11689 +a(g900 +g958 +tp11690 +a(g548 +V70 +p11691 +tp11692 +a(g548 +V20 +p11693 +tp11694 +a(g900 +V\u000a +p11695 +tp11696 +a(g8 +V0000f50 +p11697 +tp11698 +a(g900 +g958 +tp11699 +a(g548 +V65 +p11700 +tp11701 +a(g548 +V69 +p11702 +tp11703 +a(g900 +g958 +tp11704 +a(g548 +V65 +p11705 +tp11706 +a(g548 +V63 +p11707 +tp11708 +a(g900 +g958 +tp11709 +a(g548 +V63 +p11710 +tp11711 +a(g548 +V20 +p11712 +tp11713 +a(g900 +g958 +tp11714 +a(g548 +V6e +p11715 +tp11716 +a(g548 +V61 +p11717 +tp11718 +a(g900 +g958 +tp11719 +a(g548 +V6e +p11720 +tp11721 +a(g548 +V20 +p11722 +tp11723 +a(g900 +g958 +tp11724 +a(g548 +V74 +p11725 +tp11726 +a(g548 +V6f +p11727 +tp11728 +a(g900 +g958 +tp11729 +a(g548 +V73 +p11730 +tp11731 +a(g548 +V20 +p11732 +tp11733 +a(g900 +g958 +tp11734 +a(g548 +V61 +p11735 +tp11736 +a(g548 +V74 +p11737 +tp11738 +a(g900 +V\u000a +p11739 +tp11740 +a(g8 +V0000f60 +p11741 +tp11742 +a(g900 +g958 +tp11743 +a(g548 +V74 +p11744 +tp11745 +a(g548 +V72 +p11746 +tp11747 +a(g900 +g958 +tp11748 +a(g548 +V77 +p11749 +tp11750 +a(g548 +V20 +p11751 +tp11752 +a(g900 +g958 +tp11753 +a(g548 +V74 +p11754 +tp11755 +a(g548 +V69 +p11756 +tp11757 +a(g900 +g958 +tp11758 +a(g548 +V20 +p11759 +tp11760 +a(g548 +V68 +p11761 +tp11762 +a(g900 +g958 +tp11763 +a(g548 +V20 +p11764 +tp11765 +a(g548 +V61 +p11766 +tp11767 +a(g900 +g958 +tp11768 +a(g548 +V69 +p11769 +tp11770 +a(g548 +V64 +p11771 +tp11772 +a(g900 +g958 +tp11773 +a(g548 +V69 +p11774 +tp11775 +a(g548 +V67 +p11776 +tp11777 +a(g900 +g958 +tp11778 +a(g548 +V29 +p11779 +tp11780 +a(g548 +V74 +p11781 +tp11782 +a(g900 +V\u000a +p11783 +tp11784 +a(g8 +V0000f70 +p11785 +tp11786 +a(g900 +g958 +tp11787 +a(g548 +V20 +p11788 +tp11789 +a(g548 +V20 +p11790 +tp11791 +a(g900 +g958 +tp11792 +a(g548 +V20 +p11793 +tp11794 +a(g548 +V20 +p11795 +tp11796 +a(g900 +g958 +tp11797 +a(g548 +V20 +p11798 +tp11799 +a(g548 +V20 +p11800 +tp11801 +a(g900 +g958 +tp11802 +a(g548 +V20 +p11803 +tp11804 +a(g548 +V20 +p11805 +tp11806 +a(g900 +g958 +tp11807 +a(g548 +V20 +p11808 +tp11809 +a(g548 +V20 +p11810 +tp11811 +a(g900 +g958 +tp11812 +a(g548 +V20 +p11813 +tp11814 +a(g548 +V0a +p11815 +tp11816 +a(g900 +g958 +tp11817 +a(g548 +V20 +p11818 +tp11819 +a(g548 +V20 +p11820 +tp11821 +a(g900 +g958 +tp11822 +a(g548 +V20 +p11823 +tp11824 +a(g548 +V20 +p11825 +tp11826 +a(g900 +V\u000a +p11827 +tp11828 +a(g8 +V0000f80 +p11829 +tp11830 +a(g900 +g958 +tp11831 +a(g548 +V20 +p11832 +tp11833 +a(g548 +V20 +p11834 +tp11835 +a(g900 +g958 +tp11836 +a(g548 +V20 +p11837 +tp11838 +a(g548 +V20 +p11839 +tp11840 +a(g900 +g958 +tp11841 +a(g548 +V20 +p11842 +tp11843 +a(g548 +V20 +p11844 +tp11845 +a(g900 +g958 +tp11846 +a(g548 +V20 +p11847 +tp11848 +a(g548 +V20 +p11849 +tp11850 +a(g900 +g958 +tp11851 +a(g548 +V20 +p11852 +tp11853 +a(g548 +V20 +p11854 +tp11855 +a(g900 +g958 +tp11856 +a(g548 +V66 +p11857 +tp11858 +a(g548 +V20 +p11859 +tp11860 +a(g900 +g958 +tp11861 +a(g548 +V72 +p11862 +tp11863 +a(g548 +V6f +p11864 +tp11865 +a(g900 +g958 +tp11866 +a(g548 +V61 +p11867 +tp11868 +a(g548 +V65 +p11869 +tp11870 +a(g900 +V\u000a +p11871 +tp11872 +a(g8 +V0000f90 +p11873 +tp11874 +a(g900 +g958 +tp11875 +a(g548 +V68 +p11876 +tp11877 +a(g548 +V63 +p11878 +tp11879 +a(g900 +g958 +tp11880 +a(g548 +V28 +p11881 +tp11882 +a(g548 +V20 +p11883 +tp11884 +a(g900 +g958 +tp11885 +a(g548 +V3b +p11886 +tp11887 +a(g548 +V61 +p11888 +tp11889 +a(g900 +g958 +tp11890 +a(g548 +V5f +p11891 +tp11892 +a(g548 +V20 +p11893 +tp11894 +a(g900 +g958 +tp11895 +a(g548 +V69 +p11896 +tp11897 +a(g548 +V46 +p11898 +tp11899 +a(g900 +g958 +tp11900 +a(g548 +V64 +p11901 +tp11902 +a(g548 +V6e +p11903 +tp11904 +a(g900 +g958 +tp11905 +a(g548 +V6f +p11906 +tp11907 +a(g548 +V57 +p11908 +tp11909 +a(g900 +g958 +tp11910 +a(g548 +V64 +p11911 +tp11912 +a(g548 +V72 +p11913 +tp11914 +a(g900 +V\u000a +p11915 +tp11916 +a(g8 +V0000fa0 +p11917 +tp11918 +a(g900 +g958 +tp11919 +a(g548 +V28 +p11920 +tp11921 +a(g548 +V73 +p11922 +tp11923 +a(g900 +g958 +tp11924 +a(g548 +V6e +p11925 +tp11926 +a(g548 +V20 +p11927 +tp11928 +a(g900 +g958 +tp11929 +a(g548 +V6d +p11930 +tp11931 +a(g548 +V75 +p11932 +tp11933 +a(g900 +g958 +tp11934 +a(g548 +V65 +p11935 +tp11936 +a(g548 +V62 +p11937 +tp11938 +a(g900 +g958 +tp11939 +a(g548 +V73 +p11940 +tp11941 +a(g548 +V72 +p11942 +tp11943 +a(g900 +g958 +tp11944 +a(g548 +V31 +p11945 +tp11946 +a(g548 +V5b +p11947 +tp11948 +a(g900 +g958 +tp11949 +a(g548 +V2e +p11950 +tp11951 +a(g548 +V2e +p11952 +tp11953 +a(g900 +g958 +tp11954 +a(g548 +V5d +p11955 +tp11956 +a(g548 +V24 +p11957 +tp11958 +a(g900 +V\u000a +p11959 +tp11960 +a(g8 +V0000fb0 +p11961 +tp11962 +a(g900 +g958 +tp11963 +a(g548 +V20 +p11964 +tp11965 +a(g548 +V2c +p11966 +tp11967 +a(g900 +g958 +tp11968 +a(g548 +V61 +p11969 +tp11970 +a(g548 +V66 +p11971 +tp11972 +a(g900 +g958 +tp11973 +a(g548 +V73 +p11974 +tp11975 +a(g548 +V6c +p11976 +tp11977 +a(g900 +g958 +tp11978 +a(g548 +V20 +p11979 +tp11980 +a(g548 +V65 +p11981 +tp11982 +a(g900 +g958 +tp11983 +a(g548 +V20 +p11984 +tp11985 +a(g548 +V29 +p11986 +tp11987 +a(g900 +g958 +tp11988 +a(g548 +V0a +p11989 +tp11990 +a(g548 +V29 +p11991 +tp11992 +a(g900 +g958 +tp11993 +a(g548 +V20 +p11994 +tp11995 +a(g548 +V20 +p11996 +tp11997 +a(g900 +g958 +tp11998 +a(g548 +V20 +p11999 +tp12000 +a(g548 +V20 +p12001 +tp12002 +a(g900 +V\u000a +p12003 +tp12004 +a(g8 +V0000fc0 +p12005 +tp12006 +a(g900 +g958 +tp12007 +a(g548 +V20 +p12008 +tp12009 +a(g548 +V20 +p12010 +tp12011 +a(g900 +g958 +tp12012 +a(g548 +V20 +p12013 +tp12014 +a(g548 +V20 +p12015 +tp12016 +a(g900 +g958 +tp12017 +a(g548 +V20 +p12018 +tp12019 +a(g548 +V20 +p12020 +tp12021 +a(g900 +g958 +tp12022 +a(g548 +V20 +p12023 +tp12024 +a(g548 +V20 +p12025 +tp12026 +a(g900 +g958 +tp12027 +a(g548 +V20 +p12028 +tp12029 +a(g548 +V20 +p12030 +tp12031 +a(g900 +g958 +tp12032 +a(g548 +V20 +p12033 +tp12034 +a(g548 +V20 +p12035 +tp12036 +a(g900 +g958 +tp12037 +a(g548 +V20 +p12038 +tp12039 +a(g548 +V20 +p12040 +tp12041 +a(g900 +g958 +tp12042 +a(g548 +V20 +p12043 +tp12044 +a(g548 +V20 +p12045 +tp12046 +a(g900 +V\u000a +p12047 +tp12048 +a(g8 +V0000fd0 +p12049 +tp12050 +a(g900 +g958 +tp12051 +a(g548 +V65 +p12052 +tp12053 +a(g548 +V72 +p12054 +tp12055 +a(g900 +g958 +tp12056 +a(g548 +V20 +p12057 +tp12058 +a(g548 +V74 +p12059 +tp12060 +a(g900 +g958 +tp12061 +a(g548 +V3d +p12062 +tp12063 +a(g548 +V7e +p12064 +tp12065 +a(g900 +g958 +tp12066 +a(g548 +V6e +p12067 +tp12068 +a(g548 +V20 +p12069 +tp12070 +a(g900 +g958 +tp12071 +a(g548 +V6d +p12072 +tp12073 +a(g548 +V75 +p12074 +tp12075 +a(g900 +g958 +tp12076 +a(g548 +V65 +p12077 +tp12078 +a(g548 +V62 +p12079 +tp12080 +a(g900 +g958 +tp12081 +a(g548 +V73 +p12082 +tp12083 +a(g548 +V72 +p12084 +tp12085 +a(g900 +g958 +tp12086 +a(g548 +V30 +p12087 +tp12088 +a(g548 +V5b +p12089 +tp12090 +a(g900 +V\u000a +p12091 +tp12092 +a(g8 +V0000fe0 +p12093 +tp12094 +a(g900 +g958 +tp12095 +a(g548 +V2e +p12096 +tp12097 +a(g548 +V2e +p12098 +tp12099 +a(g900 +g958 +tp12100 +a(g548 +V5d +p12101 +tp12102 +a(g548 +V31 +p12103 +tp12104 +a(g900 +g958 +tp12105 +a(g548 +V7e +p12106 +tp12107 +a(g548 +V20 +p12108 +tp12109 +a(g900 +g958 +tp12110 +a(g548 +V22 +p12111 +tp12112 +a(g548 +V20 +p12113 +tp12114 +a(g900 +g958 +tp12115 +a(g548 +V22 +p12116 +tp12117 +a(g548 +V20 +p12118 +tp12119 +a(g900 +g958 +tp12120 +a(g548 +V7e +p12121 +tp12122 +a(g548 +V20 +p12123 +tp12124 +a(g900 +g958 +tp12125 +a(g548 +V61 +p12126 +tp12127 +a(g548 +V20 +p12128 +tp12129 +a(g900 +g958 +tp12130 +a(g548 +V0a +p12131 +tp12132 +a(g548 +V3b +p12133 +tp12134 +a(g900 +V\u000a +p12135 +tp12136 +a(g8 +V0000ff0 +p12137 +tp12138 +a(g900 +g958 +tp12139 +a(g548 +V20 +p12140 +tp12141 +a(g548 +V20 +p12142 +tp12143 +a(g900 +g958 +tp12144 +a(g548 +V20 +p12145 +tp12146 +a(g548 +V20 +p12147 +tp12148 +a(g900 +g958 +tp12149 +a(g548 +V20 +p12150 +tp12151 +a(g548 +V20 +p12152 +tp12153 +a(g900 +g958 +tp12154 +a(g548 +V20 +p12155 +tp12156 +a(g548 +V20 +p12157 +tp12158 +a(g900 +g958 +tp12159 +a(g548 +V20 +p12160 +tp12161 +a(g548 +V20 +p12162 +tp12163 +a(g900 +g958 +tp12164 +a(g548 +V20 +p12165 +tp12166 +a(g548 +V20 +p12167 +tp12168 +a(g900 +g958 +tp12169 +a(g548 +V20 +p12170 +tp12171 +a(g548 +V7d +p12172 +tp12173 +a(g900 +g958 +tp12174 +a(g548 +V20 +p12175 +tp12176 +a(g548 +V20 +p12177 +tp12178 +a(g900 +V\u000a +p12179 +tp12180 +a(g8 +V0001000 +p12181 +tp12182 +a(g900 +g958 +tp12183 +a(g548 +V0a +p12184 +tp12185 +a(g548 +V20 +p12186 +tp12187 +a(g900 +g958 +tp12188 +a(g548 +V20 +p12189 +tp12190 +a(g548 +V20 +p12191 +tp12192 +a(g900 +g958 +tp12193 +a(g548 +V20 +p12194 +tp12195 +a(g548 +V20 +p12196 +tp12197 +a(g900 +g958 +tp12198 +a(g548 +V20 +p12199 +tp12200 +a(g548 +V20 +p12201 +tp12202 +a(g900 +g958 +tp12203 +a(g548 +V20 +p12204 +tp12205 +a(g548 +V20 +p12206 +tp12207 +a(g900 +g958 +tp12208 +a(g548 +V20 +p12209 +tp12210 +a(g548 +V20 +p12211 +tp12212 +a(g900 +g958 +tp12213 +a(g548 +V20 +p12214 +tp12215 +a(g548 +V20 +p12216 +tp12217 +a(g900 +g958 +tp12218 +a(g548 +V6c +p12219 +tp12220 +a(g548 +V65 +p12221 +tp12222 +a(g900 +V\u000a +p12223 +tp12224 +a(g8 +V0001010 +p12225 +tp12226 +a(g900 +g958 +tp12227 +a(g548 +V65 +p12228 +tp12229 +a(g548 +V73 +p12230 +tp12231 +a(g900 +g958 +tp12232 +a(g548 +V20 +p12233 +tp12234 +a(g548 +V20 +p12235 +tp12236 +a(g900 +g958 +tp12237 +a(g548 +V20 +p12238 +tp12239 +a(g548 +V20 +p12240 +tp12241 +a(g900 +g958 +tp12242 +a(g548 +V20 +p12243 +tp12244 +a(g548 +V0a +p12245 +tp12246 +a(g900 +g958 +tp12247 +a(g548 +V20 +p12248 +tp12249 +a(g548 +V20 +p12250 +tp12251 +a(g900 +g958 +tp12252 +a(g548 +V20 +p12253 +tp12254 +a(g548 +V20 +p12255 +tp12256 +a(g900 +g958 +tp12257 +a(g548 +V20 +p12258 +tp12259 +a(g548 +V20 +p12260 +tp12261 +a(g900 +g958 +tp12262 +a(g548 +V20 +p12263 +tp12264 +a(g548 +V20 +p12265 +tp12266 +a(g900 +V\u000a +p12267 +tp12268 +a(g8 +V0001020 +p12269 +tp12270 +a(g900 +g958 +tp12271 +a(g548 +V20 +p12272 +tp12273 +a(g548 +V20 +p12274 +tp12275 +a(g900 +g958 +tp12276 +a(g548 +V20 +p12277 +tp12278 +a(g548 +V20 +p12279 +tp12280 +a(g900 +g958 +tp12281 +a(g548 +V20 +p12282 +tp12283 +a(g548 +V20 +p12284 +tp12285 +a(g900 +g958 +tp12286 +a(g548 +V72 +p12287 +tp12288 +a(g548 +V20 +p12289 +tp12290 +a(g900 +g958 +tp12291 +a(g548 +V74 +p12292 +tp12293 +a(g548 +V65 +p12294 +tp12295 +a(g900 +g958 +tp12296 +a(g548 +V7e +p12297 +tp12298 +a(g548 +V20 +p12299 +tp12300 +a(g900 +g958 +tp12301 +a(g548 +V20 +p12302 +tp12303 +a(g548 +V3d +p12304 +tp12305 +a(g900 +g958 +tp12306 +a(g548 +V75 +p12307 +tp12308 +a(g548 +V6e +p12309 +tp12310 +a(g900 +V\u000a +p12311 +tp12312 +a(g8 +V0001030 +p12313 +tp12314 +a(g900 +g958 +tp12315 +a(g548 +V62 +p12316 +tp12317 +a(g548 +V6d +p12318 +tp12319 +a(g900 +g958 +tp12320 +a(g548 +V72 +p12321 +tp12322 +a(g548 +V65 +p12323 +tp12324 +a(g900 +g958 +tp12325 +a(g548 +V5b +p12326 +tp12327 +a(g548 +V73 +p12328 +tp12329 +a(g900 +g958 +tp12330 +a(g548 +V2e +p12331 +tp12332 +a(g548 +V30 +p12333 +tp12334 +a(g900 +g958 +tp12335 +a(g548 +V31 +p12336 +tp12337 +a(g548 +V2e +p12338 +tp12339 +a(g900 +g958 +tp12340 +a(g548 +V3b +p12341 +tp12342 +a(g548 +V5d +p12343 +tp12344 +a(g900 +g958 +tp12345 +a(g548 +V20 +p12346 +tp12347 +a(g548 +V20 +p12348 +tp12349 +a(g900 +g958 +tp12350 +a(g548 +V20 +p12351 +tp12352 +a(g548 +V20 +p12353 +tp12354 +a(g900 +V\u000a +p12355 +tp12356 +a(g8 +V0001040 +p12357 +tp12358 +a(g900 +g958 +tp12359 +a(g548 +V2f +p12360 +tp12361 +a(g548 +V2f +p12362 +tp12363 +a(g900 +g958 +tp12364 +a(g548 +V6a +p12365 +tp12366 +a(g548 +V20 +p12367 +tp12368 +a(g900 +g958 +tp12369 +a(g548 +V73 +p12370 +tp12371 +a(g548 +V75 +p12372 +tp12373 +a(g900 +g958 +tp12374 +a(g548 +V20 +p12375 +tp12376 +a(g548 +V74 +p12377 +tp12378 +a(g900 +g958 +tp12379 +a(g548 +V70 +p12380 +tp12381 +a(g548 +V61 +p12382 +tp12383 +a(g900 +g958 +tp12384 +a(g548 +V65 +p12385 +tp12386 +a(g548 +V70 +p12387 +tp12388 +a(g900 +g958 +tp12389 +a(g548 +V64 +p12390 +tp12391 +a(g548 +V6e +p12392 +tp12393 +a(g900 +g958 +tp12394 +a(g548 +V74 +p12395 +tp12396 +a(g548 +V20 +p12397 +tp12398 +a(g900 +V\u000a +p12399 +tp12400 +a(g8 +V0001050 +p12401 +tp12402 +a(g900 +g958 +tp12403 +a(g548 +V69 +p12404 +tp12405 +a(g548 +V68 +p12406 +tp12407 +a(g900 +g958 +tp12408 +a(g548 +V20 +p12409 +tp12410 +a(g548 +V73 +p12411 +tp12412 +a(g900 +g958 +tp12413 +a(g548 +V69 +p12414 +tp12415 +a(g548 +V64 +p12416 +tp12417 +a(g900 +g958 +tp12418 +a(g548 +V69 +p12419 +tp12420 +a(g548 +V67 +p12421 +tp12422 +a(g900 +g958 +tp12423 +a(g548 +V20 +p12424 +tp12425 +a(g548 +V74 +p12426 +tp12427 +a(g900 +g958 +tp12428 +a(g548 +V20 +p12429 +tp12430 +a(g548 +V20 +p12431 +tp12432 +a(g900 +g958 +tp12433 +a(g548 +V20 +p12434 +tp12435 +a(g548 +V20 +p12436 +tp12437 +a(g900 +g958 +tp12438 +a(g548 +V20 +p12439 +tp12440 +a(g548 +V20 +p12441 +tp12442 +a(g900 +V\u000a +p12443 +tp12444 +a(g8 +V0001060 +p12445 +tp12446 +a(g900 +g958 +tp12447 +a(g548 +V20 +p12448 +tp12449 +a(g548 +V20 +p12450 +tp12451 +a(g900 +g958 +tp12452 +a(g548 +V20 +p12453 +tp12454 +a(g548 +V20 +p12455 +tp12456 +a(g900 +g958 +tp12457 +a(g548 +V20 +p12458 +tp12459 +a(g548 +V20 +p12460 +tp12461 +a(g900 +g958 +tp12462 +a(g548 +V20 +p12463 +tp12464 +a(g548 +V0a +p12465 +tp12466 +a(g900 +g958 +tp12467 +a(g548 +V20 +p12468 +tp12469 +a(g548 +V20 +p12470 +tp12471 +a(g900 +g958 +tp12472 +a(g548 +V20 +p12473 +tp12474 +a(g548 +V20 +p12475 +tp12476 +a(g900 +g958 +tp12477 +a(g548 +V20 +p12478 +tp12479 +a(g548 +V20 +p12480 +tp12481 +a(g900 +g958 +tp12482 +a(g548 +V7d +p12483 +tp12484 +a(g548 +V20 +p12485 +tp12486 +a(g900 +V\u000a +p12487 +tp12488 +a(g8 +V0001070 +p12489 +tp12490 +a(g900 +g958 +tp12491 +a(g548 +V20 +p12492 +tp12493 +a(g548 +V20 +p12494 +tp12495 +a(g900 +g958 +tp12496 +a(g548 +V20 +p12497 +tp12498 +a(g548 +V20 +p12499 +tp12500 +a(g900 +g958 +tp12501 +a(g548 +V20 +p12502 +tp12503 +a(g548 +V0a +p12504 +tp12505 +a(g900 +g958 +tp12506 +a(g548 +V20 +p12507 +tp12508 +a(g548 +V20 +p12509 +tp12510 +a(g900 +g958 +tp12511 +a(g548 +V20 +p12512 +tp12513 +a(g548 +V20 +p12514 +tp12515 +a(g900 +g958 +tp12516 +a(g548 +V20 +p12517 +tp12518 +a(g548 +V20 +p12519 +tp12520 +a(g900 +g958 +tp12521 +a(g548 +V72 +p12522 +tp12523 +a(g548 +V20 +p12524 +tp12525 +a(g900 +g958 +tp12526 +a(g548 +V74 +p12527 +tp12528 +a(g548 +V65 +p12529 +tp12530 +a(g900 +V\u000a +p12531 +tp12532 +a(g8 +V0001080 +p12533 +tp12534 +a(g900 +g958 +tp12535 +a(g548 +V72 +p12536 +tp12537 +a(g548 +V75 +p12538 +tp12539 +a(g900 +g958 +tp12540 +a(g548 +V20 +p12541 +tp12542 +a(g548 +V6e +p12543 +tp12544 +a(g900 +g958 +tp12545 +a(g548 +V65 +p12546 +tp12547 +a(g548 +V72 +p12548 +tp12549 +a(g900 +g958 +tp12550 +a(g548 +V3b +p12551 +tp12552 +a(g548 +V74 +p12553 +tp12554 +a(g900 +g958 +tp12555 +a(g548 +V20 +p12556 +tp12557 +a(g548 +V0a +p12558 +tp12559 +a(g900 +g958 +tp12560 +a(g548 +V20 +p12561 +tp12562 +a(g548 +V20 +p12563 +tp12564 +a(g900 +g958 +tp12565 +a(g548 +V7d +p12566 +tp12567 +a(g548 +V20 +p12568 +tp12569 +a(g900 +g958 +tp12570 +a(g548 +V0a +p12571 +tp12572 +a(g548 +V0a +p12573 +tp12574 +a(g900 +V\u000a +p12575 +tp12576 +a(g8 +V0001090 +p12577 +tp12578 +a(g900 +g958 +tp12579 +a(g548 +V20 +p12580 +tp12581 +a(g548 +V20 +p12582 +tp12583 +a(g900 +g958 +tp12584 +a(g548 +V20 +p12585 +tp12586 +a(g548 +V20 +p12587 +tp12588 +a(g900 +g958 +tp12589 +a(g548 +V2f +p12590 +tp12591 +a(g548 +V2f +p12592 +tp12593 +a(g900 +g958 +tp12594 +a(g548 +V20 +p12595 +tp12596 +a(g548 +V2f +p12597 +tp12598 +a(g900 +g958 +tp12599 +a(g548 +V54 +p12600 +tp12601 +a(g548 +V28 +p12602 +tp12603 +a(g900 +g958 +tp12604 +a(g548 +V69 +p12605 +tp12606 +a(g548 +V68 +p12607 +tp12608 +a(g900 +g958 +tp12609 +a(g548 +V20 +p12610 +tp12611 +a(g548 +V73 +p12612 +tp12613 +a(g900 +g958 +tp12614 +a(g548 +V75 +p12615 +tp12616 +a(g548 +V66 +p12617 +tp12618 +a(g900 +V\u000a +p12619 +tp12620 +a(g8 +V00010a0 +p12621 +tp12622 +a(g900 +g958 +tp12623 +a(g548 +V63 +p12624 +tp12625 +a(g548 +V6e +p12626 +tp12627 +a(g900 +g958 +tp12628 +a(g548 +V69 +p12629 +tp12630 +a(g548 +V74 +p12631 +tp12632 +a(g900 +g958 +tp12633 +a(g548 +V6e +p12634 +tp12635 +a(g548 +V6f +p12636 +tp12637 +a(g900 +g958 +tp12638 +a(g548 +V77 +p12639 +tp12640 +a(g548 +V20 +p12641 +tp12642 +a(g900 +g958 +tp12643 +a(g548 +V73 +p12644 +tp12645 +a(g548 +V61 +p12646 +tp12647 +a(g900 +g958 +tp12648 +a(g548 +V69 +p12649 +tp12650 +a(g548 +V20 +p12651 +tp12652 +a(g900 +g958 +tp12653 +a(g548 +V6c +p12654 +tp12655 +a(g548 +V6e +p12656 +tp12657 +a(g900 +g958 +tp12658 +a(g548 +V6e +p12659 +tp12660 +a(g548 +V69 +p12661 +tp12662 +a(g900 +V\u000a +p12663 +tp12664 +a(g8 +V00010b0 +p12665 +tp12666 +a(g900 +g958 +tp12667 +a(g548 +V64 +p12668 +tp12669 +a(g548 +V65 +p12670 +tp12671 +a(g900 +g958 +tp12672 +a(g548 +V69 +p12673 +tp12674 +a(g548 +V20 +p12675 +tp12676 +a(g900 +g958 +tp12677 +a(g548 +V20 +p12678 +tp12679 +a(g548 +V6e +p12680 +tp12681 +a(g900 +g958 +tp12682 +a(g548 +V68 +p12683 +tp12684 +a(g548 +V74 +p12685 +tp12686 +a(g900 +g958 +tp12687 +a(g548 +V20 +p12688 +tp12689 +a(g548 +V65 +p12690 +tp12691 +a(g900 +g958 +tp12692 +a(g548 +V72 +p12693 +tp12694 +a(g548 +V6f +p12695 +tp12696 +a(g900 +g958 +tp12697 +a(g548 +V67 +p12698 +tp12699 +a(g548 +V69 +p12700 +tp12701 +a(g900 +g958 +tp12702 +a(g548 +V6e +p12703 +tp12704 +a(g548 +V69 +p12705 +tp12706 +a(g900 +V\u000a +p12707 +tp12708 +a(g8 +V00010c0 +p12709 +tp12710 +a(g900 +g958 +tp12711 +a(g548 +V6c +p12712 +tp12713 +a(g548 +V61 +p12714 +tp12715 +a(g900 +g958 +tp12716 +a(g548 +V70 +p12717 +tp12718 +a(g548 +V20 +p12719 +tp12720 +a(g900 +g958 +tp12721 +a(g548 +V6f +p12722 +tp12723 +a(g548 +V72 +p12724 +tp12725 +a(g900 +g958 +tp12726 +a(g548 +V72 +p12727 +tp12728 +a(g548 +V67 +p12729 +tp12730 +a(g900 +g958 +tp12731 +a(g548 +V6d +p12732 +tp12733 +a(g548 +V61 +p12734 +tp12735 +a(g900 +g958 +tp12736 +a(g548 +V20 +p12737 +tp12738 +a(g548 +V29 +p12739 +tp12740 +a(g900 +g958 +tp12741 +a(g548 +V20 +p12742 +tp12743 +a(g548 +V0a +p12744 +tp12745 +a(g900 +g958 +tp12746 +a(g548 +V20 +p12747 +tp12748 +a(g548 +V20 +p12749 +tp12750 +a(g900 +V\u000a +p12751 +tp12752 +a(g8 +V00010d0 +p12753 +tp12754 +a(g900 +g958 +tp12755 +a(g548 +V2f +p12756 +tp12757 +a(g548 +V20 +p12758 +tp12759 +a(g900 +g958 +tp12760 +a(g548 +V2f +p12761 +tp12762 +a(g548 +V2f +p12763 +tp12764 +a(g900 +g958 +tp12765 +a(g548 +V46 +p12766 +tp12767 +a(g548 +V20 +p12768 +tp12769 +a(g900 +g958 +tp12770 +a(g548 +V6e +p12771 +tp12772 +a(g548 +V69 +p12773 +tp12774 +a(g900 +g958 +tp12775 +a(g548 +V73 +p12776 +tp12777 +a(g548 +V64 +p12778 +tp12779 +a(g900 +g958 +tp12780 +a(g548 +V61 +p12781 +tp12782 +a(g548 +V20 +p12783 +tp12784 +a(g900 +g958 +tp12785 +a(g548 +V6c +p12786 +tp12787 +a(g548 +V6c +p12788 +tp12789 +a(g900 +g958 +tp12790 +a(g548 +V61 +p12791 +tp12792 +a(g548 +V20 +p12793 +tp12794 +a(g900 +V\u000a +p12795 +tp12796 +a(g8 +V00010e0 +p12797 +tp12798 +a(g900 +g958 +tp12799 +a(g548 +V74 +p12800 +tp12801 +a(g548 +V6c +p12802 +tp12803 +a(g900 +g958 +tp12804 +a(g548 +V72 +p12805 +tp12806 +a(g548 +V65 +p12807 +tp12808 +a(g900 +g958 +tp12809 +a(g548 +V61 +p12810 +tp12811 +a(g548 +V6e +p12812 +tp12813 +a(g900 +g958 +tp12814 +a(g548 +V69 +p12815 +tp12816 +a(g548 +V74 +p12817 +tp12818 +a(g900 +g958 +tp12819 +a(g548 +V65 +p12820 +tp12821 +a(g548 +V76 +p12822 +tp12823 +a(g900 +g958 +tp12824 +a(g548 +V20 +p12825 +tp12826 +a(g548 +V73 +p12827 +tp12828 +a(g900 +g958 +tp12829 +a(g548 +V6f +p12830 +tp12831 +a(g548 +V66 +p12832 +tp12833 +a(g900 +g958 +tp12834 +a(g548 +V20 +p12835 +tp12836 +a(g548 +V72 +p12837 +tp12838 +a(g900 +V\u000a +p12839 +tp12840 +a(g8 +V00010f0 +p12841 +tp12842 +a(g900 +g958 +tp12843 +a(g548 +V68 +p12844 +tp12845 +a(g548 +V74 +p12846 +tp12847 +a(g900 +g958 +tp12848 +a(g548 +V20 +p12849 +tp12850 +a(g548 +V65 +p12851 +tp12852 +a(g900 +g958 +tp12853 +a(g548 +V69 +p12854 +tp12855 +a(g548 +V67 +p12856 +tp12857 +a(g900 +g958 +tp12858 +a(g548 +V65 +p12859 +tp12860 +a(g548 +V76 +p12861 +tp12862 +a(g900 +g958 +tp12863 +a(g548 +V20 +p12864 +tp12865 +a(g548 +V6e +p12866 +tp12867 +a(g900 +g958 +tp12868 +a(g548 +V68 +p12869 +tp12870 +a(g548 +V70 +p12871 +tp12872 +a(g900 +g958 +tp12873 +a(g548 +V6e +p12874 +tp12875 +a(g548 +V6f +p12876 +tp12877 +a(g900 +g958 +tp12878 +a(g548 +V20 +p12879 +tp12880 +a(g548 +V65 +p12881 +tp12882 +a(g900 +V\u000a +p12883 +tp12884 +a(g8 +V0001100 +p12885 +tp12886 +a(g900 +g958 +tp12887 +a(g548 +V75 +p12888 +tp12889 +a(g548 +V6e +p12890 +tp12891 +a(g900 +g958 +tp12892 +a(g548 +V62 +p12893 +tp12894 +a(g548 +V6d +p12895 +tp12896 +a(g900 +g958 +tp12897 +a(g548 +V72 +p12898 +tp12899 +a(g548 +V65 +p12900 +tp12901 +a(g900 +g958 +tp12902 +a(g548 +V0a +p12903 +tp12904 +a(g548 +V20 +p12905 +tp12906 +a(g900 +g958 +tp12907 +a(g548 +V20 +p12908 +tp12909 +a(g548 +V20 +p12910 +tp12911 +a(g900 +g958 +tp12912 +a(g548 +V20 +p12913 +tp12914 +a(g548 +V20 +p12915 +tp12916 +a(g900 +g958 +tp12917 +a(g548 +V2f +p12918 +tp12919 +a(g548 +V2f +p12920 +tp12921 +a(g900 +g958 +tp12922 +a(g548 +V20 +p12923 +tp12924 +a(g548 +V2f +p12925 +tp12926 +a(g900 +V\u000a +p12927 +tp12928 +a(g8 +V0001110 +p12929 +tp12930 +a(g900 +g958 +tp12931 +a(g548 +V65 +p12932 +tp12933 +a(g548 +V52 +p12934 +tp12935 +a(g900 +g958 +tp12936 +a(g548 +V75 +p12937 +tp12938 +a(g548 +V74 +p12939 +tp12940 +a(g900 +g958 +tp12941 +a(g548 +V6e +p12942 +tp12943 +a(g548 +V72 +p12944 +tp12945 +a(g900 +g958 +tp12946 +a(g548 +V3a +p12947 +tp12948 +a(g548 +V73 +p12949 +tp12950 +a(g900 +g958 +tp12951 +a(g548 +V61 +p12952 +tp12953 +a(g548 +V20 +p12954 +tp12955 +a(g900 +g958 +tp12956 +a(g548 +V72 +p12957 +tp12958 +a(g548 +V72 +p12959 +tp12960 +a(g900 +g958 +tp12961 +a(g548 +V79 +p12962 +tp12963 +a(g548 +V61 +p12964 +tp12965 +a(g900 +g958 +tp12966 +a(g548 +V6f +p12967 +tp12968 +a(g548 +V20 +p12969 +tp12970 +a(g900 +V\u000a +p12971 +tp12972 +a(g8 +V0001120 +p12973 +tp12974 +a(g900 +g958 +tp12975 +a(g548 +V20 +p12976 +tp12977 +a(g548 +V66 +p12978 +tp12979 +a(g900 +g958 +tp12980 +a(g548 +V74 +p12981 +tp12982 +a(g548 +V73 +p12983 +tp12984 +a(g900 +g958 +tp12985 +a(g548 +V69 +p12986 +tp12987 +a(g548 +V72 +p12988 +tp12989 +a(g900 +g958 +tp12990 +a(g548 +V67 +p12991 +tp12992 +a(g548 +V6e +p12993 +tp12994 +a(g900 +g958 +tp12995 +a(g548 +V20 +p12996 +tp12997 +a(g548 +V73 +p12998 +tp12999 +a(g900 +g958 +tp13000 +a(g548 +V20 +p13001 +tp13002 +a(g548 +V0a +p13003 +tp13004 +a(g900 +g958 +tp13005 +a(g548 +V20 +p13006 +tp13007 +a(g548 +V20 +p13008 +tp13009 +a(g900 +g958 +tp13010 +a(g548 +V73 +p13011 +tp13012 +a(g548 +V20 +p13013 +tp13014 +a(g900 +V\u000a +p13015 +tp13016 +a(g8 +V0001130 +p13017 +tp13018 +a(g900 +g958 +tp13019 +a(g548 +V72 +p13020 +tp13021 +a(g548 +V74 +p13022 +tp13023 +a(g900 +g958 +tp13024 +a(g548 +V6e +p13025 +tp13026 +a(g548 +V69 +p13027 +tp13028 +a(g900 +g958 +tp13029 +a(g548 +V61 +p13030 +tp13031 +a(g548 +V67 +p13032 +tp13033 +a(g900 +g958 +tp13034 +a(g548 +V72 +p13035 +tp13036 +a(g548 +V72 +p13037 +tp13038 +a(g900 +g958 +tp13039 +a(g548 +V79 +p13040 +tp13041 +a(g548 +V61 +p13042 +tp13043 +a(g900 +g958 +tp13044 +a(g548 +V46 +p13045 +tp13046 +a(g548 +V20 +p13047 +tp13048 +a(g900 +g958 +tp13049 +a(g548 +V6e +p13050 +tp13051 +a(g548 +V69 +p13052 +tp13053 +a(g900 +g958 +tp13054 +a(g548 +V57 +p13055 +tp13056 +a(g548 +V64 +p13057 +tp13058 +a(g900 +V\u000a +p13059 +tp13060 +a(g8 +V0001140 +p13061 +tp13062 +a(g900 +g958 +tp13063 +a(g548 +V72 +p13064 +tp13065 +a(g548 +V6f +p13066 +tp13067 +a(g900 +g958 +tp13068 +a(g548 +V73 +p13069 +tp13070 +a(g548 +V64 +p13071 +tp13072 +a(g900 +g958 +tp13073 +a(g548 +V20 +p13074 +tp13075 +a(g548 +V28 +p13076 +tp13077 +a(g900 +g958 +tp13078 +a(g548 +V74 +p13079 +tp13080 +a(g548 +V73 +p13081 +tp13082 +a(g900 +g958 +tp13083 +a(g548 +V69 +p13084 +tp13085 +a(g548 +V72 +p13086 +tp13087 +a(g900 +g958 +tp13088 +a(g548 +V67 +p13089 +tp13090 +a(g548 +V6e +p13091 +tp13092 +a(g900 +g958 +tp13093 +a(g548 +V70 +p13094 +tp13095 +a(g548 +V20 +p13096 +tp13097 +a(g900 +g958 +tp13098 +a(g548 +V6f +p13099 +tp13100 +a(g548 +V68 +p13101 +tp13102 +a(g900 +V\u000a +p13103 +tp13104 +a(g8 +V0001150 +p13105 +tp13106 +a(g900 +g958 +tp13107 +a(g548 +V65 +p13108 +tp13109 +a(g548 +V6e +p13110 +tp13111 +a(g900 +g958 +tp13112 +a(g548 +V6e +p13113 +tp13114 +a(g548 +V5f +p13115 +tp13116 +a(g900 +g958 +tp13117 +a(g548 +V6d +p13118 +tp13119 +a(g548 +V75 +p13120 +tp13121 +a(g900 +g958 +tp13122 +a(g548 +V65 +p13123 +tp13124 +a(g548 +V62 +p13125 +tp13126 +a(g900 +g958 +tp13127 +a(g548 +V20 +p13128 +tp13129 +a(g548 +V72 +p13130 +tp13131 +a(g900 +g958 +tp13132 +a(g548 +V0a +p13133 +tp13134 +a(g548 +V29 +p13135 +tp13136 +a(g900 +g958 +tp13137 +a(g548 +V20 +p13138 +tp13139 +a(g548 +V20 +p13140 +tp13141 +a(g900 +g958 +tp13142 +a(g548 +V20 +p13143 +tp13144 +a(g548 +V20 +p13145 +tp13146 +a(g900 +V\u000a +p13147 +tp13148 +a(g8 +V0001160 +p13149 +tp13150 +a(g900 +g958 +tp13151 +a(g548 +V0a +p13152 +tp13153 +a(g548 +V7b +p13154 +tp13155 +a(g900 +g958 +tp13156 +a(g548 +V20 +p13157 +tp13158 +a(g548 +V20 +p13159 +tp13160 +a(g900 +g958 +tp13161 +a(g548 +V20 +p13162 +tp13163 +a(g548 +V20 +p13164 +tp13165 +a(g900 +g958 +tp13166 +a(g548 +V20 +p13167 +tp13168 +a(g548 +V20 +p13169 +tp13170 +a(g900 +g958 +tp13171 +a(g548 +V20 +p13172 +tp13173 +a(g548 +V20 +p13174 +tp13175 +a(g900 +g958 +tp13176 +a(g548 +V66 +p13177 +tp13178 +a(g548 +V69 +p13179 +tp13180 +a(g900 +g958 +tp13181 +a(g548 +V28 +p13182 +tp13183 +a(g548 +V20 +p13184 +tp13185 +a(g900 +g958 +tp13186 +a(g548 +V70 +p13187 +tp13188 +a(g548 +V21 +p13189 +tp13190 +a(g900 +V\u000a +p13191 +tp13192 +a(g8 +V0001170 +p13193 +tp13194 +a(g900 +g958 +tp13195 +a(g548 +V6f +p13196 +tp13197 +a(g548 +V68 +p13198 +tp13199 +a(g900 +g958 +tp13200 +a(g548 +V65 +p13201 +tp13202 +a(g548 +V6e +p13203 +tp13204 +a(g900 +g958 +tp13205 +a(g548 +V6e +p13206 +tp13207 +a(g548 +V5f +p13208 +tp13209 +a(g900 +g958 +tp13210 +a(g548 +V6d +p13211 +tp13212 +a(g548 +V75 +p13213 +tp13214 +a(g900 +g958 +tp13215 +a(g548 +V65 +p13216 +tp13217 +a(g548 +V62 +p13218 +tp13219 +a(g900 +g958 +tp13220 +a(g548 +V2e +p13221 +tp13222 +a(g548 +V72 +p13223 +tp13224 +a(g900 +g958 +tp13225 +a(g548 +V65 +p13226 +tp13227 +a(g548 +V6c +p13228 +tp13229 +a(g900 +g958 +tp13230 +a(g548 +V67 +p13231 +tp13232 +a(g548 +V6e +p13233 +tp13234 +a(g900 +V\u000a +p13235 +tp13236 +a(g8 +V0001180 +p13237 +tp13238 +a(g900 +g958 +tp13239 +a(g548 +V68 +p13240 +tp13241 +a(g548 +V74 +p13242 +tp13243 +a(g900 +g958 +tp13244 +a(g548 +V0a +p13245 +tp13246 +a(g548 +V29 +p13247 +tp13248 +a(g900 +g958 +tp13249 +a(g548 +V20 +p13250 +tp13251 +a(g548 +V20 +p13252 +tp13253 +a(g900 +g958 +tp13254 +a(g548 +V20 +p13255 +tp13256 +a(g548 +V20 +p13257 +tp13258 +a(g900 +g958 +tp13259 +a(g548 +V20 +p13260 +tp13261 +a(g548 +V20 +p13262 +tp13263 +a(g900 +g958 +tp13264 +a(g548 +V20 +p13265 +tp13266 +a(g548 +V20 +p13267 +tp13268 +a(g900 +g958 +tp13269 +a(g548 +V20 +p13270 +tp13271 +a(g548 +V20 +p13272 +tp13273 +a(g900 +g958 +tp13274 +a(g548 +V20 +p13275 +tp13276 +a(g548 +V20 +p13277 +tp13278 +a(g900 +V\u000a +p13279 +tp13280 +a(g8 +V0001190 +p13281 +tp13282 +a(g900 +g958 +tp13283 +a(g548 +V65 +p13284 +tp13285 +a(g548 +V72 +p13286 +tp13287 +a(g900 +g958 +tp13288 +a(g548 +V75 +p13289 +tp13290 +a(g548 +V74 +p13291 +tp13292 +a(g900 +g958 +tp13293 +a(g548 +V6e +p13294 +tp13295 +a(g548 +V72 +p13296 +tp13297 +a(g900 +g958 +tp13298 +a(g548 +V6e +p13299 +tp13300 +a(g548 +V20 +p13301 +tp13302 +a(g900 +g958 +tp13303 +a(g548 +V6c +p13304 +tp13305 +a(g548 +V75 +p13306 +tp13307 +a(g900 +g958 +tp13308 +a(g548 +V3b +p13309 +tp13310 +a(g548 +V6c +p13311 +tp13312 +a(g900 +g958 +tp13313 +a(g548 +V20 +p13314 +tp13315 +a(g548 +V0a +p13316 +tp13317 +a(g900 +g958 +tp13318 +a(g548 +V20 +p13319 +tp13320 +a(g548 +V20 +p13321 +tp13322 +a(g900 +V\u000a +p13323 +tp13324 +a(g8 +V00011a0 +p13325 +tp13326 +a(g900 +g958 +tp13327 +a(g548 +V20 +p13328 +tp13329 +a(g548 +V20 +p13330 +tp13331 +a(g900 +g958 +tp13332 +a(g548 +V20 +p13333 +tp13334 +a(g548 +V20 +p13335 +tp13336 +a(g900 +g958 +tp13337 +a(g548 +V2f +p13338 +tp13339 +a(g548 +V20 +p13340 +tp13341 +a(g900 +g958 +tp13342 +a(g548 +V20 +p13343 +tp13344 +a(g548 +V2f +p13345 +tp13346 +a(g900 +g958 +tp13347 +a(g548 +V74 +p13348 +tp13349 +a(g548 +V53 +p13350 +tp13351 +a(g900 +g958 +tp13352 +a(g548 +V69 +p13353 +tp13354 +a(g548 +V72 +p13355 +tp13356 +a(g900 +g958 +tp13357 +a(g548 +V20 +p13358 +tp13359 +a(g548 +V70 +p13360 +tp13361 +a(g900 +g958 +tp13362 +a(g548 +V68 +p13363 +tp13364 +a(g548 +V74 +p13365 +tp13366 +a(g900 +V\u000a +p13367 +tp13368 +a(g8 +V00011b0 +p13369 +tp13370 +a(g900 +g958 +tp13371 +a(g548 +V20 +p13372 +tp13373 +a(g548 +V65 +p13374 +tp13375 +a(g900 +g958 +tp13376 +a(g548 +V6f +p13377 +tp13378 +a(g548 +V6e +p13379 +tp13380 +a(g900 +g958 +tp13381 +a(g548 +V2d +p13382 +tp13383 +a(g548 +V6e +p13384 +tp13385 +a(g900 +g958 +tp13386 +a(g548 +V69 +p13387 +tp13388 +a(g548 +V64 +p13389 +tp13390 +a(g900 +g958 +tp13391 +a(g548 +V69 +p13392 +tp13393 +a(g548 +V67 +p13394 +tp13395 +a(g900 +g958 +tp13396 +a(g548 +V20 +p13397 +tp13398 +a(g548 +V74 +p13399 +tp13400 +a(g900 +g958 +tp13401 +a(g548 +V68 +p13402 +tp13403 +a(g548 +V63 +p13404 +tp13405 +a(g900 +g958 +tp13406 +a(g548 +V72 +p13407 +tp13408 +a(g548 +V61 +p13409 +tp13410 +a(g900 +V\u000a +p13411 +tp13412 +a(g8 +V00011c0 +p13413 +tp13414 +a(g900 +g958 +tp13415 +a(g548 +V63 +p13416 +tp13417 +a(g548 +V61 +p13418 +tp13419 +a(g900 +g958 +tp13420 +a(g548 +V65 +p13421 +tp13422 +a(g548 +V74 +p13423 +tp13424 +a(g900 +g958 +tp13425 +a(g548 +V73 +p13426 +tp13427 +a(g548 +V72 +p13428 +tp13429 +a(g900 +g958 +tp13430 +a(g548 +V66 +p13431 +tp13432 +a(g548 +V20 +p13433 +tp13434 +a(g900 +g958 +tp13435 +a(g548 +V6f +p13436 +tp13437 +a(g548 +V72 +p13438 +tp13439 +a(g900 +g958 +tp13440 +a(g548 +V20 +p13441 +tp13442 +a(g548 +V6d +p13443 +tp13444 +a(g900 +g958 +tp13445 +a(g548 +V68 +p13446 +tp13447 +a(g548 +V74 +p13448 +tp13449 +a(g900 +g958 +tp13450 +a(g548 +V20 +p13451 +tp13452 +a(g548 +V65 +p13453 +tp13454 +a(g900 +V\u000a +p13455 +tp13456 +a(g8 +V00011d0 +p13457 +tp13458 +a(g900 +g958 +tp13459 +a(g548 +V68 +p13460 +tp13461 +a(g548 +V70 +p13462 +tp13463 +a(g900 +g958 +tp13464 +a(g548 +V6e +p13465 +tp13466 +a(g548 +V6f +p13467 +tp13468 +a(g900 +g958 +tp13469 +a(g548 +V20 +p13470 +tp13471 +a(g548 +V65 +p13472 +tp13473 +a(g900 +g958 +tp13474 +a(g548 +V75 +p13475 +tp13476 +a(g548 +V6e +p13477 +tp13478 +a(g900 +g958 +tp13479 +a(g548 +V62 +p13480 +tp13481 +a(g548 +V6d +p13482 +tp13483 +a(g900 +g958 +tp13484 +a(g548 +V72 +p13485 +tp13486 +a(g548 +V65 +p13487 +tp13488 +a(g900 +g958 +tp13489 +a(g548 +V20 +p13490 +tp13491 +a(g548 +V2c +p13492 +tp13493 +a(g900 +g958 +tp13494 +a(g548 +V6e +p13495 +tp13496 +a(g548 +V61 +p13497 +tp13498 +a(g900 +V\u000a +p13499 +tp13500 +a(g8 +V00011e0 +p13501 +tp13502 +a(g900 +g958 +tp13503 +a(g548 +V0a +p13504 +tp13505 +a(g548 +V64 +p13506 +tp13507 +a(g900 +g958 +tp13508 +a(g548 +V20 +p13509 +tp13510 +a(g548 +V20 +p13511 +tp13512 +a(g900 +g958 +tp13513 +a(g548 +V20 +p13514 +tp13515 +a(g548 +V20 +p13516 +tp13517 +a(g900 +g958 +tp13518 +a(g548 +V20 +p13519 +tp13520 +a(g548 +V20 +p13521 +tp13522 +a(g900 +g958 +tp13523 +a(g548 +V20 +p13524 +tp13525 +a(g548 +V20 +p13526 +tp13527 +a(g900 +g958 +tp13528 +a(g548 +V2f +p13529 +tp13530 +a(g548 +V2f +p13531 +tp13532 +a(g900 +g958 +tp13533 +a(g548 +V70 +p13534 +tp13535 +a(g548 +V20 +p13536 +tp13537 +a(g900 +g958 +tp13538 +a(g548 +V73 +p13539 +tp13540 +a(g548 +V61 +p13541 +tp13542 +a(g900 +V\u000a +p13543 +tp13544 +a(g8 +V00011f0 +p13545 +tp13546 +a(g900 +g958 +tp13547 +a(g548 +V20 +p13548 +tp13549 +a(g548 +V73 +p13550 +tp13551 +a(g900 +g958 +tp13552 +a(g548 +V74 +p13553 +tp13554 +a(g548 +V69 +p13555 +tp13556 +a(g900 +g958 +tp13557 +a(g548 +V74 +p13558 +tp13559 +a(g548 +V20 +p13560 +tp13561 +a(g900 +g958 +tp13562 +a(g548 +V20 +p13563 +tp13564 +a(g548 +V6f +p13565 +tp13566 +a(g900 +g958 +tp13567 +a(g548 +V68 +p13568 +tp13569 +a(g548 +V74 +p13570 +tp13571 +a(g900 +g958 +tp13572 +a(g548 +V20 +p13573 +tp13574 +a(g548 +V65 +p13575 +tp13576 +a(g900 +g958 +tp13577 +a(g548 +V65 +p13578 +tp13579 +a(g548 +V72 +p13580 +tp13581 +a(g900 +g958 +tp13582 +a(g548 +V75 +p13583 +tp13584 +a(g548 +V63 +p13585 +tp13586 +a(g900 +V\u000a +p13587 +tp13588 +a(g8 +V0001200 +p13589 +tp13590 +a(g900 +g958 +tp13591 +a(g548 +V73 +p13592 +tp13593 +a(g548 +V72 +p13594 +tp13595 +a(g900 +g958 +tp13596 +a(g548 +V76 +p13597 +tp13598 +a(g548 +V69 +p13599 +tp13600 +a(g900 +g958 +tp13601 +a(g548 +V20 +p13602 +tp13603 +a(g548 +V65 +p13604 +tp13605 +a(g900 +g958 +tp13606 +a(g548 +V75 +p13607 +tp13608 +a(g548 +V66 +p13609 +tp13610 +a(g900 +g958 +tp13611 +a(g548 +V63 +p13612 +tp13613 +a(g548 +V6e +p13614 +tp13615 +a(g900 +g958 +tp13616 +a(g548 +V69 +p13617 +tp13618 +a(g548 +V74 +p13619 +tp13620 +a(g900 +g958 +tp13621 +a(g548 +V6e +p13622 +tp13623 +a(g548 +V6f +p13624 +tp13625 +a(g900 +g958 +tp13626 +a(g548 +V28 +p13627 +tp13628 +a(g548 +V20 +p13629 +tp13630 +a(g900 +V\u000a +p13631 +tp13632 +a(g8 +V0001210 +p13633 +tp13634 +a(g900 +g958 +tp13635 +a(g548 +V65 +p13636 +tp13637 +a(g548 +V6c +p13638 +tp13639 +a(g900 +g958 +tp13640 +a(g548 +V64 +p13641 +tp13642 +a(g548 +V61 +p13643 +tp13644 +a(g900 +g958 +tp13645 +a(g548 +V6e +p13646 +tp13647 +a(g548 +V69 +p13648 +tp13649 +a(g900 +g958 +tp13650 +a(g548 +V20 +p13651 +tp13652 +a(g548 +V67 +p13653 +tp13654 +a(g900 +g958 +tp13655 +a(g548 +V69 +p13656 +tp13657 +a(g548 +V64 +p13658 +tp13659 +a(g900 +g958 +tp13660 +a(g548 +V69 +p13661 +tp13662 +a(g548 +V67 +p13663 +tp13664 +a(g900 +g958 +tp13665 +a(g548 +V20 +p13666 +tp13667 +a(g548 +V74 +p13668 +tp13669 +a(g900 +g958 +tp13670 +a(g548 +V73 +p13671 +tp13672 +a(g548 +V69 +p13673 +tp13674 +a(g900 +V\u000a +p13675 +tp13676 +a(g8 +V0001220 +p13677 +tp13678 +a(g900 +g958 +tp13679 +a(g548 +V61 +p13680 +tp13681 +a(g548 +V20 +p13682 +tp13683 +a(g900 +g958 +tp13684 +a(g548 +V6c +p13685 +tp13686 +a(g548 +V6c +p13687 +tp13688 +a(g900 +g958 +tp13689 +a(g548 +V77 +p13690 +tp13691 +a(g548 +V6f +p13692 +tp13693 +a(g900 +g958 +tp13694 +a(g548 +V64 +p13695 +tp13696 +a(g548 +V65 +p13697 +tp13698 +a(g900 +g958 +tp13699 +a(g548 +V0a +p13700 +tp13701 +a(g548 +V29 +p13702 +tp13703 +a(g900 +g958 +tp13704 +a(g548 +V20 +p13705 +tp13706 +a(g548 +V20 +p13707 +tp13708 +a(g900 +g958 +tp13709 +a(g548 +V20 +p13710 +tp13711 +a(g548 +V20 +p13712 +tp13713 +a(g900 +g958 +tp13714 +a(g548 +V20 +p13715 +tp13716 +a(g548 +V20 +p13717 +tp13718 +a(g900 +V\u000a +p13719 +tp13720 +a(g8 +V0001230 +p13721 +tp13722 +a(g900 +g958 +tp13723 +a(g548 +V20 +p13724 +tp13725 +a(g548 +V20 +p13726 +tp13727 +a(g900 +g958 +tp13728 +a(g548 +V65 +p13729 +tp13730 +a(g548 +V72 +p13731 +tp13732 +a(g900 +g958 +tp13733 +a(g548 +V75 +p13734 +tp13735 +a(g548 +V74 +p13736 +tp13737 +a(g900 +g958 +tp13738 +a(g548 +V6e +p13739 +tp13740 +a(g548 +V72 +p13741 +tp13742 +a(g900 +g958 +tp13743 +a(g548 +V5f +p13744 +tp13745 +a(g548 +V20 +p13746 +tp13747 +a(g900 +g958 +tp13748 +a(g548 +V69 +p13749 +tp13750 +a(g548 +V46 +p13751 +tp13752 +a(g900 +g958 +tp13753 +a(g548 +V64 +p13754 +tp13755 +a(g548 +V6e +p13756 +tp13757 +a(g900 +g958 +tp13758 +a(g548 +V6f +p13759 +tp13760 +a(g548 +V57 +p13761 +tp13762 +a(g900 +V\u000a +p13763 +tp13764 +a(g8 +V0001240 +p13765 +tp13766 +a(g900 +g958 +tp13767 +a(g548 +V64 +p13768 +tp13769 +a(g548 +V72 +p13770 +tp13771 +a(g900 +g958 +tp13772 +a(g548 +V28 +p13773 +tp13774 +a(g548 +V73 +p13775 +tp13776 +a(g900 +g958 +tp13777 +a(g548 +V73 +p13778 +tp13779 +a(g548 +V20 +p13780 +tp13781 +a(g900 +g958 +tp13782 +a(g548 +V72 +p13783 +tp13784 +a(g548 +V74 +p13785 +tp13786 +a(g900 +g958 +tp13787 +a(g548 +V70 +p13788 +tp13789 +a(g548 +V69 +p13790 +tp13791 +a(g900 +g958 +tp13792 +a(g548 +V6f +p13793 +tp13794 +a(g548 +V4e +p13795 +tp13796 +a(g900 +g958 +tp13797 +a(g548 +V44 +p13798 +tp13799 +a(g548 +V6e +p13800 +tp13801 +a(g900 +g958 +tp13802 +a(g548 +V67 +p13803 +tp13804 +a(g548 +V69 +p13805 +tp13806 +a(g900 +V\u000a +p13807 +tp13808 +a(g8 +V0001250 +p13809 +tp13810 +a(g900 +g958 +tp13811 +a(g548 +V74 +p13812 +tp13813 +a(g548 +V69 +p13814 +tp13815 +a(g900 +g958 +tp13816 +a(g548 +V70 +p13817 +tp13818 +a(g548 +V28 +p13819 +tp13820 +a(g900 +g958 +tp13821 +a(g548 +V6f +p13822 +tp13823 +a(g548 +V68 +p13824 +tp13825 +a(g900 +g958 +tp13826 +a(g548 +V65 +p13827 +tp13828 +a(g548 +V6e +p13829 +tp13830 +a(g900 +g958 +tp13831 +a(g548 +V6e +p13832 +tp13833 +a(g548 +V5f +p13834 +tp13835 +a(g900 +g958 +tp13836 +a(g548 +V6d +p13837 +tp13838 +a(g548 +V75 +p13839 +tp13840 +a(g900 +g958 +tp13841 +a(g548 +V65 +p13842 +tp13843 +a(g548 +V62 +p13844 +tp13845 +a(g900 +g958 +tp13846 +a(g548 +V29 +p13847 +tp13848 +a(g548 +V72 +p13849 +tp13850 +a(g900 +V\u000a +p13851 +tp13852 +a(g8 +V0001260 +p13853 +tp13854 +a(g900 +g958 +tp13855 +a(g548 +V20 +p13856 +tp13857 +a(g548 +V2c +p13858 +tp13859 +a(g900 +g958 +tp13860 +a(g548 +V72 +p13861 +tp13862 +a(g548 +V74 +p13863 +tp13864 +a(g900 +g958 +tp13865 +a(g548 +V65 +p13866 +tp13867 +a(g548 +V75 +p13868 +tp13869 +a(g900 +g958 +tp13870 +a(g548 +V29 +p13871 +tp13872 +a(g548 +V20 +p13873 +tp13874 +a(g900 +g958 +tp13875 +a(g548 +V20 +p13876 +tp13877 +a(g548 +V3b +p13878 +tp13879 +a(g900 +g958 +tp13880 +a(g548 +V20 +p13881 +tp13882 +a(g548 +V20 +p13883 +tp13884 +a(g900 +g958 +tp13885 +a(g548 +V0a +p13886 +tp13887 +a(g548 +V20 +p13888 +tp13889 +a(g900 +g958 +tp13890 +a(g548 +V20 +p13891 +tp13892 +a(g548 +V20 +p13893 +tp13894 +a(g900 +V\u000a +p13895 +tp13896 +a(g8 +V0001270 +p13897 +tp13898 +a(g900 +g958 +tp13899 +a(g548 +V20 +p13900 +tp13901 +a(g548 +V20 +p13902 +tp13903 +a(g900 +g958 +tp13904 +a(g548 +V20 +p13905 +tp13906 +a(g548 +V7d +p13907 +tp13908 +a(g900 +g958 +tp13909 +a(g548 +V20 +p13910 +tp13911 +a(g548 +V20 +p13912 +tp13913 +a(g900 +g958 +tp13914 +a(g548 +V0a +p13915 +tp13916 +a(g548 +V20 +p13917 +tp13918 +a(g900 +g958 +tp13919 +a(g548 +V20 +p13920 +tp13921 +a(g548 +V20 +p13922 +tp13923 +a(g900 +g958 +tp13924 +a(g548 +V20 +p13925 +tp13926 +a(g548 +V20 +p13927 +tp13928 +a(g900 +g958 +tp13929 +a(g548 +V20 +p13930 +tp13931 +a(g548 +V0a +p13932 +tp13933 +a(g900 +g958 +tp13934 +a(g548 +V20 +p13935 +tp13936 +a(g548 +V20 +p13937 +tp13938 +a(g900 +V\u000a +p13939 +tp13940 +a(g8 +V0001280 +p13941 +tp13942 +a(g900 +g958 +tp13943 +a(g548 +V2f +p13944 +tp13945 +a(g548 +V20 +p13946 +tp13947 +a(g900 +g958 +tp13948 +a(g548 +V20 +p13949 +tp13950 +a(g548 +V2f +p13951 +tp13952 +a(g900 +g958 +tp13953 +a(g548 +V65 +p13954 +tp13955 +a(g548 +V52 +p13956 +tp13957 +a(g900 +g958 +tp13958 +a(g548 +V64 +p13959 +tp13960 +a(g548 +V61 +p13961 +tp13962 +a(g900 +g958 +tp13963 +a(g548 +V74 +p13964 +tp13965 +a(g548 +V20 +p13966 +tp13967 +a(g900 +g958 +tp13968 +a(g548 +V65 +p13969 +tp13970 +a(g548 +V68 +p13971 +tp13972 +a(g900 +g958 +tp13973 +a(g548 +V70 +p13974 +tp13975 +a(g548 +V20 +p13976 +tp13977 +a(g900 +g958 +tp13978 +a(g548 +V6f +p13979 +tp13980 +a(g548 +V68 +p13981 +tp13982 +a(g900 +V\u000a +p13983 +tp13984 +a(g8 +V0001290 +p13985 +tp13986 +a(g900 +g958 +tp13987 +a(g548 +V65 +p13988 +tp13989 +a(g548 +V6e +p13990 +tp13991 +a(g900 +g958 +tp13992 +a(g548 +V6e +p13993 +tp13994 +a(g548 +V20 +p13995 +tp13996 +a(g900 +g958 +tp13997 +a(g548 +V6d +p13998 +tp13999 +a(g548 +V75 +p14000 +tp14001 +a(g900 +g958 +tp14002 +a(g548 +V65 +p14003 +tp14004 +a(g548 +V62 +p14005 +tp14006 +a(g900 +g958 +tp14007 +a(g548 +V73 +p14008 +tp14009 +a(g548 +V72 +p14010 +tp14011 +a(g900 +g958 +tp14012 +a(g548 +V20 +p14013 +tp14014 +a(g548 +V20 +p14015 +tp14016 +a(g900 +g958 +tp14017 +a(g548 +V20 +p14018 +tp14019 +a(g548 +V20 +p14020 +tp14021 +a(g900 +g958 +tp14022 +a(g548 +V0a +p14023 +tp14024 +a(g548 +V20 +p14025 +tp14026 +a(g900 +V\u000a +p14027 +tp14028 +a(g8 +V00012a0 +p14029 +tp14030 +a(g900 +g958 +tp14031 +a(g548 +V20 +p14032 +tp14033 +a(g548 +V20 +p14034 +tp14035 +a(g900 +g958 +tp14036 +a(g548 +V20 +p14037 +tp14038 +a(g548 +V20 +p14039 +tp14040 +a(g900 +g958 +tp14041 +a(g548 +V6f +p14042 +tp14043 +a(g548 +V66 +p14044 +tp14045 +a(g900 +g958 +tp14046 +a(g548 +V65 +p14047 +tp14048 +a(g548 +V72 +p14049 +tp14050 +a(g900 +g958 +tp14051 +a(g548 +V63 +p14052 +tp14053 +a(g548 +V61 +p14054 +tp14055 +a(g900 +g958 +tp14056 +a(g548 +V28 +p14057 +tp14058 +a(g548 +V68 +p14059 +tp14060 +a(g900 +g958 +tp14061 +a(g548 +V74 +p14062 +tp14063 +a(g548 +V73 +p14064 +tp14065 +a(g900 +g958 +tp14066 +a(g548 +V69 +p14067 +tp14068 +a(g548 +V72 +p14069 +tp14070 +a(g900 +V\u000a +p14071 +tp14072 +a(g8 +V00012b0 +p14073 +tp14074 +a(g900 +g958 +tp14075 +a(g548 +V67 +p14076 +tp14077 +a(g548 +V6e +p14078 +tp14079 +a(g900 +g958 +tp14080 +a(g548 +V70 +p14081 +tp14082 +a(g548 +V20 +p14083 +tp14084 +a(g900 +g958 +tp14085 +a(g548 +V6f +p14086 +tp14087 +a(g548 +V68 +p14088 +tp14089 +a(g900 +g958 +tp14090 +a(g548 +V65 +p14091 +tp14092 +a(g548 +V6e +p14093 +tp14094 +a(g900 +g958 +tp14095 +a(g548 +V20 +p14096 +tp14097 +a(g548 +V3b +p14098 +tp14099 +a(g900 +g958 +tp14100 +a(g548 +V65 +p14101 +tp14102 +a(g548 +V6e +p14103 +tp14104 +a(g900 +g958 +tp14105 +a(g548 +V20 +p14106 +tp14107 +a(g548 +V77 +p14108 +tp14109 +a(g900 +g958 +tp14110 +a(g548 +V75 +p14111 +tp14112 +a(g548 +V42 +p14113 +tp14114 +a(g900 +V\u000a +p14115 +tp14116 +a(g8 +V00012c0 +p14117 +tp14118 +a(g900 +g958 +tp14119 +a(g548 +V66 +p14120 +tp14121 +a(g548 +V66 +p14122 +tp14123 +a(g900 +g958 +tp14124 +a(g548 +V72 +p14125 +tp14126 +a(g548 +V65 +p14127 +tp14128 +a(g900 +g958 +tp14129 +a(g548 +V64 +p14130 +tp14131 +a(g548 +V65 +p14132 +tp14133 +a(g900 +g958 +tp14134 +a(g548 +V69 +p14135 +tp14136 +a(g548 +V46 +p14137 +tp14138 +a(g900 +g958 +tp14139 +a(g548 +V65 +p14140 +tp14141 +a(g548 +V6c +p14142 +tp14143 +a(g900 +g958 +tp14144 +a(g548 +V22 +p14145 +tp14146 +a(g548 +V28 +p14147 +tp14148 +a(g900 +g958 +tp14149 +a(g548 +V6e +p14150 +tp14151 +a(g548 +V69 +p14152 +tp14153 +a(g900 +g958 +tp14154 +a(g548 +V75 +p14155 +tp14156 +a(g548 +V70 +p14157 +tp14158 +a(g900 +V\u000a +p14159 +tp14160 +a(g8 +V00012d0 +p14161 +tp14162 +a(g900 +g958 +tp14163 +a(g548 +V2e +p14164 +tp14165 +a(g548 +V74 +p14166 +tp14167 +a(g900 +g958 +tp14168 +a(g548 +V78 +p14169 +tp14170 +a(g548 +V74 +p14171 +tp14172 +a(g900 +g958 +tp14173 +a(g548 +V22 +p14174 +tp14175 +a(g548 +V74 +p14176 +tp14177 +a(g900 +g958 +tp14178 +a(g548 +V20 +p14179 +tp14180 +a(g548 +V20 +p14181 +tp14182 +a(g900 +g958 +tp14183 +a(g548 +V29 +p14184 +tp14185 +a(g548 +V20 +p14186 +tp14187 +a(g900 +g958 +tp14188 +a(g548 +V29 +p14189 +tp14190 +a(g548 +V20 +p14191 +tp14192 +a(g900 +g958 +tp14193 +a(g548 +V20 +p14194 +tp14195 +a(g548 +V0a +p14196 +tp14197 +a(g900 +g958 +tp14198 +a(g548 +V20 +p14199 +tp14200 +a(g548 +V20 +p14201 +tp14202 +a(g900 +V\u000a +p14203 +tp14204 +a(g8 +V00012e0 +p14205 +tp14206 +a(g900 +g958 +tp14207 +a(g548 +V20 +p14208 +tp14209 +a(g548 +V20 +p14210 +tp14211 +a(g900 +g958 +tp14212 +a(g548 +V20 +p14213 +tp14214 +a(g548 +V20 +p14215 +tp14216 +a(g900 +g958 +tp14217 +a(g548 +V66 +p14218 +tp14219 +a(g548 +V20 +p14220 +tp14221 +a(g900 +g958 +tp14222 +a(g548 +V72 +p14223 +tp14224 +a(g548 +V6f +p14225 +tp14226 +a(g900 +g958 +tp14227 +a(g548 +V61 +p14228 +tp14229 +a(g548 +V65 +p14230 +tp14231 +a(g900 +g958 +tp14232 +a(g548 +V68 +p14233 +tp14234 +a(g548 +V63 +p14235 +tp14236 +a(g900 +g958 +tp14237 +a(g548 +V61 +p14238 +tp14239 +a(g548 +V28 +p14240 +tp14241 +a(g900 +g958 +tp14242 +a(g548 +V74 +p14243 +tp14244 +a(g548 +V6c +p14245 +tp14246 +a(g900 +V\u000a +p14247 +tp14248 +a(g8 +V00012f0 +p14249 +tp14250 +a(g900 +g958 +tp14251 +a(g548 +V72 +p14252 +tp14253 +a(g548 +V65 +p14254 +tp14255 +a(g900 +g958 +tp14256 +a(g548 +V61 +p14257 +tp14258 +a(g548 +V6e +p14259 +tp14260 +a(g900 +g958 +tp14261 +a(g548 +V69 +p14262 +tp14263 +a(g548 +V74 +p14264 +tp14265 +a(g900 +g958 +tp14266 +a(g548 +V65 +p14267 +tp14268 +a(g548 +V76 +p14269 +tp14270 +a(g900 +g958 +tp14271 +a(g548 +V20 +p14272 +tp14273 +a(g548 +V3b +p14274 +tp14275 +a(g900 +g958 +tp14276 +a(g548 +V69 +p14277 +tp14278 +a(g548 +V46 +p14279 +tp14280 +a(g900 +g958 +tp14281 +a(g548 +V64 +p14282 +tp14283 +a(g548 +V6e +p14284 +tp14285 +a(g900 +g958 +tp14286 +a(g548 +V6f +p14287 +tp14288 +a(g548 +V57 +p14289 +tp14290 +a(g900 +V\u000a +p14291 +tp14292 +a(g8 +V0001300 +p14293 +tp14294 +a(g900 +g958 +tp14295 +a(g548 +V64 +p14296 +tp14297 +a(g548 +V72 +p14298 +tp14299 +a(g900 +g958 +tp14300 +a(g548 +V28 +p14301 +tp14302 +a(g548 +V73 +p14303 +tp14304 +a(g900 +g958 +tp14305 +a(g548 +V70 +p14306 +tp14307 +a(g548 +V20 +p14308 +tp14309 +a(g900 +g958 +tp14310 +a(g548 +V6f +p14311 +tp14312 +a(g548 +V68 +p14313 +tp14314 +a(g900 +g958 +tp14315 +a(g548 +V65 +p14316 +tp14317 +a(g548 +V6e +p14318 +tp14319 +a(g900 +g958 +tp14320 +a(g548 +V29 +p14321 +tp14322 +a(g548 +V20 +p14323 +tp14324 +a(g900 +g958 +tp14325 +a(g548 +V29 +p14326 +tp14327 +a(g548 +V20 +p14328 +tp14329 +a(g900 +g958 +tp14330 +a(g548 +V20 +p14331 +tp14332 +a(g548 +V0a +p14333 +tp14334 +a(g900 +V\u000a +p14335 +tp14336 +a(g8 +V0001310 +p14337 +tp14338 +a(g900 +g958 +tp14339 +a(g548 +V20 +p14340 +tp14341 +a(g548 +V20 +p14342 +tp14343 +a(g900 +g958 +tp14344 +a(g548 +V20 +p14345 +tp14346 +a(g548 +V20 +p14347 +tp14348 +a(g900 +g958 +tp14349 +a(g548 +V20 +p14350 +tp14351 +a(g548 +V20 +p14352 +tp14353 +a(g900 +g958 +tp14354 +a(g548 +V20 +p14355 +tp14356 +a(g548 +V20 +p14357 +tp14358 +a(g900 +g958 +tp14359 +a(g548 +V20 +p14360 +tp14361 +a(g548 +V20 +p14362 +tp14363 +a(g900 +g958 +tp14364 +a(g548 +V77 +p14365 +tp14366 +a(g548 +V20 +p14367 +tp14368 +a(g900 +g958 +tp14369 +a(g548 +V69 +p14370 +tp14371 +a(g548 +V72 +p14372 +tp14373 +a(g900 +g958 +tp14374 +a(g548 +V65 +p14375 +tp14376 +a(g548 +V74 +p14377 +tp14378 +a(g900 +V\u000a +p14379 +tp14380 +a(g8 +V0001320 +p14381 +tp14382 +a(g900 +g958 +tp14383 +a(g548 +V6c +p14384 +tp14385 +a(g548 +V66 +p14386 +tp14387 +a(g900 +g958 +tp14388 +a(g548 +V28 +p14389 +tp14390 +a(g548 +V6e +p14391 +tp14392 +a(g900 +g958 +tp14393 +a(g548 +V68 +p14394 +tp14395 +a(g548 +V70 +p14396 +tp14397 +a(g900 +g958 +tp14398 +a(g548 +V6e +p14399 +tp14400 +a(g548 +V6f +p14401 +tp14402 +a(g900 +g958 +tp14403 +a(g548 +V2c +p14404 +tp14405 +a(g548 +V65 +p14406 +tp14407 +a(g900 +g958 +tp14408 +a(g548 +V22 +p14409 +tp14410 +a(g548 +V20 +p14411 +tp14412 +a(g900 +g958 +tp14413 +a(g548 +V20 +p14414 +tp14415 +a(g548 +V3a +p14416 +tp14417 +a(g900 +g958 +tp14418 +a(g548 +V2c +p14419 +tp14420 +a(g548 +V22 +p14421 +tp14422 +a(g900 +V\u000a +p14423 +tp14424 +a(g8 +V0001330 +p14425 +tp14426 +a(g900 +g958 +tp14427 +a(g548 +V61 +p14428 +tp14429 +a(g548 +V20 +p14430 +tp14431 +a(g900 +g958 +tp14432 +a(g548 +V74 +p14433 +tp14434 +a(g548 +V6c +p14435 +tp14436 +a(g900 +g958 +tp14437 +a(g548 +V72 +p14438 +tp14439 +a(g548 +V65 +p14440 +tp14441 +a(g900 +g958 +tp14442 +a(g548 +V61 +p14443 +tp14444 +a(g548 +V6e +p14445 +tp14446 +a(g900 +g958 +tp14447 +a(g548 +V69 +p14448 +tp14449 +a(g548 +V74 +p14450 +tp14451 +a(g900 +g958 +tp14452 +a(g548 +V65 +p14453 +tp14454 +a(g548 +V76 +p14455 +tp14456 +a(g900 +g958 +tp14457 +a(g548 +V29 +p14458 +tp14459 +a(g548 +V20 +p14460 +tp14461 +a(g900 +g958 +tp14462 +a(g548 +V0a +p14463 +tp14464 +a(g548 +V3b +p14465 +tp14466 +a(g900 +V\u000a +p14467 +tp14468 +a(g8 +V0001340 +p14469 +tp14470 +a(g900 +g958 +tp14471 +a(g548 +V0a +p14472 +tp14473 +a(g548 +V7d +p14474 +tp14475 +a(g900 +g958 +tp14476 +a(g548 +V00 +p14477 +tp14478 +a(g548 +V0a +p14479 +tp14480 +a(g900 +g958 +tp14481 +a(g900 +g958 +tp14482 +a(g900 +g958 +tp14483 +a(g900 +g958 +tp14484 +a(g900 +g958 +tp14485 +a(g900 +g958 +tp14486 +a(g900 +g958 +tp14487 +a(g900 +V +p14488 +tp14489 +a(g341 +V +p14490 +tp14491 +a(g900 +V\u000a +p14492 +tp14493 +a(g8 +V0001343 +p14494 +tp14495 +a(g900 +V\u000a +p14496 +tp14497 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hexdump_od b/tests/examplefiles/output/hexdump_od new file mode 100644 index 0000000..63cf7cf --- /dev/null +++ b/tests/examplefiles/output/hexdump_od @@ -0,0 +1,43649 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV0000000 +p956 +tp957 +a(g900 +V +p958 +tp959 +a(g548 +V2f +p960 +tp961 +a(g900 +g958 +tp962 +a(g548 +V2f +p963 +tp964 +a(g900 +g958 +tp965 +a(g548 +V20 +p966 +tp967 +a(g900 +g958 +tp968 +a(g548 +V43 +p969 +tp970 +a(g900 +g958 +tp971 +a(g548 +V72 +p972 +tp973 +a(g900 +g958 +tp974 +a(g548 +V65 +p975 +tp976 +a(g900 +g958 +tp977 +a(g548 +V61 +p978 +tp979 +a(g900 +g958 +tp980 +a(g548 +V74 +p981 +tp982 +a(g900 +g958 +tp983 +a(g548 +V65 +p984 +tp985 +a(g900 +g958 +tp986 +a(g548 +V64 +p987 +tp988 +a(g900 +g958 +tp989 +a(g548 +V20 +p990 +tp991 +a(g900 +g958 +tp992 +a(g548 +V62 +p993 +tp994 +a(g900 +g958 +tp995 +a(g548 +V79 +p996 +tp997 +a(g900 +g958 +tp998 +a(g548 +V20 +p999 +tp1000 +a(g900 +g958 +tp1001 +a(g548 +V4c +p1002 +tp1003 +a(g900 +g958 +tp1004 +a(g548 +V69 +p1005 +tp1006 +a(g900 +V +p1007 +tp1008 +a(g685 +V> +p1009 +tp1010 +a(g341 +V// Created by Li +p1011 +tp1012 +a(g685 +V< +p1013 +tp1014 +a(g900 +V\u000a +p1015 +tp1016 +a(g8 +V0000020 +p1017 +tp1018 +a(g900 +g958 +tp1019 +a(g548 +V6f +p1020 +tp1021 +a(g900 +g958 +tp1022 +a(g548 +V6e +p1023 +tp1024 +a(g900 +g958 +tp1025 +a(g548 +V65 +p1026 +tp1027 +a(g900 +g958 +tp1028 +a(g548 +V6c +p1029 +tp1030 +a(g900 +g958 +tp1031 +a(g548 +V6c +p1032 +tp1033 +a(g900 +g958 +tp1034 +a(g548 +V6f +p1035 +tp1036 +a(g900 +g958 +tp1037 +a(g548 +V20 +p1038 +tp1039 +a(g900 +g958 +tp1040 +a(g548 +V4c +p1041 +tp1042 +a(g900 +g958 +tp1043 +a(g548 +V75 +p1044 +tp1045 +a(g900 +g958 +tp1046 +a(g548 +V6e +p1047 +tp1048 +a(g900 +g958 +tp1049 +a(g548 +V65 +p1050 +tp1051 +a(g900 +g958 +tp1052 +a(g548 +V73 +p1053 +tp1054 +a(g900 +g958 +tp1055 +a(g548 +V75 +p1056 +tp1057 +a(g900 +g958 +tp1058 +a(g548 +V20 +p1059 +tp1060 +a(g900 +g958 +tp1061 +a(g548 +V61 +p1062 +tp1063 +a(g900 +g958 +tp1064 +a(g548 +V6e +p1065 +tp1066 +a(g900 +V +p1067 +tp1068 +a(g685 +g1009 +tp1069 +a(g341 +Vonello Lunesu an +p1070 +tp1071 +a(g685 +g1013 +tp1072 +a(g900 +V\u000a +p1073 +tp1074 +a(g8 +V0000040 +p1075 +tp1076 +a(g900 +g958 +tp1077 +a(g548 +V64 +p1078 +tp1079 +a(g900 +g958 +tp1080 +a(g548 +V20 +p1081 +tp1082 +a(g900 +g958 +tp1083 +a(g548 +V70 +p1084 +tp1085 +a(g900 +g958 +tp1086 +a(g548 +V6c +p1087 +tp1088 +a(g900 +g958 +tp1089 +a(g548 +V61 +p1090 +tp1091 +a(g900 +g958 +tp1092 +a(g548 +V63 +p1093 +tp1094 +a(g900 +g958 +tp1095 +a(g548 +V65 +p1096 +tp1097 +a(g900 +g958 +tp1098 +a(g548 +V64 +p1099 +tp1100 +a(g900 +g958 +tp1101 +a(g548 +V20 +p1102 +tp1103 +a(g900 +g958 +tp1104 +a(g548 +V69 +p1105 +tp1106 +a(g900 +g958 +tp1107 +a(g548 +V6e +p1108 +tp1109 +a(g900 +g958 +tp1110 +a(g548 +V20 +p1111 +tp1112 +a(g900 +g958 +tp1113 +a(g548 +V74 +p1114 +tp1115 +a(g900 +g958 +tp1116 +a(g548 +V68 +p1117 +tp1118 +a(g900 +g958 +tp1119 +a(g548 +V65 +p1120 +tp1121 +a(g900 +g958 +tp1122 +a(g548 +V20 +p1123 +tp1124 +a(g900 +V +p1125 +tp1126 +a(g685 +g1009 +tp1127 +a(g341 +Vd placed in the +p1128 +tp1129 +a(g685 +g1013 +tp1130 +a(g900 +V\u000a +p1131 +tp1132 +a(g8 +V0000060 +p1133 +tp1134 +a(g900 +g958 +tp1135 +a(g548 +V70 +p1136 +tp1137 +a(g900 +g958 +tp1138 +a(g548 +V75 +p1139 +tp1140 +a(g900 +g958 +tp1141 +a(g548 +V62 +p1142 +tp1143 +a(g900 +g958 +tp1144 +a(g548 +V6c +p1145 +tp1146 +a(g900 +g958 +tp1147 +a(g548 +V69 +p1148 +tp1149 +a(g900 +g958 +tp1150 +a(g548 +V63 +p1151 +tp1152 +a(g900 +g958 +tp1153 +a(g548 +V20 +p1154 +tp1155 +a(g900 +g958 +tp1156 +a(g548 +V64 +p1157 +tp1158 +a(g900 +g958 +tp1159 +a(g548 +V6f +p1160 +tp1161 +a(g900 +g958 +tp1162 +a(g548 +V6d +p1163 +tp1164 +a(g900 +g958 +tp1165 +a(g548 +V61 +p1166 +tp1167 +a(g900 +g958 +tp1168 +a(g548 +V69 +p1169 +tp1170 +a(g900 +g958 +tp1171 +a(g548 +V6e +p1172 +tp1173 +a(g900 +g958 +tp1174 +a(g548 +V2e +p1175 +tp1176 +a(g900 +g958 +tp1177 +a(g548 +V0a +p1178 +tp1179 +a(g900 +g958 +tp1180 +a(g548 +V2f +p1181 +tp1182 +a(g900 +V +p1183 +tp1184 +a(g685 +g1009 +tp1185 +a(g341 +Vpublic domain../ +p1186 +tp1187 +a(g685 +g1013 +tp1188 +a(g900 +V\u000a +p1189 +tp1190 +a(g8 +V0000100 +p1191 +tp1192 +a(g900 +g958 +tp1193 +a(g548 +V2f +p1194 +tp1195 +a(g900 +g958 +tp1196 +a(g548 +V20 +p1197 +tp1198 +a(g900 +g958 +tp1199 +a(g548 +V54 +p1200 +tp1201 +a(g900 +g958 +tp1202 +a(g548 +V68 +p1203 +tp1204 +a(g900 +g958 +tp1205 +a(g548 +V69 +p1206 +tp1207 +a(g900 +g958 +tp1208 +a(g548 +V73 +p1209 +tp1210 +a(g900 +g958 +tp1211 +a(g548 +V20 +p1212 +tp1213 +a(g900 +g958 +tp1214 +a(g548 +V66 +p1215 +tp1216 +a(g900 +g958 +tp1217 +a(g548 +V69 +p1218 +tp1219 +a(g900 +g958 +tp1220 +a(g548 +V6c +p1221 +tp1222 +a(g900 +g958 +tp1223 +a(g548 +V65 +p1224 +tp1225 +a(g900 +g958 +tp1226 +a(g548 +V20 +p1227 +tp1228 +a(g900 +g958 +tp1229 +a(g548 +V68 +p1230 +tp1231 +a(g900 +g958 +tp1232 +a(g548 +V61 +p1233 +tp1234 +a(g900 +g958 +tp1235 +a(g548 +V73 +p1236 +tp1237 +a(g900 +g958 +tp1238 +a(g548 +V20 +p1239 +tp1240 +a(g900 +V +p1241 +tp1242 +a(g685 +g1009 +tp1243 +a(g341 +V/ This file has +p1244 +tp1245 +a(g685 +g1013 +tp1246 +a(g900 +V\u000a +p1247 +tp1248 +a(g8 +V0000120 +p1249 +tp1250 +a(g900 +g958 +tp1251 +a(g548 +V62 +p1252 +tp1253 +a(g900 +g958 +tp1254 +a(g548 +V65 +p1255 +tp1256 +a(g900 +g958 +tp1257 +a(g548 +V65 +p1258 +tp1259 +a(g900 +g958 +tp1260 +a(g548 +V6e +p1261 +tp1262 +a(g900 +g958 +tp1263 +a(g548 +V20 +p1264 +tp1265 +a(g900 +g958 +tp1266 +a(g548 +V6d +p1267 +tp1268 +a(g900 +g958 +tp1269 +a(g548 +V6f +p1270 +tp1271 +a(g900 +g958 +tp1272 +a(g548 +V64 +p1273 +tp1274 +a(g900 +g958 +tp1275 +a(g548 +V69 +p1276 +tp1277 +a(g900 +g958 +tp1278 +a(g548 +V66 +p1279 +tp1280 +a(g900 +g958 +tp1281 +a(g548 +V69 +p1282 +tp1283 +a(g900 +g958 +tp1284 +a(g548 +V65 +p1285 +tp1286 +a(g900 +g958 +tp1287 +a(g548 +V64 +p1288 +tp1289 +a(g900 +g958 +tp1290 +a(g548 +V20 +p1291 +tp1292 +a(g900 +g958 +tp1293 +a(g548 +V66 +p1294 +tp1295 +a(g900 +g958 +tp1296 +a(g548 +V72 +p1297 +tp1298 +a(g900 +V +p1299 +tp1300 +a(g685 +g1009 +tp1301 +a(g341 +Vbeen modified fr +p1302 +tp1303 +a(g685 +g1013 +tp1304 +a(g900 +V\u000a +p1305 +tp1306 +a(g8 +V0000140 +p1307 +tp1308 +a(g900 +g958 +tp1309 +a(g548 +V6f +p1310 +tp1311 +a(g900 +g958 +tp1312 +a(g548 +V6d +p1313 +tp1314 +a(g900 +g958 +tp1315 +a(g548 +V20 +p1316 +tp1317 +a(g900 +g958 +tp1318 +a(g548 +V69 +p1319 +tp1320 +a(g900 +g958 +tp1321 +a(g548 +V74 +p1322 +tp1323 +a(g900 +g958 +tp1324 +a(g548 +V73 +p1325 +tp1326 +a(g900 +g958 +tp1327 +a(g548 +V20 +p1328 +tp1329 +a(g900 +g958 +tp1330 +a(g548 +V6f +p1331 +tp1332 +a(g900 +g958 +tp1333 +a(g548 +V72 +p1334 +tp1335 +a(g900 +g958 +tp1336 +a(g548 +V69 +p1337 +tp1338 +a(g900 +g958 +tp1339 +a(g548 +V67 +p1340 +tp1341 +a(g900 +g958 +tp1342 +a(g548 +V69 +p1343 +tp1344 +a(g900 +g958 +tp1345 +a(g548 +V6e +p1346 +tp1347 +a(g900 +g958 +tp1348 +a(g548 +V61 +p1349 +tp1350 +a(g900 +g958 +tp1351 +a(g548 +V6c +p1352 +tp1353 +a(g900 +g958 +tp1354 +a(g548 +V20 +p1355 +tp1356 +a(g900 +V +p1357 +tp1358 +a(g685 +g1009 +tp1359 +a(g341 +Vom its original +p1360 +tp1361 +a(g685 +g1013 +tp1362 +a(g900 +V\u000a +p1363 +tp1364 +a(g8 +V0000160 +p1365 +tp1366 +a(g900 +g958 +tp1367 +a(g548 +V76 +p1368 +tp1369 +a(g900 +g958 +tp1370 +a(g548 +V65 +p1371 +tp1372 +a(g900 +g958 +tp1373 +a(g548 +V72 +p1374 +tp1375 +a(g900 +g958 +tp1376 +a(g548 +V73 +p1377 +tp1378 +a(g900 +g958 +tp1379 +a(g548 +V69 +p1380 +tp1381 +a(g900 +g958 +tp1382 +a(g548 +V6f +p1383 +tp1384 +a(g900 +g958 +tp1385 +a(g548 +V6e +p1386 +tp1387 +a(g900 +g958 +tp1388 +a(g548 +V2e +p1389 +tp1390 +a(g900 +g958 +tp1391 +a(g548 +V0a +p1392 +tp1393 +a(g900 +g958 +tp1394 +a(g548 +V2f +p1395 +tp1396 +a(g900 +g958 +tp1397 +a(g548 +V2f +p1398 +tp1399 +a(g900 +g958 +tp1400 +a(g548 +V20 +p1401 +tp1402 +a(g900 +g958 +tp1403 +a(g548 +V49 +p1404 +tp1405 +a(g900 +g958 +tp1406 +a(g548 +V74 +p1407 +tp1408 +a(g900 +g958 +tp1409 +a(g548 +V20 +p1410 +tp1411 +a(g900 +g958 +tp1412 +a(g548 +V68 +p1413 +tp1414 +a(g900 +V +p1415 +tp1416 +a(g685 +g1009 +tp1417 +a(g341 +Vversion..// It h +p1418 +tp1419 +a(g685 +g1013 +tp1420 +a(g900 +V\u000a +p1421 +tp1422 +a(g8 +V0000200 +p1423 +tp1424 +a(g900 +g958 +tp1425 +a(g548 +V61 +p1426 +tp1427 +a(g900 +g958 +tp1428 +a(g548 +V73 +p1429 +tp1430 +a(g900 +g958 +tp1431 +a(g548 +V20 +p1432 +tp1433 +a(g900 +g958 +tp1434 +a(g548 +V62 +p1435 +tp1436 +a(g900 +g958 +tp1437 +a(g548 +V65 +p1438 +tp1439 +a(g900 +g958 +tp1440 +a(g548 +V65 +p1441 +tp1442 +a(g900 +g958 +tp1443 +a(g548 +V6e +p1444 +tp1445 +a(g900 +g958 +tp1446 +a(g548 +V20 +p1447 +tp1448 +a(g900 +g958 +tp1449 +a(g548 +V66 +p1450 +tp1451 +a(g900 +g958 +tp1452 +a(g548 +V6f +p1453 +tp1454 +a(g900 +g958 +tp1455 +a(g548 +V72 +p1456 +tp1457 +a(g900 +g958 +tp1458 +a(g548 +V6d +p1459 +tp1460 +a(g900 +g958 +tp1461 +a(g548 +V61 +p1462 +tp1463 +a(g900 +g958 +tp1464 +a(g548 +V74 +p1465 +tp1466 +a(g900 +g958 +tp1467 +a(g548 +V74 +p1468 +tp1469 +a(g900 +g958 +tp1470 +a(g548 +V65 +p1471 +tp1472 +a(g900 +V +p1473 +tp1474 +a(g685 +g1009 +tp1475 +a(g341 +Vas been formatte +p1476 +tp1477 +a(g685 +g1013 +tp1478 +a(g900 +V\u000a +p1479 +tp1480 +a(g8 +V0000220 +p1481 +tp1482 +a(g900 +g958 +tp1483 +a(g548 +V64 +p1484 +tp1485 +a(g900 +g958 +tp1486 +a(g548 +V20 +p1487 +tp1488 +a(g900 +g958 +tp1489 +a(g548 +V74 +p1490 +tp1491 +a(g900 +g958 +tp1492 +a(g548 +V6f +p1493 +tp1494 +a(g900 +g958 +tp1495 +a(g548 +V20 +p1496 +tp1497 +a(g900 +g958 +tp1498 +a(g548 +V66 +p1499 +tp1500 +a(g900 +g958 +tp1501 +a(g548 +V69 +p1502 +tp1503 +a(g900 +g958 +tp1504 +a(g548 +V74 +p1505 +tp1506 +a(g900 +g958 +tp1507 +a(g548 +V20 +p1508 +tp1509 +a(g900 +g958 +tp1510 +a(g548 +V79 +p1511 +tp1512 +a(g900 +g958 +tp1513 +a(g548 +V6f +p1514 +tp1515 +a(g900 +g958 +tp1516 +a(g548 +V75 +p1517 +tp1518 +a(g900 +g958 +tp1519 +a(g548 +V72 +p1520 +tp1521 +a(g900 +g958 +tp1522 +a(g548 +V20 +p1523 +tp1524 +a(g900 +g958 +tp1525 +a(g548 +V73 +p1526 +tp1527 +a(g900 +g958 +tp1528 +a(g548 +V63 +p1529 +tp1530 +a(g900 +V +p1531 +tp1532 +a(g685 +g1009 +tp1533 +a(g341 +Vd to fit your sc +p1534 +tp1535 +a(g685 +g1013 +tp1536 +a(g900 +V\u000a +p1537 +tp1538 +a(g8 +V0000240 +p1539 +tp1540 +a(g900 +g958 +tp1541 +a(g548 +V72 +p1542 +tp1543 +a(g900 +g958 +tp1544 +a(g548 +V65 +p1545 +tp1546 +a(g900 +g958 +tp1547 +a(g548 +V65 +p1548 +tp1549 +a(g900 +g958 +tp1550 +a(g548 +V6e +p1551 +tp1552 +a(g900 +g958 +tp1553 +a(g548 +V2e +p1554 +tp1555 +a(g900 +g958 +tp1556 +a(g548 +V0a +p1557 +tp1558 +a(g900 +g958 +tp1559 +a(g548 +V6d +p1560 +tp1561 +a(g900 +g958 +tp1562 +a(g548 +V6f +p1563 +tp1564 +a(g900 +g958 +tp1565 +a(g548 +V64 +p1566 +tp1567 +a(g900 +g958 +tp1568 +a(g548 +V75 +p1569 +tp1570 +a(g900 +g958 +tp1571 +a(g548 +V6c +p1572 +tp1573 +a(g900 +g958 +tp1574 +a(g548 +V65 +p1575 +tp1576 +a(g900 +g958 +tp1577 +a(g548 +V20 +p1578 +tp1579 +a(g900 +g958 +tp1580 +a(g548 +V70 +p1581 +tp1582 +a(g900 +g958 +tp1583 +a(g548 +V68 +p1584 +tp1585 +a(g900 +g958 +tp1586 +a(g548 +V6f +p1587 +tp1588 +a(g900 +V +p1589 +tp1590 +a(g685 +g1009 +tp1591 +a(g341 +Vreen..module pho +p1592 +tp1593 +a(g685 +g1013 +tp1594 +a(g900 +V\u000a +p1595 +tp1596 +a(g8 +V0000260 +p1597 +tp1598 +a(g900 +g958 +tp1599 +a(g548 +V6e +p1600 +tp1601 +a(g900 +g958 +tp1602 +a(g548 +V65 +p1603 +tp1604 +a(g900 +g958 +tp1605 +a(g548 +V6e +p1606 +tp1607 +a(g900 +g958 +tp1608 +a(g548 +V6f +p1609 +tp1610 +a(g900 +g958 +tp1611 +a(g548 +V3b +p1612 +tp1613 +a(g900 +g958 +tp1614 +a(g548 +V20 +p1615 +tp1616 +a(g900 +g958 +tp1617 +a(g548 +V20 +p1618 +tp1619 +a(g900 +g958 +tp1620 +a(g548 +V20 +p1621 +tp1622 +a(g900 +g958 +tp1623 +a(g548 +V20 +p1624 +tp1625 +a(g900 +g958 +tp1626 +a(g548 +V20 +p1627 +tp1628 +a(g900 +g958 +tp1629 +a(g548 +V2f +p1630 +tp1631 +a(g900 +g958 +tp1632 +a(g548 +V2f +p1633 +tp1634 +a(g900 +g958 +tp1635 +a(g548 +V20 +p1636 +tp1637 +a(g900 +g958 +tp1638 +a(g548 +V6f +p1639 +tp1640 +a(g900 +g958 +tp1641 +a(g548 +V70 +p1642 +tp1643 +a(g900 +g958 +tp1644 +a(g548 +V74 +p1645 +tp1646 +a(g900 +V +p1647 +tp1648 +a(g685 +g1009 +tp1649 +a(g341 +Vneno; // opt +p1650 +tp1651 +a(g685 +g1013 +tp1652 +a(g900 +V\u000a +p1653 +tp1654 +a(g8 +V0000300 +p1655 +tp1656 +a(g900 +g958 +tp1657 +a(g548 +V69 +p1658 +tp1659 +a(g900 +g958 +tp1660 +a(g548 +V6f +p1661 +tp1662 +a(g900 +g958 +tp1663 +a(g548 +V6e +p1664 +tp1665 +a(g900 +g958 +tp1666 +a(g548 +V61 +p1667 +tp1668 +a(g900 +g958 +tp1669 +a(g548 +V6c +p1670 +tp1671 +a(g900 +g958 +tp1672 +a(g548 +V0a +p1673 +tp1674 +a(g900 +g958 +tp1675 +a(g548 +V69 +p1676 +tp1677 +a(g900 +g958 +tp1678 +a(g548 +V6d +p1679 +tp1680 +a(g900 +g958 +tp1681 +a(g548 +V70 +p1682 +tp1683 +a(g900 +g958 +tp1684 +a(g548 +V6f +p1685 +tp1686 +a(g900 +g958 +tp1687 +a(g548 +V72 +p1688 +tp1689 +a(g900 +g958 +tp1690 +a(g548 +V74 +p1691 +tp1692 +a(g900 +g958 +tp1693 +a(g548 +V20 +p1694 +tp1695 +a(g900 +g958 +tp1696 +a(g548 +V73 +p1697 +tp1698 +a(g900 +g958 +tp1699 +a(g548 +V74 +p1700 +tp1701 +a(g900 +g958 +tp1702 +a(g548 +V64 +p1703 +tp1704 +a(g900 +V +p1705 +tp1706 +a(g685 +g1009 +tp1707 +a(g341 +Vional.import std +p1708 +tp1709 +a(g685 +g1013 +tp1710 +a(g900 +V\u000a +p1711 +tp1712 +a(g8 +V0000320 +p1713 +tp1714 +a(g900 +g958 +tp1715 +a(g548 +V2e +p1716 +tp1717 +a(g900 +g958 +tp1718 +a(g548 +V73 +p1719 +tp1720 +a(g900 +g958 +tp1721 +a(g548 +V74 +p1722 +tp1723 +a(g900 +g958 +tp1724 +a(g548 +V64 +p1725 +tp1726 +a(g900 +g958 +tp1727 +a(g548 +V69 +p1728 +tp1729 +a(g900 +g958 +tp1730 +a(g548 +V6f +p1731 +tp1732 +a(g900 +g958 +tp1733 +a(g548 +V3b +p1734 +tp1735 +a(g900 +g958 +tp1736 +a(g548 +V20 +p1737 +tp1738 +a(g900 +g958 +tp1739 +a(g548 +V20 +p1740 +tp1741 +a(g900 +g958 +tp1742 +a(g548 +V20 +p1743 +tp1744 +a(g900 +g958 +tp1745 +a(g548 +V2f +p1746 +tp1747 +a(g900 +g958 +tp1748 +a(g548 +V2f +p1749 +tp1750 +a(g900 +g958 +tp1751 +a(g548 +V20 +p1752 +tp1753 +a(g900 +g958 +tp1754 +a(g548 +V77 +p1755 +tp1756 +a(g900 +g958 +tp1757 +a(g548 +V72 +p1758 +tp1759 +a(g900 +g958 +tp1760 +a(g548 +V69 +p1761 +tp1762 +a(g900 +V +p1763 +tp1764 +a(g685 +g1009 +tp1765 +a(g341 +V.stdio; // wri +p1766 +tp1767 +a(g685 +g1013 +tp1768 +a(g900 +V\u000a +p1769 +tp1770 +a(g8 +V0000340 +p1771 +tp1772 +a(g900 +g958 +tp1773 +a(g548 +V74 +p1774 +tp1775 +a(g900 +g958 +tp1776 +a(g548 +V65 +p1777 +tp1778 +a(g900 +g958 +tp1779 +a(g548 +V66 +p1780 +tp1781 +a(g900 +g958 +tp1782 +a(g548 +V6c +p1783 +tp1784 +a(g900 +g958 +tp1785 +a(g548 +V6e +p1786 +tp1787 +a(g900 +g958 +tp1788 +a(g548 +V20 +p1789 +tp1790 +a(g900 +g958 +tp1791 +a(g548 +V20 +p1792 +tp1793 +a(g900 +g958 +tp1794 +a(g548 +V20 +p1795 +tp1796 +a(g900 +g958 +tp1797 +a(g548 +V20 +p1798 +tp1799 +a(g900 +g958 +tp1800 +a(g548 +V20 +p1801 +tp1802 +a(g900 +g958 +tp1803 +a(g548 +V0a +p1804 +tp1805 +a(g900 +g958 +tp1806 +a(g548 +V69 +p1807 +tp1808 +a(g900 +g958 +tp1809 +a(g548 +V6d +p1810 +tp1811 +a(g900 +g958 +tp1812 +a(g548 +V70 +p1813 +tp1814 +a(g900 +g958 +tp1815 +a(g548 +V6f +p1816 +tp1817 +a(g900 +g958 +tp1818 +a(g548 +V72 +p1819 +tp1820 +a(g900 +V +p1821 +tp1822 +a(g685 +g1009 +tp1823 +a(g341 +Vtefln .impor +p1824 +tp1825 +a(g685 +g1013 +tp1826 +a(g900 +V\u000a +p1827 +tp1828 +a(g8 +V0000360 +p1829 +tp1830 +a(g900 +g958 +tp1831 +a(g548 +V74 +p1832 +tp1833 +a(g900 +g958 +tp1834 +a(g548 +V20 +p1835 +tp1836 +a(g900 +g958 +tp1837 +a(g548 +V73 +p1838 +tp1839 +a(g900 +g958 +tp1840 +a(g548 +V74 +p1841 +tp1842 +a(g900 +g958 +tp1843 +a(g548 +V64 +p1844 +tp1845 +a(g900 +g958 +tp1846 +a(g548 +V2e +p1847 +tp1848 +a(g900 +g958 +tp1849 +a(g548 +V63 +p1850 +tp1851 +a(g900 +g958 +tp1852 +a(g548 +V74 +p1853 +tp1854 +a(g900 +g958 +tp1855 +a(g548 +V79 +p1856 +tp1857 +a(g900 +g958 +tp1858 +a(g548 +V70 +p1859 +tp1860 +a(g900 +g958 +tp1861 +a(g548 +V65 +p1862 +tp1863 +a(g900 +g958 +tp1864 +a(g548 +V3b +p1865 +tp1866 +a(g900 +g958 +tp1867 +a(g548 +V20 +p1868 +tp1869 +a(g900 +g958 +tp1870 +a(g548 +V20 +p1871 +tp1872 +a(g900 +g958 +tp1873 +a(g548 +V20 +p1874 +tp1875 +a(g900 +g958 +tp1876 +a(g548 +V2f +p1877 +tp1878 +a(g900 +V +p1879 +tp1880 +a(g685 +g1009 +tp1881 +a(g341 +Vt std.ctype; / +p1882 +tp1883 +a(g685 +g1013 +tp1884 +a(g900 +V\u000a +p1885 +tp1886 +a(g8 +V0000400 +p1887 +tp1888 +a(g900 +g958 +tp1889 +a(g548 +V2f +p1890 +tp1891 +a(g900 +g958 +tp1892 +a(g548 +V20 +p1893 +tp1894 +a(g900 +g958 +tp1895 +a(g548 +V69 +p1896 +tp1897 +a(g900 +g958 +tp1898 +a(g548 +V73 +p1899 +tp1900 +a(g900 +g958 +tp1901 +a(g548 +V64 +p1902 +tp1903 +a(g900 +g958 +tp1904 +a(g548 +V69 +p1905 +tp1906 +a(g900 +g958 +tp1907 +a(g548 +V67 +p1908 +tp1909 +a(g900 +g958 +tp1910 +a(g548 +V69 +p1911 +tp1912 +a(g900 +g958 +tp1913 +a(g548 +V74 +p1914 +tp1915 +a(g900 +g958 +tp1916 +a(g548 +V20 +p1917 +tp1918 +a(g900 +g958 +tp1919 +a(g548 +V20 +p1920 +tp1921 +a(g900 +g958 +tp1922 +a(g548 +V20 +p1923 +tp1924 +a(g900 +g958 +tp1925 +a(g548 +V20 +p1926 +tp1927 +a(g900 +g958 +tp1928 +a(g548 +V20 +p1929 +tp1930 +a(g900 +g958 +tp1931 +a(g548 +V0a +p1932 +tp1933 +a(g900 +g958 +tp1934 +a(g548 +V69 +p1935 +tp1936 +a(g900 +V +p1937 +tp1938 +a(g685 +g1009 +tp1939 +a(g341 +V/ isdigit .i +p1940 +tp1941 +a(g685 +g1013 +tp1942 +a(g900 +V\u000a +p1943 +tp1944 +a(g8 +V0000420 +p1945 +tp1946 +a(g900 +g958 +tp1947 +a(g548 +V6d +p1948 +tp1949 +a(g900 +g958 +tp1950 +a(g548 +V70 +p1951 +tp1952 +a(g900 +g958 +tp1953 +a(g548 +V6f +p1954 +tp1955 +a(g900 +g958 +tp1956 +a(g548 +V72 +p1957 +tp1958 +a(g900 +g958 +tp1959 +a(g548 +V74 +p1960 +tp1961 +a(g900 +g958 +tp1962 +a(g548 +V20 +p1963 +tp1964 +a(g900 +g958 +tp1965 +a(g548 +V73 +p1966 +tp1967 +a(g900 +g958 +tp1968 +a(g548 +V74 +p1969 +tp1970 +a(g900 +g958 +tp1971 +a(g548 +V64 +p1972 +tp1973 +a(g900 +g958 +tp1974 +a(g548 +V2e +p1975 +tp1976 +a(g900 +g958 +tp1977 +a(g548 +V73 +p1978 +tp1979 +a(g900 +g958 +tp1980 +a(g548 +V74 +p1981 +tp1982 +a(g900 +g958 +tp1983 +a(g548 +V72 +p1984 +tp1985 +a(g900 +g958 +tp1986 +a(g548 +V65 +p1987 +tp1988 +a(g900 +g958 +tp1989 +a(g548 +V61 +p1990 +tp1991 +a(g900 +g958 +tp1992 +a(g548 +V6d +p1993 +tp1994 +a(g900 +V +p1995 +tp1996 +a(g685 +g1009 +tp1997 +a(g341 +Vmport std.stream +p1998 +tp1999 +a(g685 +g1013 +tp2000 +a(g900 +V\u000a +p2001 +tp2002 +a(g8 +V0000440 +p2003 +tp2004 +a(g900 +g958 +tp2005 +a(g548 +V3b +p2006 +tp2007 +a(g900 +g958 +tp2008 +a(g548 +V20 +p2009 +tp2010 +a(g900 +g958 +tp2011 +a(g548 +V20 +p2012 +tp2013 +a(g900 +g958 +tp2014 +a(g548 +V2f +p2015 +tp2016 +a(g900 +g958 +tp2017 +a(g548 +V2f +p2018 +tp2019 +a(g900 +g958 +tp2020 +a(g548 +V20 +p2021 +tp2022 +a(g900 +g958 +tp2023 +a(g548 +V42 +p2024 +tp2025 +a(g900 +g958 +tp2026 +a(g548 +V75 +p2027 +tp2028 +a(g900 +g958 +tp2029 +a(g548 +V66 +p2030 +tp2031 +a(g900 +g958 +tp2032 +a(g548 +V66 +p2033 +tp2034 +a(g900 +g958 +tp2035 +a(g548 +V65 +p2036 +tp2037 +a(g900 +g958 +tp2038 +a(g548 +V72 +p2039 +tp2040 +a(g900 +g958 +tp2041 +a(g548 +V65 +p2042 +tp2043 +a(g900 +g958 +tp2044 +a(g548 +V64 +p2045 +tp2046 +a(g900 +g958 +tp2047 +a(g548 +V46 +p2048 +tp2049 +a(g900 +g958 +tp2050 +a(g548 +V69 +p2051 +tp2052 +a(g900 +V +p2053 +tp2054 +a(g685 +g1009 +tp2055 +a(g341 +V; // BufferedFi +p2056 +tp2057 +a(g685 +g1013 +tp2058 +a(g900 +V\u000a +p2059 +tp2060 +a(g8 +V0000460 +p2061 +tp2062 +a(g900 +g958 +tp2063 +a(g548 +V6c +p2064 +tp2065 +a(g900 +g958 +tp2066 +a(g548 +V65 +p2067 +tp2068 +a(g900 +g958 +tp2069 +a(g548 +V0a +p2070 +tp2071 +a(g900 +g958 +tp2072 +a(g548 +V0a +p2073 +tp2074 +a(g900 +g958 +tp2075 +a(g548 +V2f +p2076 +tp2077 +a(g900 +g958 +tp2078 +a(g548 +V2f +p2079 +tp2080 +a(g900 +g958 +tp2081 +a(g548 +V20 +p2082 +tp2083 +a(g900 +g958 +tp2084 +a(g548 +V4a +p2085 +tp2086 +a(g900 +g958 +tp2087 +a(g548 +V75 +p2088 +tp2089 +a(g900 +g958 +tp2090 +a(g548 +V73 +p2091 +tp2092 +a(g900 +g958 +tp2093 +a(g548 +V74 +p2094 +tp2095 +a(g900 +g958 +tp2096 +a(g548 +V20 +p2097 +tp2098 +a(g900 +g958 +tp2099 +a(g548 +V66 +p2100 +tp2101 +a(g900 +g958 +tp2102 +a(g548 +V6f +p2103 +tp2104 +a(g900 +g958 +tp2105 +a(g548 +V72 +p2106 +tp2107 +a(g900 +g958 +tp2108 +a(g548 +V20 +p2109 +tp2110 +a(g900 +V +p2111 +tp2112 +a(g685 +g1009 +tp2113 +a(g341 +Vle..// Just for +p2114 +tp2115 +a(g685 +g1013 +tp2116 +a(g900 +V\u000a +p2117 +tp2118 +a(g8 +V0000500 +p2119 +tp2120 +a(g900 +g958 +tp2121 +a(g548 +V72 +p2122 +tp2123 +a(g900 +g958 +tp2124 +a(g548 +V65 +p2125 +tp2126 +a(g900 +g958 +tp2127 +a(g548 +V61 +p2128 +tp2129 +a(g900 +g958 +tp2130 +a(g548 +V64 +p2131 +tp2132 +a(g900 +g958 +tp2133 +a(g548 +V61 +p2134 +tp2135 +a(g900 +g958 +tp2136 +a(g548 +V62 +p2137 +tp2138 +a(g900 +g958 +tp2139 +a(g548 +V69 +p2140 +tp2141 +a(g900 +g958 +tp2142 +a(g548 +V6c +p2143 +tp2144 +a(g900 +g958 +tp2145 +a(g548 +V69 +p2146 +tp2147 +a(g900 +g958 +tp2148 +a(g548 +V74 +p2149 +tp2150 +a(g900 +g958 +tp2151 +a(g548 +V79 +p2152 +tp2153 +a(g900 +g958 +tp2154 +a(g548 +V20 +p2155 +tp2156 +a(g900 +g958 +tp2157 +a(g548 +V28 +p2158 +tp2159 +a(g900 +g958 +tp2160 +a(g548 +V69 +p2161 +tp2162 +a(g900 +g958 +tp2163 +a(g548 +V6d +p2164 +tp2165 +a(g900 +g958 +tp2166 +a(g548 +V61 +p2167 +tp2168 +a(g900 +V +p2169 +tp2170 +a(g685 +g1009 +tp2171 +a(g341 +Vreadability (ima +p2172 +tp2173 +a(g685 +g1013 +tp2174 +a(g900 +V\u000a +p2175 +tp2176 +a(g8 +V0000520 +p2177 +tp2178 +a(g900 +g958 +tp2179 +a(g548 +V67 +p2180 +tp2181 +a(g900 +g958 +tp2182 +a(g548 +V69 +p2183 +tp2184 +a(g900 +g958 +tp2185 +a(g548 +V6e +p2186 +tp2187 +a(g900 +g958 +tp2188 +a(g548 +V65 +p2189 +tp2190 +a(g900 +g958 +tp2191 +a(g548 +V20 +p2192 +tp2193 +a(g900 +g958 +tp2194 +a(g548 +V63 +p2195 +tp2196 +a(g900 +g958 +tp2197 +a(g548 +V68 +p2198 +tp2199 +a(g900 +g958 +tp2200 +a(g548 +V61 +p2201 +tp2202 +a(g900 +g958 +tp2203 +a(g548 +V72 +p2204 +tp2205 +a(g900 +g958 +tp2206 +a(g548 +V5b +p2207 +tp2208 +a(g900 +g958 +tp2209 +a(g548 +V5d +p2210 +tp2211 +a(g900 +g958 +tp2212 +a(g548 +V5b +p2213 +tp2214 +a(g900 +g958 +tp2215 +a(g548 +V5d +p2216 +tp2217 +a(g900 +g958 +tp2218 +a(g548 +V5b +p2219 +tp2220 +a(g900 +g958 +tp2221 +a(g548 +V63 +p2222 +tp2223 +a(g900 +g958 +tp2224 +a(g548 +V68 +p2225 +tp2226 +a(g900 +V +p2227 +tp2228 +a(g685 +g1009 +tp2229 +a(g341 +Vgine char[][][ch +p2230 +tp2231 +a(g685 +g1013 +tp2232 +a(g900 +V\u000a +p2233 +tp2234 +a(g8 +V0000540 +p2235 +tp2236 +a(g900 +g958 +tp2237 +a(g548 +V61 +p2238 +tp2239 +a(g900 +g958 +tp2240 +a(g548 +V72 +p2241 +tp2242 +a(g900 +g958 +tp2243 +a(g548 +V5b +p2244 +tp2245 +a(g900 +g958 +tp2246 +a(g548 +V5d +p2247 +tp2248 +a(g900 +g958 +tp2249 +a(g548 +V5d +p2250 +tp2251 +a(g900 +g958 +tp2252 +a(g548 +V29 +p2253 +tp2254 +a(g900 +g958 +tp2255 +a(g548 +V20 +p2256 +tp2257 +a(g900 +g958 +tp2258 +a(g548 +V20 +p2259 +tp2260 +a(g900 +g958 +tp2261 +a(g548 +V20 +p2262 +tp2263 +a(g900 +g958 +tp2264 +a(g548 +V20 +p2265 +tp2266 +a(g900 +g958 +tp2267 +a(g548 +V0a +p2268 +tp2269 +a(g900 +g958 +tp2270 +a(g548 +V61 +p2271 +tp2272 +a(g900 +g958 +tp2273 +a(g548 +V6c +p2274 +tp2275 +a(g900 +g958 +tp2276 +a(g548 +V69 +p2277 +tp2278 +a(g900 +g958 +tp2279 +a(g548 +V61 +p2280 +tp2281 +a(g900 +g958 +tp2282 +a(g548 +V73 +p2283 +tp2284 +a(g900 +V +p2285 +tp2286 +a(g685 +g1009 +tp2287 +a(g341 +Var[]]) .alias +p2288 +tp2289 +a(g685 +g1013 +tp2290 +a(g900 +V\u000a +p2291 +tp2292 +a(g8 +V0000560 +p2293 +tp2294 +a(g900 +g958 +tp2295 +a(g548 +V20 +p2296 +tp2297 +a(g900 +g958 +tp2298 +a(g548 +V63 +p2299 +tp2300 +a(g900 +g958 +tp2301 +a(g548 +V68 +p2302 +tp2303 +a(g900 +g958 +tp2304 +a(g548 +V61 +p2305 +tp2306 +a(g900 +g958 +tp2307 +a(g548 +V72 +p2308 +tp2309 +a(g900 +g958 +tp2310 +a(g548 +V5b +p2311 +tp2312 +a(g900 +g958 +tp2313 +a(g548 +V5d +p2314 +tp2315 +a(g900 +g958 +tp2316 +a(g548 +V20 +p2317 +tp2318 +a(g900 +g958 +tp2319 +a(g548 +V73 +p2320 +tp2321 +a(g900 +g958 +tp2322 +a(g548 +V74 +p2323 +tp2324 +a(g900 +g958 +tp2325 +a(g548 +V72 +p2326 +tp2327 +a(g900 +g958 +tp2328 +a(g548 +V69 +p2329 +tp2330 +a(g900 +g958 +tp2331 +a(g548 +V6e +p2332 +tp2333 +a(g900 +g958 +tp2334 +a(g548 +V67 +p2335 +tp2336 +a(g900 +g958 +tp2337 +a(g548 +V3b +p2338 +tp2339 +a(g900 +g958 +tp2340 +a(g548 +V0a +p2341 +tp2342 +a(g900 +V +p2343 +tp2344 +a(g685 +g1009 +tp2345 +a(g341 +V char[] string;. +p2346 +tp2347 +a(g685 +g1013 +tp2348 +a(g900 +V\u000a +p2349 +tp2350 +a(g8 +V0000600 +p2351 +tp2352 +a(g900 +g958 +tp2353 +a(g548 +V61 +p2354 +tp2355 +a(g900 +g958 +tp2356 +a(g548 +V6c +p2357 +tp2358 +a(g900 +g958 +tp2359 +a(g548 +V69 +p2360 +tp2361 +a(g900 +g958 +tp2362 +a(g548 +V61 +p2363 +tp2364 +a(g900 +g958 +tp2365 +a(g548 +V73 +p2366 +tp2367 +a(g900 +g958 +tp2368 +a(g548 +V20 +p2369 +tp2370 +a(g900 +g958 +tp2371 +a(g548 +V73 +p2372 +tp2373 +a(g900 +g958 +tp2374 +a(g548 +V74 +p2375 +tp2376 +a(g900 +g958 +tp2377 +a(g548 +V72 +p2378 +tp2379 +a(g900 +g958 +tp2380 +a(g548 +V69 +p2381 +tp2382 +a(g900 +g958 +tp2383 +a(g548 +V6e +p2384 +tp2385 +a(g900 +g958 +tp2386 +a(g548 +V67 +p2387 +tp2388 +a(g900 +g958 +tp2389 +a(g548 +V5b +p2390 +tp2391 +a(g900 +g958 +tp2392 +a(g548 +V5d +p2393 +tp2394 +a(g900 +g958 +tp2395 +a(g548 +V20 +p2396 +tp2397 +a(g900 +g958 +tp2398 +a(g548 +V73 +p2399 +tp2400 +a(g900 +V +p2401 +tp2402 +a(g685 +g1009 +tp2403 +a(g341 +Valias string[] s +p2404 +tp2405 +a(g685 +g1013 +tp2406 +a(g900 +V\u000a +p2407 +tp2408 +a(g8 +V0000620 +p2409 +tp2410 +a(g900 +g958 +tp2411 +a(g548 +V74 +p2412 +tp2413 +a(g900 +g958 +tp2414 +a(g548 +V72 +p2415 +tp2416 +a(g900 +g958 +tp2417 +a(g548 +V69 +p2418 +tp2419 +a(g900 +g958 +tp2420 +a(g548 +V6e +p2421 +tp2422 +a(g900 +g958 +tp2423 +a(g548 +V67 +p2424 +tp2425 +a(g900 +g958 +tp2426 +a(g548 +V61 +p2427 +tp2428 +a(g900 +g958 +tp2429 +a(g548 +V72 +p2430 +tp2431 +a(g900 +g958 +tp2432 +a(g548 +V72 +p2433 +tp2434 +a(g900 +g958 +tp2435 +a(g548 +V61 +p2436 +tp2437 +a(g900 +g958 +tp2438 +a(g548 +V79 +p2439 +tp2440 +a(g900 +g958 +tp2441 +a(g548 +V3b +p2442 +tp2443 +a(g900 +g958 +tp2444 +a(g548 +V0a +p2445 +tp2446 +a(g900 +g958 +tp2447 +a(g548 +V0a +p2448 +tp2449 +a(g900 +g958 +tp2450 +a(g548 +V2f +p2451 +tp2452 +a(g900 +g958 +tp2453 +a(g548 +V2f +p2454 +tp2455 +a(g900 +g958 +tp2456 +a(g548 +V2f +p2457 +tp2458 +a(g900 +V +p2459 +tp2460 +a(g685 +g1009 +tp2461 +a(g341 +Vtringarray;../// +p2462 +tp2463 +a(g685 +g1013 +tp2464 +a(g900 +V\u000a +p2465 +tp2466 +a(g8 +V0000640 +p2467 +tp2468 +a(g900 +g958 +tp2469 +a(g548 +V20 +p2470 +tp2471 +a(g900 +g958 +tp2472 +a(g548 +V53 +p2473 +tp2474 +a(g900 +g958 +tp2475 +a(g548 +V74 +p2476 +tp2477 +a(g900 +g958 +tp2478 +a(g548 +V72 +p2479 +tp2480 +a(g900 +g958 +tp2481 +a(g548 +V69 +p2482 +tp2483 +a(g900 +g958 +tp2484 +a(g548 +V70 +p2485 +tp2486 +a(g900 +g958 +tp2487 +a(g548 +V73 +p2488 +tp2489 +a(g900 +g958 +tp2490 +a(g548 +V20 +p2491 +tp2492 +a(g900 +g958 +tp2493 +a(g548 +V6e +p2494 +tp2495 +a(g900 +g958 +tp2496 +a(g548 +V6f +p2497 +tp2498 +a(g900 +g958 +tp2499 +a(g548 +V6e +p2500 +tp2501 +a(g900 +g958 +tp2502 +a(g548 +V2d +p2503 +tp2504 +a(g900 +g958 +tp2505 +a(g548 +V64 +p2506 +tp2507 +a(g900 +g958 +tp2508 +a(g548 +V69 +p2509 +tp2510 +a(g900 +g958 +tp2511 +a(g548 +V67 +p2512 +tp2513 +a(g900 +g958 +tp2514 +a(g548 +V69 +p2515 +tp2516 +a(g900 +V +p2517 +tp2518 +a(g685 +g1009 +tp2519 +a(g341 +V Strips non-digi +p2520 +tp2521 +a(g685 +g1013 +tp2522 +a(g900 +V\u000a +p2523 +tp2524 +a(g8 +V0000660 +p2525 +tp2526 +a(g900 +g958 +tp2527 +a(g548 +V74 +p2528 +tp2529 +a(g900 +g958 +tp2530 +a(g548 +V20 +p2531 +tp2532 +a(g900 +g958 +tp2533 +a(g548 +V63 +p2534 +tp2535 +a(g900 +g958 +tp2536 +a(g548 +V68 +p2537 +tp2538 +a(g900 +g958 +tp2539 +a(g548 +V61 +p2540 +tp2541 +a(g900 +g958 +tp2542 +a(g548 +V72 +p2543 +tp2544 +a(g900 +g958 +tp2545 +a(g548 +V61 +p2546 +tp2547 +a(g900 +g958 +tp2548 +a(g548 +V63 +p2549 +tp2550 +a(g900 +g958 +tp2551 +a(g548 +V74 +p2552 +tp2553 +a(g900 +g958 +tp2554 +a(g548 +V65 +p2555 +tp2556 +a(g900 +g958 +tp2557 +a(g548 +V72 +p2558 +tp2559 +a(g900 +g958 +tp2560 +a(g548 +V73 +p2561 +tp2562 +a(g900 +g958 +tp2563 +a(g548 +V20 +p2564 +tp2565 +a(g900 +g958 +tp2566 +a(g548 +V66 +p2567 +tp2568 +a(g900 +g958 +tp2569 +a(g548 +V72 +p2570 +tp2571 +a(g900 +g958 +tp2572 +a(g548 +V6f +p2573 +tp2574 +a(g900 +V +p2575 +tp2576 +a(g685 +g1009 +tp2577 +a(g341 +Vt characters fro +p2578 +tp2579 +a(g685 +g1013 +tp2580 +a(g900 +V\u000a +p2581 +tp2582 +a(g8 +V0000700 +p2583 +tp2584 +a(g900 +g958 +tp2585 +a(g548 +V6d +p2586 +tp2587 +a(g900 +g958 +tp2588 +a(g548 +V20 +p2589 +tp2590 +a(g900 +g958 +tp2591 +a(g548 +V74 +p2592 +tp2593 +a(g900 +g958 +tp2594 +a(g548 +V68 +p2595 +tp2596 +a(g900 +g958 +tp2597 +a(g548 +V65 +p2598 +tp2599 +a(g900 +g958 +tp2600 +a(g548 +V20 +p2601 +tp2602 +a(g900 +g958 +tp2603 +a(g548 +V73 +p2604 +tp2605 +a(g900 +g958 +tp2606 +a(g548 +V74 +p2607 +tp2608 +a(g900 +g958 +tp2609 +a(g548 +V72 +p2610 +tp2611 +a(g900 +g958 +tp2612 +a(g548 +V69 +p2613 +tp2614 +a(g900 +g958 +tp2615 +a(g548 +V6e +p2616 +tp2617 +a(g900 +g958 +tp2618 +a(g548 +V67 +p2619 +tp2620 +a(g900 +g958 +tp2621 +a(g548 +V20 +p2622 +tp2623 +a(g900 +g958 +tp2624 +a(g548 +V28 +p2625 +tp2626 +a(g900 +g958 +tp2627 +a(g548 +V43 +p2628 +tp2629 +a(g900 +g958 +tp2630 +a(g548 +V4f +p2631 +tp2632 +a(g900 +V +p2633 +tp2634 +a(g685 +g1009 +tp2635 +a(g341 +Vm the string (CO +p2636 +tp2637 +a(g685 +g1013 +tp2638 +a(g900 +V\u000a +p2639 +tp2640 +a(g8 +V0000720 +p2641 +tp2642 +a(g900 +g958 +tp2643 +a(g548 +V57 +p2644 +tp2645 +a(g900 +g958 +tp2646 +a(g548 +V29 +p2647 +tp2648 +a(g900 +g958 +tp2649 +a(g548 +V0a +p2650 +tp2651 +a(g900 +g958 +tp2652 +a(g548 +V73 +p2653 +tp2654 +a(g900 +g958 +tp2655 +a(g548 +V74 +p2656 +tp2657 +a(g900 +g958 +tp2658 +a(g548 +V72 +p2659 +tp2660 +a(g900 +g958 +tp2661 +a(g548 +V69 +p2662 +tp2663 +a(g900 +g958 +tp2664 +a(g548 +V6e +p2665 +tp2666 +a(g900 +g958 +tp2667 +a(g548 +V67 +p2668 +tp2669 +a(g900 +g958 +tp2670 +a(g548 +V20 +p2671 +tp2672 +a(g900 +g958 +tp2673 +a(g548 +V73 +p2674 +tp2675 +a(g900 +g958 +tp2676 +a(g548 +V74 +p2677 +tp2678 +a(g900 +g958 +tp2679 +a(g548 +V72 +p2680 +tp2681 +a(g900 +g958 +tp2682 +a(g548 +V69 +p2683 +tp2684 +a(g900 +g958 +tp2685 +a(g548 +V70 +p2686 +tp2687 +a(g900 +g958 +tp2688 +a(g548 +V4e +p2689 +tp2690 +a(g900 +V +p2691 +tp2692 +a(g685 +g1009 +tp2693 +a(g341 +VW).string stripN +p2694 +tp2695 +a(g685 +g1013 +tp2696 +a(g900 +V\u000a +p2697 +tp2698 +a(g8 +V0000740 +p2699 +tp2700 +a(g900 +g958 +tp2701 +a(g548 +V6f +p2702 +tp2703 +a(g900 +g958 +tp2704 +a(g548 +V6e +p2705 +tp2706 +a(g900 +g958 +tp2707 +a(g548 +V44 +p2708 +tp2709 +a(g900 +g958 +tp2710 +a(g548 +V69 +p2711 +tp2712 +a(g900 +g958 +tp2713 +a(g548 +V67 +p2714 +tp2715 +a(g900 +g958 +tp2716 +a(g548 +V69 +p2717 +tp2718 +a(g900 +g958 +tp2719 +a(g548 +V74 +p2720 +tp2721 +a(g900 +g958 +tp2722 +a(g548 +V28 +p2723 +tp2724 +a(g900 +g958 +tp2725 +a(g548 +V20 +p2726 +tp2727 +a(g900 +g958 +tp2728 +a(g548 +V69 +p2729 +tp2730 +a(g900 +g958 +tp2731 +a(g548 +V6e +p2732 +tp2733 +a(g900 +g958 +tp2734 +a(g548 +V20 +p2735 +tp2736 +a(g900 +g958 +tp2737 +a(g548 +V73 +p2738 +tp2739 +a(g900 +g958 +tp2740 +a(g548 +V74 +p2741 +tp2742 +a(g900 +g958 +tp2743 +a(g548 +V72 +p2744 +tp2745 +a(g900 +g958 +tp2746 +a(g548 +V69 +p2747 +tp2748 +a(g900 +V +p2749 +tp2750 +a(g685 +g1009 +tp2751 +a(g341 +VonDigit( in stri +p2752 +tp2753 +a(g685 +g1013 +tp2754 +a(g900 +V\u000a +p2755 +tp2756 +a(g8 +V0000760 +p2757 +tp2758 +a(g900 +g958 +tp2759 +a(g548 +V6e +p2760 +tp2761 +a(g900 +g958 +tp2762 +a(g548 +V67 +p2763 +tp2764 +a(g900 +g958 +tp2765 +a(g548 +V20 +p2766 +tp2767 +a(g900 +g958 +tp2768 +a(g548 +V6c +p2769 +tp2770 +a(g900 +g958 +tp2771 +a(g548 +V69 +p2772 +tp2773 +a(g900 +g958 +tp2774 +a(g548 +V6e +p2775 +tp2776 +a(g900 +g958 +tp2777 +a(g548 +V65 +p2778 +tp2779 +a(g900 +g958 +tp2780 +a(g548 +V20 +p2781 +tp2782 +a(g900 +g958 +tp2783 +a(g548 +V29 +p2784 +tp2785 +a(g900 +g958 +tp2786 +a(g548 +V20 +p2787 +tp2788 +a(g900 +g958 +tp2789 +a(g548 +V0a +p2790 +tp2791 +a(g900 +g958 +tp2792 +a(g548 +V7b +p2793 +tp2794 +a(g900 +g958 +tp2795 +a(g548 +V0a +p2796 +tp2797 +a(g900 +g958 +tp2798 +a(g548 +V20 +p2799 +tp2800 +a(g900 +g958 +tp2801 +a(g548 +V20 +p2802 +tp2803 +a(g900 +g958 +tp2804 +a(g548 +V20 +p2805 +tp2806 +a(g900 +V +p2807 +tp2808 +a(g685 +g1009 +tp2809 +a(g341 +Vng line ) .{. +p2810 +tp2811 +a(g685 +g1013 +tp2812 +a(g900 +V\u000a +p2813 +tp2814 +a(g8 +V0001000 +p2815 +tp2816 +a(g900 +g958 +tp2817 +a(g548 +V20 +p2818 +tp2819 +a(g900 +g958 +tp2820 +a(g548 +V73 +p2821 +tp2822 +a(g900 +g958 +tp2823 +a(g548 +V74 +p2824 +tp2825 +a(g900 +g958 +tp2826 +a(g548 +V72 +p2827 +tp2828 +a(g900 +g958 +tp2829 +a(g548 +V69 +p2830 +tp2831 +a(g900 +g958 +tp2832 +a(g548 +V6e +p2833 +tp2834 +a(g900 +g958 +tp2835 +a(g548 +V67 +p2836 +tp2837 +a(g900 +g958 +tp2838 +a(g548 +V20 +p2839 +tp2840 +a(g900 +g958 +tp2841 +a(g548 +V72 +p2842 +tp2843 +a(g900 +g958 +tp2844 +a(g548 +V65 +p2845 +tp2846 +a(g900 +g958 +tp2847 +a(g548 +V74 +p2848 +tp2849 +a(g900 +g958 +tp2850 +a(g548 +V3b +p2851 +tp2852 +a(g900 +g958 +tp2853 +a(g548 +V0a +p2854 +tp2855 +a(g900 +g958 +tp2856 +a(g548 +V20 +p2857 +tp2858 +a(g900 +g958 +tp2859 +a(g548 +V20 +p2860 +tp2861 +a(g900 +g958 +tp2862 +a(g548 +V20 +p2863 +tp2864 +a(g900 +V +p2865 +tp2866 +a(g685 +g1009 +tp2867 +a(g341 +V string ret;. +p2868 +tp2869 +a(g685 +g1013 +tp2870 +a(g900 +V\u000a +p2871 +tp2872 +a(g8 +V0001020 +p2873 +tp2874 +a(g900 +g958 +tp2875 +a(g548 +V20 +p2876 +tp2877 +a(g900 +g958 +tp2878 +a(g548 +V66 +p2879 +tp2880 +a(g900 +g958 +tp2881 +a(g548 +V6f +p2882 +tp2883 +a(g900 +g958 +tp2884 +a(g548 +V72 +p2885 +tp2886 +a(g900 +g958 +tp2887 +a(g548 +V65 +p2888 +tp2889 +a(g900 +g958 +tp2890 +a(g548 +V61 +p2891 +tp2892 +a(g900 +g958 +tp2893 +a(g548 +V63 +p2894 +tp2895 +a(g900 +g958 +tp2896 +a(g548 +V68 +p2897 +tp2898 +a(g900 +g958 +tp2899 +a(g548 +V28 +p2900 +tp2901 +a(g900 +g958 +tp2902 +a(g548 +V75 +p2903 +tp2904 +a(g900 +g958 +tp2905 +a(g548 +V69 +p2906 +tp2907 +a(g900 +g958 +tp2908 +a(g548 +V6e +p2909 +tp2910 +a(g900 +g958 +tp2911 +a(g548 +V74 +p2912 +tp2913 +a(g900 +g958 +tp2914 +a(g548 +V20 +p2915 +tp2916 +a(g900 +g958 +tp2917 +a(g548 +V69 +p2918 +tp2919 +a(g900 +g958 +tp2920 +a(g548 +V2c +p2921 +tp2922 +a(g900 +V +p2923 +tp2924 +a(g685 +g1009 +tp2925 +a(g341 +V foreach(uint i, +p2926 +tp2927 +a(g685 +g1013 +tp2928 +a(g900 +V\u000a +p2929 +tp2930 +a(g8 +V0001040 +p2931 +tp2932 +a(g900 +g958 +tp2933 +a(g548 +V20 +p2934 +tp2935 +a(g900 +g958 +tp2936 +a(g548 +V63 +p2937 +tp2938 +a(g900 +g958 +tp2939 +a(g548 +V3b +p2940 +tp2941 +a(g900 +g958 +tp2942 +a(g548 +V20 +p2943 +tp2944 +a(g900 +g958 +tp2945 +a(g548 +V6c +p2946 +tp2947 +a(g900 +g958 +tp2948 +a(g548 +V69 +p2949 +tp2950 +a(g900 +g958 +tp2951 +a(g548 +V6e +p2952 +tp2953 +a(g900 +g958 +tp2954 +a(g548 +V65 +p2955 +tp2956 +a(g900 +g958 +tp2957 +a(g548 +V29 +p2958 +tp2959 +a(g900 +g958 +tp2960 +a(g548 +V20 +p2961 +tp2962 +a(g900 +g958 +tp2963 +a(g548 +V7b +p2964 +tp2965 +a(g900 +g958 +tp2966 +a(g548 +V0a +p2967 +tp2968 +a(g900 +g958 +tp2969 +a(g548 +V20 +p2970 +tp2971 +a(g900 +g958 +tp2972 +a(g548 +V20 +p2973 +tp2974 +a(g900 +g958 +tp2975 +a(g548 +V20 +p2976 +tp2977 +a(g900 +g958 +tp2978 +a(g548 +V20 +p2979 +tp2980 +a(g900 +V +p2981 +tp2982 +a(g685 +g1009 +tp2983 +a(g341 +V c; line) {. +p2984 +tp2985 +a(g685 +g1013 +tp2986 +a(g900 +V\u000a +p2987 +tp2988 +a(g8 +V0001060 +p2989 +tp2990 +a(g900 +g958 +tp2991 +a(g548 +V20 +p2992 +tp2993 +a(g900 +g958 +tp2994 +a(g548 +V20 +p2995 +tp2996 +a(g900 +g958 +tp2997 +a(g548 +V20 +p2998 +tp2999 +a(g900 +g958 +tp3000 +a(g548 +V20 +p3001 +tp3002 +a(g900 +g958 +tp3003 +a(g548 +V2f +p3004 +tp3005 +a(g900 +g958 +tp3006 +a(g548 +V2f +p3007 +tp3008 +a(g900 +g958 +tp3009 +a(g548 +V20 +p3010 +tp3011 +a(g900 +g958 +tp3012 +a(g548 +V45 +p3013 +tp3014 +a(g900 +g958 +tp3015 +a(g548 +V72 +p3016 +tp3017 +a(g900 +g958 +tp3018 +a(g548 +V72 +p3019 +tp3020 +a(g900 +g958 +tp3021 +a(g548 +V6f +p3022 +tp3023 +a(g900 +g958 +tp3024 +a(g548 +V72 +p3025 +tp3026 +a(g900 +g958 +tp3027 +a(g548 +V3a +p3028 +tp3029 +a(g900 +g958 +tp3030 +a(g548 +V20 +p3031 +tp3032 +a(g900 +g958 +tp3033 +a(g548 +V73 +p3034 +tp3035 +a(g900 +g958 +tp3036 +a(g548 +V74 +p3037 +tp3038 +a(g900 +V +p3039 +tp3040 +a(g685 +g1009 +tp3041 +a(g341 +V // Error: st +p3042 +tp3043 +a(g685 +g1013 +tp3044 +a(g900 +V\u000a +p3045 +tp3046 +a(g8 +V0001100 +p3047 +tp3048 +a(g900 +g958 +tp3049 +a(g548 +V64 +p3050 +tp3051 +a(g900 +g958 +tp3052 +a(g548 +V2e +p3053 +tp3054 +a(g900 +g958 +tp3055 +a(g548 +V63 +p3056 +tp3057 +a(g900 +g958 +tp3058 +a(g548 +V74 +p3059 +tp3060 +a(g900 +g958 +tp3061 +a(g548 +V79 +p3062 +tp3063 +a(g900 +g958 +tp3064 +a(g548 +V70 +p3065 +tp3066 +a(g900 +g958 +tp3067 +a(g548 +V65 +p3068 +tp3069 +a(g900 +g958 +tp3070 +a(g548 +V2e +p3071 +tp3072 +a(g900 +g958 +tp3073 +a(g548 +V69 +p3074 +tp3075 +a(g900 +g958 +tp3076 +a(g548 +V73 +p3077 +tp3078 +a(g900 +g958 +tp3079 +a(g548 +V64 +p3080 +tp3081 +a(g900 +g958 +tp3082 +a(g548 +V69 +p3083 +tp3084 +a(g900 +g958 +tp3085 +a(g548 +V67 +p3086 +tp3087 +a(g900 +g958 +tp3088 +a(g548 +V69 +p3089 +tp3090 +a(g900 +g958 +tp3091 +a(g548 +V74 +p3092 +tp3093 +a(g900 +g958 +tp3094 +a(g548 +V20 +p3095 +tp3096 +a(g900 +V +p3097 +tp3098 +a(g685 +g1009 +tp3099 +a(g341 +Vd.ctype.isdigit +p3100 +tp3101 +a(g685 +g1013 +tp3102 +a(g900 +V\u000a +p3103 +tp3104 +a(g8 +V0001120 +p3105 +tp3106 +a(g900 +g958 +tp3107 +a(g548 +V61 +p3108 +tp3109 +a(g900 +g958 +tp3110 +a(g548 +V74 +p3111 +tp3112 +a(g900 +g958 +tp3113 +a(g548 +V20 +p3114 +tp3115 +a(g900 +g958 +tp3116 +a(g548 +V43 +p3117 +tp3118 +a(g900 +g958 +tp3119 +a(g548 +V3a +p3120 +tp3121 +a(g900 +g958 +tp3122 +a(g548 +V5c +p3123 +tp3124 +a(g900 +g958 +tp3125 +a(g548 +V64 +p3126 +tp3127 +a(g900 +g958 +tp3128 +a(g548 +V6d +p3129 +tp3130 +a(g900 +g958 +tp3131 +a(g548 +V64 +p3132 +tp3133 +a(g900 +g958 +tp3134 +a(g548 +V5c +p3135 +tp3136 +a(g900 +g958 +tp3137 +a(g548 +V73 +p3138 +tp3139 +a(g900 +g958 +tp3140 +a(g548 +V72 +p3141 +tp3142 +a(g900 +g958 +tp3143 +a(g548 +V63 +p3144 +tp3145 +a(g900 +g958 +tp3146 +a(g548 +V5c +p3147 +tp3148 +a(g900 +g958 +tp3149 +a(g548 +V70 +p3150 +tp3151 +a(g900 +g958 +tp3152 +a(g548 +V68 +p3153 +tp3154 +a(g900 +V +p3155 +tp3156 +a(g685 +g1009 +tp3157 +a(g341 +Vat C:\u005cdmd\u005csrc\u005cph +p3158 +tp3159 +a(g685 +g1013 +tp3160 +a(g900 +V\u000a +p3161 +tp3162 +a(g8 +V0001140 +p3163 +tp3164 +a(g900 +g958 +tp3165 +a(g548 +V6f +p3166 +tp3167 +a(g900 +g958 +tp3168 +a(g548 +V62 +p3169 +tp3170 +a(g900 +g958 +tp3171 +a(g548 +V6f +p3172 +tp3173 +a(g900 +g958 +tp3174 +a(g548 +V73 +p3175 +tp3176 +a(g900 +g958 +tp3177 +a(g548 +V5c +p3178 +tp3179 +a(g900 +g958 +tp3180 +a(g548 +V73 +p3181 +tp3182 +a(g900 +g958 +tp3183 +a(g548 +V74 +p3184 +tp3185 +a(g900 +g958 +tp3186 +a(g548 +V64 +p3187 +tp3188 +a(g900 +g958 +tp3189 +a(g548 +V5c +p3190 +tp3191 +a(g900 +g958 +tp3192 +a(g548 +V63 +p3193 +tp3194 +a(g900 +g958 +tp3195 +a(g548 +V74 +p3196 +tp3197 +a(g900 +g958 +tp3198 +a(g548 +V79 +p3199 +tp3200 +a(g900 +g958 +tp3201 +a(g548 +V70 +p3202 +tp3203 +a(g900 +g958 +tp3204 +a(g548 +V65 +p3205 +tp3206 +a(g900 +g958 +tp3207 +a(g548 +V2e +p3208 +tp3209 +a(g900 +g958 +tp3210 +a(g548 +V64 +p3211 +tp3212 +a(g900 +V +p3213 +tp3214 +a(g685 +g1009 +tp3215 +a(g341 +Vobos\u005cstd\u005cctype.d +p3216 +tp3217 +a(g685 +g1013 +tp3218 +a(g900 +V\u000a +p3219 +tp3220 +a(g8 +V0001160 +p3221 +tp3222 +a(g900 +g958 +tp3223 +a(g548 +V28 +p3224 +tp3225 +a(g900 +g958 +tp3226 +a(g548 +V33 +p3227 +tp3228 +a(g900 +g958 +tp3229 +a(g548 +V37 +p3230 +tp3231 +a(g900 +g958 +tp3232 +a(g548 +V29 +p3233 +tp3234 +a(g900 +g958 +tp3235 +a(g548 +V20 +p3236 +tp3237 +a(g900 +g958 +tp3238 +a(g548 +V0a +p3239 +tp3240 +a(g900 +g958 +tp3241 +a(g548 +V20 +p3242 +tp3243 +a(g900 +g958 +tp3244 +a(g548 +V20 +p3245 +tp3246 +a(g900 +g958 +tp3247 +a(g548 +V20 +p3248 +tp3249 +a(g900 +g958 +tp3250 +a(g548 +V20 +p3251 +tp3252 +a(g900 +g958 +tp3253 +a(g548 +V20 +p3254 +tp3255 +a(g900 +g958 +tp3256 +a(g548 +V20 +p3257 +tp3258 +a(g900 +g958 +tp3259 +a(g548 +V20 +p3260 +tp3261 +a(g900 +g958 +tp3262 +a(g548 +V20 +p3263 +tp3264 +a(g900 +g958 +tp3265 +a(g548 +V2f +p3266 +tp3267 +a(g900 +g958 +tp3268 +a(g548 +V2f +p3269 +tp3270 +a(g900 +V +p3271 +tp3272 +a(g685 +g1009 +tp3273 +a(g341 +V(37) . // +p3274 +tp3275 +a(g685 +g1013 +tp3276 +a(g900 +V\u000a +p3277 +tp3278 +a(g8 +V0001200 +p3279 +tp3280 +a(g900 +g958 +tp3281 +a(g548 +V20 +p3282 +tp3283 +a(g900 +g958 +tp3284 +a(g548 +V63 +p3285 +tp3286 +a(g900 +g958 +tp3287 +a(g548 +V6f +p3288 +tp3289 +a(g900 +g958 +tp3290 +a(g548 +V6e +p3291 +tp3292 +a(g900 +g958 +tp3293 +a(g548 +V66 +p3294 +tp3295 +a(g900 +g958 +tp3296 +a(g548 +V6c +p3297 +tp3298 +a(g900 +g958 +tp3299 +a(g548 +V69 +p3300 +tp3301 +a(g900 +g958 +tp3302 +a(g548 +V63 +p3303 +tp3304 +a(g900 +g958 +tp3305 +a(g548 +V74 +p3306 +tp3307 +a(g900 +g958 +tp3308 +a(g548 +V73 +p3309 +tp3310 +a(g900 +g958 +tp3311 +a(g548 +V20 +p3312 +tp3313 +a(g900 +g958 +tp3314 +a(g548 +V77 +p3315 +tp3316 +a(g900 +g958 +tp3317 +a(g548 +V69 +p3318 +tp3319 +a(g900 +g958 +tp3320 +a(g548 +V74 +p3321 +tp3322 +a(g900 +g958 +tp3323 +a(g548 +V68 +p3324 +tp3325 +a(g900 +g958 +tp3326 +a(g548 +V20 +p3327 +tp3328 +a(g900 +V +p3329 +tp3330 +a(g685 +g1009 +tp3331 +a(g341 +V conflicts with +p3332 +tp3333 +a(g685 +g1013 +tp3334 +a(g900 +V\u000a +p3335 +tp3336 +a(g8 +V0001220 +p3337 +tp3338 +a(g900 +g958 +tp3339 +a(g548 +V73 +p3340 +tp3341 +a(g900 +g958 +tp3342 +a(g548 +V74 +p3343 +tp3344 +a(g900 +g958 +tp3345 +a(g548 +V64 +p3346 +tp3347 +a(g900 +g958 +tp3348 +a(g548 +V2e +p3349 +tp3350 +a(g900 +g958 +tp3351 +a(g548 +V73 +p3352 +tp3353 +a(g900 +g958 +tp3354 +a(g548 +V74 +p3355 +tp3356 +a(g900 +g958 +tp3357 +a(g548 +V72 +p3358 +tp3359 +a(g900 +g958 +tp3360 +a(g548 +V65 +p3361 +tp3362 +a(g900 +g958 +tp3363 +a(g548 +V61 +p3364 +tp3365 +a(g900 +g958 +tp3366 +a(g548 +V6d +p3367 +tp3368 +a(g900 +g958 +tp3369 +a(g548 +V2e +p3370 +tp3371 +a(g900 +g958 +tp3372 +a(g548 +V69 +p3373 +tp3374 +a(g900 +g958 +tp3375 +a(g548 +V73 +p3376 +tp3377 +a(g900 +g958 +tp3378 +a(g548 +V64 +p3379 +tp3380 +a(g900 +g958 +tp3381 +a(g548 +V69 +p3382 +tp3383 +a(g900 +g958 +tp3384 +a(g548 +V67 +p3385 +tp3386 +a(g900 +V +p3387 +tp3388 +a(g685 +g1009 +tp3389 +a(g341 +Vstd.stream.isdig +p3390 +tp3391 +a(g685 +g1013 +tp3392 +a(g900 +V\u000a +p3393 +tp3394 +a(g8 +V0001240 +p3395 +tp3396 +a(g900 +g958 +tp3397 +a(g548 +V69 +p3398 +tp3399 +a(g900 +g958 +tp3400 +a(g548 +V74 +p3401 +tp3402 +a(g900 +g958 +tp3403 +a(g548 +V20 +p3404 +tp3405 +a(g900 +g958 +tp3406 +a(g548 +V61 +p3407 +tp3408 +a(g900 +g958 +tp3409 +a(g548 +V74 +p3410 +tp3411 +a(g900 +g958 +tp3412 +a(g548 +V20 +p3413 +tp3414 +a(g900 +g958 +tp3415 +a(g548 +V43 +p3416 +tp3417 +a(g900 +g958 +tp3418 +a(g548 +V3a +p3419 +tp3420 +a(g900 +g958 +tp3421 +a(g548 +V5c +p3422 +tp3423 +a(g900 +g958 +tp3424 +a(g548 +V64 +p3425 +tp3426 +a(g900 +g958 +tp3427 +a(g548 +V6d +p3428 +tp3429 +a(g900 +g958 +tp3430 +a(g548 +V64 +p3431 +tp3432 +a(g900 +g958 +tp3433 +a(g548 +V5c +p3434 +tp3435 +a(g900 +g958 +tp3436 +a(g548 +V73 +p3437 +tp3438 +a(g900 +g958 +tp3439 +a(g548 +V72 +p3440 +tp3441 +a(g900 +g958 +tp3442 +a(g548 +V63 +p3443 +tp3444 +a(g900 +V +p3445 +tp3446 +a(g685 +g1009 +tp3447 +a(g341 +Vit at C:\u005cdmd\u005csrc +p3448 +tp3449 +a(g685 +g1013 +tp3450 +a(g900 +V\u000a +p3451 +tp3452 +a(g8 +V0001260 +p3453 +tp3454 +a(g900 +g958 +tp3455 +a(g548 +V5c +p3456 +tp3457 +a(g900 +g958 +tp3458 +a(g548 +V70 +p3459 +tp3460 +a(g900 +g958 +tp3461 +a(g548 +V68 +p3462 +tp3463 +a(g900 +g958 +tp3464 +a(g548 +V6f +p3465 +tp3466 +a(g900 +g958 +tp3467 +a(g548 +V62 +p3468 +tp3469 +a(g900 +g958 +tp3470 +a(g548 +V6f +p3471 +tp3472 +a(g900 +g958 +tp3473 +a(g548 +V73 +p3474 +tp3475 +a(g900 +g958 +tp3476 +a(g548 +V5c +p3477 +tp3478 +a(g900 +g958 +tp3479 +a(g548 +V73 +p3480 +tp3481 +a(g900 +g958 +tp3482 +a(g548 +V74 +p3483 +tp3484 +a(g900 +g958 +tp3485 +a(g548 +V64 +p3486 +tp3487 +a(g900 +g958 +tp3488 +a(g548 +V5c +p3489 +tp3490 +a(g900 +g958 +tp3491 +a(g548 +V73 +p3492 +tp3493 +a(g900 +g958 +tp3494 +a(g548 +V74 +p3495 +tp3496 +a(g900 +g958 +tp3497 +a(g548 +V72 +p3498 +tp3499 +a(g900 +g958 +tp3500 +a(g548 +V65 +p3501 +tp3502 +a(g900 +V +p3503 +tp3504 +a(g685 +g1009 +tp3505 +a(g341 +V\u005cphobos\u005cstd\u005cstre +p3506 +tp3507 +a(g685 +g1013 +tp3508 +a(g900 +V\u000a +p3509 +tp3510 +a(g8 +V0001300 +p3511 +tp3512 +a(g900 +g958 +tp3513 +a(g548 +V61 +p3514 +tp3515 +a(g900 +g958 +tp3516 +a(g548 +V6d +p3517 +tp3518 +a(g900 +g958 +tp3519 +a(g548 +V2e +p3520 +tp3521 +a(g900 +g958 +tp3522 +a(g548 +V64 +p3523 +tp3524 +a(g900 +g958 +tp3525 +a(g548 +V28 +p3526 +tp3527 +a(g900 +g958 +tp3528 +a(g548 +V32 +p3529 +tp3530 +a(g900 +g958 +tp3531 +a(g548 +V39 +p3532 +tp3533 +a(g900 +g958 +tp3534 +a(g548 +V32 +p3535 +tp3536 +a(g900 +g958 +tp3537 +a(g548 +V34 +p3538 +tp3539 +a(g900 +g958 +tp3540 +a(g548 +V29 +p3541 +tp3542 +a(g900 +g958 +tp3543 +a(g548 +V0a +p3544 +tp3545 +a(g900 +g958 +tp3546 +a(g548 +V20 +p3547 +tp3548 +a(g900 +g958 +tp3549 +a(g548 +V20 +p3550 +tp3551 +a(g900 +g958 +tp3552 +a(g548 +V20 +p3553 +tp3554 +a(g900 +g958 +tp3555 +a(g548 +V20 +p3556 +tp3557 +a(g900 +g958 +tp3558 +a(g548 +V20 +p3559 +tp3560 +a(g900 +V +p3561 +tp3562 +a(g685 +g1009 +tp3563 +a(g341 +Vam.d(2924). +p3564 +tp3565 +a(g685 +g1013 +tp3566 +a(g900 +V\u000a +p3567 +tp3568 +a(g8 +V0001320 +p3569 +tp3570 +a(g900 +g958 +tp3571 +a(g548 +V20 +p3572 +tp3573 +a(g900 +g958 +tp3574 +a(g548 +V20 +p3575 +tp3576 +a(g900 +g958 +tp3577 +a(g548 +V20 +p3578 +tp3579 +a(g900 +g958 +tp3580 +a(g548 +V69 +p3581 +tp3582 +a(g900 +g958 +tp3583 +a(g548 +V66 +p3584 +tp3585 +a(g900 +g958 +tp3586 +a(g548 +V20 +p3587 +tp3588 +a(g900 +g958 +tp3589 +a(g548 +V28 +p3590 +tp3591 +a(g900 +g958 +tp3592 +a(g548 +V21 +p3593 +tp3594 +a(g900 +g958 +tp3595 +a(g548 +V73 +p3596 +tp3597 +a(g900 +g958 +tp3598 +a(g548 +V74 +p3599 +tp3600 +a(g900 +g958 +tp3601 +a(g548 +V64 +p3602 +tp3603 +a(g900 +g958 +tp3604 +a(g548 +V2e +p3605 +tp3606 +a(g900 +g958 +tp3607 +a(g548 +V63 +p3608 +tp3609 +a(g900 +g958 +tp3610 +a(g548 +V74 +p3611 +tp3612 +a(g900 +g958 +tp3613 +a(g548 +V79 +p3614 +tp3615 +a(g900 +g958 +tp3616 +a(g548 +V70 +p3617 +tp3618 +a(g900 +V +p3619 +tp3620 +a(g685 +g1009 +tp3621 +a(g341 +V if (!std.ctyp +p3622 +tp3623 +a(g685 +g1013 +tp3624 +a(g900 +V\u000a +p3625 +tp3626 +a(g8 +V0001340 +p3627 +tp3628 +a(g900 +g958 +tp3629 +a(g548 +V65 +p3630 +tp3631 +a(g900 +g958 +tp3632 +a(g548 +V2e +p3633 +tp3634 +a(g900 +g958 +tp3635 +a(g548 +V69 +p3636 +tp3637 +a(g900 +g958 +tp3638 +a(g548 +V73 +p3639 +tp3640 +a(g900 +g958 +tp3641 +a(g548 +V64 +p3642 +tp3643 +a(g900 +g958 +tp3644 +a(g548 +V69 +p3645 +tp3646 +a(g900 +g958 +tp3647 +a(g548 +V67 +p3648 +tp3649 +a(g900 +g958 +tp3650 +a(g548 +V69 +p3651 +tp3652 +a(g900 +g958 +tp3653 +a(g548 +V74 +p3654 +tp3655 +a(g900 +g958 +tp3656 +a(g548 +V28 +p3657 +tp3658 +a(g900 +g958 +tp3659 +a(g548 +V63 +p3660 +tp3661 +a(g900 +g958 +tp3662 +a(g548 +V29 +p3663 +tp3664 +a(g900 +g958 +tp3665 +a(g548 +V29 +p3666 +tp3667 +a(g900 +g958 +tp3668 +a(g548 +V20 +p3669 +tp3670 +a(g900 +g958 +tp3671 +a(g548 +V7b +p3672 +tp3673 +a(g900 +g958 +tp3674 +a(g548 +V0a +p3675 +tp3676 +a(g900 +V +p3677 +tp3678 +a(g685 +g1009 +tp3679 +a(g341 +Ve.isdigit(c)) {. +p3680 +tp3681 +a(g685 +g1013 +tp3682 +a(g900 +V\u000a +p3683 +tp3684 +a(g8 +V0001360 +p3685 +tp3686 +a(g900 +g958 +tp3687 +a(g548 +V20 +p3688 +tp3689 +a(g900 +g958 +tp3690 +a(g548 +V20 +p3691 +tp3692 +a(g900 +g958 +tp3693 +a(g548 +V20 +p3694 +tp3695 +a(g900 +g958 +tp3696 +a(g548 +V20 +p3697 +tp3698 +a(g900 +g958 +tp3699 +a(g548 +V20 +p3700 +tp3701 +a(g900 +g958 +tp3702 +a(g548 +V20 +p3703 +tp3704 +a(g900 +g958 +tp3705 +a(g548 +V20 +p3706 +tp3707 +a(g900 +g958 +tp3708 +a(g548 +V20 +p3709 +tp3710 +a(g900 +g958 +tp3711 +a(g548 +V20 +p3712 +tp3713 +a(g900 +g958 +tp3714 +a(g548 +V20 +p3715 +tp3716 +a(g900 +g958 +tp3717 +a(g548 +V20 +p3718 +tp3719 +a(g900 +g958 +tp3720 +a(g548 +V20 +p3721 +tp3722 +a(g900 +g958 +tp3723 +a(g548 +V69 +p3724 +tp3725 +a(g900 +g958 +tp3726 +a(g548 +V66 +p3727 +tp3728 +a(g900 +g958 +tp3729 +a(g548 +V20 +p3730 +tp3731 +a(g900 +g958 +tp3732 +a(g548 +V28 +p3733 +tp3734 +a(g900 +V +p3735 +tp3736 +a(g685 +g1009 +tp3737 +a(g341 +V if ( +p3738 +tp3739 +a(g685 +g1013 +tp3740 +a(g900 +V\u000a +p3741 +tp3742 +a(g8 +V0001400 +p3743 +tp3744 +a(g900 +g958 +tp3745 +a(g548 +V21 +p3746 +tp3747 +a(g900 +g958 +tp3748 +a(g548 +V72 +p3749 +tp3750 +a(g900 +g958 +tp3751 +a(g548 +V65 +p3752 +tp3753 +a(g900 +g958 +tp3754 +a(g548 +V74 +p3755 +tp3756 +a(g900 +g958 +tp3757 +a(g548 +V29 +p3758 +tp3759 +a(g900 +g958 +tp3760 +a(g548 +V0a +p3761 +tp3762 +a(g900 +g958 +tp3763 +a(g548 +V20 +p3764 +tp3765 +a(g900 +g958 +tp3766 +a(g548 +V20 +p3767 +tp3768 +a(g900 +g958 +tp3769 +a(g548 +V20 +p3770 +tp3771 +a(g900 +g958 +tp3772 +a(g548 +V20 +p3773 +tp3774 +a(g900 +g958 +tp3775 +a(g548 +V20 +p3776 +tp3777 +a(g900 +g958 +tp3778 +a(g548 +V20 +p3779 +tp3780 +a(g900 +g958 +tp3781 +a(g548 +V20 +p3782 +tp3783 +a(g900 +g958 +tp3784 +a(g548 +V20 +p3785 +tp3786 +a(g900 +g958 +tp3787 +a(g548 +V20 +p3788 +tp3789 +a(g900 +g958 +tp3790 +a(g548 +V20 +p3791 +tp3792 +a(g900 +V +p3793 +tp3794 +a(g685 +g1009 +tp3795 +a(g341 +V!ret). +p3796 +tp3797 +a(g685 +g1013 +tp3798 +a(g900 +V\u000a +p3799 +tp3800 +a(g8 +V0001420 +p3801 +tp3802 +a(g900 +g958 +tp3803 +a(g548 +V20 +p3804 +tp3805 +a(g900 +g958 +tp3806 +a(g548 +V20 +p3807 +tp3808 +a(g900 +g958 +tp3809 +a(g548 +V20 +p3810 +tp3811 +a(g900 +g958 +tp3812 +a(g548 +V20 +p3813 +tp3814 +a(g900 +g958 +tp3815 +a(g548 +V20 +p3816 +tp3817 +a(g900 +g958 +tp3818 +a(g548 +V20 +p3819 +tp3820 +a(g900 +g958 +tp3821 +a(g548 +V72 +p3822 +tp3823 +a(g900 +g958 +tp3824 +a(g548 +V65 +p3825 +tp3826 +a(g900 +g958 +tp3827 +a(g548 +V74 +p3828 +tp3829 +a(g900 +g958 +tp3830 +a(g548 +V20 +p3831 +tp3832 +a(g900 +g958 +tp3833 +a(g548 +V3d +p3834 +tp3835 +a(g900 +g958 +tp3836 +a(g548 +V20 +p3837 +tp3838 +a(g900 +g958 +tp3839 +a(g548 +V6c +p3840 +tp3841 +a(g900 +g958 +tp3842 +a(g548 +V69 +p3843 +tp3844 +a(g900 +g958 +tp3845 +a(g548 +V6e +p3846 +tp3847 +a(g900 +g958 +tp3848 +a(g548 +V65 +p3849 +tp3850 +a(g900 +V +p3851 +tp3852 +a(g685 +g1009 +tp3853 +a(g341 +V ret = line +p3854 +tp3855 +a(g685 +g1013 +tp3856 +a(g900 +V\u000a +p3857 +tp3858 +a(g8 +V0001440 +p3859 +tp3860 +a(g900 +g958 +tp3861 +a(g548 +V5b +p3862 +tp3863 +a(g900 +g958 +tp3864 +a(g548 +V30 +p3865 +tp3866 +a(g900 +g958 +tp3867 +a(g548 +V2e +p3868 +tp3869 +a(g900 +g958 +tp3870 +a(g548 +V2e +p3871 +tp3872 +a(g900 +g958 +tp3873 +a(g548 +V69 +p3874 +tp3875 +a(g900 +g958 +tp3876 +a(g548 +V5d +p3877 +tp3878 +a(g900 +g958 +tp3879 +a(g548 +V3b +p3880 +tp3881 +a(g900 +g958 +tp3882 +a(g548 +V20 +p3883 +tp3884 +a(g900 +g958 +tp3885 +a(g548 +V20 +p3886 +tp3887 +a(g900 +g958 +tp3888 +a(g548 +V20 +p3889 +tp3890 +a(g900 +g958 +tp3891 +a(g548 +V20 +p3892 +tp3893 +a(g900 +g958 +tp3894 +a(g548 +V0a +p3895 +tp3896 +a(g900 +g958 +tp3897 +a(g548 +V20 +p3898 +tp3899 +a(g900 +g958 +tp3900 +a(g548 +V20 +p3901 +tp3902 +a(g900 +g958 +tp3903 +a(g548 +V20 +p3904 +tp3905 +a(g900 +g958 +tp3906 +a(g548 +V20 +p3907 +tp3908 +a(g900 +V +p3909 +tp3910 +a(g685 +g1009 +tp3911 +a(g341 +V[0..i]; . +p3912 +tp3913 +a(g685 +g1013 +tp3914 +a(g900 +V\u000a +p3915 +tp3916 +a(g8 +V0001460 +p3917 +tp3918 +a(g900 +g958 +tp3919 +a(g548 +V20 +p3920 +tp3921 +a(g900 +g958 +tp3922 +a(g548 +V20 +p3923 +tp3924 +a(g900 +g958 +tp3925 +a(g548 +V20 +p3926 +tp3927 +a(g900 +g958 +tp3928 +a(g548 +V20 +p3929 +tp3930 +a(g900 +g958 +tp3931 +a(g548 +V7d +p3932 +tp3933 +a(g900 +g958 +tp3934 +a(g548 +V20 +p3935 +tp3936 +a(g900 +g958 +tp3937 +a(g548 +V20 +p3938 +tp3939 +a(g900 +g958 +tp3940 +a(g548 +V20 +p3941 +tp3942 +a(g900 +g958 +tp3943 +a(g548 +V20 +p3944 +tp3945 +a(g900 +g958 +tp3946 +a(g548 +V0a +p3947 +tp3948 +a(g900 +g958 +tp3949 +a(g548 +V20 +p3950 +tp3951 +a(g900 +g958 +tp3952 +a(g548 +V20 +p3953 +tp3954 +a(g900 +g958 +tp3955 +a(g548 +V20 +p3956 +tp3957 +a(g900 +g958 +tp3958 +a(g548 +V20 +p3959 +tp3960 +a(g900 +g958 +tp3961 +a(g548 +V20 +p3962 +tp3963 +a(g900 +g958 +tp3964 +a(g548 +V20 +p3965 +tp3966 +a(g900 +V +p3967 +tp3968 +a(g685 +g1009 +tp3969 +a(g341 +V } . +p3970 +tp3971 +a(g685 +g1013 +tp3972 +a(g900 +V\u000a +p3973 +tp3974 +a(g8 +V0001500 +p3975 +tp3976 +a(g900 +g958 +tp3977 +a(g548 +V20 +p3978 +tp3979 +a(g900 +g958 +tp3980 +a(g548 +V20 +p3981 +tp3982 +a(g900 +g958 +tp3983 +a(g548 +V65 +p3984 +tp3985 +a(g900 +g958 +tp3986 +a(g548 +V6c +p3987 +tp3988 +a(g900 +g958 +tp3989 +a(g548 +V73 +p3990 +tp3991 +a(g900 +g958 +tp3992 +a(g548 +V65 +p3993 +tp3994 +a(g900 +g958 +tp3995 +a(g548 +V20 +p3996 +tp3997 +a(g900 +g958 +tp3998 +a(g548 +V69 +p3999 +tp4000 +a(g900 +g958 +tp4001 +a(g548 +V66 +p4002 +tp4003 +a(g900 +g958 +tp4004 +a(g548 +V20 +p4005 +tp4006 +a(g900 +g958 +tp4007 +a(g548 +V28 +p4008 +tp4009 +a(g900 +g958 +tp4010 +a(g548 +V72 +p4011 +tp4012 +a(g900 +g958 +tp4013 +a(g548 +V65 +p4014 +tp4015 +a(g900 +g958 +tp4016 +a(g548 +V74 +p4017 +tp4018 +a(g900 +g958 +tp4019 +a(g548 +V29 +p4020 +tp4021 +a(g900 +g958 +tp4022 +a(g548 +V0a +p4023 +tp4024 +a(g900 +V +p4025 +tp4026 +a(g685 +g1009 +tp4027 +a(g341 +V else if (ret). +p4028 +tp4029 +a(g685 +g1013 +tp4030 +a(g900 +V\u000a +p4031 +tp4032 +a(g8 +V0001520 +p4033 +tp4034 +a(g900 +g958 +tp4035 +a(g548 +V20 +p4036 +tp4037 +a(g900 +g958 +tp4038 +a(g548 +V20 +p4039 +tp4040 +a(g900 +g958 +tp4041 +a(g548 +V20 +p4042 +tp4043 +a(g900 +g958 +tp4044 +a(g548 +V20 +p4045 +tp4046 +a(g900 +g958 +tp4047 +a(g548 +V20 +p4048 +tp4049 +a(g900 +g958 +tp4050 +a(g548 +V20 +p4051 +tp4052 +a(g900 +g958 +tp4053 +a(g548 +V20 +p4054 +tp4055 +a(g900 +g958 +tp4056 +a(g548 +V20 +p4057 +tp4058 +a(g900 +g958 +tp4059 +a(g548 +V20 +p4060 +tp4061 +a(g900 +g958 +tp4062 +a(g548 +V20 +p4063 +tp4064 +a(g900 +g958 +tp4065 +a(g548 +V20 +p4066 +tp4067 +a(g900 +g958 +tp4068 +a(g548 +V20 +p4069 +tp4070 +a(g900 +g958 +tp4071 +a(g548 +V72 +p4072 +tp4073 +a(g900 +g958 +tp4074 +a(g548 +V65 +p4075 +tp4076 +a(g900 +g958 +tp4077 +a(g548 +V74 +p4078 +tp4079 +a(g900 +g958 +tp4080 +a(g548 +V20 +p4081 +tp4082 +a(g900 +V +p4083 +tp4084 +a(g685 +g1009 +tp4085 +a(g341 +V ret +p4086 +tp4087 +a(g685 +g1013 +tp4088 +a(g900 +V\u000a +p4089 +tp4090 +a(g8 +V0001540 +p4091 +tp4092 +a(g900 +g958 +tp4093 +a(g548 +V7e +p4094 +tp4095 +a(g900 +g958 +tp4096 +a(g548 +V3d +p4097 +tp4098 +a(g900 +g958 +tp4099 +a(g548 +V20 +p4100 +tp4101 +a(g900 +g958 +tp4102 +a(g548 +V63 +p4103 +tp4104 +a(g900 +g958 +tp4105 +a(g548 +V3b +p4106 +tp4107 +a(g900 +g958 +tp4108 +a(g548 +V20 +p4109 +tp4110 +a(g900 +g958 +tp4111 +a(g548 +V20 +p4112 +tp4113 +a(g900 +g958 +tp4114 +a(g548 +V20 +p4115 +tp4116 +a(g900 +g958 +tp4117 +a(g548 +V20 +p4118 +tp4119 +a(g900 +g958 +tp4120 +a(g548 +V0a +p4121 +tp4122 +a(g900 +g958 +tp4123 +a(g548 +V20 +p4124 +tp4125 +a(g900 +g958 +tp4126 +a(g548 +V20 +p4127 +tp4128 +a(g900 +g958 +tp4129 +a(g548 +V20 +p4130 +tp4131 +a(g900 +g958 +tp4132 +a(g548 +V20 +p4133 +tp4134 +a(g900 +g958 +tp4135 +a(g548 +V7d +p4136 +tp4137 +a(g900 +g958 +tp4138 +a(g548 +V20 +p4139 +tp4140 +a(g900 +V +p4141 +tp4142 +a(g685 +g1009 +tp4143 +a(g341 +V~= c; . } +p4144 +tp4145 +a(g685 +g1013 +tp4146 +a(g900 +V\u000a +p4147 +tp4148 +a(g8 +V0001560 +p4149 +tp4150 +a(g900 +g958 +tp4151 +a(g548 +V20 +p4152 +tp4153 +a(g900 +g958 +tp4154 +a(g548 +V20 +p4155 +tp4156 +a(g900 +g958 +tp4157 +a(g548 +V20 +p4158 +tp4159 +a(g900 +g958 +tp4160 +a(g548 +V0a +p4161 +tp4162 +a(g900 +g958 +tp4163 +a(g548 +V20 +p4164 +tp4165 +a(g900 +g958 +tp4166 +a(g548 +V20 +p4167 +tp4168 +a(g900 +g958 +tp4169 +a(g548 +V20 +p4170 +tp4171 +a(g900 +g958 +tp4172 +a(g548 +V20 +p4173 +tp4174 +a(g900 +g958 +tp4175 +a(g548 +V72 +p4176 +tp4177 +a(g900 +g958 +tp4178 +a(g548 +V65 +p4179 +tp4180 +a(g900 +g958 +tp4181 +a(g548 +V74 +p4182 +tp4183 +a(g900 +g958 +tp4184 +a(g548 +V75 +p4185 +tp4186 +a(g900 +g958 +tp4187 +a(g548 +V72 +p4188 +tp4189 +a(g900 +g958 +tp4190 +a(g548 +V6e +p4191 +tp4192 +a(g900 +g958 +tp4193 +a(g548 +V20 +p4194 +tp4195 +a(g900 +g958 +tp4196 +a(g548 +V72 +p4197 +tp4198 +a(g900 +V +p4199 +tp4200 +a(g685 +g1009 +tp4201 +a(g341 +V . return r +p4202 +tp4203 +a(g685 +g1013 +tp4204 +a(g900 +V\u000a +p4205 +tp4206 +a(g8 +V0001600 +p4207 +tp4208 +a(g900 +g958 +tp4209 +a(g548 +V65 +p4210 +tp4211 +a(g900 +g958 +tp4212 +a(g548 +V74 +p4213 +tp4214 +a(g900 +g958 +tp4215 +a(g548 +V3f +p4216 +tp4217 +a(g900 +g958 +tp4218 +a(g548 +V72 +p4219 +tp4220 +a(g900 +g958 +tp4221 +a(g548 +V65 +p4222 +tp4223 +a(g900 +g958 +tp4224 +a(g548 +V74 +p4225 +tp4226 +a(g900 +g958 +tp4227 +a(g548 +V3a +p4228 +tp4229 +a(g900 +g958 +tp4230 +a(g548 +V6c +p4231 +tp4232 +a(g900 +g958 +tp4233 +a(g548 +V69 +p4234 +tp4235 +a(g900 +g958 +tp4236 +a(g548 +V6e +p4237 +tp4238 +a(g900 +g958 +tp4239 +a(g548 +V65 +p4240 +tp4241 +a(g900 +g958 +tp4242 +a(g548 +V3b +p4243 +tp4244 +a(g900 +g958 +tp4245 +a(g548 +V0a +p4246 +tp4247 +a(g900 +g958 +tp4248 +a(g548 +V7d +p4249 +tp4250 +a(g900 +g958 +tp4251 +a(g548 +V0a +p4252 +tp4253 +a(g900 +g958 +tp4254 +a(g548 +V0a +p4255 +tp4256 +a(g900 +V +p4257 +tp4258 +a(g685 +g1009 +tp4259 +a(g341 +Vet?ret:line;.}.. +p4260 +tp4261 +a(g685 +g1013 +tp4262 +a(g900 +V\u000a +p4263 +tp4264 +a(g8 +V0001620 +p4265 +tp4266 +a(g900 +g958 +tp4267 +a(g548 +V75 +p4268 +tp4269 +a(g900 +g958 +tp4270 +a(g548 +V6e +p4271 +tp4272 +a(g900 +g958 +tp4273 +a(g548 +V69 +p4274 +tp4275 +a(g900 +g958 +tp4276 +a(g548 +V74 +p4277 +tp4278 +a(g900 +g958 +tp4279 +a(g548 +V74 +p4280 +tp4281 +a(g900 +g958 +tp4282 +a(g548 +V65 +p4283 +tp4284 +a(g900 +g958 +tp4285 +a(g548 +V73 +p4286 +tp4287 +a(g900 +g958 +tp4288 +a(g548 +V74 +p4289 +tp4290 +a(g900 +g958 +tp4291 +a(g548 +V20 +p4292 +tp4293 +a(g900 +g958 +tp4294 +a(g548 +V7b +p4295 +tp4296 +a(g900 +g958 +tp4297 +a(g548 +V0a +p4298 +tp4299 +a(g900 +g958 +tp4300 +a(g548 +V20 +p4301 +tp4302 +a(g900 +g958 +tp4303 +a(g548 +V20 +p4304 +tp4305 +a(g900 +g958 +tp4306 +a(g548 +V20 +p4307 +tp4308 +a(g900 +g958 +tp4309 +a(g548 +V20 +p4310 +tp4311 +a(g900 +g958 +tp4312 +a(g548 +V61 +p4313 +tp4314 +a(g900 +V +p4315 +tp4316 +a(g685 +g1009 +tp4317 +a(g341 +Vunittest {. a +p4318 +tp4319 +a(g685 +g1013 +tp4320 +a(g900 +V\u000a +p4321 +tp4322 +a(g8 +V0001640 +p4323 +tp4324 +a(g900 +g958 +tp4325 +a(g548 +V73 +p4326 +tp4327 +a(g900 +g958 +tp4328 +a(g548 +V73 +p4329 +tp4330 +a(g900 +g958 +tp4331 +a(g548 +V65 +p4332 +tp4333 +a(g900 +g958 +tp4334 +a(g548 +V72 +p4335 +tp4336 +a(g900 +g958 +tp4337 +a(g548 +V74 +p4338 +tp4339 +a(g900 +g958 +tp4340 +a(g548 +V28 +p4341 +tp4342 +a(g900 +g958 +tp4343 +a(g548 +V20 +p4344 +tp4345 +a(g900 +g958 +tp4346 +a(g548 +V73 +p4347 +tp4348 +a(g900 +g958 +tp4349 +a(g548 +V74 +p4350 +tp4351 +a(g900 +g958 +tp4352 +a(g548 +V72 +p4353 +tp4354 +a(g900 +g958 +tp4355 +a(g548 +V69 +p4356 +tp4357 +a(g900 +g958 +tp4358 +a(g548 +V70 +p4359 +tp4360 +a(g900 +g958 +tp4361 +a(g548 +V4e +p4362 +tp4363 +a(g900 +g958 +tp4364 +a(g548 +V6f +p4365 +tp4366 +a(g900 +g958 +tp4367 +a(g548 +V6e +p4368 +tp4369 +a(g900 +g958 +tp4370 +a(g548 +V44 +p4371 +tp4372 +a(g900 +V +p4373 +tp4374 +a(g685 +g1009 +tp4375 +a(g341 +Vssert( stripNonD +p4376 +tp4377 +a(g685 +g1013 +tp4378 +a(g900 +V\u000a +p4379 +tp4380 +a(g8 +V0001660 +p4381 +tp4382 +a(g900 +g958 +tp4383 +a(g548 +V69 +p4384 +tp4385 +a(g900 +g958 +tp4386 +a(g548 +V67 +p4387 +tp4388 +a(g900 +g958 +tp4389 +a(g548 +V69 +p4390 +tp4391 +a(g900 +g958 +tp4392 +a(g548 +V74 +p4393 +tp4394 +a(g900 +g958 +tp4395 +a(g548 +V28 +p4396 +tp4397 +a(g900 +g958 +tp4398 +a(g548 +V22 +p4399 +tp4400 +a(g900 +g958 +tp4401 +a(g548 +V61 +p4402 +tp4403 +a(g900 +g958 +tp4404 +a(g548 +V73 +p4405 +tp4406 +a(g900 +g958 +tp4407 +a(g548 +V64 +p4408 +tp4409 +a(g900 +g958 +tp4410 +a(g548 +V66 +p4411 +tp4412 +a(g900 +g958 +tp4413 +a(g548 +V22 +p4414 +tp4415 +a(g900 +g958 +tp4416 +a(g548 +V29 +p4417 +tp4418 +a(g900 +g958 +tp4419 +a(g548 +V20 +p4420 +tp4421 +a(g900 +g958 +tp4422 +a(g548 +V3d +p4423 +tp4424 +a(g900 +g958 +tp4425 +a(g548 +V3d +p4426 +tp4427 +a(g900 +g958 +tp4428 +a(g548 +V20 +p4429 +tp4430 +a(g900 +V +p4431 +tp4432 +a(g685 +g1009 +tp4433 +a(g341 +Vigit("asdf") == +p4434 +tp4435 +a(g685 +g1013 +tp4436 +a(g900 +V\u000a +p4437 +tp4438 +a(g8 +V0001700 +p4439 +tp4440 +a(g900 +g958 +tp4441 +a(g548 +V22 +p4442 +tp4443 +a(g900 +g958 +tp4444 +a(g548 +V22 +p4445 +tp4446 +a(g900 +g958 +tp4447 +a(g548 +V20 +p4448 +tp4449 +a(g900 +g958 +tp4450 +a(g548 +V20 +p4451 +tp4452 +a(g900 +g958 +tp4453 +a(g548 +V29 +p4454 +tp4455 +a(g900 +g958 +tp4456 +a(g548 +V3b +p4457 +tp4458 +a(g900 +g958 +tp4459 +a(g548 +V0a +p4460 +tp4461 +a(g900 +g958 +tp4462 +a(g548 +V20 +p4463 +tp4464 +a(g900 +g958 +tp4465 +a(g548 +V20 +p4466 +tp4467 +a(g900 +g958 +tp4468 +a(g548 +V20 +p4469 +tp4470 +a(g900 +g958 +tp4471 +a(g548 +V20 +p4472 +tp4473 +a(g900 +g958 +tp4474 +a(g548 +V61 +p4475 +tp4476 +a(g900 +g958 +tp4477 +a(g548 +V73 +p4478 +tp4479 +a(g900 +g958 +tp4480 +a(g548 +V73 +p4481 +tp4482 +a(g900 +g958 +tp4483 +a(g548 +V65 +p4484 +tp4485 +a(g900 +g958 +tp4486 +a(g548 +V72 +p4487 +tp4488 +a(g900 +V +p4489 +tp4490 +a(g685 +g1009 +tp4491 +a(g341 +V"" );. asser +p4492 +tp4493 +a(g685 +g1013 +tp4494 +a(g900 +V\u000a +p4495 +tp4496 +a(g8 +V0001720 +p4497 +tp4498 +a(g900 +g958 +tp4499 +a(g548 +V74 +p4500 +tp4501 +a(g900 +g958 +tp4502 +a(g548 +V28 +p4503 +tp4504 +a(g900 +g958 +tp4505 +a(g548 +V20 +p4506 +tp4507 +a(g900 +g958 +tp4508 +a(g548 +V73 +p4509 +tp4510 +a(g900 +g958 +tp4511 +a(g548 +V74 +p4512 +tp4513 +a(g900 +g958 +tp4514 +a(g548 +V72 +p4515 +tp4516 +a(g900 +g958 +tp4517 +a(g548 +V69 +p4518 +tp4519 +a(g900 +g958 +tp4520 +a(g548 +V70 +p4521 +tp4522 +a(g900 +g958 +tp4523 +a(g548 +V4e +p4524 +tp4525 +a(g900 +g958 +tp4526 +a(g548 +V6f +p4527 +tp4528 +a(g900 +g958 +tp4529 +a(g548 +V6e +p4530 +tp4531 +a(g900 +g958 +tp4532 +a(g548 +V44 +p4533 +tp4534 +a(g900 +g958 +tp4535 +a(g548 +V69 +p4536 +tp4537 +a(g900 +g958 +tp4538 +a(g548 +V67 +p4539 +tp4540 +a(g900 +g958 +tp4541 +a(g548 +V69 +p4542 +tp4543 +a(g900 +g958 +tp4544 +a(g548 +V74 +p4545 +tp4546 +a(g900 +V +p4547 +tp4548 +a(g685 +g1009 +tp4549 +a(g341 +Vt( stripNonDigit +p4550 +tp4551 +a(g685 +g1013 +tp4552 +a(g900 +V\u000a +p4553 +tp4554 +a(g8 +V0001740 +p4555 +tp4556 +a(g900 +g958 +tp4557 +a(g548 +V28 +p4558 +tp4559 +a(g900 +g958 +tp4560 +a(g548 +V22 +p4561 +tp4562 +a(g900 +g958 +tp4563 +a(g548 +V5c +p4564 +tp4565 +a(g900 +g958 +tp4566 +a(g548 +V27 +p4567 +tp4568 +a(g900 +g958 +tp4569 +a(g548 +V31 +p4570 +tp4571 +a(g900 +g958 +tp4572 +a(g548 +V33 +p4573 +tp4574 +a(g900 +g958 +tp4575 +a(g548 +V2d +p4576 +tp4577 +a(g900 +g958 +tp4578 +a(g548 +V3d +p4579 +tp4580 +a(g900 +g958 +tp4581 +a(g548 +V32 +p4582 +tp4583 +a(g900 +g958 +tp4584 +a(g548 +V20 +p4585 +tp4586 +a(g900 +g958 +tp4587 +a(g548 +V34 +p4588 +tp4589 +a(g900 +g958 +tp4590 +a(g548 +V6b +p4591 +tp4592 +a(g900 +g958 +tp4593 +a(g548 +V6f +p4594 +tp4595 +a(g900 +g958 +tp4596 +a(g548 +V70 +p4597 +tp4598 +a(g900 +g958 +tp4599 +a(g548 +V22 +p4600 +tp4601 +a(g900 +g958 +tp4602 +a(g548 +V29 +p4603 +tp4604 +a(g900 +V +p4605 +tp4606 +a(g685 +g1009 +tp4607 +a(g341 +V("\u005c'13-=2 4kop") +p4608 +tp4609 +a(g685 +g1013 +tp4610 +a(g900 +V\u000a +p4611 +tp4612 +a(g8 +V0001760 +p4613 +tp4614 +a(g900 +g958 +tp4615 +a(g548 +V20 +p4616 +tp4617 +a(g900 +g958 +tp4618 +a(g548 +V3d +p4619 +tp4620 +a(g900 +g958 +tp4621 +a(g548 +V3d +p4622 +tp4623 +a(g900 +g958 +tp4624 +a(g548 +V20 +p4625 +tp4626 +a(g900 +g958 +tp4627 +a(g548 +V20 +p4628 +tp4629 +a(g900 +g958 +tp4630 +a(g548 +V22 +p4631 +tp4632 +a(g900 +g958 +tp4633 +a(g548 +V31 +p4634 +tp4635 +a(g900 +g958 +tp4636 +a(g548 +V33 +p4637 +tp4638 +a(g900 +g958 +tp4639 +a(g548 +V32 +p4640 +tp4641 +a(g900 +g958 +tp4642 +a(g548 +V34 +p4643 +tp4644 +a(g900 +g958 +tp4645 +a(g548 +V22 +p4646 +tp4647 +a(g900 +g958 +tp4648 +a(g548 +V20 +p4649 +tp4650 +a(g900 +g958 +tp4651 +a(g548 +V20 +p4652 +tp4653 +a(g900 +g958 +tp4654 +a(g548 +V29 +p4655 +tp4656 +a(g900 +g958 +tp4657 +a(g548 +V3b +p4658 +tp4659 +a(g900 +g958 +tp4660 +a(g548 +V0a +p4661 +tp4662 +a(g900 +V +p4663 +tp4664 +a(g685 +g1009 +tp4665 +a(g341 +V == "1324" );. +p4666 +tp4667 +a(g685 +g1013 +tp4668 +a(g900 +V\u000a +p4669 +tp4670 +a(g8 +V0002000 +p4671 +tp4672 +a(g900 +g958 +tp4673 +a(g548 +V7d +p4674 +tp4675 +a(g900 +g958 +tp4676 +a(g548 +V0a +p4677 +tp4678 +a(g900 +g958 +tp4679 +a(g548 +V0a +p4680 +tp4681 +a(g900 +g958 +tp4682 +a(g548 +V2f +p4683 +tp4684 +a(g900 +g958 +tp4685 +a(g548 +V2f +p4686 +tp4687 +a(g900 +g958 +tp4688 +a(g548 +V2f +p4689 +tp4690 +a(g900 +g958 +tp4691 +a(g548 +V20 +p4692 +tp4693 +a(g900 +g958 +tp4694 +a(g548 +V43 +p4695 +tp4696 +a(g900 +g958 +tp4697 +a(g548 +V6f +p4698 +tp4699 +a(g900 +g958 +tp4700 +a(g548 +V6e +p4701 +tp4702 +a(g900 +g958 +tp4703 +a(g548 +V76 +p4704 +tp4705 +a(g900 +g958 +tp4706 +a(g548 +V65 +p4707 +tp4708 +a(g900 +g958 +tp4709 +a(g548 +V72 +p4710 +tp4711 +a(g900 +g958 +tp4712 +a(g548 +V74 +p4713 +tp4714 +a(g900 +g958 +tp4715 +a(g548 +V73 +p4716 +tp4717 +a(g900 +g958 +tp4718 +a(g548 +V20 +p4719 +tp4720 +a(g900 +V +p4721 +tp4722 +a(g685 +g1009 +tp4723 +a(g341 +V}../// Converts +p4724 +tp4725 +a(g685 +g1013 +tp4726 +a(g900 +V\u000a +p4727 +tp4728 +a(g8 +V0002020 +p4729 +tp4730 +a(g900 +g958 +tp4731 +a(g548 +V61 +p4732 +tp4733 +a(g900 +g958 +tp4734 +a(g548 +V20 +p4735 +tp4736 +a(g900 +g958 +tp4737 +a(g548 +V77 +p4738 +tp4739 +a(g900 +g958 +tp4740 +a(g548 +V6f +p4741 +tp4742 +a(g900 +g958 +tp4743 +a(g548 +V72 +p4744 +tp4745 +a(g900 +g958 +tp4746 +a(g548 +V64 +p4747 +tp4748 +a(g900 +g958 +tp4749 +a(g548 +V20 +p4750 +tp4751 +a(g900 +g958 +tp4752 +a(g548 +V69 +p4753 +tp4754 +a(g900 +g958 +tp4755 +a(g548 +V6e +p4756 +tp4757 +a(g900 +g958 +tp4758 +a(g548 +V74 +p4759 +tp4760 +a(g900 +g958 +tp4761 +a(g548 +V6f +p4762 +tp4763 +a(g900 +g958 +tp4764 +a(g548 +V20 +p4765 +tp4766 +a(g900 +g958 +tp4767 +a(g548 +V61 +p4768 +tp4769 +a(g900 +g958 +tp4770 +a(g548 +V20 +p4771 +tp4772 +a(g900 +g958 +tp4773 +a(g548 +V6e +p4774 +tp4775 +a(g900 +g958 +tp4776 +a(g548 +V75 +p4777 +tp4778 +a(g900 +V +p4779 +tp4780 +a(g685 +g1009 +tp4781 +a(g341 +Va word into a nu +p4782 +tp4783 +a(g685 +g1013 +tp4784 +a(g900 +V\u000a +p4785 +tp4786 +a(g8 +V0002040 +p4787 +tp4788 +a(g900 +g958 +tp4789 +a(g548 +V6d +p4790 +tp4791 +a(g900 +g958 +tp4792 +a(g548 +V62 +p4793 +tp4794 +a(g900 +g958 +tp4795 +a(g548 +V65 +p4796 +tp4797 +a(g900 +g958 +tp4798 +a(g548 +V72 +p4799 +tp4800 +a(g900 +g958 +tp4801 +a(g548 +V2c +p4802 +tp4803 +a(g900 +g958 +tp4804 +a(g548 +V20 +p4805 +tp4806 +a(g900 +g958 +tp4807 +a(g548 +V69 +p4808 +tp4809 +a(g900 +g958 +tp4810 +a(g548 +V67 +p4811 +tp4812 +a(g900 +g958 +tp4813 +a(g548 +V6e +p4814 +tp4815 +a(g900 +g958 +tp4816 +a(g548 +V6f +p4817 +tp4818 +a(g900 +g958 +tp4819 +a(g548 +V72 +p4820 +tp4821 +a(g900 +g958 +tp4822 +a(g548 +V69 +p4823 +tp4824 +a(g900 +g958 +tp4825 +a(g548 +V6e +p4826 +tp4827 +a(g900 +g958 +tp4828 +a(g548 +V67 +p4829 +tp4830 +a(g900 +g958 +tp4831 +a(g548 +V20 +p4832 +tp4833 +a(g900 +g958 +tp4834 +a(g548 +V61 +p4835 +tp4836 +a(g900 +V +p4837 +tp4838 +a(g685 +g1009 +tp4839 +a(g341 +Vmber, ignoring a +p4840 +tp4841 +a(g685 +g1013 +tp4842 +a(g900 +V\u000a +p4843 +tp4844 +a(g8 +V0002060 +p4845 +tp4846 +a(g900 +g958 +tp4847 +a(g548 +V6c +p4848 +tp4849 +a(g900 +g958 +tp4850 +a(g548 +V6c +p4851 +tp4852 +a(g900 +g958 +tp4853 +a(g548 +V20 +p4854 +tp4855 +a(g900 +g958 +tp4856 +a(g548 +V6e +p4857 +tp4858 +a(g900 +g958 +tp4859 +a(g548 +V6f +p4860 +tp4861 +a(g900 +g958 +tp4862 +a(g548 +V6e +p4863 +tp4864 +a(g900 +g958 +tp4865 +a(g548 +V20 +p4866 +tp4867 +a(g900 +g958 +tp4868 +a(g548 +V61 +p4869 +tp4870 +a(g900 +g958 +tp4871 +a(g548 +V6c +p4872 +tp4873 +a(g900 +g958 +tp4874 +a(g548 +V70 +p4875 +tp4876 +a(g900 +g958 +tp4877 +a(g548 +V68 +p4878 +tp4879 +a(g900 +g958 +tp4880 +a(g548 +V61 +p4881 +tp4882 +a(g900 +g958 +tp4883 +a(g548 +V20 +p4884 +tp4885 +a(g900 +g958 +tp4886 +a(g548 +V63 +p4887 +tp4888 +a(g900 +g958 +tp4889 +a(g548 +V68 +p4890 +tp4891 +a(g900 +g958 +tp4892 +a(g548 +V61 +p4893 +tp4894 +a(g900 +V +p4895 +tp4896 +a(g685 +g1009 +tp4897 +a(g341 +Vll non alpha cha +p4898 +tp4899 +a(g685 +g1013 +tp4900 +a(g900 +V\u000a +p4901 +tp4902 +a(g8 +V0002100 +p4903 +tp4904 +a(g900 +g958 +tp4905 +a(g548 +V72 +p4906 +tp4907 +a(g900 +g958 +tp4908 +a(g548 +V61 +p4909 +tp4910 +a(g900 +g958 +tp4911 +a(g548 +V63 +p4912 +tp4913 +a(g900 +g958 +tp4914 +a(g548 +V74 +p4915 +tp4916 +a(g900 +g958 +tp4917 +a(g548 +V65 +p4918 +tp4919 +a(g900 +g958 +tp4920 +a(g548 +V72 +p4921 +tp4922 +a(g900 +g958 +tp4923 +a(g548 +V73 +p4924 +tp4925 +a(g900 +g958 +tp4926 +a(g548 +V20 +p4927 +tp4928 +a(g900 +g958 +tp4929 +a(g548 +V20 +p4930 +tp4931 +a(g900 +g958 +tp4932 +a(g548 +V0a +p4933 +tp4934 +a(g900 +g958 +tp4935 +a(g548 +V73 +p4936 +tp4937 +a(g900 +g958 +tp4938 +a(g548 +V74 +p4939 +tp4940 +a(g900 +g958 +tp4941 +a(g548 +V72 +p4942 +tp4943 +a(g900 +g958 +tp4944 +a(g548 +V69 +p4945 +tp4946 +a(g900 +g958 +tp4947 +a(g548 +V6e +p4948 +tp4949 +a(g900 +g958 +tp4950 +a(g548 +V67 +p4951 +tp4952 +a(g900 +V +p4953 +tp4954 +a(g685 +g1009 +tp4955 +a(g341 +Vracters .string +p4956 +tp4957 +a(g685 +g1013 +tp4958 +a(g900 +V\u000a +p4959 +tp4960 +a(g8 +V0002120 +p4961 +tp4962 +a(g900 +g958 +tp4963 +a(g548 +V20 +p4964 +tp4965 +a(g900 +g958 +tp4966 +a(g548 +V77 +p4967 +tp4968 +a(g900 +g958 +tp4969 +a(g548 +V6f +p4970 +tp4971 +a(g900 +g958 +tp4972 +a(g548 +V72 +p4973 +tp4974 +a(g900 +g958 +tp4975 +a(g548 +V64 +p4976 +tp4977 +a(g900 +g958 +tp4978 +a(g548 +V54 +p4979 +tp4980 +a(g900 +g958 +tp4981 +a(g548 +V6f +p4982 +tp4983 +a(g900 +g958 +tp4984 +a(g548 +V4e +p4985 +tp4986 +a(g900 +g958 +tp4987 +a(g548 +V75 +p4988 +tp4989 +a(g900 +g958 +tp4990 +a(g548 +V6d +p4991 +tp4992 +a(g900 +g958 +tp4993 +a(g548 +V28 +p4994 +tp4995 +a(g900 +g958 +tp4996 +a(g548 +V20 +p4997 +tp4998 +a(g900 +g958 +tp4999 +a(g548 +V69 +p5000 +tp5001 +a(g900 +g958 +tp5002 +a(g548 +V6e +p5003 +tp5004 +a(g900 +g958 +tp5005 +a(g548 +V20 +p5006 +tp5007 +a(g900 +g958 +tp5008 +a(g548 +V73 +p5009 +tp5010 +a(g900 +V +p5011 +tp5012 +a(g685 +g1009 +tp5013 +a(g341 +V wordToNum( in s +p5014 +tp5015 +a(g685 +g1013 +tp5016 +a(g900 +V\u000a +p5017 +tp5018 +a(g8 +V0002140 +p5019 +tp5020 +a(g900 +g958 +tp5021 +a(g548 +V74 +p5022 +tp5023 +a(g900 +g958 +tp5024 +a(g548 +V72 +p5025 +tp5026 +a(g900 +g958 +tp5027 +a(g548 +V69 +p5028 +tp5029 +a(g900 +g958 +tp5030 +a(g548 +V6e +p5031 +tp5032 +a(g900 +g958 +tp5033 +a(g548 +V67 +p5034 +tp5035 +a(g900 +g958 +tp5036 +a(g548 +V20 +p5037 +tp5038 +a(g900 +g958 +tp5039 +a(g548 +V77 +p5040 +tp5041 +a(g900 +g958 +tp5042 +a(g548 +V6f +p5043 +tp5044 +a(g900 +g958 +tp5045 +a(g548 +V72 +p5046 +tp5047 +a(g900 +g958 +tp5048 +a(g548 +V64 +p5049 +tp5050 +a(g900 +g958 +tp5051 +a(g548 +V20 +p5052 +tp5053 +a(g900 +g958 +tp5054 +a(g548 +V29 +p5055 +tp5056 +a(g900 +g958 +tp5057 +a(g548 +V0a +p5058 +tp5059 +a(g900 +g958 +tp5060 +a(g548 +V7b +p5061 +tp5062 +a(g900 +g958 +tp5063 +a(g548 +V0a +p5064 +tp5065 +a(g900 +g958 +tp5066 +a(g548 +V2f +p5067 +tp5068 +a(g900 +V +p5069 +tp5070 +a(g685 +g1009 +tp5071 +a(g341 +Vtring word ).{./ +p5072 +tp5073 +a(g685 +g1013 +tp5074 +a(g900 +V\u000a +p5075 +tp5076 +a(g8 +V0002160 +p5077 +tp5078 +a(g900 +g958 +tp5079 +a(g548 +V2f +p5080 +tp5081 +a(g900 +g958 +tp5082 +a(g548 +V20 +p5083 +tp5084 +a(g900 +g958 +tp5085 +a(g548 +V74 +p5086 +tp5087 +a(g900 +g958 +tp5088 +a(g548 +V72 +p5089 +tp5090 +a(g900 +g958 +tp5091 +a(g548 +V61 +p5092 +tp5093 +a(g900 +g958 +tp5094 +a(g548 +V6e +p5095 +tp5096 +a(g900 +g958 +tp5097 +a(g548 +V73 +p5098 +tp5099 +a(g900 +g958 +tp5100 +a(g548 +V6c +p5101 +tp5102 +a(g900 +g958 +tp5103 +a(g548 +V61 +p5104 +tp5105 +a(g900 +g958 +tp5106 +a(g548 +V74 +p5107 +tp5108 +a(g900 +g958 +tp5109 +a(g548 +V69 +p5110 +tp5111 +a(g900 +g958 +tp5112 +a(g548 +V6f +p5113 +tp5114 +a(g900 +g958 +tp5115 +a(g548 +V6e +p5116 +tp5117 +a(g900 +g958 +tp5118 +a(g548 +V20 +p5119 +tp5120 +a(g900 +g958 +tp5121 +a(g548 +V74 +p5122 +tp5123 +a(g900 +g958 +tp5124 +a(g548 +V61 +p5125 +tp5126 +a(g900 +V +p5127 +tp5128 +a(g685 +g1009 +tp5129 +a(g341 +V/ translation ta +p5130 +tp5131 +a(g685 +g1013 +tp5132 +a(g900 +V\u000a +p5133 +tp5134 +a(g8 +V0002200 +p5135 +tp5136 +a(g900 +g958 +tp5137 +a(g548 +V62 +p5138 +tp5139 +a(g900 +g958 +tp5140 +a(g548 +V6c +p5141 +tp5142 +a(g900 +g958 +tp5143 +a(g548 +V65 +p5144 +tp5145 +a(g900 +g958 +tp5146 +a(g548 +V20 +p5147 +tp5148 +a(g900 +g958 +tp5149 +a(g548 +V66 +p5150 +tp5151 +a(g900 +g958 +tp5152 +a(g548 +V6f +p5153 +tp5154 +a(g900 +g958 +tp5155 +a(g548 +V72 +p5156 +tp5157 +a(g900 +g958 +tp5158 +a(g548 +V20 +p5159 +tp5160 +a(g900 +g958 +tp5161 +a(g548 +V74 +p5162 +tp5163 +a(g900 +g958 +tp5164 +a(g548 +V68 +p5165 +tp5166 +a(g900 +g958 +tp5167 +a(g548 +V65 +p5168 +tp5169 +a(g900 +g958 +tp5170 +a(g548 +V20 +p5171 +tp5172 +a(g900 +g958 +tp5173 +a(g548 +V74 +p5174 +tp5175 +a(g900 +g958 +tp5176 +a(g548 +V61 +p5177 +tp5178 +a(g900 +g958 +tp5179 +a(g548 +V73 +p5180 +tp5181 +a(g900 +g958 +tp5182 +a(g548 +V6b +p5183 +tp5184 +a(g900 +V +p5185 +tp5186 +a(g685 +g1009 +tp5187 +a(g341 +Vble for the task +p5188 +tp5189 +a(g685 +g1013 +tp5190 +a(g900 +V\u000a +p5191 +tp5192 +a(g8 +V0002220 +p5193 +tp5194 +a(g900 +g958 +tp5195 +a(g548 +V20 +p5196 +tp5197 +a(g900 +g958 +tp5198 +a(g548 +V61 +p5199 +tp5200 +a(g900 +g958 +tp5201 +a(g548 +V74 +p5202 +tp5203 +a(g900 +g958 +tp5204 +a(g548 +V20 +p5205 +tp5206 +a(g900 +g958 +tp5207 +a(g548 +V68 +p5208 +tp5209 +a(g900 +g958 +tp5210 +a(g548 +V61 +p5211 +tp5212 +a(g900 +g958 +tp5213 +a(g548 +V6e +p5214 +tp5215 +a(g900 +g958 +tp5216 +a(g548 +V64 +p5217 +tp5218 +a(g900 +g958 +tp5219 +a(g548 +V0a +p5220 +tp5221 +a(g900 +g958 +tp5222 +a(g548 +V63 +p5223 +tp5224 +a(g900 +g958 +tp5225 +a(g548 +V6f +p5226 +tp5227 +a(g900 +g958 +tp5228 +a(g548 +V6e +p5229 +tp5230 +a(g900 +g958 +tp5231 +a(g548 +V73 +p5232 +tp5233 +a(g900 +g958 +tp5234 +a(g548 +V74 +p5235 +tp5236 +a(g900 +g958 +tp5237 +a(g548 +V20 +p5238 +tp5239 +a(g900 +g958 +tp5240 +a(g548 +V63 +p5241 +tp5242 +a(g900 +V +p5243 +tp5244 +a(g685 +g1009 +tp5245 +a(g341 +V at hand.const c +p5246 +tp5247 +a(g685 +g1013 +tp5248 +a(g900 +V\u000a +p5249 +tp5250 +a(g8 +V0002240 +p5251 +tp5252 +a(g900 +g958 +tp5253 +a(g548 +V68 +p5254 +tp5255 +a(g900 +g958 +tp5256 +a(g548 +V61 +p5257 +tp5258 +a(g900 +g958 +tp5259 +a(g548 +V72 +p5260 +tp5261 +a(g900 +g958 +tp5262 +a(g548 +V5b +p5263 +tp5264 +a(g900 +g958 +tp5265 +a(g548 +V32 +p5266 +tp5267 +a(g900 +g958 +tp5268 +a(g548 +V35 +p5269 +tp5270 +a(g900 +g958 +tp5271 +a(g548 +V36 +p5272 +tp5273 +a(g900 +g958 +tp5274 +a(g548 +V5d +p5275 +tp5276 +a(g900 +g958 +tp5277 +a(g548 +V20 +p5278 +tp5279 +a(g900 +g958 +tp5280 +a(g548 +V54 +p5281 +tp5282 +a(g900 +g958 +tp5283 +a(g548 +V52 +p5284 +tp5285 +a(g900 +g958 +tp5286 +a(g548 +V41 +p5287 +tp5288 +a(g900 +g958 +tp5289 +a(g548 +V4e +p5290 +tp5291 +a(g900 +g958 +tp5292 +a(g548 +V53 +p5293 +tp5294 +a(g900 +g958 +tp5295 +a(g548 +V4c +p5296 +tp5297 +a(g900 +g958 +tp5298 +a(g548 +V41 +p5299 +tp5300 +a(g900 +V +p5301 +tp5302 +a(g685 +g1009 +tp5303 +a(g341 +Vhar[256] TRANSLA +p5304 +tp5305 +a(g685 +g1013 +tp5306 +a(g900 +V\u000a +p5307 +tp5308 +a(g8 +V0002260 +p5309 +tp5310 +a(g900 +g958 +tp5311 +a(g548 +V54 +p5312 +tp5313 +a(g900 +g958 +tp5314 +a(g548 +V45 +p5315 +tp5316 +a(g900 +g958 +tp5317 +a(g548 +V20 +p5318 +tp5319 +a(g900 +g958 +tp5320 +a(g548 +V3d +p5321 +tp5322 +a(g900 +g958 +tp5323 +a(g548 +V20 +p5324 +tp5325 +a(g900 +g958 +tp5326 +a(g548 +V20 +p5327 +tp5328 +a(g900 +g958 +tp5329 +a(g548 +V20 +p5330 +tp5331 +a(g900 +g958 +tp5332 +a(g548 +V20 +p5333 +tp5334 +a(g900 +g958 +tp5335 +a(g548 +V0a +p5336 +tp5337 +a(g900 +g958 +tp5338 +a(g548 +V20 +p5339 +tp5340 +a(g900 +g958 +tp5341 +a(g548 +V20 +p5342 +tp5343 +a(g900 +g958 +tp5344 +a(g548 +V20 +p5345 +tp5346 +a(g900 +g958 +tp5347 +a(g548 +V20 +p5348 +tp5349 +a(g900 +g958 +tp5350 +a(g548 +V22 +p5351 +tp5352 +a(g900 +g958 +tp5353 +a(g548 +V20 +p5354 +tp5355 +a(g900 +g958 +tp5356 +a(g548 +V20 +p5357 +tp5358 +a(g900 +V +p5359 +tp5360 +a(g685 +g1009 +tp5361 +a(g341 +VTE = . " +p5362 +tp5363 +a(g685 +g1013 +tp5364 +a(g900 +V\u000a +p5365 +tp5366 +a(g8 +V0002300 +p5367 +tp5368 +a(g900 +g958 +tp5369 +a(g548 +V20 +p5370 +tp5371 +a(g900 +g958 +tp5372 +a(g548 +V20 +p5373 +tp5374 +a(g900 +g958 +tp5375 +a(g548 +V20 +p5376 +tp5377 +a(g900 +g958 +tp5378 +a(g548 +V20 +p5379 +tp5380 +a(g900 +g958 +tp5381 +a(g548 +V20 +p5382 +tp5383 +a(g900 +g958 +tp5384 +a(g548 +V20 +p5385 +tp5386 +a(g900 +g958 +tp5387 +a(g548 +V20 +p5388 +tp5389 +a(g900 +g958 +tp5390 +a(g548 +V20 +p5391 +tp5392 +a(g900 +g958 +tp5393 +a(g548 +V20 +p5394 +tp5395 +a(g900 +g958 +tp5396 +a(g548 +V20 +p5397 +tp5398 +a(g900 +g958 +tp5399 +a(g548 +V20 +p5400 +tp5401 +a(g900 +g958 +tp5402 +a(g548 +V20 +p5403 +tp5404 +a(g900 +g958 +tp5405 +a(g548 +V20 +p5406 +tp5407 +a(g900 +g958 +tp5408 +a(g548 +V20 +p5409 +tp5410 +a(g900 +g958 +tp5411 +a(g548 +V20 +p5412 +tp5413 +a(g900 +g958 +tp5414 +a(g548 +V20 +p5415 +tp5416 +a(g900 +V +p5417 +tp5418 +a(g685 +g1009 +tp5419 +a(g341 +V +p5420 +tp5421 +a(g685 +g1013 +tp5422 +a(g900 +V\u000a +p5423 +tp5424 +a(g8 +V0002320 +p5425 +tp5426 +a(g900 +g958 +tp5427 +a(g548 +V20 +p5428 +tp5429 +a(g900 +g958 +tp5430 +a(g548 +V20 +p5431 +tp5432 +a(g900 +g958 +tp5433 +a(g548 +V20 +p5434 +tp5435 +a(g900 +g958 +tp5436 +a(g548 +V20 +p5437 +tp5438 +a(g900 +g958 +tp5439 +a(g548 +V20 +p5440 +tp5441 +a(g900 +g958 +tp5442 +a(g548 +V20 +p5443 +tp5444 +a(g900 +g958 +tp5445 +a(g548 +V20 +p5446 +tp5447 +a(g900 +g958 +tp5448 +a(g548 +V20 +p5449 +tp5450 +a(g900 +g958 +tp5451 +a(g548 +V20 +p5452 +tp5453 +a(g900 +g958 +tp5454 +a(g548 +V20 +p5455 +tp5456 +a(g900 +g958 +tp5457 +a(g548 +V20 +p5458 +tp5459 +a(g900 +g958 +tp5460 +a(g548 +V20 +p5461 +tp5462 +a(g900 +g958 +tp5463 +a(g548 +V20 +p5464 +tp5465 +a(g900 +g958 +tp5466 +a(g548 +V20 +p5467 +tp5468 +a(g900 +g958 +tp5469 +a(g548 +V22 +p5470 +tp5471 +a(g900 +g958 +tp5472 +a(g548 +V20 +p5473 +tp5474 +a(g900 +V +p5475 +tp5476 +a(g685 +g1009 +tp5477 +a(g341 +V " +p5478 +tp5479 +a(g685 +g1013 +tp5480 +a(g900 +V\u000a +p5481 +tp5482 +a(g8 +V0002340 +p5483 +tp5484 +a(g900 +g958 +tp5485 +a(g548 +V20 +p5486 +tp5487 +a(g900 +g958 +tp5488 +a(g548 +V2f +p5489 +tp5490 +a(g900 +g958 +tp5491 +a(g548 +V2f +p5492 +tp5493 +a(g900 +g958 +tp5494 +a(g548 +V20 +p5495 +tp5496 +a(g900 +g958 +tp5497 +a(g548 +V30 +p5498 +tp5499 +a(g900 +g958 +tp5500 +a(g548 +V20 +p5501 +tp5502 +a(g900 +g958 +tp5503 +a(g548 +V20 +p5504 +tp5505 +a(g900 +g958 +tp5506 +a(g548 +V20 +p5507 +tp5508 +a(g900 +g958 +tp5509 +a(g548 +V0a +p5510 +tp5511 +a(g900 +g958 +tp5512 +a(g548 +V20 +p5513 +tp5514 +a(g900 +g958 +tp5515 +a(g548 +V20 +p5516 +tp5517 +a(g900 +g958 +tp5518 +a(g548 +V20 +p5519 +tp5520 +a(g900 +g958 +tp5521 +a(g548 +V20 +p5522 +tp5523 +a(g900 +g958 +tp5524 +a(g548 +V22 +p5525 +tp5526 +a(g900 +g958 +tp5527 +a(g548 +V20 +p5528 +tp5529 +a(g900 +g958 +tp5530 +a(g548 +V20 +p5531 +tp5532 +a(g900 +V +p5533 +tp5534 +a(g685 +g1009 +tp5535 +a(g341 +V // 0 . " +p5536 +tp5537 +a(g685 +g1013 +tp5538 +a(g900 +V\u000a +p5539 +tp5540 +a(g8 +V0002360 +p5541 +tp5542 +a(g900 +g958 +tp5543 +a(g548 +V20 +p5544 +tp5545 +a(g900 +g958 +tp5546 +a(g548 +V20 +p5547 +tp5548 +a(g900 +g958 +tp5549 +a(g548 +V20 +p5550 +tp5551 +a(g900 +g958 +tp5552 +a(g548 +V20 +p5553 +tp5554 +a(g900 +g958 +tp5555 +a(g548 +V20 +p5556 +tp5557 +a(g900 +g958 +tp5558 +a(g548 +V20 +p5559 +tp5560 +a(g900 +g958 +tp5561 +a(g548 +V20 +p5562 +tp5563 +a(g900 +g958 +tp5564 +a(g548 +V20 +p5565 +tp5566 +a(g900 +g958 +tp5567 +a(g548 +V20 +p5568 +tp5569 +a(g900 +g958 +tp5570 +a(g548 +V20 +p5571 +tp5572 +a(g900 +g958 +tp5573 +a(g548 +V20 +p5574 +tp5575 +a(g900 +g958 +tp5576 +a(g548 +V20 +p5577 +tp5578 +a(g900 +g958 +tp5579 +a(g548 +V20 +p5580 +tp5581 +a(g900 +g958 +tp5582 +a(g548 +V20 +p5583 +tp5584 +a(g900 +g958 +tp5585 +a(g548 +V30 +p5586 +tp5587 +a(g900 +g958 +tp5588 +a(g548 +V31 +p5589 +tp5590 +a(g900 +V +p5591 +tp5592 +a(g685 +g1009 +tp5593 +a(g341 +V 01 +p5594 +tp5595 +a(g685 +g1013 +tp5596 +a(g900 +V\u000a +p5597 +tp5598 +a(g8 +V0002400 +p5599 +tp5600 +a(g900 +g958 +tp5601 +a(g548 +V32 +p5602 +tp5603 +a(g900 +g958 +tp5604 +a(g548 +V33 +p5605 +tp5606 +a(g900 +g958 +tp5607 +a(g548 +V34 +p5608 +tp5609 +a(g900 +g958 +tp5610 +a(g548 +V35 +p5611 +tp5612 +a(g900 +g958 +tp5613 +a(g548 +V36 +p5614 +tp5615 +a(g900 +g958 +tp5616 +a(g548 +V37 +p5617 +tp5618 +a(g900 +g958 +tp5619 +a(g548 +V38 +p5620 +tp5621 +a(g900 +g958 +tp5622 +a(g548 +V39 +p5623 +tp5624 +a(g900 +g958 +tp5625 +a(g548 +V20 +p5626 +tp5627 +a(g900 +g958 +tp5628 +a(g548 +V20 +p5629 +tp5630 +a(g900 +g958 +tp5631 +a(g548 +V20 +p5632 +tp5633 +a(g900 +g958 +tp5634 +a(g548 +V20 +p5635 +tp5636 +a(g900 +g958 +tp5637 +a(g548 +V20 +p5638 +tp5639 +a(g900 +g958 +tp5640 +a(g548 +V20 +p5641 +tp5642 +a(g900 +g958 +tp5643 +a(g548 +V22 +p5644 +tp5645 +a(g900 +g958 +tp5646 +a(g548 +V20 +p5647 +tp5648 +a(g900 +V +p5649 +tp5650 +a(g685 +g1009 +tp5651 +a(g341 +V23456789 " +p5652 +tp5653 +a(g685 +g1013 +tp5654 +a(g900 +V\u000a +p5655 +tp5656 +a(g8 +V0002420 +p5657 +tp5658 +a(g900 +g958 +tp5659 +a(g548 +V20 +p5660 +tp5661 +a(g900 +g958 +tp5662 +a(g548 +V2f +p5663 +tp5664 +a(g900 +g958 +tp5665 +a(g548 +V2f +p5666 +tp5667 +a(g900 +g958 +tp5668 +a(g548 +V20 +p5669 +tp5670 +a(g900 +g958 +tp5671 +a(g548 +V33 +p5672 +tp5673 +a(g900 +g958 +tp5674 +a(g548 +V32 +p5675 +tp5676 +a(g900 +g958 +tp5677 +a(g548 +V20 +p5678 +tp5679 +a(g900 +g958 +tp5680 +a(g548 +V20 +p5681 +tp5682 +a(g900 +g958 +tp5683 +a(g548 +V20 +p5684 +tp5685 +a(g900 +g958 +tp5686 +a(g548 +V20 +p5687 +tp5688 +a(g900 +g958 +tp5689 +a(g548 +V20 +p5690 +tp5691 +a(g900 +g958 +tp5692 +a(g548 +V0a +p5693 +tp5694 +a(g900 +g958 +tp5695 +a(g548 +V20 +p5696 +tp5697 +a(g900 +g958 +tp5698 +a(g548 +V20 +p5699 +tp5700 +a(g900 +g958 +tp5701 +a(g548 +V20 +p5702 +tp5703 +a(g900 +g958 +tp5704 +a(g548 +V20 +p5705 +tp5706 +a(g900 +V +p5707 +tp5708 +a(g685 +g1009 +tp5709 +a(g341 +V // 32 . +p5710 +tp5711 +a(g685 +g1013 +tp5712 +a(g900 +V\u000a +p5713 +tp5714 +a(g8 +V0002440 +p5715 +tp5716 +a(g900 +g958 +tp5717 +a(g548 +V22 +p5718 +tp5719 +a(g900 +g958 +tp5720 +a(g548 +V20 +p5721 +tp5722 +a(g900 +g958 +tp5723 +a(g548 +V35 +p5724 +tp5725 +a(g900 +g958 +tp5726 +a(g548 +V37 +p5727 +tp5728 +a(g900 +g958 +tp5729 +a(g548 +V36 +p5730 +tp5731 +a(g900 +g958 +tp5732 +a(g548 +V33 +p5733 +tp5734 +a(g900 +g958 +tp5735 +a(g548 +V30 +p5736 +tp5737 +a(g900 +g958 +tp5738 +a(g548 +V34 +p5739 +tp5740 +a(g900 +g958 +tp5741 +a(g548 +V39 +p5742 +tp5743 +a(g900 +g958 +tp5744 +a(g548 +V39 +p5745 +tp5746 +a(g900 +g958 +tp5747 +a(g548 +V36 +p5748 +tp5749 +a(g900 +g958 +tp5750 +a(g548 +V31 +p5751 +tp5752 +a(g900 +g958 +tp5753 +a(g548 +V37 +p5754 +tp5755 +a(g900 +g958 +tp5756 +a(g548 +V38 +p5757 +tp5758 +a(g900 +g958 +tp5759 +a(g548 +V35 +p5760 +tp5761 +a(g900 +g958 +tp5762 +a(g548 +V31 +p5763 +tp5764 +a(g900 +V +p5765 +tp5766 +a(g685 +g1009 +tp5767 +a(g341 +V" 57630499617851 +p5768 +tp5769 +a(g685 +g1013 +tp5770 +a(g900 +V\u000a +p5771 +tp5772 +a(g8 +V0002460 +p5773 +tp5774 +a(g900 +g958 +tp5775 +a(g548 +V38 +p5776 +tp5777 +a(g900 +g958 +tp5778 +a(g548 +V38 +p5779 +tp5780 +a(g900 +g958 +tp5781 +a(g548 +V31 +p5782 +tp5783 +a(g900 +g958 +tp5784 +a(g548 +V32 +p5785 +tp5786 +a(g900 +g958 +tp5787 +a(g548 +V33 +p5788 +tp5789 +a(g900 +g958 +tp5790 +a(g548 +V34 +p5791 +tp5792 +a(g900 +g958 +tp5793 +a(g548 +V37 +p5794 +tp5795 +a(g900 +g958 +tp5796 +a(g548 +V36 +p5797 +tp5798 +a(g900 +g958 +tp5799 +a(g548 +V32 +p5800 +tp5801 +a(g900 +g958 +tp5802 +a(g548 +V32 +p5803 +tp5804 +a(g900 +g958 +tp5805 +a(g548 +V33 +p5806 +tp5807 +a(g900 +g958 +tp5808 +a(g548 +V39 +p5809 +tp5810 +a(g900 +g958 +tp5811 +a(g548 +V20 +p5812 +tp5813 +a(g900 +g958 +tp5814 +a(g548 +V20 +p5815 +tp5816 +a(g900 +g958 +tp5817 +a(g548 +V20 +p5818 +tp5819 +a(g900 +g958 +tp5820 +a(g548 +V20 +p5821 +tp5822 +a(g900 +V +p5823 +tp5824 +a(g685 +g1009 +tp5825 +a(g341 +V881234762239 +p5826 +tp5827 +a(g685 +g1013 +tp5828 +a(g900 +V\u000a +p5829 +tp5830 +a(g8 +V0002500 +p5831 +tp5832 +a(g900 +g958 +tp5833 +a(g548 +V20 +p5834 +tp5835 +a(g900 +g958 +tp5836 +a(g548 +V22 +p5837 +tp5838 +a(g900 +g958 +tp5839 +a(g548 +V20 +p5840 +tp5841 +a(g900 +g958 +tp5842 +a(g548 +V20 +p5843 +tp5844 +a(g900 +g958 +tp5845 +a(g548 +V2f +p5846 +tp5847 +a(g900 +g958 +tp5848 +a(g548 +V2f +p5849 +tp5850 +a(g900 +g958 +tp5851 +a(g548 +V20 +p5852 +tp5853 +a(g900 +g958 +tp5854 +a(g548 +V36 +p5855 +tp5856 +a(g900 +g958 +tp5857 +a(g548 +V34 +p5858 +tp5859 +a(g900 +g958 +tp5860 +a(g548 +V20 +p5861 +tp5862 +a(g900 +g958 +tp5863 +a(g548 +V20 +p5864 +tp5865 +a(g900 +g958 +tp5866 +a(g548 +V20 +p5867 +tp5868 +a(g900 +g958 +tp5869 +a(g548 +V0a +p5870 +tp5871 +a(g900 +g958 +tp5872 +a(g548 +V20 +p5873 +tp5874 +a(g900 +g958 +tp5875 +a(g548 +V20 +p5876 +tp5877 +a(g900 +g958 +tp5878 +a(g548 +V20 +p5879 +tp5880 +a(g900 +V +p5881 +tp5882 +a(g685 +g1009 +tp5883 +a(g341 +V " // 64 . +p5884 +tp5885 +a(g685 +g1013 +tp5886 +a(g900 +V\u000a +p5887 +tp5888 +a(g8 +V0002520 +p5889 +tp5890 +a(g900 +g958 +tp5891 +a(g548 +V20 +p5892 +tp5893 +a(g900 +g958 +tp5894 +a(g548 +V22 +p5895 +tp5896 +a(g900 +g958 +tp5897 +a(g548 +V20 +p5898 +tp5899 +a(g900 +g958 +tp5900 +a(g548 +V35 +p5901 +tp5902 +a(g900 +g958 +tp5903 +a(g548 +V37 +p5904 +tp5905 +a(g900 +g958 +tp5906 +a(g548 +V36 +p5907 +tp5908 +a(g900 +g958 +tp5909 +a(g548 +V33 +p5910 +tp5911 +a(g900 +g958 +tp5912 +a(g548 +V30 +p5913 +tp5914 +a(g900 +g958 +tp5915 +a(g548 +V34 +p5916 +tp5917 +a(g900 +g958 +tp5918 +a(g548 +V39 +p5919 +tp5920 +a(g900 +g958 +tp5921 +a(g548 +V39 +p5922 +tp5923 +a(g900 +g958 +tp5924 +a(g548 +V36 +p5925 +tp5926 +a(g900 +g958 +tp5927 +a(g548 +V31 +p5928 +tp5929 +a(g900 +g958 +tp5930 +a(g548 +V37 +p5931 +tp5932 +a(g900 +g958 +tp5933 +a(g548 +V38 +p5934 +tp5935 +a(g900 +g958 +tp5936 +a(g548 +V35 +p5937 +tp5938 +a(g900 +V +p5939 +tp5940 +a(g685 +g1009 +tp5941 +a(g341 +V " 5763049961785 +p5942 +tp5943 +a(g685 +g1013 +tp5944 +a(g900 +V\u000a +p5945 +tp5946 +a(g8 +V0002540 +p5947 +tp5948 +a(g900 +g958 +tp5949 +a(g548 +V31 +p5950 +tp5951 +a(g900 +g958 +tp5952 +a(g548 +V38 +p5953 +tp5954 +a(g900 +g958 +tp5955 +a(g548 +V38 +p5956 +tp5957 +a(g900 +g958 +tp5958 +a(g548 +V31 +p5959 +tp5960 +a(g900 +g958 +tp5961 +a(g548 +V32 +p5962 +tp5963 +a(g900 +g958 +tp5964 +a(g548 +V33 +p5965 +tp5966 +a(g900 +g958 +tp5967 +a(g548 +V34 +p5968 +tp5969 +a(g900 +g958 +tp5970 +a(g548 +V37 +p5971 +tp5972 +a(g900 +g958 +tp5973 +a(g548 +V36 +p5974 +tp5975 +a(g900 +g958 +tp5976 +a(g548 +V32 +p5977 +tp5978 +a(g900 +g958 +tp5979 +a(g548 +V32 +p5980 +tp5981 +a(g900 +g958 +tp5982 +a(g548 +V33 +p5983 +tp5984 +a(g900 +g958 +tp5985 +a(g548 +V39 +p5986 +tp5987 +a(g900 +g958 +tp5988 +a(g548 +V20 +p5989 +tp5990 +a(g900 +g958 +tp5991 +a(g548 +V20 +p5992 +tp5993 +a(g900 +g958 +tp5994 +a(g548 +V20 +p5995 +tp5996 +a(g900 +V +p5997 +tp5998 +a(g685 +g1009 +tp5999 +a(g341 +V1881234762239 +p6000 +tp6001 +a(g685 +g1013 +tp6002 +a(g900 +V\u000a +p6003 +tp6004 +a(g8 +V0002560 +p6005 +tp6006 +a(g900 +g958 +tp6007 +a(g548 +V20 +p6008 +tp6009 +a(g900 +g958 +tp6010 +a(g548 +V20 +p6011 +tp6012 +a(g900 +g958 +tp6013 +a(g548 +V22 +p6014 +tp6015 +a(g900 +g958 +tp6016 +a(g548 +V0a +p6017 +tp6018 +a(g900 +g958 +tp6019 +a(g548 +V20 +p6020 +tp6021 +a(g900 +g958 +tp6022 +a(g548 +V20 +p6023 +tp6024 +a(g900 +g958 +tp6025 +a(g548 +V20 +p6026 +tp6027 +a(g900 +g958 +tp6028 +a(g548 +V20 +p6029 +tp6030 +a(g900 +g958 +tp6031 +a(g548 +V22 +p6032 +tp6033 +a(g900 +g958 +tp6034 +a(g548 +V20 +p6035 +tp6036 +a(g900 +g958 +tp6037 +a(g548 +V20 +p6038 +tp6039 +a(g900 +g958 +tp6040 +a(g548 +V20 +p6041 +tp6042 +a(g900 +g958 +tp6043 +a(g548 +V20 +p6044 +tp6045 +a(g900 +g958 +tp6046 +a(g548 +V20 +p6047 +tp6048 +a(g900 +g958 +tp6049 +a(g548 +V20 +p6050 +tp6051 +a(g900 +g958 +tp6052 +a(g548 +V20 +p6053 +tp6054 +a(g900 +V +p6055 +tp6056 +a(g685 +g1009 +tp6057 +a(g341 +V ". " +p6058 +tp6059 +a(g685 +g1013 +tp6060 +a(g900 +V\u000a +p6061 +tp6062 +a(g8 +V0002600 +p6063 +tp6064 +a(g900 +g958 +tp6065 +a(g548 +V20 +p6066 +tp6067 +a(g900 +g958 +tp6068 +a(g548 +V20 +p6069 +tp6070 +a(g900 +g958 +tp6071 +a(g548 +V20 +p6072 +tp6073 +a(g900 +g958 +tp6074 +a(g548 +V20 +p6075 +tp6076 +a(g900 +g958 +tp6077 +a(g548 +V20 +p6078 +tp6079 +a(g900 +g958 +tp6080 +a(g548 +V20 +p6081 +tp6082 +a(g900 +g958 +tp6083 +a(g548 +V20 +p6084 +tp6085 +a(g900 +g958 +tp6086 +a(g548 +V20 +p6087 +tp6088 +a(g900 +g958 +tp6089 +a(g548 +V20 +p6090 +tp6091 +a(g900 +g958 +tp6092 +a(g548 +V20 +p6093 +tp6094 +a(g900 +g958 +tp6095 +a(g548 +V20 +p6096 +tp6097 +a(g900 +g958 +tp6098 +a(g548 +V20 +p6099 +tp6100 +a(g900 +g958 +tp6101 +a(g548 +V20 +p6102 +tp6103 +a(g900 +g958 +tp6104 +a(g548 +V20 +p6105 +tp6106 +a(g900 +g958 +tp6107 +a(g548 +V20 +p6108 +tp6109 +a(g900 +g958 +tp6110 +a(g548 +V20 +p6111 +tp6112 +a(g900 +V +p6113 +tp6114 +a(g685 +g1009 +tp6115 +a(g341 +V +p6116 +tp6117 +a(g685 +g1013 +tp6118 +a(g900 +V\u000a +p6119 +tp6120 +a(g8 +V0002620 +p6121 +tp6122 +a(g900 +g958 +tp6123 +a(g548 +V20 +p6124 +tp6125 +a(g900 +g958 +tp6126 +a(g548 +V20 +p6127 +tp6128 +a(g900 +g958 +tp6129 +a(g548 +V20 +p6130 +tp6131 +a(g900 +g958 +tp6132 +a(g548 +V20 +p6133 +tp6134 +a(g900 +g958 +tp6135 +a(g548 +V20 +p6136 +tp6137 +a(g900 +g958 +tp6138 +a(g548 +V20 +p6139 +tp6140 +a(g900 +g958 +tp6141 +a(g548 +V20 +p6142 +tp6143 +a(g900 +g958 +tp6144 +a(g548 +V20 +p6145 +tp6146 +a(g900 +g958 +tp6147 +a(g548 +V20 +p6148 +tp6149 +a(g900 +g958 +tp6150 +a(g548 +V22 +p6151 +tp6152 +a(g900 +g958 +tp6153 +a(g548 +V0a +p6154 +tp6155 +a(g900 +g958 +tp6156 +a(g548 +V20 +p6157 +tp6158 +a(g900 +g958 +tp6159 +a(g548 +V20 +p6160 +tp6161 +a(g900 +g958 +tp6162 +a(g548 +V20 +p6163 +tp6164 +a(g900 +g958 +tp6165 +a(g548 +V20 +p6166 +tp6167 +a(g900 +g958 +tp6168 +a(g548 +V22 +p6169 +tp6170 +a(g900 +V +p6171 +tp6172 +a(g685 +g1009 +tp6173 +a(g341 +V ". " +p6174 +tp6175 +a(g685 +g1013 +tp6176 +a(g900 +V\u000a +p6177 +tp6178 +a(g8 +V0002640 +p6179 +tp6180 +a(g900 +g958 +tp6181 +a(g548 +V20 +p6182 +tp6183 +a(g900 +g958 +tp6184 +a(g548 +V20 +p6185 +tp6186 +a(g900 +g958 +tp6187 +a(g548 +V20 +p6188 +tp6189 +a(g900 +g958 +tp6190 +a(g548 +V20 +p6191 +tp6192 +a(g900 +g958 +tp6193 +a(g548 +V20 +p6194 +tp6195 +a(g900 +g958 +tp6196 +a(g548 +V20 +p6197 +tp6198 +a(g900 +g958 +tp6199 +a(g548 +V20 +p6200 +tp6201 +a(g900 +g958 +tp6202 +a(g548 +V20 +p6203 +tp6204 +a(g900 +g958 +tp6205 +a(g548 +V20 +p6206 +tp6207 +a(g900 +g958 +tp6208 +a(g548 +V20 +p6209 +tp6210 +a(g900 +g958 +tp6211 +a(g548 +V20 +p6212 +tp6213 +a(g900 +g958 +tp6214 +a(g548 +V20 +p6215 +tp6216 +a(g900 +g958 +tp6217 +a(g548 +V20 +p6218 +tp6219 +a(g900 +g958 +tp6220 +a(g548 +V20 +p6221 +tp6222 +a(g900 +g958 +tp6223 +a(g548 +V20 +p6224 +tp6225 +a(g900 +g958 +tp6226 +a(g548 +V20 +p6227 +tp6228 +a(g900 +V +p6229 +tp6230 +a(g685 +g1009 +tp6231 +a(g341 +V +p6232 +tp6233 +a(g685 +g1013 +tp6234 +a(g900 +V\u000a +p6235 +tp6236 +a(g685 +V* +p6237 +tp6238 +a(g900 +V\u000a +p6239 +tp6240 +a(g8 +V0002700 +p6241 +tp6242 +a(g900 +g958 +tp6243 +a(g548 +V22 +p6244 +tp6245 +a(g900 +g958 +tp6246 +a(g548 +V0a +p6247 +tp6248 +a(g900 +g958 +tp6249 +a(g548 +V20 +p6250 +tp6251 +a(g900 +g958 +tp6252 +a(g548 +V20 +p6253 +tp6254 +a(g900 +g958 +tp6255 +a(g548 +V20 +p6256 +tp6257 +a(g900 +g958 +tp6258 +a(g548 +V20 +p6259 +tp6260 +a(g900 +g958 +tp6261 +a(g548 +V22 +p6262 +tp6263 +a(g900 +g958 +tp6264 +a(g548 +V20 +p6265 +tp6266 +a(g900 +g958 +tp6267 +a(g548 +V20 +p6268 +tp6269 +a(g900 +g958 +tp6270 +a(g548 +V20 +p6271 +tp6272 +a(g900 +g958 +tp6273 +a(g548 +V20 +p6274 +tp6275 +a(g900 +g958 +tp6276 +a(g548 +V20 +p6277 +tp6278 +a(g900 +g958 +tp6279 +a(g548 +V20 +p6280 +tp6281 +a(g900 +g958 +tp6282 +a(g548 +V20 +p6283 +tp6284 +a(g900 +g958 +tp6285 +a(g548 +V20 +p6286 +tp6287 +a(g900 +g958 +tp6288 +a(g548 +V20 +p6289 +tp6290 +a(g900 +V +p6291 +tp6292 +a(g685 +g1009 +tp6293 +a(g341 +V". " +p6294 +tp6295 +a(g685 +g1013 +tp6296 +a(g900 +V\u000a +p6297 +tp6298 +a(g8 +V0002720 +p6299 +tp6300 +a(g900 +g958 +tp6301 +a(g548 +V20 +p6302 +tp6303 +a(g900 +g958 +tp6304 +a(g548 +V20 +p6305 +tp6306 +a(g900 +g958 +tp6307 +a(g548 +V20 +p6308 +tp6309 +a(g900 +g958 +tp6310 +a(g548 +V20 +p6311 +tp6312 +a(g900 +g958 +tp6313 +a(g548 +V20 +p6314 +tp6315 +a(g900 +g958 +tp6316 +a(g548 +V20 +p6317 +tp6318 +a(g900 +g958 +tp6319 +a(g548 +V20 +p6320 +tp6321 +a(g900 +g958 +tp6322 +a(g548 +V20 +p6323 +tp6324 +a(g900 +g958 +tp6325 +a(g548 +V20 +p6326 +tp6327 +a(g900 +g958 +tp6328 +a(g548 +V20 +p6329 +tp6330 +a(g900 +g958 +tp6331 +a(g548 +V20 +p6332 +tp6333 +a(g900 +g958 +tp6334 +a(g548 +V20 +p6335 +tp6336 +a(g900 +g958 +tp6337 +a(g548 +V20 +p6338 +tp6339 +a(g900 +g958 +tp6340 +a(g548 +V20 +p6341 +tp6342 +a(g900 +g958 +tp6343 +a(g548 +V20 +p6344 +tp6345 +a(g900 +g958 +tp6346 +a(g548 +V20 +p6347 +tp6348 +a(g900 +V +p6349 +tp6350 +a(g685 +g1009 +tp6351 +a(g341 +V +p6352 +tp6353 +a(g685 +g1013 +tp6354 +a(g900 +V\u000a +p6355 +tp6356 +a(g8 +V0002740 +p6357 +tp6358 +a(g900 +g958 +tp6359 +a(g548 +V20 +p6360 +tp6361 +a(g900 +g958 +tp6362 +a(g548 +V20 +p6363 +tp6364 +a(g900 +g958 +tp6365 +a(g548 +V20 +p6366 +tp6367 +a(g900 +g958 +tp6368 +a(g548 +V20 +p6369 +tp6370 +a(g900 +g958 +tp6371 +a(g548 +V20 +p6372 +tp6373 +a(g900 +g958 +tp6374 +a(g548 +V20 +p6375 +tp6376 +a(g900 +g958 +tp6377 +a(g548 +V20 +p6378 +tp6379 +a(g900 +g958 +tp6380 +a(g548 +V22 +p6381 +tp6382 +a(g900 +g958 +tp6383 +a(g548 +V20 +p6384 +tp6385 +a(g900 +g958 +tp6386 +a(g548 +V20 +p6387 +tp6388 +a(g900 +g958 +tp6389 +a(g548 +V20 +p6390 +tp6391 +a(g900 +g958 +tp6392 +a(g548 +V20 +p6393 +tp6394 +a(g900 +g958 +tp6395 +a(g548 +V0a +p6396 +tp6397 +a(g900 +g958 +tp6398 +a(g548 +V20 +p6399 +tp6400 +a(g900 +g958 +tp6401 +a(g548 +V20 +p6402 +tp6403 +a(g900 +g958 +tp6404 +a(g548 +V20 +p6405 +tp6406 +a(g900 +V +p6407 +tp6408 +a(g685 +g1009 +tp6409 +a(g341 +V " . +p6410 +tp6411 +a(g685 +g1013 +tp6412 +a(g900 +V\u000a +p6413 +tp6414 +a(g8 +V0002760 +p6415 +tp6416 +a(g900 +g958 +tp6417 +a(g548 +V20 +p6418 +tp6419 +a(g900 +g958 +tp6420 +a(g548 +V22 +p6421 +tp6422 +a(g900 +g958 +tp6423 +a(g548 +V20 +p6424 +tp6425 +a(g900 +g958 +tp6426 +a(g548 +V20 +p6427 +tp6428 +a(g900 +g958 +tp6429 +a(g548 +V20 +p6430 +tp6431 +a(g900 +g958 +tp6432 +a(g548 +V20 +p6433 +tp6434 +a(g900 +g958 +tp6435 +a(g548 +V20 +p6436 +tp6437 +a(g900 +g958 +tp6438 +a(g548 +V20 +p6439 +tp6440 +a(g900 +g958 +tp6441 +a(g548 +V20 +p6442 +tp6443 +a(g900 +g958 +tp6444 +a(g548 +V20 +p6445 +tp6446 +a(g900 +g958 +tp6447 +a(g548 +V20 +p6448 +tp6449 +a(g900 +g958 +tp6450 +a(g548 +V20 +p6451 +tp6452 +a(g900 +g958 +tp6453 +a(g548 +V20 +p6454 +tp6455 +a(g900 +g958 +tp6456 +a(g548 +V20 +p6457 +tp6458 +a(g900 +g958 +tp6459 +a(g548 +V20 +p6460 +tp6461 +a(g900 +g958 +tp6462 +a(g548 +V20 +p6463 +tp6464 +a(g900 +V +p6465 +tp6466 +a(g685 +g1009 +tp6467 +a(g341 +V " +p6468 +tp6469 +a(g685 +g1013 +tp6470 +a(g900 +V\u000a +p6471 +tp6472 +a(g8 +V0003000 +p6473 +tp6474 +a(g900 +g958 +tp6475 +a(g548 +V20 +p6476 +tp6477 +a(g900 +g958 +tp6478 +a(g548 +V20 +p6479 +tp6480 +a(g900 +g958 +tp6481 +a(g548 +V20 +p6482 +tp6483 +a(g900 +g958 +tp6484 +a(g548 +V20 +p6485 +tp6486 +a(g900 +g958 +tp6487 +a(g548 +V20 +p6488 +tp6489 +a(g900 +g958 +tp6490 +a(g548 +V20 +p6491 +tp6492 +a(g900 +g958 +tp6493 +a(g548 +V20 +p6494 +tp6495 +a(g900 +g958 +tp6496 +a(g548 +V20 +p6497 +tp6498 +a(g900 +g958 +tp6499 +a(g548 +V20 +p6500 +tp6501 +a(g900 +g958 +tp6502 +a(g548 +V20 +p6503 +tp6504 +a(g900 +g958 +tp6505 +a(g548 +V20 +p6506 +tp6507 +a(g900 +g958 +tp6508 +a(g548 +V20 +p6509 +tp6510 +a(g900 +g958 +tp6511 +a(g548 +V20 +p6512 +tp6513 +a(g900 +g958 +tp6514 +a(g548 +V20 +p6515 +tp6516 +a(g900 +g958 +tp6517 +a(g548 +V20 +p6518 +tp6519 +a(g900 +g958 +tp6520 +a(g548 +V20 +p6521 +tp6522 +a(g900 +V +p6523 +tp6524 +a(g685 +g1009 +tp6525 +a(g341 +V +p6526 +tp6527 +a(g685 +g1013 +tp6528 +a(g900 +V\u000a +p6529 +tp6530 +a(g8 +V0003020 +p6531 +tp6532 +a(g900 +g958 +tp6533 +a(g548 +V20 +p6534 +tp6535 +a(g900 +g958 +tp6536 +a(g548 +V20 +p6537 +tp6538 +a(g900 +g958 +tp6539 +a(g548 +V22 +p6540 +tp6541 +a(g900 +g958 +tp6542 +a(g548 +V3b +p6543 +tp6544 +a(g900 +g958 +tp6545 +a(g548 +V0a +p6546 +tp6547 +a(g900 +g958 +tp6548 +a(g548 +V20 +p6549 +tp6550 +a(g900 +g958 +tp6551 +a(g548 +V20 +p6552 +tp6553 +a(g900 +g958 +tp6554 +a(g548 +V20 +p6555 +tp6556 +a(g900 +g958 +tp6557 +a(g548 +V20 +p6558 +tp6559 +a(g900 +g958 +tp6560 +a(g548 +V73 +p6561 +tp6562 +a(g900 +g958 +tp6563 +a(g548 +V74 +p6564 +tp6565 +a(g900 +g958 +tp6566 +a(g548 +V72 +p6567 +tp6568 +a(g900 +g958 +tp6569 +a(g548 +V69 +p6570 +tp6571 +a(g900 +g958 +tp6572 +a(g548 +V6e +p6573 +tp6574 +a(g900 +g958 +tp6575 +a(g548 +V67 +p6576 +tp6577 +a(g900 +g958 +tp6578 +a(g548 +V20 +p6579 +tp6580 +a(g900 +V +p6581 +tp6582 +a(g685 +g1009 +tp6583 +a(g341 +V ";. string +p6584 +tp6585 +a(g685 +g1013 +tp6586 +a(g900 +V\u000a +p6587 +tp6588 +a(g8 +V0003040 +p6589 +tp6590 +a(g900 +g958 +tp6591 +a(g548 +V72 +p6592 +tp6593 +a(g900 +g958 +tp6594 +a(g548 +V65 +p6595 +tp6596 +a(g900 +g958 +tp6597 +a(g548 +V74 +p6598 +tp6599 +a(g900 +g958 +tp6600 +a(g548 +V3b +p6601 +tp6602 +a(g900 +g958 +tp6603 +a(g548 +V0a +p6604 +tp6605 +a(g900 +g958 +tp6606 +a(g548 +V20 +p6607 +tp6608 +a(g900 +g958 +tp6609 +a(g548 +V20 +p6610 +tp6611 +a(g900 +g958 +tp6612 +a(g548 +V20 +p6613 +tp6614 +a(g900 +g958 +tp6615 +a(g548 +V20 +p6616 +tp6617 +a(g900 +g958 +tp6618 +a(g548 +V66 +p6619 +tp6620 +a(g900 +g958 +tp6621 +a(g548 +V6f +p6622 +tp6623 +a(g900 +g958 +tp6624 +a(g548 +V72 +p6625 +tp6626 +a(g900 +g958 +tp6627 +a(g548 +V65 +p6628 +tp6629 +a(g900 +g958 +tp6630 +a(g548 +V61 +p6631 +tp6632 +a(g900 +g958 +tp6633 +a(g548 +V63 +p6634 +tp6635 +a(g900 +g958 +tp6636 +a(g548 +V68 +p6637 +tp6638 +a(g900 +V +p6639 +tp6640 +a(g685 +g1009 +tp6641 +a(g341 +Vret;. foreach +p6642 +tp6643 +a(g685 +g1013 +tp6644 +a(g900 +V\u000a +p6645 +tp6646 +a(g8 +V0003060 +p6647 +tp6648 +a(g900 +g958 +tp6649 +a(g548 +V28 +p6650 +tp6651 +a(g900 +g958 +tp6652 +a(g548 +V63 +p6653 +tp6654 +a(g900 +g958 +tp6655 +a(g548 +V3b +p6656 +tp6657 +a(g900 +g958 +tp6658 +a(g548 +V20 +p6659 +tp6660 +a(g900 +g958 +tp6661 +a(g548 +V63 +p6662 +tp6663 +a(g900 +g958 +tp6664 +a(g548 +V61 +p6665 +tp6666 +a(g900 +g958 +tp6667 +a(g548 +V73 +p6668 +tp6669 +a(g900 +g958 +tp6670 +a(g548 +V74 +p6671 +tp6672 +a(g900 +g958 +tp6673 +a(g548 +V28 +p6674 +tp6675 +a(g900 +g958 +tp6676 +a(g548 +V75 +p6677 +tp6678 +a(g900 +g958 +tp6679 +a(g548 +V62 +p6680 +tp6681 +a(g900 +g958 +tp6682 +a(g548 +V79 +p6683 +tp6684 +a(g900 +g958 +tp6685 +a(g548 +V74 +p6686 +tp6687 +a(g900 +g958 +tp6688 +a(g548 +V65 +p6689 +tp6690 +a(g900 +g958 +tp6691 +a(g548 +V5b +p6692 +tp6693 +a(g900 +g958 +tp6694 +a(g548 +V5d +p6695 +tp6696 +a(g900 +V +p6697 +tp6698 +a(g685 +g1009 +tp6699 +a(g341 +V(c; cast(ubyte[] +p6700 +tp6701 +a(g685 +g1013 +tp6702 +a(g900 +V\u000a +p6703 +tp6704 +a(g8 +V0003100 +p6705 +tp6706 +a(g900 +g958 +tp6707 +a(g548 +V29 +p6708 +tp6709 +a(g900 +g958 +tp6710 +a(g548 +V77 +p6711 +tp6712 +a(g900 +g958 +tp6713 +a(g548 +V6f +p6714 +tp6715 +a(g900 +g958 +tp6716 +a(g548 +V72 +p6717 +tp6718 +a(g900 +g958 +tp6719 +a(g548 +V64 +p6720 +tp6721 +a(g900 +g958 +tp6722 +a(g548 +V29 +p6723 +tp6724 +a(g900 +g958 +tp6725 +a(g548 +V0a +p6726 +tp6727 +a(g900 +g958 +tp6728 +a(g548 +V20 +p6729 +tp6730 +a(g900 +g958 +tp6731 +a(g548 +V20 +p6732 +tp6733 +a(g900 +g958 +tp6734 +a(g548 +V20 +p6735 +tp6736 +a(g900 +g958 +tp6737 +a(g548 +V20 +p6738 +tp6739 +a(g900 +g958 +tp6740 +a(g548 +V20 +p6741 +tp6742 +a(g900 +g958 +tp6743 +a(g548 +V20 +p6744 +tp6745 +a(g900 +g958 +tp6746 +a(g548 +V20 +p6747 +tp6748 +a(g900 +g958 +tp6749 +a(g548 +V20 +p6750 +tp6751 +a(g900 +g958 +tp6752 +a(g548 +V69 +p6753 +tp6754 +a(g900 +V +p6755 +tp6756 +a(g685 +g1009 +tp6757 +a(g341 +V)word). i +p6758 +tp6759 +a(g685 +g1013 +tp6760 +a(g900 +V\u000a +p6761 +tp6762 +a(g8 +V0003120 +p6763 +tp6764 +a(g900 +g958 +tp6765 +a(g548 +V66 +p6766 +tp6767 +a(g900 +g958 +tp6768 +a(g548 +V20 +p6769 +tp6770 +a(g900 +g958 +tp6771 +a(g548 +V28 +p6772 +tp6773 +a(g900 +g958 +tp6774 +a(g548 +V54 +p6775 +tp6776 +a(g900 +g958 +tp6777 +a(g548 +V52 +p6778 +tp6779 +a(g900 +g958 +tp6780 +a(g548 +V41 +p6781 +tp6782 +a(g900 +g958 +tp6783 +a(g548 +V4e +p6784 +tp6785 +a(g900 +g958 +tp6786 +a(g548 +V53 +p6787 +tp6788 +a(g900 +g958 +tp6789 +a(g548 +V4c +p6790 +tp6791 +a(g900 +g958 +tp6792 +a(g548 +V41 +p6793 +tp6794 +a(g900 +g958 +tp6795 +a(g548 +V54 +p6796 +tp6797 +a(g900 +g958 +tp6798 +a(g548 +V45 +p6799 +tp6800 +a(g900 +g958 +tp6801 +a(g548 +V5b +p6802 +tp6803 +a(g900 +g958 +tp6804 +a(g548 +V63 +p6805 +tp6806 +a(g900 +g958 +tp6807 +a(g548 +V5d +p6808 +tp6809 +a(g900 +g958 +tp6810 +a(g548 +V20 +p6811 +tp6812 +a(g900 +V +p6813 +tp6814 +a(g685 +g1009 +tp6815 +a(g341 +Vf (TRANSLATE[c] +p6816 +tp6817 +a(g685 +g1013 +tp6818 +a(g900 +V\u000a +p6819 +tp6820 +a(g8 +V0003140 +p6821 +tp6822 +a(g900 +g958 +tp6823 +a(g548 +V21 +p6824 +tp6825 +a(g900 +g958 +tp6826 +a(g548 +V3d +p6827 +tp6828 +a(g900 +g958 +tp6829 +a(g548 +V20 +p6830 +tp6831 +a(g900 +g958 +tp6832 +a(g548 +V27 +p6833 +tp6834 +a(g900 +g958 +tp6835 +a(g548 +V20 +p6836 +tp6837 +a(g900 +g958 +tp6838 +a(g548 +V27 +p6839 +tp6840 +a(g900 +g958 +tp6841 +a(g548 +V29 +p6842 +tp6843 +a(g900 +g958 +tp6844 +a(g548 +V0a +p6845 +tp6846 +a(g900 +g958 +tp6847 +a(g548 +V20 +p6848 +tp6849 +a(g900 +g958 +tp6850 +a(g548 +V20 +p6851 +tp6852 +a(g900 +g958 +tp6853 +a(g548 +V20 +p6854 +tp6855 +a(g900 +g958 +tp6856 +a(g548 +V20 +p6857 +tp6858 +a(g900 +g958 +tp6859 +a(g548 +V20 +p6860 +tp6861 +a(g900 +g958 +tp6862 +a(g548 +V20 +p6863 +tp6864 +a(g900 +g958 +tp6865 +a(g548 +V20 +p6866 +tp6867 +a(g900 +g958 +tp6868 +a(g548 +V20 +p6869 +tp6870 +a(g900 +V +p6871 +tp6872 +a(g685 +g1009 +tp6873 +a(g341 +V!= ' '). +p6874 +tp6875 +a(g685 +g1013 +tp6876 +a(g900 +V\u000a +p6877 +tp6878 +a(g8 +V0003160 +p6879 +tp6880 +a(g900 +g958 +tp6881 +a(g548 +V20 +p6882 +tp6883 +a(g900 +g958 +tp6884 +a(g548 +V20 +p6885 +tp6886 +a(g900 +g958 +tp6887 +a(g548 +V20 +p6888 +tp6889 +a(g900 +g958 +tp6890 +a(g548 +V20 +p6891 +tp6892 +a(g900 +g958 +tp6893 +a(g548 +V72 +p6894 +tp6895 +a(g900 +g958 +tp6896 +a(g548 +V65 +p6897 +tp6898 +a(g900 +g958 +tp6899 +a(g548 +V74 +p6900 +tp6901 +a(g900 +g958 +tp6902 +a(g548 +V20 +p6903 +tp6904 +a(g900 +g958 +tp6905 +a(g548 +V7e +p6906 +tp6907 +a(g900 +g958 +tp6908 +a(g548 +V3d +p6909 +tp6910 +a(g900 +g958 +tp6911 +a(g548 +V20 +p6912 +tp6913 +a(g900 +g958 +tp6914 +a(g548 +V54 +p6915 +tp6916 +a(g900 +g958 +tp6917 +a(g548 +V52 +p6918 +tp6919 +a(g900 +g958 +tp6920 +a(g548 +V41 +p6921 +tp6922 +a(g900 +g958 +tp6923 +a(g548 +V4e +p6924 +tp6925 +a(g900 +g958 +tp6926 +a(g548 +V53 +p6927 +tp6928 +a(g900 +V +p6929 +tp6930 +a(g685 +g1009 +tp6931 +a(g341 +V ret ~= TRANS +p6932 +tp6933 +a(g685 +g1013 +tp6934 +a(g900 +V\u000a +p6935 +tp6936 +a(g8 +V0003200 +p6937 +tp6938 +a(g900 +g958 +tp6939 +a(g548 +V4c +p6940 +tp6941 +a(g900 +g958 +tp6942 +a(g548 +V41 +p6943 +tp6944 +a(g900 +g958 +tp6945 +a(g548 +V54 +p6946 +tp6947 +a(g900 +g958 +tp6948 +a(g548 +V45 +p6949 +tp6950 +a(g900 +g958 +tp6951 +a(g548 +V5b +p6952 +tp6953 +a(g900 +g958 +tp6954 +a(g548 +V63 +p6955 +tp6956 +a(g900 +g958 +tp6957 +a(g548 +V5d +p6958 +tp6959 +a(g900 +g958 +tp6960 +a(g548 +V3b +p6961 +tp6962 +a(g900 +g958 +tp6963 +a(g548 +V0a +p6964 +tp6965 +a(g900 +g958 +tp6966 +a(g548 +V20 +p6967 +tp6968 +a(g900 +g958 +tp6969 +a(g548 +V20 +p6970 +tp6971 +a(g900 +g958 +tp6972 +a(g548 +V20 +p6973 +tp6974 +a(g900 +g958 +tp6975 +a(g548 +V20 +p6976 +tp6977 +a(g900 +g958 +tp6978 +a(g548 +V72 +p6979 +tp6980 +a(g900 +g958 +tp6981 +a(g548 +V65 +p6982 +tp6983 +a(g900 +g958 +tp6984 +a(g548 +V74 +p6985 +tp6986 +a(g900 +V +p6987 +tp6988 +a(g685 +g1009 +tp6989 +a(g341 +VLATE[c];. ret +p6990 +tp6991 +a(g685 +g1013 +tp6992 +a(g900 +V\u000a +p6993 +tp6994 +a(g8 +V0003220 +p6995 +tp6996 +a(g900 +g958 +tp6997 +a(g548 +V75 +p6998 +tp6999 +a(g900 +g958 +tp7000 +a(g548 +V72 +p7001 +tp7002 +a(g900 +g958 +tp7003 +a(g548 +V6e +p7004 +tp7005 +a(g900 +g958 +tp7006 +a(g548 +V20 +p7007 +tp7008 +a(g900 +g958 +tp7009 +a(g548 +V72 +p7010 +tp7011 +a(g900 +g958 +tp7012 +a(g548 +V65 +p7013 +tp7014 +a(g900 +g958 +tp7015 +a(g548 +V74 +p7016 +tp7017 +a(g900 +g958 +tp7018 +a(g548 +V3b +p7019 +tp7020 +a(g900 +g958 +tp7021 +a(g548 +V0a +p7022 +tp7023 +a(g900 +g958 +tp7024 +a(g548 +V7d +p7025 +tp7026 +a(g900 +g958 +tp7027 +a(g548 +V0a +p7028 +tp7029 +a(g900 +g958 +tp7030 +a(g548 +V0a +p7031 +tp7032 +a(g900 +g958 +tp7033 +a(g548 +V75 +p7034 +tp7035 +a(g900 +g958 +tp7036 +a(g548 +V6e +p7037 +tp7038 +a(g900 +g958 +tp7039 +a(g548 +V69 +p7040 +tp7041 +a(g900 +g958 +tp7042 +a(g548 +V74 +p7043 +tp7044 +a(g900 +V +p7045 +tp7046 +a(g685 +g1009 +tp7047 +a(g341 +Vurn ret;.}..unit +p7048 +tp7049 +a(g685 +g1013 +tp7050 +a(g900 +V\u000a +p7051 +tp7052 +a(g8 +V0003240 +p7053 +tp7054 +a(g900 +g958 +tp7055 +a(g548 +V74 +p7056 +tp7057 +a(g900 +g958 +tp7058 +a(g548 +V65 +p7059 +tp7060 +a(g900 +g958 +tp7061 +a(g548 +V73 +p7062 +tp7063 +a(g900 +g958 +tp7064 +a(g548 +V74 +p7065 +tp7066 +a(g900 +g958 +tp7067 +a(g548 +V20 +p7068 +tp7069 +a(g900 +g958 +tp7070 +a(g548 +V7b +p7071 +tp7072 +a(g900 +g958 +tp7073 +a(g548 +V0a +p7074 +tp7075 +a(g900 +g958 +tp7076 +a(g548 +V20 +p7077 +tp7078 +a(g900 +g958 +tp7079 +a(g548 +V2f +p7080 +tp7081 +a(g900 +g958 +tp7082 +a(g548 +V2f +p7083 +tp7084 +a(g900 +g958 +tp7085 +a(g548 +V20 +p7086 +tp7087 +a(g900 +g958 +tp7088 +a(g548 +V54 +p7089 +tp7090 +a(g900 +g958 +tp7091 +a(g548 +V65 +p7092 +tp7093 +a(g900 +g958 +tp7094 +a(g548 +V73 +p7095 +tp7096 +a(g900 +g958 +tp7097 +a(g548 +V74 +p7098 +tp7099 +a(g900 +g958 +tp7100 +a(g548 +V20 +p7101 +tp7102 +a(g900 +V +p7103 +tp7104 +a(g685 +g1009 +tp7105 +a(g341 +Vtest {. // Test +p7106 +tp7107 +a(g685 +g1013 +tp7108 +a(g900 +V\u000a +p7109 +tp7110 +a(g8 +V0003260 +p7111 +tp7112 +a(g900 +g958 +tp7113 +a(g548 +V77 +p7114 +tp7115 +a(g900 +g958 +tp7116 +a(g548 +V6f +p7117 +tp7118 +a(g900 +g958 +tp7119 +a(g548 +V72 +p7120 +tp7121 +a(g900 +g958 +tp7122 +a(g548 +V64 +p7123 +tp7124 +a(g900 +g958 +tp7125 +a(g548 +V54 +p7126 +tp7127 +a(g900 +g958 +tp7128 +a(g548 +V6f +p7129 +tp7130 +a(g900 +g958 +tp7131 +a(g548 +V4e +p7132 +tp7133 +a(g900 +g958 +tp7134 +a(g548 +V75 +p7135 +tp7136 +a(g900 +g958 +tp7137 +a(g548 +V6d +p7138 +tp7139 +a(g900 +g958 +tp7140 +a(g548 +V20 +p7141 +tp7142 +a(g900 +g958 +tp7143 +a(g548 +V75 +p7144 +tp7145 +a(g900 +g958 +tp7146 +a(g548 +V73 +p7147 +tp7148 +a(g900 +g958 +tp7149 +a(g548 +V69 +p7150 +tp7151 +a(g900 +g958 +tp7152 +a(g548 +V6e +p7153 +tp7154 +a(g900 +g958 +tp7155 +a(g548 +V67 +p7156 +tp7157 +a(g900 +g958 +tp7158 +a(g548 +V20 +p7159 +tp7160 +a(g900 +V +p7161 +tp7162 +a(g685 +g1009 +tp7163 +a(g341 +VwordToNum using +p7164 +tp7165 +a(g685 +g1013 +tp7166 +a(g900 +V\u000a +p7167 +tp7168 +a(g8 +V0003300 +p7169 +tp7170 +a(g900 +g958 +tp7171 +a(g548 +V74 +p7172 +tp7173 +a(g900 +g958 +tp7174 +a(g548 +V68 +p7175 +tp7176 +a(g900 +g958 +tp7177 +a(g548 +V65 +p7178 +tp7179 +a(g900 +g958 +tp7180 +a(g548 +V20 +p7181 +tp7182 +a(g900 +g958 +tp7183 +a(g548 +V74 +p7184 +tp7185 +a(g900 +g958 +tp7186 +a(g548 +V61 +p7187 +tp7188 +a(g900 +g958 +tp7189 +a(g548 +V62 +p7190 +tp7191 +a(g900 +g958 +tp7192 +a(g548 +V6c +p7193 +tp7194 +a(g900 +g958 +tp7195 +a(g548 +V65 +p7196 +tp7197 +a(g900 +g958 +tp7198 +a(g548 +V20 +p7199 +tp7200 +a(g900 +g958 +tp7201 +a(g548 +V66 +p7202 +tp7203 +a(g900 +g958 +tp7204 +a(g548 +V72 +p7205 +tp7206 +a(g900 +g958 +tp7207 +a(g548 +V6f +p7208 +tp7209 +a(g900 +g958 +tp7210 +a(g548 +V6d +p7211 +tp7212 +a(g900 +g958 +tp7213 +a(g548 +V20 +p7214 +tp7215 +a(g900 +g958 +tp7216 +a(g548 +V74 +p7217 +tp7218 +a(g900 +V +p7219 +tp7220 +a(g685 +g1009 +tp7221 +a(g341 +Vthe table from t +p7222 +tp7223 +a(g685 +g1013 +tp7224 +a(g900 +V\u000a +p7225 +tp7226 +a(g8 +V0003320 +p7227 +tp7228 +a(g900 +g958 +tp7229 +a(g548 +V68 +p7230 +tp7231 +a(g900 +g958 +tp7232 +a(g548 +V65 +p7233 +tp7234 +a(g900 +g958 +tp7235 +a(g548 +V20 +p7236 +tp7237 +a(g900 +g958 +tp7238 +a(g548 +V74 +p7239 +tp7240 +a(g900 +g958 +tp7241 +a(g548 +V61 +p7242 +tp7243 +a(g900 +g958 +tp7244 +a(g548 +V73 +p7245 +tp7246 +a(g900 +g958 +tp7247 +a(g548 +V6b +p7248 +tp7249 +a(g900 +g958 +tp7250 +a(g548 +V20 +p7251 +tp7252 +a(g900 +g958 +tp7253 +a(g548 +V64 +p7254 +tp7255 +a(g900 +g958 +tp7256 +a(g548 +V65 +p7257 +tp7258 +a(g900 +g958 +tp7259 +a(g548 +V73 +p7260 +tp7261 +a(g900 +g958 +tp7262 +a(g548 +V63 +p7263 +tp7264 +a(g900 +g958 +tp7265 +a(g548 +V72 +p7266 +tp7267 +a(g900 +g958 +tp7268 +a(g548 +V69 +p7269 +tp7270 +a(g900 +g958 +tp7271 +a(g548 +V70 +p7272 +tp7273 +a(g900 +g958 +tp7274 +a(g548 +V74 +p7275 +tp7276 +a(g900 +V +p7277 +tp7278 +a(g685 +g1009 +tp7279 +a(g341 +Vhe task descript +p7280 +tp7281 +a(g685 +g1013 +tp7282 +a(g900 +V\u000a +p7283 +tp7284 +a(g8 +V0003340 +p7285 +tp7286 +a(g900 +g958 +tp7287 +a(g548 +V69 +p7288 +tp7289 +a(g900 +g958 +tp7290 +a(g548 +V6f +p7291 +tp7292 +a(g900 +g958 +tp7293 +a(g548 +V6e +p7294 +tp7295 +a(g900 +g958 +tp7296 +a(g548 +V2e +p7297 +tp7298 +a(g900 +g958 +tp7299 +a(g548 +V0a +p7300 +tp7301 +a(g900 +g958 +tp7302 +a(g548 +V20 +p7303 +tp7304 +a(g900 +g958 +tp7305 +a(g548 +V61 +p7306 +tp7307 +a(g900 +g958 +tp7308 +a(g548 +V73 +p7309 +tp7310 +a(g900 +g958 +tp7311 +a(g548 +V73 +p7312 +tp7313 +a(g900 +g958 +tp7314 +a(g548 +V65 +p7315 +tp7316 +a(g900 +g958 +tp7317 +a(g548 +V72 +p7318 +tp7319 +a(g900 +g958 +tp7320 +a(g548 +V74 +p7321 +tp7322 +a(g900 +g958 +tp7323 +a(g548 +V28 +p7324 +tp7325 +a(g900 +g958 +tp7326 +a(g548 +V20 +p7327 +tp7328 +a(g900 +g958 +tp7329 +a(g548 +V22 +p7330 +tp7331 +a(g900 +g958 +tp7332 +a(g548 +V30 +p7333 +tp7334 +a(g900 +V +p7335 +tp7336 +a(g685 +g1009 +tp7337 +a(g341 +Vion.. assert( "0 +p7338 +tp7339 +a(g685 +g1013 +tp7340 +a(g900 +V\u000a +p7341 +tp7342 +a(g8 +V0003360 +p7343 +tp7344 +a(g900 +g958 +tp7345 +a(g548 +V31 +p7346 +tp7347 +a(g900 +g958 +tp7348 +a(g548 +V31 +p7349 +tp7350 +a(g900 +g958 +tp7351 +a(g548 +V31 +p7352 +tp7353 +a(g900 +g958 +tp7354 +a(g548 +V32 +p7355 +tp7356 +a(g900 +g958 +tp7357 +a(g548 +V32 +p7358 +tp7359 +a(g900 +g958 +tp7360 +a(g548 +V32 +p7361 +tp7362 +a(g900 +g958 +tp7363 +a(g548 +V33 +p7364 +tp7365 +a(g900 +g958 +tp7366 +a(g548 +V33 +p7367 +tp7368 +a(g900 +g958 +tp7369 +a(g548 +V33 +p7370 +tp7371 +a(g900 +g958 +tp7372 +a(g548 +V34 +p7373 +tp7374 +a(g900 +g958 +tp7375 +a(g548 +V34 +p7376 +tp7377 +a(g900 +g958 +tp7378 +a(g548 +V35 +p7379 +tp7380 +a(g900 +g958 +tp7381 +a(g548 +V35 +p7382 +tp7383 +a(g900 +g958 +tp7384 +a(g548 +V36 +p7385 +tp7386 +a(g900 +g958 +tp7387 +a(g548 +V36 +p7388 +tp7389 +a(g900 +g958 +tp7390 +a(g548 +V36 +p7391 +tp7392 +a(g900 +V +p7393 +tp7394 +a(g685 +g1009 +tp7395 +a(g341 +V1112223334455666 +p7396 +tp7397 +a(g685 +g1013 +tp7398 +a(g900 +V\u000a +p7399 +tp7400 +a(g8 +V0003400 +p7401 +tp7402 +a(g900 +g958 +tp7403 +a(g548 +V37 +p7404 +tp7405 +a(g900 +g958 +tp7406 +a(g548 +V37 +p7407 +tp7408 +a(g900 +g958 +tp7409 +a(g548 +V37 +p7410 +tp7411 +a(g900 +g958 +tp7412 +a(g548 +V38 +p7413 +tp7414 +a(g900 +g958 +tp7415 +a(g548 +V38 +p7416 +tp7417 +a(g900 +g958 +tp7418 +a(g548 +V38 +p7419 +tp7420 +a(g900 +g958 +tp7421 +a(g548 +V39 +p7422 +tp7423 +a(g900 +g958 +tp7424 +a(g548 +V39 +p7425 +tp7426 +a(g900 +g958 +tp7427 +a(g548 +V39 +p7428 +tp7429 +a(g900 +g958 +tp7430 +a(g548 +V22 +p7431 +tp7432 +a(g900 +g958 +tp7433 +a(g548 +V20 +p7434 +tp7435 +a(g900 +g958 +tp7436 +a(g548 +V3d +p7437 +tp7438 +a(g900 +g958 +tp7439 +a(g548 +V3d +p7440 +tp7441 +a(g900 +g958 +tp7442 +a(g548 +V0a +p7443 +tp7444 +a(g900 +g958 +tp7445 +a(g548 +V20 +p7446 +tp7447 +a(g900 +g958 +tp7448 +a(g548 +V20 +p7449 +tp7450 +a(g900 +V +p7451 +tp7452 +a(g685 +g1009 +tp7453 +a(g341 +V777888999" ==. +p7454 +tp7455 +a(g685 +g1013 +tp7456 +a(g900 +V\u000a +p7457 +tp7458 +a(g8 +V0003420 +p7459 +tp7460 +a(g900 +g958 +tp7461 +a(g548 +V20 +p7462 +tp7463 +a(g900 +g958 +tp7464 +a(g548 +V77 +p7465 +tp7466 +a(g900 +g958 +tp7467 +a(g548 +V6f +p7468 +tp7469 +a(g900 +g958 +tp7470 +a(g548 +V72 +p7471 +tp7472 +a(g900 +g958 +tp7473 +a(g548 +V64 +p7474 +tp7475 +a(g900 +g958 +tp7476 +a(g548 +V54 +p7477 +tp7478 +a(g900 +g958 +tp7479 +a(g548 +V6f +p7480 +tp7481 +a(g900 +g958 +tp7482 +a(g548 +V4e +p7483 +tp7484 +a(g900 +g958 +tp7485 +a(g548 +V75 +p7486 +tp7487 +a(g900 +g958 +tp7488 +a(g548 +V6d +p7489 +tp7490 +a(g900 +g958 +tp7491 +a(g548 +V28 +p7492 +tp7493 +a(g900 +g958 +tp7494 +a(g548 +V22 +p7495 +tp7496 +a(g900 +g958 +tp7497 +a(g548 +V45 +p7498 +tp7499 +a(g900 +g958 +tp7500 +a(g548 +V20 +p7501 +tp7502 +a(g900 +g958 +tp7503 +a(g548 +V7c +p7504 +tp7505 +a(g900 +g958 +tp7506 +a(g548 +V20 +p7507 +tp7508 +a(g900 +V +p7509 +tp7510 +a(g685 +g1009 +tp7511 +a(g341 +V wordToNum("E | +p7512 +tp7513 +a(g685 +g1013 +tp7514 +a(g900 +V\u000a +p7515 +tp7516 +a(g8 +V0003440 +p7517 +tp7518 +a(g900 +g958 +tp7519 +a(g548 +V4a +p7520 +tp7521 +a(g900 +g958 +tp7522 +a(g548 +V20 +p7523 +tp7524 +a(g900 +g958 +tp7525 +a(g548 +V4e +p7526 +tp7527 +a(g900 +g958 +tp7528 +a(g548 +V20 +p7529 +tp7530 +a(g900 +g958 +tp7531 +a(g548 +V51 +p7532 +tp7533 +a(g900 +g958 +tp7534 +a(g548 +V20 +p7535 +tp7536 +a(g900 +g958 +tp7537 +a(g548 +V7c +p7538 +tp7539 +a(g900 +g958 +tp7540 +a(g548 +V20 +p7541 +tp7542 +a(g900 +g958 +tp7543 +a(g548 +V52 +p7544 +tp7545 +a(g900 +g958 +tp7546 +a(g548 +V20 +p7547 +tp7548 +a(g900 +g958 +tp7549 +a(g548 +V57 +p7550 +tp7551 +a(g900 +g958 +tp7552 +a(g548 +V20 +p7553 +tp7554 +a(g900 +g958 +tp7555 +a(g548 +V58 +p7556 +tp7557 +a(g900 +g958 +tp7558 +a(g548 +V20 +p7559 +tp7560 +a(g900 +g958 +tp7561 +a(g548 +V7c +p7562 +tp7563 +a(g900 +g958 +tp7564 +a(g548 +V20 +p7565 +tp7566 +a(g900 +V +p7567 +tp7568 +a(g685 +g1009 +tp7569 +a(g341 +VJ N Q | R W X | +p7570 +tp7571 +a(g685 +g1013 +tp7572 +a(g900 +V\u000a +p7573 +tp7574 +a(g8 +V0003460 +p7575 +tp7576 +a(g900 +g958 +tp7577 +a(g548 +V44 +p7578 +tp7579 +a(g900 +g958 +tp7580 +a(g548 +V20 +p7581 +tp7582 +a(g900 +g958 +tp7583 +a(g548 +V53 +p7584 +tp7585 +a(g900 +g958 +tp7586 +a(g548 +V20 +p7587 +tp7588 +a(g900 +g958 +tp7589 +a(g548 +V59 +p7590 +tp7591 +a(g900 +g958 +tp7592 +a(g548 +V20 +p7593 +tp7594 +a(g900 +g958 +tp7595 +a(g548 +V7c +p7596 +tp7597 +a(g900 +g958 +tp7598 +a(g548 +V20 +p7599 +tp7600 +a(g900 +g958 +tp7601 +a(g548 +V46 +p7602 +tp7603 +a(g900 +g958 +tp7604 +a(g548 +V20 +p7605 +tp7606 +a(g900 +g958 +tp7607 +a(g548 +V54 +p7608 +tp7609 +a(g900 +g958 +tp7610 +a(g548 +V20 +p7611 +tp7612 +a(g900 +g958 +tp7613 +a(g548 +V7c +p7614 +tp7615 +a(g900 +g958 +tp7616 +a(g548 +V20 +p7617 +tp7618 +a(g900 +g958 +tp7619 +a(g548 +V41 +p7620 +tp7621 +a(g900 +g958 +tp7622 +a(g548 +V20 +p7623 +tp7624 +a(g900 +V +p7625 +tp7626 +a(g685 +g1009 +tp7627 +a(g341 +VD S Y | F T | A +p7628 +tp7629 +a(g685 +g1013 +tp7630 +a(g900 +V\u000a +p7631 +tp7632 +a(g8 +V0003500 +p7633 +tp7634 +a(g900 +g958 +tp7635 +a(g548 +V4d +p7636 +tp7637 +a(g900 +g958 +tp7638 +a(g548 +V20 +p7639 +tp7640 +a(g900 +g958 +tp7641 +a(g548 +V7c +p7642 +tp7643 +a(g900 +g958 +tp7644 +a(g548 +V20 +p7645 +tp7646 +a(g900 +g958 +tp7647 +a(g548 +V43 +p7648 +tp7649 +a(g900 +g958 +tp7650 +a(g548 +V20 +p7651 +tp7652 +a(g900 +g958 +tp7653 +a(g548 +V49 +p7654 +tp7655 +a(g900 +g958 +tp7656 +a(g548 +V20 +p7657 +tp7658 +a(g900 +g958 +tp7659 +a(g548 +V56 +p7660 +tp7661 +a(g900 +g958 +tp7662 +a(g548 +V20 +p7663 +tp7664 +a(g900 +g958 +tp7665 +a(g548 +V7c +p7666 +tp7667 +a(g900 +g958 +tp7668 +a(g548 +V20 +p7669 +tp7670 +a(g900 +g958 +tp7671 +a(g548 +V42 +p7672 +tp7673 +a(g900 +g958 +tp7674 +a(g548 +V20 +p7675 +tp7676 +a(g900 +g958 +tp7677 +a(g548 +V4b +p7678 +tp7679 +a(g900 +g958 +tp7680 +a(g548 +V20 +p7681 +tp7682 +a(g900 +V +p7683 +tp7684 +a(g685 +g1009 +tp7685 +a(g341 +VM | C I V | B K +p7686 +tp7687 +a(g685 +g1013 +tp7688 +a(g900 +V\u000a +p7689 +tp7690 +a(g8 +V0003520 +p7691 +tp7692 +a(g900 +g958 +tp7693 +a(g548 +V55 +p7694 +tp7695 +a(g900 +g958 +tp7696 +a(g548 +V20 +p7697 +tp7698 +a(g900 +g958 +tp7699 +a(g548 +V7c +p7700 +tp7701 +a(g900 +g958 +tp7702 +a(g548 +V20 +p7703 +tp7704 +a(g900 +g958 +tp7705 +a(g548 +V4c +p7706 +tp7707 +a(g900 +g958 +tp7708 +a(g548 +V20 +p7709 +tp7710 +a(g900 +g958 +tp7711 +a(g548 +V4f +p7712 +tp7713 +a(g900 +g958 +tp7714 +a(g548 +V20 +p7715 +tp7716 +a(g900 +g958 +tp7717 +a(g548 +V50 +p7718 +tp7719 +a(g900 +g958 +tp7720 +a(g548 +V20 +p7721 +tp7722 +a(g900 +g958 +tp7723 +a(g548 +V7c +p7724 +tp7725 +a(g900 +g958 +tp7726 +a(g548 +V20 +p7727 +tp7728 +a(g900 +g958 +tp7729 +a(g548 +V47 +p7730 +tp7731 +a(g900 +g958 +tp7732 +a(g548 +V20 +p7733 +tp7734 +a(g900 +g958 +tp7735 +a(g548 +V48 +p7736 +tp7737 +a(g900 +g958 +tp7738 +a(g548 +V20 +p7739 +tp7740 +a(g900 +V +p7741 +tp7742 +a(g685 +g1009 +tp7743 +a(g341 +VU | L O P | G H +p7744 +tp7745 +a(g685 +g1013 +tp7746 +a(g900 +V\u000a +p7747 +tp7748 +a(g8 +V0003540 +p7749 +tp7750 +a(g900 +g958 +tp7751 +a(g548 +V5a +p7752 +tp7753 +a(g900 +g958 +tp7754 +a(g548 +V22 +p7755 +tp7756 +a(g900 +g958 +tp7757 +a(g548 +V29 +p7758 +tp7759 +a(g900 +g958 +tp7760 +a(g548 +V29 +p7761 +tp7762 +a(g900 +g958 +tp7763 +a(g548 +V3b +p7764 +tp7765 +a(g900 +g958 +tp7766 +a(g548 +V0a +p7767 +tp7768 +a(g900 +g958 +tp7769 +a(g548 +V20 +p7770 +tp7771 +a(g900 +g958 +tp7772 +a(g548 +V61 +p7773 +tp7774 +a(g900 +g958 +tp7775 +a(g548 +V73 +p7776 +tp7777 +a(g900 +g958 +tp7778 +a(g548 +V73 +p7779 +tp7780 +a(g900 +g958 +tp7781 +a(g548 +V65 +p7782 +tp7783 +a(g900 +g958 +tp7784 +a(g548 +V72 +p7785 +tp7786 +a(g900 +g958 +tp7787 +a(g548 +V74 +p7788 +tp7789 +a(g900 +g958 +tp7790 +a(g548 +V28 +p7791 +tp7792 +a(g900 +g958 +tp7793 +a(g548 +V20 +p7794 +tp7795 +a(g900 +g958 +tp7796 +a(g548 +V22 +p7797 +tp7798 +a(g900 +V +p7799 +tp7800 +a(g685 +g1009 +tp7801 +a(g341 +VZ"));. assert( " +p7802 +tp7803 +a(g685 +g1013 +tp7804 +a(g900 +V\u000a +p7805 +tp7806 +a(g8 +V0003560 +p7807 +tp7808 +a(g900 +g958 +tp7809 +a(g548 +V30 +p7810 +tp7811 +a(g900 +g958 +tp7812 +a(g548 +V31 +p7813 +tp7814 +a(g900 +g958 +tp7815 +a(g548 +V31 +p7816 +tp7817 +a(g900 +g958 +tp7818 +a(g548 +V31 +p7819 +tp7820 +a(g900 +g958 +tp7821 +a(g548 +V32 +p7822 +tp7823 +a(g900 +g958 +tp7824 +a(g548 +V32 +p7825 +tp7826 +a(g900 +g958 +tp7827 +a(g548 +V32 +p7828 +tp7829 +a(g900 +g958 +tp7830 +a(g548 +V33 +p7831 +tp7832 +a(g900 +g958 +tp7833 +a(g548 +V33 +p7834 +tp7835 +a(g900 +g958 +tp7836 +a(g548 +V33 +p7837 +tp7838 +a(g900 +g958 +tp7839 +a(g548 +V34 +p7840 +tp7841 +a(g900 +g958 +tp7842 +a(g548 +V34 +p7843 +tp7844 +a(g900 +g958 +tp7845 +a(g548 +V35 +p7846 +tp7847 +a(g900 +g958 +tp7848 +a(g548 +V35 +p7849 +tp7850 +a(g900 +g958 +tp7851 +a(g548 +V36 +p7852 +tp7853 +a(g900 +g958 +tp7854 +a(g548 +V36 +p7855 +tp7856 +a(g900 +V +p7857 +tp7858 +a(g685 +g1009 +tp7859 +a(g341 +V0111222333445566 +p7860 +tp7861 +a(g685 +g1013 +tp7862 +a(g900 +V\u000a +p7863 +tp7864 +a(g8 +V0003600 +p7865 +tp7866 +a(g900 +g958 +tp7867 +a(g548 +V36 +p7868 +tp7869 +a(g900 +g958 +tp7870 +a(g548 +V37 +p7871 +tp7872 +a(g900 +g958 +tp7873 +a(g548 +V37 +p7874 +tp7875 +a(g900 +g958 +tp7876 +a(g548 +V37 +p7877 +tp7878 +a(g900 +g958 +tp7879 +a(g548 +V38 +p7880 +tp7881 +a(g900 +g958 +tp7882 +a(g548 +V38 +p7883 +tp7884 +a(g900 +g958 +tp7885 +a(g548 +V38 +p7886 +tp7887 +a(g900 +g958 +tp7888 +a(g548 +V39 +p7889 +tp7890 +a(g900 +g958 +tp7891 +a(g548 +V39 +p7892 +tp7893 +a(g900 +g958 +tp7894 +a(g548 +V39 +p7895 +tp7896 +a(g900 +g958 +tp7897 +a(g548 +V22 +p7898 +tp7899 +a(g900 +g958 +tp7900 +a(g548 +V20 +p7901 +tp7902 +a(g900 +g958 +tp7903 +a(g548 +V3d +p7904 +tp7905 +a(g900 +g958 +tp7906 +a(g548 +V3d +p7907 +tp7908 +a(g900 +g958 +tp7909 +a(g548 +V20 +p7910 +tp7911 +a(g900 +g958 +tp7912 +a(g548 +V0a +p7913 +tp7914 +a(g900 +V +p7915 +tp7916 +a(g685 +g1009 +tp7917 +a(g341 +V6777888999" == . +p7918 +tp7919 +a(g685 +g1013 +tp7920 +a(g900 +V\u000a +p7921 +tp7922 +a(g8 +V0003620 +p7923 +tp7924 +a(g900 +g958 +tp7925 +a(g548 +V20 +p7926 +tp7927 +a(g900 +g958 +tp7928 +a(g548 +V20 +p7929 +tp7930 +a(g900 +g958 +tp7931 +a(g548 +V20 +p7932 +tp7933 +a(g900 +g958 +tp7934 +a(g548 +V77 +p7935 +tp7936 +a(g900 +g958 +tp7937 +a(g548 +V6f +p7938 +tp7939 +a(g900 +g958 +tp7940 +a(g548 +V72 +p7941 +tp7942 +a(g900 +g958 +tp7943 +a(g548 +V64 +p7944 +tp7945 +a(g900 +g958 +tp7946 +a(g548 +V54 +p7947 +tp7948 +a(g900 +g958 +tp7949 +a(g548 +V6f +p7950 +tp7951 +a(g900 +g958 +tp7952 +a(g548 +V4e +p7953 +tp7954 +a(g900 +g958 +tp7955 +a(g548 +V75 +p7956 +tp7957 +a(g900 +g958 +tp7958 +a(g548 +V6d +p7959 +tp7960 +a(g900 +g958 +tp7961 +a(g548 +V28 +p7962 +tp7963 +a(g900 +g958 +tp7964 +a(g548 +V22 +p7965 +tp7966 +a(g900 +g958 +tp7967 +a(g548 +V65 +p7968 +tp7969 +a(g900 +g958 +tp7970 +a(g548 +V20 +p7971 +tp7972 +a(g900 +V +p7973 +tp7974 +a(g685 +g1009 +tp7975 +a(g341 +V wordToNum("e +p7976 +tp7977 +a(g685 +g1013 +tp7978 +a(g900 +V\u000a +p7979 +tp7980 +a(g8 +V0003640 +p7981 +tp7982 +a(g900 +g958 +tp7983 +a(g548 +V7c +p7984 +tp7985 +a(g900 +g958 +tp7986 +a(g548 +V20 +p7987 +tp7988 +a(g900 +g958 +tp7989 +a(g548 +V6a +p7990 +tp7991 +a(g900 +g958 +tp7992 +a(g548 +V20 +p7993 +tp7994 +a(g900 +g958 +tp7995 +a(g548 +V6e +p7996 +tp7997 +a(g900 +g958 +tp7998 +a(g548 +V20 +p7999 +tp8000 +a(g900 +g958 +tp8001 +a(g548 +V71 +p8002 +tp8003 +a(g900 +g958 +tp8004 +a(g548 +V20 +p8005 +tp8006 +a(g900 +g958 +tp8007 +a(g548 +V7c +p8008 +tp8009 +a(g900 +g958 +tp8010 +a(g548 +V20 +p8011 +tp8012 +a(g900 +g958 +tp8013 +a(g548 +V72 +p8014 +tp8015 +a(g900 +g958 +tp8016 +a(g548 +V20 +p8017 +tp8018 +a(g900 +g958 +tp8019 +a(g548 +V77 +p8020 +tp8021 +a(g900 +g958 +tp8022 +a(g548 +V20 +p8023 +tp8024 +a(g900 +g958 +tp8025 +a(g548 +V78 +p8026 +tp8027 +a(g900 +g958 +tp8028 +a(g548 +V20 +p8029 +tp8030 +a(g900 +V +p8031 +tp8032 +a(g685 +g1009 +tp8033 +a(g341 +V| j n q | r w x +p8034 +tp8035 +a(g685 +g1013 +tp8036 +a(g900 +V\u000a +p8037 +tp8038 +a(g8 +V0003660 +p8039 +tp8040 +a(g900 +g958 +tp8041 +a(g548 +V7c +p8042 +tp8043 +a(g900 +g958 +tp8044 +a(g548 +V20 +p8045 +tp8046 +a(g900 +g958 +tp8047 +a(g548 +V64 +p8048 +tp8049 +a(g900 +g958 +tp8050 +a(g548 +V20 +p8051 +tp8052 +a(g900 +g958 +tp8053 +a(g548 +V73 +p8054 +tp8055 +a(g900 +g958 +tp8056 +a(g548 +V20 +p8057 +tp8058 +a(g900 +g958 +tp8059 +a(g548 +V79 +p8060 +tp8061 +a(g900 +g958 +tp8062 +a(g548 +V20 +p8063 +tp8064 +a(g900 +g958 +tp8065 +a(g548 +V7c +p8066 +tp8067 +a(g900 +g958 +tp8068 +a(g548 +V20 +p8069 +tp8070 +a(g900 +g958 +tp8071 +a(g548 +V66 +p8072 +tp8073 +a(g900 +g958 +tp8074 +a(g548 +V20 +p8075 +tp8076 +a(g900 +g958 +tp8077 +a(g548 +V74 +p8078 +tp8079 +a(g900 +g958 +tp8080 +a(g548 +V20 +p8081 +tp8082 +a(g900 +g958 +tp8083 +a(g548 +V7c +p8084 +tp8085 +a(g900 +g958 +tp8086 +a(g548 +V20 +p8087 +tp8088 +a(g900 +V +p8089 +tp8090 +a(g685 +g1009 +tp8091 +a(g341 +V| d s y | f t | +p8092 +tp8093 +a(g685 +g1013 +tp8094 +a(g900 +V\u000a +p8095 +tp8096 +a(g8 +V0003700 +p8097 +tp8098 +a(g900 +g958 +tp8099 +a(g548 +V61 +p8100 +tp8101 +a(g900 +g958 +tp8102 +a(g548 +V20 +p8103 +tp8104 +a(g900 +g958 +tp8105 +a(g548 +V6d +p8106 +tp8107 +a(g900 +g958 +tp8108 +a(g548 +V20 +p8109 +tp8110 +a(g900 +g958 +tp8111 +a(g548 +V7c +p8112 +tp8113 +a(g900 +g958 +tp8114 +a(g548 +V20 +p8115 +tp8116 +a(g900 +g958 +tp8117 +a(g548 +V63 +p8118 +tp8119 +a(g900 +g958 +tp8120 +a(g548 +V20 +p8121 +tp8122 +a(g900 +g958 +tp8123 +a(g548 +V69 +p8124 +tp8125 +a(g900 +g958 +tp8126 +a(g548 +V20 +p8127 +tp8128 +a(g900 +g958 +tp8129 +a(g548 +V76 +p8130 +tp8131 +a(g900 +g958 +tp8132 +a(g548 +V20 +p8133 +tp8134 +a(g900 +g958 +tp8135 +a(g548 +V7c +p8136 +tp8137 +a(g900 +g958 +tp8138 +a(g548 +V20 +p8139 +tp8140 +a(g900 +g958 +tp8141 +a(g548 +V62 +p8142 +tp8143 +a(g900 +g958 +tp8144 +a(g548 +V20 +p8145 +tp8146 +a(g900 +V +p8147 +tp8148 +a(g685 +g1009 +tp8149 +a(g341 +Va m | c i v | b +p8150 +tp8151 +a(g685 +g1013 +tp8152 +a(g900 +V\u000a +p8153 +tp8154 +a(g8 +V0003720 +p8155 +tp8156 +a(g900 +g958 +tp8157 +a(g548 +V6b +p8158 +tp8159 +a(g900 +g958 +tp8160 +a(g548 +V20 +p8161 +tp8162 +a(g900 +g958 +tp8163 +a(g548 +V75 +p8164 +tp8165 +a(g900 +g958 +tp8166 +a(g548 +V20 +p8167 +tp8168 +a(g900 +g958 +tp8169 +a(g548 +V7c +p8170 +tp8171 +a(g900 +g958 +tp8172 +a(g548 +V20 +p8173 +tp8174 +a(g900 +g958 +tp8175 +a(g548 +V6c +p8176 +tp8177 +a(g900 +g958 +tp8178 +a(g548 +V20 +p8179 +tp8180 +a(g900 +g958 +tp8181 +a(g548 +V6f +p8182 +tp8183 +a(g900 +g958 +tp8184 +a(g548 +V20 +p8185 +tp8186 +a(g900 +g958 +tp8187 +a(g548 +V70 +p8188 +tp8189 +a(g900 +g958 +tp8190 +a(g548 +V20 +p8191 +tp8192 +a(g900 +g958 +tp8193 +a(g548 +V7c +p8194 +tp8195 +a(g900 +g958 +tp8196 +a(g548 +V20 +p8197 +tp8198 +a(g900 +g958 +tp8199 +a(g548 +V67 +p8200 +tp8201 +a(g900 +g958 +tp8202 +a(g548 +V20 +p8203 +tp8204 +a(g900 +V +p8205 +tp8206 +a(g685 +g1009 +tp8207 +a(g341 +Vk u | l o p | g +p8208 +tp8209 +a(g685 +g1013 +tp8210 +a(g900 +V\u000a +p8211 +tp8212 +a(g8 +V0003740 +p8213 +tp8214 +a(g900 +g958 +tp8215 +a(g548 +V68 +p8216 +tp8217 +a(g900 +g958 +tp8218 +a(g548 +V20 +p8219 +tp8220 +a(g900 +g958 +tp8221 +a(g548 +V7a +p8222 +tp8223 +a(g900 +g958 +tp8224 +a(g548 +V22 +p8225 +tp8226 +a(g900 +g958 +tp8227 +a(g548 +V29 +p8228 +tp8229 +a(g900 +g958 +tp8230 +a(g548 +V29 +p8231 +tp8232 +a(g900 +g958 +tp8233 +a(g548 +V3b +p8234 +tp8235 +a(g900 +g958 +tp8236 +a(g548 +V0a +p8237 +tp8238 +a(g900 +g958 +tp8239 +a(g548 +V20 +p8240 +tp8241 +a(g900 +g958 +tp8242 +a(g548 +V61 +p8243 +tp8244 +a(g900 +g958 +tp8245 +a(g548 +V73 +p8246 +tp8247 +a(g900 +g958 +tp8248 +a(g548 +V73 +p8249 +tp8250 +a(g900 +g958 +tp8251 +a(g548 +V65 +p8252 +tp8253 +a(g900 +g958 +tp8254 +a(g548 +V72 +p8255 +tp8256 +a(g900 +g958 +tp8257 +a(g548 +V74 +p8258 +tp8259 +a(g900 +g958 +tp8260 +a(g548 +V28 +p8261 +tp8262 +a(g900 +V +p8263 +tp8264 +a(g685 +g1009 +tp8265 +a(g341 +Vh z"));. assert( +p8266 +tp8267 +a(g685 +g1013 +tp8268 +a(g900 +V\u000a +p8269 +tp8270 +a(g8 +V0003760 +p8271 +tp8272 +a(g900 +g958 +tp8273 +a(g548 +V20 +p8274 +tp8275 +a(g900 +g958 +tp8276 +a(g548 +V22 +p8277 +tp8278 +a(g900 +g958 +tp8279 +a(g548 +V30 +p8280 +tp8281 +a(g900 +g958 +tp8282 +a(g548 +V31 +p8283 +tp8284 +a(g900 +g958 +tp8285 +a(g548 +V32 +p8286 +tp8287 +a(g900 +g958 +tp8288 +a(g548 +V33 +p8289 +tp8290 +a(g900 +g958 +tp8291 +a(g548 +V34 +p8292 +tp8293 +a(g900 +g958 +tp8294 +a(g548 +V35 +p8295 +tp8296 +a(g900 +g958 +tp8297 +a(g548 +V36 +p8298 +tp8299 +a(g900 +g958 +tp8300 +a(g548 +V37 +p8301 +tp8302 +a(g900 +g958 +tp8303 +a(g548 +V38 +p8304 +tp8305 +a(g900 +g958 +tp8306 +a(g548 +V39 +p8307 +tp8308 +a(g900 +g958 +tp8309 +a(g548 +V22 +p8310 +tp8311 +a(g900 +g958 +tp8312 +a(g548 +V20 +p8313 +tp8314 +a(g900 +g958 +tp8315 +a(g548 +V3d +p8316 +tp8317 +a(g900 +g958 +tp8318 +a(g548 +V3d +p8319 +tp8320 +a(g900 +V +p8321 +tp8322 +a(g685 +g1009 +tp8323 +a(g341 +V "0123456789" == +p8324 +tp8325 +a(g685 +g1013 +tp8326 +a(g900 +V\u000a +p8327 +tp8328 +a(g8 +V0004000 +p8329 +tp8330 +a(g900 +g958 +tp8331 +a(g548 +V20 +p8332 +tp8333 +a(g900 +g958 +tp8334 +a(g548 +V0a +p8335 +tp8336 +a(g900 +g958 +tp8337 +a(g548 +V20 +p8338 +tp8339 +a(g900 +g958 +tp8340 +a(g548 +V20 +p8341 +tp8342 +a(g900 +g958 +tp8343 +a(g548 +V20 +p8344 +tp8345 +a(g900 +g958 +tp8346 +a(g548 +V77 +p8347 +tp8348 +a(g900 +g958 +tp8349 +a(g548 +V6f +p8350 +tp8351 +a(g900 +g958 +tp8352 +a(g548 +V72 +p8353 +tp8354 +a(g900 +g958 +tp8355 +a(g548 +V64 +p8356 +tp8357 +a(g900 +g958 +tp8358 +a(g548 +V54 +p8359 +tp8360 +a(g900 +g958 +tp8361 +a(g548 +V6f +p8362 +tp8363 +a(g900 +g958 +tp8364 +a(g548 +V4e +p8365 +tp8366 +a(g900 +g958 +tp8367 +a(g548 +V75 +p8368 +tp8369 +a(g900 +g958 +tp8370 +a(g548 +V6d +p8371 +tp8372 +a(g900 +g958 +tp8373 +a(g548 +V28 +p8374 +tp8375 +a(g900 +g958 +tp8376 +a(g548 +V22 +p8377 +tp8378 +a(g900 +V +p8379 +tp8380 +a(g685 +g1009 +tp8381 +a(g341 +V . wordToNum(" +p8382 +tp8383 +a(g685 +g1013 +tp8384 +a(g900 +V\u000a +p8385 +tp8386 +a(g8 +V0004020 +p8387 +tp8388 +a(g900 +g958 +tp8389 +a(g548 +V30 +p8390 +tp8391 +a(g900 +g958 +tp8392 +a(g548 +V20 +p8393 +tp8394 +a(g900 +g958 +tp8395 +a(g548 +V7c +p8396 +tp8397 +a(g900 +g958 +tp8398 +a(g548 +V20 +p8399 +tp8400 +a(g900 +g958 +tp8401 +a(g548 +V20 +p8402 +tp8403 +a(g900 +g958 +tp8404 +a(g548 +V20 +p8405 +tp8406 +a(g900 +g958 +tp8407 +a(g548 +V31 +p8408 +tp8409 +a(g900 +g958 +tp8410 +a(g548 +V20 +p8411 +tp8412 +a(g900 +g958 +tp8413 +a(g548 +V20 +p8414 +tp8415 +a(g900 +g958 +tp8416 +a(g548 +V20 +p8417 +tp8418 +a(g900 +g958 +tp8419 +a(g548 +V7c +p8420 +tp8421 +a(g900 +g958 +tp8422 +a(g548 +V20 +p8423 +tp8424 +a(g900 +g958 +tp8425 +a(g548 +V20 +p8426 +tp8427 +a(g900 +g958 +tp8428 +a(g548 +V20 +p8429 +tp8430 +a(g900 +g958 +tp8431 +a(g548 +V32 +p8432 +tp8433 +a(g900 +g958 +tp8434 +a(g548 +V20 +p8435 +tp8436 +a(g900 +V +p8437 +tp8438 +a(g685 +g1009 +tp8439 +a(g341 +V0 | 1 | 2 +p8440 +tp8441 +a(g685 +g1013 +tp8442 +a(g900 +V\u000a +p8443 +tp8444 +a(g8 +V0004040 +p8445 +tp8446 +a(g900 +g958 +tp8447 +a(g548 +V20 +p8448 +tp8449 +a(g900 +g958 +tp8450 +a(g548 +V20 +p8451 +tp8452 +a(g900 +g958 +tp8453 +a(g548 +V7c +p8454 +tp8455 +a(g900 +g958 +tp8456 +a(g548 +V20 +p8457 +tp8458 +a(g900 +g958 +tp8459 +a(g548 +V20 +p8460 +tp8461 +a(g900 +g958 +tp8462 +a(g548 +V20 +p8463 +tp8464 +a(g900 +g958 +tp8465 +a(g548 +V33 +p8466 +tp8467 +a(g900 +g958 +tp8468 +a(g548 +V20 +p8469 +tp8470 +a(g900 +g958 +tp8471 +a(g548 +V20 +p8472 +tp8473 +a(g900 +g958 +tp8474 +a(g548 +V20 +p8475 +tp8476 +a(g900 +g958 +tp8477 +a(g548 +V7c +p8478 +tp8479 +a(g900 +g958 +tp8480 +a(g548 +V20 +p8481 +tp8482 +a(g900 +g958 +tp8483 +a(g548 +V20 +p8484 +tp8485 +a(g900 +g958 +tp8486 +a(g548 +V34 +p8487 +tp8488 +a(g900 +g958 +tp8489 +a(g548 +V20 +p8490 +tp8491 +a(g900 +g958 +tp8492 +a(g548 +V20 +p8493 +tp8494 +a(g900 +V +p8495 +tp8496 +a(g685 +g1009 +tp8497 +a(g341 +V | 3 | 4 +p8498 +tp8499 +a(g685 +g1013 +tp8500 +a(g900 +V\u000a +p8501 +tp8502 +a(g8 +V0004060 +p8503 +tp8504 +a(g900 +g958 +tp8505 +a(g548 +V7c +p8506 +tp8507 +a(g900 +g958 +tp8508 +a(g548 +V20 +p8509 +tp8510 +a(g900 +g958 +tp8511 +a(g548 +V20 +p8512 +tp8513 +a(g900 +g958 +tp8514 +a(g548 +V35 +p8515 +tp8516 +a(g900 +g958 +tp8517 +a(g548 +V20 +p8518 +tp8519 +a(g900 +g958 +tp8520 +a(g548 +V20 +p8521 +tp8522 +a(g900 +g958 +tp8523 +a(g548 +V7c +p8524 +tp8525 +a(g900 +g958 +tp8526 +a(g548 +V20 +p8527 +tp8528 +a(g900 +g958 +tp8529 +a(g548 +V20 +p8530 +tp8531 +a(g900 +g958 +tp8532 +a(g548 +V20 +p8533 +tp8534 +a(g900 +g958 +tp8535 +a(g548 +V36 +p8536 +tp8537 +a(g900 +g958 +tp8538 +a(g548 +V20 +p8539 +tp8540 +a(g900 +g958 +tp8541 +a(g548 +V20 +p8542 +tp8543 +a(g900 +g958 +tp8544 +a(g548 +V20 +p8545 +tp8546 +a(g900 +g958 +tp8547 +a(g548 +V7c +p8548 +tp8549 +a(g900 +g958 +tp8550 +a(g548 +V20 +p8551 +tp8552 +a(g900 +V +p8553 +tp8554 +a(g685 +g1009 +tp8555 +a(g341 +V| 5 | 6 | +p8556 +tp8557 +a(g685 +g1013 +tp8558 +a(g900 +V\u000a +p8559 +tp8560 +a(g8 +V0004100 +p8561 +tp8562 +a(g900 +g958 +tp8563 +a(g548 +V20 +p8564 +tp8565 +a(g900 +g958 +tp8566 +a(g548 +V20 +p8567 +tp8568 +a(g900 +g958 +tp8569 +a(g548 +V37 +p8570 +tp8571 +a(g900 +g958 +tp8572 +a(g548 +V20 +p8573 +tp8574 +a(g900 +g958 +tp8575 +a(g548 +V20 +p8576 +tp8577 +a(g900 +g958 +tp8578 +a(g548 +V20 +p8579 +tp8580 +a(g900 +g958 +tp8581 +a(g548 +V7c +p8582 +tp8583 +a(g900 +g958 +tp8584 +a(g548 +V20 +p8585 +tp8586 +a(g900 +g958 +tp8587 +a(g548 +V20 +p8588 +tp8589 +a(g900 +g958 +tp8590 +a(g548 +V20 +p8591 +tp8592 +a(g900 +g958 +tp8593 +a(g548 +V38 +p8594 +tp8595 +a(g900 +g958 +tp8596 +a(g548 +V20 +p8597 +tp8598 +a(g900 +g958 +tp8599 +a(g548 +V20 +p8600 +tp8601 +a(g900 +g958 +tp8602 +a(g548 +V20 +p8603 +tp8604 +a(g900 +g958 +tp8605 +a(g548 +V7c +p8606 +tp8607 +a(g900 +g958 +tp8608 +a(g548 +V20 +p8609 +tp8610 +a(g900 +V +p8611 +tp8612 +a(g685 +g1009 +tp8613 +a(g341 +V 7 | 8 | +p8614 +tp8615 +a(g685 +g1013 +tp8616 +a(g900 +V\u000a +p8617 +tp8618 +a(g8 +V0004120 +p8619 +tp8620 +a(g900 +g958 +tp8621 +a(g548 +V20 +p8622 +tp8623 +a(g900 +g958 +tp8624 +a(g548 +V20 +p8625 +tp8626 +a(g900 +g958 +tp8627 +a(g548 +V39 +p8628 +tp8629 +a(g900 +g958 +tp8630 +a(g548 +V22 +p8631 +tp8632 +a(g900 +g958 +tp8633 +a(g548 +V29 +p8634 +tp8635 +a(g900 +g958 +tp8636 +a(g548 +V29 +p8637 +tp8638 +a(g900 +g958 +tp8639 +a(g548 +V3b +p8640 +tp8641 +a(g900 +g958 +tp8642 +a(g548 +V0a +p8643 +tp8644 +a(g900 +g958 +tp8645 +a(g548 +V7d +p8646 +tp8647 +a(g900 +g958 +tp8648 +a(g548 +V0a +p8649 +tp8650 +a(g900 +g958 +tp8651 +a(g548 +V0a +p8652 +tp8653 +a(g900 +g958 +tp8654 +a(g548 +V76 +p8655 +tp8656 +a(g900 +g958 +tp8657 +a(g548 +V6f +p8658 +tp8659 +a(g900 +g958 +tp8660 +a(g548 +V69 +p8661 +tp8662 +a(g900 +g958 +tp8663 +a(g548 +V64 +p8664 +tp8665 +a(g900 +g958 +tp8666 +a(g548 +V20 +p8667 +tp8668 +a(g900 +V +p8669 +tp8670 +a(g685 +g1009 +tp8671 +a(g341 +V 9"));.}..void +p8672 +tp8673 +a(g685 +g1013 +tp8674 +a(g900 +V\u000a +p8675 +tp8676 +a(g8 +V0004140 +p8677 +tp8678 +a(g900 +g958 +tp8679 +a(g548 +V6d +p8680 +tp8681 +a(g900 +g958 +tp8682 +a(g548 +V61 +p8683 +tp8684 +a(g900 +g958 +tp8685 +a(g548 +V69 +p8686 +tp8687 +a(g900 +g958 +tp8688 +a(g548 +V6e +p8689 +tp8690 +a(g900 +g958 +tp8691 +a(g548 +V28 +p8692 +tp8693 +a(g900 +g958 +tp8694 +a(g548 +V20 +p8695 +tp8696 +a(g900 +g958 +tp8697 +a(g548 +V73 +p8698 +tp8699 +a(g900 +g958 +tp8700 +a(g548 +V74 +p8701 +tp8702 +a(g900 +g958 +tp8703 +a(g548 +V72 +p8704 +tp8705 +a(g900 +g958 +tp8706 +a(g548 +V69 +p8707 +tp8708 +a(g900 +g958 +tp8709 +a(g548 +V6e +p8710 +tp8711 +a(g900 +g958 +tp8712 +a(g548 +V67 +p8713 +tp8714 +a(g900 +g958 +tp8715 +a(g548 +V5b +p8716 +tp8717 +a(g900 +g958 +tp8718 +a(g548 +V5d +p8719 +tp8720 +a(g900 +g958 +tp8721 +a(g548 +V20 +p8722 +tp8723 +a(g900 +g958 +tp8724 +a(g548 +V61 +p8725 +tp8726 +a(g900 +V +p8727 +tp8728 +a(g685 +g1009 +tp8729 +a(g341 +Vmain( string[] a +p8730 +tp8731 +a(g685 +g1013 +tp8732 +a(g900 +V\u000a +p8733 +tp8734 +a(g8 +V0004160 +p8735 +tp8736 +a(g900 +g958 +tp8737 +a(g548 +V72 +p8738 +tp8739 +a(g900 +g958 +tp8740 +a(g548 +V67 +p8741 +tp8742 +a(g900 +g958 +tp8743 +a(g548 +V73 +p8744 +tp8745 +a(g900 +g958 +tp8746 +a(g548 +V20 +p8747 +tp8748 +a(g900 +g958 +tp8749 +a(g548 +V29 +p8750 +tp8751 +a(g900 +g958 +tp8752 +a(g548 +V0a +p8753 +tp8754 +a(g900 +g958 +tp8755 +a(g548 +V7b +p8756 +tp8757 +a(g900 +g958 +tp8758 +a(g548 +V0a +p8759 +tp8760 +a(g900 +g958 +tp8761 +a(g548 +V20 +p8762 +tp8763 +a(g900 +g958 +tp8764 +a(g548 +V20 +p8765 +tp8766 +a(g900 +g958 +tp8767 +a(g548 +V20 +p8768 +tp8769 +a(g900 +g958 +tp8770 +a(g548 +V20 +p8771 +tp8772 +a(g900 +g958 +tp8773 +a(g548 +V2f +p8774 +tp8775 +a(g900 +g958 +tp8776 +a(g548 +V2f +p8777 +tp8778 +a(g900 +g958 +tp8779 +a(g548 +V20 +p8780 +tp8781 +a(g900 +g958 +tp8782 +a(g548 +V54 +p8783 +tp8784 +a(g900 +V +p8785 +tp8786 +a(g685 +g1009 +tp8787 +a(g341 +Vrgs ).{. // T +p8788 +tp8789 +a(g685 +g1013 +tp8790 +a(g900 +V\u000a +p8791 +tp8792 +a(g8 +V0004200 +p8793 +tp8794 +a(g900 +g958 +tp8795 +a(g548 +V68 +p8796 +tp8797 +a(g900 +g958 +tp8798 +a(g548 +V69 +p8799 +tp8800 +a(g900 +g958 +tp8801 +a(g548 +V73 +p8802 +tp8803 +a(g900 +g958 +tp8804 +a(g548 +V20 +p8805 +tp8806 +a(g900 +g958 +tp8807 +a(g548 +V61 +p8808 +tp8809 +a(g900 +g958 +tp8810 +a(g548 +V73 +p8811 +tp8812 +a(g900 +g958 +tp8813 +a(g548 +V73 +p8814 +tp8815 +a(g900 +g958 +tp8816 +a(g548 +V6f +p8817 +tp8818 +a(g900 +g958 +tp8819 +a(g548 +V63 +p8820 +tp8821 +a(g900 +g958 +tp8822 +a(g548 +V69 +p8823 +tp8824 +a(g900 +g958 +tp8825 +a(g548 +V61 +p8826 +tp8827 +a(g900 +g958 +tp8828 +a(g548 +V74 +p8829 +tp8830 +a(g900 +g958 +tp8831 +a(g548 +V69 +p8832 +tp8833 +a(g900 +g958 +tp8834 +a(g548 +V76 +p8835 +tp8836 +a(g900 +g958 +tp8837 +a(g548 +V65 +p8838 +tp8839 +a(g900 +g958 +tp8840 +a(g548 +V20 +p8841 +tp8842 +a(g900 +V +p8843 +tp8844 +a(g685 +g1009 +tp8845 +a(g341 +Vhis associative +p8846 +tp8847 +a(g685 +g1013 +tp8848 +a(g900 +V\u000a +p8849 +tp8850 +a(g8 +V0004220 +p8851 +tp8852 +a(g900 +g958 +tp8853 +a(g548 +V61 +p8854 +tp8855 +a(g900 +g958 +tp8856 +a(g548 +V72 +p8857 +tp8858 +a(g900 +g958 +tp8859 +a(g548 +V72 +p8860 +tp8861 +a(g900 +g958 +tp8862 +a(g548 +V61 +p8863 +tp8864 +a(g900 +g958 +tp8865 +a(g548 +V79 +p8866 +tp8867 +a(g900 +g958 +tp8868 +a(g548 +V20 +p8869 +tp8870 +a(g900 +g958 +tp8871 +a(g548 +V6d +p8872 +tp8873 +a(g900 +g958 +tp8874 +a(g548 +V61 +p8875 +tp8876 +a(g900 +g958 +tp8877 +a(g548 +V70 +p8878 +tp8879 +a(g900 +g958 +tp8880 +a(g548 +V73 +p8881 +tp8882 +a(g900 +g958 +tp8883 +a(g548 +V20 +p8884 +tp8885 +a(g900 +g958 +tp8886 +a(g548 +V61 +p8887 +tp8888 +a(g900 +g958 +tp8889 +a(g548 +V20 +p8890 +tp8891 +a(g900 +g958 +tp8892 +a(g548 +V6e +p8893 +tp8894 +a(g900 +g958 +tp8895 +a(g548 +V75 +p8896 +tp8897 +a(g900 +g958 +tp8898 +a(g548 +V6d +p8899 +tp8900 +a(g900 +V +p8901 +tp8902 +a(g685 +g1009 +tp8903 +a(g341 +Varray maps a num +p8904 +tp8905 +a(g685 +g1013 +tp8906 +a(g900 +V\u000a +p8907 +tp8908 +a(g8 +V0004240 +p8909 +tp8910 +a(g900 +g958 +tp8911 +a(g548 +V62 +p8912 +tp8913 +a(g900 +g958 +tp8914 +a(g548 +V65 +p8915 +tp8916 +a(g900 +g958 +tp8917 +a(g548 +V72 +p8918 +tp8919 +a(g900 +g958 +tp8920 +a(g548 +V20 +p8921 +tp8922 +a(g900 +g958 +tp8923 +a(g548 +V74 +p8924 +tp8925 +a(g900 +g958 +tp8926 +a(g548 +V6f +p8927 +tp8928 +a(g900 +g958 +tp8929 +a(g548 +V20 +p8930 +tp8931 +a(g900 +g958 +tp8932 +a(g548 +V61 +p8933 +tp8934 +a(g900 +g958 +tp8935 +a(g548 +V6e +p8936 +tp8937 +a(g900 +g958 +tp8938 +a(g548 +V20 +p8939 +tp8940 +a(g900 +g958 +tp8941 +a(g548 +V61 +p8942 +tp8943 +a(g900 +g958 +tp8944 +a(g548 +V72 +p8945 +tp8946 +a(g900 +g958 +tp8947 +a(g548 +V72 +p8948 +tp8949 +a(g900 +g958 +tp8950 +a(g548 +V61 +p8951 +tp8952 +a(g900 +g958 +tp8953 +a(g548 +V79 +p8954 +tp8955 +a(g900 +g958 +tp8956 +a(g548 +V20 +p8957 +tp8958 +a(g900 +V +p8959 +tp8960 +a(g685 +g1009 +tp8961 +a(g341 +Vber to an array +p8962 +tp8963 +a(g685 +g1013 +tp8964 +a(g900 +V\u000a +p8965 +tp8966 +a(g8 +V0004260 +p8967 +tp8968 +a(g900 +g958 +tp8969 +a(g548 +V6f +p8970 +tp8971 +a(g900 +g958 +tp8972 +a(g548 +V66 +p8973 +tp8974 +a(g900 +g958 +tp8975 +a(g548 +V20 +p8976 +tp8977 +a(g900 +g958 +tp8978 +a(g548 +V77 +p8979 +tp8980 +a(g900 +g958 +tp8981 +a(g548 +V6f +p8982 +tp8983 +a(g900 +g958 +tp8984 +a(g548 +V72 +p8985 +tp8986 +a(g900 +g958 +tp8987 +a(g548 +V64 +p8988 +tp8989 +a(g900 +g958 +tp8990 +a(g548 +V73 +p8991 +tp8992 +a(g900 +g958 +tp8993 +a(g548 +V2e +p8994 +tp8995 +a(g900 +g958 +tp8996 +a(g548 +V20 +p8997 +tp8998 +a(g900 +g958 +tp8999 +a(g548 +V20 +p9000 +tp9001 +a(g900 +g958 +tp9002 +a(g548 +V20 +p9003 +tp9004 +a(g900 +g958 +tp9005 +a(g548 +V20 +p9006 +tp9007 +a(g900 +g958 +tp9008 +a(g548 +V0a +p9009 +tp9010 +a(g900 +g958 +tp9011 +a(g548 +V20 +p9012 +tp9013 +a(g900 +g958 +tp9014 +a(g548 +V20 +p9015 +tp9016 +a(g900 +V +p9017 +tp9018 +a(g685 +g1009 +tp9019 +a(g341 +Vof words. . +p9020 +tp9021 +a(g685 +g1013 +tp9022 +a(g900 +V\u000a +p9023 +tp9024 +a(g8 +V0004300 +p9025 +tp9026 +a(g900 +g958 +tp9027 +a(g548 +V20 +p9028 +tp9029 +a(g900 +g958 +tp9030 +a(g548 +V20 +p9031 +tp9032 +a(g900 +g958 +tp9033 +a(g548 +V73 +p9034 +tp9035 +a(g900 +g958 +tp9036 +a(g548 +V74 +p9037 +tp9038 +a(g900 +g958 +tp9039 +a(g548 +V72 +p9040 +tp9041 +a(g900 +g958 +tp9042 +a(g548 +V69 +p9043 +tp9044 +a(g900 +g958 +tp9045 +a(g548 +V6e +p9046 +tp9047 +a(g900 +g958 +tp9048 +a(g548 +V67 +p9049 +tp9050 +a(g900 +g958 +tp9051 +a(g548 +V61 +p9052 +tp9053 +a(g900 +g958 +tp9054 +a(g548 +V72 +p9055 +tp9056 +a(g900 +g958 +tp9057 +a(g548 +V72 +p9058 +tp9059 +a(g900 +g958 +tp9060 +a(g548 +V61 +p9061 +tp9062 +a(g900 +g958 +tp9063 +a(g548 +V79 +p9064 +tp9065 +a(g900 +g958 +tp9066 +a(g548 +V5b +p9067 +tp9068 +a(g900 +g958 +tp9069 +a(g548 +V73 +p9070 +tp9071 +a(g900 +g958 +tp9072 +a(g548 +V74 +p9073 +tp9074 +a(g900 +V +p9075 +tp9076 +a(g685 +g1009 +tp9077 +a(g341 +V stringarray[st +p9078 +tp9079 +a(g685 +g1013 +tp9080 +a(g900 +V\u000a +p9081 +tp9082 +a(g8 +V0004320 +p9083 +tp9084 +a(g900 +g958 +tp9085 +a(g548 +V72 +p9086 +tp9087 +a(g900 +g958 +tp9088 +a(g548 +V69 +p9089 +tp9090 +a(g900 +g958 +tp9091 +a(g548 +V6e +p9092 +tp9093 +a(g900 +g958 +tp9094 +a(g548 +V67 +p9095 +tp9096 +a(g900 +g958 +tp9097 +a(g548 +V5d +p9098 +tp9099 +a(g900 +g958 +tp9100 +a(g548 +V20 +p9101 +tp9102 +a(g900 +g958 +tp9103 +a(g548 +V20 +p9104 +tp9105 +a(g900 +g958 +tp9106 +a(g548 +V20 +p9107 +tp9108 +a(g900 +g958 +tp9109 +a(g548 +V20 +p9110 +tp9111 +a(g900 +g958 +tp9112 +a(g548 +V6e +p9113 +tp9114 +a(g900 +g958 +tp9115 +a(g548 +V75 +p9116 +tp9117 +a(g900 +g958 +tp9118 +a(g548 +V6d +p9119 +tp9120 +a(g900 +g958 +tp9121 +a(g548 +V32 +p9122 +tp9123 +a(g900 +g958 +tp9124 +a(g548 +V77 +p9125 +tp9126 +a(g900 +g958 +tp9127 +a(g548 +V6f +p9128 +tp9129 +a(g900 +g958 +tp9130 +a(g548 +V72 +p9131 +tp9132 +a(g900 +V +p9133 +tp9134 +a(g685 +g1009 +tp9135 +a(g341 +Vring] num2wor +p9136 +tp9137 +a(g685 +g1013 +tp9138 +a(g900 +V\u000a +p9139 +tp9140 +a(g8 +V0004340 +p9141 +tp9142 +a(g900 +g958 +tp9143 +a(g548 +V64 +p9144 +tp9145 +a(g900 +g958 +tp9146 +a(g548 +V73 +p9147 +tp9148 +a(g900 +g958 +tp9149 +a(g548 +V3b +p9150 +tp9151 +a(g900 +g958 +tp9152 +a(g548 +V0a +p9153 +tp9154 +a(g900 +g958 +tp9155 +a(g548 +V0a +p9156 +tp9157 +a(g900 +g958 +tp9158 +a(g548 +V20 +p9159 +tp9160 +a(g900 +g958 +tp9161 +a(g548 +V20 +p9162 +tp9163 +a(g900 +g958 +tp9164 +a(g548 +V20 +p9165 +tp9166 +a(g900 +g958 +tp9167 +a(g548 +V20 +p9168 +tp9169 +a(g900 +g958 +tp9170 +a(g548 +V66 +p9171 +tp9172 +a(g900 +g958 +tp9173 +a(g548 +V6f +p9174 +tp9175 +a(g900 +g958 +tp9176 +a(g548 +V72 +p9177 +tp9178 +a(g900 +g958 +tp9179 +a(g548 +V65 +p9180 +tp9181 +a(g900 +g958 +tp9182 +a(g548 +V61 +p9183 +tp9184 +a(g900 +g958 +tp9185 +a(g548 +V63 +p9186 +tp9187 +a(g900 +g958 +tp9188 +a(g548 +V68 +p9189 +tp9190 +a(g900 +V +p9191 +tp9192 +a(g685 +g1009 +tp9193 +a(g341 +Vds;.. foreach +p9194 +tp9195 +a(g685 +g1013 +tp9196 +a(g900 +V\u000a +p9197 +tp9198 +a(g8 +V0004360 +p9199 +tp9200 +a(g900 +g958 +tp9201 +a(g548 +V28 +p9202 +tp9203 +a(g900 +g958 +tp9204 +a(g548 +V73 +p9205 +tp9206 +a(g900 +g958 +tp9207 +a(g548 +V74 +p9208 +tp9209 +a(g900 +g958 +tp9210 +a(g548 +V72 +p9211 +tp9212 +a(g900 +g958 +tp9213 +a(g548 +V69 +p9214 +tp9215 +a(g900 +g958 +tp9216 +a(g548 +V6e +p9217 +tp9218 +a(g900 +g958 +tp9219 +a(g548 +V67 +p9220 +tp9221 +a(g900 +g958 +tp9222 +a(g548 +V20 +p9223 +tp9224 +a(g900 +g958 +tp9225 +a(g548 +V77 +p9226 +tp9227 +a(g900 +g958 +tp9228 +a(g548 +V6f +p9229 +tp9230 +a(g900 +g958 +tp9231 +a(g548 +V72 +p9232 +tp9233 +a(g900 +g958 +tp9234 +a(g548 +V64 +p9235 +tp9236 +a(g900 +g958 +tp9237 +a(g548 +V3b +p9238 +tp9239 +a(g900 +g958 +tp9240 +a(g548 +V20 +p9241 +tp9242 +a(g900 +g958 +tp9243 +a(g548 +V6e +p9244 +tp9245 +a(g900 +g958 +tp9246 +a(g548 +V65 +p9247 +tp9248 +a(g900 +V +p9249 +tp9250 +a(g685 +g1009 +tp9251 +a(g341 +V(string word; ne +p9252 +tp9253 +a(g685 +g1013 +tp9254 +a(g900 +V\u000a +p9255 +tp9256 +a(g8 +V0004400 +p9257 +tp9258 +a(g900 +g958 +tp9259 +a(g548 +V77 +p9260 +tp9261 +a(g900 +g958 +tp9262 +a(g548 +V20 +p9263 +tp9264 +a(g900 +g958 +tp9265 +a(g548 +V42 +p9266 +tp9267 +a(g900 +g958 +tp9268 +a(g548 +V75 +p9269 +tp9270 +a(g900 +g958 +tp9271 +a(g548 +V66 +p9272 +tp9273 +a(g900 +g958 +tp9274 +a(g548 +V66 +p9275 +tp9276 +a(g900 +g958 +tp9277 +a(g548 +V65 +p9278 +tp9279 +a(g900 +g958 +tp9280 +a(g548 +V72 +p9281 +tp9282 +a(g900 +g958 +tp9283 +a(g548 +V65 +p9284 +tp9285 +a(g900 +g958 +tp9286 +a(g548 +V64 +p9287 +tp9288 +a(g900 +g958 +tp9289 +a(g548 +V46 +p9290 +tp9291 +a(g900 +g958 +tp9292 +a(g548 +V69 +p9293 +tp9294 +a(g900 +g958 +tp9295 +a(g548 +V6c +p9296 +tp9297 +a(g900 +g958 +tp9298 +a(g548 +V65 +p9299 +tp9300 +a(g900 +g958 +tp9301 +a(g548 +V28 +p9302 +tp9303 +a(g900 +g958 +tp9304 +a(g548 +V22 +p9305 +tp9306 +a(g900 +V +p9307 +tp9308 +a(g685 +g1009 +tp9309 +a(g341 +Vw BufferedFile(" +p9310 +tp9311 +a(g685 +g1013 +tp9312 +a(g900 +V\u000a +p9313 +tp9314 +a(g8 +V0004420 +p9315 +tp9316 +a(g900 +g958 +tp9317 +a(g548 +V64 +p9318 +tp9319 +a(g900 +g958 +tp9320 +a(g548 +V69 +p9321 +tp9322 +a(g900 +g958 +tp9323 +a(g548 +V63 +p9324 +tp9325 +a(g900 +g958 +tp9326 +a(g548 +V74 +p9327 +tp9328 +a(g900 +g958 +tp9329 +a(g548 +V69 +p9330 +tp9331 +a(g900 +g958 +tp9332 +a(g548 +V6f +p9333 +tp9334 +a(g900 +g958 +tp9335 +a(g548 +V6e +p9336 +tp9337 +a(g900 +g958 +tp9338 +a(g548 +V61 +p9339 +tp9340 +a(g900 +g958 +tp9341 +a(g548 +V72 +p9342 +tp9343 +a(g900 +g958 +tp9344 +a(g548 +V79 +p9345 +tp9346 +a(g900 +g958 +tp9347 +a(g548 +V2e +p9348 +tp9349 +a(g900 +g958 +tp9350 +a(g548 +V74 +p9351 +tp9352 +a(g900 +g958 +tp9353 +a(g548 +V78 +p9354 +tp9355 +a(g900 +g958 +tp9356 +a(g548 +V74 +p9357 +tp9358 +a(g900 +g958 +tp9359 +a(g548 +V22 +p9360 +tp9361 +a(g900 +g958 +tp9362 +a(g548 +V20 +p9363 +tp9364 +a(g900 +V +p9365 +tp9366 +a(g685 +g1009 +tp9367 +a(g341 +Vdictionary.txt" +p9368 +tp9369 +a(g685 +g1013 +tp9370 +a(g900 +V\u000a +p9371 +tp9372 +a(g8 +V0004440 +p9373 +tp9374 +a(g900 +g958 +tp9375 +a(g548 +V29 +p9376 +tp9377 +a(g900 +g958 +tp9378 +a(g548 +V20 +p9379 +tp9380 +a(g900 +g958 +tp9381 +a(g548 +V29 +p9382 +tp9383 +a(g900 +g958 +tp9384 +a(g548 +V0a +p9385 +tp9386 +a(g900 +g958 +tp9387 +a(g548 +V20 +p9388 +tp9389 +a(g900 +g958 +tp9390 +a(g548 +V20 +p9391 +tp9392 +a(g900 +g958 +tp9393 +a(g548 +V20 +p9394 +tp9395 +a(g900 +g958 +tp9396 +a(g548 +V20 +p9397 +tp9398 +a(g900 +g958 +tp9399 +a(g548 +V20 +p9400 +tp9401 +a(g900 +g958 +tp9402 +a(g548 +V20 +p9403 +tp9404 +a(g900 +g958 +tp9405 +a(g548 +V20 +p9406 +tp9407 +a(g900 +g958 +tp9408 +a(g548 +V20 +p9409 +tp9410 +a(g900 +g958 +tp9411 +a(g548 +V6e +p9412 +tp9413 +a(g900 +g958 +tp9414 +a(g548 +V75 +p9415 +tp9416 +a(g900 +g958 +tp9417 +a(g548 +V6d +p9418 +tp9419 +a(g900 +g958 +tp9420 +a(g548 +V32 +p9421 +tp9422 +a(g900 +V +p9423 +tp9424 +a(g685 +g1009 +tp9425 +a(g341 +V) ). num2 +p9426 +tp9427 +a(g685 +g1013 +tp9428 +a(g900 +V\u000a +p9429 +tp9430 +a(g8 +V0004460 +p9431 +tp9432 +a(g900 +g958 +tp9433 +a(g548 +V77 +p9434 +tp9435 +a(g900 +g958 +tp9436 +a(g548 +V6f +p9437 +tp9438 +a(g900 +g958 +tp9439 +a(g548 +V72 +p9440 +tp9441 +a(g900 +g958 +tp9442 +a(g548 +V64 +p9443 +tp9444 +a(g900 +g958 +tp9445 +a(g548 +V73 +p9446 +tp9447 +a(g900 +g958 +tp9448 +a(g548 +V5b +p9449 +tp9450 +a(g900 +g958 +tp9451 +a(g548 +V20 +p9452 +tp9453 +a(g900 +g958 +tp9454 +a(g548 +V77 +p9455 +tp9456 +a(g900 +g958 +tp9457 +a(g548 +V6f +p9458 +tp9459 +a(g900 +g958 +tp9460 +a(g548 +V72 +p9461 +tp9462 +a(g900 +g958 +tp9463 +a(g548 +V64 +p9464 +tp9465 +a(g900 +g958 +tp9466 +a(g548 +V54 +p9467 +tp9468 +a(g900 +g958 +tp9469 +a(g548 +V6f +p9470 +tp9471 +a(g900 +g958 +tp9472 +a(g548 +V4e +p9473 +tp9474 +a(g900 +g958 +tp9475 +a(g548 +V75 +p9476 +tp9477 +a(g900 +g958 +tp9478 +a(g548 +V6d +p9479 +tp9480 +a(g900 +V +p9481 +tp9482 +a(g685 +g1009 +tp9483 +a(g341 +Vwords[ wordToNum +p9484 +tp9485 +a(g685 +g1013 +tp9486 +a(g900 +V\u000a +p9487 +tp9488 +a(g8 +V0004500 +p9489 +tp9490 +a(g900 +g958 +tp9491 +a(g548 +V28 +p9492 +tp9493 +a(g900 +g958 +tp9494 +a(g548 +V77 +p9495 +tp9496 +a(g900 +g958 +tp9497 +a(g548 +V6f +p9498 +tp9499 +a(g900 +g958 +tp9500 +a(g548 +V72 +p9501 +tp9502 +a(g900 +g958 +tp9503 +a(g548 +V64 +p9504 +tp9505 +a(g900 +g958 +tp9506 +a(g548 +V29 +p9507 +tp9508 +a(g900 +g958 +tp9509 +a(g548 +V20 +p9510 +tp9511 +a(g900 +g958 +tp9512 +a(g548 +V5d +p9513 +tp9514 +a(g900 +g958 +tp9515 +a(g548 +V20 +p9516 +tp9517 +a(g900 +g958 +tp9518 +a(g548 +V7e +p9519 +tp9520 +a(g900 +g958 +tp9521 +a(g548 +V3d +p9522 +tp9523 +a(g900 +g958 +tp9524 +a(g548 +V20 +p9525 +tp9526 +a(g900 +g958 +tp9527 +a(g548 +V77 +p9528 +tp9529 +a(g900 +g958 +tp9530 +a(g548 +V6f +p9531 +tp9532 +a(g900 +g958 +tp9533 +a(g548 +V72 +p9534 +tp9535 +a(g900 +g958 +tp9536 +a(g548 +V64 +p9537 +tp9538 +a(g900 +V +p9539 +tp9540 +a(g685 +g1009 +tp9541 +a(g341 +V(word) ] ~= word +p9542 +tp9543 +a(g685 +g1013 +tp9544 +a(g900 +V\u000a +p9545 +tp9546 +a(g8 +V0004520 +p9547 +tp9548 +a(g900 +g958 +tp9549 +a(g548 +V2e +p9550 +tp9551 +a(g900 +g958 +tp9552 +a(g548 +V64 +p9553 +tp9554 +a(g900 +g958 +tp9555 +a(g548 +V75 +p9556 +tp9557 +a(g900 +g958 +tp9558 +a(g548 +V70 +p9559 +tp9560 +a(g900 +g958 +tp9561 +a(g548 +V3b +p9562 +tp9563 +a(g900 +g958 +tp9564 +a(g548 +V20 +p9565 +tp9566 +a(g900 +g958 +tp9567 +a(g548 +V20 +p9568 +tp9569 +a(g900 +g958 +tp9570 +a(g548 +V20 +p9571 +tp9572 +a(g900 +g958 +tp9573 +a(g548 +V20 +p9574 +tp9575 +a(g900 +g958 +tp9576 +a(g548 +V20 +p9577 +tp9578 +a(g900 +g958 +tp9579 +a(g548 +V20 +p9580 +tp9581 +a(g900 +g958 +tp9582 +a(g548 +V20 +p9583 +tp9584 +a(g900 +g958 +tp9585 +a(g548 +V20 +p9586 +tp9587 +a(g900 +g958 +tp9588 +a(g548 +V2f +p9589 +tp9590 +a(g900 +g958 +tp9591 +a(g548 +V2f +p9592 +tp9593 +a(g900 +g958 +tp9594 +a(g548 +V20 +p9595 +tp9596 +a(g900 +V +p9597 +tp9598 +a(g685 +g1009 +tp9599 +a(g341 +V.dup; // +p9600 +tp9601 +a(g685 +g1013 +tp9602 +a(g900 +V\u000a +p9603 +tp9604 +a(g8 +V0004540 +p9605 +tp9606 +a(g900 +g958 +tp9607 +a(g548 +V6d +p9608 +tp9609 +a(g900 +g958 +tp9610 +a(g548 +V75 +p9611 +tp9612 +a(g900 +g958 +tp9613 +a(g548 +V73 +p9614 +tp9615 +a(g900 +g958 +tp9616 +a(g548 +V74 +p9617 +tp9618 +a(g900 +g958 +tp9619 +a(g548 +V20 +p9620 +tp9621 +a(g900 +g958 +tp9622 +a(g548 +V64 +p9623 +tp9624 +a(g900 +g958 +tp9625 +a(g548 +V75 +p9626 +tp9627 +a(g900 +g958 +tp9628 +a(g548 +V70 +p9629 +tp9630 +a(g900 +g958 +tp9631 +a(g548 +V0a +p9632 +tp9633 +a(g900 +g958 +tp9634 +a(g548 +V0a +p9635 +tp9636 +a(g900 +g958 +tp9637 +a(g548 +V20 +p9638 +tp9639 +a(g900 +g958 +tp9640 +a(g548 +V20 +p9641 +tp9642 +a(g900 +g958 +tp9643 +a(g548 +V20 +p9644 +tp9645 +a(g900 +g958 +tp9646 +a(g548 +V20 +p9647 +tp9648 +a(g900 +g958 +tp9649 +a(g548 +V2f +p9650 +tp9651 +a(g900 +g958 +tp9652 +a(g548 +V2f +p9653 +tp9654 +a(g900 +V +p9655 +tp9656 +a(g685 +g1009 +tp9657 +a(g341 +Vmust dup.. // +p9658 +tp9659 +a(g685 +g1013 +tp9660 +a(g900 +V\u000a +p9661 +tp9662 +a(g8 +V0004560 +p9663 +tp9664 +a(g900 +g958 +tp9665 +a(g548 +V2f +p9666 +tp9667 +a(g900 +g958 +tp9668 +a(g548 +V20 +p9669 +tp9670 +a(g900 +g958 +tp9671 +a(g548 +V46 +p9672 +tp9673 +a(g900 +g958 +tp9674 +a(g548 +V69 +p9675 +tp9676 +a(g900 +g958 +tp9677 +a(g548 +V6e +p9678 +tp9679 +a(g900 +g958 +tp9680 +a(g548 +V64 +p9681 +tp9682 +a(g900 +g958 +tp9683 +a(g548 +V73 +p9684 +tp9685 +a(g900 +g958 +tp9686 +a(g548 +V20 +p9687 +tp9688 +a(g900 +g958 +tp9689 +a(g548 +V61 +p9690 +tp9691 +a(g900 +g958 +tp9692 +a(g548 +V6c +p9693 +tp9694 +a(g900 +g958 +tp9695 +a(g548 +V6c +p9696 +tp9697 +a(g900 +g958 +tp9698 +a(g548 +V20 +p9699 +tp9700 +a(g900 +g958 +tp9701 +a(g548 +V61 +p9702 +tp9703 +a(g900 +g958 +tp9704 +a(g548 +V6c +p9705 +tp9706 +a(g900 +g958 +tp9707 +a(g548 +V74 +p9708 +tp9709 +a(g900 +g958 +tp9710 +a(g548 +V65 +p9711 +tp9712 +a(g900 +V +p9713 +tp9714 +a(g685 +g1009 +tp9715 +a(g341 +V/ Finds all alte +p9716 +tp9717 +a(g685 +g1013 +tp9718 +a(g900 +V\u000a +p9719 +tp9720 +a(g8 +V0004600 +p9721 +tp9722 +a(g900 +g958 +tp9723 +a(g548 +V72 +p9724 +tp9725 +a(g900 +g958 +tp9726 +a(g548 +V6e +p9727 +tp9728 +a(g900 +g958 +tp9729 +a(g548 +V61 +p9730 +tp9731 +a(g900 +g958 +tp9732 +a(g548 +V74 +p9733 +tp9734 +a(g900 +g958 +tp9735 +a(g548 +V69 +p9736 +tp9737 +a(g900 +g958 +tp9738 +a(g548 +V76 +p9739 +tp9740 +a(g900 +g958 +tp9741 +a(g548 +V65 +p9742 +tp9743 +a(g900 +g958 +tp9744 +a(g548 +V73 +p9745 +tp9746 +a(g900 +g958 +tp9747 +a(g548 +V20 +p9748 +tp9749 +a(g900 +g958 +tp9750 +a(g548 +V66 +p9751 +tp9752 +a(g900 +g958 +tp9753 +a(g548 +V6f +p9754 +tp9755 +a(g900 +g958 +tp9756 +a(g548 +V72 +p9757 +tp9758 +a(g900 +g958 +tp9759 +a(g548 +V20 +p9760 +tp9761 +a(g900 +g958 +tp9762 +a(g548 +V74 +p9763 +tp9764 +a(g900 +g958 +tp9765 +a(g548 +V68 +p9766 +tp9767 +a(g900 +g958 +tp9768 +a(g548 +V65 +p9769 +tp9770 +a(g900 +V +p9771 +tp9772 +a(g685 +g1009 +tp9773 +a(g341 +Vrnatives for the +p9774 +tp9775 +a(g685 +g1013 +tp9776 +a(g900 +V\u000a +p9777 +tp9778 +a(g8 +V0004620 +p9779 +tp9780 +a(g900 +g958 +tp9781 +a(g548 +V20 +p9782 +tp9783 +a(g900 +g958 +tp9784 +a(g548 +V67 +p9785 +tp9786 +a(g900 +g958 +tp9787 +a(g548 +V69 +p9788 +tp9789 +a(g900 +g958 +tp9790 +a(g548 +V76 +p9791 +tp9792 +a(g900 +g958 +tp9793 +a(g548 +V65 +p9794 +tp9795 +a(g900 +g958 +tp9796 +a(g548 +V6e +p9797 +tp9798 +a(g900 +g958 +tp9799 +a(g548 +V20 +p9800 +tp9801 +a(g900 +g958 +tp9802 +a(g548 +V6e +p9803 +tp9804 +a(g900 +g958 +tp9805 +a(g548 +V75 +p9806 +tp9807 +a(g900 +g958 +tp9808 +a(g548 +V6d +p9809 +tp9810 +a(g900 +g958 +tp9811 +a(g548 +V62 +p9812 +tp9813 +a(g900 +g958 +tp9814 +a(g548 +V65 +p9815 +tp9816 +a(g900 +g958 +tp9817 +a(g548 +V72 +p9818 +tp9819 +a(g900 +g958 +tp9820 +a(g548 +V0a +p9821 +tp9822 +a(g900 +g958 +tp9823 +a(g548 +V20 +p9824 +tp9825 +a(g900 +g958 +tp9826 +a(g548 +V20 +p9827 +tp9828 +a(g900 +V +p9829 +tp9830 +a(g685 +g1009 +tp9831 +a(g341 +V given number. +p9832 +tp9833 +a(g685 +g1013 +tp9834 +a(g900 +V\u000a +p9835 +tp9836 +a(g8 +V0004640 +p9837 +tp9838 +a(g900 +g958 +tp9839 +a(g548 +V20 +p9840 +tp9841 +a(g900 +g958 +tp9842 +a(g548 +V20 +p9843 +tp9844 +a(g900 +g958 +tp9845 +a(g548 +V2f +p9846 +tp9847 +a(g900 +g958 +tp9848 +a(g548 +V2f +p9849 +tp9850 +a(g900 +g958 +tp9851 +a(g548 +V2f +p9852 +tp9853 +a(g900 +g958 +tp9854 +a(g548 +V20 +p9855 +tp9856 +a(g900 +g958 +tp9857 +a(g548 +V28 +p9858 +tp9859 +a(g900 +g958 +tp9860 +a(g548 +V73 +p9861 +tp9862 +a(g900 +g958 +tp9863 +a(g548 +V68 +p9864 +tp9865 +a(g900 +g958 +tp9866 +a(g548 +V6f +p9867 +tp9868 +a(g900 +g958 +tp9869 +a(g548 +V75 +p9870 +tp9871 +a(g900 +g958 +tp9872 +a(g548 +V6c +p9873 +tp9874 +a(g900 +g958 +tp9875 +a(g548 +V64 +p9876 +tp9877 +a(g900 +g958 +tp9878 +a(g548 +V20 +p9879 +tp9880 +a(g900 +g958 +tp9881 +a(g548 +V68 +p9882 +tp9883 +a(g900 +g958 +tp9884 +a(g548 +V61 +p9885 +tp9886 +a(g900 +V +p9887 +tp9888 +a(g685 +g1009 +tp9889 +a(g341 +V /// (should ha +p9890 +tp9891 +a(g685 +g1013 +tp9892 +a(g900 +V\u000a +p9893 +tp9894 +a(g8 +V0004660 +p9895 +tp9896 +a(g900 +g958 +tp9897 +a(g548 +V76 +p9898 +tp9899 +a(g900 +g958 +tp9900 +a(g548 +V65 +p9901 +tp9902 +a(g900 +g958 +tp9903 +a(g548 +V20 +p9904 +tp9905 +a(g900 +g958 +tp9906 +a(g548 +V62 +p9907 +tp9908 +a(g900 +g958 +tp9909 +a(g548 +V65 +p9910 +tp9911 +a(g900 +g958 +tp9912 +a(g548 +V65 +p9913 +tp9914 +a(g900 +g958 +tp9915 +a(g548 +V6e +p9916 +tp9917 +a(g900 +g958 +tp9918 +a(g548 +V20 +p9919 +tp9920 +a(g900 +g958 +tp9921 +a(g548 +V73 +p9922 +tp9923 +a(g900 +g958 +tp9924 +a(g548 +V74 +p9925 +tp9926 +a(g900 +g958 +tp9927 +a(g548 +V72 +p9928 +tp9929 +a(g900 +g958 +tp9930 +a(g548 +V69 +p9931 +tp9932 +a(g900 +g958 +tp9933 +a(g548 +V70 +p9934 +tp9935 +a(g900 +g958 +tp9936 +a(g548 +V70 +p9937 +tp9938 +a(g900 +g958 +tp9939 +a(g548 +V65 +p9940 +tp9941 +a(g900 +g958 +tp9942 +a(g548 +V64 +p9943 +tp9944 +a(g900 +V +p9945 +tp9946 +a(g685 +g1009 +tp9947 +a(g341 +Vve been stripped +p9948 +tp9949 +a(g685 +g1013 +tp9950 +a(g900 +V\u000a +p9951 +tp9952 +a(g8 +V0004700 +p9953 +tp9954 +a(g900 +g958 +tp9955 +a(g548 +V20 +p9956 +tp9957 +a(g900 +g958 +tp9958 +a(g548 +V66 +p9959 +tp9960 +a(g900 +g958 +tp9961 +a(g548 +V72 +p9962 +tp9963 +a(g900 +g958 +tp9964 +a(g548 +V6f +p9965 +tp9966 +a(g900 +g958 +tp9967 +a(g548 +V6d +p9968 +tp9969 +a(g900 +g958 +tp9970 +a(g548 +V20 +p9971 +tp9972 +a(g900 +g958 +tp9973 +a(g548 +V6e +p9974 +tp9975 +a(g900 +g958 +tp9976 +a(g548 +V6f +p9977 +tp9978 +a(g900 +g958 +tp9979 +a(g548 +V6e +p9980 +tp9981 +a(g900 +g958 +tp9982 +a(g548 +V2d +p9983 +tp9984 +a(g900 +g958 +tp9985 +a(g548 +V64 +p9986 +tp9987 +a(g900 +g958 +tp9988 +a(g548 +V69 +p9989 +tp9990 +a(g900 +g958 +tp9991 +a(g548 +V67 +p9992 +tp9993 +a(g900 +g958 +tp9994 +a(g548 +V69 +p9995 +tp9996 +a(g900 +g958 +tp9997 +a(g548 +V74 +p9998 +tp9999 +a(g900 +g958 +tp10000 +a(g548 +V20 +p10001 +tp10002 +a(g900 +V +p10003 +tp10004 +a(g685 +g1009 +tp10005 +a(g341 +V from non-digit +p10006 +tp10007 +a(g685 +g1013 +tp10008 +a(g900 +V\u000a +p10009 +tp10010 +a(g8 +V0004720 +p10011 +tp10012 +a(g900 +g958 +tp10013 +a(g548 +V63 +p10014 +tp10015 +a(g900 +g958 +tp10016 +a(g548 +V68 +p10017 +tp10018 +a(g900 +g958 +tp10019 +a(g548 +V61 +p10020 +tp10021 +a(g900 +g958 +tp10022 +a(g548 +V72 +p10023 +tp10024 +a(g900 +g958 +tp10025 +a(g548 +V61 +p10026 +tp10027 +a(g900 +g958 +tp10028 +a(g548 +V63 +p10029 +tp10030 +a(g900 +g958 +tp10031 +a(g548 +V74 +p10032 +tp10033 +a(g900 +g958 +tp10034 +a(g548 +V65 +p10035 +tp10036 +a(g900 +g958 +tp10037 +a(g548 +V72 +p10038 +tp10039 +a(g900 +g958 +tp10040 +a(g548 +V73 +p10041 +tp10042 +a(g900 +g958 +tp10043 +a(g548 +V29 +p10044 +tp10045 +a(g900 +g958 +tp10046 +a(g548 +V0a +p10047 +tp10048 +a(g900 +g958 +tp10049 +a(g548 +V20 +p10050 +tp10051 +a(g900 +g958 +tp10052 +a(g548 +V20 +p10053 +tp10054 +a(g900 +g958 +tp10055 +a(g548 +V20 +p10056 +tp10057 +a(g900 +g958 +tp10058 +a(g548 +V20 +p10059 +tp10060 +a(g900 +V +p10061 +tp10062 +a(g685 +g1009 +tp10063 +a(g341 +Vcharacters). +p10064 +tp10065 +a(g685 +g1013 +tp10066 +a(g900 +V\u000a +p10067 +tp10068 +a(g8 +V0004740 +p10069 +tp10070 +a(g900 +g958 +tp10071 +a(g548 +V73 +p10072 +tp10073 +a(g900 +g958 +tp10074 +a(g548 +V74 +p10075 +tp10076 +a(g900 +g958 +tp10077 +a(g548 +V72 +p10078 +tp10079 +a(g900 +g958 +tp10080 +a(g548 +V69 +p10081 +tp10082 +a(g900 +g958 +tp10083 +a(g548 +V6e +p10084 +tp10085 +a(g900 +g958 +tp10086 +a(g548 +V67 +p10087 +tp10088 +a(g900 +g958 +tp10089 +a(g548 +V61 +p10090 +tp10091 +a(g900 +g958 +tp10092 +a(g548 +V72 +p10093 +tp10094 +a(g900 +g958 +tp10095 +a(g548 +V72 +p10096 +tp10097 +a(g900 +g958 +tp10098 +a(g548 +V61 +p10099 +tp10100 +a(g900 +g958 +tp10101 +a(g548 +V79 +p10102 +tp10103 +a(g900 +g958 +tp10104 +a(g548 +V20 +p10105 +tp10106 +a(g900 +g958 +tp10107 +a(g548 +V5f +p10108 +tp10109 +a(g900 +g958 +tp10110 +a(g548 +V46 +p10111 +tp10112 +a(g900 +g958 +tp10113 +a(g548 +V69 +p10114 +tp10115 +a(g900 +g958 +tp10116 +a(g548 +V6e +p10117 +tp10118 +a(g900 +V +p10119 +tp10120 +a(g685 +g1009 +tp10121 +a(g341 +Vstringarray _Fin +p10122 +tp10123 +a(g685 +g1013 +tp10124 +a(g900 +V\u000a +p10125 +tp10126 +a(g8 +V0004760 +p10127 +tp10128 +a(g900 +g958 +tp10129 +a(g548 +V64 +p10130 +tp10131 +a(g900 +g958 +tp10132 +a(g548 +V57 +p10133 +tp10134 +a(g900 +g958 +tp10135 +a(g548 +V6f +p10136 +tp10137 +a(g900 +g958 +tp10138 +a(g548 +V72 +p10139 +tp10140 +a(g900 +g958 +tp10141 +a(g548 +V64 +p10142 +tp10143 +a(g900 +g958 +tp10144 +a(g548 +V73 +p10145 +tp10146 +a(g900 +g958 +tp10147 +a(g548 +V28 +p10148 +tp10149 +a(g900 +g958 +tp10150 +a(g548 +V20 +p10151 +tp10152 +a(g900 +g958 +tp10153 +a(g548 +V73 +p10154 +tp10155 +a(g900 +g958 +tp10156 +a(g548 +V74 +p10157 +tp10158 +a(g900 +g958 +tp10159 +a(g548 +V72 +p10160 +tp10161 +a(g900 +g958 +tp10162 +a(g548 +V69 +p10163 +tp10164 +a(g900 +g958 +tp10165 +a(g548 +V6e +p10166 +tp10167 +a(g900 +g958 +tp10168 +a(g548 +V67 +p10169 +tp10170 +a(g900 +g958 +tp10171 +a(g548 +V20 +p10172 +tp10173 +a(g900 +g958 +tp10174 +a(g548 +V6e +p10175 +tp10176 +a(g900 +V +p10177 +tp10178 +a(g685 +g1009 +tp10179 +a(g341 +VdWords( string n +p10180 +tp10181 +a(g685 +g1013 +tp10182 +a(g900 +V\u000a +p10183 +tp10184 +a(g8 +V0005000 +p10185 +tp10186 +a(g900 +g958 +tp10187 +a(g548 +V75 +p10188 +tp10189 +a(g900 +g958 +tp10190 +a(g548 +V6d +p10191 +tp10192 +a(g900 +g958 +tp10193 +a(g548 +V62 +p10194 +tp10195 +a(g900 +g958 +tp10196 +a(g548 +V65 +p10197 +tp10198 +a(g900 +g958 +tp10199 +a(g548 +V72 +p10200 +tp10201 +a(g900 +g958 +tp10202 +a(g548 +V73 +p10203 +tp10204 +a(g900 +g958 +tp10205 +a(g548 +V2c +p10206 +tp10207 +a(g900 +g958 +tp10208 +a(g548 +V20 +p10209 +tp10210 +a(g900 +g958 +tp10211 +a(g548 +V62 +p10212 +tp10213 +a(g900 +g958 +tp10214 +a(g548 +V6f +p10215 +tp10216 +a(g900 +g958 +tp10217 +a(g548 +V6f +p10218 +tp10219 +a(g900 +g958 +tp10220 +a(g548 +V6c +p10221 +tp10222 +a(g900 +g958 +tp10223 +a(g548 +V20 +p10224 +tp10225 +a(g900 +g958 +tp10226 +a(g548 +V64 +p10227 +tp10228 +a(g900 +g958 +tp10229 +a(g548 +V69 +p10230 +tp10231 +a(g900 +g958 +tp10232 +a(g548 +V67 +p10233 +tp10234 +a(g900 +V +p10235 +tp10236 +a(g685 +g1009 +tp10237 +a(g341 +Vumbers, bool dig +p10238 +tp10239 +a(g685 +g1013 +tp10240 +a(g900 +V\u000a +p10241 +tp10242 +a(g8 +V0005020 +p10243 +tp10244 +a(g900 +g958 +tp10245 +a(g548 +V69 +p10246 +tp10247 +a(g900 +g958 +tp10248 +a(g548 +V74 +p10249 +tp10250 +a(g900 +g958 +tp10251 +a(g548 +V6f +p10252 +tp10253 +a(g900 +g958 +tp10254 +a(g548 +V6b +p10255 +tp10256 +a(g900 +g958 +tp10257 +a(g548 +V20 +p10258 +tp10259 +a(g900 +g958 +tp10260 +a(g548 +V29 +p10261 +tp10262 +a(g900 +g958 +tp10263 +a(g548 +V0a +p10264 +tp10265 +a(g900 +g958 +tp10266 +a(g548 +V20 +p10267 +tp10268 +a(g900 +g958 +tp10269 +a(g548 +V20 +p10270 +tp10271 +a(g900 +g958 +tp10272 +a(g548 +V20 +p10273 +tp10274 +a(g900 +g958 +tp10275 +a(g548 +V20 +p10276 +tp10277 +a(g900 +g958 +tp10278 +a(g548 +V69 +p10279 +tp10280 +a(g900 +g958 +tp10281 +a(g548 +V6e +p10282 +tp10283 +a(g900 +g958 +tp10284 +a(g548 +V20 +p10285 +tp10286 +a(g900 +g958 +tp10287 +a(g548 +V7b +p10288 +tp10289 +a(g900 +g958 +tp10290 +a(g548 +V0a +p10291 +tp10292 +a(g900 +V +p10293 +tp10294 +a(g685 +g1009 +tp10295 +a(g341 +Vitok ). in {. +p10296 +tp10297 +a(g685 +g1013 +tp10298 +a(g900 +V\u000a +p10299 +tp10300 +a(g8 +V0005040 +p10301 +tp10302 +a(g900 +g958 +tp10303 +a(g548 +V20 +p10304 +tp10305 +a(g900 +g958 +tp10306 +a(g548 +V20 +p10307 +tp10308 +a(g900 +g958 +tp10309 +a(g548 +V20 +p10310 +tp10311 +a(g900 +g958 +tp10312 +a(g548 +V20 +p10313 +tp10314 +a(g900 +g958 +tp10315 +a(g548 +V20 +p10316 +tp10317 +a(g900 +g958 +tp10318 +a(g548 +V20 +p10319 +tp10320 +a(g900 +g958 +tp10321 +a(g548 +V20 +p10322 +tp10323 +a(g900 +g958 +tp10324 +a(g548 +V20 +p10325 +tp10326 +a(g900 +g958 +tp10327 +a(g548 +V61 +p10328 +tp10329 +a(g900 +g958 +tp10330 +a(g548 +V73 +p10331 +tp10332 +a(g900 +g958 +tp10333 +a(g548 +V73 +p10334 +tp10335 +a(g900 +g958 +tp10336 +a(g548 +V65 +p10337 +tp10338 +a(g900 +g958 +tp10339 +a(g548 +V72 +p10340 +tp10341 +a(g900 +g958 +tp10342 +a(g548 +V74 +p10343 +tp10344 +a(g900 +g958 +tp10345 +a(g548 +V28 +p10346 +tp10347 +a(g900 +g958 +tp10348 +a(g548 +V6e +p10349 +tp10350 +a(g900 +V +p10351 +tp10352 +a(g685 +g1009 +tp10353 +a(g341 +V assert(n +p10354 +tp10355 +a(g685 +g1013 +tp10356 +a(g900 +V\u000a +p10357 +tp10358 +a(g8 +V0005060 +p10359 +tp10360 +a(g900 +g958 +tp10361 +a(g548 +V75 +p10362 +tp10363 +a(g900 +g958 +tp10364 +a(g548 +V6d +p10365 +tp10366 +a(g900 +g958 +tp10367 +a(g548 +V62 +p10368 +tp10369 +a(g900 +g958 +tp10370 +a(g548 +V65 +p10371 +tp10372 +a(g900 +g958 +tp10373 +a(g548 +V72 +p10374 +tp10375 +a(g900 +g958 +tp10376 +a(g548 +V73 +p10377 +tp10378 +a(g900 +g958 +tp10379 +a(g548 +V2e +p10380 +tp10381 +a(g900 +g958 +tp10382 +a(g548 +V6c +p10383 +tp10384 +a(g900 +g958 +tp10385 +a(g548 +V65 +p10386 +tp10387 +a(g900 +g958 +tp10388 +a(g548 +V6e +p10389 +tp10390 +a(g900 +g958 +tp10391 +a(g548 +V67 +p10392 +tp10393 +a(g900 +g958 +tp10394 +a(g548 +V74 +p10395 +tp10396 +a(g900 +g958 +tp10397 +a(g548 +V68 +p10398 +tp10399 +a(g900 +g958 +tp10400 +a(g548 +V20 +p10401 +tp10402 +a(g900 +g958 +tp10403 +a(g548 +V3e +p10404 +tp10405 +a(g900 +g958 +tp10406 +a(g548 +V20 +p10407 +tp10408 +a(g900 +V +p10409 +tp10410 +a(g685 +g1009 +tp10411 +a(g341 +Vumbers.length > +p10412 +tp10413 +a(g685 +g1013 +tp10414 +a(g900 +V\u000a +p10415 +tp10416 +a(g8 +V0005100 +p10417 +tp10418 +a(g900 +g958 +tp10419 +a(g548 +V20 +p10420 +tp10421 +a(g900 +g958 +tp10422 +a(g548 +V30 +p10423 +tp10424 +a(g900 +g958 +tp10425 +a(g548 +V29 +p10426 +tp10427 +a(g900 +g958 +tp10428 +a(g548 +V3b +p10429 +tp10430 +a(g900 +g958 +tp10431 +a(g548 +V20 +p10432 +tp10433 +a(g900 +g958 +tp10434 +a(g548 +V20 +p10435 +tp10436 +a(g900 +g958 +tp10437 +a(g548 +V20 +p10438 +tp10439 +a(g900 +g958 +tp10440 +a(g548 +V20 +p10441 +tp10442 +a(g900 +g958 +tp10443 +a(g548 +V0a +p10444 +tp10445 +a(g900 +g958 +tp10446 +a(g548 +V20 +p10447 +tp10448 +a(g900 +g958 +tp10449 +a(g548 +V20 +p10450 +tp10451 +a(g900 +g958 +tp10452 +a(g548 +V20 +p10453 +tp10454 +a(g900 +g958 +tp10455 +a(g548 +V20 +p10456 +tp10457 +a(g900 +g958 +tp10458 +a(g548 +V7d +p10459 +tp10460 +a(g900 +g958 +tp10461 +a(g548 +V20 +p10462 +tp10463 +a(g900 +g958 +tp10464 +a(g548 +V20 +p10465 +tp10466 +a(g900 +V +p10467 +tp10468 +a(g685 +g1009 +tp10469 +a(g341 +V 0); . } +p10470 +tp10471 +a(g685 +g1013 +tp10472 +a(g900 +V\u000a +p10473 +tp10474 +a(g8 +V0005120 +p10475 +tp10476 +a(g900 +g958 +tp10477 +a(g548 +V20 +p10478 +tp10479 +a(g900 +g958 +tp10480 +a(g548 +V20 +p10481 +tp10482 +a(g900 +g958 +tp10483 +a(g548 +V0a +p10484 +tp10485 +a(g900 +g958 +tp10486 +a(g548 +V20 +p10487 +tp10488 +a(g900 +g958 +tp10489 +a(g548 +V20 +p10490 +tp10491 +a(g900 +g958 +tp10492 +a(g548 +V20 +p10493 +tp10494 +a(g900 +g958 +tp10495 +a(g548 +V20 +p10496 +tp10497 +a(g900 +g958 +tp10498 +a(g548 +V6f +p10499 +tp10500 +a(g900 +g958 +tp10501 +a(g548 +V75 +p10502 +tp10503 +a(g900 +g958 +tp10504 +a(g548 +V74 +p10505 +tp10506 +a(g900 +g958 +tp10507 +a(g548 +V28 +p10508 +tp10509 +a(g900 +g958 +tp10510 +a(g548 +V72 +p10511 +tp10512 +a(g900 +g958 +tp10513 +a(g548 +V65 +p10514 +tp10515 +a(g900 +g958 +tp10516 +a(g548 +V73 +p10517 +tp10518 +a(g900 +g958 +tp10519 +a(g548 +V75 +p10520 +tp10521 +a(g900 +g958 +tp10522 +a(g548 +V6c +p10523 +tp10524 +a(g900 +V +p10525 +tp10526 +a(g685 +g1009 +tp10527 +a(g341 +V . out(resul +p10528 +tp10529 +a(g685 +g1013 +tp10530 +a(g900 +V\u000a +p10531 +tp10532 +a(g8 +V0005140 +p10533 +tp10534 +a(g900 +g958 +tp10535 +a(g548 +V74 +p10536 +tp10537 +a(g900 +g958 +tp10538 +a(g548 +V29 +p10539 +tp10540 +a(g900 +g958 +tp10541 +a(g548 +V20 +p10542 +tp10543 +a(g900 +g958 +tp10544 +a(g548 +V7b +p10545 +tp10546 +a(g900 +g958 +tp10547 +a(g548 +V0a +p10548 +tp10549 +a(g900 +g958 +tp10550 +a(g548 +V20 +p10551 +tp10552 +a(g900 +g958 +tp10553 +a(g548 +V20 +p10554 +tp10555 +a(g900 +g958 +tp10556 +a(g548 +V20 +p10557 +tp10558 +a(g900 +g958 +tp10559 +a(g548 +V20 +p10560 +tp10561 +a(g900 +g958 +tp10562 +a(g548 +V20 +p10563 +tp10564 +a(g900 +g958 +tp10565 +a(g548 +V20 +p10566 +tp10567 +a(g900 +g958 +tp10568 +a(g548 +V20 +p10569 +tp10570 +a(g900 +g958 +tp10571 +a(g548 +V20 +p10572 +tp10573 +a(g900 +g958 +tp10574 +a(g548 +V66 +p10575 +tp10576 +a(g900 +g958 +tp10577 +a(g548 +V6f +p10578 +tp10579 +a(g900 +g958 +tp10580 +a(g548 +V72 +p10581 +tp10582 +a(g900 +V +p10583 +tp10584 +a(g685 +g1009 +tp10585 +a(g341 +Vt) {. for +p10586 +tp10587 +a(g685 +g1013 +tp10588 +a(g900 +V\u000a +p10589 +tp10590 +a(g8 +V0005160 +p10591 +tp10592 +a(g900 +g958 +tp10593 +a(g548 +V65 +p10594 +tp10595 +a(g900 +g958 +tp10596 +a(g548 +V61 +p10597 +tp10598 +a(g900 +g958 +tp10599 +a(g548 +V63 +p10600 +tp10601 +a(g900 +g958 +tp10602 +a(g548 +V68 +p10603 +tp10604 +a(g900 +g958 +tp10605 +a(g548 +V20 +p10606 +tp10607 +a(g900 +g958 +tp10608 +a(g548 +V28 +p10609 +tp10610 +a(g900 +g958 +tp10611 +a(g548 +V61 +p10612 +tp10613 +a(g900 +g958 +tp10614 +a(g548 +V3b +p10615 +tp10616 +a(g900 +g958 +tp10617 +a(g548 +V20 +p10618 +tp10619 +a(g900 +g958 +tp10620 +a(g548 +V72 +p10621 +tp10622 +a(g900 +g958 +tp10623 +a(g548 +V65 +p10624 +tp10625 +a(g900 +g958 +tp10626 +a(g548 +V73 +p10627 +tp10628 +a(g900 +g958 +tp10629 +a(g548 +V75 +p10630 +tp10631 +a(g900 +g958 +tp10632 +a(g548 +V6c +p10633 +tp10634 +a(g900 +g958 +tp10635 +a(g548 +V74 +p10636 +tp10637 +a(g900 +g958 +tp10638 +a(g548 +V29 +p10639 +tp10640 +a(g900 +V +p10641 +tp10642 +a(g685 +g1009 +tp10643 +a(g341 +Veach (a; result) +p10644 +tp10645 +a(g685 +g1013 +tp10646 +a(g900 +V\u000a +p10647 +tp10648 +a(g8 +V0005200 +p10649 +tp10650 +a(g900 +g958 +tp10651 +a(g548 +V0a +p10652 +tp10653 +a(g900 +g958 +tp10654 +a(g548 +V20 +p10655 +tp10656 +a(g900 +g958 +tp10657 +a(g548 +V20 +p10658 +tp10659 +a(g900 +g958 +tp10660 +a(g548 +V20 +p10661 +tp10662 +a(g900 +g958 +tp10663 +a(g548 +V20 +p10664 +tp10665 +a(g900 +g958 +tp10666 +a(g548 +V20 +p10667 +tp10668 +a(g900 +g958 +tp10669 +a(g548 +V20 +p10670 +tp10671 +a(g900 +g958 +tp10672 +a(g548 +V20 +p10673 +tp10674 +a(g900 +g958 +tp10675 +a(g548 +V20 +p10676 +tp10677 +a(g900 +g958 +tp10678 +a(g548 +V20 +p10679 +tp10680 +a(g900 +g958 +tp10681 +a(g548 +V20 +p10682 +tp10683 +a(g900 +g958 +tp10684 +a(g548 +V20 +p10685 +tp10686 +a(g900 +g958 +tp10687 +a(g548 +V20 +p10688 +tp10689 +a(g900 +g958 +tp10690 +a(g548 +V61 +p10691 +tp10692 +a(g900 +g958 +tp10693 +a(g548 +V73 +p10694 +tp10695 +a(g900 +g958 +tp10696 +a(g548 +V73 +p10697 +tp10698 +a(g900 +V +p10699 +tp10700 +a(g685 +g1009 +tp10701 +a(g341 +V. ass +p10702 +tp10703 +a(g685 +g1013 +tp10704 +a(g900 +V\u000a +p10705 +tp10706 +a(g8 +V0005220 +p10707 +tp10708 +a(g900 +g958 +tp10709 +a(g548 +V65 +p10710 +tp10711 +a(g900 +g958 +tp10712 +a(g548 +V72 +p10713 +tp10714 +a(g900 +g958 +tp10715 +a(g548 +V74 +p10716 +tp10717 +a(g900 +g958 +tp10718 +a(g548 +V28 +p10719 +tp10720 +a(g900 +g958 +tp10721 +a(g548 +V20 +p10722 +tp10723 +a(g900 +g958 +tp10724 +a(g548 +V77 +p10725 +tp10726 +a(g900 +g958 +tp10727 +a(g548 +V6f +p10728 +tp10729 +a(g900 +g958 +tp10730 +a(g548 +V72 +p10731 +tp10732 +a(g900 +g958 +tp10733 +a(g548 +V64 +p10734 +tp10735 +a(g900 +g958 +tp10736 +a(g548 +V54 +p10737 +tp10738 +a(g900 +g958 +tp10739 +a(g548 +V6f +p10740 +tp10741 +a(g900 +g958 +tp10742 +a(g548 +V4e +p10743 +tp10744 +a(g900 +g958 +tp10745 +a(g548 +V75 +p10746 +tp10747 +a(g900 +g958 +tp10748 +a(g548 +V6d +p10749 +tp10750 +a(g900 +g958 +tp10751 +a(g548 +V28 +p10752 +tp10753 +a(g900 +g958 +tp10754 +a(g548 +V61 +p10755 +tp10756 +a(g900 +V +p10757 +tp10758 +a(g685 +g1009 +tp10759 +a(g341 +Vert( wordToNum(a +p10760 +tp10761 +a(g685 +g1013 +tp10762 +a(g900 +V\u000a +p10763 +tp10764 +a(g8 +V0005240 +p10765 +tp10766 +a(g900 +g958 +tp10767 +a(g548 +V29 +p10768 +tp10769 +a(g900 +g958 +tp10770 +a(g548 +V20 +p10771 +tp10772 +a(g900 +g958 +tp10773 +a(g548 +V3d +p10774 +tp10775 +a(g900 +g958 +tp10776 +a(g548 +V3d +p10777 +tp10778 +a(g900 +g958 +tp10779 +a(g548 +V20 +p10780 +tp10781 +a(g900 +g958 +tp10782 +a(g548 +V6e +p10783 +tp10784 +a(g900 +g958 +tp10785 +a(g548 +V75 +p10786 +tp10787 +a(g900 +g958 +tp10788 +a(g548 +V6d +p10789 +tp10790 +a(g900 +g958 +tp10791 +a(g548 +V62 +p10792 +tp10793 +a(g900 +g958 +tp10794 +a(g548 +V65 +p10795 +tp10796 +a(g900 +g958 +tp10797 +a(g548 +V72 +p10798 +tp10799 +a(g900 +g958 +tp10800 +a(g548 +V73 +p10801 +tp10802 +a(g900 +g958 +tp10803 +a(g548 +V20 +p10804 +tp10805 +a(g900 +g958 +tp10806 +a(g548 +V29 +p10807 +tp10808 +a(g900 +g958 +tp10809 +a(g548 +V3b +p10810 +tp10811 +a(g900 +g958 +tp10812 +a(g548 +V0a +p10813 +tp10814 +a(g900 +V +p10815 +tp10816 +a(g685 +g1009 +tp10817 +a(g341 +V) == numbers );. +p10818 +tp10819 +a(g685 +g1013 +tp10820 +a(g900 +V\u000a +p10821 +tp10822 +a(g8 +V0005260 +p10823 +tp10824 +a(g900 +g958 +tp10825 +a(g548 +V20 +p10826 +tp10827 +a(g900 +g958 +tp10828 +a(g548 +V20 +p10829 +tp10830 +a(g900 +g958 +tp10831 +a(g548 +V20 +p10832 +tp10833 +a(g900 +g958 +tp10834 +a(g548 +V20 +p10835 +tp10836 +a(g900 +g958 +tp10837 +a(g548 +V7d +p10838 +tp10839 +a(g900 +g958 +tp10840 +a(g548 +V20 +p10841 +tp10842 +a(g900 +g958 +tp10843 +a(g548 +V20 +p10844 +tp10845 +a(g900 +g958 +tp10846 +a(g548 +V20 +p10847 +tp10848 +a(g900 +g958 +tp10849 +a(g548 +V20 +p10850 +tp10851 +a(g900 +g958 +tp10852 +a(g548 +V0a +p10853 +tp10854 +a(g900 +g958 +tp10855 +a(g548 +V20 +p10856 +tp10857 +a(g900 +g958 +tp10858 +a(g548 +V20 +p10859 +tp10860 +a(g900 +g958 +tp10861 +a(g548 +V20 +p10862 +tp10863 +a(g900 +g958 +tp10864 +a(g548 +V20 +p10865 +tp10866 +a(g900 +g958 +tp10867 +a(g548 +V62 +p10868 +tp10869 +a(g900 +g958 +tp10870 +a(g548 +V6f +p10871 +tp10872 +a(g900 +V +p10873 +tp10874 +a(g685 +g1009 +tp10875 +a(g341 +V } . bo +p10876 +tp10877 +a(g685 +g1013 +tp10878 +a(g900 +V\u000a +p10879 +tp10880 +a(g8 +V0005300 +p10881 +tp10882 +a(g900 +g958 +tp10883 +a(g548 +V64 +p10884 +tp10885 +a(g900 +g958 +tp10886 +a(g548 +V79 +p10887 +tp10888 +a(g900 +g958 +tp10889 +a(g548 +V20 +p10890 +tp10891 +a(g900 +g958 +tp10892 +a(g548 +V7b +p10893 +tp10894 +a(g900 +g958 +tp10895 +a(g548 +V0a +p10896 +tp10897 +a(g900 +g958 +tp10898 +a(g548 +V20 +p10899 +tp10900 +a(g900 +g958 +tp10901 +a(g548 +V20 +p10902 +tp10903 +a(g900 +g958 +tp10904 +a(g548 +V20 +p10905 +tp10906 +a(g900 +g958 +tp10907 +a(g548 +V20 +p10908 +tp10909 +a(g900 +g958 +tp10910 +a(g548 +V20 +p10911 +tp10912 +a(g900 +g958 +tp10913 +a(g548 +V20 +p10914 +tp10915 +a(g900 +g958 +tp10916 +a(g548 +V20 +p10917 +tp10918 +a(g900 +g958 +tp10919 +a(g548 +V20 +p10920 +tp10921 +a(g900 +g958 +tp10922 +a(g548 +V73 +p10923 +tp10924 +a(g900 +g958 +tp10925 +a(g548 +V74 +p10926 +tp10927 +a(g900 +g958 +tp10928 +a(g548 +V72 +p10929 +tp10930 +a(g900 +V +p10931 +tp10932 +a(g685 +g1009 +tp10933 +a(g341 +Vdy {. str +p10934 +tp10935 +a(g685 +g1013 +tp10936 +a(g900 +V\u000a +p10937 +tp10938 +a(g8 +V0005320 +p10939 +tp10940 +a(g900 +g958 +tp10941 +a(g548 +V69 +p10942 +tp10943 +a(g900 +g958 +tp10944 +a(g548 +V6e +p10945 +tp10946 +a(g900 +g958 +tp10947 +a(g548 +V67 +p10948 +tp10949 +a(g900 +g958 +tp10950 +a(g548 +V61 +p10951 +tp10952 +a(g900 +g958 +tp10953 +a(g548 +V72 +p10954 +tp10955 +a(g900 +g958 +tp10956 +a(g548 +V72 +p10957 +tp10958 +a(g900 +g958 +tp10959 +a(g548 +V61 +p10960 +tp10961 +a(g900 +g958 +tp10962 +a(g548 +V79 +p10963 +tp10964 +a(g900 +g958 +tp10965 +a(g548 +V20 +p10966 +tp10967 +a(g900 +g958 +tp10968 +a(g548 +V72 +p10969 +tp10970 +a(g900 +g958 +tp10971 +a(g548 +V65 +p10972 +tp10973 +a(g900 +g958 +tp10974 +a(g548 +V74 +p10975 +tp10976 +a(g900 +g958 +tp10977 +a(g548 +V3b +p10978 +tp10979 +a(g900 +g958 +tp10980 +a(g548 +V0a +p10981 +tp10982 +a(g900 +g958 +tp10983 +a(g548 +V20 +p10984 +tp10985 +a(g900 +g958 +tp10986 +a(g548 +V20 +p10987 +tp10988 +a(g900 +V +p10989 +tp10990 +a(g685 +g1009 +tp10991 +a(g341 +Vingarray ret;. +p10992 +tp10993 +a(g685 +g1013 +tp10994 +a(g900 +V\u000a +p10995 +tp10996 +a(g8 +V0005340 +p10997 +tp10998 +a(g900 +g958 +tp10999 +a(g548 +V20 +p11000 +tp11001 +a(g900 +g958 +tp11002 +a(g548 +V20 +p11003 +tp11004 +a(g900 +g958 +tp11005 +a(g548 +V20 +p11006 +tp11007 +a(g900 +g958 +tp11008 +a(g548 +V20 +p11009 +tp11010 +a(g900 +g958 +tp11011 +a(g548 +V20 +p11012 +tp11013 +a(g900 +g958 +tp11014 +a(g548 +V20 +p11015 +tp11016 +a(g900 +g958 +tp11017 +a(g548 +V62 +p11018 +tp11019 +a(g900 +g958 +tp11020 +a(g548 +V6f +p11021 +tp11022 +a(g900 +g958 +tp11023 +a(g548 +V6f +p11024 +tp11025 +a(g900 +g958 +tp11026 +a(g548 +V6c +p11027 +tp11028 +a(g900 +g958 +tp11029 +a(g548 +V20 +p11030 +tp11031 +a(g900 +g958 +tp11032 +a(g548 +V66 +p11033 +tp11034 +a(g900 +g958 +tp11035 +a(g548 +V6f +p11036 +tp11037 +a(g900 +g958 +tp11038 +a(g548 +V75 +p11039 +tp11040 +a(g900 +g958 +tp11041 +a(g548 +V6e +p11042 +tp11043 +a(g900 +g958 +tp11044 +a(g548 +V64 +p11045 +tp11046 +a(g900 +V +p11047 +tp11048 +a(g685 +g1009 +tp11049 +a(g341 +V bool found +p11050 +tp11051 +a(g685 +g1013 +tp11052 +a(g900 +V\u000a +p11053 +tp11054 +a(g8 +V0005360 +p11055 +tp11056 +a(g900 +g958 +tp11057 +a(g548 +V77 +p11058 +tp11059 +a(g900 +g958 +tp11060 +a(g548 +V6f +p11061 +tp11062 +a(g900 +g958 +tp11063 +a(g548 +V72 +p11064 +tp11065 +a(g900 +g958 +tp11066 +a(g548 +V64 +p11067 +tp11068 +a(g900 +g958 +tp11069 +a(g548 +V20 +p11070 +tp11071 +a(g900 +g958 +tp11072 +a(g548 +V3d +p11073 +tp11074 +a(g900 +g958 +tp11075 +a(g548 +V20 +p11076 +tp11077 +a(g900 +g958 +tp11078 +a(g548 +V66 +p11079 +tp11080 +a(g900 +g958 +tp11081 +a(g548 +V61 +p11082 +tp11083 +a(g900 +g958 +tp11084 +a(g548 +V6c +p11085 +tp11086 +a(g900 +g958 +tp11087 +a(g548 +V73 +p11088 +tp11089 +a(g900 +g958 +tp11090 +a(g548 +V65 +p11091 +tp11092 +a(g900 +g958 +tp11093 +a(g548 +V3b +p11094 +tp11095 +a(g900 +g958 +tp11096 +a(g548 +V0a +p11097 +tp11098 +a(g900 +g958 +tp11099 +a(g548 +V20 +p11100 +tp11101 +a(g900 +g958 +tp11102 +a(g548 +V20 +p11103 +tp11104 +a(g900 +V +p11105 +tp11106 +a(g685 +g1009 +tp11107 +a(g341 +Vword = false;. +p11108 +tp11109 +a(g685 +g1013 +tp11110 +a(g900 +V\u000a +p11111 +tp11112 +a(g8 +V0005400 +p11113 +tp11114 +a(g900 +g958 +tp11115 +a(g548 +V20 +p11116 +tp11117 +a(g900 +g958 +tp11118 +a(g548 +V20 +p11119 +tp11120 +a(g900 +g958 +tp11121 +a(g548 +V20 +p11122 +tp11123 +a(g900 +g958 +tp11124 +a(g548 +V20 +p11125 +tp11126 +a(g900 +g958 +tp11127 +a(g548 +V20 +p11128 +tp11129 +a(g900 +g958 +tp11130 +a(g548 +V20 +p11131 +tp11132 +a(g900 +g958 +tp11133 +a(g548 +V66 +p11134 +tp11135 +a(g900 +g958 +tp11136 +a(g548 +V6f +p11137 +tp11138 +a(g900 +g958 +tp11139 +a(g548 +V72 +p11140 +tp11141 +a(g900 +g958 +tp11142 +a(g548 +V20 +p11143 +tp11144 +a(g900 +g958 +tp11145 +a(g548 +V28 +p11146 +tp11147 +a(g900 +g958 +tp11148 +a(g548 +V75 +p11149 +tp11150 +a(g900 +g958 +tp11151 +a(g548 +V69 +p11152 +tp11153 +a(g900 +g958 +tp11154 +a(g548 +V6e +p11155 +tp11156 +a(g900 +g958 +tp11157 +a(g548 +V74 +p11158 +tp11159 +a(g900 +g958 +tp11160 +a(g548 +V20 +p11161 +tp11162 +a(g900 +V +p11163 +tp11164 +a(g685 +g1009 +tp11165 +a(g341 +V for (uint +p11166 +tp11167 +a(g685 +g1013 +tp11168 +a(g900 +V\u000a +p11169 +tp11170 +a(g8 +V0005420 +p11171 +tp11172 +a(g900 +g958 +tp11173 +a(g548 +V74 +p11174 +tp11175 +a(g900 +g958 +tp11176 +a(g548 +V3d +p11177 +tp11178 +a(g900 +g958 +tp11179 +a(g548 +V31 +p11180 +tp11181 +a(g900 +g958 +tp11182 +a(g548 +V3b +p11183 +tp11184 +a(g900 +g958 +tp11185 +a(g548 +V20 +p11186 +tp11187 +a(g900 +g958 +tp11188 +a(g548 +V74 +p11189 +tp11190 +a(g900 +g958 +tp11191 +a(g548 +V3c +p11192 +tp11193 +a(g900 +g958 +tp11194 +a(g548 +V3d +p11195 +tp11196 +a(g900 +g958 +tp11197 +a(g548 +V6e +p11198 +tp11199 +a(g900 +g958 +tp11200 +a(g548 +V75 +p11201 +tp11202 +a(g900 +g958 +tp11203 +a(g548 +V6d +p11204 +tp11205 +a(g900 +g958 +tp11206 +a(g548 +V62 +p11207 +tp11208 +a(g900 +g958 +tp11209 +a(g548 +V65 +p11210 +tp11211 +a(g900 +g958 +tp11212 +a(g548 +V72 +p11213 +tp11214 +a(g900 +g958 +tp11215 +a(g548 +V73 +p11216 +tp11217 +a(g900 +g958 +tp11218 +a(g548 +V2e +p11219 +tp11220 +a(g900 +V +p11221 +tp11222 +a(g685 +g1009 +tp11223 +a(g341 +Vt=1; t<=numbers. +p11224 +tp11225 +a(g685 +g1013 +tp11226 +a(g900 +V\u000a +p11227 +tp11228 +a(g8 +V0005440 +p11229 +tp11230 +a(g900 +g958 +tp11231 +a(g548 +V6c +p11232 +tp11233 +a(g900 +g958 +tp11234 +a(g548 +V65 +p11235 +tp11236 +a(g900 +g958 +tp11237 +a(g548 +V6e +p11238 +tp11239 +a(g900 +g958 +tp11240 +a(g548 +V67 +p11241 +tp11242 +a(g900 +g958 +tp11243 +a(g548 +V74 +p11244 +tp11245 +a(g900 +g958 +tp11246 +a(g548 +V68 +p11247 +tp11248 +a(g900 +g958 +tp11249 +a(g548 +V3b +p11250 +tp11251 +a(g900 +g958 +tp11252 +a(g548 +V20 +p11253 +tp11254 +a(g900 +g958 +tp11255 +a(g548 +V2b +p11256 +tp11257 +a(g900 +g958 +tp11258 +a(g548 +V2b +p11259 +tp11260 +a(g900 +g958 +tp11261 +a(g548 +V74 +p11262 +tp11263 +a(g900 +g958 +tp11264 +a(g548 +V29 +p11265 +tp11266 +a(g900 +g958 +tp11267 +a(g548 +V20 +p11268 +tp11269 +a(g900 +g958 +tp11270 +a(g548 +V7b +p11271 +tp11272 +a(g900 +g958 +tp11273 +a(g548 +V0a +p11274 +tp11275 +a(g900 +g958 +tp11276 +a(g548 +V20 +p11277 +tp11278 +a(g900 +V +p11279 +tp11280 +a(g685 +g1009 +tp11281 +a(g341 +Vlength; ++t) {. +p11282 +tp11283 +a(g685 +g1013 +tp11284 +a(g900 +V\u000a +p11285 +tp11286 +a(g8 +V0005460 +p11287 +tp11288 +a(g900 +g958 +tp11289 +a(g548 +V20 +p11290 +tp11291 +a(g900 +g958 +tp11292 +a(g548 +V20 +p11293 +tp11294 +a(g900 +g958 +tp11295 +a(g548 +V20 +p11296 +tp11297 +a(g900 +g958 +tp11298 +a(g548 +V20 +p11299 +tp11300 +a(g900 +g958 +tp11301 +a(g548 +V20 +p11302 +tp11303 +a(g900 +g958 +tp11304 +a(g548 +V20 +p11305 +tp11306 +a(g900 +g958 +tp11307 +a(g548 +V20 +p11308 +tp11309 +a(g900 +g958 +tp11310 +a(g548 +V20 +p11311 +tp11312 +a(g900 +g958 +tp11313 +a(g548 +V20 +p11314 +tp11315 +a(g900 +g958 +tp11316 +a(g548 +V20 +p11317 +tp11318 +a(g900 +g958 +tp11319 +a(g548 +V20 +p11320 +tp11321 +a(g900 +g958 +tp11322 +a(g548 +V61 +p11323 +tp11324 +a(g900 +g958 +tp11325 +a(g548 +V75 +p11326 +tp11327 +a(g900 +g958 +tp11328 +a(g548 +V74 +p11329 +tp11330 +a(g900 +g958 +tp11331 +a(g548 +V6f +p11332 +tp11333 +a(g900 +g958 +tp11334 +a(g548 +V20 +p11335 +tp11336 +a(g900 +V +p11337 +tp11338 +a(g685 +g1009 +tp11339 +a(g341 +V auto +p11340 +tp11341 +a(g685 +g1013 +tp11342 +a(g900 +V\u000a +p11343 +tp11344 +a(g8 +V0005500 +p11345 +tp11346 +a(g900 +g958 +tp11347 +a(g548 +V61 +p11348 +tp11349 +a(g900 +g958 +tp11350 +a(g548 +V6c +p11351 +tp11352 +a(g900 +g958 +tp11353 +a(g548 +V74 +p11354 +tp11355 +a(g900 +g958 +tp11356 +a(g548 +V65 +p11357 +tp11358 +a(g900 +g958 +tp11359 +a(g548 +V72 +p11360 +tp11361 +a(g900 +g958 +tp11362 +a(g548 +V6e +p11363 +tp11364 +a(g900 +g958 +tp11365 +a(g548 +V61 +p11366 +tp11367 +a(g900 +g958 +tp11368 +a(g548 +V74 +p11369 +tp11370 +a(g900 +g958 +tp11371 +a(g548 +V69 +p11372 +tp11373 +a(g900 +g958 +tp11374 +a(g548 +V76 +p11375 +tp11376 +a(g900 +g958 +tp11377 +a(g548 +V65 +p11378 +tp11379 +a(g900 +g958 +tp11380 +a(g548 +V73 +p11381 +tp11382 +a(g900 +g958 +tp11383 +a(g548 +V20 +p11384 +tp11385 +a(g900 +g958 +tp11386 +a(g548 +V3d +p11387 +tp11388 +a(g900 +g958 +tp11389 +a(g548 +V20 +p11390 +tp11391 +a(g900 +g958 +tp11392 +a(g548 +V6e +p11393 +tp11394 +a(g900 +V +p11395 +tp11396 +a(g685 +g1009 +tp11397 +a(g341 +Valternatives = n +p11398 +tp11399 +a(g685 +g1013 +tp11400 +a(g900 +V\u000a +p11401 +tp11402 +a(g8 +V0005520 +p11403 +tp11404 +a(g900 +g958 +tp11405 +a(g548 +V75 +p11406 +tp11407 +a(g900 +g958 +tp11408 +a(g548 +V6d +p11409 +tp11410 +a(g900 +g958 +tp11411 +a(g548 +V62 +p11412 +tp11413 +a(g900 +g958 +tp11414 +a(g548 +V65 +p11415 +tp11416 +a(g900 +g958 +tp11417 +a(g548 +V72 +p11418 +tp11419 +a(g900 +g958 +tp11420 +a(g548 +V73 +p11421 +tp11422 +a(g900 +g958 +tp11423 +a(g548 +V5b +p11424 +tp11425 +a(g900 +g958 +tp11426 +a(g548 +V30 +p11427 +tp11428 +a(g900 +g958 +tp11429 +a(g548 +V2e +p11430 +tp11431 +a(g900 +g958 +tp11432 +a(g548 +V2e +p11433 +tp11434 +a(g900 +g958 +tp11435 +a(g548 +V74 +p11436 +tp11437 +a(g900 +g958 +tp11438 +a(g548 +V5d +p11439 +tp11440 +a(g900 +g958 +tp11441 +a(g548 +V20 +p11442 +tp11443 +a(g900 +g958 +tp11444 +a(g548 +V69 +p11445 +tp11446 +a(g900 +g958 +tp11447 +a(g548 +V6e +p11448 +tp11449 +a(g900 +g958 +tp11450 +a(g548 +V20 +p11451 +tp11452 +a(g900 +V +p11453 +tp11454 +a(g685 +g1009 +tp11455 +a(g341 +Vumbers[0..t] in +p11456 +tp11457 +a(g685 +g1013 +tp11458 +a(g900 +V\u000a +p11459 +tp11460 +a(g8 +V0005540 +p11461 +tp11462 +a(g900 +g958 +tp11463 +a(g548 +V6e +p11464 +tp11465 +a(g900 +g958 +tp11466 +a(g548 +V75 +p11467 +tp11468 +a(g900 +g958 +tp11469 +a(g548 +V6d +p11470 +tp11471 +a(g900 +g958 +tp11472 +a(g548 +V32 +p11473 +tp11474 +a(g900 +g958 +tp11475 +a(g548 +V77 +p11476 +tp11477 +a(g900 +g958 +tp11478 +a(g548 +V6f +p11479 +tp11480 +a(g900 +g958 +tp11481 +a(g548 +V72 +p11482 +tp11483 +a(g900 +g958 +tp11484 +a(g548 +V64 +p11485 +tp11486 +a(g900 +g958 +tp11487 +a(g548 +V73 +p11488 +tp11489 +a(g900 +g958 +tp11490 +a(g548 +V3b +p11491 +tp11492 +a(g900 +g958 +tp11493 +a(g548 +V0a +p11494 +tp11495 +a(g900 +g958 +tp11496 +a(g548 +V20 +p11497 +tp11498 +a(g900 +g958 +tp11499 +a(g548 +V20 +p11500 +tp11501 +a(g900 +g958 +tp11502 +a(g548 +V20 +p11503 +tp11504 +a(g900 +g958 +tp11505 +a(g548 +V20 +p11506 +tp11507 +a(g900 +g958 +tp11508 +a(g548 +V20 +p11509 +tp11510 +a(g900 +V +p11511 +tp11512 +a(g685 +g1009 +tp11513 +a(g341 +Vnum2words;. +p11514 +tp11515 +a(g685 +g1013 +tp11516 +a(g900 +V\u000a +p11517 +tp11518 +a(g8 +V0005560 +p11519 +tp11520 +a(g900 +g958 +tp11521 +a(g548 +V20 +p11522 +tp11523 +a(g900 +g958 +tp11524 +a(g548 +V20 +p11525 +tp11526 +a(g900 +g958 +tp11527 +a(g548 +V20 +p11528 +tp11529 +a(g900 +g958 +tp11530 +a(g548 +V20 +p11531 +tp11532 +a(g900 +g958 +tp11533 +a(g548 +V20 +p11534 +tp11535 +a(g900 +g958 +tp11536 +a(g548 +V20 +p11537 +tp11538 +a(g900 +g958 +tp11539 +a(g548 +V20 +p11540 +tp11541 +a(g900 +g958 +tp11542 +a(g548 +V69 +p11543 +tp11544 +a(g900 +g958 +tp11545 +a(g548 +V66 +p11546 +tp11547 +a(g900 +g958 +tp11548 +a(g548 +V20 +p11549 +tp11550 +a(g900 +g958 +tp11551 +a(g548 +V28 +p11552 +tp11553 +a(g900 +g958 +tp11554 +a(g548 +V21 +p11555 +tp11556 +a(g900 +g958 +tp11557 +a(g548 +V61 +p11558 +tp11559 +a(g900 +g958 +tp11560 +a(g548 +V6c +p11561 +tp11562 +a(g900 +g958 +tp11563 +a(g548 +V74 +p11564 +tp11565 +a(g900 +g958 +tp11566 +a(g548 +V65 +p11567 +tp11568 +a(g900 +V +p11569 +tp11570 +a(g685 +g1009 +tp11571 +a(g341 +V if (!alte +p11572 +tp11573 +a(g685 +g1013 +tp11574 +a(g900 +V\u000a +p11575 +tp11576 +a(g8 +V0005600 +p11577 +tp11578 +a(g900 +g958 +tp11579 +a(g548 +V72 +p11580 +tp11581 +a(g900 +g958 +tp11582 +a(g548 +V6e +p11583 +tp11584 +a(g900 +g958 +tp11585 +a(g548 +V61 +p11586 +tp11587 +a(g900 +g958 +tp11588 +a(g548 +V74 +p11589 +tp11590 +a(g900 +g958 +tp11591 +a(g548 +V69 +p11592 +tp11593 +a(g900 +g958 +tp11594 +a(g548 +V76 +p11595 +tp11596 +a(g900 +g958 +tp11597 +a(g548 +V65 +p11598 +tp11599 +a(g900 +g958 +tp11600 +a(g548 +V73 +p11601 +tp11602 +a(g900 +g958 +tp11603 +a(g548 +V29 +p11604 +tp11605 +a(g900 +g958 +tp11606 +a(g548 +V0a +p11607 +tp11608 +a(g900 +g958 +tp11609 +a(g548 +V20 +p11610 +tp11611 +a(g900 +g958 +tp11612 +a(g548 +V20 +p11613 +tp11614 +a(g900 +g958 +tp11615 +a(g548 +V20 +p11616 +tp11617 +a(g900 +g958 +tp11618 +a(g548 +V20 +p11619 +tp11620 +a(g900 +g958 +tp11621 +a(g548 +V20 +p11622 +tp11623 +a(g900 +g958 +tp11624 +a(g548 +V20 +p11625 +tp11626 +a(g900 +V +p11627 +tp11628 +a(g685 +g1009 +tp11629 +a(g341 +Vrnatives). +p11630 +tp11631 +a(g685 +g1013 +tp11632 +a(g900 +V\u000a +p11633 +tp11634 +a(g8 +V0005620 +p11635 +tp11636 +a(g900 +g958 +tp11637 +a(g548 +V20 +p11638 +tp11639 +a(g900 +g958 +tp11640 +a(g548 +V20 +p11641 +tp11642 +a(g900 +g958 +tp11643 +a(g548 +V20 +p11644 +tp11645 +a(g900 +g958 +tp11646 +a(g548 +V20 +p11647 +tp11648 +a(g900 +g958 +tp11649 +a(g548 +V20 +p11650 +tp11651 +a(g900 +g958 +tp11652 +a(g548 +V20 +p11653 +tp11654 +a(g900 +g958 +tp11655 +a(g548 +V20 +p11656 +tp11657 +a(g900 +g958 +tp11658 +a(g548 +V20 +p11659 +tp11660 +a(g900 +g958 +tp11661 +a(g548 +V20 +p11662 +tp11663 +a(g900 +g958 +tp11664 +a(g548 +V20 +p11665 +tp11666 +a(g900 +g958 +tp11667 +a(g548 +V63 +p11668 +tp11669 +a(g900 +g958 +tp11670 +a(g548 +V6f +p11671 +tp11672 +a(g900 +g958 +tp11673 +a(g548 +V6e +p11674 +tp11675 +a(g900 +g958 +tp11676 +a(g548 +V74 +p11677 +tp11678 +a(g900 +g958 +tp11679 +a(g548 +V69 +p11680 +tp11681 +a(g900 +g958 +tp11682 +a(g548 +V6e +p11683 +tp11684 +a(g900 +V +p11685 +tp11686 +a(g685 +g1009 +tp11687 +a(g341 +V contin +p11688 +tp11689 +a(g685 +g1013 +tp11690 +a(g900 +V\u000a +p11691 +tp11692 +a(g8 +V0005640 +p11693 +tp11694 +a(g900 +g958 +tp11695 +a(g548 +V75 +p11696 +tp11697 +a(g900 +g958 +tp11698 +a(g548 +V65 +p11699 +tp11700 +a(g900 +g958 +tp11701 +a(g548 +V3b +p11702 +tp11703 +a(g900 +g958 +tp11704 +a(g548 +V0a +p11705 +tp11706 +a(g900 +g958 +tp11707 +a(g548 +V20 +p11708 +tp11709 +a(g900 +g958 +tp11710 +a(g548 +V20 +p11711 +tp11712 +a(g900 +g958 +tp11713 +a(g548 +V20 +p11714 +tp11715 +a(g900 +g958 +tp11716 +a(g548 +V20 +p11717 +tp11718 +a(g900 +g958 +tp11719 +a(g548 +V20 +p11720 +tp11721 +a(g900 +g958 +tp11722 +a(g548 +V20 +p11723 +tp11724 +a(g900 +g958 +tp11725 +a(g548 +V20 +p11726 +tp11727 +a(g900 +g958 +tp11728 +a(g548 +V20 +p11729 +tp11730 +a(g900 +g958 +tp11731 +a(g548 +V20 +p11732 +tp11733 +a(g900 +g958 +tp11734 +a(g548 +V20 +p11735 +tp11736 +a(g900 +g958 +tp11737 +a(g548 +V20 +p11738 +tp11739 +a(g900 +g958 +tp11740 +a(g548 +V20 +p11741 +tp11742 +a(g900 +V +p11743 +tp11744 +a(g685 +g1009 +tp11745 +a(g341 +Vue;. +p11746 +tp11747 +a(g685 +g1013 +tp11748 +a(g900 +V\u000a +p11749 +tp11750 +a(g8 +V0005660 +p11751 +tp11752 +a(g900 +g958 +tp11753 +a(g548 +V66 +p11754 +tp11755 +a(g900 +g958 +tp11756 +a(g548 +V6f +p11757 +tp11758 +a(g900 +g958 +tp11759 +a(g548 +V75 +p11760 +tp11761 +a(g900 +g958 +tp11762 +a(g548 +V6e +p11763 +tp11764 +a(g900 +g958 +tp11765 +a(g548 +V64 +p11766 +tp11767 +a(g900 +g958 +tp11768 +a(g548 +V77 +p11769 +tp11770 +a(g900 +g958 +tp11771 +a(g548 +V6f +p11772 +tp11773 +a(g900 +g958 +tp11774 +a(g548 +V72 +p11775 +tp11776 +a(g900 +g958 +tp11777 +a(g548 +V64 +p11778 +tp11779 +a(g900 +g958 +tp11780 +a(g548 +V20 +p11781 +tp11782 +a(g900 +g958 +tp11783 +a(g548 +V3d +p11784 +tp11785 +a(g900 +g958 +tp11786 +a(g548 +V20 +p11787 +tp11788 +a(g900 +g958 +tp11789 +a(g548 +V74 +p11790 +tp11791 +a(g900 +g958 +tp11792 +a(g548 +V72 +p11793 +tp11794 +a(g900 +g958 +tp11795 +a(g548 +V75 +p11796 +tp11797 +a(g900 +g958 +tp11798 +a(g548 +V65 +p11799 +tp11800 +a(g900 +V +p11801 +tp11802 +a(g685 +g1009 +tp11803 +a(g341 +Vfoundword = true +p11804 +tp11805 +a(g685 +g1013 +tp11806 +a(g900 +V\u000a +p11807 +tp11808 +a(g8 +V0005700 +p11809 +tp11810 +a(g900 +g958 +tp11811 +a(g548 +V3b +p11812 +tp11813 +a(g900 +g958 +tp11814 +a(g548 +V0a +p11815 +tp11816 +a(g900 +g958 +tp11817 +a(g548 +V20 +p11818 +tp11819 +a(g900 +g958 +tp11820 +a(g548 +V20 +p11821 +tp11822 +a(g900 +g958 +tp11823 +a(g548 +V20 +p11824 +tp11825 +a(g900 +g958 +tp11826 +a(g548 +V20 +p11827 +tp11828 +a(g900 +g958 +tp11829 +a(g548 +V20 +p11830 +tp11831 +a(g900 +g958 +tp11832 +a(g548 +V20 +p11833 +tp11834 +a(g900 +g958 +tp11835 +a(g548 +V20 +p11836 +tp11837 +a(g900 +g958 +tp11838 +a(g548 +V20 +p11839 +tp11840 +a(g900 +g958 +tp11841 +a(g548 +V20 +p11842 +tp11843 +a(g900 +g958 +tp11844 +a(g548 +V20 +p11845 +tp11846 +a(g900 +g958 +tp11847 +a(g548 +V20 +p11848 +tp11849 +a(g900 +g958 +tp11850 +a(g548 +V20 +p11851 +tp11852 +a(g900 +g958 +tp11853 +a(g548 +V69 +p11854 +tp11855 +a(g900 +g958 +tp11856 +a(g548 +V66 +p11857 +tp11858 +a(g900 +V +p11859 +tp11860 +a(g685 +g1009 +tp11861 +a(g341 +V;. if +p11862 +tp11863 +a(g685 +g1013 +tp11864 +a(g900 +V\u000a +p11865 +tp11866 +a(g8 +V0005720 +p11867 +tp11868 +a(g900 +g958 +tp11869 +a(g548 +V20 +p11870 +tp11871 +a(g900 +g958 +tp11872 +a(g548 +V28 +p11873 +tp11874 +a(g900 +g958 +tp11875 +a(g548 +V6e +p11876 +tp11877 +a(g900 +g958 +tp11878 +a(g548 +V75 +p11879 +tp11880 +a(g900 +g958 +tp11881 +a(g548 +V6d +p11882 +tp11883 +a(g900 +g958 +tp11884 +a(g548 +V62 +p11885 +tp11886 +a(g900 +g958 +tp11887 +a(g548 +V65 +p11888 +tp11889 +a(g900 +g958 +tp11890 +a(g548 +V72 +p11891 +tp11892 +a(g900 +g958 +tp11893 +a(g548 +V73 +p11894 +tp11895 +a(g900 +g958 +tp11896 +a(g548 +V2e +p11897 +tp11898 +a(g900 +g958 +tp11899 +a(g548 +V6c +p11900 +tp11901 +a(g900 +g958 +tp11902 +a(g548 +V65 +p11903 +tp11904 +a(g900 +g958 +tp11905 +a(g548 +V6e +p11906 +tp11907 +a(g900 +g958 +tp11908 +a(g548 +V67 +p11909 +tp11910 +a(g900 +g958 +tp11911 +a(g548 +V74 +p11912 +tp11913 +a(g900 +g958 +tp11914 +a(g548 +V68 +p11915 +tp11916 +a(g900 +V +p11917 +tp11918 +a(g685 +g1009 +tp11919 +a(g341 +V (numbers.length +p11920 +tp11921 +a(g685 +g1013 +tp11922 +a(g900 +V\u000a +p11923 +tp11924 +a(g8 +V0005740 +p11925 +tp11926 +a(g900 +g958 +tp11927 +a(g548 +V20 +p11928 +tp11929 +a(g900 +g958 +tp11930 +a(g548 +V3e +p11931 +tp11932 +a(g900 +g958 +tp11933 +a(g548 +V20 +p11934 +tp11935 +a(g900 +g958 +tp11936 +a(g548 +V20 +p11937 +tp11938 +a(g900 +g958 +tp11939 +a(g548 +V74 +p11940 +tp11941 +a(g900 +g958 +tp11942 +a(g548 +V29 +p11943 +tp11944 +a(g900 +g958 +tp11945 +a(g548 +V20 +p11946 +tp11947 +a(g900 +g958 +tp11948 +a(g548 +V7b +p11949 +tp11950 +a(g900 +g958 +tp11951 +a(g548 +V0a +p11952 +tp11953 +a(g900 +g958 +tp11954 +a(g548 +V20 +p11955 +tp11956 +a(g900 +g958 +tp11957 +a(g548 +V20 +p11958 +tp11959 +a(g900 +g958 +tp11960 +a(g548 +V20 +p11961 +tp11962 +a(g900 +g958 +tp11963 +a(g548 +V20 +p11964 +tp11965 +a(g900 +g958 +tp11966 +a(g548 +V20 +p11967 +tp11968 +a(g900 +g958 +tp11969 +a(g548 +V20 +p11970 +tp11971 +a(g900 +g958 +tp11972 +a(g548 +V20 +p11973 +tp11974 +a(g900 +V +p11975 +tp11976 +a(g685 +g1009 +tp11977 +a(g341 +V > t) {. +p11978 +tp11979 +a(g685 +g1013 +tp11980 +a(g900 +V\u000a +p11981 +tp11982 +a(g8 +V0005760 +p11983 +tp11984 +a(g900 +g958 +tp11985 +a(g548 +V20 +p11986 +tp11987 +a(g900 +g958 +tp11988 +a(g548 +V20 +p11989 +tp11990 +a(g900 +g958 +tp11991 +a(g548 +V20 +p11992 +tp11993 +a(g900 +g958 +tp11994 +a(g548 +V20 +p11995 +tp11996 +a(g900 +g958 +tp11997 +a(g548 +V20 +p11998 +tp11999 +a(g900 +g958 +tp12000 +a(g548 +V20 +p12001 +tp12002 +a(g900 +g958 +tp12003 +a(g548 +V20 +p12004 +tp12005 +a(g900 +g958 +tp12006 +a(g548 +V20 +p12007 +tp12008 +a(g900 +g958 +tp12009 +a(g548 +V20 +p12010 +tp12011 +a(g900 +g958 +tp12012 +a(g548 +V2f +p12013 +tp12014 +a(g900 +g958 +tp12015 +a(g548 +V2f +p12016 +tp12017 +a(g900 +g958 +tp12018 +a(g548 +V20 +p12019 +tp12020 +a(g900 +g958 +tp12021 +a(g548 +V43 +p12022 +tp12023 +a(g900 +g958 +tp12024 +a(g548 +V6f +p12025 +tp12026 +a(g900 +g958 +tp12027 +a(g548 +V6d +p12028 +tp12029 +a(g900 +g958 +tp12030 +a(g548 +V62 +p12031 +tp12032 +a(g900 +V +p12033 +tp12034 +a(g685 +g1009 +tp12035 +a(g341 +V // Comb +p12036 +tp12037 +a(g685 +g1013 +tp12038 +a(g900 +V\u000a +p12039 +tp12040 +a(g8 +V0006000 +p12041 +tp12042 +a(g900 +g958 +tp12043 +a(g548 +V69 +p12044 +tp12045 +a(g900 +g958 +tp12046 +a(g548 +V6e +p12047 +tp12048 +a(g900 +g958 +tp12049 +a(g548 +V65 +p12050 +tp12051 +a(g900 +g958 +tp12052 +a(g548 +V20 +p12053 +tp12054 +a(g900 +g958 +tp12055 +a(g548 +V61 +p12056 +tp12057 +a(g900 +g958 +tp12058 +a(g548 +V6c +p12059 +tp12060 +a(g900 +g958 +tp12061 +a(g548 +V6c +p12062 +tp12063 +a(g900 +g958 +tp12064 +a(g548 +V20 +p12065 +tp12066 +a(g900 +g958 +tp12067 +a(g548 +V63 +p12068 +tp12069 +a(g900 +g958 +tp12070 +a(g548 +V75 +p12071 +tp12072 +a(g900 +g958 +tp12073 +a(g548 +V72 +p12074 +tp12075 +a(g900 +g958 +tp12076 +a(g548 +V72 +p12077 +tp12078 +a(g900 +g958 +tp12079 +a(g548 +V65 +p12080 +tp12081 +a(g900 +g958 +tp12082 +a(g548 +V6e +p12083 +tp12084 +a(g900 +g958 +tp12085 +a(g548 +V74 +p12086 +tp12087 +a(g900 +g958 +tp12088 +a(g548 +V20 +p12089 +tp12090 +a(g900 +V +p12091 +tp12092 +a(g685 +g1009 +tp12093 +a(g341 +Vine all current +p12094 +tp12095 +a(g685 +g1013 +tp12096 +a(g900 +V\u000a +p12097 +tp12098 +a(g8 +V0006020 +p12099 +tp12100 +a(g900 +g958 +tp12101 +a(g548 +V61 +p12102 +tp12103 +a(g900 +g958 +tp12104 +a(g548 +V6c +p12105 +tp12106 +a(g900 +g958 +tp12107 +a(g548 +V74 +p12108 +tp12109 +a(g900 +g958 +tp12110 +a(g548 +V65 +p12111 +tp12112 +a(g900 +g958 +tp12113 +a(g548 +V72 +p12114 +tp12115 +a(g900 +g958 +tp12116 +a(g548 +V6e +p12117 +tp12118 +a(g900 +g958 +tp12119 +a(g548 +V61 +p12120 +tp12121 +a(g900 +g958 +tp12122 +a(g548 +V74 +p12123 +tp12124 +a(g900 +g958 +tp12125 +a(g548 +V69 +p12126 +tp12127 +a(g900 +g958 +tp12128 +a(g548 +V76 +p12129 +tp12130 +a(g900 +g958 +tp12131 +a(g548 +V65 +p12132 +tp12133 +a(g900 +g958 +tp12134 +a(g548 +V73 +p12135 +tp12136 +a(g900 +g958 +tp12137 +a(g548 +V20 +p12138 +tp12139 +a(g900 +g958 +tp12140 +a(g548 +V77 +p12141 +tp12142 +a(g900 +g958 +tp12143 +a(g548 +V69 +p12144 +tp12145 +a(g900 +g958 +tp12146 +a(g548 +V74 +p12147 +tp12148 +a(g900 +V +p12149 +tp12150 +a(g685 +g1009 +tp12151 +a(g341 +Valternatives wit +p12152 +tp12153 +a(g685 +g1013 +tp12154 +a(g900 +V\u000a +p12155 +tp12156 +a(g8 +V0006040 +p12157 +tp12158 +a(g900 +g958 +tp12159 +a(g548 +V68 +p12160 +tp12161 +a(g900 +g958 +tp12162 +a(g548 +V20 +p12163 +tp12164 +a(g900 +g958 +tp12165 +a(g548 +V61 +p12166 +tp12167 +a(g900 +g958 +tp12168 +a(g548 +V6c +p12169 +tp12170 +a(g900 +g958 +tp12171 +a(g548 +V6c +p12172 +tp12173 +a(g900 +g958 +tp12174 +a(g548 +V20 +p12175 +tp12176 +a(g900 +g958 +tp12177 +a(g548 +V61 +p12178 +tp12179 +a(g900 +g958 +tp12180 +a(g548 +V6c +p12181 +tp12182 +a(g900 +g958 +tp12183 +a(g548 +V74 +p12184 +tp12185 +a(g900 +g958 +tp12186 +a(g548 +V65 +p12187 +tp12188 +a(g900 +g958 +tp12189 +a(g548 +V72 +p12190 +tp12191 +a(g900 +g958 +tp12192 +a(g548 +V6e +p12193 +tp12194 +a(g900 +g958 +tp12195 +a(g548 +V61 +p12196 +tp12197 +a(g900 +g958 +tp12198 +a(g548 +V74 +p12199 +tp12200 +a(g900 +g958 +tp12201 +a(g548 +V69 +p12202 +tp12203 +a(g900 +g958 +tp12204 +a(g548 +V76 +p12205 +tp12206 +a(g900 +V +p12207 +tp12208 +a(g685 +g1009 +tp12209 +a(g341 +Vh all alternativ +p12210 +tp12211 +a(g685 +g1013 +tp12212 +a(g900 +V\u000a +p12213 +tp12214 +a(g8 +V0006060 +p12215 +tp12216 +a(g900 +g958 +tp12217 +a(g548 +V65 +p12218 +tp12219 +a(g900 +g958 +tp12220 +a(g548 +V73 +p12221 +tp12222 +a(g900 +g958 +tp12223 +a(g548 +V20 +p12224 +tp12225 +a(g900 +g958 +tp12226 +a(g548 +V20 +p12227 +tp12228 +a(g900 +g958 +tp12229 +a(g548 +V20 +p12230 +tp12231 +a(g900 +g958 +tp12232 +a(g548 +V20 +p12233 +tp12234 +a(g900 +g958 +tp12235 +a(g548 +V20 +p12236 +tp12237 +a(g900 +g958 +tp12238 +a(g548 +V0a +p12239 +tp12240 +a(g900 +g958 +tp12241 +a(g548 +V20 +p12242 +tp12243 +a(g900 +g958 +tp12244 +a(g548 +V20 +p12245 +tp12246 +a(g900 +g958 +tp12247 +a(g548 +V20 +p12248 +tp12249 +a(g900 +g958 +tp12250 +a(g548 +V20 +p12251 +tp12252 +a(g900 +g958 +tp12253 +a(g548 +V20 +p12254 +tp12255 +a(g900 +g958 +tp12256 +a(g548 +V20 +p12257 +tp12258 +a(g900 +g958 +tp12259 +a(g548 +V20 +p12260 +tp12261 +a(g900 +g958 +tp12262 +a(g548 +V20 +p12263 +tp12264 +a(g900 +V +p12265 +tp12266 +a(g685 +g1009 +tp12267 +a(g341 +Ves . +p12268 +tp12269 +a(g685 +g1013 +tp12270 +a(g900 +V\u000a +p12271 +tp12272 +a(g8 +V0006100 +p12273 +tp12274 +a(g900 +g958 +tp12275 +a(g548 +V20 +p12276 +tp12277 +a(g900 +g958 +tp12278 +a(g548 +V20 +p12279 +tp12280 +a(g900 +g958 +tp12281 +a(g548 +V20 +p12282 +tp12283 +a(g900 +g958 +tp12284 +a(g548 +V20 +p12285 +tp12286 +a(g900 +g958 +tp12287 +a(g548 +V20 +p12288 +tp12289 +a(g900 +g958 +tp12290 +a(g548 +V20 +p12291 +tp12292 +a(g900 +g958 +tp12293 +a(g548 +V20 +p12294 +tp12295 +a(g900 +g958 +tp12296 +a(g548 +V20 +p12297 +tp12298 +a(g900 +g958 +tp12299 +a(g548 +V2f +p12300 +tp12301 +a(g900 +g958 +tp12302 +a(g548 +V2f +p12303 +tp12304 +a(g900 +g958 +tp12305 +a(g548 +V20 +p12306 +tp12307 +a(g900 +g958 +tp12308 +a(g548 +V6f +p12309 +tp12310 +a(g900 +g958 +tp12311 +a(g548 +V66 +p12312 +tp12313 +a(g900 +g958 +tp12314 +a(g548 +V20 +p12315 +tp12316 +a(g900 +g958 +tp12317 +a(g548 +V74 +p12318 +tp12319 +a(g900 +g958 +tp12320 +a(g548 +V68 +p12321 +tp12322 +a(g900 +V +p12323 +tp12324 +a(g685 +g1009 +tp12325 +a(g341 +V // of th +p12326 +tp12327 +a(g685 +g1013 +tp12328 +a(g900 +V\u000a +p12329 +tp12330 +a(g8 +V0006120 +p12331 +tp12332 +a(g900 +g958 +tp12333 +a(g548 +V65 +p12334 +tp12335 +a(g900 +g958 +tp12336 +a(g548 +V20 +p12337 +tp12338 +a(g900 +g958 +tp12339 +a(g548 +V72 +p12340 +tp12341 +a(g900 +g958 +tp12342 +a(g548 +V65 +p12343 +tp12344 +a(g900 +g958 +tp12345 +a(g548 +V73 +p12346 +tp12347 +a(g900 +g958 +tp12348 +a(g548 +V74 +p12349 +tp12350 +a(g900 +g958 +tp12351 +a(g548 +V20 +p12352 +tp12353 +a(g900 +g958 +tp12354 +a(g548 +V28 +p12355 +tp12356 +a(g900 +g958 +tp12357 +a(g548 +V6e +p12358 +tp12359 +a(g900 +g958 +tp12360 +a(g548 +V65 +p12361 +tp12362 +a(g900 +g958 +tp12363 +a(g548 +V78 +p12364 +tp12365 +a(g900 +g958 +tp12366 +a(g548 +V74 +p12367 +tp12368 +a(g900 +g958 +tp12369 +a(g548 +V20 +p12370 +tp12371 +a(g900 +g958 +tp12372 +a(g548 +V70 +p12373 +tp12374 +a(g900 +g958 +tp12375 +a(g548 +V69 +p12376 +tp12377 +a(g900 +g958 +tp12378 +a(g548 +V65 +p12379 +tp12380 +a(g900 +V +p12381 +tp12382 +a(g685 +g1009 +tp12383 +a(g341 +Ve rest (next pie +p12384 +tp12385 +a(g685 +g1013 +tp12386 +a(g900 +V\u000a +p12387 +tp12388 +a(g8 +V0006140 +p12389 +tp12390 +a(g900 +g958 +tp12391 +a(g548 +V63 +p12392 +tp12393 +a(g900 +g958 +tp12394 +a(g548 +V65 +p12395 +tp12396 +a(g900 +g958 +tp12397 +a(g548 +V20 +p12398 +tp12399 +a(g900 +g958 +tp12400 +a(g548 +V63 +p12401 +tp12402 +a(g900 +g958 +tp12403 +a(g548 +V61 +p12404 +tp12405 +a(g900 +g958 +tp12406 +a(g548 +V6e +p12407 +tp12408 +a(g900 +g958 +tp12409 +a(g548 +V20 +p12410 +tp12411 +a(g900 +g958 +tp12412 +a(g548 +V73 +p12413 +tp12414 +a(g900 +g958 +tp12415 +a(g548 +V74 +p12416 +tp12417 +a(g900 +g958 +tp12418 +a(g548 +V61 +p12419 +tp12420 +a(g900 +g958 +tp12421 +a(g548 +V72 +p12422 +tp12423 +a(g900 +g958 +tp12424 +a(g548 +V74 +p12425 +tp12426 +a(g900 +g958 +tp12427 +a(g548 +V20 +p12428 +tp12429 +a(g900 +g958 +tp12430 +a(g548 +V77 +p12431 +tp12432 +a(g900 +g958 +tp12433 +a(g548 +V69 +p12434 +tp12435 +a(g900 +g958 +tp12436 +a(g548 +V74 +p12437 +tp12438 +a(g900 +V +p12439 +tp12440 +a(g685 +g1009 +tp12441 +a(g341 +Vce can start wit +p12442 +tp12443 +a(g685 +g1013 +tp12444 +a(g900 +V\u000a +p12445 +tp12446 +a(g8 +V0006160 +p12447 +tp12448 +a(g900 +g958 +tp12449 +a(g548 +V68 +p12450 +tp12451 +a(g900 +g958 +tp12452 +a(g548 +V20 +p12453 +tp12454 +a(g900 +g958 +tp12455 +a(g548 +V61 +p12456 +tp12457 +a(g900 +g958 +tp12458 +a(g548 +V20 +p12459 +tp12460 +a(g900 +g958 +tp12461 +a(g548 +V64 +p12462 +tp12463 +a(g900 +g958 +tp12464 +a(g548 +V69 +p12465 +tp12466 +a(g900 +g958 +tp12467 +a(g548 +V67 +p12468 +tp12469 +a(g900 +g958 +tp12470 +a(g548 +V69 +p12471 +tp12472 +a(g900 +g958 +tp12473 +a(g548 +V74 +p12474 +tp12475 +a(g900 +g958 +tp12476 +a(g548 +V29 +p12477 +tp12478 +a(g900 +g958 +tp12479 +a(g548 +V20 +p12480 +tp12481 +a(g900 +g958 +tp12482 +a(g548 +V20 +p12483 +tp12484 +a(g900 +g958 +tp12485 +a(g548 +V20 +p12486 +tp12487 +a(g900 +g958 +tp12488 +a(g548 +V20 +p12489 +tp12490 +a(g900 +g958 +tp12491 +a(g548 +V20 +p12492 +tp12493 +a(g900 +g958 +tp12494 +a(g548 +V20 +p12495 +tp12496 +a(g900 +V +p12497 +tp12498 +a(g685 +g1009 +tp12499 +a(g341 +Vh a digit) +p12500 +tp12501 +a(g685 +g1013 +tp12502 +a(g900 +V\u000a +p12503 +tp12504 +a(g8 +V0006200 +p12505 +tp12506 +a(g900 +g958 +tp12507 +a(g548 +V20 +p12508 +tp12509 +a(g900 +g958 +tp12510 +a(g548 +V20 +p12511 +tp12512 +a(g900 +g958 +tp12513 +a(g548 +V20 +p12514 +tp12515 +a(g900 +g958 +tp12516 +a(g548 +V20 +p12517 +tp12518 +a(g900 +g958 +tp12519 +a(g548 +V20 +p12520 +tp12521 +a(g900 +g958 +tp12522 +a(g548 +V20 +p12523 +tp12524 +a(g900 +g958 +tp12525 +a(g548 +V20 +p12526 +tp12527 +a(g900 +g958 +tp12528 +a(g548 +V20 +p12529 +tp12530 +a(g900 +g958 +tp12531 +a(g548 +V0a +p12532 +tp12533 +a(g900 +g958 +tp12534 +a(g548 +V20 +p12535 +tp12536 +a(g900 +g958 +tp12537 +a(g548 +V20 +p12538 +tp12539 +a(g900 +g958 +tp12540 +a(g548 +V20 +p12541 +tp12542 +a(g900 +g958 +tp12543 +a(g548 +V20 +p12544 +tp12545 +a(g900 +g958 +tp12546 +a(g548 +V20 +p12547 +tp12548 +a(g900 +g958 +tp12549 +a(g548 +V20 +p12550 +tp12551 +a(g900 +g958 +tp12552 +a(g548 +V20 +p12553 +tp12554 +a(g900 +V +p12555 +tp12556 +a(g685 +g1009 +tp12557 +a(g341 +V . +p12558 +tp12559 +a(g685 +g1013 +tp12560 +a(g900 +V\u000a +p12561 +tp12562 +a(g8 +V0006220 +p12563 +tp12564 +a(g900 +g958 +tp12565 +a(g548 +V20 +p12566 +tp12567 +a(g900 +g958 +tp12568 +a(g548 +V20 +p12569 +tp12570 +a(g900 +g958 +tp12571 +a(g548 +V20 +p12572 +tp12573 +a(g900 +g958 +tp12574 +a(g548 +V20 +p12575 +tp12576 +a(g900 +g958 +tp12577 +a(g548 +V20 +p12578 +tp12579 +a(g900 +g958 +tp12580 +a(g548 +V20 +p12581 +tp12582 +a(g900 +g958 +tp12583 +a(g548 +V20 +p12584 +tp12585 +a(g900 +g958 +tp12586 +a(g548 +V20 +p12587 +tp12588 +a(g900 +g958 +tp12589 +a(g548 +V20 +p12590 +tp12591 +a(g900 +g958 +tp12592 +a(g548 +V66 +p12593 +tp12594 +a(g900 +g958 +tp12595 +a(g548 +V6f +p12596 +tp12597 +a(g900 +g958 +tp12598 +a(g548 +V72 +p12599 +tp12600 +a(g900 +g958 +tp12601 +a(g548 +V65 +p12602 +tp12603 +a(g900 +g958 +tp12604 +a(g548 +V61 +p12605 +tp12606 +a(g900 +g958 +tp12607 +a(g548 +V63 +p12608 +tp12609 +a(g900 +g958 +tp12610 +a(g548 +V68 +p12611 +tp12612 +a(g900 +V +p12613 +tp12614 +a(g685 +g1009 +tp12615 +a(g341 +V foreach +p12616 +tp12617 +a(g685 +g1013 +tp12618 +a(g900 +V\u000a +p12619 +tp12620 +a(g8 +V0006240 +p12621 +tp12622 +a(g900 +g958 +tp12623 +a(g548 +V20 +p12624 +tp12625 +a(g900 +g958 +tp12626 +a(g548 +V28 +p12627 +tp12628 +a(g900 +g958 +tp12629 +a(g548 +V61 +p12630 +tp12631 +a(g900 +g958 +tp12632 +a(g548 +V32 +p12633 +tp12634 +a(g900 +g958 +tp12635 +a(g548 +V3b +p12636 +tp12637 +a(g900 +g958 +tp12638 +a(g548 +V20 +p12639 +tp12640 +a(g900 +g958 +tp12641 +a(g548 +V5f +p12642 +tp12643 +a(g900 +g958 +tp12644 +a(g548 +V46 +p12645 +tp12646 +a(g900 +g958 +tp12647 +a(g548 +V69 +p12648 +tp12649 +a(g900 +g958 +tp12650 +a(g548 +V6e +p12651 +tp12652 +a(g900 +g958 +tp12653 +a(g548 +V64 +p12654 +tp12655 +a(g900 +g958 +tp12656 +a(g548 +V57 +p12657 +tp12658 +a(g900 +g958 +tp12659 +a(g548 +V6f +p12660 +tp12661 +a(g900 +g958 +tp12662 +a(g548 +V72 +p12663 +tp12664 +a(g900 +g958 +tp12665 +a(g548 +V64 +p12666 +tp12667 +a(g900 +g958 +tp12668 +a(g548 +V73 +p12669 +tp12670 +a(g900 +V +p12671 +tp12672 +a(g685 +g1009 +tp12673 +a(g341 +V (a2; _FindWords +p12674 +tp12675 +a(g685 +g1013 +tp12676 +a(g900 +V\u000a +p12677 +tp12678 +a(g8 +V0006260 +p12679 +tp12680 +a(g900 +g958 +tp12681 +a(g548 +V28 +p12682 +tp12683 +a(g900 +g958 +tp12684 +a(g548 +V20 +p12685 +tp12686 +a(g900 +g958 +tp12687 +a(g548 +V6e +p12688 +tp12689 +a(g900 +g958 +tp12690 +a(g548 +V75 +p12691 +tp12692 +a(g900 +g958 +tp12693 +a(g548 +V6d +p12694 +tp12695 +a(g900 +g958 +tp12696 +a(g548 +V62 +p12697 +tp12698 +a(g900 +g958 +tp12699 +a(g548 +V65 +p12700 +tp12701 +a(g900 +g958 +tp12702 +a(g548 +V72 +p12703 +tp12704 +a(g900 +g958 +tp12705 +a(g548 +V73 +p12706 +tp12707 +a(g900 +g958 +tp12708 +a(g548 +V5b +p12709 +tp12710 +a(g900 +g958 +tp12711 +a(g548 +V74 +p12712 +tp12713 +a(g900 +g958 +tp12714 +a(g548 +V2e +p12715 +tp12716 +a(g900 +g958 +tp12717 +a(g548 +V2e +p12718 +tp12719 +a(g900 +g958 +tp12720 +a(g548 +V24 +p12721 +tp12722 +a(g900 +g958 +tp12723 +a(g548 +V5d +p12724 +tp12725 +a(g900 +g958 +tp12726 +a(g548 +V2c +p12727 +tp12728 +a(g900 +V +p12729 +tp12730 +a(g685 +g1009 +tp12731 +a(g341 +V( numbers[t..$], +p12732 +tp12733 +a(g685 +g1013 +tp12734 +a(g900 +V\u000a +p12735 +tp12736 +a(g8 +V0006300 +p12737 +tp12738 +a(g900 +g958 +tp12739 +a(g548 +V20 +p12740 +tp12741 +a(g900 +g958 +tp12742 +a(g548 +V74 +p12743 +tp12744 +a(g900 +g958 +tp12745 +a(g548 +V72 +p12746 +tp12747 +a(g900 +g958 +tp12748 +a(g548 +V75 +p12749 +tp12750 +a(g900 +g958 +tp12751 +a(g548 +V65 +p12752 +tp12753 +a(g900 +g958 +tp12754 +a(g548 +V20 +p12755 +tp12756 +a(g900 +g958 +tp12757 +a(g548 +V20 +p12758 +tp12759 +a(g900 +g958 +tp12760 +a(g548 +V20 +p12761 +tp12762 +a(g900 +g958 +tp12763 +a(g548 +V20 +p12764 +tp12765 +a(g900 +g958 +tp12766 +a(g548 +V20 +p12767 +tp12768 +a(g900 +g958 +tp12769 +a(g548 +V29 +p12770 +tp12771 +a(g900 +g958 +tp12772 +a(g548 +V20 +p12773 +tp12774 +a(g900 +g958 +tp12775 +a(g548 +V29 +p12776 +tp12777 +a(g900 +g958 +tp12778 +a(g548 +V0a +p12779 +tp12780 +a(g900 +g958 +tp12781 +a(g548 +V20 +p12782 +tp12783 +a(g900 +g958 +tp12784 +a(g548 +V20 +p12785 +tp12786 +a(g900 +V +p12787 +tp12788 +a(g685 +g1009 +tp12789 +a(g341 +V true ) ). +p12790 +tp12791 +a(g685 +g1013 +tp12792 +a(g900 +V\u000a +p12793 +tp12794 +a(g8 +V0006320 +p12795 +tp12796 +a(g900 +g958 +tp12797 +a(g548 +V20 +p12798 +tp12799 +a(g900 +g958 +tp12800 +a(g548 +V20 +p12801 +tp12802 +a(g900 +g958 +tp12803 +a(g548 +V20 +p12804 +tp12805 +a(g900 +g958 +tp12806 +a(g548 +V20 +p12807 +tp12808 +a(g900 +g958 +tp12809 +a(g548 +V20 +p12810 +tp12811 +a(g900 +g958 +tp12812 +a(g548 +V20 +p12813 +tp12814 +a(g900 +g958 +tp12815 +a(g548 +V20 +p12816 +tp12817 +a(g900 +g958 +tp12818 +a(g548 +V20 +p12819 +tp12820 +a(g900 +g958 +tp12821 +a(g548 +V20 +p12822 +tp12823 +a(g900 +g958 +tp12824 +a(g548 +V20 +p12825 +tp12826 +a(g900 +g958 +tp12827 +a(g548 +V20 +p12828 +tp12829 +a(g900 +g958 +tp12830 +a(g548 +V20 +p12831 +tp12832 +a(g900 +g958 +tp12833 +a(g548 +V20 +p12834 +tp12835 +a(g900 +g958 +tp12836 +a(g548 +V20 +p12837 +tp12838 +a(g900 +g958 +tp12839 +a(g548 +V20 +p12840 +tp12841 +a(g900 +g958 +tp12842 +a(g548 +V20 +p12843 +tp12844 +a(g900 +V +p12845 +tp12846 +a(g685 +g1009 +tp12847 +a(g341 +V +p12848 +tp12849 +a(g685 +g1013 +tp12850 +a(g900 +V\u000a +p12851 +tp12852 +a(g8 +V0006340 +p12853 +tp12854 +a(g900 +g958 +tp12855 +a(g548 +V20 +p12856 +tp12857 +a(g900 +g958 +tp12858 +a(g548 +V20 +p12859 +tp12860 +a(g900 +g958 +tp12861 +a(g548 +V66 +p12862 +tp12863 +a(g900 +g958 +tp12864 +a(g548 +V6f +p12865 +tp12866 +a(g900 +g958 +tp12867 +a(g548 +V72 +p12868 +tp12869 +a(g900 +g958 +tp12870 +a(g548 +V65 +p12871 +tp12872 +a(g900 +g958 +tp12873 +a(g548 +V61 +p12874 +tp12875 +a(g900 +g958 +tp12876 +a(g548 +V63 +p12877 +tp12878 +a(g900 +g958 +tp12879 +a(g548 +V68 +p12880 +tp12881 +a(g900 +g958 +tp12882 +a(g548 +V28 +p12883 +tp12884 +a(g900 +g958 +tp12885 +a(g548 +V61 +p12886 +tp12887 +a(g900 +g958 +tp12888 +a(g548 +V31 +p12889 +tp12890 +a(g900 +g958 +tp12891 +a(g548 +V3b +p12892 +tp12893 +a(g900 +g958 +tp12894 +a(g548 +V20 +p12895 +tp12896 +a(g900 +g958 +tp12897 +a(g548 +V2a +p12898 +tp12899 +a(g900 +g958 +tp12900 +a(g548 +V61 +p12901 +tp12902 +a(g900 +V +p12903 +tp12904 +a(g685 +g1009 +tp12905 +a(g341 +V foreach(a1; *a +p12906 +tp12907 +a(g685 +g1013 +tp12908 +a(g900 +V\u000a +p12909 +tp12910 +a(g8 +V0006360 +p12911 +tp12912 +a(g900 +g958 +tp12913 +a(g548 +V6c +p12914 +tp12915 +a(g900 +g958 +tp12916 +a(g548 +V74 +p12917 +tp12918 +a(g900 +g958 +tp12919 +a(g548 +V65 +p12920 +tp12921 +a(g900 +g958 +tp12922 +a(g548 +V72 +p12923 +tp12924 +a(g900 +g958 +tp12925 +a(g548 +V6e +p12926 +tp12927 +a(g900 +g958 +tp12928 +a(g548 +V61 +p12929 +tp12930 +a(g900 +g958 +tp12931 +a(g548 +V74 +p12932 +tp12933 +a(g900 +g958 +tp12934 +a(g548 +V69 +p12935 +tp12936 +a(g900 +g958 +tp12937 +a(g548 +V76 +p12938 +tp12939 +a(g900 +g958 +tp12940 +a(g548 +V65 +p12941 +tp12942 +a(g900 +g958 +tp12943 +a(g548 +V73 +p12944 +tp12945 +a(g900 +g958 +tp12946 +a(g548 +V29 +p12947 +tp12948 +a(g900 +g958 +tp12949 +a(g548 +V0a +p12950 +tp12951 +a(g900 +g958 +tp12952 +a(g548 +V20 +p12953 +tp12954 +a(g900 +g958 +tp12955 +a(g548 +V20 +p12956 +tp12957 +a(g900 +g958 +tp12958 +a(g548 +V20 +p12959 +tp12960 +a(g900 +V +p12961 +tp12962 +a(g685 +g1009 +tp12963 +a(g341 +Vlternatives). +p12964 +tp12965 +a(g685 +g1013 +tp12966 +a(g900 +V\u000a +p12967 +tp12968 +a(g8 +V0006400 +p12969 +tp12970 +a(g900 +g958 +tp12971 +a(g548 +V20 +p12972 +tp12973 +a(g900 +g958 +tp12974 +a(g548 +V20 +p12975 +tp12976 +a(g900 +g958 +tp12977 +a(g548 +V20 +p12978 +tp12979 +a(g900 +g958 +tp12980 +a(g548 +V20 +p12981 +tp12982 +a(g900 +g958 +tp12983 +a(g548 +V20 +p12984 +tp12985 +a(g900 +g958 +tp12986 +a(g548 +V20 +p12987 +tp12988 +a(g900 +g958 +tp12989 +a(g548 +V20 +p12990 +tp12991 +a(g900 +g958 +tp12992 +a(g548 +V20 +p12993 +tp12994 +a(g900 +g958 +tp12995 +a(g548 +V20 +p12996 +tp12997 +a(g900 +g958 +tp12998 +a(g548 +V20 +p12999 +tp13000 +a(g900 +g958 +tp13001 +a(g548 +V20 +p13002 +tp13003 +a(g900 +g958 +tp13004 +a(g548 +V20 +p13005 +tp13006 +a(g900 +g958 +tp13007 +a(g548 +V20 +p13008 +tp13009 +a(g900 +g958 +tp13010 +a(g548 +V20 +p13011 +tp13012 +a(g900 +g958 +tp13013 +a(g548 +V20 +p13014 +tp13015 +a(g900 +g958 +tp13016 +a(g548 +V20 +p13017 +tp13018 +a(g900 +V +p13019 +tp13020 +a(g685 +g1009 +tp13021 +a(g341 +V +p13022 +tp13023 +a(g685 +g1013 +tp13024 +a(g900 +V\u000a +p13025 +tp13026 +a(g8 +V0006420 +p13027 +tp13028 +a(g900 +g958 +tp13029 +a(g548 +V20 +p13030 +tp13031 +a(g900 +g958 +tp13032 +a(g548 +V20 +p13033 +tp13034 +a(g900 +g958 +tp13035 +a(g548 +V20 +p13036 +tp13037 +a(g900 +g958 +tp13038 +a(g548 +V20 +p13039 +tp13040 +a(g900 +g958 +tp13041 +a(g548 +V72 +p13042 +tp13043 +a(g900 +g958 +tp13044 +a(g548 +V65 +p13045 +tp13046 +a(g900 +g958 +tp13047 +a(g548 +V74 +p13048 +tp13049 +a(g900 +g958 +tp13050 +a(g548 +V20 +p13051 +tp13052 +a(g900 +g958 +tp13053 +a(g548 +V7e +p13054 +tp13055 +a(g900 +g958 +tp13056 +a(g548 +V3d +p13057 +tp13058 +a(g900 +g958 +tp13059 +a(g548 +V20 +p13060 +tp13061 +a(g900 +g958 +tp13062 +a(g548 +V61 +p13063 +tp13064 +a(g900 +g958 +tp13065 +a(g548 +V31 +p13066 +tp13067 +a(g900 +g958 +tp13068 +a(g548 +V20 +p13069 +tp13070 +a(g900 +g958 +tp13071 +a(g548 +V7e +p13072 +tp13073 +a(g900 +g958 +tp13074 +a(g548 +V20 +p13075 +tp13076 +a(g900 +V +p13077 +tp13078 +a(g685 +g1009 +tp13079 +a(g341 +V ret ~= a1 ~ +p13080 +tp13081 +a(g685 +g1013 +tp13082 +a(g900 +V\u000a +p13083 +tp13084 +a(g8 +V0006440 +p13085 +tp13086 +a(g900 +g958 +tp13087 +a(g548 +V22 +p13088 +tp13089 +a(g900 +g958 +tp13090 +a(g548 +V20 +p13091 +tp13092 +a(g900 +g958 +tp13093 +a(g548 +V22 +p13094 +tp13095 +a(g900 +g958 +tp13096 +a(g548 +V20 +p13097 +tp13098 +a(g900 +g958 +tp13099 +a(g548 +V7e +p13100 +tp13101 +a(g900 +g958 +tp13102 +a(g548 +V20 +p13103 +tp13104 +a(g900 +g958 +tp13105 +a(g548 +V61 +p13106 +tp13107 +a(g900 +g958 +tp13108 +a(g548 +V32 +p13109 +tp13110 +a(g900 +g958 +tp13111 +a(g548 +V3b +p13112 +tp13113 +a(g900 +g958 +tp13114 +a(g548 +V0a +p13115 +tp13116 +a(g900 +g958 +tp13117 +a(g548 +V20 +p13118 +tp13119 +a(g900 +g958 +tp13120 +a(g548 +V20 +p13121 +tp13122 +a(g900 +g958 +tp13123 +a(g548 +V20 +p13124 +tp13125 +a(g900 +g958 +tp13126 +a(g548 +V20 +p13127 +tp13128 +a(g900 +g958 +tp13129 +a(g548 +V20 +p13130 +tp13131 +a(g900 +g958 +tp13132 +a(g548 +V20 +p13133 +tp13134 +a(g900 +V +p13135 +tp13136 +a(g685 +g1009 +tp13137 +a(g341 +V" " ~ a2;. +p13138 +tp13139 +a(g685 +g1013 +tp13140 +a(g900 +V\u000a +p13141 +tp13142 +a(g8 +V0006460 +p13143 +tp13144 +a(g900 +g958 +tp13145 +a(g548 +V20 +p13146 +tp13147 +a(g900 +g958 +tp13148 +a(g548 +V20 +p13149 +tp13150 +a(g900 +g958 +tp13151 +a(g548 +V20 +p13152 +tp13153 +a(g900 +g958 +tp13154 +a(g548 +V20 +p13155 +tp13156 +a(g900 +g958 +tp13157 +a(g548 +V20 +p13158 +tp13159 +a(g900 +g958 +tp13160 +a(g548 +V20 +p13161 +tp13162 +a(g900 +g958 +tp13163 +a(g548 +V7d +p13164 +tp13165 +a(g900 +g958 +tp13166 +a(g548 +V0a +p13167 +tp13168 +a(g900 +g958 +tp13169 +a(g548 +V20 +p13170 +tp13171 +a(g900 +g958 +tp13172 +a(g548 +V20 +p13173 +tp13174 +a(g900 +g958 +tp13175 +a(g548 +V20 +p13176 +tp13177 +a(g900 +g958 +tp13178 +a(g548 +V20 +p13179 +tp13180 +a(g900 +g958 +tp13181 +a(g548 +V20 +p13182 +tp13183 +a(g900 +g958 +tp13184 +a(g548 +V20 +p13185 +tp13186 +a(g900 +g958 +tp13187 +a(g548 +V20 +p13188 +tp13189 +a(g900 +g958 +tp13190 +a(g548 +V20 +p13191 +tp13192 +a(g900 +V +p13193 +tp13194 +a(g685 +g1009 +tp13195 +a(g341 +V }. +p13196 +tp13197 +a(g685 +g1013 +tp13198 +a(g900 +V\u000a +p13199 +tp13200 +a(g8 +V0006500 +p13201 +tp13202 +a(g900 +g958 +tp13203 +a(g548 +V20 +p13204 +tp13205 +a(g900 +g958 +tp13206 +a(g548 +V20 +p13207 +tp13208 +a(g900 +g958 +tp13209 +a(g548 +V20 +p13210 +tp13211 +a(g900 +g958 +tp13212 +a(g548 +V20 +p13213 +tp13214 +a(g900 +g958 +tp13215 +a(g548 +V65 +p13216 +tp13217 +a(g900 +g958 +tp13218 +a(g548 +V6c +p13219 +tp13220 +a(g900 +g958 +tp13221 +a(g548 +V73 +p13222 +tp13223 +a(g900 +g958 +tp13224 +a(g548 +V65 +p13225 +tp13226 +a(g900 +g958 +tp13227 +a(g548 +V20 +p13228 +tp13229 +a(g900 +g958 +tp13230 +a(g548 +V20 +p13231 +tp13232 +a(g900 +g958 +tp13233 +a(g548 +V20 +p13234 +tp13235 +a(g900 +g958 +tp13236 +a(g548 +V20 +p13237 +tp13238 +a(g900 +g958 +tp13239 +a(g548 +V0a +p13240 +tp13241 +a(g900 +g958 +tp13242 +a(g548 +V20 +p13243 +tp13244 +a(g900 +g958 +tp13245 +a(g548 +V20 +p13246 +tp13247 +a(g900 +g958 +tp13248 +a(g548 +V20 +p13249 +tp13250 +a(g900 +V +p13251 +tp13252 +a(g685 +g1009 +tp13253 +a(g341 +V else . +p13254 +tp13255 +a(g685 +g1013 +tp13256 +a(g900 +V\u000a +p13257 +tp13258 +a(g8 +V0006520 +p13259 +tp13260 +a(g900 +g958 +tp13261 +a(g548 +V20 +p13262 +tp13263 +a(g900 +g958 +tp13264 +a(g548 +V20 +p13265 +tp13266 +a(g900 +g958 +tp13267 +a(g548 +V20 +p13268 +tp13269 +a(g900 +g958 +tp13270 +a(g548 +V20 +p13271 +tp13272 +a(g900 +g958 +tp13273 +a(g548 +V20 +p13274 +tp13275 +a(g900 +g958 +tp13276 +a(g548 +V20 +p13277 +tp13278 +a(g900 +g958 +tp13279 +a(g548 +V20 +p13280 +tp13281 +a(g900 +g958 +tp13282 +a(g548 +V20 +p13283 +tp13284 +a(g900 +g958 +tp13285 +a(g548 +V20 +p13286 +tp13287 +a(g900 +g958 +tp13288 +a(g548 +V20 +p13289 +tp13290 +a(g900 +g958 +tp13291 +a(g548 +V20 +p13292 +tp13293 +a(g900 +g958 +tp13294 +a(g548 +V20 +p13295 +tp13296 +a(g900 +g958 +tp13297 +a(g548 +V20 +p13298 +tp13299 +a(g900 +g958 +tp13300 +a(g548 +V72 +p13301 +tp13302 +a(g900 +g958 +tp13303 +a(g548 +V65 +p13304 +tp13305 +a(g900 +g958 +tp13306 +a(g548 +V74 +p13307 +tp13308 +a(g900 +V +p13309 +tp13310 +a(g685 +g1009 +tp13311 +a(g341 +V ret +p13312 +tp13313 +a(g685 +g1013 +tp13314 +a(g900 +V\u000a +p13315 +tp13316 +a(g8 +V0006540 +p13317 +tp13318 +a(g900 +g958 +tp13319 +a(g548 +V20 +p13320 +tp13321 +a(g900 +g958 +tp13322 +a(g548 +V7e +p13323 +tp13324 +a(g900 +g958 +tp13325 +a(g548 +V3d +p13326 +tp13327 +a(g900 +g958 +tp13328 +a(g548 +V20 +p13329 +tp13330 +a(g900 +g958 +tp13331 +a(g548 +V2a +p13332 +tp13333 +a(g900 +g958 +tp13334 +a(g548 +V61 +p13335 +tp13336 +a(g900 +g958 +tp13337 +a(g548 +V6c +p13338 +tp13339 +a(g900 +g958 +tp13340 +a(g548 +V74 +p13341 +tp13342 +a(g900 +g958 +tp13343 +a(g548 +V65 +p13344 +tp13345 +a(g900 +g958 +tp13346 +a(g548 +V72 +p13347 +tp13348 +a(g900 +g958 +tp13349 +a(g548 +V6e +p13350 +tp13351 +a(g900 +g958 +tp13352 +a(g548 +V61 +p13353 +tp13354 +a(g900 +g958 +tp13355 +a(g548 +V74 +p13356 +tp13357 +a(g900 +g958 +tp13358 +a(g548 +V69 +p13359 +tp13360 +a(g900 +g958 +tp13361 +a(g548 +V76 +p13362 +tp13363 +a(g900 +g958 +tp13364 +a(g548 +V65 +p13365 +tp13366 +a(g900 +V +p13367 +tp13368 +a(g685 +g1009 +tp13369 +a(g341 +V ~= *alternative +p13370 +tp13371 +a(g685 +g1013 +tp13372 +a(g900 +V\u000a +p13373 +tp13374 +a(g8 +V0006560 +p13375 +tp13376 +a(g900 +g958 +tp13377 +a(g548 +V73 +p13378 +tp13379 +a(g900 +g958 +tp13380 +a(g548 +V3b +p13381 +tp13382 +a(g900 +g958 +tp13383 +a(g548 +V20 +p13384 +tp13385 +a(g900 +g958 +tp13386 +a(g548 +V20 +p13387 +tp13388 +a(g900 +g958 +tp13389 +a(g548 +V20 +p13390 +tp13391 +a(g900 +g958 +tp13392 +a(g548 +V20 +p13393 +tp13394 +a(g900 +g958 +tp13395 +a(g548 +V2f +p13396 +tp13397 +a(g900 +g958 +tp13398 +a(g548 +V2f +p13399 +tp13400 +a(g900 +g958 +tp13401 +a(g548 +V20 +p13402 +tp13403 +a(g900 +g958 +tp13404 +a(g548 +V61 +p13405 +tp13406 +a(g900 +g958 +tp13407 +a(g548 +V70 +p13408 +tp13409 +a(g900 +g958 +tp13410 +a(g548 +V70 +p13411 +tp13412 +a(g900 +g958 +tp13413 +a(g548 +V65 +p13414 +tp13415 +a(g900 +g958 +tp13416 +a(g548 +V6e +p13417 +tp13418 +a(g900 +g958 +tp13419 +a(g548 +V64 +p13420 +tp13421 +a(g900 +g958 +tp13422 +a(g548 +V20 +p13423 +tp13424 +a(g900 +V +p13425 +tp13426 +a(g685 +g1009 +tp13427 +a(g341 +Vs; // append +p13428 +tp13429 +a(g685 +g1013 +tp13430 +a(g900 +V\u000a +p13431 +tp13432 +a(g8 +V0006600 +p13433 +tp13434 +a(g900 +g958 +tp13435 +a(g548 +V74 +p13436 +tp13437 +a(g900 +g958 +tp13438 +a(g548 +V68 +p13439 +tp13440 +a(g900 +g958 +tp13441 +a(g548 +V65 +p13442 +tp13443 +a(g900 +g958 +tp13444 +a(g548 +V73 +p13445 +tp13446 +a(g900 +g958 +tp13447 +a(g548 +V65 +p13448 +tp13449 +a(g900 +g958 +tp13450 +a(g548 +V20 +p13451 +tp13452 +a(g900 +g958 +tp13453 +a(g548 +V61 +p13454 +tp13455 +a(g900 +g958 +tp13456 +a(g548 +V6c +p13457 +tp13458 +a(g900 +g958 +tp13459 +a(g548 +V74 +p13460 +tp13461 +a(g900 +g958 +tp13462 +a(g548 +V65 +p13463 +tp13464 +a(g900 +g958 +tp13465 +a(g548 +V72 +p13466 +tp13467 +a(g900 +g958 +tp13468 +a(g548 +V6e +p13469 +tp13470 +a(g900 +g958 +tp13471 +a(g548 +V61 +p13472 +tp13473 +a(g900 +g958 +tp13474 +a(g548 +V74 +p13475 +tp13476 +a(g900 +g958 +tp13477 +a(g548 +V69 +p13478 +tp13479 +a(g900 +g958 +tp13480 +a(g548 +V76 +p13481 +tp13482 +a(g900 +V +p13483 +tp13484 +a(g685 +g1009 +tp13485 +a(g341 +Vthese alternativ +p13486 +tp13487 +a(g685 +g1013 +tp13488 +a(g900 +V\u000a +p13489 +tp13490 +a(g8 +V0006620 +p13491 +tp13492 +a(g900 +g958 +tp13493 +a(g548 +V65 +p13494 +tp13495 +a(g900 +g958 +tp13496 +a(g548 +V73 +p13497 +tp13498 +a(g900 +g958 +tp13499 +a(g548 +V0a +p13500 +tp13501 +a(g900 +g958 +tp13502 +a(g548 +V20 +p13503 +tp13504 +a(g900 +g958 +tp13505 +a(g548 +V20 +p13506 +tp13507 +a(g900 +g958 +tp13508 +a(g548 +V20 +p13509 +tp13510 +a(g900 +g958 +tp13511 +a(g548 +V20 +p13512 +tp13513 +a(g900 +g958 +tp13514 +a(g548 +V20 +p13515 +tp13516 +a(g900 +g958 +tp13517 +a(g548 +V20 +p13518 +tp13519 +a(g900 +g958 +tp13520 +a(g548 +V20 +p13521 +tp13522 +a(g900 +g958 +tp13523 +a(g548 +V20 +p13524 +tp13525 +a(g900 +g958 +tp13526 +a(g548 +V7d +p13527 +tp13528 +a(g900 +g958 +tp13529 +a(g548 +V0a +p13530 +tp13531 +a(g900 +g958 +tp13532 +a(g548 +V20 +p13533 +tp13534 +a(g900 +g958 +tp13535 +a(g548 +V20 +p13536 +tp13537 +a(g900 +g958 +tp13538 +a(g548 +V20 +p13539 +tp13540 +a(g900 +V +p13541 +tp13542 +a(g685 +g1009 +tp13543 +a(g341 +Ves. }. +p13544 +tp13545 +a(g685 +g1013 +tp13546 +a(g900 +V\u000a +p13547 +tp13548 +a(g8 +V0006640 +p13549 +tp13550 +a(g900 +g958 +tp13551 +a(g548 +V20 +p13552 +tp13553 +a(g900 +g958 +tp13554 +a(g548 +V20 +p13555 +tp13556 +a(g900 +g958 +tp13557 +a(g548 +V20 +p13558 +tp13559 +a(g900 +g958 +tp13560 +a(g548 +V20 +p13561 +tp13562 +a(g900 +g958 +tp13563 +a(g548 +V20 +p13564 +tp13565 +a(g900 +g958 +tp13566 +a(g548 +V2f +p13567 +tp13568 +a(g900 +g958 +tp13569 +a(g548 +V2f +p13570 +tp13571 +a(g900 +g958 +tp13572 +a(g548 +V20 +p13573 +tp13574 +a(g900 +g958 +tp13575 +a(g548 +V54 +p13576 +tp13577 +a(g900 +g958 +tp13578 +a(g548 +V72 +p13579 +tp13580 +a(g900 +g958 +tp13581 +a(g548 +V79 +p13582 +tp13583 +a(g900 +g958 +tp13584 +a(g548 +V20 +p13585 +tp13586 +a(g900 +g958 +tp13587 +a(g548 +V74 +p13588 +tp13589 +a(g900 +g958 +tp13590 +a(g548 +V6f +p13591 +tp13592 +a(g900 +g958 +tp13593 +a(g548 +V20 +p13594 +tp13595 +a(g900 +g958 +tp13596 +a(g548 +V6b +p13597 +tp13598 +a(g900 +V +p13599 +tp13600 +a(g685 +g1009 +tp13601 +a(g341 +V // Try to k +p13602 +tp13603 +a(g685 +g1013 +tp13604 +a(g900 +V\u000a +p13605 +tp13606 +a(g8 +V0006660 +p13607 +tp13608 +a(g900 +g958 +tp13609 +a(g548 +V65 +p13610 +tp13611 +a(g900 +g958 +tp13612 +a(g548 +V65 +p13613 +tp13614 +a(g900 +g958 +tp13615 +a(g548 +V70 +p13616 +tp13617 +a(g900 +g958 +tp13618 +a(g548 +V20 +p13619 +tp13620 +a(g900 +g958 +tp13621 +a(g548 +V31 +p13622 +tp13623 +a(g900 +g958 +tp13624 +a(g548 +V20 +p13625 +tp13626 +a(g900 +g958 +tp13627 +a(g548 +V64 +p13628 +tp13629 +a(g900 +g958 +tp13630 +a(g548 +V69 +p13631 +tp13632 +a(g900 +g958 +tp13633 +a(g548 +V67 +p13634 +tp13635 +a(g900 +g958 +tp13636 +a(g548 +V69 +p13637 +tp13638 +a(g900 +g958 +tp13639 +a(g548 +V74 +p13640 +tp13641 +a(g900 +g958 +tp13642 +a(g548 +V2c +p13643 +tp13644 +a(g900 +g958 +tp13645 +a(g548 +V20 +p13646 +tp13647 +a(g900 +g958 +tp13648 +a(g548 +V6f +p13649 +tp13650 +a(g900 +g958 +tp13651 +a(g548 +V6e +p13652 +tp13653 +a(g900 +g958 +tp13654 +a(g548 +V6c +p13655 +tp13656 +a(g900 +V +p13657 +tp13658 +a(g685 +g1009 +tp13659 +a(g341 +Veep 1 digit, onl +p13660 +tp13661 +a(g685 +g1013 +tp13662 +a(g900 +V\u000a +p13663 +tp13664 +a(g8 +V0006700 +p13665 +tp13666 +a(g900 +g958 +tp13667 +a(g548 +V79 +p13668 +tp13669 +a(g900 +g958 +tp13670 +a(g548 +V20 +p13671 +tp13672 +a(g900 +g958 +tp13673 +a(g548 +V69 +p13674 +tp13675 +a(g900 +g958 +tp13676 +a(g548 +V66 +p13677 +tp13678 +a(g900 +g958 +tp13679 +a(g548 +V20 +p13680 +tp13681 +a(g900 +g958 +tp13682 +a(g548 +V77 +p13683 +tp13684 +a(g900 +g958 +tp13685 +a(g548 +V65 +p13686 +tp13687 +a(g900 +g958 +tp13688 +a(g548 +V27 +p13689 +tp13690 +a(g900 +g958 +tp13691 +a(g548 +V72 +p13692 +tp13693 +a(g900 +g958 +tp13694 +a(g548 +V65 +p13695 +tp13696 +a(g900 +g958 +tp13697 +a(g548 +V20 +p13698 +tp13699 +a(g900 +g958 +tp13700 +a(g548 +V61 +p13701 +tp13702 +a(g900 +g958 +tp13703 +a(g548 +V6c +p13704 +tp13705 +a(g900 +g958 +tp13706 +a(g548 +V6c +p13707 +tp13708 +a(g900 +g958 +tp13709 +a(g548 +V6f +p13710 +tp13711 +a(g900 +g958 +tp13712 +a(g548 +V77 +p13713 +tp13714 +a(g900 +V +p13715 +tp13716 +a(g685 +g1009 +tp13717 +a(g341 +Vy if we're allow +p13718 +tp13719 +a(g685 +g1013 +tp13720 +a(g900 +V\u000a +p13721 +tp13722 +a(g8 +V0006720 +p13723 +tp13724 +a(g900 +g958 +tp13725 +a(g548 +V65 +p13726 +tp13727 +a(g900 +g958 +tp13728 +a(g548 +V64 +p13729 +tp13730 +a(g900 +g958 +tp13731 +a(g548 +V20 +p13732 +tp13733 +a(g900 +g958 +tp13734 +a(g548 +V61 +p13735 +tp13736 +a(g900 +g958 +tp13737 +a(g548 +V6e +p13738 +tp13739 +a(g900 +g958 +tp13740 +a(g548 +V64 +p13741 +tp13742 +a(g900 +g958 +tp13743 +a(g548 +V20 +p13744 +tp13745 +a(g900 +g958 +tp13746 +a(g548 +V6e +p13747 +tp13748 +a(g900 +g958 +tp13749 +a(g548 +V6f +p13750 +tp13751 +a(g900 +g958 +tp13752 +a(g548 +V20 +p13753 +tp13754 +a(g900 +g958 +tp13755 +a(g548 +V6f +p13756 +tp13757 +a(g900 +g958 +tp13758 +a(g548 +V74 +p13759 +tp13760 +a(g900 +g958 +tp13761 +a(g548 +V68 +p13762 +tp13763 +a(g900 +g958 +tp13764 +a(g548 +V65 +p13765 +tp13766 +a(g900 +g958 +tp13767 +a(g548 +V72 +p13768 +tp13769 +a(g900 +g958 +tp13770 +a(g548 +V0a +p13771 +tp13772 +a(g900 +V +p13773 +tp13774 +a(g685 +g1009 +tp13775 +a(g341 +Ved and no other. +p13776 +tp13777 +a(g685 +g1013 +tp13778 +a(g900 +V\u000a +p13779 +tp13780 +a(g8 +V0006740 +p13781 +tp13782 +a(g900 +g958 +tp13783 +a(g548 +V20 +p13784 +tp13785 +a(g900 +g958 +tp13786 +a(g548 +V20 +p13787 +tp13788 +a(g900 +g958 +tp13789 +a(g548 +V20 +p13790 +tp13791 +a(g900 +g958 +tp13792 +a(g548 +V20 +p13793 +tp13794 +a(g900 +g958 +tp13795 +a(g548 +V20 +p13796 +tp13797 +a(g900 +g958 +tp13798 +a(g548 +V20 +p13799 +tp13800 +a(g900 +g958 +tp13801 +a(g548 +V20 +p13802 +tp13803 +a(g900 +g958 +tp13804 +a(g548 +V20 +p13805 +tp13806 +a(g900 +g958 +tp13807 +a(g548 +V2f +p13808 +tp13809 +a(g900 +g958 +tp13810 +a(g548 +V2f +p13811 +tp13812 +a(g900 +g958 +tp13813 +a(g548 +V20 +p13814 +tp13815 +a(g900 +g958 +tp13816 +a(g548 +V61 +p13817 +tp13818 +a(g900 +g958 +tp13819 +a(g548 +V6c +p13820 +tp13821 +a(g900 +g958 +tp13822 +a(g548 +V74 +p13823 +tp13824 +a(g900 +g958 +tp13825 +a(g548 +V65 +p13826 +tp13827 +a(g900 +g958 +tp13828 +a(g548 +V72 +p13829 +tp13830 +a(g900 +V +p13831 +tp13832 +a(g685 +g1009 +tp13833 +a(g341 +V // alter +p13834 +tp13835 +a(g685 +g1013 +tp13836 +a(g900 +V\u000a +p13837 +tp13838 +a(g8 +V0006760 +p13839 +tp13840 +a(g900 +g958 +tp13841 +a(g548 +V6e +p13842 +tp13843 +a(g900 +g958 +tp13844 +a(g548 +V61 +p13845 +tp13846 +a(g900 +g958 +tp13847 +a(g548 +V74 +p13848 +tp13849 +a(g900 +g958 +tp13850 +a(g548 +V69 +p13851 +tp13852 +a(g900 +g958 +tp13853 +a(g548 +V76 +p13854 +tp13855 +a(g900 +g958 +tp13856 +a(g548 +V65 +p13857 +tp13858 +a(g900 +g958 +tp13859 +a(g548 +V73 +p13860 +tp13861 +a(g900 +g958 +tp13862 +a(g548 +V20 +p13863 +tp13864 +a(g900 +g958 +tp13865 +a(g548 +V77 +p13866 +tp13867 +a(g900 +g958 +tp13868 +a(g548 +V65 +p13869 +tp13870 +a(g900 +g958 +tp13871 +a(g548 +V72 +p13872 +tp13873 +a(g900 +g958 +tp13874 +a(g548 +V65 +p13875 +tp13876 +a(g900 +g958 +tp13877 +a(g548 +V20 +p13878 +tp13879 +a(g900 +g958 +tp13880 +a(g548 +V66 +p13881 +tp13882 +a(g900 +g958 +tp13883 +a(g548 +V6f +p13884 +tp13885 +a(g900 +g958 +tp13886 +a(g548 +V75 +p13887 +tp13888 +a(g900 +V +p13889 +tp13890 +a(g685 +g1009 +tp13891 +a(g341 +Vnatives were fou +p13892 +tp13893 +a(g685 +g1013 +tp13894 +a(g900 +V\u000a +p13895 +tp13896 +a(g8 +V0007000 +p13897 +tp13898 +a(g900 +g958 +tp13899 +a(g548 +V6e +p13900 +tp13901 +a(g900 +g958 +tp13902 +a(g548 +V64 +p13903 +tp13904 +a(g900 +g958 +tp13905 +a(g548 +V0a +p13906 +tp13907 +a(g900 +g958 +tp13908 +a(g548 +V20 +p13909 +tp13910 +a(g900 +g958 +tp13911 +a(g548 +V20 +p13912 +tp13913 +a(g900 +g958 +tp13914 +a(g548 +V20 +p13915 +tp13916 +a(g900 +g958 +tp13917 +a(g548 +V20 +p13918 +tp13919 +a(g900 +g958 +tp13920 +a(g548 +V20 +p13921 +tp13922 +a(g900 +g958 +tp13923 +a(g548 +V20 +p13924 +tp13925 +a(g900 +g958 +tp13926 +a(g548 +V20 +p13927 +tp13928 +a(g900 +g958 +tp13929 +a(g548 +V20 +p13930 +tp13931 +a(g900 +g958 +tp13932 +a(g548 +V2f +p13933 +tp13934 +a(g900 +g958 +tp13935 +a(g548 +V2f +p13936 +tp13937 +a(g900 +g958 +tp13938 +a(g548 +V20 +p13939 +tp13940 +a(g900 +g958 +tp13941 +a(g548 +V54 +p13942 +tp13943 +a(g900 +g958 +tp13944 +a(g548 +V65 +p13945 +tp13946 +a(g900 +V +p13947 +tp13948 +a(g685 +g1009 +tp13949 +a(g341 +Vnd. // Te +p13950 +tp13951 +a(g685 +g1013 +tp13952 +a(g900 +V\u000a +p13953 +tp13954 +a(g8 +V0007020 +p13955 +tp13956 +a(g900 +g958 +tp13957 +a(g548 +V73 +p13958 +tp13959 +a(g900 +g958 +tp13960 +a(g548 +V74 +p13961 +tp13962 +a(g900 +g958 +tp13963 +a(g548 +V69 +p13964 +tp13965 +a(g900 +g958 +tp13966 +a(g548 +V6e +p13967 +tp13968 +a(g900 +g958 +tp13969 +a(g548 +V67 +p13970 +tp13971 +a(g900 +g958 +tp13972 +a(g548 +V20 +p13973 +tp13974 +a(g900 +g958 +tp13975 +a(g548 +V22 +p13976 +tp13977 +a(g900 +g958 +tp13978 +a(g548 +V72 +p13979 +tp13980 +a(g900 +g958 +tp13981 +a(g548 +V65 +p13982 +tp13983 +a(g900 +g958 +tp13984 +a(g548 +V74 +p13985 +tp13986 +a(g900 +g958 +tp13987 +a(g548 +V2e +p13988 +tp13989 +a(g900 +g958 +tp13990 +a(g548 +V6c +p13991 +tp13992 +a(g900 +g958 +tp13993 +a(g548 +V65 +p13994 +tp13995 +a(g900 +g958 +tp13996 +a(g548 +V6e +p13997 +tp13998 +a(g900 +g958 +tp13999 +a(g548 +V67 +p14000 +tp14001 +a(g900 +g958 +tp14002 +a(g548 +V74 +p14003 +tp14004 +a(g900 +V +p14005 +tp14006 +a(g685 +g1009 +tp14007 +a(g341 +Vsting "ret.lengt +p14008 +tp14009 +a(g685 +g1013 +tp14010 +a(g900 +V\u000a +p14011 +tp14012 +a(g8 +V0007040 +p14013 +tp14014 +a(g900 +g958 +tp14015 +a(g548 +V68 +p14016 +tp14017 +a(g900 +g958 +tp14018 +a(g548 +V22 +p14019 +tp14020 +a(g900 +g958 +tp14021 +a(g548 +V20 +p14022 +tp14023 +a(g900 +g958 +tp14024 +a(g548 +V6d +p14025 +tp14026 +a(g900 +g958 +tp14027 +a(g548 +V61 +p14028 +tp14029 +a(g900 +g958 +tp14030 +a(g548 +V6b +p14031 +tp14032 +a(g900 +g958 +tp14033 +a(g548 +V65 +p14034 +tp14035 +a(g900 +g958 +tp14036 +a(g548 +V73 +p14037 +tp14038 +a(g900 +g958 +tp14039 +a(g548 +V20 +p14040 +tp14041 +a(g900 +g958 +tp14042 +a(g548 +V6d +p14043 +tp14044 +a(g900 +g958 +tp14045 +a(g548 +V6f +p14046 +tp14047 +a(g900 +g958 +tp14048 +a(g548 +V72 +p14049 +tp14050 +a(g900 +g958 +tp14051 +a(g548 +V65 +p14052 +tp14053 +a(g900 +g958 +tp14054 +a(g548 +V20 +p14055 +tp14056 +a(g900 +g958 +tp14057 +a(g548 +V73 +p14058 +tp14059 +a(g900 +g958 +tp14060 +a(g548 +V65 +p14061 +tp14062 +a(g900 +V +p14063 +tp14064 +a(g685 +g1009 +tp14065 +a(g341 +Vh" makes more se +p14066 +tp14067 +a(g685 +g1013 +tp14068 +a(g900 +V\u000a +p14069 +tp14070 +a(g8 +V0007060 +p14071 +tp14072 +a(g900 +g958 +tp14073 +a(g548 +V6e +p14074 +tp14075 +a(g900 +g958 +tp14076 +a(g548 +V73 +p14077 +tp14078 +a(g900 +g958 +tp14079 +a(g548 +V65 +p14080 +tp14081 +a(g900 +g958 +tp14082 +a(g548 +V20 +p14083 +tp14084 +a(g900 +g958 +tp14085 +a(g548 +V74 +p14086 +tp14087 +a(g900 +g958 +tp14088 +a(g548 +V68 +p14089 +tp14090 +a(g900 +g958 +tp14091 +a(g548 +V61 +p14092 +tp14093 +a(g900 +g958 +tp14094 +a(g548 +V6e +p14095 +tp14096 +a(g900 +g958 +tp14097 +a(g548 +V20 +p14098 +tp14099 +a(g900 +g958 +tp14100 +a(g548 +V74 +p14101 +tp14102 +a(g900 +g958 +tp14103 +a(g548 +V65 +p14104 +tp14105 +a(g900 +g958 +tp14106 +a(g548 +V73 +p14107 +tp14108 +a(g900 +g958 +tp14109 +a(g548 +V74 +p14110 +tp14111 +a(g900 +g958 +tp14112 +a(g548 +V69 +p14113 +tp14114 +a(g900 +g958 +tp14115 +a(g548 +V6e +p14116 +tp14117 +a(g900 +g958 +tp14118 +a(g548 +V67 +p14119 +tp14120 +a(g900 +V +p14121 +tp14122 +a(g685 +g1009 +tp14123 +a(g341 +Vnse than testing +p14124 +tp14125 +a(g685 +g1013 +tp14126 +a(g900 +V\u000a +p14127 +tp14128 +a(g8 +V0007100 +p14129 +tp14130 +a(g900 +g958 +tp14131 +a(g548 +V20 +p14132 +tp14133 +a(g900 +g958 +tp14134 +a(g548 +V22 +p14135 +tp14136 +a(g900 +g958 +tp14137 +a(g548 +V66 +p14138 +tp14139 +a(g900 +g958 +tp14140 +a(g548 +V6f +p14141 +tp14142 +a(g900 +g958 +tp14143 +a(g548 +V75 +p14144 +tp14145 +a(g900 +g958 +tp14146 +a(g548 +V6e +p14147 +tp14148 +a(g900 +g958 +tp14149 +a(g548 +V64 +p14150 +tp14151 +a(g900 +g958 +tp14152 +a(g548 +V77 +p14153 +tp14154 +a(g900 +g958 +tp14155 +a(g548 +V6f +p14156 +tp14157 +a(g900 +g958 +tp14158 +a(g548 +V72 +p14159 +tp14160 +a(g900 +g958 +tp14161 +a(g548 +V64 +p14162 +tp14163 +a(g900 +g958 +tp14164 +a(g548 +V22 +p14165 +tp14166 +a(g900 +g958 +tp14167 +a(g548 +V2c +p14168 +tp14169 +a(g900 +g958 +tp14170 +a(g548 +V0a +p14171 +tp14172 +a(g900 +g958 +tp14173 +a(g548 +V20 +p14174 +tp14175 +a(g900 +g958 +tp14176 +a(g548 +V20 +p14177 +tp14178 +a(g900 +V +p14179 +tp14180 +a(g685 +g1009 +tp14181 +a(g341 +V "foundword",. +p14182 +tp14183 +a(g685 +g1013 +tp14184 +a(g900 +V\u000a +p14185 +tp14186 +a(g8 +V0007120 +p14187 +tp14188 +a(g900 +g958 +tp14189 +a(g548 +V20 +p14190 +tp14191 +a(g900 +g958 +tp14192 +a(g548 +V20 +p14193 +tp14194 +a(g900 +g958 +tp14195 +a(g548 +V20 +p14196 +tp14197 +a(g900 +g958 +tp14198 +a(g548 +V20 +p14199 +tp14200 +a(g900 +g958 +tp14201 +a(g548 +V20 +p14202 +tp14203 +a(g900 +g958 +tp14204 +a(g548 +V20 +p14205 +tp14206 +a(g900 +g958 +tp14207 +a(g548 +V2f +p14208 +tp14209 +a(g900 +g958 +tp14210 +a(g548 +V2f +p14211 +tp14212 +a(g900 +g958 +tp14213 +a(g548 +V20 +p14214 +tp14215 +a(g900 +g958 +tp14216 +a(g548 +V62 +p14217 +tp14218 +a(g900 +g958 +tp14219 +a(g548 +V75 +p14220 +tp14221 +a(g900 +g958 +tp14222 +a(g548 +V74 +p14223 +tp14224 +a(g900 +g958 +tp14225 +a(g548 +V20 +p14226 +tp14227 +a(g900 +g958 +tp14228 +a(g548 +V74 +p14229 +tp14230 +a(g900 +g958 +tp14231 +a(g548 +V68 +p14232 +tp14233 +a(g900 +g958 +tp14234 +a(g548 +V65 +p14235 +tp14236 +a(g900 +V +p14237 +tp14238 +a(g685 +g1009 +tp14239 +a(g341 +V // but the +p14240 +tp14241 +a(g685 +g1013 +tp14242 +a(g900 +V\u000a +p14243 +tp14244 +a(g8 +V0007140 +p14245 +tp14246 +a(g900 +g958 +tp14247 +a(g548 +V20 +p14248 +tp14249 +a(g900 +g958 +tp14250 +a(g548 +V6f +p14251 +tp14252 +a(g900 +g958 +tp14253 +a(g548 +V74 +p14254 +tp14255 +a(g900 +g958 +tp14256 +a(g548 +V68 +p14257 +tp14258 +a(g900 +g958 +tp14259 +a(g548 +V65 +p14260 +tp14261 +a(g900 +g958 +tp14262 +a(g548 +V72 +p14263 +tp14264 +a(g900 +g958 +tp14265 +a(g548 +V20 +p14266 +tp14267 +a(g900 +g958 +tp14268 +a(g548 +V69 +p14269 +tp14270 +a(g900 +g958 +tp14271 +a(g548 +V6d +p14272 +tp14273 +a(g900 +g958 +tp14274 +a(g548 +V70 +p14275 +tp14276 +a(g900 +g958 +tp14277 +a(g548 +V6c +p14278 +tp14279 +a(g900 +g958 +tp14280 +a(g548 +V65 +p14281 +tp14282 +a(g900 +g958 +tp14283 +a(g548 +V6d +p14284 +tp14285 +a(g900 +g958 +tp14286 +a(g548 +V65 +p14287 +tp14288 +a(g900 +g958 +tp14289 +a(g548 +V6e +p14290 +tp14291 +a(g900 +g958 +tp14292 +a(g548 +V74 +p14293 +tp14294 +a(g900 +V +p14295 +tp14296 +a(g685 +g1009 +tp14297 +a(g341 +V other implement +p14298 +tp14299 +a(g685 +g1013 +tp14300 +a(g900 +V\u000a +p14301 +tp14302 +a(g8 +V0007160 +p14303 +tp14304 +a(g900 +g958 +tp14305 +a(g548 +V61 +p14306 +tp14307 +a(g900 +g958 +tp14308 +a(g548 +V74 +p14309 +tp14310 +a(g900 +g958 +tp14311 +a(g548 +V69 +p14312 +tp14313 +a(g900 +g958 +tp14314 +a(g548 +V6f +p14315 +tp14316 +a(g900 +g958 +tp14317 +a(g548 +V6e +p14318 +tp14319 +a(g900 +g958 +tp14320 +a(g548 +V73 +p14321 +tp14322 +a(g900 +g958 +tp14323 +a(g548 +V20 +p14324 +tp14325 +a(g900 +g958 +tp14326 +a(g548 +V73 +p14327 +tp14328 +a(g900 +g958 +tp14329 +a(g548 +V65 +p14330 +tp14331 +a(g900 +g958 +tp14332 +a(g548 +V65 +p14333 +tp14334 +a(g900 +g958 +tp14335 +a(g548 +V6d +p14336 +tp14337 +a(g900 +g958 +tp14338 +a(g548 +V20 +p14339 +tp14340 +a(g900 +g958 +tp14341 +a(g548 +V74 +p14342 +tp14343 +a(g900 +g958 +tp14344 +a(g548 +V6f +p14345 +tp14346 +a(g900 +g958 +tp14347 +a(g548 +V20 +p14348 +tp14349 +a(g900 +g958 +tp14350 +a(g548 +V64 +p14351 +tp14352 +a(g900 +V +p14353 +tp14354 +a(g685 +g1009 +tp14355 +a(g341 +Vations seem to d +p14356 +tp14357 +a(g685 +g1013 +tp14358 +a(g900 +V\u000a +p14359 +tp14360 +a(g8 +V0007200 +p14361 +tp14362 +a(g900 +g958 +tp14363 +a(g548 +V6f +p14364 +tp14365 +a(g900 +g958 +tp14366 +a(g548 +V20 +p14367 +tp14368 +a(g900 +g958 +tp14369 +a(g548 +V6a +p14370 +tp14371 +a(g900 +g958 +tp14372 +a(g548 +V75 +p14373 +tp14374 +a(g900 +g958 +tp14375 +a(g548 +V73 +p14376 +tp14377 +a(g900 +g958 +tp14378 +a(g548 +V74 +p14379 +tp14380 +a(g900 +g958 +tp14381 +a(g548 +V20 +p14382 +tp14383 +a(g900 +g958 +tp14384 +a(g548 +V74 +p14385 +tp14386 +a(g900 +g958 +tp14387 +a(g548 +V68 +p14388 +tp14389 +a(g900 +g958 +tp14390 +a(g548 +V69 +p14391 +tp14392 +a(g900 +g958 +tp14393 +a(g548 +V73 +p14394 +tp14395 +a(g900 +g958 +tp14396 +a(g548 +V2e +p14397 +tp14398 +a(g900 +g958 +tp14399 +a(g548 +V0a +p14400 +tp14401 +a(g900 +g958 +tp14402 +a(g548 +V20 +p14403 +tp14404 +a(g900 +g958 +tp14405 +a(g548 +V20 +p14406 +tp14407 +a(g900 +g958 +tp14408 +a(g548 +V20 +p14409 +tp14410 +a(g900 +V +p14411 +tp14412 +a(g685 +g1009 +tp14413 +a(g341 +Vo just this.. +p14414 +tp14415 +a(g685 +g1013 +tp14416 +a(g900 +V\u000a +p14417 +tp14418 +a(g8 +V0007220 +p14419 +tp14420 +a(g900 +g958 +tp14421 +a(g548 +V20 +p14422 +tp14423 +a(g900 +g958 +tp14424 +a(g548 +V20 +p14425 +tp14426 +a(g900 +g958 +tp14427 +a(g548 +V20 +p14428 +tp14429 +a(g900 +g958 +tp14430 +a(g548 +V20 +p14431 +tp14432 +a(g900 +g958 +tp14433 +a(g548 +V20 +p14434 +tp14435 +a(g900 +g958 +tp14436 +a(g548 +V69 +p14437 +tp14438 +a(g900 +g958 +tp14439 +a(g548 +V66 +p14440 +tp14441 +a(g900 +g958 +tp14442 +a(g548 +V20 +p14443 +tp14444 +a(g900 +g958 +tp14445 +a(g548 +V28 +p14446 +tp14447 +a(g900 +g958 +tp14448 +a(g548 +V64 +p14449 +tp14450 +a(g900 +g958 +tp14451 +a(g548 +V69 +p14452 +tp14453 +a(g900 +g958 +tp14454 +a(g548 +V67 +p14455 +tp14456 +a(g900 +g958 +tp14457 +a(g548 +V69 +p14458 +tp14459 +a(g900 +g958 +tp14460 +a(g548 +V74 +p14461 +tp14462 +a(g900 +g958 +tp14463 +a(g548 +V6f +p14464 +tp14465 +a(g900 +g958 +tp14466 +a(g548 +V6b +p14467 +tp14468 +a(g900 +V +p14469 +tp14470 +a(g685 +g1009 +tp14471 +a(g341 +V if (digitok +p14472 +tp14473 +a(g685 +g1013 +tp14474 +a(g900 +V\u000a +p14475 +tp14476 +a(g8 +V0007240 +p14477 +tp14478 +a(g900 +g958 +tp14479 +a(g548 +V20 +p14480 +tp14481 +a(g900 +g958 +tp14482 +a(g548 +V26 +p14483 +tp14484 +a(g900 +g958 +tp14485 +a(g548 +V26 +p14486 +tp14487 +a(g900 +g958 +tp14488 +a(g548 +V20 +p14489 +tp14490 +a(g900 +g958 +tp14491 +a(g548 +V21 +p14492 +tp14493 +a(g900 +g958 +tp14494 +a(g548 +V66 +p14495 +tp14496 +a(g900 +g958 +tp14497 +a(g548 +V6f +p14498 +tp14499 +a(g900 +g958 +tp14500 +a(g548 +V75 +p14501 +tp14502 +a(g900 +g958 +tp14503 +a(g548 +V6e +p14504 +tp14505 +a(g900 +g958 +tp14506 +a(g548 +V64 +p14507 +tp14508 +a(g900 +g958 +tp14509 +a(g548 +V77 +p14510 +tp14511 +a(g900 +g958 +tp14512 +a(g548 +V6f +p14513 +tp14514 +a(g900 +g958 +tp14515 +a(g548 +V72 +p14516 +tp14517 +a(g900 +g958 +tp14518 +a(g548 +V64 +p14519 +tp14520 +a(g900 +g958 +tp14521 +a(g548 +V29 +p14522 +tp14523 +a(g900 +g958 +tp14524 +a(g548 +V20 +p14525 +tp14526 +a(g900 +V +p14527 +tp14528 +a(g685 +g1009 +tp14529 +a(g341 +V && !foundword) +p14530 +tp14531 +a(g685 +g1013 +tp14532 +a(g900 +V\u000a +p14533 +tp14534 +a(g8 +V0007260 +p14535 +tp14536 +a(g900 +g958 +tp14537 +a(g548 +V7b +p14538 +tp14539 +a(g900 +g958 +tp14540 +a(g548 +V20 +p14541 +tp14542 +a(g900 +g958 +tp14543 +a(g548 +V2f +p14544 +tp14545 +a(g900 +g958 +tp14546 +a(g548 +V2f +p14547 +tp14548 +a(g900 +g958 +tp14549 +a(g548 +V72 +p14550 +tp14551 +a(g900 +g958 +tp14552 +a(g548 +V65 +p14553 +tp14554 +a(g900 +g958 +tp14555 +a(g548 +V74 +p14556 +tp14557 +a(g900 +g958 +tp14558 +a(g548 +V2e +p14559 +tp14560 +a(g900 +g958 +tp14561 +a(g548 +V6c +p14562 +tp14563 +a(g900 +g958 +tp14564 +a(g548 +V65 +p14565 +tp14566 +a(g900 +g958 +tp14567 +a(g548 +V6e +p14568 +tp14569 +a(g900 +g958 +tp14570 +a(g548 +V67 +p14571 +tp14572 +a(g900 +g958 +tp14573 +a(g548 +V74 +p14574 +tp14575 +a(g900 +g958 +tp14576 +a(g548 +V68 +p14577 +tp14578 +a(g900 +g958 +tp14579 +a(g548 +V20 +p14580 +tp14581 +a(g900 +g958 +tp14582 +a(g548 +V3d +p14583 +tp14584 +a(g900 +V +p14585 +tp14586 +a(g685 +g1009 +tp14587 +a(g341 +V{ //ret.length = +p14588 +tp14589 +a(g685 +g1013 +tp14590 +a(g900 +V\u000a +p14591 +tp14592 +a(g8 +V0007300 +p14593 +tp14594 +a(g900 +g958 +tp14595 +a(g548 +V3d +p14596 +tp14597 +a(g900 +g958 +tp14598 +a(g548 +V20 +p14599 +tp14600 +a(g900 +g958 +tp14601 +a(g548 +V30 +p14602 +tp14603 +a(g900 +g958 +tp14604 +a(g548 +V20 +p14605 +tp14606 +a(g900 +g958 +tp14607 +a(g548 +V20 +p14608 +tp14609 +a(g900 +g958 +tp14610 +a(g548 +V0a +p14611 +tp14612 +a(g900 +g958 +tp14613 +a(g548 +V20 +p14614 +tp14615 +a(g900 +g958 +tp14616 +a(g548 +V20 +p14617 +tp14618 +a(g900 +g958 +tp14619 +a(g548 +V20 +p14620 +tp14621 +a(g900 +g958 +tp14622 +a(g548 +V20 +p14623 +tp14624 +a(g900 +g958 +tp14625 +a(g548 +V20 +p14626 +tp14627 +a(g900 +g958 +tp14628 +a(g548 +V20 +p14629 +tp14630 +a(g900 +g958 +tp14631 +a(g548 +V20 +p14632 +tp14633 +a(g900 +g958 +tp14634 +a(g548 +V20 +p14635 +tp14636 +a(g900 +g958 +tp14637 +a(g548 +V20 +p14638 +tp14639 +a(g900 +g958 +tp14640 +a(g548 +V20 +p14641 +tp14642 +a(g900 +V +p14643 +tp14644 +a(g685 +g1009 +tp14645 +a(g341 +V= 0 . +p14646 +tp14647 +a(g685 +g1013 +tp14648 +a(g900 +V\u000a +p14649 +tp14650 +a(g8 +V0007320 +p14651 +tp14652 +a(g900 +g958 +tp14653 +a(g548 +V20 +p14654 +tp14655 +a(g900 +g958 +tp14656 +a(g548 +V20 +p14657 +tp14658 +a(g900 +g958 +tp14659 +a(g548 +V69 +p14660 +tp14661 +a(g900 +g958 +tp14662 +a(g548 +V66 +p14663 +tp14664 +a(g900 +g958 +tp14665 +a(g548 +V28 +p14666 +tp14667 +a(g900 +g958 +tp14668 +a(g548 +V6e +p14669 +tp14670 +a(g900 +g958 +tp14671 +a(g548 +V75 +p14672 +tp14673 +a(g900 +g958 +tp14674 +a(g548 +V6d +p14675 +tp14676 +a(g900 +g958 +tp14677 +a(g548 +V62 +p14678 +tp14679 +a(g900 +g958 +tp14680 +a(g548 +V65 +p14681 +tp14682 +a(g900 +g958 +tp14683 +a(g548 +V72 +p14684 +tp14685 +a(g900 +g958 +tp14686 +a(g548 +V73 +p14687 +tp14688 +a(g900 +g958 +tp14689 +a(g548 +V2e +p14690 +tp14691 +a(g900 +g958 +tp14692 +a(g548 +V6c +p14693 +tp14694 +a(g900 +g958 +tp14695 +a(g548 +V65 +p14696 +tp14697 +a(g900 +g958 +tp14698 +a(g548 +V6e +p14699 +tp14700 +a(g900 +V +p14701 +tp14702 +a(g685 +g1009 +tp14703 +a(g341 +V if(numbers.len +p14704 +tp14705 +a(g685 +g1013 +tp14706 +a(g900 +V\u000a +p14707 +tp14708 +a(g8 +V0007340 +p14709 +tp14710 +a(g900 +g958 +tp14711 +a(g548 +V67 +p14712 +tp14713 +a(g900 +g958 +tp14714 +a(g548 +V74 +p14715 +tp14716 +a(g900 +g958 +tp14717 +a(g548 +V68 +p14718 +tp14719 +a(g900 +g958 +tp14720 +a(g548 +V20 +p14721 +tp14722 +a(g900 +g958 +tp14723 +a(g548 +V3e +p14724 +tp14725 +a(g900 +g958 +tp14726 +a(g548 +V20 +p14727 +tp14728 +a(g900 +g958 +tp14729 +a(g548 +V20 +p14730 +tp14731 +a(g900 +g958 +tp14732 +a(g548 +V31 +p14733 +tp14734 +a(g900 +g958 +tp14735 +a(g548 +V29 +p14736 +tp14737 +a(g900 +g958 +tp14738 +a(g548 +V20 +p14739 +tp14740 +a(g900 +g958 +tp14741 +a(g548 +V7b +p14742 +tp14743 +a(g900 +g958 +tp14744 +a(g548 +V0a +p14745 +tp14746 +a(g900 +g958 +tp14747 +a(g548 +V20 +p14748 +tp14749 +a(g900 +g958 +tp14750 +a(g548 +V20 +p14751 +tp14752 +a(g900 +g958 +tp14753 +a(g548 +V20 +p14754 +tp14755 +a(g900 +g958 +tp14756 +a(g548 +V20 +p14757 +tp14758 +a(g900 +V +p14759 +tp14760 +a(g685 +g1009 +tp14761 +a(g341 +Vgth > 1) {. +p14762 +tp14763 +a(g685 +g1013 +tp14764 +a(g900 +V\u000a +p14765 +tp14766 +a(g8 +V0007360 +p14767 +tp14768 +a(g900 +g958 +tp14769 +a(g548 +V20 +p14770 +tp14771 +a(g900 +g958 +tp14772 +a(g548 +V20 +p14773 +tp14774 +a(g900 +g958 +tp14775 +a(g548 +V20 +p14776 +tp14777 +a(g900 +g958 +tp14778 +a(g548 +V20 +p14779 +tp14780 +a(g900 +g958 +tp14781 +a(g548 +V20 +p14782 +tp14783 +a(g900 +g958 +tp14784 +a(g548 +V20 +p14785 +tp14786 +a(g900 +g958 +tp14787 +a(g548 +V20 +p14788 +tp14789 +a(g900 +g958 +tp14790 +a(g548 +V20 +p14791 +tp14792 +a(g900 +g958 +tp14793 +a(g548 +V20 +p14794 +tp14795 +a(g900 +g958 +tp14796 +a(g548 +V20 +p14797 +tp14798 +a(g900 +g958 +tp14799 +a(g548 +V20 +p14800 +tp14801 +a(g900 +g958 +tp14802 +a(g548 +V20 +p14803 +tp14804 +a(g900 +g958 +tp14805 +a(g548 +V2f +p14806 +tp14807 +a(g900 +g958 +tp14808 +a(g548 +V2f +p14809 +tp14810 +a(g900 +g958 +tp14811 +a(g548 +V20 +p14812 +tp14813 +a(g900 +g958 +tp14814 +a(g548 +V43 +p14815 +tp14816 +a(g900 +V +p14817 +tp14818 +a(g685 +g1009 +tp14819 +a(g341 +V // C +p14820 +tp14821 +a(g685 +g1013 +tp14822 +a(g900 +V\u000a +p14823 +tp14824 +a(g8 +V0007400 +p14825 +tp14826 +a(g900 +g958 +tp14827 +a(g548 +V6f +p14828 +tp14829 +a(g900 +g958 +tp14830 +a(g548 +V6d +p14831 +tp14832 +a(g900 +g958 +tp14833 +a(g548 +V62 +p14834 +tp14835 +a(g900 +g958 +tp14836 +a(g548 +V69 +p14837 +tp14838 +a(g900 +g958 +tp14839 +a(g548 +V6e +p14840 +tp14841 +a(g900 +g958 +tp14842 +a(g548 +V65 +p14843 +tp14844 +a(g900 +g958 +tp14845 +a(g548 +V20 +p14846 +tp14847 +a(g900 +g958 +tp14848 +a(g548 +V31 +p14849 +tp14850 +a(g900 +g958 +tp14851 +a(g548 +V20 +p14852 +tp14853 +a(g900 +g958 +tp14854 +a(g548 +V64 +p14855 +tp14856 +a(g900 +g958 +tp14857 +a(g548 +V69 +p14858 +tp14859 +a(g900 +g958 +tp14860 +a(g548 +V67 +p14861 +tp14862 +a(g900 +g958 +tp14863 +a(g548 +V69 +p14864 +tp14865 +a(g900 +g958 +tp14866 +a(g548 +V74 +p14867 +tp14868 +a(g900 +g958 +tp14869 +a(g548 +V20 +p14870 +tp14871 +a(g900 +g958 +tp14872 +a(g548 +V77 +p14873 +tp14874 +a(g900 +V +p14875 +tp14876 +a(g685 +g1009 +tp14877 +a(g341 +Vombine 1 digit w +p14878 +tp14879 +a(g685 +g1013 +tp14880 +a(g900 +V\u000a +p14881 +tp14882 +a(g8 +V0007420 +p14883 +tp14884 +a(g900 +g958 +tp14885 +a(g548 +V69 +p14886 +tp14887 +a(g900 +g958 +tp14888 +a(g548 +V74 +p14889 +tp14890 +a(g900 +g958 +tp14891 +a(g548 +V68 +p14892 +tp14893 +a(g900 +g958 +tp14894 +a(g548 +V20 +p14895 +tp14896 +a(g900 +g958 +tp14897 +a(g548 +V61 +p14898 +tp14899 +a(g900 +g958 +tp14900 +a(g548 +V6c +p14901 +tp14902 +a(g900 +g958 +tp14903 +a(g548 +V6c +p14904 +tp14905 +a(g900 +g958 +tp14906 +a(g548 +V20 +p14907 +tp14908 +a(g900 +g958 +tp14909 +a(g548 +V61 +p14910 +tp14911 +a(g900 +g958 +tp14912 +a(g548 +V6c +p14913 +tp14914 +a(g900 +g958 +tp14915 +a(g548 +V74 +p14916 +tp14917 +a(g900 +g958 +tp14918 +a(g548 +V65 +p14919 +tp14920 +a(g900 +g958 +tp14921 +a(g548 +V6e +p14922 +tp14923 +a(g900 +g958 +tp14924 +a(g548 +V61 +p14925 +tp14926 +a(g900 +g958 +tp14927 +a(g548 +V74 +p14928 +tp14929 +a(g900 +g958 +tp14930 +a(g548 +V69 +p14931 +tp14932 +a(g900 +V +p14933 +tp14934 +a(g685 +g1009 +tp14935 +a(g341 +Vith all altenati +p14936 +tp14937 +a(g685 +g1013 +tp14938 +a(g900 +V\u000a +p14939 +tp14940 +a(g8 +V0007440 +p14941 +tp14942 +a(g900 +g958 +tp14943 +a(g548 +V76 +p14944 +tp14945 +a(g900 +g958 +tp14946 +a(g548 +V65 +p14947 +tp14948 +a(g900 +g958 +tp14949 +a(g548 +V73 +p14950 +tp14951 +a(g900 +g958 +tp14952 +a(g548 +V20 +p14953 +tp14954 +a(g900 +g958 +tp14955 +a(g548 +V66 +p14956 +tp14957 +a(g900 +g958 +tp14958 +a(g548 +V72 +p14959 +tp14960 +a(g900 +g958 +tp14961 +a(g548 +V6f +p14962 +tp14963 +a(g900 +g958 +tp14964 +a(g548 +V6d +p14965 +tp14966 +a(g900 +g958 +tp14967 +a(g548 +V20 +p14968 +tp14969 +a(g900 +g958 +tp14970 +a(g548 +V74 +p14971 +tp14972 +a(g900 +g958 +tp14973 +a(g548 +V68 +p14974 +tp14975 +a(g900 +g958 +tp14976 +a(g548 +V65 +p14977 +tp14978 +a(g900 +g958 +tp14979 +a(g548 +V20 +p14980 +tp14981 +a(g900 +g958 +tp14982 +a(g548 +V72 +p14983 +tp14984 +a(g900 +g958 +tp14985 +a(g548 +V65 +p14986 +tp14987 +a(g900 +g958 +tp14988 +a(g548 +V73 +p14989 +tp14990 +a(g900 +V +p14991 +tp14992 +a(g685 +g1009 +tp14993 +a(g341 +Vves from the res +p14994 +tp14995 +a(g685 +g1013 +tp14996 +a(g900 +V\u000a +p14997 +tp14998 +a(g8 +V0007460 +p14999 +tp15000 +a(g900 +g958 +tp15001 +a(g548 +V74 +p15002 +tp15003 +a(g900 +g958 +tp15004 +a(g548 +V20 +p15005 +tp15006 +a(g900 +g958 +tp15007 +a(g548 +V20 +p15008 +tp15009 +a(g900 +g958 +tp15010 +a(g548 +V20 +p15011 +tp15012 +a(g900 +g958 +tp15013 +a(g548 +V20 +p15014 +tp15015 +a(g900 +g958 +tp15016 +a(g548 +V0a +p15017 +tp15018 +a(g900 +g958 +tp15019 +a(g548 +V20 +p15020 +tp15021 +a(g900 +g958 +tp15022 +a(g548 +V20 +p15023 +tp15024 +a(g900 +g958 +tp15025 +a(g548 +V20 +p15026 +tp15027 +a(g900 +g958 +tp15028 +a(g548 +V20 +p15029 +tp15030 +a(g900 +g958 +tp15031 +a(g548 +V20 +p15032 +tp15033 +a(g900 +g958 +tp15034 +a(g548 +V20 +p15035 +tp15036 +a(g900 +g958 +tp15037 +a(g548 +V20 +p15038 +tp15039 +a(g900 +g958 +tp15040 +a(g548 +V20 +p15041 +tp15042 +a(g900 +g958 +tp15043 +a(g548 +V20 +p15044 +tp15045 +a(g900 +g958 +tp15046 +a(g548 +V20 +p15047 +tp15048 +a(g900 +V +p15049 +tp15050 +a(g685 +g1009 +tp15051 +a(g341 +Vt . +p15052 +tp15053 +a(g685 +g1013 +tp15054 +a(g900 +V\u000a +p15055 +tp15056 +a(g8 +V0007500 +p15057 +tp15058 +a(g900 +g958 +tp15059 +a(g548 +V20 +p15060 +tp15061 +a(g900 +g958 +tp15062 +a(g548 +V20 +p15063 +tp15064 +a(g900 +g958 +tp15065 +a(g548 +V20 +p15066 +tp15067 +a(g900 +g958 +tp15068 +a(g548 +V20 +p15069 +tp15070 +a(g900 +g958 +tp15071 +a(g548 +V20 +p15072 +tp15073 +a(g900 +g958 +tp15074 +a(g548 +V20 +p15075 +tp15076 +a(g900 +g958 +tp15077 +a(g548 +V2f +p15078 +tp15079 +a(g900 +g958 +tp15080 +a(g548 +V2f +p15081 +tp15082 +a(g900 +g958 +tp15083 +a(g548 +V20 +p15084 +tp15085 +a(g900 +g958 +tp15086 +a(g548 +V28 +p15087 +tp15088 +a(g900 +g958 +tp15089 +a(g548 +V6e +p15090 +tp15091 +a(g900 +g958 +tp15092 +a(g548 +V65 +p15093 +tp15094 +a(g900 +g958 +tp15095 +a(g548 +V78 +p15096 +tp15097 +a(g900 +g958 +tp15098 +a(g548 +V74 +p15099 +tp15100 +a(g900 +g958 +tp15101 +a(g548 +V20 +p15102 +tp15103 +a(g900 +g958 +tp15104 +a(g548 +V70 +p15105 +tp15106 +a(g900 +V +p15107 +tp15108 +a(g685 +g1009 +tp15109 +a(g341 +V // (next p +p15110 +tp15111 +a(g685 +g1013 +tp15112 +a(g900 +V\u000a +p15113 +tp15114 +a(g8 +V0007520 +p15115 +tp15116 +a(g900 +g958 +tp15117 +a(g548 +V69 +p15118 +tp15119 +a(g900 +g958 +tp15120 +a(g548 +V65 +p15121 +tp15122 +a(g900 +g958 +tp15123 +a(g548 +V63 +p15124 +tp15125 +a(g900 +g958 +tp15126 +a(g548 +V65 +p15127 +tp15128 +a(g900 +g958 +tp15129 +a(g548 +V20 +p15130 +tp15131 +a(g900 +g958 +tp15132 +a(g548 +V63 +p15133 +tp15134 +a(g900 +g958 +tp15135 +a(g548 +V61 +p15136 +tp15137 +a(g900 +g958 +tp15138 +a(g548 +V6e +p15139 +tp15140 +a(g900 +g958 +tp15141 +a(g548 +V20 +p15142 +tp15143 +a(g900 +g958 +tp15144 +a(g548 +V6e +p15145 +tp15146 +a(g900 +g958 +tp15147 +a(g548 +V6f +p15148 +tp15149 +a(g900 +g958 +tp15150 +a(g548 +V74 +p15151 +tp15152 +a(g900 +g958 +tp15153 +a(g548 +V20 +p15154 +tp15155 +a(g900 +g958 +tp15156 +a(g548 +V73 +p15157 +tp15158 +a(g900 +g958 +tp15159 +a(g548 +V74 +p15160 +tp15161 +a(g900 +g958 +tp15162 +a(g548 +V61 +p15163 +tp15164 +a(g900 +V +p15165 +tp15166 +a(g685 +g1009 +tp15167 +a(g341 +Viece can not sta +p15168 +tp15169 +a(g685 +g1013 +tp15170 +a(g900 +V\u000a +p15171 +tp15172 +a(g8 +V0007540 +p15173 +tp15174 +a(g900 +g958 +tp15175 +a(g548 +V72 +p15176 +tp15177 +a(g900 +g958 +tp15178 +a(g548 +V74 +p15179 +tp15180 +a(g900 +g958 +tp15181 +a(g548 +V20 +p15182 +tp15183 +a(g900 +g958 +tp15184 +a(g548 +V77 +p15185 +tp15186 +a(g900 +g958 +tp15187 +a(g548 +V69 +p15188 +tp15189 +a(g900 +g958 +tp15190 +a(g548 +V74 +p15191 +tp15192 +a(g900 +g958 +tp15193 +a(g548 +V68 +p15194 +tp15195 +a(g900 +g958 +tp15196 +a(g548 +V20 +p15197 +tp15198 +a(g900 +g958 +tp15199 +a(g548 +V61 +p15200 +tp15201 +a(g900 +g958 +tp15202 +a(g548 +V20 +p15203 +tp15204 +a(g900 +g958 +tp15205 +a(g548 +V64 +p15206 +tp15207 +a(g900 +g958 +tp15208 +a(g548 +V69 +p15209 +tp15210 +a(g900 +g958 +tp15211 +a(g548 +V67 +p15212 +tp15213 +a(g900 +g958 +tp15214 +a(g548 +V69 +p15215 +tp15216 +a(g900 +g958 +tp15217 +a(g548 +V74 +p15218 +tp15219 +a(g900 +g958 +tp15220 +a(g548 +V29 +p15221 +tp15222 +a(g900 +V +p15223 +tp15224 +a(g685 +g1009 +tp15225 +a(g341 +Vrt with a digit) +p15226 +tp15227 +a(g685 +g1013 +tp15228 +a(g900 +V\u000a +p15229 +tp15230 +a(g8 +V0007560 +p15231 +tp15232 +a(g900 +g958 +tp15233 +a(g548 +V20 +p15234 +tp15235 +a(g900 +g958 +tp15236 +a(g548 +V20 +p15237 +tp15238 +a(g900 +g958 +tp15239 +a(g548 +V20 +p15240 +tp15241 +a(g900 +g958 +tp15242 +a(g548 +V20 +p15243 +tp15244 +a(g900 +g958 +tp15245 +a(g548 +V20 +p15246 +tp15247 +a(g900 +g958 +tp15248 +a(g548 +V20 +p15249 +tp15250 +a(g900 +g958 +tp15251 +a(g548 +V20 +p15252 +tp15253 +a(g900 +g958 +tp15254 +a(g548 +V20 +p15255 +tp15256 +a(g900 +g958 +tp15257 +a(g548 +V20 +p15258 +tp15259 +a(g900 +g958 +tp15260 +a(g548 +V20 +p15261 +tp15262 +a(g900 +g958 +tp15263 +a(g548 +V0a +p15264 +tp15265 +a(g900 +g958 +tp15266 +a(g548 +V20 +p15267 +tp15268 +a(g900 +g958 +tp15269 +a(g548 +V20 +p15270 +tp15271 +a(g900 +g958 +tp15272 +a(g548 +V20 +p15273 +tp15274 +a(g900 +g958 +tp15275 +a(g548 +V20 +p15276 +tp15277 +a(g900 +g958 +tp15278 +a(g548 +V20 +p15279 +tp15280 +a(g900 +V +p15281 +tp15282 +a(g685 +g1009 +tp15283 +a(g341 +V . +p15284 +tp15285 +a(g685 +g1013 +tp15286 +a(g900 +V\u000a +p15287 +tp15288 +a(g8 +V0007600 +p15289 +tp15290 +a(g900 +g958 +tp15291 +a(g548 +V20 +p15292 +tp15293 +a(g900 +g958 +tp15294 +a(g548 +V20 +p15295 +tp15296 +a(g900 +g958 +tp15297 +a(g548 +V20 +p15298 +tp15299 +a(g900 +g958 +tp15300 +a(g548 +V20 +p15301 +tp15302 +a(g900 +g958 +tp15303 +a(g548 +V20 +p15304 +tp15305 +a(g900 +g958 +tp15306 +a(g548 +V20 +p15307 +tp15308 +a(g900 +g958 +tp15309 +a(g548 +V20 +p15310 +tp15311 +a(g900 +g958 +tp15312 +a(g548 +V20 +p15313 +tp15314 +a(g900 +g958 +tp15315 +a(g548 +V20 +p15316 +tp15317 +a(g900 +g958 +tp15318 +a(g548 +V20 +p15319 +tp15320 +a(g900 +g958 +tp15321 +a(g548 +V20 +p15322 +tp15323 +a(g900 +g958 +tp15324 +a(g548 +V66 +p15325 +tp15326 +a(g900 +g958 +tp15327 +a(g548 +V6f +p15328 +tp15329 +a(g900 +g958 +tp15330 +a(g548 +V72 +p15331 +tp15332 +a(g900 +g958 +tp15333 +a(g548 +V65 +p15334 +tp15335 +a(g900 +g958 +tp15336 +a(g548 +V61 +p15337 +tp15338 +a(g900 +V +p15339 +tp15340 +a(g685 +g1009 +tp15341 +a(g341 +V forea +p15342 +tp15343 +a(g685 +g1013 +tp15344 +a(g900 +V\u000a +p15345 +tp15346 +a(g8 +V0007620 +p15347 +tp15348 +a(g900 +g958 +tp15349 +a(g548 +V63 +p15350 +tp15351 +a(g900 +g958 +tp15352 +a(g548 +V68 +p15353 +tp15354 +a(g900 +g958 +tp15355 +a(g548 +V20 +p15356 +tp15357 +a(g900 +g958 +tp15358 +a(g548 +V28 +p15359 +tp15360 +a(g900 +g958 +tp15361 +a(g548 +V61 +p15362 +tp15363 +a(g900 +g958 +tp15364 +a(g548 +V3b +p15365 +tp15366 +a(g900 +g958 +tp15367 +a(g548 +V20 +p15368 +tp15369 +a(g900 +g958 +tp15370 +a(g548 +V5f +p15371 +tp15372 +a(g900 +g958 +tp15373 +a(g548 +V46 +p15374 +tp15375 +a(g900 +g958 +tp15376 +a(g548 +V69 +p15377 +tp15378 +a(g900 +g958 +tp15379 +a(g548 +V6e +p15380 +tp15381 +a(g900 +g958 +tp15382 +a(g548 +V64 +p15383 +tp15384 +a(g900 +g958 +tp15385 +a(g548 +V57 +p15386 +tp15387 +a(g900 +g958 +tp15388 +a(g548 +V6f +p15389 +tp15390 +a(g900 +g958 +tp15391 +a(g548 +V72 +p15392 +tp15393 +a(g900 +g958 +tp15394 +a(g548 +V64 +p15395 +tp15396 +a(g900 +V +p15397 +tp15398 +a(g685 +g1009 +tp15399 +a(g341 +Vch (a; _FindWord +p15400 +tp15401 +a(g685 +g1013 +tp15402 +a(g900 +V\u000a +p15403 +tp15404 +a(g8 +V0007640 +p15405 +tp15406 +a(g900 +g958 +tp15407 +a(g548 +V73 +p15408 +tp15409 +a(g900 +g958 +tp15410 +a(g548 +V28 +p15411 +tp15412 +a(g900 +g958 +tp15413 +a(g548 +V20 +p15414 +tp15415 +a(g900 +g958 +tp15416 +a(g548 +V6e +p15417 +tp15418 +a(g900 +g958 +tp15419 +a(g548 +V75 +p15420 +tp15421 +a(g900 +g958 +tp15422 +a(g548 +V6d +p15423 +tp15424 +a(g900 +g958 +tp15425 +a(g548 +V62 +p15426 +tp15427 +a(g900 +g958 +tp15428 +a(g548 +V65 +p15429 +tp15430 +a(g900 +g958 +tp15431 +a(g548 +V72 +p15432 +tp15433 +a(g900 +g958 +tp15434 +a(g548 +V73 +p15435 +tp15436 +a(g900 +g958 +tp15437 +a(g548 +V5b +p15438 +tp15439 +a(g900 +g958 +tp15440 +a(g548 +V31 +p15441 +tp15442 +a(g900 +g958 +tp15443 +a(g548 +V2e +p15444 +tp15445 +a(g900 +g958 +tp15446 +a(g548 +V2e +p15447 +tp15448 +a(g900 +g958 +tp15449 +a(g548 +V24 +p15450 +tp15451 +a(g900 +g958 +tp15452 +a(g548 +V5d +p15453 +tp15454 +a(g900 +V +p15455 +tp15456 +a(g685 +g1009 +tp15457 +a(g341 +Vs( numbers[1..$] +p15458 +tp15459 +a(g685 +g1013 +tp15460 +a(g900 +V\u000a +p15461 +tp15462 +a(g8 +V0007660 +p15463 +tp15464 +a(g900 +g958 +tp15465 +a(g548 +V2c +p15466 +tp15467 +a(g900 +g958 +tp15468 +a(g548 +V20 +p15469 +tp15470 +a(g900 +g958 +tp15471 +a(g548 +V66 +p15472 +tp15473 +a(g900 +g958 +tp15474 +a(g548 +V61 +p15475 +tp15476 +a(g900 +g958 +tp15477 +a(g548 +V6c +p15478 +tp15479 +a(g900 +g958 +tp15480 +a(g548 +V73 +p15481 +tp15482 +a(g900 +g958 +tp15483 +a(g548 +V65 +p15484 +tp15485 +a(g900 +g958 +tp15486 +a(g548 +V20 +p15487 +tp15488 +a(g900 +g958 +tp15489 +a(g548 +V29 +p15490 +tp15491 +a(g900 +g958 +tp15492 +a(g548 +V20 +p15493 +tp15494 +a(g900 +g958 +tp15495 +a(g548 +V29 +p15496 +tp15497 +a(g900 +g958 +tp15498 +a(g548 +V0a +p15499 +tp15500 +a(g900 +g958 +tp15501 +a(g548 +V20 +p15502 +tp15503 +a(g900 +g958 +tp15504 +a(g548 +V20 +p15505 +tp15506 +a(g900 +g958 +tp15507 +a(g548 +V20 +p15508 +tp15509 +a(g900 +g958 +tp15510 +a(g548 +V20 +p15511 +tp15512 +a(g900 +V +p15513 +tp15514 +a(g685 +g1009 +tp15515 +a(g341 +V, false ) ). +p15516 +tp15517 +a(g685 +g1013 +tp15518 +a(g900 +V\u000a +p15519 +tp15520 +a(g8 +V0007700 +p15521 +tp15522 +a(g900 +g958 +tp15523 +a(g548 +V20 +p15524 +tp15525 +a(g900 +g958 +tp15526 +a(g548 +V20 +p15527 +tp15528 +a(g900 +g958 +tp15529 +a(g548 +V20 +p15530 +tp15531 +a(g900 +g958 +tp15532 +a(g548 +V20 +p15533 +tp15534 +a(g900 +g958 +tp15535 +a(g548 +V20 +p15536 +tp15537 +a(g900 +g958 +tp15538 +a(g548 +V20 +p15539 +tp15540 +a(g900 +g958 +tp15541 +a(g548 +V20 +p15542 +tp15543 +a(g900 +g958 +tp15544 +a(g548 +V20 +p15545 +tp15546 +a(g900 +g958 +tp15547 +a(g548 +V20 +p15548 +tp15549 +a(g900 +g958 +tp15550 +a(g548 +V20 +p15551 +tp15552 +a(g900 +g958 +tp15553 +a(g548 +V20 +p15554 +tp15555 +a(g900 +g958 +tp15556 +a(g548 +V20 +p15557 +tp15558 +a(g900 +g958 +tp15559 +a(g548 +V20 +p15560 +tp15561 +a(g900 +g958 +tp15562 +a(g548 +V20 +p15563 +tp15564 +a(g900 +g958 +tp15565 +a(g548 +V20 +p15566 +tp15567 +a(g900 +g958 +tp15568 +a(g548 +V20 +p15569 +tp15570 +a(g900 +V +p15571 +tp15572 +a(g685 +g1009 +tp15573 +a(g341 +V +p15574 +tp15575 +a(g685 +g1013 +tp15576 +a(g900 +V\u000a +p15577 +tp15578 +a(g8 +V0007720 +p15579 +tp15580 +a(g900 +g958 +tp15581 +a(g548 +V72 +p15582 +tp15583 +a(g900 +g958 +tp15584 +a(g548 +V65 +p15585 +tp15586 +a(g900 +g958 +tp15587 +a(g548 +V74 +p15588 +tp15589 +a(g900 +g958 +tp15590 +a(g548 +V20 +p15591 +tp15592 +a(g900 +g958 +tp15593 +a(g548 +V7e +p15594 +tp15595 +a(g900 +g958 +tp15596 +a(g548 +V3d +p15597 +tp15598 +a(g900 +g958 +tp15599 +a(g548 +V20 +p15600 +tp15601 +a(g900 +g958 +tp15602 +a(g548 +V6e +p15603 +tp15604 +a(g900 +g958 +tp15605 +a(g548 +V75 +p15606 +tp15607 +a(g900 +g958 +tp15608 +a(g548 +V6d +p15609 +tp15610 +a(g900 +g958 +tp15611 +a(g548 +V62 +p15612 +tp15613 +a(g900 +g958 +tp15614 +a(g548 +V65 +p15615 +tp15616 +a(g900 +g958 +tp15617 +a(g548 +V72 +p15618 +tp15619 +a(g900 +g958 +tp15620 +a(g548 +V73 +p15621 +tp15622 +a(g900 +g958 +tp15623 +a(g548 +V5b +p15624 +tp15625 +a(g900 +g958 +tp15626 +a(g548 +V30 +p15627 +tp15628 +a(g900 +V +p15629 +tp15630 +a(g685 +g1009 +tp15631 +a(g341 +Vret ~= numbers[0 +p15632 +tp15633 +a(g685 +g1013 +tp15634 +a(g900 +V\u000a +p15635 +tp15636 +a(g8 +V0007740 +p15637 +tp15638 +a(g900 +g958 +tp15639 +a(g548 +V2e +p15640 +tp15641 +a(g900 +g958 +tp15642 +a(g548 +V2e +p15643 +tp15644 +a(g900 +g958 +tp15645 +a(g548 +V31 +p15646 +tp15647 +a(g900 +g958 +tp15648 +a(g548 +V5d +p15649 +tp15650 +a(g900 +g958 +tp15651 +a(g548 +V20 +p15652 +tp15653 +a(g900 +g958 +tp15654 +a(g548 +V7e +p15655 +tp15656 +a(g900 +g958 +tp15657 +a(g548 +V20 +p15658 +tp15659 +a(g900 +g958 +tp15660 +a(g548 +V22 +p15661 +tp15662 +a(g900 +g958 +tp15663 +a(g548 +V20 +p15664 +tp15665 +a(g900 +g958 +tp15666 +a(g548 +V22 +p15667 +tp15668 +a(g900 +g958 +tp15669 +a(g548 +V20 +p15670 +tp15671 +a(g900 +g958 +tp15672 +a(g548 +V7e +p15673 +tp15674 +a(g900 +g958 +tp15675 +a(g548 +V20 +p15676 +tp15677 +a(g900 +g958 +tp15678 +a(g548 +V61 +p15679 +tp15680 +a(g900 +g958 +tp15681 +a(g548 +V3b +p15682 +tp15683 +a(g900 +g958 +tp15684 +a(g548 +V0a +p15685 +tp15686 +a(g900 +V +p15687 +tp15688 +a(g685 +g1009 +tp15689 +a(g341 +V..1] ~ " " ~ a;. +p15690 +tp15691 +a(g685 +g1013 +tp15692 +a(g900 +V\u000a +p15693 +tp15694 +a(g8 +V0007760 +p15695 +tp15696 +a(g900 +g958 +tp15697 +a(g548 +V20 +p15698 +tp15699 +a(g900 +g958 +tp15700 +a(g548 +V20 +p15701 +tp15702 +a(g900 +g958 +tp15703 +a(g548 +V20 +p15704 +tp15705 +a(g900 +g958 +tp15706 +a(g548 +V20 +p15707 +tp15708 +a(g900 +g958 +tp15709 +a(g548 +V20 +p15710 +tp15711 +a(g900 +g958 +tp15712 +a(g548 +V20 +p15713 +tp15714 +a(g900 +g958 +tp15715 +a(g548 +V20 +p15716 +tp15717 +a(g900 +g958 +tp15718 +a(g548 +V20 +p15719 +tp15720 +a(g900 +g958 +tp15721 +a(g548 +V20 +p15722 +tp15723 +a(g900 +g958 +tp15724 +a(g548 +V20 +p15725 +tp15726 +a(g900 +g958 +tp15727 +a(g548 +V20 +p15728 +tp15729 +a(g900 +g958 +tp15730 +a(g548 +V20 +p15731 +tp15732 +a(g900 +g958 +tp15733 +a(g548 +V7d +p15734 +tp15735 +a(g900 +g958 +tp15736 +a(g548 +V20 +p15737 +tp15738 +a(g900 +g958 +tp15739 +a(g548 +V20 +p15740 +tp15741 +a(g900 +g958 +tp15742 +a(g548 +V20 +p15743 +tp15744 +a(g900 +V +p15745 +tp15746 +a(g685 +g1009 +tp15747 +a(g341 +V } +p15748 +tp15749 +a(g685 +g1013 +tp15750 +a(g900 +V\u000a +p15751 +tp15752 +a(g8 +V0010000 +p15753 +tp15754 +a(g900 +g958 +tp15755 +a(g548 +V20 +p15756 +tp15757 +a(g900 +g958 +tp15758 +a(g548 +V0a +p15759 +tp15760 +a(g900 +g958 +tp15761 +a(g548 +V20 +p15762 +tp15763 +a(g900 +g958 +tp15764 +a(g548 +V20 +p15765 +tp15766 +a(g900 +g958 +tp15767 +a(g548 +V20 +p15768 +tp15769 +a(g900 +g958 +tp15770 +a(g548 +V20 +p15771 +tp15772 +a(g900 +g958 +tp15773 +a(g548 +V20 +p15774 +tp15775 +a(g900 +g958 +tp15776 +a(g548 +V20 +p15777 +tp15778 +a(g900 +g958 +tp15779 +a(g548 +V20 +p15780 +tp15781 +a(g900 +g958 +tp15782 +a(g548 +V20 +p15783 +tp15784 +a(g900 +g958 +tp15785 +a(g548 +V20 +p15786 +tp15787 +a(g900 +g958 +tp15788 +a(g548 +V20 +p15789 +tp15790 +a(g900 +g958 +tp15791 +a(g548 +V20 +p15792 +tp15793 +a(g900 +g958 +tp15794 +a(g548 +V20 +p15795 +tp15796 +a(g900 +g958 +tp15797 +a(g548 +V65 +p15798 +tp15799 +a(g900 +g958 +tp15800 +a(g548 +V6c +p15801 +tp15802 +a(g900 +V +p15803 +tp15804 +a(g685 +g1009 +tp15805 +a(g341 +V . el +p15806 +tp15807 +a(g685 +g1013 +tp15808 +a(g900 +V\u000a +p15809 +tp15810 +a(g8 +V0010020 +p15811 +tp15812 +a(g900 +g958 +tp15813 +a(g548 +V73 +p15814 +tp15815 +a(g900 +g958 +tp15816 +a(g548 +V65 +p15817 +tp15818 +a(g900 +g958 +tp15819 +a(g548 +V20 +p15820 +tp15821 +a(g900 +g958 +tp15822 +a(g548 +V20 +p15823 +tp15824 +a(g900 +g958 +tp15825 +a(g548 +V20 +p15826 +tp15827 +a(g900 +g958 +tp15828 +a(g548 +V20 +p15829 +tp15830 +a(g900 +g958 +tp15831 +a(g548 +V0a +p15832 +tp15833 +a(g900 +g958 +tp15834 +a(g548 +V20 +p15835 +tp15836 +a(g900 +g958 +tp15837 +a(g548 +V20 +p15838 +tp15839 +a(g900 +g958 +tp15840 +a(g548 +V20 +p15841 +tp15842 +a(g900 +g958 +tp15843 +a(g548 +V20 +p15844 +tp15845 +a(g900 +g958 +tp15846 +a(g548 +V20 +p15847 +tp15848 +a(g900 +g958 +tp15849 +a(g548 +V20 +p15850 +tp15851 +a(g900 +g958 +tp15852 +a(g548 +V20 +p15853 +tp15854 +a(g900 +g958 +tp15855 +a(g548 +V20 +p15856 +tp15857 +a(g900 +g958 +tp15858 +a(g548 +V20 +p15859 +tp15860 +a(g900 +V +p15861 +tp15862 +a(g685 +g1009 +tp15863 +a(g341 +Vse . +p15864 +tp15865 +a(g685 +g1013 +tp15866 +a(g900 +V\u000a +p15867 +tp15868 +a(g8 +V0010040 +p15869 +tp15870 +a(g900 +g958 +tp15871 +a(g548 +V20 +p15872 +tp15873 +a(g900 +g958 +tp15874 +a(g548 +V20 +p15875 +tp15876 +a(g900 +g958 +tp15877 +a(g548 +V20 +p15878 +tp15879 +a(g900 +g958 +tp15880 +a(g548 +V20 +p15881 +tp15882 +a(g900 +g958 +tp15883 +a(g548 +V20 +p15884 +tp15885 +a(g900 +g958 +tp15886 +a(g548 +V20 +p15887 +tp15888 +a(g900 +g958 +tp15889 +a(g548 +V20 +p15890 +tp15891 +a(g900 +g958 +tp15892 +a(g548 +V72 +p15893 +tp15894 +a(g900 +g958 +tp15895 +a(g548 +V65 +p15896 +tp15897 +a(g900 +g958 +tp15898 +a(g548 +V74 +p15899 +tp15900 +a(g900 +g958 +tp15901 +a(g548 +V20 +p15902 +tp15903 +a(g900 +g958 +tp15904 +a(g548 +V7e +p15905 +tp15906 +a(g900 +g958 +tp15907 +a(g548 +V3d +p15908 +tp15909 +a(g900 +g958 +tp15910 +a(g548 +V20 +p15911 +tp15912 +a(g900 +g958 +tp15913 +a(g548 +V6e +p15914 +tp15915 +a(g900 +g958 +tp15916 +a(g548 +V75 +p15917 +tp15918 +a(g900 +V +p15919 +tp15920 +a(g685 +g1009 +tp15921 +a(g341 +V ret ~= nu +p15922 +tp15923 +a(g685 +g1013 +tp15924 +a(g900 +V\u000a +p15925 +tp15926 +a(g8 +V0010060 +p15927 +tp15928 +a(g900 +g958 +tp15929 +a(g548 +V6d +p15930 +tp15931 +a(g900 +g958 +tp15932 +a(g548 +V62 +p15933 +tp15934 +a(g900 +g958 +tp15935 +a(g548 +V65 +p15936 +tp15937 +a(g900 +g958 +tp15938 +a(g548 +V72 +p15939 +tp15940 +a(g900 +g958 +tp15941 +a(g548 +V73 +p15942 +tp15943 +a(g900 +g958 +tp15944 +a(g548 +V5b +p15945 +tp15946 +a(g900 +g958 +tp15947 +a(g548 +V30 +p15948 +tp15949 +a(g900 +g958 +tp15950 +a(g548 +V2e +p15951 +tp15952 +a(g900 +g958 +tp15953 +a(g548 +V2e +p15954 +tp15955 +a(g900 +g958 +tp15956 +a(g548 +V31 +p15957 +tp15958 +a(g900 +g958 +tp15959 +a(g548 +V5d +p15960 +tp15961 +a(g900 +g958 +tp15962 +a(g548 +V3b +p15963 +tp15964 +a(g900 +g958 +tp15965 +a(g548 +V20 +p15966 +tp15967 +a(g900 +g958 +tp15968 +a(g548 +V20 +p15969 +tp15970 +a(g900 +g958 +tp15971 +a(g548 +V20 +p15972 +tp15973 +a(g900 +g958 +tp15974 +a(g548 +V20 +p15975 +tp15976 +a(g900 +V +p15977 +tp15978 +a(g685 +g1009 +tp15979 +a(g341 +Vmbers[0..1]; +p15980 +tp15981 +a(g685 +g1013 +tp15982 +a(g900 +V\u000a +p15983 +tp15984 +a(g8 +V0010100 +p15985 +tp15986 +a(g900 +g958 +tp15987 +a(g548 +V2f +p15988 +tp15989 +a(g900 +g958 +tp15990 +a(g548 +V2f +p15991 +tp15992 +a(g900 +g958 +tp15993 +a(g548 +V20 +p15994 +tp15995 +a(g900 +g958 +tp15996 +a(g548 +V6a +p15997 +tp15998 +a(g900 +g958 +tp15999 +a(g548 +V75 +p16000 +tp16001 +a(g900 +g958 +tp16002 +a(g548 +V73 +p16003 +tp16004 +a(g900 +g958 +tp16005 +a(g548 +V74 +p16006 +tp16007 +a(g900 +g958 +tp16008 +a(g548 +V20 +p16009 +tp16010 +a(g900 +g958 +tp16011 +a(g548 +V61 +p16012 +tp16013 +a(g900 +g958 +tp16014 +a(g548 +V70 +p16015 +tp16016 +a(g900 +g958 +tp16017 +a(g548 +V70 +p16018 +tp16019 +a(g900 +g958 +tp16020 +a(g548 +V65 +p16021 +tp16022 +a(g900 +g958 +tp16023 +a(g548 +V6e +p16024 +tp16025 +a(g900 +g958 +tp16026 +a(g548 +V64 +p16027 +tp16028 +a(g900 +g958 +tp16029 +a(g548 +V20 +p16030 +tp16031 +a(g900 +g958 +tp16032 +a(g548 +V74 +p16033 +tp16034 +a(g900 +V +p16035 +tp16036 +a(g685 +g1009 +tp16037 +a(g341 +V// just append t +p16038 +tp16039 +a(g685 +g1013 +tp16040 +a(g900 +V\u000a +p16041 +tp16042 +a(g8 +V0010120 +p16043 +tp16044 +a(g900 +g958 +tp16045 +a(g548 +V68 +p16046 +tp16047 +a(g900 +g958 +tp16048 +a(g548 +V69 +p16049 +tp16050 +a(g900 +g958 +tp16051 +a(g548 +V73 +p16052 +tp16053 +a(g900 +g958 +tp16054 +a(g548 +V20 +p16055 +tp16056 +a(g900 +g958 +tp16057 +a(g548 +V64 +p16058 +tp16059 +a(g900 +g958 +tp16060 +a(g548 +V69 +p16061 +tp16062 +a(g900 +g958 +tp16063 +a(g548 +V67 +p16064 +tp16065 +a(g900 +g958 +tp16066 +a(g548 +V69 +p16067 +tp16068 +a(g900 +g958 +tp16069 +a(g548 +V74 +p16070 +tp16071 +a(g900 +g958 +tp16072 +a(g548 +V20 +p16073 +tp16074 +a(g900 +g958 +tp16075 +a(g548 +V20 +p16076 +tp16077 +a(g900 +g958 +tp16078 +a(g548 +V20 +p16079 +tp16080 +a(g900 +g958 +tp16081 +a(g548 +V20 +p16082 +tp16083 +a(g900 +g958 +tp16084 +a(g548 +V20 +p16085 +tp16086 +a(g900 +g958 +tp16087 +a(g548 +V20 +p16088 +tp16089 +a(g900 +g958 +tp16090 +a(g548 +V20 +p16091 +tp16092 +a(g900 +V +p16093 +tp16094 +a(g685 +g1009 +tp16095 +a(g341 +Vhis digit +p16096 +tp16097 +a(g685 +g1013 +tp16098 +a(g900 +V\u000a +p16099 +tp16100 +a(g8 +V0010140 +p16101 +tp16102 +a(g900 +g958 +tp16103 +a(g548 +V20 +p16104 +tp16105 +a(g900 +g958 +tp16106 +a(g548 +V20 +p16107 +tp16108 +a(g900 +g958 +tp16109 +a(g548 +V20 +p16110 +tp16111 +a(g900 +g958 +tp16112 +a(g548 +V20 +p16113 +tp16114 +a(g900 +g958 +tp16115 +a(g548 +V20 +p16116 +tp16117 +a(g900 +g958 +tp16118 +a(g548 +V20 +p16119 +tp16120 +a(g900 +g958 +tp16121 +a(g548 +V0a +p16122 +tp16123 +a(g900 +g958 +tp16124 +a(g548 +V20 +p16125 +tp16126 +a(g900 +g958 +tp16127 +a(g548 +V20 +p16128 +tp16129 +a(g900 +g958 +tp16130 +a(g548 +V20 +p16131 +tp16132 +a(g900 +g958 +tp16133 +a(g548 +V20 +p16134 +tp16135 +a(g900 +g958 +tp16136 +a(g548 +V20 +p16137 +tp16138 +a(g900 +g958 +tp16139 +a(g548 +V20 +p16140 +tp16141 +a(g900 +g958 +tp16142 +a(g548 +V20 +p16143 +tp16144 +a(g900 +g958 +tp16145 +a(g548 +V20 +p16146 +tp16147 +a(g900 +g958 +tp16148 +a(g548 +V7d +p16149 +tp16150 +a(g900 +V +p16151 +tp16152 +a(g685 +g1009 +tp16153 +a(g341 +V . } +p16154 +tp16155 +a(g685 +g1013 +tp16156 +a(g900 +V\u000a +p16157 +tp16158 +a(g8 +V0010160 +p16159 +tp16160 +a(g900 +g958 +tp16161 +a(g548 +V20 +p16162 +tp16163 +a(g900 +g958 +tp16164 +a(g548 +V20 +p16165 +tp16166 +a(g900 +g958 +tp16167 +a(g548 +V20 +p16168 +tp16169 +a(g900 +g958 +tp16170 +a(g548 +V20 +p16171 +tp16172 +a(g900 +g958 +tp16173 +a(g548 +V0a +p16174 +tp16175 +a(g900 +g958 +tp16176 +a(g548 +V20 +p16177 +tp16178 +a(g900 +g958 +tp16179 +a(g548 +V20 +p16180 +tp16181 +a(g900 +g958 +tp16182 +a(g548 +V20 +p16183 +tp16184 +a(g900 +g958 +tp16185 +a(g548 +V20 +p16186 +tp16187 +a(g900 +g958 +tp16188 +a(g548 +V20 +p16189 +tp16190 +a(g900 +g958 +tp16191 +a(g548 +V20 +p16192 +tp16193 +a(g900 +g958 +tp16194 +a(g548 +V20 +p16195 +tp16196 +a(g900 +g958 +tp16197 +a(g548 +V20 +p16198 +tp16199 +a(g900 +g958 +tp16200 +a(g548 +V72 +p16201 +tp16202 +a(g900 +g958 +tp16203 +a(g548 +V65 +p16204 +tp16205 +a(g900 +g958 +tp16206 +a(g548 +V74 +p16207 +tp16208 +a(g900 +V +p16209 +tp16210 +a(g685 +g1009 +tp16211 +a(g341 +V . ret +p16212 +tp16213 +a(g685 +g1013 +tp16214 +a(g900 +V\u000a +p16215 +tp16216 +a(g8 +V0010200 +p16217 +tp16218 +a(g900 +g958 +tp16219 +a(g548 +V75 +p16220 +tp16221 +a(g900 +g958 +tp16222 +a(g548 +V72 +p16223 +tp16224 +a(g900 +g958 +tp16225 +a(g548 +V6e +p16226 +tp16227 +a(g900 +g958 +tp16228 +a(g548 +V20 +p16229 +tp16230 +a(g900 +g958 +tp16231 +a(g548 +V72 +p16232 +tp16233 +a(g900 +g958 +tp16234 +a(g548 +V65 +p16235 +tp16236 +a(g900 +g958 +tp16237 +a(g548 +V74 +p16238 +tp16239 +a(g900 +g958 +tp16240 +a(g548 +V3b +p16241 +tp16242 +a(g900 +g958 +tp16243 +a(g548 +V0a +p16244 +tp16245 +a(g900 +g958 +tp16246 +a(g548 +V20 +p16247 +tp16248 +a(g900 +g958 +tp16249 +a(g548 +V20 +p16250 +tp16251 +a(g900 +g958 +tp16252 +a(g548 +V20 +p16253 +tp16254 +a(g900 +g958 +tp16255 +a(g548 +V20 +p16256 +tp16257 +a(g900 +g958 +tp16258 +a(g548 +V7d +p16259 +tp16260 +a(g900 +g958 +tp16261 +a(g548 +V0a +p16262 +tp16263 +a(g900 +g958 +tp16264 +a(g548 +V0a +p16265 +tp16266 +a(g900 +V +p16267 +tp16268 +a(g685 +g1009 +tp16269 +a(g341 +Vurn ret;. }.. +p16270 +tp16271 +a(g685 +g1013 +tp16272 +a(g900 +V\u000a +p16273 +tp16274 +a(g8 +V0010220 +p16275 +tp16276 +a(g900 +g958 +tp16277 +a(g548 +V20 +p16278 +tp16279 +a(g900 +g958 +tp16280 +a(g548 +V20 +p16281 +tp16282 +a(g900 +g958 +tp16283 +a(g548 +V20 +p16284 +tp16285 +a(g900 +g958 +tp16286 +a(g548 +V20 +p16287 +tp16288 +a(g900 +g958 +tp16289 +a(g548 +V2f +p16290 +tp16291 +a(g900 +g958 +tp16292 +a(g548 +V2f +p16293 +tp16294 +a(g900 +g958 +tp16295 +a(g548 +V2f +p16296 +tp16297 +a(g900 +g958 +tp16298 +a(g548 +V20 +p16299 +tp16300 +a(g900 +g958 +tp16301 +a(g548 +V28 +p16302 +tp16303 +a(g900 +g958 +tp16304 +a(g548 +V54 +p16305 +tp16306 +a(g900 +g958 +tp16307 +a(g548 +V68 +p16308 +tp16309 +a(g900 +g958 +tp16310 +a(g548 +V69 +p16311 +tp16312 +a(g900 +g958 +tp16313 +a(g548 +V73 +p16314 +tp16315 +a(g900 +g958 +tp16316 +a(g548 +V20 +p16317 +tp16318 +a(g900 +g958 +tp16319 +a(g548 +V66 +p16320 +tp16321 +a(g900 +g958 +tp16322 +a(g548 +V75 +p16323 +tp16324 +a(g900 +V +p16325 +tp16326 +a(g685 +g1009 +tp16327 +a(g341 +V /// (This fu +p16328 +tp16329 +a(g685 +g1013 +tp16330 +a(g900 +V\u000a +p16331 +tp16332 +a(g8 +V0010240 +p16333 +tp16334 +a(g900 +g958 +tp16335 +a(g548 +V6e +p16336 +tp16337 +a(g900 +g958 +tp16338 +a(g548 +V63 +p16339 +tp16340 +a(g900 +g958 +tp16341 +a(g548 +V74 +p16342 +tp16343 +a(g900 +g958 +tp16344 +a(g548 +V69 +p16345 +tp16346 +a(g900 +g958 +tp16347 +a(g548 +V6f +p16348 +tp16349 +a(g900 +g958 +tp16350 +a(g548 +V6e +p16351 +tp16352 +a(g900 +g958 +tp16353 +a(g548 +V20 +p16354 +tp16355 +a(g900 +g958 +tp16356 +a(g548 +V77 +p16357 +tp16358 +a(g900 +g958 +tp16359 +a(g548 +V61 +p16360 +tp16361 +a(g900 +g958 +tp16362 +a(g548 +V73 +p16363 +tp16364 +a(g900 +g958 +tp16365 +a(g548 +V20 +p16366 +tp16367 +a(g900 +g958 +tp16368 +a(g548 +V69 +p16369 +tp16370 +a(g900 +g958 +tp16371 +a(g548 +V6e +p16372 +tp16373 +a(g900 +g958 +tp16374 +a(g548 +V6c +p16375 +tp16376 +a(g900 +g958 +tp16377 +a(g548 +V69 +p16378 +tp16379 +a(g900 +g958 +tp16380 +a(g548 +V6e +p16381 +tp16382 +a(g900 +V +p16383 +tp16384 +a(g685 +g1009 +tp16385 +a(g341 +Vnction was inlin +p16386 +tp16387 +a(g685 +g1013 +tp16388 +a(g900 +V\u000a +p16389 +tp16390 +a(g8 +V0010260 +p16391 +tp16392 +a(g900 +g958 +tp16393 +a(g548 +V65 +p16394 +tp16395 +a(g900 +g958 +tp16396 +a(g548 +V64 +p16397 +tp16398 +a(g900 +g958 +tp16399 +a(g548 +V20 +p16400 +tp16401 +a(g900 +g958 +tp16402 +a(g548 +V69 +p16403 +tp16404 +a(g900 +g958 +tp16405 +a(g548 +V6e +p16406 +tp16407 +a(g900 +g958 +tp16408 +a(g548 +V20 +p16409 +tp16410 +a(g900 +g958 +tp16411 +a(g548 +V74 +p16412 +tp16413 +a(g900 +g958 +tp16414 +a(g548 +V68 +p16415 +tp16416 +a(g900 +g958 +tp16417 +a(g548 +V65 +p16418 +tp16419 +a(g900 +g958 +tp16420 +a(g548 +V20 +p16421 +tp16422 +a(g900 +g958 +tp16423 +a(g548 +V6f +p16424 +tp16425 +a(g900 +g958 +tp16426 +a(g548 +V72 +p16427 +tp16428 +a(g900 +g958 +tp16429 +a(g548 +V69 +p16430 +tp16431 +a(g900 +g958 +tp16432 +a(g548 +V67 +p16433 +tp16434 +a(g900 +g958 +tp16435 +a(g548 +V69 +p16436 +tp16437 +a(g900 +g958 +tp16438 +a(g548 +V6e +p16439 +tp16440 +a(g900 +V +p16441 +tp16442 +a(g685 +g1009 +tp16443 +a(g341 +Ved in the origin +p16444 +tp16445 +a(g685 +g1013 +tp16446 +a(g900 +V\u000a +p16447 +tp16448 +a(g8 +V0010300 +p16449 +tp16450 +a(g900 +g958 +tp16451 +a(g548 +V61 +p16452 +tp16453 +a(g900 +g958 +tp16454 +a(g548 +V6c +p16455 +tp16456 +a(g900 +g958 +tp16457 +a(g548 +V20 +p16458 +tp16459 +a(g900 +g958 +tp16460 +a(g548 +V70 +p16461 +tp16462 +a(g900 +g958 +tp16463 +a(g548 +V72 +p16464 +tp16465 +a(g900 +g958 +tp16466 +a(g548 +V6f +p16467 +tp16468 +a(g900 +g958 +tp16469 +a(g548 +V67 +p16470 +tp16471 +a(g900 +g958 +tp16472 +a(g548 +V72 +p16473 +tp16474 +a(g900 +g958 +tp16475 +a(g548 +V61 +p16476 +tp16477 +a(g900 +g958 +tp16478 +a(g548 +V6d +p16479 +tp16480 +a(g900 +g958 +tp16481 +a(g548 +V29 +p16482 +tp16483 +a(g900 +g958 +tp16484 +a(g548 +V20 +p16485 +tp16486 +a(g900 +g958 +tp16487 +a(g548 +V0a +p16488 +tp16489 +a(g900 +g958 +tp16490 +a(g548 +V20 +p16491 +tp16492 +a(g900 +g958 +tp16493 +a(g548 +V20 +p16494 +tp16495 +a(g900 +g958 +tp16496 +a(g548 +V20 +p16497 +tp16498 +a(g900 +V +p16499 +tp16500 +a(g685 +g1009 +tp16501 +a(g341 +Val program) . +p16502 +tp16503 +a(g685 +g1013 +tp16504 +a(g900 +V\u000a +p16505 +tp16506 +a(g8 +V0010320 +p16507 +tp16508 +a(g900 +g958 +tp16509 +a(g548 +V20 +p16510 +tp16511 +a(g900 +g958 +tp16512 +a(g548 +V2f +p16513 +tp16514 +a(g900 +g958 +tp16515 +a(g548 +V2f +p16516 +tp16517 +a(g900 +g958 +tp16518 +a(g548 +V2f +p16519 +tp16520 +a(g900 +g958 +tp16521 +a(g548 +V20 +p16522 +tp16523 +a(g900 +g958 +tp16524 +a(g548 +V46 +p16525 +tp16526 +a(g900 +g958 +tp16527 +a(g548 +V69 +p16528 +tp16529 +a(g900 +g958 +tp16530 +a(g548 +V6e +p16531 +tp16532 +a(g900 +g958 +tp16533 +a(g548 +V64 +p16534 +tp16535 +a(g900 +g958 +tp16536 +a(g548 +V73 +p16537 +tp16538 +a(g900 +g958 +tp16539 +a(g548 +V20 +p16540 +tp16541 +a(g900 +g958 +tp16542 +a(g548 +V61 +p16543 +tp16544 +a(g900 +g958 +tp16545 +a(g548 +V6c +p16546 +tp16547 +a(g900 +g958 +tp16548 +a(g548 +V6c +p16549 +tp16550 +a(g900 +g958 +tp16551 +a(g548 +V20 +p16552 +tp16553 +a(g900 +g958 +tp16554 +a(g548 +V61 +p16555 +tp16556 +a(g900 +V +p16557 +tp16558 +a(g685 +g1009 +tp16559 +a(g341 +V /// Finds all a +p16560 +tp16561 +a(g685 +g1013 +tp16562 +a(g900 +V\u000a +p16563 +tp16564 +a(g8 +V0010340 +p16565 +tp16566 +a(g900 +g958 +tp16567 +a(g548 +V6c +p16568 +tp16569 +a(g900 +g958 +tp16570 +a(g548 +V74 +p16571 +tp16572 +a(g900 +g958 +tp16573 +a(g548 +V65 +p16574 +tp16575 +a(g900 +g958 +tp16576 +a(g548 +V72 +p16577 +tp16578 +a(g900 +g958 +tp16579 +a(g548 +V6e +p16580 +tp16581 +a(g900 +g958 +tp16582 +a(g548 +V61 +p16583 +tp16584 +a(g900 +g958 +tp16585 +a(g548 +V74 +p16586 +tp16587 +a(g900 +g958 +tp16588 +a(g548 +V69 +p16589 +tp16590 +a(g900 +g958 +tp16591 +a(g548 +V76 +p16592 +tp16593 +a(g900 +g958 +tp16594 +a(g548 +V65 +p16595 +tp16596 +a(g900 +g958 +tp16597 +a(g548 +V73 +p16598 +tp16599 +a(g900 +g958 +tp16600 +a(g548 +V20 +p16601 +tp16602 +a(g900 +g958 +tp16603 +a(g548 +V66 +p16604 +tp16605 +a(g900 +g958 +tp16606 +a(g548 +V6f +p16607 +tp16608 +a(g900 +g958 +tp16609 +a(g548 +V72 +p16610 +tp16611 +a(g900 +g958 +tp16612 +a(g548 +V20 +p16613 +tp16614 +a(g900 +V +p16615 +tp16616 +a(g685 +g1009 +tp16617 +a(g341 +Vlternatives for +p16618 +tp16619 +a(g685 +g1013 +tp16620 +a(g900 +V\u000a +p16621 +tp16622 +a(g8 +V0010360 +p16623 +tp16624 +a(g900 +g958 +tp16625 +a(g548 +V74 +p16626 +tp16627 +a(g900 +g958 +tp16628 +a(g548 +V68 +p16629 +tp16630 +a(g900 +g958 +tp16631 +a(g548 +V65 +p16632 +tp16633 +a(g900 +g958 +tp16634 +a(g548 +V20 +p16635 +tp16636 +a(g900 +g958 +tp16637 +a(g548 +V67 +p16638 +tp16639 +a(g900 +g958 +tp16640 +a(g548 +V69 +p16641 +tp16642 +a(g900 +g958 +tp16643 +a(g548 +V76 +p16644 +tp16645 +a(g900 +g958 +tp16646 +a(g548 +V65 +p16647 +tp16648 +a(g900 +g958 +tp16649 +a(g548 +V6e +p16650 +tp16651 +a(g900 +g958 +tp16652 +a(g548 +V20 +p16653 +tp16654 +a(g900 +g958 +tp16655 +a(g548 +V70 +p16656 +tp16657 +a(g900 +g958 +tp16658 +a(g548 +V68 +p16659 +tp16660 +a(g900 +g958 +tp16661 +a(g548 +V6f +p16662 +tp16663 +a(g900 +g958 +tp16664 +a(g548 +V6e +p16665 +tp16666 +a(g900 +g958 +tp16667 +a(g548 +V65 +p16668 +tp16669 +a(g900 +g958 +tp16670 +a(g548 +V20 +p16671 +tp16672 +a(g900 +V +p16673 +tp16674 +a(g685 +g1009 +tp16675 +a(g341 +Vthe given phone +p16676 +tp16677 +a(g685 +g1013 +tp16678 +a(g900 +V\u000a +p16679 +tp16680 +a(g8 +V0010400 +p16681 +tp16682 +a(g900 +g958 +tp16683 +a(g548 +V6e +p16684 +tp16685 +a(g900 +g958 +tp16686 +a(g548 +V75 +p16687 +tp16688 +a(g900 +g958 +tp16689 +a(g548 +V6d +p16690 +tp16691 +a(g900 +g958 +tp16692 +a(g548 +V62 +p16693 +tp16694 +a(g900 +g958 +tp16695 +a(g548 +V65 +p16696 +tp16697 +a(g900 +g958 +tp16698 +a(g548 +V72 +p16699 +tp16700 +a(g900 +g958 +tp16701 +a(g548 +V20 +p16702 +tp16703 +a(g900 +g958 +tp16704 +a(g548 +V0a +p16705 +tp16706 +a(g900 +g958 +tp16707 +a(g548 +V20 +p16708 +tp16709 +a(g900 +g958 +tp16710 +a(g548 +V20 +p16711 +tp16712 +a(g900 +g958 +tp16713 +a(g548 +V20 +p16714 +tp16715 +a(g900 +g958 +tp16716 +a(g548 +V20 +p16717 +tp16718 +a(g900 +g958 +tp16719 +a(g548 +V2f +p16720 +tp16721 +a(g900 +g958 +tp16722 +a(g548 +V2f +p16723 +tp16724 +a(g900 +g958 +tp16725 +a(g548 +V2f +p16726 +tp16727 +a(g900 +g958 +tp16728 +a(g548 +V20 +p16729 +tp16730 +a(g900 +V +p16731 +tp16732 +a(g685 +g1009 +tp16733 +a(g341 +Vnumber . /// +p16734 +tp16735 +a(g685 +g1013 +tp16736 +a(g900 +V\u000a +p16737 +tp16738 +a(g8 +V0010420 +p16739 +tp16740 +a(g900 +g958 +tp16741 +a(g548 +V52 +p16742 +tp16743 +a(g900 +g958 +tp16744 +a(g548 +V65 +p16745 +tp16746 +a(g900 +g958 +tp16747 +a(g548 +V74 +p16748 +tp16749 +a(g900 +g958 +tp16750 +a(g548 +V75 +p16751 +tp16752 +a(g900 +g958 +tp16753 +a(g548 +V72 +p16754 +tp16755 +a(g900 +g958 +tp16756 +a(g548 +V6e +p16757 +tp16758 +a(g900 +g958 +tp16759 +a(g548 +V73 +p16760 +tp16761 +a(g900 +g958 +tp16762 +a(g548 +V3a +p16763 +tp16764 +a(g900 +g958 +tp16765 +a(g548 +V20 +p16766 +tp16767 +a(g900 +g958 +tp16768 +a(g548 +V61 +p16769 +tp16770 +a(g900 +g958 +tp16771 +a(g548 +V72 +p16772 +tp16773 +a(g900 +g958 +tp16774 +a(g548 +V72 +p16775 +tp16776 +a(g900 +g958 +tp16777 +a(g548 +V61 +p16778 +tp16779 +a(g900 +g958 +tp16780 +a(g548 +V79 +p16781 +tp16782 +a(g900 +g958 +tp16783 +a(g548 +V20 +p16784 +tp16785 +a(g900 +g958 +tp16786 +a(g548 +V6f +p16787 +tp16788 +a(g900 +V +p16789 +tp16790 +a(g685 +g1009 +tp16791 +a(g341 +VReturns: array o +p16792 +tp16793 +a(g685 +g1013 +tp16794 +a(g900 +V\u000a +p16795 +tp16796 +a(g8 +V0010440 +p16797 +tp16798 +a(g900 +g958 +tp16799 +a(g548 +V66 +p16800 +tp16801 +a(g900 +g958 +tp16802 +a(g548 +V20 +p16803 +tp16804 +a(g900 +g958 +tp16805 +a(g548 +V73 +p16806 +tp16807 +a(g900 +g958 +tp16808 +a(g548 +V74 +p16809 +tp16810 +a(g900 +g958 +tp16811 +a(g548 +V72 +p16812 +tp16813 +a(g900 +g958 +tp16814 +a(g548 +V69 +p16815 +tp16816 +a(g900 +g958 +tp16817 +a(g548 +V6e +p16818 +tp16819 +a(g900 +g958 +tp16820 +a(g548 +V67 +p16821 +tp16822 +a(g900 +g958 +tp16823 +a(g548 +V73 +p16824 +tp16825 +a(g900 +g958 +tp16826 +a(g548 +V20 +p16827 +tp16828 +a(g900 +g958 +tp16829 +a(g548 +V0a +p16830 +tp16831 +a(g900 +g958 +tp16832 +a(g548 +V20 +p16833 +tp16834 +a(g900 +g958 +tp16835 +a(g548 +V20 +p16836 +tp16837 +a(g900 +g958 +tp16838 +a(g548 +V20 +p16839 +tp16840 +a(g900 +g958 +tp16841 +a(g548 +V20 +p16842 +tp16843 +a(g900 +g958 +tp16844 +a(g548 +V73 +p16845 +tp16846 +a(g900 +V +p16847 +tp16848 +a(g685 +g1009 +tp16849 +a(g341 +Vf strings . s +p16850 +tp16851 +a(g685 +g1013 +tp16852 +a(g900 +V\u000a +p16853 +tp16854 +a(g8 +V0010460 +p16855 +tp16856 +a(g900 +g958 +tp16857 +a(g548 +V74 +p16858 +tp16859 +a(g900 +g958 +tp16860 +a(g548 +V72 +p16861 +tp16862 +a(g900 +g958 +tp16863 +a(g548 +V69 +p16864 +tp16865 +a(g900 +g958 +tp16866 +a(g548 +V6e +p16867 +tp16868 +a(g900 +g958 +tp16869 +a(g548 +V67 +p16870 +tp16871 +a(g900 +g958 +tp16872 +a(g548 +V61 +p16873 +tp16874 +a(g900 +g958 +tp16875 +a(g548 +V72 +p16876 +tp16877 +a(g900 +g958 +tp16878 +a(g548 +V72 +p16879 +tp16880 +a(g900 +g958 +tp16881 +a(g548 +V61 +p16882 +tp16883 +a(g900 +g958 +tp16884 +a(g548 +V79 +p16885 +tp16886 +a(g900 +g958 +tp16887 +a(g548 +V20 +p16888 +tp16889 +a(g900 +g958 +tp16890 +a(g548 +V46 +p16891 +tp16892 +a(g900 +g958 +tp16893 +a(g548 +V69 +p16894 +tp16895 +a(g900 +g958 +tp16896 +a(g548 +V6e +p16897 +tp16898 +a(g900 +g958 +tp16899 +a(g548 +V64 +p16900 +tp16901 +a(g900 +g958 +tp16902 +a(g548 +V57 +p16903 +tp16904 +a(g900 +V +p16905 +tp16906 +a(g685 +g1009 +tp16907 +a(g341 +Vtringarray FindW +p16908 +tp16909 +a(g685 +g1013 +tp16910 +a(g900 +V\u000a +p16911 +tp16912 +a(g8 +V0010500 +p16913 +tp16914 +a(g900 +g958 +tp16915 +a(g548 +V6f +p16916 +tp16917 +a(g900 +g958 +tp16918 +a(g548 +V72 +p16919 +tp16920 +a(g900 +g958 +tp16921 +a(g548 +V64 +p16922 +tp16923 +a(g900 +g958 +tp16924 +a(g548 +V73 +p16925 +tp16926 +a(g900 +g958 +tp16927 +a(g548 +V28 +p16928 +tp16929 +a(g900 +g958 +tp16930 +a(g548 +V20 +p16931 +tp16932 +a(g900 +g958 +tp16933 +a(g548 +V73 +p16934 +tp16935 +a(g900 +g958 +tp16936 +a(g548 +V74 +p16937 +tp16938 +a(g900 +g958 +tp16939 +a(g548 +V72 +p16940 +tp16941 +a(g900 +g958 +tp16942 +a(g548 +V69 +p16943 +tp16944 +a(g900 +g958 +tp16945 +a(g548 +V6e +p16946 +tp16947 +a(g900 +g958 +tp16948 +a(g548 +V67 +p16949 +tp16950 +a(g900 +g958 +tp16951 +a(g548 +V20 +p16952 +tp16953 +a(g900 +g958 +tp16954 +a(g548 +V70 +p16955 +tp16956 +a(g900 +g958 +tp16957 +a(g548 +V68 +p16958 +tp16959 +a(g900 +g958 +tp16960 +a(g548 +V6f +p16961 +tp16962 +a(g900 +V +p16963 +tp16964 +a(g685 +g1009 +tp16965 +a(g341 +Vords( string pho +p16966 +tp16967 +a(g685 +g1013 +tp16968 +a(g900 +V\u000a +p16969 +tp16970 +a(g8 +V0010520 +p16971 +tp16972 +a(g900 +g958 +tp16973 +a(g548 +V6e +p16974 +tp16975 +a(g900 +g958 +tp16976 +a(g548 +V65 +p16977 +tp16978 +a(g900 +g958 +tp16979 +a(g548 +V5f +p16980 +tp16981 +a(g900 +g958 +tp16982 +a(g548 +V6e +p16983 +tp16984 +a(g900 +g958 +tp16985 +a(g548 +V75 +p16986 +tp16987 +a(g900 +g958 +tp16988 +a(g548 +V6d +p16989 +tp16990 +a(g900 +g958 +tp16991 +a(g548 +V62 +p16992 +tp16993 +a(g900 +g958 +tp16994 +a(g548 +V65 +p16995 +tp16996 +a(g900 +g958 +tp16997 +a(g548 +V72 +p16998 +tp16999 +a(g900 +g958 +tp17000 +a(g548 +V20 +p17001 +tp17002 +a(g900 +g958 +tp17003 +a(g548 +V29 +p17004 +tp17005 +a(g900 +g958 +tp17006 +a(g548 +V0a +p17007 +tp17008 +a(g900 +g958 +tp17009 +a(g548 +V20 +p17010 +tp17011 +a(g900 +g958 +tp17012 +a(g548 +V20 +p17013 +tp17014 +a(g900 +g958 +tp17015 +a(g548 +V20 +p17016 +tp17017 +a(g900 +g958 +tp17018 +a(g548 +V20 +p17019 +tp17020 +a(g900 +V +p17021 +tp17022 +a(g685 +g1009 +tp17023 +a(g341 +Vne_number ). +p17024 +tp17025 +a(g685 +g1013 +tp17026 +a(g900 +V\u000a +p17027 +tp17028 +a(g8 +V0010540 +p17029 +tp17030 +a(g900 +g958 +tp17031 +a(g548 +V7b +p17032 +tp17033 +a(g900 +g958 +tp17034 +a(g548 +V0a +p17035 +tp17036 +a(g900 +g958 +tp17037 +a(g548 +V20 +p17038 +tp17039 +a(g900 +g958 +tp17040 +a(g548 +V20 +p17041 +tp17042 +a(g900 +g958 +tp17043 +a(g548 +V20 +p17044 +tp17045 +a(g900 +g958 +tp17046 +a(g548 +V20 +p17047 +tp17048 +a(g900 +g958 +tp17049 +a(g548 +V20 +p17050 +tp17051 +a(g900 +g958 +tp17052 +a(g548 +V20 +p17053 +tp17054 +a(g900 +g958 +tp17055 +a(g548 +V20 +p17056 +tp17057 +a(g900 +g958 +tp17058 +a(g548 +V20 +p17059 +tp17060 +a(g900 +g958 +tp17061 +a(g548 +V69 +p17062 +tp17063 +a(g900 +g958 +tp17064 +a(g548 +V66 +p17065 +tp17066 +a(g900 +g958 +tp17067 +a(g548 +V20 +p17068 +tp17069 +a(g900 +g958 +tp17070 +a(g548 +V28 +p17071 +tp17072 +a(g900 +g958 +tp17073 +a(g548 +V21 +p17074 +tp17075 +a(g900 +g958 +tp17076 +a(g548 +V70 +p17077 +tp17078 +a(g900 +V +p17079 +tp17080 +a(g685 +g1009 +tp17081 +a(g341 +V{. if (!p +p17082 +tp17083 +a(g685 +g1013 +tp17084 +a(g900 +V\u000a +p17085 +tp17086 +a(g8 +V0010560 +p17087 +tp17088 +a(g900 +g958 +tp17089 +a(g548 +V68 +p17090 +tp17091 +a(g900 +g958 +tp17092 +a(g548 +V6f +p17093 +tp17094 +a(g900 +g958 +tp17095 +a(g548 +V6e +p17096 +tp17097 +a(g900 +g958 +tp17098 +a(g548 +V65 +p17099 +tp17100 +a(g900 +g958 +tp17101 +a(g548 +V5f +p17102 +tp17103 +a(g900 +g958 +tp17104 +a(g548 +V6e +p17105 +tp17106 +a(g900 +g958 +tp17107 +a(g548 +V75 +p17108 +tp17109 +a(g900 +g958 +tp17110 +a(g548 +V6d +p17111 +tp17112 +a(g900 +g958 +tp17113 +a(g548 +V62 +p17114 +tp17115 +a(g900 +g958 +tp17116 +a(g548 +V65 +p17117 +tp17118 +a(g900 +g958 +tp17119 +a(g548 +V72 +p17120 +tp17121 +a(g900 +g958 +tp17122 +a(g548 +V2e +p17123 +tp17124 +a(g900 +g958 +tp17125 +a(g548 +V6c +p17126 +tp17127 +a(g900 +g958 +tp17128 +a(g548 +V65 +p17129 +tp17130 +a(g900 +g958 +tp17131 +a(g548 +V6e +p17132 +tp17133 +a(g900 +g958 +tp17134 +a(g548 +V67 +p17135 +tp17136 +a(g900 +V +p17137 +tp17138 +a(g685 +g1009 +tp17139 +a(g341 +Vhone_number.leng +p17140 +tp17141 +a(g685 +g1013 +tp17142 +a(g900 +V\u000a +p17143 +tp17144 +a(g8 +V0010600 +p17145 +tp17146 +a(g900 +g958 +tp17147 +a(g548 +V74 +p17148 +tp17149 +a(g900 +g958 +tp17150 +a(g548 +V68 +p17151 +tp17152 +a(g900 +g958 +tp17153 +a(g548 +V29 +p17154 +tp17155 +a(g900 +g958 +tp17156 +a(g548 +V0a +p17157 +tp17158 +a(g900 +g958 +tp17159 +a(g548 +V20 +p17160 +tp17161 +a(g900 +g958 +tp17162 +a(g548 +V20 +p17163 +tp17164 +a(g900 +g958 +tp17165 +a(g548 +V20 +p17166 +tp17167 +a(g900 +g958 +tp17168 +a(g548 +V20 +p17169 +tp17170 +a(g900 +g958 +tp17171 +a(g548 +V20 +p17172 +tp17173 +a(g900 +g958 +tp17174 +a(g548 +V20 +p17175 +tp17176 +a(g900 +g958 +tp17177 +a(g548 +V20 +p17178 +tp17179 +a(g900 +g958 +tp17180 +a(g548 +V20 +p17181 +tp17182 +a(g900 +g958 +tp17183 +a(g548 +V20 +p17184 +tp17185 +a(g900 +g958 +tp17186 +a(g548 +V20 +p17187 +tp17188 +a(g900 +g958 +tp17189 +a(g548 +V20 +p17190 +tp17191 +a(g900 +g958 +tp17192 +a(g548 +V20 +p17193 +tp17194 +a(g900 +V +p17195 +tp17196 +a(g685 +g1009 +tp17197 +a(g341 +Vth). +p17198 +tp17199 +a(g685 +g1013 +tp17200 +a(g900 +V\u000a +p17201 +tp17202 +a(g8 +V0010620 +p17203 +tp17204 +a(g900 +g958 +tp17205 +a(g548 +V72 +p17206 +tp17207 +a(g900 +g958 +tp17208 +a(g548 +V65 +p17209 +tp17210 +a(g900 +g958 +tp17211 +a(g548 +V74 +p17212 +tp17213 +a(g900 +g958 +tp17214 +a(g548 +V75 +p17215 +tp17216 +a(g900 +g958 +tp17217 +a(g548 +V72 +p17218 +tp17219 +a(g900 +g958 +tp17220 +a(g548 +V6e +p17221 +tp17222 +a(g900 +g958 +tp17223 +a(g548 +V20 +p17224 +tp17225 +a(g900 +g958 +tp17226 +a(g548 +V6e +p17227 +tp17228 +a(g900 +g958 +tp17229 +a(g548 +V75 +p17230 +tp17231 +a(g900 +g958 +tp17232 +a(g548 +V6c +p17233 +tp17234 +a(g900 +g958 +tp17235 +a(g548 +V6c +p17236 +tp17237 +a(g900 +g958 +tp17238 +a(g548 +V3b +p17239 +tp17240 +a(g900 +g958 +tp17241 +a(g548 +V0a +p17242 +tp17243 +a(g900 +g958 +tp17244 +a(g548 +V20 +p17245 +tp17246 +a(g900 +g958 +tp17247 +a(g548 +V20 +p17248 +tp17249 +a(g900 +g958 +tp17250 +a(g548 +V20 +p17251 +tp17252 +a(g900 +V +p17253 +tp17254 +a(g685 +g1009 +tp17255 +a(g341 +Vreturn null;. +p17256 +tp17257 +a(g685 +g1013 +tp17258 +a(g900 +V\u000a +p17259 +tp17260 +a(g8 +V0010640 +p17261 +tp17262 +a(g900 +g958 +tp17263 +a(g548 +V20 +p17264 +tp17265 +a(g900 +g958 +tp17266 +a(g548 +V20 +p17267 +tp17268 +a(g900 +g958 +tp17269 +a(g548 +V20 +p17270 +tp17271 +a(g900 +g958 +tp17272 +a(g548 +V20 +p17273 +tp17274 +a(g900 +g958 +tp17275 +a(g548 +V20 +p17276 +tp17277 +a(g900 +g958 +tp17278 +a(g548 +V2f +p17279 +tp17280 +a(g900 +g958 +tp17281 +a(g548 +V2f +p17282 +tp17283 +a(g900 +g958 +tp17284 +a(g548 +V20 +p17285 +tp17286 +a(g900 +g958 +tp17287 +a(g548 +V53 +p17288 +tp17289 +a(g900 +g958 +tp17290 +a(g548 +V74 +p17291 +tp17292 +a(g900 +g958 +tp17293 +a(g548 +V72 +p17294 +tp17295 +a(g900 +g958 +tp17296 +a(g548 +V69 +p17297 +tp17298 +a(g900 +g958 +tp17299 +a(g548 +V70 +p17300 +tp17301 +a(g900 +g958 +tp17302 +a(g548 +V20 +p17303 +tp17304 +a(g900 +g958 +tp17305 +a(g548 +V74 +p17306 +tp17307 +a(g900 +g958 +tp17308 +a(g548 +V68 +p17309 +tp17310 +a(g900 +V +p17311 +tp17312 +a(g685 +g1009 +tp17313 +a(g341 +V // Strip th +p17314 +tp17315 +a(g685 +g1013 +tp17316 +a(g900 +V\u000a +p17317 +tp17318 +a(g8 +V0010660 +p17319 +tp17320 +a(g900 +g958 +tp17321 +a(g548 +V65 +p17322 +tp17323 +a(g900 +g958 +tp17324 +a(g548 +V20 +p17325 +tp17326 +a(g900 +g958 +tp17327 +a(g548 +V6e +p17328 +tp17329 +a(g900 +g958 +tp17330 +a(g548 +V6f +p17331 +tp17332 +a(g900 +g958 +tp17333 +a(g548 +V6e +p17334 +tp17335 +a(g900 +g958 +tp17336 +a(g548 +V2d +p17337 +tp17338 +a(g900 +g958 +tp17339 +a(g548 +V64 +p17340 +tp17341 +a(g900 +g958 +tp17342 +a(g548 +V69 +p17343 +tp17344 +a(g900 +g958 +tp17345 +a(g548 +V67 +p17346 +tp17347 +a(g900 +g958 +tp17348 +a(g548 +V69 +p17349 +tp17350 +a(g900 +g958 +tp17351 +a(g548 +V74 +p17352 +tp17353 +a(g900 +g958 +tp17354 +a(g548 +V20 +p17355 +tp17356 +a(g900 +g958 +tp17357 +a(g548 +V63 +p17358 +tp17359 +a(g900 +g958 +tp17360 +a(g548 +V68 +p17361 +tp17362 +a(g900 +g958 +tp17363 +a(g548 +V61 +p17364 +tp17365 +a(g900 +g958 +tp17366 +a(g548 +V72 +p17367 +tp17368 +a(g900 +V +p17369 +tp17370 +a(g685 +g1009 +tp17371 +a(g341 +Ve non-digit char +p17372 +tp17373 +a(g685 +g1013 +tp17374 +a(g900 +V\u000a +p17375 +tp17376 +a(g8 +V0010700 +p17377 +tp17378 +a(g900 +g958 +tp17379 +a(g548 +V61 +p17380 +tp17381 +a(g900 +g958 +tp17382 +a(g548 +V63 +p17383 +tp17384 +a(g900 +g958 +tp17385 +a(g548 +V74 +p17386 +tp17387 +a(g900 +g958 +tp17388 +a(g548 +V65 +p17389 +tp17390 +a(g900 +g958 +tp17391 +a(g548 +V72 +p17392 +tp17393 +a(g900 +g958 +tp17394 +a(g548 +V73 +p17395 +tp17396 +a(g900 +g958 +tp17397 +a(g548 +V20 +p17398 +tp17399 +a(g900 +g958 +tp17400 +a(g548 +V66 +p17401 +tp17402 +a(g900 +g958 +tp17403 +a(g548 +V72 +p17404 +tp17405 +a(g900 +g958 +tp17406 +a(g548 +V6f +p17407 +tp17408 +a(g900 +g958 +tp17409 +a(g548 +V6d +p17410 +tp17411 +a(g900 +g958 +tp17412 +a(g548 +V20 +p17413 +tp17414 +a(g900 +g958 +tp17415 +a(g548 +V74 +p17416 +tp17417 +a(g900 +g958 +tp17418 +a(g548 +V68 +p17419 +tp17420 +a(g900 +g958 +tp17421 +a(g548 +V65 +p17422 +tp17423 +a(g900 +g958 +tp17424 +a(g548 +V20 +p17425 +tp17426 +a(g900 +V +p17427 +tp17428 +a(g685 +g1009 +tp17429 +a(g341 +Vacters from the +p17430 +tp17431 +a(g685 +g1013 +tp17432 +a(g900 +V\u000a +p17433 +tp17434 +a(g8 +V0010720 +p17435 +tp17436 +a(g900 +g958 +tp17437 +a(g548 +V70 +p17438 +tp17439 +a(g900 +g958 +tp17440 +a(g548 +V68 +p17441 +tp17442 +a(g900 +g958 +tp17443 +a(g548 +V6f +p17444 +tp17445 +a(g900 +g958 +tp17446 +a(g548 +V6e +p17447 +tp17448 +a(g900 +g958 +tp17449 +a(g548 +V65 +p17450 +tp17451 +a(g900 +g958 +tp17452 +a(g548 +V20 +p17453 +tp17454 +a(g900 +g958 +tp17455 +a(g548 +V6e +p17456 +tp17457 +a(g900 +g958 +tp17458 +a(g548 +V75 +p17459 +tp17460 +a(g900 +g958 +tp17461 +a(g548 +V6d +p17462 +tp17463 +a(g900 +g958 +tp17464 +a(g548 +V62 +p17465 +tp17466 +a(g900 +g958 +tp17467 +a(g548 +V65 +p17468 +tp17469 +a(g900 +g958 +tp17470 +a(g548 +V72 +p17471 +tp17472 +a(g900 +g958 +tp17473 +a(g548 +V2c +p17474 +tp17475 +a(g900 +g958 +tp17476 +a(g548 +V20 +p17477 +tp17478 +a(g900 +g958 +tp17479 +a(g548 +V61 +p17480 +tp17481 +a(g900 +g958 +tp17482 +a(g548 +V6e +p17483 +tp17484 +a(g900 +V +p17485 +tp17486 +a(g685 +g1009 +tp17487 +a(g341 +Vphone number, an +p17488 +tp17489 +a(g685 +g1013 +tp17490 +a(g900 +V\u000a +p17491 +tp17492 +a(g8 +V0010740 +p17493 +tp17494 +a(g900 +g958 +tp17495 +a(g548 +V64 +p17496 +tp17497 +a(g900 +g958 +tp17498 +a(g548 +V0a +p17499 +tp17500 +a(g900 +g958 +tp17501 +a(g548 +V20 +p17502 +tp17503 +a(g900 +g958 +tp17504 +a(g548 +V20 +p17505 +tp17506 +a(g900 +g958 +tp17507 +a(g548 +V20 +p17508 +tp17509 +a(g900 +g958 +tp17510 +a(g548 +V20 +p17511 +tp17512 +a(g900 +g958 +tp17513 +a(g548 +V20 +p17514 +tp17515 +a(g900 +g958 +tp17516 +a(g548 +V20 +p17517 +tp17518 +a(g900 +g958 +tp17519 +a(g548 +V20 +p17520 +tp17521 +a(g900 +g958 +tp17522 +a(g548 +V20 +p17523 +tp17524 +a(g900 +g958 +tp17525 +a(g548 +V2f +p17526 +tp17527 +a(g900 +g958 +tp17528 +a(g548 +V2f +p17529 +tp17530 +a(g900 +g958 +tp17531 +a(g548 +V20 +p17532 +tp17533 +a(g900 +g958 +tp17534 +a(g548 +V70 +p17535 +tp17536 +a(g900 +g958 +tp17537 +a(g548 +V61 +p17538 +tp17539 +a(g900 +g958 +tp17540 +a(g548 +V73 +p17541 +tp17542 +a(g900 +V +p17543 +tp17544 +a(g685 +g1009 +tp17545 +a(g341 +Vd. // pas +p17546 +tp17547 +a(g685 +g1013 +tp17548 +a(g900 +V\u000a +p17549 +tp17550 +a(g8 +V0010760 +p17551 +tp17552 +a(g900 +g958 +tp17553 +a(g548 +V73 +p17554 +tp17555 +a(g900 +g958 +tp17556 +a(g548 +V20 +p17557 +tp17558 +a(g900 +g958 +tp17559 +a(g548 +V69 +p17560 +tp17561 +a(g900 +g958 +tp17562 +a(g548 +V74 +p17563 +tp17564 +a(g900 +g958 +tp17565 +a(g548 +V20 +p17566 +tp17567 +a(g900 +g958 +tp17568 +a(g548 +V74 +p17569 +tp17570 +a(g900 +g958 +tp17571 +a(g548 +V6f +p17572 +tp17573 +a(g900 +g958 +tp17574 +a(g548 +V20 +p17575 +tp17576 +a(g900 +g958 +tp17577 +a(g548 +V74 +p17578 +tp17579 +a(g900 +g958 +tp17580 +a(g548 +V68 +p17581 +tp17582 +a(g900 +g958 +tp17583 +a(g548 +V65 +p17584 +tp17585 +a(g900 +g958 +tp17586 +a(g548 +V20 +p17587 +tp17588 +a(g900 +g958 +tp17589 +a(g548 +V72 +p17590 +tp17591 +a(g900 +g958 +tp17592 +a(g548 +V65 +p17593 +tp17594 +a(g900 +g958 +tp17595 +a(g548 +V63 +p17596 +tp17597 +a(g900 +g958 +tp17598 +a(g548 +V75 +p17599 +tp17600 +a(g900 +V +p17601 +tp17602 +a(g685 +g1009 +tp17603 +a(g341 +Vs it to the recu +p17604 +tp17605 +a(g685 +g1013 +tp17606 +a(g900 +V\u000a +p17607 +tp17608 +a(g8 +V0011000 +p17609 +tp17610 +a(g900 +g958 +tp17611 +a(g548 +V72 +p17612 +tp17613 +a(g900 +g958 +tp17614 +a(g548 +V73 +p17615 +tp17616 +a(g900 +g958 +tp17617 +a(g548 +V69 +p17618 +tp17619 +a(g900 +g958 +tp17620 +a(g548 +V76 +p17621 +tp17622 +a(g900 +g958 +tp17623 +a(g548 +V65 +p17624 +tp17625 +a(g900 +g958 +tp17626 +a(g548 +V20 +p17627 +tp17628 +a(g900 +g958 +tp17629 +a(g548 +V66 +p17630 +tp17631 +a(g900 +g958 +tp17632 +a(g548 +V75 +p17633 +tp17634 +a(g900 +g958 +tp17635 +a(g548 +V6e +p17636 +tp17637 +a(g900 +g958 +tp17638 +a(g548 +V63 +p17639 +tp17640 +a(g900 +g958 +tp17641 +a(g548 +V74 +p17642 +tp17643 +a(g900 +g958 +tp17644 +a(g548 +V69 +p17645 +tp17646 +a(g900 +g958 +tp17647 +a(g548 +V6f +p17648 +tp17649 +a(g900 +g958 +tp17650 +a(g548 +V6e +p17651 +tp17652 +a(g900 +g958 +tp17653 +a(g548 +V20 +p17654 +tp17655 +a(g900 +g958 +tp17656 +a(g548 +V28 +p17657 +tp17658 +a(g900 +V +p17659 +tp17660 +a(g685 +g1009 +tp17661 +a(g341 +Vrsive function ( +p17662 +tp17663 +a(g685 +g1013 +tp17664 +a(g900 +V\u000a +p17665 +tp17666 +a(g8 +V0011020 +p17667 +tp17668 +a(g900 +g958 +tp17669 +a(g548 +V6c +p17670 +tp17671 +a(g900 +g958 +tp17672 +a(g548 +V65 +p17673 +tp17674 +a(g900 +g958 +tp17675 +a(g548 +V61 +p17676 +tp17677 +a(g900 +g958 +tp17678 +a(g548 +V64 +p17679 +tp17680 +a(g900 +g958 +tp17681 +a(g548 +V69 +p17682 +tp17683 +a(g900 +g958 +tp17684 +a(g548 +V6e +p17685 +tp17686 +a(g900 +g958 +tp17687 +a(g548 +V67 +p17688 +tp17689 +a(g900 +g958 +tp17690 +a(g548 +V20 +p17691 +tp17692 +a(g900 +g958 +tp17693 +a(g548 +V64 +p17694 +tp17695 +a(g900 +g958 +tp17696 +a(g548 +V69 +p17697 +tp17698 +a(g900 +g958 +tp17699 +a(g548 +V67 +p17700 +tp17701 +a(g900 +g958 +tp17702 +a(g548 +V69 +p17703 +tp17704 +a(g900 +g958 +tp17705 +a(g548 +V74 +p17706 +tp17707 +a(g900 +g958 +tp17708 +a(g548 +V20 +p17709 +tp17710 +a(g900 +g958 +tp17711 +a(g548 +V69 +p17712 +tp17713 +a(g900 +g958 +tp17714 +a(g548 +V73 +p17715 +tp17716 +a(g900 +V +p17717 +tp17718 +a(g685 +g1009 +tp17719 +a(g341 +Vleading digit is +p17720 +tp17721 +a(g685 +g1013 +tp17722 +a(g900 +V\u000a +p17723 +tp17724 +a(g8 +V0011040 +p17725 +tp17726 +a(g900 +g958 +tp17727 +a(g548 +V20 +p17728 +tp17729 +a(g900 +g958 +tp17730 +a(g548 +V61 +p17731 +tp17732 +a(g900 +g958 +tp17733 +a(g548 +V6c +p17734 +tp17735 +a(g900 +g958 +tp17736 +a(g548 +V6c +p17737 +tp17738 +a(g900 +g958 +tp17739 +a(g548 +V6f +p17740 +tp17741 +a(g900 +g958 +tp17742 +a(g548 +V77 +p17743 +tp17744 +a(g900 +g958 +tp17745 +a(g548 +V65 +p17746 +tp17747 +a(g900 +g958 +tp17748 +a(g548 +V64 +p17749 +tp17750 +a(g900 +g958 +tp17751 +a(g548 +V29 +p17752 +tp17753 +a(g900 +g958 +tp17754 +a(g548 +V0a +p17755 +tp17756 +a(g900 +g958 +tp17757 +a(g548 +V20 +p17758 +tp17759 +a(g900 +g958 +tp17760 +a(g548 +V20 +p17761 +tp17762 +a(g900 +g958 +tp17763 +a(g548 +V20 +p17764 +tp17765 +a(g900 +g958 +tp17766 +a(g548 +V20 +p17767 +tp17768 +a(g900 +g958 +tp17769 +a(g548 +V20 +p17770 +tp17771 +a(g900 +g958 +tp17772 +a(g548 +V20 +p17773 +tp17774 +a(g900 +V +p17775 +tp17776 +a(g685 +g1009 +tp17777 +a(g341 +V allowed). +p17778 +tp17779 +a(g685 +g1013 +tp17780 +a(g900 +V\u000a +p17781 +tp17782 +a(g8 +V0011060 +p17783 +tp17784 +a(g900 +g958 +tp17785 +a(g548 +V20 +p17786 +tp17787 +a(g900 +g958 +tp17788 +a(g548 +V20 +p17789 +tp17790 +a(g900 +g958 +tp17791 +a(g548 +V72 +p17792 +tp17793 +a(g900 +g958 +tp17794 +a(g548 +V65 +p17795 +tp17796 +a(g900 +g958 +tp17797 +a(g548 +V74 +p17798 +tp17799 +a(g900 +g958 +tp17800 +a(g548 +V75 +p17801 +tp17802 +a(g900 +g958 +tp17803 +a(g548 +V72 +p17804 +tp17805 +a(g900 +g958 +tp17806 +a(g548 +V6e +p17807 +tp17808 +a(g900 +g958 +tp17809 +a(g548 +V20 +p17810 +tp17811 +a(g900 +g958 +tp17812 +a(g548 +V5f +p17813 +tp17814 +a(g900 +g958 +tp17815 +a(g548 +V46 +p17816 +tp17817 +a(g900 +g958 +tp17818 +a(g548 +V69 +p17819 +tp17820 +a(g900 +g958 +tp17821 +a(g548 +V6e +p17822 +tp17823 +a(g900 +g958 +tp17824 +a(g548 +V64 +p17825 +tp17826 +a(g900 +g958 +tp17827 +a(g548 +V57 +p17828 +tp17829 +a(g900 +g958 +tp17830 +a(g548 +V6f +p17831 +tp17832 +a(g900 +V +p17833 +tp17834 +a(g685 +g1009 +tp17835 +a(g341 +V return _FindWo +p17836 +tp17837 +a(g685 +g1013 +tp17838 +a(g900 +V\u000a +p17839 +tp17840 +a(g8 +V0011100 +p17841 +tp17842 +a(g900 +g958 +tp17843 +a(g548 +V72 +p17844 +tp17845 +a(g900 +g958 +tp17846 +a(g548 +V64 +p17847 +tp17848 +a(g900 +g958 +tp17849 +a(g548 +V73 +p17850 +tp17851 +a(g900 +g958 +tp17852 +a(g548 +V28 +p17853 +tp17854 +a(g900 +g958 +tp17855 +a(g548 +V20 +p17856 +tp17857 +a(g900 +g958 +tp17858 +a(g548 +V73 +p17859 +tp17860 +a(g900 +g958 +tp17861 +a(g548 +V74 +p17862 +tp17863 +a(g900 +g958 +tp17864 +a(g548 +V72 +p17865 +tp17866 +a(g900 +g958 +tp17867 +a(g548 +V69 +p17868 +tp17869 +a(g900 +g958 +tp17870 +a(g548 +V70 +p17871 +tp17872 +a(g900 +g958 +tp17873 +a(g548 +V4e +p17874 +tp17875 +a(g900 +g958 +tp17876 +a(g548 +V6f +p17877 +tp17878 +a(g900 +g958 +tp17879 +a(g548 +V6e +p17880 +tp17881 +a(g900 +g958 +tp17882 +a(g548 +V44 +p17883 +tp17884 +a(g900 +g958 +tp17885 +a(g548 +V69 +p17886 +tp17887 +a(g900 +g958 +tp17888 +a(g548 +V67 +p17889 +tp17890 +a(g900 +V +p17891 +tp17892 +a(g685 +g1009 +tp17893 +a(g341 +Vrds( stripNonDig +p17894 +tp17895 +a(g685 +g1013 +tp17896 +a(g900 +V\u000a +p17897 +tp17898 +a(g8 +V0011120 +p17899 +tp17900 +a(g900 +g958 +tp17901 +a(g548 +V69 +p17902 +tp17903 +a(g900 +g958 +tp17904 +a(g548 +V74 +p17905 +tp17906 +a(g900 +g958 +tp17907 +a(g548 +V28 +p17908 +tp17909 +a(g900 +g958 +tp17910 +a(g548 +V70 +p17911 +tp17912 +a(g900 +g958 +tp17913 +a(g548 +V68 +p17914 +tp17915 +a(g900 +g958 +tp17916 +a(g548 +V6f +p17917 +tp17918 +a(g900 +g958 +tp17919 +a(g548 +V6e +p17920 +tp17921 +a(g900 +g958 +tp17922 +a(g548 +V65 +p17923 +tp17924 +a(g900 +g958 +tp17925 +a(g548 +V5f +p17926 +tp17927 +a(g900 +g958 +tp17928 +a(g548 +V6e +p17929 +tp17930 +a(g900 +g958 +tp17931 +a(g548 +V75 +p17932 +tp17933 +a(g900 +g958 +tp17934 +a(g548 +V6d +p17935 +tp17936 +a(g900 +g958 +tp17937 +a(g548 +V62 +p17938 +tp17939 +a(g900 +g958 +tp17940 +a(g548 +V65 +p17941 +tp17942 +a(g900 +g958 +tp17943 +a(g548 +V72 +p17944 +tp17945 +a(g900 +g958 +tp17946 +a(g548 +V29 +p17947 +tp17948 +a(g900 +V +p17949 +tp17950 +a(g685 +g1009 +tp17951 +a(g341 +Vit(phone_number) +p17952 +tp17953 +a(g685 +g1013 +tp17954 +a(g900 +V\u000a +p17955 +tp17956 +a(g8 +V0011140 +p17957 +tp17958 +a(g900 +g958 +tp17959 +a(g548 +V2c +p17960 +tp17961 +a(g900 +g958 +tp17962 +a(g548 +V20 +p17963 +tp17964 +a(g900 +g958 +tp17965 +a(g548 +V74 +p17966 +tp17967 +a(g900 +g958 +tp17968 +a(g548 +V72 +p17969 +tp17970 +a(g900 +g958 +tp17971 +a(g548 +V75 +p17972 +tp17973 +a(g900 +g958 +tp17974 +a(g548 +V65 +p17975 +tp17976 +a(g900 +g958 +tp17977 +a(g548 +V20 +p17978 +tp17979 +a(g900 +g958 +tp17980 +a(g548 +V29 +p17981 +tp17982 +a(g900 +g958 +tp17983 +a(g548 +V3b +p17984 +tp17985 +a(g900 +g958 +tp17986 +a(g548 +V20 +p17987 +tp17988 +a(g900 +g958 +tp17989 +a(g548 +V20 +p17990 +tp17991 +a(g900 +g958 +tp17992 +a(g548 +V20 +p17993 +tp17994 +a(g900 +g958 +tp17995 +a(g548 +V20 +p17996 +tp17997 +a(g900 +g958 +tp17998 +a(g548 +V0a +p17999 +tp18000 +a(g900 +g958 +tp18001 +a(g548 +V20 +p18002 +tp18003 +a(g900 +g958 +tp18004 +a(g548 +V20 +p18005 +tp18006 +a(g900 +V +p18007 +tp18008 +a(g685 +g1009 +tp18009 +a(g341 +V, true ); . +p18010 +tp18011 +a(g685 +g1013 +tp18012 +a(g900 +V\u000a +p18013 +tp18014 +a(g8 +V0011160 +p18015 +tp18016 +a(g900 +g958 +tp18017 +a(g548 +V20 +p18018 +tp18019 +a(g900 +g958 +tp18020 +a(g548 +V20 +p18021 +tp18022 +a(g900 +g958 +tp18023 +a(g548 +V7d +p18024 +tp18025 +a(g900 +g958 +tp18026 +a(g548 +V20 +p18027 +tp18028 +a(g900 +g958 +tp18029 +a(g548 +V20 +p18030 +tp18031 +a(g900 +g958 +tp18032 +a(g548 +V20 +p18033 +tp18034 +a(g900 +g958 +tp18035 +a(g548 +V20 +p18036 +tp18037 +a(g900 +g958 +tp18038 +a(g548 +V0a +p18039 +tp18040 +a(g900 +g958 +tp18041 +a(g548 +V20 +p18042 +tp18043 +a(g900 +g958 +tp18044 +a(g548 +V20 +p18045 +tp18046 +a(g900 +g958 +tp18047 +a(g548 +V20 +p18048 +tp18049 +a(g900 +g958 +tp18050 +a(g548 +V20 +p18051 +tp18052 +a(g900 +g958 +tp18053 +a(g548 +V0a +p18054 +tp18055 +a(g900 +g958 +tp18056 +a(g548 +V20 +p18057 +tp18058 +a(g900 +g958 +tp18059 +a(g548 +V20 +p18060 +tp18061 +a(g900 +g958 +tp18062 +a(g548 +V20 +p18063 +tp18064 +a(g900 +V +p18065 +tp18066 +a(g685 +g1009 +tp18067 +a(g341 +V } . . +p18068 +tp18069 +a(g685 +g1013 +tp18070 +a(g900 +V\u000a +p18071 +tp18072 +a(g8 +V0011200 +p18073 +tp18074 +a(g900 +g958 +tp18075 +a(g548 +V20 +p18076 +tp18077 +a(g900 +g958 +tp18078 +a(g548 +V2f +p18079 +tp18080 +a(g900 +g958 +tp18081 +a(g548 +V2f +p18082 +tp18083 +a(g900 +g958 +tp18084 +a(g548 +V20 +p18085 +tp18086 +a(g900 +g958 +tp18087 +a(g548 +V52 +p18088 +tp18089 +a(g900 +g958 +tp18090 +a(g548 +V65 +p18091 +tp18092 +a(g900 +g958 +tp18093 +a(g548 +V61 +p18094 +tp18095 +a(g900 +g958 +tp18096 +a(g548 +V64 +p18097 +tp18098 +a(g900 +g958 +tp18099 +a(g548 +V20 +p18100 +tp18101 +a(g900 +g958 +tp18102 +a(g548 +V74 +p18103 +tp18104 +a(g900 +g958 +tp18105 +a(g548 +V68 +p18106 +tp18107 +a(g900 +g958 +tp18108 +a(g548 +V65 +p18109 +tp18110 +a(g900 +g958 +tp18111 +a(g548 +V20 +p18112 +tp18113 +a(g900 +g958 +tp18114 +a(g548 +V70 +p18115 +tp18116 +a(g900 +g958 +tp18117 +a(g548 +V68 +p18118 +tp18119 +a(g900 +g958 +tp18120 +a(g548 +V6f +p18121 +tp18122 +a(g900 +V +p18123 +tp18124 +a(g685 +g1009 +tp18125 +a(g341 +V // Read the pho +p18126 +tp18127 +a(g685 +g1013 +tp18128 +a(g900 +V\u000a +p18129 +tp18130 +a(g8 +V0011220 +p18131 +tp18132 +a(g900 +g958 +tp18133 +a(g548 +V6e +p18134 +tp18135 +a(g900 +g958 +tp18136 +a(g548 +V65 +p18137 +tp18138 +a(g900 +g958 +tp18139 +a(g548 +V20 +p18140 +tp18141 +a(g900 +g958 +tp18142 +a(g548 +V6e +p18143 +tp18144 +a(g900 +g958 +tp18145 +a(g548 +V75 +p18146 +tp18147 +a(g900 +g958 +tp18148 +a(g548 +V6d +p18149 +tp18150 +a(g900 +g958 +tp18151 +a(g548 +V62 +p18152 +tp18153 +a(g900 +g958 +tp18154 +a(g548 +V65 +p18155 +tp18156 +a(g900 +g958 +tp18157 +a(g548 +V72 +p18158 +tp18159 +a(g900 +g958 +tp18160 +a(g548 +V73 +p18161 +tp18162 +a(g900 +g958 +tp18163 +a(g548 +V20 +p18164 +tp18165 +a(g900 +g958 +tp18166 +a(g548 +V20 +p18167 +tp18168 +a(g900 +g958 +tp18169 +a(g548 +V20 +p18170 +tp18171 +a(g900 +g958 +tp18172 +a(g548 +V20 +p18173 +tp18174 +a(g900 +g958 +tp18175 +a(g548 +V20 +p18176 +tp18177 +a(g900 +g958 +tp18178 +a(g548 +V0a +p18179 +tp18180 +a(g900 +V +p18181 +tp18182 +a(g685 +g1009 +tp18183 +a(g341 +Vne numbers . +p18184 +tp18185 +a(g685 +g1013 +tp18186 +a(g900 +V\u000a +p18187 +tp18188 +a(g8 +V0011240 +p18189 +tp18190 +a(g900 +g958 +tp18191 +a(g548 +V20 +p18192 +tp18193 +a(g900 +g958 +tp18194 +a(g548 +V20 +p18195 +tp18196 +a(g900 +g958 +tp18197 +a(g548 +V20 +p18198 +tp18199 +a(g900 +g958 +tp18200 +a(g548 +V20 +p18201 +tp18202 +a(g900 +g958 +tp18203 +a(g548 +V66 +p18204 +tp18205 +a(g900 +g958 +tp18206 +a(g548 +V6f +p18207 +tp18208 +a(g900 +g958 +tp18209 +a(g548 +V72 +p18210 +tp18211 +a(g900 +g958 +tp18212 +a(g548 +V65 +p18213 +tp18214 +a(g900 +g958 +tp18215 +a(g548 +V61 +p18216 +tp18217 +a(g900 +g958 +tp18218 +a(g548 +V63 +p18219 +tp18220 +a(g900 +g958 +tp18221 +a(g548 +V68 +p18222 +tp18223 +a(g900 +g958 +tp18224 +a(g548 +V28 +p18225 +tp18226 +a(g900 +g958 +tp18227 +a(g548 +V73 +p18228 +tp18229 +a(g900 +g958 +tp18230 +a(g548 +V74 +p18231 +tp18232 +a(g900 +g958 +tp18233 +a(g548 +V72 +p18234 +tp18235 +a(g900 +g958 +tp18236 +a(g548 +V69 +p18237 +tp18238 +a(g900 +V +p18239 +tp18240 +a(g685 +g1009 +tp18241 +a(g341 +V foreach(stri +p18242 +tp18243 +a(g685 +g1013 +tp18244 +a(g900 +V\u000a +p18245 +tp18246 +a(g8 +V0011260 +p18247 +tp18248 +a(g900 +g958 +tp18249 +a(g548 +V6e +p18250 +tp18251 +a(g900 +g958 +tp18252 +a(g548 +V67 +p18253 +tp18254 +a(g900 +g958 +tp18255 +a(g548 +V20 +p18256 +tp18257 +a(g900 +g958 +tp18258 +a(g548 +V70 +p18259 +tp18260 +a(g900 +g958 +tp18261 +a(g548 +V68 +p18262 +tp18263 +a(g900 +g958 +tp18264 +a(g548 +V6f +p18265 +tp18266 +a(g900 +g958 +tp18267 +a(g548 +V6e +p18268 +tp18269 +a(g900 +g958 +tp18270 +a(g548 +V65 +p18271 +tp18272 +a(g900 +g958 +tp18273 +a(g548 +V3b +p18274 +tp18275 +a(g900 +g958 +tp18276 +a(g548 +V20 +p18277 +tp18278 +a(g900 +g958 +tp18279 +a(g548 +V6e +p18280 +tp18281 +a(g900 +g958 +tp18282 +a(g548 +V65 +p18283 +tp18284 +a(g900 +g958 +tp18285 +a(g548 +V77 +p18286 +tp18287 +a(g900 +g958 +tp18288 +a(g548 +V20 +p18289 +tp18290 +a(g900 +g958 +tp18291 +a(g548 +V42 +p18292 +tp18293 +a(g900 +g958 +tp18294 +a(g548 +V75 +p18295 +tp18296 +a(g900 +V +p18297 +tp18298 +a(g685 +g1009 +tp18299 +a(g341 +Vng phone; new Bu +p18300 +tp18301 +a(g685 +g1013 +tp18302 +a(g900 +V\u000a +p18303 +tp18304 +a(g8 +V0011300 +p18305 +tp18306 +a(g900 +g958 +tp18307 +a(g548 +V66 +p18308 +tp18309 +a(g900 +g958 +tp18310 +a(g548 +V66 +p18311 +tp18312 +a(g900 +g958 +tp18313 +a(g548 +V65 +p18314 +tp18315 +a(g900 +g958 +tp18316 +a(g548 +V72 +p18317 +tp18318 +a(g900 +g958 +tp18319 +a(g548 +V65 +p18320 +tp18321 +a(g900 +g958 +tp18322 +a(g548 +V64 +p18323 +tp18324 +a(g900 +g958 +tp18325 +a(g548 +V46 +p18326 +tp18327 +a(g900 +g958 +tp18328 +a(g548 +V69 +p18329 +tp18330 +a(g900 +g958 +tp18331 +a(g548 +V6c +p18332 +tp18333 +a(g900 +g958 +tp18334 +a(g548 +V65 +p18335 +tp18336 +a(g900 +g958 +tp18337 +a(g548 +V28 +p18338 +tp18339 +a(g900 +g958 +tp18340 +a(g548 +V22 +p18341 +tp18342 +a(g900 +g958 +tp18343 +a(g548 +V69 +p18344 +tp18345 +a(g900 +g958 +tp18346 +a(g548 +V6e +p18347 +tp18348 +a(g900 +g958 +tp18349 +a(g548 +V70 +p18350 +tp18351 +a(g900 +g958 +tp18352 +a(g548 +V75 +p18353 +tp18354 +a(g900 +V +p18355 +tp18356 +a(g685 +g1009 +tp18357 +a(g341 +VfferedFile("inpu +p18358 +tp18359 +a(g685 +g1013 +tp18360 +a(g900 +V\u000a +p18361 +tp18362 +a(g8 +V0011320 +p18363 +tp18364 +a(g900 +g958 +tp18365 +a(g548 +V74 +p18366 +tp18367 +a(g900 +g958 +tp18368 +a(g548 +V2e +p18369 +tp18370 +a(g900 +g958 +tp18371 +a(g548 +V74 +p18372 +tp18373 +a(g900 +g958 +tp18374 +a(g548 +V78 +p18375 +tp18376 +a(g900 +g958 +tp18377 +a(g548 +V74 +p18378 +tp18379 +a(g900 +g958 +tp18380 +a(g548 +V22 +p18381 +tp18382 +a(g900 +g958 +tp18383 +a(g548 +V20 +p18384 +tp18385 +a(g900 +g958 +tp18386 +a(g548 +V20 +p18387 +tp18388 +a(g900 +g958 +tp18389 +a(g548 +V20 +p18390 +tp18391 +a(g900 +g958 +tp18392 +a(g548 +V29 +p18393 +tp18394 +a(g900 +g958 +tp18395 +a(g548 +V20 +p18396 +tp18397 +a(g900 +g958 +tp18398 +a(g548 +V29 +p18399 +tp18400 +a(g900 +g958 +tp18401 +a(g548 +V0a +p18402 +tp18403 +a(g900 +g958 +tp18404 +a(g548 +V20 +p18405 +tp18406 +a(g900 +g958 +tp18407 +a(g548 +V20 +p18408 +tp18409 +a(g900 +g958 +tp18410 +a(g548 +V20 +p18411 +tp18412 +a(g900 +V +p18413 +tp18414 +a(g685 +g1009 +tp18415 +a(g341 +Vt.txt" ) ). +p18416 +tp18417 +a(g685 +g1013 +tp18418 +a(g900 +V\u000a +p18419 +tp18420 +a(g8 +V0011340 +p18421 +tp18422 +a(g900 +g958 +tp18423 +a(g548 +V20 +p18424 +tp18425 +a(g900 +g958 +tp18426 +a(g548 +V20 +p18427 +tp18428 +a(g900 +g958 +tp18429 +a(g548 +V20 +p18430 +tp18431 +a(g900 +g958 +tp18432 +a(g548 +V20 +p18433 +tp18434 +a(g900 +g958 +tp18435 +a(g548 +V20 +p18436 +tp18437 +a(g900 +g958 +tp18438 +a(g548 +V66 +p18439 +tp18440 +a(g900 +g958 +tp18441 +a(g548 +V6f +p18442 +tp18443 +a(g900 +g958 +tp18444 +a(g548 +V72 +p18445 +tp18446 +a(g900 +g958 +tp18447 +a(g548 +V65 +p18448 +tp18449 +a(g900 +g958 +tp18450 +a(g548 +V61 +p18451 +tp18452 +a(g900 +g958 +tp18453 +a(g548 +V63 +p18454 +tp18455 +a(g900 +g958 +tp18456 +a(g548 +V68 +p18457 +tp18458 +a(g900 +g958 +tp18459 +a(g548 +V28 +p18460 +tp18461 +a(g900 +g958 +tp18462 +a(g548 +V61 +p18463 +tp18464 +a(g900 +g958 +tp18465 +a(g548 +V6c +p18466 +tp18467 +a(g900 +g958 +tp18468 +a(g548 +V74 +p18469 +tp18470 +a(g900 +V +p18471 +tp18472 +a(g685 +g1009 +tp18473 +a(g341 +V foreach(alt +p18474 +tp18475 +a(g685 +g1013 +tp18476 +a(g900 +V\u000a +p18477 +tp18478 +a(g8 +V0011360 +p18479 +tp18480 +a(g900 +g958 +tp18481 +a(g548 +V65 +p18482 +tp18483 +a(g900 +g958 +tp18484 +a(g548 +V72 +p18485 +tp18486 +a(g900 +g958 +tp18487 +a(g548 +V6e +p18488 +tp18489 +a(g900 +g958 +tp18490 +a(g548 +V61 +p18491 +tp18492 +a(g900 +g958 +tp18493 +a(g548 +V74 +p18494 +tp18495 +a(g900 +g958 +tp18496 +a(g548 +V69 +p18497 +tp18498 +a(g900 +g958 +tp18499 +a(g548 +V76 +p18500 +tp18501 +a(g900 +g958 +tp18502 +a(g548 +V65 +p18503 +tp18504 +a(g900 +g958 +tp18505 +a(g548 +V3b +p18506 +tp18507 +a(g900 +g958 +tp18508 +a(g548 +V20 +p18509 +tp18510 +a(g900 +g958 +tp18511 +a(g548 +V46 +p18512 +tp18513 +a(g900 +g958 +tp18514 +a(g548 +V69 +p18515 +tp18516 +a(g900 +g958 +tp18517 +a(g548 +V6e +p18518 +tp18519 +a(g900 +g958 +tp18520 +a(g548 +V64 +p18521 +tp18522 +a(g900 +g958 +tp18523 +a(g548 +V57 +p18524 +tp18525 +a(g900 +g958 +tp18526 +a(g548 +V6f +p18527 +tp18528 +a(g900 +V +p18529 +tp18530 +a(g685 +g1009 +tp18531 +a(g341 +Vernative; FindWo +p18532 +tp18533 +a(g685 +g1013 +tp18534 +a(g900 +V\u000a +p18535 +tp18536 +a(g8 +V0011400 +p18537 +tp18538 +a(g900 +g958 +tp18539 +a(g548 +V72 +p18540 +tp18541 +a(g900 +g958 +tp18542 +a(g548 +V64 +p18543 +tp18544 +a(g900 +g958 +tp18545 +a(g548 +V73 +p18546 +tp18547 +a(g900 +g958 +tp18548 +a(g548 +V28 +p18549 +tp18550 +a(g900 +g958 +tp18551 +a(g548 +V20 +p18552 +tp18553 +a(g900 +g958 +tp18554 +a(g548 +V70 +p18555 +tp18556 +a(g900 +g958 +tp18557 +a(g548 +V68 +p18558 +tp18559 +a(g900 +g958 +tp18560 +a(g548 +V6f +p18561 +tp18562 +a(g900 +g958 +tp18563 +a(g548 +V6e +p18564 +tp18565 +a(g900 +g958 +tp18566 +a(g548 +V65 +p18567 +tp18568 +a(g900 +g958 +tp18569 +a(g548 +V20 +p18570 +tp18571 +a(g900 +g958 +tp18572 +a(g548 +V29 +p18573 +tp18574 +a(g900 +g958 +tp18575 +a(g548 +V20 +p18576 +tp18577 +a(g900 +g958 +tp18578 +a(g548 +V29 +p18579 +tp18580 +a(g900 +g958 +tp18581 +a(g548 +V0a +p18582 +tp18583 +a(g900 +g958 +tp18584 +a(g548 +V20 +p18585 +tp18586 +a(g900 +V +p18587 +tp18588 +a(g685 +g1009 +tp18589 +a(g341 +Vrds( phone ) ). +p18590 +tp18591 +a(g685 +g1013 +tp18592 +a(g900 +V\u000a +p18593 +tp18594 +a(g8 +V0011420 +p18595 +tp18596 +a(g900 +g958 +tp18597 +a(g548 +V20 +p18598 +tp18599 +a(g900 +g958 +tp18600 +a(g548 +V20 +p18601 +tp18602 +a(g900 +g958 +tp18603 +a(g548 +V20 +p18604 +tp18605 +a(g900 +g958 +tp18606 +a(g548 +V20 +p18607 +tp18608 +a(g900 +g958 +tp18609 +a(g548 +V20 +p18610 +tp18611 +a(g900 +g958 +tp18612 +a(g548 +V20 +p18613 +tp18614 +a(g900 +g958 +tp18615 +a(g548 +V20 +p18616 +tp18617 +a(g900 +g958 +tp18618 +a(g548 +V20 +p18619 +tp18620 +a(g900 +g958 +tp18621 +a(g548 +V20 +p18622 +tp18623 +a(g900 +g958 +tp18624 +a(g548 +V20 +p18625 +tp18626 +a(g900 +g958 +tp18627 +a(g548 +V20 +p18628 +tp18629 +a(g900 +g958 +tp18630 +a(g548 +V77 +p18631 +tp18632 +a(g900 +g958 +tp18633 +a(g548 +V72 +p18634 +tp18635 +a(g900 +g958 +tp18636 +a(g548 +V69 +p18637 +tp18638 +a(g900 +g958 +tp18639 +a(g548 +V74 +p18640 +tp18641 +a(g900 +g958 +tp18642 +a(g548 +V65 +p18643 +tp18644 +a(g900 +V +p18645 +tp18646 +a(g685 +g1009 +tp18647 +a(g341 +V write +p18648 +tp18649 +a(g685 +g1013 +tp18650 +a(g900 +V\u000a +p18651 +tp18652 +a(g8 +V0011440 +p18653 +tp18654 +a(g900 +g958 +tp18655 +a(g548 +V66 +p18656 +tp18657 +a(g900 +g958 +tp18658 +a(g548 +V6c +p18659 +tp18660 +a(g900 +g958 +tp18661 +a(g548 +V6e +p18662 +tp18663 +a(g900 +g958 +tp18664 +a(g548 +V28 +p18665 +tp18666 +a(g900 +g958 +tp18667 +a(g548 +V70 +p18668 +tp18669 +a(g900 +g958 +tp18670 +a(g548 +V68 +p18671 +tp18672 +a(g900 +g958 +tp18673 +a(g548 +V6f +p18674 +tp18675 +a(g900 +g958 +tp18676 +a(g548 +V6e +p18677 +tp18678 +a(g900 +g958 +tp18679 +a(g548 +V65 +p18680 +tp18681 +a(g900 +g958 +tp18682 +a(g548 +V2c +p18683 +tp18684 +a(g900 +g958 +tp18685 +a(g548 +V20 +p18686 +tp18687 +a(g900 +g958 +tp18688 +a(g548 +V22 +p18689 +tp18690 +a(g900 +g958 +tp18691 +a(g548 +V3a +p18692 +tp18693 +a(g900 +g958 +tp18694 +a(g548 +V20 +p18695 +tp18696 +a(g900 +g958 +tp18697 +a(g548 +V22 +p18698 +tp18699 +a(g900 +g958 +tp18700 +a(g548 +V2c +p18701 +tp18702 +a(g900 +V +p18703 +tp18704 +a(g685 +g1009 +tp18705 +a(g341 +Vfln(phone, ": ", +p18706 +tp18707 +a(g685 +g1013 +tp18708 +a(g900 +V\u000a +p18709 +tp18710 +a(g8 +V0011460 +p18711 +tp18712 +a(g900 +g958 +tp18713 +a(g548 +V20 +p18714 +tp18715 +a(g900 +g958 +tp18716 +a(g548 +V61 +p18717 +tp18718 +a(g900 +g958 +tp18719 +a(g548 +V6c +p18720 +tp18721 +a(g900 +g958 +tp18722 +a(g548 +V74 +p18723 +tp18724 +a(g900 +g958 +tp18725 +a(g548 +V65 +p18726 +tp18727 +a(g900 +g958 +tp18728 +a(g548 +V72 +p18729 +tp18730 +a(g900 +g958 +tp18731 +a(g548 +V6e +p18732 +tp18733 +a(g900 +g958 +tp18734 +a(g548 +V61 +p18735 +tp18736 +a(g900 +g958 +tp18737 +a(g548 +V74 +p18738 +tp18739 +a(g900 +g958 +tp18740 +a(g548 +V69 +p18741 +tp18742 +a(g900 +g958 +tp18743 +a(g548 +V76 +p18744 +tp18745 +a(g900 +g958 +tp18746 +a(g548 +V65 +p18747 +tp18748 +a(g900 +g958 +tp18749 +a(g548 +V20 +p18750 +tp18751 +a(g900 +g958 +tp18752 +a(g548 +V29 +p18753 +tp18754 +a(g900 +g958 +tp18755 +a(g548 +V3b +p18756 +tp18757 +a(g900 +g958 +tp18758 +a(g548 +V0a +p18759 +tp18760 +a(g900 +V +p18761 +tp18762 +a(g685 +g1009 +tp18763 +a(g341 +V alternative );. +p18764 +tp18765 +a(g685 +g1013 +tp18766 +a(g900 +V\u000a +p18767 +tp18768 +a(g8 +V0011500 +p18769 +tp18770 +a(g900 +g958 +tp18771 +a(g548 +V7d +p18772 +tp18773 +a(g900 +g958 +tp18774 +a(g548 +V0a +p18775 +tp18776 +a(g900 +g958 +tp18777 +a(g548 +V0a +p18778 +tp18779 +a(g900 +g958 +tp18780 +a(g900 +g958 +tp18781 +a(g900 +g958 +tp18782 +a(g900 +g958 +tp18783 +a(g900 +g958 +tp18784 +a(g900 +g958 +tp18785 +a(g900 +g958 +tp18786 +a(g900 +g958 +tp18787 +a(g900 +g958 +tp18788 +a(g900 +g958 +tp18789 +a(g900 +g958 +tp18790 +a(g900 +g958 +tp18791 +a(g900 +g958 +tp18792 +a(g900 +g958 +tp18793 +a(g900 +g958 +tp18794 +a(g900 +g958 +tp18795 +a(g900 +g958 +tp18796 +a(g900 +g958 +tp18797 +a(g900 +g958 +tp18798 +a(g900 +g958 +tp18799 +a(g900 +g958 +tp18800 +a(g900 +g958 +tp18801 +a(g900 +g958 +tp18802 +a(g900 +g958 +tp18803 +a(g900 +g958 +tp18804 +a(g900 +g958 +tp18805 +a(g900 +g958 +tp18806 +a(g900 +g958 +tp18807 +a(g900 +g958 +tp18808 +a(g900 +g958 +tp18809 +a(g900 +g958 +tp18810 +a(g900 +g958 +tp18811 +a(g900 +g958 +tp18812 +a(g900 +g958 +tp18813 +a(g900 +g958 +tp18814 +a(g900 +g958 +tp18815 +a(g900 +g958 +tp18816 +a(g900 +g958 +tp18817 +a(g900 +V +p18818 +tp18819 +a(g685 +g1009 +tp18820 +a(g341 +V}.. +p18821 +tp18822 +a(g685 +g1013 +tp18823 +a(g900 +V\u000a +p18824 +tp18825 +a(g8 +V0011503 +p18826 +tp18827 +a(g900 +V\u000a +p18828 +tp18829 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/hexdump_xxd b/tests/examplefiles/output/hexdump_xxd new file mode 100644 index 0000000..caf1ea8 --- /dev/null +++ b/tests/examplefiles/output/hexdump_xxd @@ -0,0 +1,35330 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV0000000 +p956 +tp957 +a(g685 +V: +p958 +tp959 +a(g900 +V +p960 +tp961 +a(g548 +V2f +p962 +tp963 +a(g548 +V2f +p964 +tp965 +a(g900 +g960 +tp966 +a(g548 +V20 +p967 +tp968 +a(g548 +V43 +p969 +tp970 +a(g900 +g960 +tp971 +a(g548 +V72 +p972 +tp973 +a(g548 +V65 +p974 +tp975 +a(g900 +g960 +tp976 +a(g548 +V61 +p977 +tp978 +a(g548 +V74 +p979 +tp980 +a(g900 +g960 +tp981 +a(g548 +V65 +p982 +tp983 +a(g548 +V64 +p984 +tp985 +a(g900 +g960 +tp986 +a(g548 +V20 +p987 +tp988 +a(g548 +V62 +p989 +tp990 +a(g900 +g960 +tp991 +a(g548 +V79 +p992 +tp993 +a(g548 +V20 +p994 +tp995 +a(g900 +g960 +tp996 +a(g548 +V4c +p997 +tp998 +a(g548 +V69 +p999 +tp1000 +a(g900 +V +p1001 +tp1002 +a(g341 +V// Created by Li +p1003 +tp1004 +a(g900 +V\u000a +p1005 +tp1006 +a(g8 +V0000010 +p1007 +tp1008 +a(g685 +g958 +tp1009 +a(g900 +g960 +tp1010 +a(g548 +V6f +p1011 +tp1012 +a(g548 +V6e +p1013 +tp1014 +a(g900 +g960 +tp1015 +a(g548 +V65 +p1016 +tp1017 +a(g548 +V6c +p1018 +tp1019 +a(g900 +g960 +tp1020 +a(g548 +V6c +p1021 +tp1022 +a(g548 +V6f +p1023 +tp1024 +a(g900 +g960 +tp1025 +a(g548 +V20 +p1026 +tp1027 +a(g548 +V4c +p1028 +tp1029 +a(g900 +g960 +tp1030 +a(g548 +V75 +p1031 +tp1032 +a(g548 +V6e +p1033 +tp1034 +a(g900 +g960 +tp1035 +a(g548 +V65 +p1036 +tp1037 +a(g548 +V73 +p1038 +tp1039 +a(g900 +g960 +tp1040 +a(g548 +V75 +p1041 +tp1042 +a(g548 +V20 +p1043 +tp1044 +a(g900 +g960 +tp1045 +a(g548 +V61 +p1046 +tp1047 +a(g548 +V6e +p1048 +tp1049 +a(g900 +V +p1050 +tp1051 +a(g341 +Vonello Lunesu an +p1052 +tp1053 +a(g900 +V\u000a +p1054 +tp1055 +a(g8 +V0000020 +p1056 +tp1057 +a(g685 +g958 +tp1058 +a(g900 +g960 +tp1059 +a(g548 +V64 +p1060 +tp1061 +a(g548 +V20 +p1062 +tp1063 +a(g900 +g960 +tp1064 +a(g548 +V70 +p1065 +tp1066 +a(g548 +V6c +p1067 +tp1068 +a(g900 +g960 +tp1069 +a(g548 +V61 +p1070 +tp1071 +a(g548 +V63 +p1072 +tp1073 +a(g900 +g960 +tp1074 +a(g548 +V65 +p1075 +tp1076 +a(g548 +V64 +p1077 +tp1078 +a(g900 +g960 +tp1079 +a(g548 +V20 +p1080 +tp1081 +a(g548 +V69 +p1082 +tp1083 +a(g900 +g960 +tp1084 +a(g548 +V6e +p1085 +tp1086 +a(g548 +V20 +p1087 +tp1088 +a(g900 +g960 +tp1089 +a(g548 +V74 +p1090 +tp1091 +a(g548 +V68 +p1092 +tp1093 +a(g900 +g960 +tp1094 +a(g548 +V65 +p1095 +tp1096 +a(g548 +V20 +p1097 +tp1098 +a(g900 +V +p1099 +tp1100 +a(g341 +Vd placed in the +p1101 +tp1102 +a(g900 +V\u000a +p1103 +tp1104 +a(g8 +V0000030 +p1105 +tp1106 +a(g685 +g958 +tp1107 +a(g900 +g960 +tp1108 +a(g548 +V70 +p1109 +tp1110 +a(g548 +V75 +p1111 +tp1112 +a(g900 +g960 +tp1113 +a(g548 +V62 +p1114 +tp1115 +a(g548 +V6c +p1116 +tp1117 +a(g900 +g960 +tp1118 +a(g548 +V69 +p1119 +tp1120 +a(g548 +V63 +p1121 +tp1122 +a(g900 +g960 +tp1123 +a(g548 +V20 +p1124 +tp1125 +a(g548 +V64 +p1126 +tp1127 +a(g900 +g960 +tp1128 +a(g548 +V6f +p1129 +tp1130 +a(g548 +V6d +p1131 +tp1132 +a(g900 +g960 +tp1133 +a(g548 +V61 +p1134 +tp1135 +a(g548 +V69 +p1136 +tp1137 +a(g900 +g960 +tp1138 +a(g548 +V6e +p1139 +tp1140 +a(g548 +V2e +p1141 +tp1142 +a(g900 +g960 +tp1143 +a(g548 +V0a +p1144 +tp1145 +a(g548 +V2f +p1146 +tp1147 +a(g900 +V +p1148 +tp1149 +a(g341 +Vpublic domain../ +p1150 +tp1151 +a(g900 +V\u000a +p1152 +tp1153 +a(g8 +V0000040 +p1154 +tp1155 +a(g685 +g958 +tp1156 +a(g900 +g960 +tp1157 +a(g548 +V2f +p1158 +tp1159 +a(g548 +V20 +p1160 +tp1161 +a(g900 +g960 +tp1162 +a(g548 +V54 +p1163 +tp1164 +a(g548 +V68 +p1165 +tp1166 +a(g900 +g960 +tp1167 +a(g548 +V69 +p1168 +tp1169 +a(g548 +V73 +p1170 +tp1171 +a(g900 +g960 +tp1172 +a(g548 +V20 +p1173 +tp1174 +a(g548 +V66 +p1175 +tp1176 +a(g900 +g960 +tp1177 +a(g548 +V69 +p1178 +tp1179 +a(g548 +V6c +p1180 +tp1181 +a(g900 +g960 +tp1182 +a(g548 +V65 +p1183 +tp1184 +a(g548 +V20 +p1185 +tp1186 +a(g900 +g960 +tp1187 +a(g548 +V68 +p1188 +tp1189 +a(g548 +V61 +p1190 +tp1191 +a(g900 +g960 +tp1192 +a(g548 +V73 +p1193 +tp1194 +a(g548 +V20 +p1195 +tp1196 +a(g900 +V +p1197 +tp1198 +a(g341 +V/ This file has +p1199 +tp1200 +a(g900 +V\u000a +p1201 +tp1202 +a(g8 +V0000050 +p1203 +tp1204 +a(g685 +g958 +tp1205 +a(g900 +g960 +tp1206 +a(g548 +V62 +p1207 +tp1208 +a(g548 +V65 +p1209 +tp1210 +a(g900 +g960 +tp1211 +a(g548 +V65 +p1212 +tp1213 +a(g548 +V6e +p1214 +tp1215 +a(g900 +g960 +tp1216 +a(g548 +V20 +p1217 +tp1218 +a(g548 +V6d +p1219 +tp1220 +a(g900 +g960 +tp1221 +a(g548 +V6f +p1222 +tp1223 +a(g548 +V64 +p1224 +tp1225 +a(g900 +g960 +tp1226 +a(g548 +V69 +p1227 +tp1228 +a(g548 +V66 +p1229 +tp1230 +a(g900 +g960 +tp1231 +a(g548 +V69 +p1232 +tp1233 +a(g548 +V65 +p1234 +tp1235 +a(g900 +g960 +tp1236 +a(g548 +V64 +p1237 +tp1238 +a(g548 +V20 +p1239 +tp1240 +a(g900 +g960 +tp1241 +a(g548 +V66 +p1242 +tp1243 +a(g548 +V72 +p1244 +tp1245 +a(g900 +V +p1246 +tp1247 +a(g341 +Vbeen modified fr +p1248 +tp1249 +a(g900 +V\u000a +p1250 +tp1251 +a(g8 +V0000060 +p1252 +tp1253 +a(g685 +g958 +tp1254 +a(g900 +g960 +tp1255 +a(g548 +V6f +p1256 +tp1257 +a(g548 +V6d +p1258 +tp1259 +a(g900 +g960 +tp1260 +a(g548 +V20 +p1261 +tp1262 +a(g548 +V69 +p1263 +tp1264 +a(g900 +g960 +tp1265 +a(g548 +V74 +p1266 +tp1267 +a(g548 +V73 +p1268 +tp1269 +a(g900 +g960 +tp1270 +a(g548 +V20 +p1271 +tp1272 +a(g548 +V6f +p1273 +tp1274 +a(g900 +g960 +tp1275 +a(g548 +V72 +p1276 +tp1277 +a(g548 +V69 +p1278 +tp1279 +a(g900 +g960 +tp1280 +a(g548 +V67 +p1281 +tp1282 +a(g548 +V69 +p1283 +tp1284 +a(g900 +g960 +tp1285 +a(g548 +V6e +p1286 +tp1287 +a(g548 +V61 +p1288 +tp1289 +a(g900 +g960 +tp1290 +a(g548 +V6c +p1291 +tp1292 +a(g548 +V20 +p1293 +tp1294 +a(g900 +V +p1295 +tp1296 +a(g341 +Vom its original +p1297 +tp1298 +a(g900 +V\u000a +p1299 +tp1300 +a(g8 +V0000070 +p1301 +tp1302 +a(g685 +g958 +tp1303 +a(g900 +g960 +tp1304 +a(g548 +V76 +p1305 +tp1306 +a(g548 +V65 +p1307 +tp1308 +a(g900 +g960 +tp1309 +a(g548 +V72 +p1310 +tp1311 +a(g548 +V73 +p1312 +tp1313 +a(g900 +g960 +tp1314 +a(g548 +V69 +p1315 +tp1316 +a(g548 +V6f +p1317 +tp1318 +a(g900 +g960 +tp1319 +a(g548 +V6e +p1320 +tp1321 +a(g548 +V2e +p1322 +tp1323 +a(g900 +g960 +tp1324 +a(g548 +V0a +p1325 +tp1326 +a(g548 +V2f +p1327 +tp1328 +a(g900 +g960 +tp1329 +a(g548 +V2f +p1330 +tp1331 +a(g548 +V20 +p1332 +tp1333 +a(g900 +g960 +tp1334 +a(g548 +V49 +p1335 +tp1336 +a(g548 +V74 +p1337 +tp1338 +a(g900 +g960 +tp1339 +a(g548 +V20 +p1340 +tp1341 +a(g548 +V68 +p1342 +tp1343 +a(g900 +V +p1344 +tp1345 +a(g341 +Vversion..// It h +p1346 +tp1347 +a(g900 +V\u000a +p1348 +tp1349 +a(g8 +V0000080 +p1350 +tp1351 +a(g685 +g958 +tp1352 +a(g900 +g960 +tp1353 +a(g548 +V61 +p1354 +tp1355 +a(g548 +V73 +p1356 +tp1357 +a(g900 +g960 +tp1358 +a(g548 +V20 +p1359 +tp1360 +a(g548 +V62 +p1361 +tp1362 +a(g900 +g960 +tp1363 +a(g548 +V65 +p1364 +tp1365 +a(g548 +V65 +p1366 +tp1367 +a(g900 +g960 +tp1368 +a(g548 +V6e +p1369 +tp1370 +a(g548 +V20 +p1371 +tp1372 +a(g900 +g960 +tp1373 +a(g548 +V66 +p1374 +tp1375 +a(g548 +V6f +p1376 +tp1377 +a(g900 +g960 +tp1378 +a(g548 +V72 +p1379 +tp1380 +a(g548 +V6d +p1381 +tp1382 +a(g900 +g960 +tp1383 +a(g548 +V61 +p1384 +tp1385 +a(g548 +V74 +p1386 +tp1387 +a(g900 +g960 +tp1388 +a(g548 +V74 +p1389 +tp1390 +a(g548 +V65 +p1391 +tp1392 +a(g900 +V +p1393 +tp1394 +a(g341 +Vas been formatte +p1395 +tp1396 +a(g900 +V\u000a +p1397 +tp1398 +a(g8 +V0000090 +p1399 +tp1400 +a(g685 +g958 +tp1401 +a(g900 +g960 +tp1402 +a(g548 +V64 +p1403 +tp1404 +a(g548 +V20 +p1405 +tp1406 +a(g900 +g960 +tp1407 +a(g548 +V74 +p1408 +tp1409 +a(g548 +V6f +p1410 +tp1411 +a(g900 +g960 +tp1412 +a(g548 +V20 +p1413 +tp1414 +a(g548 +V66 +p1415 +tp1416 +a(g900 +g960 +tp1417 +a(g548 +V69 +p1418 +tp1419 +a(g548 +V74 +p1420 +tp1421 +a(g900 +g960 +tp1422 +a(g548 +V20 +p1423 +tp1424 +a(g548 +V79 +p1425 +tp1426 +a(g900 +g960 +tp1427 +a(g548 +V6f +p1428 +tp1429 +a(g548 +V75 +p1430 +tp1431 +a(g900 +g960 +tp1432 +a(g548 +V72 +p1433 +tp1434 +a(g548 +V20 +p1435 +tp1436 +a(g900 +g960 +tp1437 +a(g548 +V73 +p1438 +tp1439 +a(g548 +V63 +p1440 +tp1441 +a(g900 +V +p1442 +tp1443 +a(g341 +Vd to fit your sc +p1444 +tp1445 +a(g900 +V\u000a +p1446 +tp1447 +a(g8 +V00000a0 +p1448 +tp1449 +a(g685 +g958 +tp1450 +a(g900 +g960 +tp1451 +a(g548 +V72 +p1452 +tp1453 +a(g548 +V65 +p1454 +tp1455 +a(g900 +g960 +tp1456 +a(g548 +V65 +p1457 +tp1458 +a(g548 +V6e +p1459 +tp1460 +a(g900 +g960 +tp1461 +a(g548 +V2e +p1462 +tp1463 +a(g548 +V0a +p1464 +tp1465 +a(g900 +g960 +tp1466 +a(g548 +V6d +p1467 +tp1468 +a(g548 +V6f +p1469 +tp1470 +a(g900 +g960 +tp1471 +a(g548 +V64 +p1472 +tp1473 +a(g548 +V75 +p1474 +tp1475 +a(g900 +g960 +tp1476 +a(g548 +V6c +p1477 +tp1478 +a(g548 +V65 +p1479 +tp1480 +a(g900 +g960 +tp1481 +a(g548 +V20 +p1482 +tp1483 +a(g548 +V70 +p1484 +tp1485 +a(g900 +g960 +tp1486 +a(g548 +V68 +p1487 +tp1488 +a(g548 +V6f +p1489 +tp1490 +a(g900 +V +p1491 +tp1492 +a(g341 +Vreen..module pho +p1493 +tp1494 +a(g900 +V\u000a +p1495 +tp1496 +a(g8 +V00000b0 +p1497 +tp1498 +a(g685 +g958 +tp1499 +a(g900 +g960 +tp1500 +a(g548 +V6e +p1501 +tp1502 +a(g548 +V65 +p1503 +tp1504 +a(g900 +g960 +tp1505 +a(g548 +V6e +p1506 +tp1507 +a(g548 +V6f +p1508 +tp1509 +a(g900 +g960 +tp1510 +a(g548 +V3b +p1511 +tp1512 +a(g548 +V20 +p1513 +tp1514 +a(g900 +g960 +tp1515 +a(g548 +V20 +p1516 +tp1517 +a(g548 +V20 +p1518 +tp1519 +a(g900 +g960 +tp1520 +a(g548 +V20 +p1521 +tp1522 +a(g548 +V20 +p1523 +tp1524 +a(g900 +g960 +tp1525 +a(g548 +V2f +p1526 +tp1527 +a(g548 +V2f +p1528 +tp1529 +a(g900 +g960 +tp1530 +a(g548 +V20 +p1531 +tp1532 +a(g548 +V6f +p1533 +tp1534 +a(g900 +g960 +tp1535 +a(g548 +V70 +p1536 +tp1537 +a(g548 +V74 +p1538 +tp1539 +a(g900 +V +p1540 +tp1541 +a(g341 +Vneno; // opt +p1542 +tp1543 +a(g900 +V\u000a +p1544 +tp1545 +a(g8 +V00000c0 +p1546 +tp1547 +a(g685 +g958 +tp1548 +a(g900 +g960 +tp1549 +a(g548 +V69 +p1550 +tp1551 +a(g548 +V6f +p1552 +tp1553 +a(g900 +g960 +tp1554 +a(g548 +V6e +p1555 +tp1556 +a(g548 +V61 +p1557 +tp1558 +a(g900 +g960 +tp1559 +a(g548 +V6c +p1560 +tp1561 +a(g548 +V0a +p1562 +tp1563 +a(g900 +g960 +tp1564 +a(g548 +V69 +p1565 +tp1566 +a(g548 +V6d +p1567 +tp1568 +a(g900 +g960 +tp1569 +a(g548 +V70 +p1570 +tp1571 +a(g548 +V6f +p1572 +tp1573 +a(g900 +g960 +tp1574 +a(g548 +V72 +p1575 +tp1576 +a(g548 +V74 +p1577 +tp1578 +a(g900 +g960 +tp1579 +a(g548 +V20 +p1580 +tp1581 +a(g548 +V73 +p1582 +tp1583 +a(g900 +g960 +tp1584 +a(g548 +V74 +p1585 +tp1586 +a(g548 +V64 +p1587 +tp1588 +a(g900 +V +p1589 +tp1590 +a(g341 +Vional.import std +p1591 +tp1592 +a(g900 +V\u000a +p1593 +tp1594 +a(g8 +V00000d0 +p1595 +tp1596 +a(g685 +g958 +tp1597 +a(g900 +g960 +tp1598 +a(g548 +V2e +p1599 +tp1600 +a(g548 +V73 +p1601 +tp1602 +a(g900 +g960 +tp1603 +a(g548 +V74 +p1604 +tp1605 +a(g548 +V64 +p1606 +tp1607 +a(g900 +g960 +tp1608 +a(g548 +V69 +p1609 +tp1610 +a(g548 +V6f +p1611 +tp1612 +a(g900 +g960 +tp1613 +a(g548 +V3b +p1614 +tp1615 +a(g548 +V20 +p1616 +tp1617 +a(g900 +g960 +tp1618 +a(g548 +V20 +p1619 +tp1620 +a(g548 +V20 +p1621 +tp1622 +a(g900 +g960 +tp1623 +a(g548 +V2f +p1624 +tp1625 +a(g548 +V2f +p1626 +tp1627 +a(g900 +g960 +tp1628 +a(g548 +V20 +p1629 +tp1630 +a(g548 +V77 +p1631 +tp1632 +a(g900 +g960 +tp1633 +a(g548 +V72 +p1634 +tp1635 +a(g548 +V69 +p1636 +tp1637 +a(g900 +V +p1638 +tp1639 +a(g341 +V.stdio; // wri +p1640 +tp1641 +a(g900 +V\u000a +p1642 +tp1643 +a(g8 +V00000e0 +p1644 +tp1645 +a(g685 +g958 +tp1646 +a(g900 +g960 +tp1647 +a(g548 +V74 +p1648 +tp1649 +a(g548 +V65 +p1650 +tp1651 +a(g900 +g960 +tp1652 +a(g548 +V66 +p1653 +tp1654 +a(g548 +V6c +p1655 +tp1656 +a(g900 +g960 +tp1657 +a(g548 +V6e +p1658 +tp1659 +a(g548 +V20 +p1660 +tp1661 +a(g900 +g960 +tp1662 +a(g548 +V20 +p1663 +tp1664 +a(g548 +V20 +p1665 +tp1666 +a(g900 +g960 +tp1667 +a(g548 +V20 +p1668 +tp1669 +a(g548 +V20 +p1670 +tp1671 +a(g900 +g960 +tp1672 +a(g548 +V0a +p1673 +tp1674 +a(g548 +V69 +p1675 +tp1676 +a(g900 +g960 +tp1677 +a(g548 +V6d +p1678 +tp1679 +a(g548 +V70 +p1680 +tp1681 +a(g900 +g960 +tp1682 +a(g548 +V6f +p1683 +tp1684 +a(g548 +V72 +p1685 +tp1686 +a(g900 +V +p1687 +tp1688 +a(g341 +Vtefln .impor +p1689 +tp1690 +a(g900 +V\u000a +p1691 +tp1692 +a(g8 +V00000f0 +p1693 +tp1694 +a(g685 +g958 +tp1695 +a(g900 +g960 +tp1696 +a(g548 +V74 +p1697 +tp1698 +a(g548 +V20 +p1699 +tp1700 +a(g900 +g960 +tp1701 +a(g548 +V73 +p1702 +tp1703 +a(g548 +V74 +p1704 +tp1705 +a(g900 +g960 +tp1706 +a(g548 +V64 +p1707 +tp1708 +a(g548 +V2e +p1709 +tp1710 +a(g900 +g960 +tp1711 +a(g548 +V63 +p1712 +tp1713 +a(g548 +V74 +p1714 +tp1715 +a(g900 +g960 +tp1716 +a(g548 +V79 +p1717 +tp1718 +a(g548 +V70 +p1719 +tp1720 +a(g900 +g960 +tp1721 +a(g548 +V65 +p1722 +tp1723 +a(g548 +V3b +p1724 +tp1725 +a(g900 +g960 +tp1726 +a(g548 +V20 +p1727 +tp1728 +a(g548 +V20 +p1729 +tp1730 +a(g900 +g960 +tp1731 +a(g548 +V20 +p1732 +tp1733 +a(g548 +V2f +p1734 +tp1735 +a(g900 +V +p1736 +tp1737 +a(g341 +Vt std.ctype; / +p1738 +tp1739 +a(g900 +V\u000a +p1740 +tp1741 +a(g8 +V0000100 +p1742 +tp1743 +a(g685 +g958 +tp1744 +a(g900 +g960 +tp1745 +a(g548 +V2f +p1746 +tp1747 +a(g548 +V20 +p1748 +tp1749 +a(g900 +g960 +tp1750 +a(g548 +V69 +p1751 +tp1752 +a(g548 +V73 +p1753 +tp1754 +a(g900 +g960 +tp1755 +a(g548 +V64 +p1756 +tp1757 +a(g548 +V69 +p1758 +tp1759 +a(g900 +g960 +tp1760 +a(g548 +V67 +p1761 +tp1762 +a(g548 +V69 +p1763 +tp1764 +a(g900 +g960 +tp1765 +a(g548 +V74 +p1766 +tp1767 +a(g548 +V20 +p1768 +tp1769 +a(g900 +g960 +tp1770 +a(g548 +V20 +p1771 +tp1772 +a(g548 +V20 +p1773 +tp1774 +a(g900 +g960 +tp1775 +a(g548 +V20 +p1776 +tp1777 +a(g548 +V20 +p1778 +tp1779 +a(g900 +g960 +tp1780 +a(g548 +V0a +p1781 +tp1782 +a(g548 +V69 +p1783 +tp1784 +a(g900 +V +p1785 +tp1786 +a(g341 +V/ isdigit .i +p1787 +tp1788 +a(g900 +V\u000a +p1789 +tp1790 +a(g8 +V0000110 +p1791 +tp1792 +a(g685 +g958 +tp1793 +a(g900 +g960 +tp1794 +a(g548 +V6d +p1795 +tp1796 +a(g548 +V70 +p1797 +tp1798 +a(g900 +g960 +tp1799 +a(g548 +V6f +p1800 +tp1801 +a(g548 +V72 +p1802 +tp1803 +a(g900 +g960 +tp1804 +a(g548 +V74 +p1805 +tp1806 +a(g548 +V20 +p1807 +tp1808 +a(g900 +g960 +tp1809 +a(g548 +V73 +p1810 +tp1811 +a(g548 +V74 +p1812 +tp1813 +a(g900 +g960 +tp1814 +a(g548 +V64 +p1815 +tp1816 +a(g548 +V2e +p1817 +tp1818 +a(g900 +g960 +tp1819 +a(g548 +V73 +p1820 +tp1821 +a(g548 +V74 +p1822 +tp1823 +a(g900 +g960 +tp1824 +a(g548 +V72 +p1825 +tp1826 +a(g548 +V65 +p1827 +tp1828 +a(g900 +g960 +tp1829 +a(g548 +V61 +p1830 +tp1831 +a(g548 +V6d +p1832 +tp1833 +a(g900 +V +p1834 +tp1835 +a(g341 +Vmport std.stream +p1836 +tp1837 +a(g900 +V\u000a +p1838 +tp1839 +a(g8 +V0000120 +p1840 +tp1841 +a(g685 +g958 +tp1842 +a(g900 +g960 +tp1843 +a(g548 +V3b +p1844 +tp1845 +a(g548 +V20 +p1846 +tp1847 +a(g900 +g960 +tp1848 +a(g548 +V20 +p1849 +tp1850 +a(g548 +V2f +p1851 +tp1852 +a(g900 +g960 +tp1853 +a(g548 +V2f +p1854 +tp1855 +a(g548 +V20 +p1856 +tp1857 +a(g900 +g960 +tp1858 +a(g548 +V42 +p1859 +tp1860 +a(g548 +V75 +p1861 +tp1862 +a(g900 +g960 +tp1863 +a(g548 +V66 +p1864 +tp1865 +a(g548 +V66 +p1866 +tp1867 +a(g900 +g960 +tp1868 +a(g548 +V65 +p1869 +tp1870 +a(g548 +V72 +p1871 +tp1872 +a(g900 +g960 +tp1873 +a(g548 +V65 +p1874 +tp1875 +a(g548 +V64 +p1876 +tp1877 +a(g900 +g960 +tp1878 +a(g548 +V46 +p1879 +tp1880 +a(g548 +V69 +p1881 +tp1882 +a(g900 +V +p1883 +tp1884 +a(g341 +V; // BufferedFi +p1885 +tp1886 +a(g900 +V\u000a +p1887 +tp1888 +a(g8 +V0000130 +p1889 +tp1890 +a(g685 +g958 +tp1891 +a(g900 +g960 +tp1892 +a(g548 +V6c +p1893 +tp1894 +a(g548 +V65 +p1895 +tp1896 +a(g900 +g960 +tp1897 +a(g548 +V0a +p1898 +tp1899 +a(g548 +V0a +p1900 +tp1901 +a(g900 +g960 +tp1902 +a(g548 +V2f +p1903 +tp1904 +a(g548 +V2f +p1905 +tp1906 +a(g900 +g960 +tp1907 +a(g548 +V20 +p1908 +tp1909 +a(g548 +V4a +p1910 +tp1911 +a(g900 +g960 +tp1912 +a(g548 +V75 +p1913 +tp1914 +a(g548 +V73 +p1915 +tp1916 +a(g900 +g960 +tp1917 +a(g548 +V74 +p1918 +tp1919 +a(g548 +V20 +p1920 +tp1921 +a(g900 +g960 +tp1922 +a(g548 +V66 +p1923 +tp1924 +a(g548 +V6f +p1925 +tp1926 +a(g900 +g960 +tp1927 +a(g548 +V72 +p1928 +tp1929 +a(g548 +V20 +p1930 +tp1931 +a(g900 +V +p1932 +tp1933 +a(g341 +Vle..// Just for +p1934 +tp1935 +a(g900 +V\u000a +p1936 +tp1937 +a(g8 +V0000140 +p1938 +tp1939 +a(g685 +g958 +tp1940 +a(g900 +g960 +tp1941 +a(g548 +V72 +p1942 +tp1943 +a(g548 +V65 +p1944 +tp1945 +a(g900 +g960 +tp1946 +a(g548 +V61 +p1947 +tp1948 +a(g548 +V64 +p1949 +tp1950 +a(g900 +g960 +tp1951 +a(g548 +V61 +p1952 +tp1953 +a(g548 +V62 +p1954 +tp1955 +a(g900 +g960 +tp1956 +a(g548 +V69 +p1957 +tp1958 +a(g548 +V6c +p1959 +tp1960 +a(g900 +g960 +tp1961 +a(g548 +V69 +p1962 +tp1963 +a(g548 +V74 +p1964 +tp1965 +a(g900 +g960 +tp1966 +a(g548 +V79 +p1967 +tp1968 +a(g548 +V20 +p1969 +tp1970 +a(g900 +g960 +tp1971 +a(g548 +V28 +p1972 +tp1973 +a(g548 +V69 +p1974 +tp1975 +a(g900 +g960 +tp1976 +a(g548 +V6d +p1977 +tp1978 +a(g548 +V61 +p1979 +tp1980 +a(g900 +V +p1981 +tp1982 +a(g341 +Vreadability (ima +p1983 +tp1984 +a(g900 +V\u000a +p1985 +tp1986 +a(g8 +V0000150 +p1987 +tp1988 +a(g685 +g958 +tp1989 +a(g900 +g960 +tp1990 +a(g548 +V67 +p1991 +tp1992 +a(g548 +V69 +p1993 +tp1994 +a(g900 +g960 +tp1995 +a(g548 +V6e +p1996 +tp1997 +a(g548 +V65 +p1998 +tp1999 +a(g900 +g960 +tp2000 +a(g548 +V20 +p2001 +tp2002 +a(g548 +V63 +p2003 +tp2004 +a(g900 +g960 +tp2005 +a(g548 +V68 +p2006 +tp2007 +a(g548 +V61 +p2008 +tp2009 +a(g900 +g960 +tp2010 +a(g548 +V72 +p2011 +tp2012 +a(g548 +V5b +p2013 +tp2014 +a(g900 +g960 +tp2015 +a(g548 +V5d +p2016 +tp2017 +a(g548 +V5b +p2018 +tp2019 +a(g900 +g960 +tp2020 +a(g548 +V5d +p2021 +tp2022 +a(g548 +V5b +p2023 +tp2024 +a(g900 +g960 +tp2025 +a(g548 +V63 +p2026 +tp2027 +a(g548 +V68 +p2028 +tp2029 +a(g900 +V +p2030 +tp2031 +a(g341 +Vgine char[][][ch +p2032 +tp2033 +a(g900 +V\u000a +p2034 +tp2035 +a(g8 +V0000160 +p2036 +tp2037 +a(g685 +g958 +tp2038 +a(g900 +g960 +tp2039 +a(g548 +V61 +p2040 +tp2041 +a(g548 +V72 +p2042 +tp2043 +a(g900 +g960 +tp2044 +a(g548 +V5b +p2045 +tp2046 +a(g548 +V5d +p2047 +tp2048 +a(g900 +g960 +tp2049 +a(g548 +V5d +p2050 +tp2051 +a(g548 +V29 +p2052 +tp2053 +a(g900 +g960 +tp2054 +a(g548 +V20 +p2055 +tp2056 +a(g548 +V20 +p2057 +tp2058 +a(g900 +g960 +tp2059 +a(g548 +V20 +p2060 +tp2061 +a(g548 +V20 +p2062 +tp2063 +a(g900 +g960 +tp2064 +a(g548 +V0a +p2065 +tp2066 +a(g548 +V61 +p2067 +tp2068 +a(g900 +g960 +tp2069 +a(g548 +V6c +p2070 +tp2071 +a(g548 +V69 +p2072 +tp2073 +a(g900 +g960 +tp2074 +a(g548 +V61 +p2075 +tp2076 +a(g548 +V73 +p2077 +tp2078 +a(g900 +V +p2079 +tp2080 +a(g341 +Var[]]) .alias +p2081 +tp2082 +a(g900 +V\u000a +p2083 +tp2084 +a(g8 +V0000170 +p2085 +tp2086 +a(g685 +g958 +tp2087 +a(g900 +g960 +tp2088 +a(g548 +V20 +p2089 +tp2090 +a(g548 +V63 +p2091 +tp2092 +a(g900 +g960 +tp2093 +a(g548 +V68 +p2094 +tp2095 +a(g548 +V61 +p2096 +tp2097 +a(g900 +g960 +tp2098 +a(g548 +V72 +p2099 +tp2100 +a(g548 +V5b +p2101 +tp2102 +a(g900 +g960 +tp2103 +a(g548 +V5d +p2104 +tp2105 +a(g548 +V20 +p2106 +tp2107 +a(g900 +g960 +tp2108 +a(g548 +V73 +p2109 +tp2110 +a(g548 +V74 +p2111 +tp2112 +a(g900 +g960 +tp2113 +a(g548 +V72 +p2114 +tp2115 +a(g548 +V69 +p2116 +tp2117 +a(g900 +g960 +tp2118 +a(g548 +V6e +p2119 +tp2120 +a(g548 +V67 +p2121 +tp2122 +a(g900 +g960 +tp2123 +a(g548 +V3b +p2124 +tp2125 +a(g548 +V0a +p2126 +tp2127 +a(g900 +V +p2128 +tp2129 +a(g341 +Vchar[] string;. +p2130 +tp2131 +a(g900 +V\u000a +p2132 +tp2133 +a(g8 +V0000180 +p2134 +tp2135 +a(g685 +g958 +tp2136 +a(g900 +g960 +tp2137 +a(g548 +V61 +p2138 +tp2139 +a(g548 +V6c +p2140 +tp2141 +a(g900 +g960 +tp2142 +a(g548 +V69 +p2143 +tp2144 +a(g548 +V61 +p2145 +tp2146 +a(g900 +g960 +tp2147 +a(g548 +V73 +p2148 +tp2149 +a(g548 +V20 +p2150 +tp2151 +a(g900 +g960 +tp2152 +a(g548 +V73 +p2153 +tp2154 +a(g548 +V74 +p2155 +tp2156 +a(g900 +g960 +tp2157 +a(g548 +V72 +p2158 +tp2159 +a(g548 +V69 +p2160 +tp2161 +a(g900 +g960 +tp2162 +a(g548 +V6e +p2163 +tp2164 +a(g548 +V67 +p2165 +tp2166 +a(g900 +g960 +tp2167 +a(g548 +V5b +p2168 +tp2169 +a(g548 +V5d +p2170 +tp2171 +a(g900 +g960 +tp2172 +a(g548 +V20 +p2173 +tp2174 +a(g548 +V73 +p2175 +tp2176 +a(g900 +V +p2177 +tp2178 +a(g341 +Valias string[] s +p2179 +tp2180 +a(g900 +V\u000a +p2181 +tp2182 +a(g8 +V0000190 +p2183 +tp2184 +a(g685 +g958 +tp2185 +a(g900 +g960 +tp2186 +a(g548 +V74 +p2187 +tp2188 +a(g548 +V72 +p2189 +tp2190 +a(g900 +g960 +tp2191 +a(g548 +V69 +p2192 +tp2193 +a(g548 +V6e +p2194 +tp2195 +a(g900 +g960 +tp2196 +a(g548 +V67 +p2197 +tp2198 +a(g548 +V61 +p2199 +tp2200 +a(g900 +g960 +tp2201 +a(g548 +V72 +p2202 +tp2203 +a(g548 +V72 +p2204 +tp2205 +a(g900 +g960 +tp2206 +a(g548 +V61 +p2207 +tp2208 +a(g548 +V79 +p2209 +tp2210 +a(g900 +g960 +tp2211 +a(g548 +V3b +p2212 +tp2213 +a(g548 +V0a +p2214 +tp2215 +a(g900 +g960 +tp2216 +a(g548 +V0a +p2217 +tp2218 +a(g548 +V2f +p2219 +tp2220 +a(g900 +g960 +tp2221 +a(g548 +V2f +p2222 +tp2223 +a(g548 +V2f +p2224 +tp2225 +a(g900 +V +p2226 +tp2227 +a(g341 +Vtringarray;../// +p2228 +tp2229 +a(g900 +V\u000a +p2230 +tp2231 +a(g8 +V00001a0 +p2232 +tp2233 +a(g685 +g958 +tp2234 +a(g900 +g960 +tp2235 +a(g548 +V20 +p2236 +tp2237 +a(g548 +V53 +p2238 +tp2239 +a(g900 +g960 +tp2240 +a(g548 +V74 +p2241 +tp2242 +a(g548 +V72 +p2243 +tp2244 +a(g900 +g960 +tp2245 +a(g548 +V69 +p2246 +tp2247 +a(g548 +V70 +p2248 +tp2249 +a(g900 +g960 +tp2250 +a(g548 +V73 +p2251 +tp2252 +a(g548 +V20 +p2253 +tp2254 +a(g900 +g960 +tp2255 +a(g548 +V6e +p2256 +tp2257 +a(g548 +V6f +p2258 +tp2259 +a(g900 +g960 +tp2260 +a(g548 +V6e +p2261 +tp2262 +a(g548 +V2d +p2263 +tp2264 +a(g900 +g960 +tp2265 +a(g548 +V64 +p2266 +tp2267 +a(g548 +V69 +p2268 +tp2269 +a(g900 +g960 +tp2270 +a(g548 +V67 +p2271 +tp2272 +a(g548 +V69 +p2273 +tp2274 +a(g900 +V +p2275 +tp2276 +a(g341 +VStrips non-digi +p2277 +tp2278 +a(g900 +V\u000a +p2279 +tp2280 +a(g8 +V00001b0 +p2281 +tp2282 +a(g685 +g958 +tp2283 +a(g900 +g960 +tp2284 +a(g548 +V74 +p2285 +tp2286 +a(g548 +V20 +p2287 +tp2288 +a(g900 +g960 +tp2289 +a(g548 +V63 +p2290 +tp2291 +a(g548 +V68 +p2292 +tp2293 +a(g900 +g960 +tp2294 +a(g548 +V61 +p2295 +tp2296 +a(g548 +V72 +p2297 +tp2298 +a(g900 +g960 +tp2299 +a(g548 +V61 +p2300 +tp2301 +a(g548 +V63 +p2302 +tp2303 +a(g900 +g960 +tp2304 +a(g548 +V74 +p2305 +tp2306 +a(g548 +V65 +p2307 +tp2308 +a(g900 +g960 +tp2309 +a(g548 +V72 +p2310 +tp2311 +a(g548 +V73 +p2312 +tp2313 +a(g900 +g960 +tp2314 +a(g548 +V20 +p2315 +tp2316 +a(g548 +V66 +p2317 +tp2318 +a(g900 +g960 +tp2319 +a(g548 +V72 +p2320 +tp2321 +a(g548 +V6f +p2322 +tp2323 +a(g900 +V +p2324 +tp2325 +a(g341 +Vt characters fro +p2326 +tp2327 +a(g900 +V\u000a +p2328 +tp2329 +a(g8 +V00001c0 +p2330 +tp2331 +a(g685 +g958 +tp2332 +a(g900 +g960 +tp2333 +a(g548 +V6d +p2334 +tp2335 +a(g548 +V20 +p2336 +tp2337 +a(g900 +g960 +tp2338 +a(g548 +V74 +p2339 +tp2340 +a(g548 +V68 +p2341 +tp2342 +a(g900 +g960 +tp2343 +a(g548 +V65 +p2344 +tp2345 +a(g548 +V20 +p2346 +tp2347 +a(g900 +g960 +tp2348 +a(g548 +V73 +p2349 +tp2350 +a(g548 +V74 +p2351 +tp2352 +a(g900 +g960 +tp2353 +a(g548 +V72 +p2354 +tp2355 +a(g548 +V69 +p2356 +tp2357 +a(g900 +g960 +tp2358 +a(g548 +V6e +p2359 +tp2360 +a(g548 +V67 +p2361 +tp2362 +a(g900 +g960 +tp2363 +a(g548 +V20 +p2364 +tp2365 +a(g548 +V28 +p2366 +tp2367 +a(g900 +g960 +tp2368 +a(g548 +V43 +p2369 +tp2370 +a(g548 +V4f +p2371 +tp2372 +a(g900 +V +p2373 +tp2374 +a(g341 +Vm the string (CO +p2375 +tp2376 +a(g900 +V\u000a +p2377 +tp2378 +a(g8 +V00001d0 +p2379 +tp2380 +a(g685 +g958 +tp2381 +a(g900 +g960 +tp2382 +a(g548 +V57 +p2383 +tp2384 +a(g548 +V29 +p2385 +tp2386 +a(g900 +g960 +tp2387 +a(g548 +V0a +p2388 +tp2389 +a(g548 +V73 +p2390 +tp2391 +a(g900 +g960 +tp2392 +a(g548 +V74 +p2393 +tp2394 +a(g548 +V72 +p2395 +tp2396 +a(g900 +g960 +tp2397 +a(g548 +V69 +p2398 +tp2399 +a(g548 +V6e +p2400 +tp2401 +a(g900 +g960 +tp2402 +a(g548 +V67 +p2403 +tp2404 +a(g548 +V20 +p2405 +tp2406 +a(g900 +g960 +tp2407 +a(g548 +V73 +p2408 +tp2409 +a(g548 +V74 +p2410 +tp2411 +a(g900 +g960 +tp2412 +a(g548 +V72 +p2413 +tp2414 +a(g548 +V69 +p2415 +tp2416 +a(g900 +g960 +tp2417 +a(g548 +V70 +p2418 +tp2419 +a(g548 +V4e +p2420 +tp2421 +a(g900 +V +p2422 +tp2423 +a(g341 +VW).string stripN +p2424 +tp2425 +a(g900 +V\u000a +p2426 +tp2427 +a(g8 +V00001e0 +p2428 +tp2429 +a(g685 +g958 +tp2430 +a(g900 +g960 +tp2431 +a(g548 +V6f +p2432 +tp2433 +a(g548 +V6e +p2434 +tp2435 +a(g900 +g960 +tp2436 +a(g548 +V44 +p2437 +tp2438 +a(g548 +V69 +p2439 +tp2440 +a(g900 +g960 +tp2441 +a(g548 +V67 +p2442 +tp2443 +a(g548 +V69 +p2444 +tp2445 +a(g900 +g960 +tp2446 +a(g548 +V74 +p2447 +tp2448 +a(g548 +V28 +p2449 +tp2450 +a(g900 +g960 +tp2451 +a(g548 +V20 +p2452 +tp2453 +a(g548 +V69 +p2454 +tp2455 +a(g900 +g960 +tp2456 +a(g548 +V6e +p2457 +tp2458 +a(g548 +V20 +p2459 +tp2460 +a(g900 +g960 +tp2461 +a(g548 +V73 +p2462 +tp2463 +a(g548 +V74 +p2464 +tp2465 +a(g900 +g960 +tp2466 +a(g548 +V72 +p2467 +tp2468 +a(g548 +V69 +p2469 +tp2470 +a(g900 +V +p2471 +tp2472 +a(g341 +VonDigit( in stri +p2473 +tp2474 +a(g900 +V\u000a +p2475 +tp2476 +a(g8 +V00001f0 +p2477 +tp2478 +a(g685 +g958 +tp2479 +a(g900 +g960 +tp2480 +a(g548 +V6e +p2481 +tp2482 +a(g548 +V67 +p2483 +tp2484 +a(g900 +g960 +tp2485 +a(g548 +V20 +p2486 +tp2487 +a(g548 +V6c +p2488 +tp2489 +a(g900 +g960 +tp2490 +a(g548 +V69 +p2491 +tp2492 +a(g548 +V6e +p2493 +tp2494 +a(g900 +g960 +tp2495 +a(g548 +V65 +p2496 +tp2497 +a(g548 +V20 +p2498 +tp2499 +a(g900 +g960 +tp2500 +a(g548 +V29 +p2501 +tp2502 +a(g548 +V20 +p2503 +tp2504 +a(g900 +g960 +tp2505 +a(g548 +V0a +p2506 +tp2507 +a(g548 +V7b +p2508 +tp2509 +a(g900 +g960 +tp2510 +a(g548 +V0a +p2511 +tp2512 +a(g548 +V20 +p2513 +tp2514 +a(g900 +g960 +tp2515 +a(g548 +V20 +p2516 +tp2517 +a(g548 +V20 +p2518 +tp2519 +a(g900 +V +p2520 +tp2521 +a(g341 +Vng line ) .{. +p2522 +tp2523 +a(g900 +V\u000a +p2524 +tp2525 +a(g8 +V0000200 +p2526 +tp2527 +a(g685 +g958 +tp2528 +a(g900 +g960 +tp2529 +a(g548 +V20 +p2530 +tp2531 +a(g548 +V73 +p2532 +tp2533 +a(g900 +g960 +tp2534 +a(g548 +V74 +p2535 +tp2536 +a(g548 +V72 +p2537 +tp2538 +a(g900 +g960 +tp2539 +a(g548 +V69 +p2540 +tp2541 +a(g548 +V6e +p2542 +tp2543 +a(g900 +g960 +tp2544 +a(g548 +V67 +p2545 +tp2546 +a(g548 +V20 +p2547 +tp2548 +a(g900 +g960 +tp2549 +a(g548 +V72 +p2550 +tp2551 +a(g548 +V65 +p2552 +tp2553 +a(g900 +g960 +tp2554 +a(g548 +V74 +p2555 +tp2556 +a(g548 +V3b +p2557 +tp2558 +a(g900 +g960 +tp2559 +a(g548 +V0a +p2560 +tp2561 +a(g548 +V20 +p2562 +tp2563 +a(g900 +g960 +tp2564 +a(g548 +V20 +p2565 +tp2566 +a(g548 +V20 +p2567 +tp2568 +a(g900 +V +p2569 +tp2570 +a(g341 +Vstring ret;. +p2571 +tp2572 +a(g900 +V\u000a +p2573 +tp2574 +a(g8 +V0000210 +p2575 +tp2576 +a(g685 +g958 +tp2577 +a(g900 +g960 +tp2578 +a(g548 +V20 +p2579 +tp2580 +a(g548 +V66 +p2581 +tp2582 +a(g900 +g960 +tp2583 +a(g548 +V6f +p2584 +tp2585 +a(g548 +V72 +p2586 +tp2587 +a(g900 +g960 +tp2588 +a(g548 +V65 +p2589 +tp2590 +a(g548 +V61 +p2591 +tp2592 +a(g900 +g960 +tp2593 +a(g548 +V63 +p2594 +tp2595 +a(g548 +V68 +p2596 +tp2597 +a(g900 +g960 +tp2598 +a(g548 +V28 +p2599 +tp2600 +a(g548 +V75 +p2601 +tp2602 +a(g900 +g960 +tp2603 +a(g548 +V69 +p2604 +tp2605 +a(g548 +V6e +p2606 +tp2607 +a(g900 +g960 +tp2608 +a(g548 +V74 +p2609 +tp2610 +a(g548 +V20 +p2611 +tp2612 +a(g900 +g960 +tp2613 +a(g548 +V69 +p2614 +tp2615 +a(g548 +V2c +p2616 +tp2617 +a(g900 +V +p2618 +tp2619 +a(g341 +Vforeach(uint i, +p2620 +tp2621 +a(g900 +V\u000a +p2622 +tp2623 +a(g8 +V0000220 +p2624 +tp2625 +a(g685 +g958 +tp2626 +a(g900 +g960 +tp2627 +a(g548 +V20 +p2628 +tp2629 +a(g548 +V63 +p2630 +tp2631 +a(g900 +g960 +tp2632 +a(g548 +V3b +p2633 +tp2634 +a(g548 +V20 +p2635 +tp2636 +a(g900 +g960 +tp2637 +a(g548 +V6c +p2638 +tp2639 +a(g548 +V69 +p2640 +tp2641 +a(g900 +g960 +tp2642 +a(g548 +V6e +p2643 +tp2644 +a(g548 +V65 +p2645 +tp2646 +a(g900 +g960 +tp2647 +a(g548 +V29 +p2648 +tp2649 +a(g548 +V20 +p2650 +tp2651 +a(g900 +g960 +tp2652 +a(g548 +V7b +p2653 +tp2654 +a(g548 +V0a +p2655 +tp2656 +a(g900 +g960 +tp2657 +a(g548 +V20 +p2658 +tp2659 +a(g548 +V20 +p2660 +tp2661 +a(g900 +g960 +tp2662 +a(g548 +V20 +p2663 +tp2664 +a(g548 +V20 +p2665 +tp2666 +a(g900 +V +p2667 +tp2668 +a(g341 +Vc; line) {. +p2669 +tp2670 +a(g900 +V\u000a +p2671 +tp2672 +a(g8 +V0000230 +p2673 +tp2674 +a(g685 +g958 +tp2675 +a(g900 +g960 +tp2676 +a(g548 +V20 +p2677 +tp2678 +a(g548 +V20 +p2679 +tp2680 +a(g900 +g960 +tp2681 +a(g548 +V20 +p2682 +tp2683 +a(g548 +V20 +p2684 +tp2685 +a(g900 +g960 +tp2686 +a(g548 +V2f +p2687 +tp2688 +a(g548 +V2f +p2689 +tp2690 +a(g900 +g960 +tp2691 +a(g548 +V20 +p2692 +tp2693 +a(g548 +V45 +p2694 +tp2695 +a(g900 +g960 +tp2696 +a(g548 +V72 +p2697 +tp2698 +a(g548 +V72 +p2699 +tp2700 +a(g900 +g960 +tp2701 +a(g548 +V6f +p2702 +tp2703 +a(g548 +V72 +p2704 +tp2705 +a(g900 +g960 +tp2706 +a(g548 +V3a +p2707 +tp2708 +a(g548 +V20 +p2709 +tp2710 +a(g900 +g960 +tp2711 +a(g548 +V73 +p2712 +tp2713 +a(g548 +V74 +p2714 +tp2715 +a(g900 +V +p2716 +tp2717 +a(g341 +V // Error: st +p2718 +tp2719 +a(g900 +V\u000a +p2720 +tp2721 +a(g8 +V0000240 +p2722 +tp2723 +a(g685 +g958 +tp2724 +a(g900 +g960 +tp2725 +a(g548 +V64 +p2726 +tp2727 +a(g548 +V2e +p2728 +tp2729 +a(g900 +g960 +tp2730 +a(g548 +V63 +p2731 +tp2732 +a(g548 +V74 +p2733 +tp2734 +a(g900 +g960 +tp2735 +a(g548 +V79 +p2736 +tp2737 +a(g548 +V70 +p2738 +tp2739 +a(g900 +g960 +tp2740 +a(g548 +V65 +p2741 +tp2742 +a(g548 +V2e +p2743 +tp2744 +a(g900 +g960 +tp2745 +a(g548 +V69 +p2746 +tp2747 +a(g548 +V73 +p2748 +tp2749 +a(g900 +g960 +tp2750 +a(g548 +V64 +p2751 +tp2752 +a(g548 +V69 +p2753 +tp2754 +a(g900 +g960 +tp2755 +a(g548 +V67 +p2756 +tp2757 +a(g548 +V69 +p2758 +tp2759 +a(g900 +g960 +tp2760 +a(g548 +V74 +p2761 +tp2762 +a(g548 +V20 +p2763 +tp2764 +a(g900 +V +p2765 +tp2766 +a(g341 +Vd.ctype.isdigit +p2767 +tp2768 +a(g900 +V\u000a +p2769 +tp2770 +a(g8 +V0000250 +p2771 +tp2772 +a(g685 +g958 +tp2773 +a(g900 +g960 +tp2774 +a(g548 +V61 +p2775 +tp2776 +a(g548 +V74 +p2777 +tp2778 +a(g900 +g960 +tp2779 +a(g548 +V20 +p2780 +tp2781 +a(g548 +V43 +p2782 +tp2783 +a(g900 +g960 +tp2784 +a(g548 +V3a +p2785 +tp2786 +a(g548 +V5c +p2787 +tp2788 +a(g900 +g960 +tp2789 +a(g548 +V64 +p2790 +tp2791 +a(g548 +V6d +p2792 +tp2793 +a(g900 +g960 +tp2794 +a(g548 +V64 +p2795 +tp2796 +a(g548 +V5c +p2797 +tp2798 +a(g900 +g960 +tp2799 +a(g548 +V73 +p2800 +tp2801 +a(g548 +V72 +p2802 +tp2803 +a(g900 +g960 +tp2804 +a(g548 +V63 +p2805 +tp2806 +a(g548 +V5c +p2807 +tp2808 +a(g900 +g960 +tp2809 +a(g548 +V70 +p2810 +tp2811 +a(g548 +V68 +p2812 +tp2813 +a(g900 +V +p2814 +tp2815 +a(g341 +Vat C:\u005cdmd\u005csrc\u005cph +p2816 +tp2817 +a(g900 +V\u000a +p2818 +tp2819 +a(g8 +V0000260 +p2820 +tp2821 +a(g685 +g958 +tp2822 +a(g900 +g960 +tp2823 +a(g548 +V6f +p2824 +tp2825 +a(g548 +V62 +p2826 +tp2827 +a(g900 +g960 +tp2828 +a(g548 +V6f +p2829 +tp2830 +a(g548 +V73 +p2831 +tp2832 +a(g900 +g960 +tp2833 +a(g548 +V5c +p2834 +tp2835 +a(g548 +V73 +p2836 +tp2837 +a(g900 +g960 +tp2838 +a(g548 +V74 +p2839 +tp2840 +a(g548 +V64 +p2841 +tp2842 +a(g900 +g960 +tp2843 +a(g548 +V5c +p2844 +tp2845 +a(g548 +V63 +p2846 +tp2847 +a(g900 +g960 +tp2848 +a(g548 +V74 +p2849 +tp2850 +a(g548 +V79 +p2851 +tp2852 +a(g900 +g960 +tp2853 +a(g548 +V70 +p2854 +tp2855 +a(g548 +V65 +p2856 +tp2857 +a(g900 +g960 +tp2858 +a(g548 +V2e +p2859 +tp2860 +a(g548 +V64 +p2861 +tp2862 +a(g900 +V +p2863 +tp2864 +a(g341 +Vobos\u005cstd\u005cctype.d +p2865 +tp2866 +a(g900 +V\u000a +p2867 +tp2868 +a(g8 +V0000270 +p2869 +tp2870 +a(g685 +g958 +tp2871 +a(g900 +g960 +tp2872 +a(g548 +V28 +p2873 +tp2874 +a(g548 +V33 +p2875 +tp2876 +a(g900 +g960 +tp2877 +a(g548 +V37 +p2878 +tp2879 +a(g548 +V29 +p2880 +tp2881 +a(g900 +g960 +tp2882 +a(g548 +V20 +p2883 +tp2884 +a(g548 +V0a +p2885 +tp2886 +a(g900 +g960 +tp2887 +a(g548 +V20 +p2888 +tp2889 +a(g548 +V20 +p2890 +tp2891 +a(g900 +g960 +tp2892 +a(g548 +V20 +p2893 +tp2894 +a(g548 +V20 +p2895 +tp2896 +a(g900 +g960 +tp2897 +a(g548 +V20 +p2898 +tp2899 +a(g548 +V20 +p2900 +tp2901 +a(g900 +g960 +tp2902 +a(g548 +V20 +p2903 +tp2904 +a(g548 +V20 +p2905 +tp2906 +a(g900 +g960 +tp2907 +a(g548 +V2f +p2908 +tp2909 +a(g548 +V2f +p2910 +tp2911 +a(g900 +V +p2912 +tp2913 +a(g341 +V(37) . // +p2914 +tp2915 +a(g900 +V\u000a +p2916 +tp2917 +a(g8 +V0000280 +p2918 +tp2919 +a(g685 +g958 +tp2920 +a(g900 +g960 +tp2921 +a(g548 +V20 +p2922 +tp2923 +a(g548 +V63 +p2924 +tp2925 +a(g900 +g960 +tp2926 +a(g548 +V6f +p2927 +tp2928 +a(g548 +V6e +p2929 +tp2930 +a(g900 +g960 +tp2931 +a(g548 +V66 +p2932 +tp2933 +a(g548 +V6c +p2934 +tp2935 +a(g900 +g960 +tp2936 +a(g548 +V69 +p2937 +tp2938 +a(g548 +V63 +p2939 +tp2940 +a(g900 +g960 +tp2941 +a(g548 +V74 +p2942 +tp2943 +a(g548 +V73 +p2944 +tp2945 +a(g900 +g960 +tp2946 +a(g548 +V20 +p2947 +tp2948 +a(g548 +V77 +p2949 +tp2950 +a(g900 +g960 +tp2951 +a(g548 +V69 +p2952 +tp2953 +a(g548 +V74 +p2954 +tp2955 +a(g900 +g960 +tp2956 +a(g548 +V68 +p2957 +tp2958 +a(g548 +V20 +p2959 +tp2960 +a(g900 +V +p2961 +tp2962 +a(g341 +Vconflicts with +p2963 +tp2964 +a(g900 +V\u000a +p2965 +tp2966 +a(g8 +V0000290 +p2967 +tp2968 +a(g685 +g958 +tp2969 +a(g900 +g960 +tp2970 +a(g548 +V73 +p2971 +tp2972 +a(g548 +V74 +p2973 +tp2974 +a(g900 +g960 +tp2975 +a(g548 +V64 +p2976 +tp2977 +a(g548 +V2e +p2978 +tp2979 +a(g900 +g960 +tp2980 +a(g548 +V73 +p2981 +tp2982 +a(g548 +V74 +p2983 +tp2984 +a(g900 +g960 +tp2985 +a(g548 +V72 +p2986 +tp2987 +a(g548 +V65 +p2988 +tp2989 +a(g900 +g960 +tp2990 +a(g548 +V61 +p2991 +tp2992 +a(g548 +V6d +p2993 +tp2994 +a(g900 +g960 +tp2995 +a(g548 +V2e +p2996 +tp2997 +a(g548 +V69 +p2998 +tp2999 +a(g900 +g960 +tp3000 +a(g548 +V73 +p3001 +tp3002 +a(g548 +V64 +p3003 +tp3004 +a(g900 +g960 +tp3005 +a(g548 +V69 +p3006 +tp3007 +a(g548 +V67 +p3008 +tp3009 +a(g900 +V +p3010 +tp3011 +a(g341 +Vstd.stream.isdig +p3012 +tp3013 +a(g900 +V\u000a +p3014 +tp3015 +a(g8 +V00002a0 +p3016 +tp3017 +a(g685 +g958 +tp3018 +a(g900 +g960 +tp3019 +a(g548 +V69 +p3020 +tp3021 +a(g548 +V74 +p3022 +tp3023 +a(g900 +g960 +tp3024 +a(g548 +V20 +p3025 +tp3026 +a(g548 +V61 +p3027 +tp3028 +a(g900 +g960 +tp3029 +a(g548 +V74 +p3030 +tp3031 +a(g548 +V20 +p3032 +tp3033 +a(g900 +g960 +tp3034 +a(g548 +V43 +p3035 +tp3036 +a(g548 +V3a +p3037 +tp3038 +a(g900 +g960 +tp3039 +a(g548 +V5c +p3040 +tp3041 +a(g548 +V64 +p3042 +tp3043 +a(g900 +g960 +tp3044 +a(g548 +V6d +p3045 +tp3046 +a(g548 +V64 +p3047 +tp3048 +a(g900 +g960 +tp3049 +a(g548 +V5c +p3050 +tp3051 +a(g548 +V73 +p3052 +tp3053 +a(g900 +g960 +tp3054 +a(g548 +V72 +p3055 +tp3056 +a(g548 +V63 +p3057 +tp3058 +a(g900 +V +p3059 +tp3060 +a(g341 +Vit at C:\u005cdmd\u005csrc +p3061 +tp3062 +a(g900 +V\u000a +p3063 +tp3064 +a(g8 +V00002b0 +p3065 +tp3066 +a(g685 +g958 +tp3067 +a(g900 +g960 +tp3068 +a(g548 +V5c +p3069 +tp3070 +a(g548 +V70 +p3071 +tp3072 +a(g900 +g960 +tp3073 +a(g548 +V68 +p3074 +tp3075 +a(g548 +V6f +p3076 +tp3077 +a(g900 +g960 +tp3078 +a(g548 +V62 +p3079 +tp3080 +a(g548 +V6f +p3081 +tp3082 +a(g900 +g960 +tp3083 +a(g548 +V73 +p3084 +tp3085 +a(g548 +V5c +p3086 +tp3087 +a(g900 +g960 +tp3088 +a(g548 +V73 +p3089 +tp3090 +a(g548 +V74 +p3091 +tp3092 +a(g900 +g960 +tp3093 +a(g548 +V64 +p3094 +tp3095 +a(g548 +V5c +p3096 +tp3097 +a(g900 +g960 +tp3098 +a(g548 +V73 +p3099 +tp3100 +a(g548 +V74 +p3101 +tp3102 +a(g900 +g960 +tp3103 +a(g548 +V72 +p3104 +tp3105 +a(g548 +V65 +p3106 +tp3107 +a(g900 +V +p3108 +tp3109 +a(g341 +V\u005cphobos\u005cstd\u005cstre +p3110 +tp3111 +a(g900 +V\u000a +p3112 +tp3113 +a(g8 +V00002c0 +p3114 +tp3115 +a(g685 +g958 +tp3116 +a(g900 +g960 +tp3117 +a(g548 +V61 +p3118 +tp3119 +a(g548 +V6d +p3120 +tp3121 +a(g900 +g960 +tp3122 +a(g548 +V2e +p3123 +tp3124 +a(g548 +V64 +p3125 +tp3126 +a(g900 +g960 +tp3127 +a(g548 +V28 +p3128 +tp3129 +a(g548 +V32 +p3130 +tp3131 +a(g900 +g960 +tp3132 +a(g548 +V39 +p3133 +tp3134 +a(g548 +V32 +p3135 +tp3136 +a(g900 +g960 +tp3137 +a(g548 +V34 +p3138 +tp3139 +a(g548 +V29 +p3140 +tp3141 +a(g900 +g960 +tp3142 +a(g548 +V0a +p3143 +tp3144 +a(g548 +V20 +p3145 +tp3146 +a(g900 +g960 +tp3147 +a(g548 +V20 +p3148 +tp3149 +a(g548 +V20 +p3150 +tp3151 +a(g900 +g960 +tp3152 +a(g548 +V20 +p3153 +tp3154 +a(g548 +V20 +p3155 +tp3156 +a(g900 +V +p3157 +tp3158 +a(g341 +Vam.d(2924). +p3159 +tp3160 +a(g900 +V\u000a +p3161 +tp3162 +a(g8 +V00002d0 +p3163 +tp3164 +a(g685 +g958 +tp3165 +a(g900 +g960 +tp3166 +a(g548 +V20 +p3167 +tp3168 +a(g548 +V20 +p3169 +tp3170 +a(g900 +g960 +tp3171 +a(g548 +V20 +p3172 +tp3173 +a(g548 +V69 +p3174 +tp3175 +a(g900 +g960 +tp3176 +a(g548 +V66 +p3177 +tp3178 +a(g548 +V20 +p3179 +tp3180 +a(g900 +g960 +tp3181 +a(g548 +V28 +p3182 +tp3183 +a(g548 +V21 +p3184 +tp3185 +a(g900 +g960 +tp3186 +a(g548 +V73 +p3187 +tp3188 +a(g548 +V74 +p3189 +tp3190 +a(g900 +g960 +tp3191 +a(g548 +V64 +p3192 +tp3193 +a(g548 +V2e +p3194 +tp3195 +a(g900 +g960 +tp3196 +a(g548 +V63 +p3197 +tp3198 +a(g548 +V74 +p3199 +tp3200 +a(g900 +g960 +tp3201 +a(g548 +V79 +p3202 +tp3203 +a(g548 +V70 +p3204 +tp3205 +a(g900 +V +p3206 +tp3207 +a(g341 +V if (!std.ctyp +p3208 +tp3209 +a(g900 +V\u000a +p3210 +tp3211 +a(g8 +V00002e0 +p3212 +tp3213 +a(g685 +g958 +tp3214 +a(g900 +g960 +tp3215 +a(g548 +V65 +p3216 +tp3217 +a(g548 +V2e +p3218 +tp3219 +a(g900 +g960 +tp3220 +a(g548 +V69 +p3221 +tp3222 +a(g548 +V73 +p3223 +tp3224 +a(g900 +g960 +tp3225 +a(g548 +V64 +p3226 +tp3227 +a(g548 +V69 +p3228 +tp3229 +a(g900 +g960 +tp3230 +a(g548 +V67 +p3231 +tp3232 +a(g548 +V69 +p3233 +tp3234 +a(g900 +g960 +tp3235 +a(g548 +V74 +p3236 +tp3237 +a(g548 +V28 +p3238 +tp3239 +a(g900 +g960 +tp3240 +a(g548 +V63 +p3241 +tp3242 +a(g548 +V29 +p3243 +tp3244 +a(g900 +g960 +tp3245 +a(g548 +V29 +p3246 +tp3247 +a(g548 +V20 +p3248 +tp3249 +a(g900 +g960 +tp3250 +a(g548 +V7b +p3251 +tp3252 +a(g548 +V0a +p3253 +tp3254 +a(g900 +V +p3255 +tp3256 +a(g341 +Ve.isdigit(c)) {. +p3257 +tp3258 +a(g900 +V\u000a +p3259 +tp3260 +a(g8 +V00002f0 +p3261 +tp3262 +a(g685 +g958 +tp3263 +a(g900 +g960 +tp3264 +a(g548 +V20 +p3265 +tp3266 +a(g548 +V20 +p3267 +tp3268 +a(g900 +g960 +tp3269 +a(g548 +V20 +p3270 +tp3271 +a(g548 +V20 +p3272 +tp3273 +a(g900 +g960 +tp3274 +a(g548 +V20 +p3275 +tp3276 +a(g548 +V20 +p3277 +tp3278 +a(g900 +g960 +tp3279 +a(g548 +V20 +p3280 +tp3281 +a(g548 +V20 +p3282 +tp3283 +a(g900 +g960 +tp3284 +a(g548 +V20 +p3285 +tp3286 +a(g548 +V20 +p3287 +tp3288 +a(g900 +g960 +tp3289 +a(g548 +V20 +p3290 +tp3291 +a(g548 +V20 +p3292 +tp3293 +a(g900 +g960 +tp3294 +a(g548 +V69 +p3295 +tp3296 +a(g548 +V66 +p3297 +tp3298 +a(g900 +g960 +tp3299 +a(g548 +V20 +p3300 +tp3301 +a(g548 +V28 +p3302 +tp3303 +a(g900 +V +p3304 +tp3305 +a(g341 +V if ( +p3306 +tp3307 +a(g900 +V\u000a +p3308 +tp3309 +a(g8 +V0000300 +p3310 +tp3311 +a(g685 +g958 +tp3312 +a(g900 +g960 +tp3313 +a(g548 +V21 +p3314 +tp3315 +a(g548 +V72 +p3316 +tp3317 +a(g900 +g960 +tp3318 +a(g548 +V65 +p3319 +tp3320 +a(g548 +V74 +p3321 +tp3322 +a(g900 +g960 +tp3323 +a(g548 +V29 +p3324 +tp3325 +a(g548 +V0a +p3326 +tp3327 +a(g900 +g960 +tp3328 +a(g548 +V20 +p3329 +tp3330 +a(g548 +V20 +p3331 +tp3332 +a(g900 +g960 +tp3333 +a(g548 +V20 +p3334 +tp3335 +a(g548 +V20 +p3336 +tp3337 +a(g900 +g960 +tp3338 +a(g548 +V20 +p3339 +tp3340 +a(g548 +V20 +p3341 +tp3342 +a(g900 +g960 +tp3343 +a(g548 +V20 +p3344 +tp3345 +a(g548 +V20 +p3346 +tp3347 +a(g900 +g960 +tp3348 +a(g548 +V20 +p3349 +tp3350 +a(g548 +V20 +p3351 +tp3352 +a(g900 +V +p3353 +tp3354 +a(g341 +V!ret). +p3355 +tp3356 +a(g900 +V\u000a +p3357 +tp3358 +a(g8 +V0000310 +p3359 +tp3360 +a(g685 +g958 +tp3361 +a(g900 +g960 +tp3362 +a(g548 +V20 +p3363 +tp3364 +a(g548 +V20 +p3365 +tp3366 +a(g900 +g960 +tp3367 +a(g548 +V20 +p3368 +tp3369 +a(g548 +V20 +p3370 +tp3371 +a(g900 +g960 +tp3372 +a(g548 +V20 +p3373 +tp3374 +a(g548 +V20 +p3375 +tp3376 +a(g900 +g960 +tp3377 +a(g548 +V72 +p3378 +tp3379 +a(g548 +V65 +p3380 +tp3381 +a(g900 +g960 +tp3382 +a(g548 +V74 +p3383 +tp3384 +a(g548 +V20 +p3385 +tp3386 +a(g900 +g960 +tp3387 +a(g548 +V3d +p3388 +tp3389 +a(g548 +V20 +p3390 +tp3391 +a(g900 +g960 +tp3392 +a(g548 +V6c +p3393 +tp3394 +a(g548 +V69 +p3395 +tp3396 +a(g900 +g960 +tp3397 +a(g548 +V6e +p3398 +tp3399 +a(g548 +V65 +p3400 +tp3401 +a(g900 +V +p3402 +tp3403 +a(g341 +V ret = line +p3404 +tp3405 +a(g900 +V\u000a +p3406 +tp3407 +a(g8 +V0000320 +p3408 +tp3409 +a(g685 +g958 +tp3410 +a(g900 +g960 +tp3411 +a(g548 +V5b +p3412 +tp3413 +a(g548 +V30 +p3414 +tp3415 +a(g900 +g960 +tp3416 +a(g548 +V2e +p3417 +tp3418 +a(g548 +V2e +p3419 +tp3420 +a(g900 +g960 +tp3421 +a(g548 +V69 +p3422 +tp3423 +a(g548 +V5d +p3424 +tp3425 +a(g900 +g960 +tp3426 +a(g548 +V3b +p3427 +tp3428 +a(g548 +V20 +p3429 +tp3430 +a(g900 +g960 +tp3431 +a(g548 +V20 +p3432 +tp3433 +a(g548 +V20 +p3434 +tp3435 +a(g900 +g960 +tp3436 +a(g548 +V20 +p3437 +tp3438 +a(g548 +V0a +p3439 +tp3440 +a(g900 +g960 +tp3441 +a(g548 +V20 +p3442 +tp3443 +a(g548 +V20 +p3444 +tp3445 +a(g900 +g960 +tp3446 +a(g548 +V20 +p3447 +tp3448 +a(g548 +V20 +p3449 +tp3450 +a(g900 +V +p3451 +tp3452 +a(g341 +V[0..i]; . +p3453 +tp3454 +a(g900 +V\u000a +p3455 +tp3456 +a(g8 +V0000330 +p3457 +tp3458 +a(g685 +g958 +tp3459 +a(g900 +g960 +tp3460 +a(g548 +V20 +p3461 +tp3462 +a(g548 +V20 +p3463 +tp3464 +a(g900 +g960 +tp3465 +a(g548 +V20 +p3466 +tp3467 +a(g548 +V20 +p3468 +tp3469 +a(g900 +g960 +tp3470 +a(g548 +V7d +p3471 +tp3472 +a(g548 +V20 +p3473 +tp3474 +a(g900 +g960 +tp3475 +a(g548 +V20 +p3476 +tp3477 +a(g548 +V20 +p3478 +tp3479 +a(g900 +g960 +tp3480 +a(g548 +V20 +p3481 +tp3482 +a(g548 +V0a +p3483 +tp3484 +a(g900 +g960 +tp3485 +a(g548 +V20 +p3486 +tp3487 +a(g548 +V20 +p3488 +tp3489 +a(g900 +g960 +tp3490 +a(g548 +V20 +p3491 +tp3492 +a(g548 +V20 +p3493 +tp3494 +a(g900 +g960 +tp3495 +a(g548 +V20 +p3496 +tp3497 +a(g548 +V20 +p3498 +tp3499 +a(g900 +V +p3500 +tp3501 +a(g341 +V } . +p3502 +tp3503 +a(g900 +V\u000a +p3504 +tp3505 +a(g8 +V0000340 +p3506 +tp3507 +a(g685 +g958 +tp3508 +a(g900 +g960 +tp3509 +a(g548 +V20 +p3510 +tp3511 +a(g548 +V20 +p3512 +tp3513 +a(g900 +g960 +tp3514 +a(g548 +V65 +p3515 +tp3516 +a(g548 +V6c +p3517 +tp3518 +a(g900 +g960 +tp3519 +a(g548 +V73 +p3520 +tp3521 +a(g548 +V65 +p3522 +tp3523 +a(g900 +g960 +tp3524 +a(g548 +V20 +p3525 +tp3526 +a(g548 +V69 +p3527 +tp3528 +a(g900 +g960 +tp3529 +a(g548 +V66 +p3530 +tp3531 +a(g548 +V20 +p3532 +tp3533 +a(g900 +g960 +tp3534 +a(g548 +V28 +p3535 +tp3536 +a(g548 +V72 +p3537 +tp3538 +a(g900 +g960 +tp3539 +a(g548 +V65 +p3540 +tp3541 +a(g548 +V74 +p3542 +tp3543 +a(g900 +g960 +tp3544 +a(g548 +V29 +p3545 +tp3546 +a(g548 +V0a +p3547 +tp3548 +a(g900 +V +p3549 +tp3550 +a(g341 +V else if (ret). +p3551 +tp3552 +a(g900 +V\u000a +p3553 +tp3554 +a(g8 +V0000350 +p3555 +tp3556 +a(g685 +g958 +tp3557 +a(g900 +g960 +tp3558 +a(g548 +V20 +p3559 +tp3560 +a(g548 +V20 +p3561 +tp3562 +a(g900 +g960 +tp3563 +a(g548 +V20 +p3564 +tp3565 +a(g548 +V20 +p3566 +tp3567 +a(g900 +g960 +tp3568 +a(g548 +V20 +p3569 +tp3570 +a(g548 +V20 +p3571 +tp3572 +a(g900 +g960 +tp3573 +a(g548 +V20 +p3574 +tp3575 +a(g548 +V20 +p3576 +tp3577 +a(g900 +g960 +tp3578 +a(g548 +V20 +p3579 +tp3580 +a(g548 +V20 +p3581 +tp3582 +a(g900 +g960 +tp3583 +a(g548 +V20 +p3584 +tp3585 +a(g548 +V20 +p3586 +tp3587 +a(g900 +g960 +tp3588 +a(g548 +V72 +p3589 +tp3590 +a(g548 +V65 +p3591 +tp3592 +a(g900 +g960 +tp3593 +a(g548 +V74 +p3594 +tp3595 +a(g548 +V20 +p3596 +tp3597 +a(g900 +V +p3598 +tp3599 +a(g341 +V ret +p3600 +tp3601 +a(g900 +V\u000a +p3602 +tp3603 +a(g8 +V0000360 +p3604 +tp3605 +a(g685 +g958 +tp3606 +a(g900 +g960 +tp3607 +a(g548 +V7e +p3608 +tp3609 +a(g548 +V3d +p3610 +tp3611 +a(g900 +g960 +tp3612 +a(g548 +V20 +p3613 +tp3614 +a(g548 +V63 +p3615 +tp3616 +a(g900 +g960 +tp3617 +a(g548 +V3b +p3618 +tp3619 +a(g548 +V20 +p3620 +tp3621 +a(g900 +g960 +tp3622 +a(g548 +V20 +p3623 +tp3624 +a(g548 +V20 +p3625 +tp3626 +a(g900 +g960 +tp3627 +a(g548 +V20 +p3628 +tp3629 +a(g548 +V0a +p3630 +tp3631 +a(g900 +g960 +tp3632 +a(g548 +V20 +p3633 +tp3634 +a(g548 +V20 +p3635 +tp3636 +a(g900 +g960 +tp3637 +a(g548 +V20 +p3638 +tp3639 +a(g548 +V20 +p3640 +tp3641 +a(g900 +g960 +tp3642 +a(g548 +V7d +p3643 +tp3644 +a(g548 +V20 +p3645 +tp3646 +a(g900 +V +p3647 +tp3648 +a(g341 +V~= c; . } +p3649 +tp3650 +a(g900 +V\u000a +p3651 +tp3652 +a(g8 +V0000370 +p3653 +tp3654 +a(g685 +g958 +tp3655 +a(g900 +g960 +tp3656 +a(g548 +V20 +p3657 +tp3658 +a(g548 +V20 +p3659 +tp3660 +a(g900 +g960 +tp3661 +a(g548 +V20 +p3662 +tp3663 +a(g548 +V0a +p3664 +tp3665 +a(g900 +g960 +tp3666 +a(g548 +V20 +p3667 +tp3668 +a(g548 +V20 +p3669 +tp3670 +a(g900 +g960 +tp3671 +a(g548 +V20 +p3672 +tp3673 +a(g548 +V20 +p3674 +tp3675 +a(g900 +g960 +tp3676 +a(g548 +V72 +p3677 +tp3678 +a(g548 +V65 +p3679 +tp3680 +a(g900 +g960 +tp3681 +a(g548 +V74 +p3682 +tp3683 +a(g548 +V75 +p3684 +tp3685 +a(g900 +g960 +tp3686 +a(g548 +V72 +p3687 +tp3688 +a(g548 +V6e +p3689 +tp3690 +a(g900 +g960 +tp3691 +a(g548 +V20 +p3692 +tp3693 +a(g548 +V72 +p3694 +tp3695 +a(g900 +V +p3696 +tp3697 +a(g341 +V . return r +p3698 +tp3699 +a(g900 +V\u000a +p3700 +tp3701 +a(g8 +V0000380 +p3702 +tp3703 +a(g685 +g958 +tp3704 +a(g900 +g960 +tp3705 +a(g548 +V65 +p3706 +tp3707 +a(g548 +V74 +p3708 +tp3709 +a(g900 +g960 +tp3710 +a(g548 +V3f +p3711 +tp3712 +a(g548 +V72 +p3713 +tp3714 +a(g900 +g960 +tp3715 +a(g548 +V65 +p3716 +tp3717 +a(g548 +V74 +p3718 +tp3719 +a(g900 +g960 +tp3720 +a(g548 +V3a +p3721 +tp3722 +a(g548 +V6c +p3723 +tp3724 +a(g900 +g960 +tp3725 +a(g548 +V69 +p3726 +tp3727 +a(g548 +V6e +p3728 +tp3729 +a(g900 +g960 +tp3730 +a(g548 +V65 +p3731 +tp3732 +a(g548 +V3b +p3733 +tp3734 +a(g900 +g960 +tp3735 +a(g548 +V0a +p3736 +tp3737 +a(g548 +V7d +p3738 +tp3739 +a(g900 +g960 +tp3740 +a(g548 +V0a +p3741 +tp3742 +a(g548 +V0a +p3743 +tp3744 +a(g900 +V +p3745 +tp3746 +a(g341 +Vet?ret:line;.}.. +p3747 +tp3748 +a(g900 +V\u000a +p3749 +tp3750 +a(g8 +V0000390 +p3751 +tp3752 +a(g685 +g958 +tp3753 +a(g900 +g960 +tp3754 +a(g548 +V75 +p3755 +tp3756 +a(g548 +V6e +p3757 +tp3758 +a(g900 +g960 +tp3759 +a(g548 +V69 +p3760 +tp3761 +a(g548 +V74 +p3762 +tp3763 +a(g900 +g960 +tp3764 +a(g548 +V74 +p3765 +tp3766 +a(g548 +V65 +p3767 +tp3768 +a(g900 +g960 +tp3769 +a(g548 +V73 +p3770 +tp3771 +a(g548 +V74 +p3772 +tp3773 +a(g900 +g960 +tp3774 +a(g548 +V20 +p3775 +tp3776 +a(g548 +V7b +p3777 +tp3778 +a(g900 +g960 +tp3779 +a(g548 +V0a +p3780 +tp3781 +a(g548 +V20 +p3782 +tp3783 +a(g900 +g960 +tp3784 +a(g548 +V20 +p3785 +tp3786 +a(g548 +V20 +p3787 +tp3788 +a(g900 +g960 +tp3789 +a(g548 +V20 +p3790 +tp3791 +a(g548 +V61 +p3792 +tp3793 +a(g900 +V +p3794 +tp3795 +a(g341 +Vunittest {. a +p3796 +tp3797 +a(g900 +V\u000a +p3798 +tp3799 +a(g8 +V00003a0 +p3800 +tp3801 +a(g685 +g958 +tp3802 +a(g900 +g960 +tp3803 +a(g548 +V73 +p3804 +tp3805 +a(g548 +V73 +p3806 +tp3807 +a(g900 +g960 +tp3808 +a(g548 +V65 +p3809 +tp3810 +a(g548 +V72 +p3811 +tp3812 +a(g900 +g960 +tp3813 +a(g548 +V74 +p3814 +tp3815 +a(g548 +V28 +p3816 +tp3817 +a(g900 +g960 +tp3818 +a(g548 +V20 +p3819 +tp3820 +a(g548 +V73 +p3821 +tp3822 +a(g900 +g960 +tp3823 +a(g548 +V74 +p3824 +tp3825 +a(g548 +V72 +p3826 +tp3827 +a(g900 +g960 +tp3828 +a(g548 +V69 +p3829 +tp3830 +a(g548 +V70 +p3831 +tp3832 +a(g900 +g960 +tp3833 +a(g548 +V4e +p3834 +tp3835 +a(g548 +V6f +p3836 +tp3837 +a(g900 +g960 +tp3838 +a(g548 +V6e +p3839 +tp3840 +a(g548 +V44 +p3841 +tp3842 +a(g900 +V +p3843 +tp3844 +a(g341 +Vssert( stripNonD +p3845 +tp3846 +a(g900 +V\u000a +p3847 +tp3848 +a(g8 +V00003b0 +p3849 +tp3850 +a(g685 +g958 +tp3851 +a(g900 +g960 +tp3852 +a(g548 +V69 +p3853 +tp3854 +a(g548 +V67 +p3855 +tp3856 +a(g900 +g960 +tp3857 +a(g548 +V69 +p3858 +tp3859 +a(g548 +V74 +p3860 +tp3861 +a(g900 +g960 +tp3862 +a(g548 +V28 +p3863 +tp3864 +a(g548 +V22 +p3865 +tp3866 +a(g900 +g960 +tp3867 +a(g548 +V61 +p3868 +tp3869 +a(g548 +V73 +p3870 +tp3871 +a(g900 +g960 +tp3872 +a(g548 +V64 +p3873 +tp3874 +a(g548 +V66 +p3875 +tp3876 +a(g900 +g960 +tp3877 +a(g548 +V22 +p3878 +tp3879 +a(g548 +V29 +p3880 +tp3881 +a(g900 +g960 +tp3882 +a(g548 +V20 +p3883 +tp3884 +a(g548 +V3d +p3885 +tp3886 +a(g900 +g960 +tp3887 +a(g548 +V3d +p3888 +tp3889 +a(g548 +V20 +p3890 +tp3891 +a(g900 +V +p3892 +tp3893 +a(g341 +Vigit("asdf") == +p3894 +tp3895 +a(g900 +V\u000a +p3896 +tp3897 +a(g8 +V00003c0 +p3898 +tp3899 +a(g685 +g958 +tp3900 +a(g900 +g960 +tp3901 +a(g548 +V22 +p3902 +tp3903 +a(g548 +V22 +p3904 +tp3905 +a(g900 +g960 +tp3906 +a(g548 +V20 +p3907 +tp3908 +a(g548 +V20 +p3909 +tp3910 +a(g900 +g960 +tp3911 +a(g548 +V29 +p3912 +tp3913 +a(g548 +V3b +p3914 +tp3915 +a(g900 +g960 +tp3916 +a(g548 +V0a +p3917 +tp3918 +a(g548 +V20 +p3919 +tp3920 +a(g900 +g960 +tp3921 +a(g548 +V20 +p3922 +tp3923 +a(g548 +V20 +p3924 +tp3925 +a(g900 +g960 +tp3926 +a(g548 +V20 +p3927 +tp3928 +a(g548 +V61 +p3929 +tp3930 +a(g900 +g960 +tp3931 +a(g548 +V73 +p3932 +tp3933 +a(g548 +V73 +p3934 +tp3935 +a(g900 +g960 +tp3936 +a(g548 +V65 +p3937 +tp3938 +a(g548 +V72 +p3939 +tp3940 +a(g900 +V +p3941 +tp3942 +a(g341 +V"" );. asser +p3943 +tp3944 +a(g900 +V\u000a +p3945 +tp3946 +a(g8 +V00003d0 +p3947 +tp3948 +a(g685 +g958 +tp3949 +a(g900 +g960 +tp3950 +a(g548 +V74 +p3951 +tp3952 +a(g548 +V28 +p3953 +tp3954 +a(g900 +g960 +tp3955 +a(g548 +V20 +p3956 +tp3957 +a(g548 +V73 +p3958 +tp3959 +a(g900 +g960 +tp3960 +a(g548 +V74 +p3961 +tp3962 +a(g548 +V72 +p3963 +tp3964 +a(g900 +g960 +tp3965 +a(g548 +V69 +p3966 +tp3967 +a(g548 +V70 +p3968 +tp3969 +a(g900 +g960 +tp3970 +a(g548 +V4e +p3971 +tp3972 +a(g548 +V6f +p3973 +tp3974 +a(g900 +g960 +tp3975 +a(g548 +V6e +p3976 +tp3977 +a(g548 +V44 +p3978 +tp3979 +a(g900 +g960 +tp3980 +a(g548 +V69 +p3981 +tp3982 +a(g548 +V67 +p3983 +tp3984 +a(g900 +g960 +tp3985 +a(g548 +V69 +p3986 +tp3987 +a(g548 +V74 +p3988 +tp3989 +a(g900 +V +p3990 +tp3991 +a(g341 +Vt( stripNonDigit +p3992 +tp3993 +a(g900 +V\u000a +p3994 +tp3995 +a(g8 +V00003e0 +p3996 +tp3997 +a(g685 +g958 +tp3998 +a(g900 +g960 +tp3999 +a(g548 +V28 +p4000 +tp4001 +a(g548 +V22 +p4002 +tp4003 +a(g900 +g960 +tp4004 +a(g548 +V5c +p4005 +tp4006 +a(g548 +V27 +p4007 +tp4008 +a(g900 +g960 +tp4009 +a(g548 +V31 +p4010 +tp4011 +a(g548 +V33 +p4012 +tp4013 +a(g900 +g960 +tp4014 +a(g548 +V2d +p4015 +tp4016 +a(g548 +V3d +p4017 +tp4018 +a(g900 +g960 +tp4019 +a(g548 +V32 +p4020 +tp4021 +a(g548 +V20 +p4022 +tp4023 +a(g900 +g960 +tp4024 +a(g548 +V34 +p4025 +tp4026 +a(g548 +V6b +p4027 +tp4028 +a(g900 +g960 +tp4029 +a(g548 +V6f +p4030 +tp4031 +a(g548 +V70 +p4032 +tp4033 +a(g900 +g960 +tp4034 +a(g548 +V22 +p4035 +tp4036 +a(g548 +V29 +p4037 +tp4038 +a(g900 +V +p4039 +tp4040 +a(g341 +V("\u005c'13-=2 4kop") +p4041 +tp4042 +a(g900 +V\u000a +p4043 +tp4044 +a(g8 +V00003f0 +p4045 +tp4046 +a(g685 +g958 +tp4047 +a(g900 +g960 +tp4048 +a(g548 +V20 +p4049 +tp4050 +a(g548 +V3d +p4051 +tp4052 +a(g900 +g960 +tp4053 +a(g548 +V3d +p4054 +tp4055 +a(g548 +V20 +p4056 +tp4057 +a(g900 +g960 +tp4058 +a(g548 +V20 +p4059 +tp4060 +a(g548 +V22 +p4061 +tp4062 +a(g900 +g960 +tp4063 +a(g548 +V31 +p4064 +tp4065 +a(g548 +V33 +p4066 +tp4067 +a(g900 +g960 +tp4068 +a(g548 +V32 +p4069 +tp4070 +a(g548 +V34 +p4071 +tp4072 +a(g900 +g960 +tp4073 +a(g548 +V22 +p4074 +tp4075 +a(g548 +V20 +p4076 +tp4077 +a(g900 +g960 +tp4078 +a(g548 +V20 +p4079 +tp4080 +a(g548 +V29 +p4081 +tp4082 +a(g900 +g960 +tp4083 +a(g548 +V3b +p4084 +tp4085 +a(g548 +V0a +p4086 +tp4087 +a(g900 +V +p4088 +tp4089 +a(g341 +V== "1324" );. +p4090 +tp4091 +a(g900 +V\u000a +p4092 +tp4093 +a(g8 +V0000400 +p4094 +tp4095 +a(g685 +g958 +tp4096 +a(g900 +g960 +tp4097 +a(g548 +V7d +p4098 +tp4099 +a(g548 +V0a +p4100 +tp4101 +a(g900 +g960 +tp4102 +a(g548 +V0a +p4103 +tp4104 +a(g548 +V2f +p4105 +tp4106 +a(g900 +g960 +tp4107 +a(g548 +V2f +p4108 +tp4109 +a(g548 +V2f +p4110 +tp4111 +a(g900 +g960 +tp4112 +a(g548 +V20 +p4113 +tp4114 +a(g548 +V43 +p4115 +tp4116 +a(g900 +g960 +tp4117 +a(g548 +V6f +p4118 +tp4119 +a(g548 +V6e +p4120 +tp4121 +a(g900 +g960 +tp4122 +a(g548 +V76 +p4123 +tp4124 +a(g548 +V65 +p4125 +tp4126 +a(g900 +g960 +tp4127 +a(g548 +V72 +p4128 +tp4129 +a(g548 +V74 +p4130 +tp4131 +a(g900 +g960 +tp4132 +a(g548 +V73 +p4133 +tp4134 +a(g548 +V20 +p4135 +tp4136 +a(g900 +V +p4137 +tp4138 +a(g341 +V}../// Converts +p4139 +tp4140 +a(g900 +V\u000a +p4141 +tp4142 +a(g8 +V0000410 +p4143 +tp4144 +a(g685 +g958 +tp4145 +a(g900 +g960 +tp4146 +a(g548 +V61 +p4147 +tp4148 +a(g548 +V20 +p4149 +tp4150 +a(g900 +g960 +tp4151 +a(g548 +V77 +p4152 +tp4153 +a(g548 +V6f +p4154 +tp4155 +a(g900 +g960 +tp4156 +a(g548 +V72 +p4157 +tp4158 +a(g548 +V64 +p4159 +tp4160 +a(g900 +g960 +tp4161 +a(g548 +V20 +p4162 +tp4163 +a(g548 +V69 +p4164 +tp4165 +a(g900 +g960 +tp4166 +a(g548 +V6e +p4167 +tp4168 +a(g548 +V74 +p4169 +tp4170 +a(g900 +g960 +tp4171 +a(g548 +V6f +p4172 +tp4173 +a(g548 +V20 +p4174 +tp4175 +a(g900 +g960 +tp4176 +a(g548 +V61 +p4177 +tp4178 +a(g548 +V20 +p4179 +tp4180 +a(g900 +g960 +tp4181 +a(g548 +V6e +p4182 +tp4183 +a(g548 +V75 +p4184 +tp4185 +a(g900 +V +p4186 +tp4187 +a(g341 +Va word into a nu +p4188 +tp4189 +a(g900 +V\u000a +p4190 +tp4191 +a(g8 +V0000420 +p4192 +tp4193 +a(g685 +g958 +tp4194 +a(g900 +g960 +tp4195 +a(g548 +V6d +p4196 +tp4197 +a(g548 +V62 +p4198 +tp4199 +a(g900 +g960 +tp4200 +a(g548 +V65 +p4201 +tp4202 +a(g548 +V72 +p4203 +tp4204 +a(g900 +g960 +tp4205 +a(g548 +V2c +p4206 +tp4207 +a(g548 +V20 +p4208 +tp4209 +a(g900 +g960 +tp4210 +a(g548 +V69 +p4211 +tp4212 +a(g548 +V67 +p4213 +tp4214 +a(g900 +g960 +tp4215 +a(g548 +V6e +p4216 +tp4217 +a(g548 +V6f +p4218 +tp4219 +a(g900 +g960 +tp4220 +a(g548 +V72 +p4221 +tp4222 +a(g548 +V69 +p4223 +tp4224 +a(g900 +g960 +tp4225 +a(g548 +V6e +p4226 +tp4227 +a(g548 +V67 +p4228 +tp4229 +a(g900 +g960 +tp4230 +a(g548 +V20 +p4231 +tp4232 +a(g548 +V61 +p4233 +tp4234 +a(g900 +V +p4235 +tp4236 +a(g341 +Vmber, ignoring a +p4237 +tp4238 +a(g900 +V\u000a +p4239 +tp4240 +a(g8 +V0000430 +p4241 +tp4242 +a(g685 +g958 +tp4243 +a(g900 +g960 +tp4244 +a(g548 +V6c +p4245 +tp4246 +a(g548 +V6c +p4247 +tp4248 +a(g900 +g960 +tp4249 +a(g548 +V20 +p4250 +tp4251 +a(g548 +V6e +p4252 +tp4253 +a(g900 +g960 +tp4254 +a(g548 +V6f +p4255 +tp4256 +a(g548 +V6e +p4257 +tp4258 +a(g900 +g960 +tp4259 +a(g548 +V20 +p4260 +tp4261 +a(g548 +V61 +p4262 +tp4263 +a(g900 +g960 +tp4264 +a(g548 +V6c +p4265 +tp4266 +a(g548 +V70 +p4267 +tp4268 +a(g900 +g960 +tp4269 +a(g548 +V68 +p4270 +tp4271 +a(g548 +V61 +p4272 +tp4273 +a(g900 +g960 +tp4274 +a(g548 +V20 +p4275 +tp4276 +a(g548 +V63 +p4277 +tp4278 +a(g900 +g960 +tp4279 +a(g548 +V68 +p4280 +tp4281 +a(g548 +V61 +p4282 +tp4283 +a(g900 +V +p4284 +tp4285 +a(g341 +Vll non alpha cha +p4286 +tp4287 +a(g900 +V\u000a +p4288 +tp4289 +a(g8 +V0000440 +p4290 +tp4291 +a(g685 +g958 +tp4292 +a(g900 +g960 +tp4293 +a(g548 +V72 +p4294 +tp4295 +a(g548 +V61 +p4296 +tp4297 +a(g900 +g960 +tp4298 +a(g548 +V63 +p4299 +tp4300 +a(g548 +V74 +p4301 +tp4302 +a(g900 +g960 +tp4303 +a(g548 +V65 +p4304 +tp4305 +a(g548 +V72 +p4306 +tp4307 +a(g900 +g960 +tp4308 +a(g548 +V73 +p4309 +tp4310 +a(g548 +V20 +p4311 +tp4312 +a(g900 +g960 +tp4313 +a(g548 +V20 +p4314 +tp4315 +a(g548 +V0a +p4316 +tp4317 +a(g900 +g960 +tp4318 +a(g548 +V73 +p4319 +tp4320 +a(g548 +V74 +p4321 +tp4322 +a(g900 +g960 +tp4323 +a(g548 +V72 +p4324 +tp4325 +a(g548 +V69 +p4326 +tp4327 +a(g900 +g960 +tp4328 +a(g548 +V6e +p4329 +tp4330 +a(g548 +V67 +p4331 +tp4332 +a(g900 +V +p4333 +tp4334 +a(g341 +Vracters .string +p4335 +tp4336 +a(g900 +V\u000a +p4337 +tp4338 +a(g8 +V0000450 +p4339 +tp4340 +a(g685 +g958 +tp4341 +a(g900 +g960 +tp4342 +a(g548 +V20 +p4343 +tp4344 +a(g548 +V77 +p4345 +tp4346 +a(g900 +g960 +tp4347 +a(g548 +V6f +p4348 +tp4349 +a(g548 +V72 +p4350 +tp4351 +a(g900 +g960 +tp4352 +a(g548 +V64 +p4353 +tp4354 +a(g548 +V54 +p4355 +tp4356 +a(g900 +g960 +tp4357 +a(g548 +V6f +p4358 +tp4359 +a(g548 +V4e +p4360 +tp4361 +a(g900 +g960 +tp4362 +a(g548 +V75 +p4363 +tp4364 +a(g548 +V6d +p4365 +tp4366 +a(g900 +g960 +tp4367 +a(g548 +V28 +p4368 +tp4369 +a(g548 +V20 +p4370 +tp4371 +a(g900 +g960 +tp4372 +a(g548 +V69 +p4373 +tp4374 +a(g548 +V6e +p4375 +tp4376 +a(g900 +g960 +tp4377 +a(g548 +V20 +p4378 +tp4379 +a(g548 +V73 +p4380 +tp4381 +a(g900 +V +p4382 +tp4383 +a(g341 +VwordToNum( in s +p4384 +tp4385 +a(g900 +V\u000a +p4386 +tp4387 +a(g8 +V0000460 +p4388 +tp4389 +a(g685 +g958 +tp4390 +a(g900 +g960 +tp4391 +a(g548 +V74 +p4392 +tp4393 +a(g548 +V72 +p4394 +tp4395 +a(g900 +g960 +tp4396 +a(g548 +V69 +p4397 +tp4398 +a(g548 +V6e +p4399 +tp4400 +a(g900 +g960 +tp4401 +a(g548 +V67 +p4402 +tp4403 +a(g548 +V20 +p4404 +tp4405 +a(g900 +g960 +tp4406 +a(g548 +V77 +p4407 +tp4408 +a(g548 +V6f +p4409 +tp4410 +a(g900 +g960 +tp4411 +a(g548 +V72 +p4412 +tp4413 +a(g548 +V64 +p4414 +tp4415 +a(g900 +g960 +tp4416 +a(g548 +V20 +p4417 +tp4418 +a(g548 +V29 +p4419 +tp4420 +a(g900 +g960 +tp4421 +a(g548 +V0a +p4422 +tp4423 +a(g548 +V7b +p4424 +tp4425 +a(g900 +g960 +tp4426 +a(g548 +V0a +p4427 +tp4428 +a(g548 +V2f +p4429 +tp4430 +a(g900 +V +p4431 +tp4432 +a(g341 +Vtring word ).{./ +p4433 +tp4434 +a(g900 +V\u000a +p4435 +tp4436 +a(g8 +V0000470 +p4437 +tp4438 +a(g685 +g958 +tp4439 +a(g900 +g960 +tp4440 +a(g548 +V2f +p4441 +tp4442 +a(g548 +V20 +p4443 +tp4444 +a(g900 +g960 +tp4445 +a(g548 +V74 +p4446 +tp4447 +a(g548 +V72 +p4448 +tp4449 +a(g900 +g960 +tp4450 +a(g548 +V61 +p4451 +tp4452 +a(g548 +V6e +p4453 +tp4454 +a(g900 +g960 +tp4455 +a(g548 +V73 +p4456 +tp4457 +a(g548 +V6c +p4458 +tp4459 +a(g900 +g960 +tp4460 +a(g548 +V61 +p4461 +tp4462 +a(g548 +V74 +p4463 +tp4464 +a(g900 +g960 +tp4465 +a(g548 +V69 +p4466 +tp4467 +a(g548 +V6f +p4468 +tp4469 +a(g900 +g960 +tp4470 +a(g548 +V6e +p4471 +tp4472 +a(g548 +V20 +p4473 +tp4474 +a(g900 +g960 +tp4475 +a(g548 +V74 +p4476 +tp4477 +a(g548 +V61 +p4478 +tp4479 +a(g900 +V +p4480 +tp4481 +a(g341 +V/ translation ta +p4482 +tp4483 +a(g900 +V\u000a +p4484 +tp4485 +a(g8 +V0000480 +p4486 +tp4487 +a(g685 +g958 +tp4488 +a(g900 +g960 +tp4489 +a(g548 +V62 +p4490 +tp4491 +a(g548 +V6c +p4492 +tp4493 +a(g900 +g960 +tp4494 +a(g548 +V65 +p4495 +tp4496 +a(g548 +V20 +p4497 +tp4498 +a(g900 +g960 +tp4499 +a(g548 +V66 +p4500 +tp4501 +a(g548 +V6f +p4502 +tp4503 +a(g900 +g960 +tp4504 +a(g548 +V72 +p4505 +tp4506 +a(g548 +V20 +p4507 +tp4508 +a(g900 +g960 +tp4509 +a(g548 +V74 +p4510 +tp4511 +a(g548 +V68 +p4512 +tp4513 +a(g900 +g960 +tp4514 +a(g548 +V65 +p4515 +tp4516 +a(g548 +V20 +p4517 +tp4518 +a(g900 +g960 +tp4519 +a(g548 +V74 +p4520 +tp4521 +a(g548 +V61 +p4522 +tp4523 +a(g900 +g960 +tp4524 +a(g548 +V73 +p4525 +tp4526 +a(g548 +V6b +p4527 +tp4528 +a(g900 +V +p4529 +tp4530 +a(g341 +Vble for the task +p4531 +tp4532 +a(g900 +V\u000a +p4533 +tp4534 +a(g8 +V0000490 +p4535 +tp4536 +a(g685 +g958 +tp4537 +a(g900 +g960 +tp4538 +a(g548 +V20 +p4539 +tp4540 +a(g548 +V61 +p4541 +tp4542 +a(g900 +g960 +tp4543 +a(g548 +V74 +p4544 +tp4545 +a(g548 +V20 +p4546 +tp4547 +a(g900 +g960 +tp4548 +a(g548 +V68 +p4549 +tp4550 +a(g548 +V61 +p4551 +tp4552 +a(g900 +g960 +tp4553 +a(g548 +V6e +p4554 +tp4555 +a(g548 +V64 +p4556 +tp4557 +a(g900 +g960 +tp4558 +a(g548 +V0a +p4559 +tp4560 +a(g548 +V63 +p4561 +tp4562 +a(g900 +g960 +tp4563 +a(g548 +V6f +p4564 +tp4565 +a(g548 +V6e +p4566 +tp4567 +a(g900 +g960 +tp4568 +a(g548 +V73 +p4569 +tp4570 +a(g548 +V74 +p4571 +tp4572 +a(g900 +g960 +tp4573 +a(g548 +V20 +p4574 +tp4575 +a(g548 +V63 +p4576 +tp4577 +a(g900 +V +p4578 +tp4579 +a(g341 +Vat hand.const c +p4580 +tp4581 +a(g900 +V\u000a +p4582 +tp4583 +a(g8 +V00004a0 +p4584 +tp4585 +a(g685 +g958 +tp4586 +a(g900 +g960 +tp4587 +a(g548 +V68 +p4588 +tp4589 +a(g548 +V61 +p4590 +tp4591 +a(g900 +g960 +tp4592 +a(g548 +V72 +p4593 +tp4594 +a(g548 +V5b +p4595 +tp4596 +a(g900 +g960 +tp4597 +a(g548 +V32 +p4598 +tp4599 +a(g548 +V35 +p4600 +tp4601 +a(g900 +g960 +tp4602 +a(g548 +V36 +p4603 +tp4604 +a(g548 +V5d +p4605 +tp4606 +a(g900 +g960 +tp4607 +a(g548 +V20 +p4608 +tp4609 +a(g548 +V54 +p4610 +tp4611 +a(g900 +g960 +tp4612 +a(g548 +V52 +p4613 +tp4614 +a(g548 +V41 +p4615 +tp4616 +a(g900 +g960 +tp4617 +a(g548 +V4e +p4618 +tp4619 +a(g548 +V53 +p4620 +tp4621 +a(g900 +g960 +tp4622 +a(g548 +V4c +p4623 +tp4624 +a(g548 +V41 +p4625 +tp4626 +a(g900 +V +p4627 +tp4628 +a(g341 +Vhar[256] TRANSLA +p4629 +tp4630 +a(g900 +V\u000a +p4631 +tp4632 +a(g8 +V00004b0 +p4633 +tp4634 +a(g685 +g958 +tp4635 +a(g900 +g960 +tp4636 +a(g548 +V54 +p4637 +tp4638 +a(g548 +V45 +p4639 +tp4640 +a(g900 +g960 +tp4641 +a(g548 +V20 +p4642 +tp4643 +a(g548 +V3d +p4644 +tp4645 +a(g900 +g960 +tp4646 +a(g548 +V20 +p4647 +tp4648 +a(g548 +V20 +p4649 +tp4650 +a(g900 +g960 +tp4651 +a(g548 +V20 +p4652 +tp4653 +a(g548 +V20 +p4654 +tp4655 +a(g900 +g960 +tp4656 +a(g548 +V0a +p4657 +tp4658 +a(g548 +V20 +p4659 +tp4660 +a(g900 +g960 +tp4661 +a(g548 +V20 +p4662 +tp4663 +a(g548 +V20 +p4664 +tp4665 +a(g900 +g960 +tp4666 +a(g548 +V20 +p4667 +tp4668 +a(g548 +V22 +p4669 +tp4670 +a(g900 +g960 +tp4671 +a(g548 +V20 +p4672 +tp4673 +a(g548 +V20 +p4674 +tp4675 +a(g900 +V +p4676 +tp4677 +a(g341 +VTE = . " +p4678 +tp4679 +a(g900 +V\u000a +p4680 +tp4681 +a(g8 +V00004c0 +p4682 +tp4683 +a(g685 +g958 +tp4684 +a(g900 +g960 +tp4685 +a(g548 +V20 +p4686 +tp4687 +a(g548 +V20 +p4688 +tp4689 +a(g900 +g960 +tp4690 +a(g548 +V20 +p4691 +tp4692 +a(g548 +V20 +p4693 +tp4694 +a(g900 +g960 +tp4695 +a(g548 +V20 +p4696 +tp4697 +a(g548 +V20 +p4698 +tp4699 +a(g900 +g960 +tp4700 +a(g548 +V20 +p4701 +tp4702 +a(g548 +V20 +p4703 +tp4704 +a(g900 +g960 +tp4705 +a(g548 +V20 +p4706 +tp4707 +a(g548 +V20 +p4708 +tp4709 +a(g900 +g960 +tp4710 +a(g548 +V20 +p4711 +tp4712 +a(g548 +V20 +p4713 +tp4714 +a(g900 +g960 +tp4715 +a(g548 +V20 +p4716 +tp4717 +a(g548 +V20 +p4718 +tp4719 +a(g900 +g960 +tp4720 +a(g548 +V20 +p4721 +tp4722 +a(g548 +V20 +p4723 +tp4724 +a(g900 +V +p4725 +tp4726 +a(g341 +V +p4727 +tp4728 +a(g900 +V\u000a +p4729 +tp4730 +a(g8 +V00004d0 +p4731 +tp4732 +a(g685 +g958 +tp4733 +a(g900 +g960 +tp4734 +a(g548 +V20 +p4735 +tp4736 +a(g548 +V20 +p4737 +tp4738 +a(g900 +g960 +tp4739 +a(g548 +V20 +p4740 +tp4741 +a(g548 +V20 +p4742 +tp4743 +a(g900 +g960 +tp4744 +a(g548 +V20 +p4745 +tp4746 +a(g548 +V20 +p4747 +tp4748 +a(g900 +g960 +tp4749 +a(g548 +V20 +p4750 +tp4751 +a(g548 +V20 +p4752 +tp4753 +a(g900 +g960 +tp4754 +a(g548 +V20 +p4755 +tp4756 +a(g548 +V20 +p4757 +tp4758 +a(g900 +g960 +tp4759 +a(g548 +V20 +p4760 +tp4761 +a(g548 +V20 +p4762 +tp4763 +a(g900 +g960 +tp4764 +a(g548 +V20 +p4765 +tp4766 +a(g548 +V20 +p4767 +tp4768 +a(g900 +g960 +tp4769 +a(g548 +V22 +p4770 +tp4771 +a(g548 +V20 +p4772 +tp4773 +a(g900 +V +p4774 +tp4775 +a(g341 +V " +p4776 +tp4777 +a(g900 +V\u000a +p4778 +tp4779 +a(g8 +V00004e0 +p4780 +tp4781 +a(g685 +g958 +tp4782 +a(g900 +g960 +tp4783 +a(g548 +V20 +p4784 +tp4785 +a(g548 +V2f +p4786 +tp4787 +a(g900 +g960 +tp4788 +a(g548 +V2f +p4789 +tp4790 +a(g548 +V20 +p4791 +tp4792 +a(g900 +g960 +tp4793 +a(g548 +V30 +p4794 +tp4795 +a(g548 +V20 +p4796 +tp4797 +a(g900 +g960 +tp4798 +a(g548 +V20 +p4799 +tp4800 +a(g548 +V20 +p4801 +tp4802 +a(g900 +g960 +tp4803 +a(g548 +V0a +p4804 +tp4805 +a(g548 +V20 +p4806 +tp4807 +a(g900 +g960 +tp4808 +a(g548 +V20 +p4809 +tp4810 +a(g548 +V20 +p4811 +tp4812 +a(g900 +g960 +tp4813 +a(g548 +V20 +p4814 +tp4815 +a(g548 +V22 +p4816 +tp4817 +a(g900 +g960 +tp4818 +a(g548 +V20 +p4819 +tp4820 +a(g548 +V20 +p4821 +tp4822 +a(g900 +V +p4823 +tp4824 +a(g341 +V// 0 . " +p4825 +tp4826 +a(g900 +V\u000a +p4827 +tp4828 +a(g8 +V00004f0 +p4829 +tp4830 +a(g685 +g958 +tp4831 +a(g900 +g960 +tp4832 +a(g548 +V20 +p4833 +tp4834 +a(g548 +V20 +p4835 +tp4836 +a(g900 +g960 +tp4837 +a(g548 +V20 +p4838 +tp4839 +a(g548 +V20 +p4840 +tp4841 +a(g900 +g960 +tp4842 +a(g548 +V20 +p4843 +tp4844 +a(g548 +V20 +p4845 +tp4846 +a(g900 +g960 +tp4847 +a(g548 +V20 +p4848 +tp4849 +a(g548 +V20 +p4850 +tp4851 +a(g900 +g960 +tp4852 +a(g548 +V20 +p4853 +tp4854 +a(g548 +V20 +p4855 +tp4856 +a(g900 +g960 +tp4857 +a(g548 +V20 +p4858 +tp4859 +a(g548 +V20 +p4860 +tp4861 +a(g900 +g960 +tp4862 +a(g548 +V20 +p4863 +tp4864 +a(g548 +V20 +p4865 +tp4866 +a(g900 +g960 +tp4867 +a(g548 +V30 +p4868 +tp4869 +a(g548 +V31 +p4870 +tp4871 +a(g900 +V +p4872 +tp4873 +a(g341 +V 01 +p4874 +tp4875 +a(g900 +V\u000a +p4876 +tp4877 +a(g8 +V0000500 +p4878 +tp4879 +a(g685 +g958 +tp4880 +a(g900 +g960 +tp4881 +a(g548 +V32 +p4882 +tp4883 +a(g548 +V33 +p4884 +tp4885 +a(g900 +g960 +tp4886 +a(g548 +V34 +p4887 +tp4888 +a(g548 +V35 +p4889 +tp4890 +a(g900 +g960 +tp4891 +a(g548 +V36 +p4892 +tp4893 +a(g548 +V37 +p4894 +tp4895 +a(g900 +g960 +tp4896 +a(g548 +V38 +p4897 +tp4898 +a(g548 +V39 +p4899 +tp4900 +a(g900 +g960 +tp4901 +a(g548 +V20 +p4902 +tp4903 +a(g548 +V20 +p4904 +tp4905 +a(g900 +g960 +tp4906 +a(g548 +V20 +p4907 +tp4908 +a(g548 +V20 +p4909 +tp4910 +a(g900 +g960 +tp4911 +a(g548 +V20 +p4912 +tp4913 +a(g548 +V20 +p4914 +tp4915 +a(g900 +g960 +tp4916 +a(g548 +V22 +p4917 +tp4918 +a(g548 +V20 +p4919 +tp4920 +a(g900 +V +p4921 +tp4922 +a(g341 +V23456789 " +p4923 +tp4924 +a(g900 +V\u000a +p4925 +tp4926 +a(g8 +V0000510 +p4927 +tp4928 +a(g685 +g958 +tp4929 +a(g900 +g960 +tp4930 +a(g548 +V20 +p4931 +tp4932 +a(g548 +V2f +p4933 +tp4934 +a(g900 +g960 +tp4935 +a(g548 +V2f +p4936 +tp4937 +a(g548 +V20 +p4938 +tp4939 +a(g900 +g960 +tp4940 +a(g548 +V33 +p4941 +tp4942 +a(g548 +V32 +p4943 +tp4944 +a(g900 +g960 +tp4945 +a(g548 +V20 +p4946 +tp4947 +a(g548 +V20 +p4948 +tp4949 +a(g900 +g960 +tp4950 +a(g548 +V20 +p4951 +tp4952 +a(g548 +V20 +p4953 +tp4954 +a(g900 +g960 +tp4955 +a(g548 +V20 +p4956 +tp4957 +a(g548 +V0a +p4958 +tp4959 +a(g900 +g960 +tp4960 +a(g548 +V20 +p4961 +tp4962 +a(g548 +V20 +p4963 +tp4964 +a(g900 +g960 +tp4965 +a(g548 +V20 +p4966 +tp4967 +a(g548 +V20 +p4968 +tp4969 +a(g900 +V +p4970 +tp4971 +a(g341 +V// 32 . +p4972 +tp4973 +a(g900 +V\u000a +p4974 +tp4975 +a(g8 +V0000520 +p4976 +tp4977 +a(g685 +g958 +tp4978 +a(g900 +g960 +tp4979 +a(g548 +V22 +p4980 +tp4981 +a(g548 +V20 +p4982 +tp4983 +a(g900 +g960 +tp4984 +a(g548 +V35 +p4985 +tp4986 +a(g548 +V37 +p4987 +tp4988 +a(g900 +g960 +tp4989 +a(g548 +V36 +p4990 +tp4991 +a(g548 +V33 +p4992 +tp4993 +a(g900 +g960 +tp4994 +a(g548 +V30 +p4995 +tp4996 +a(g548 +V34 +p4997 +tp4998 +a(g900 +g960 +tp4999 +a(g548 +V39 +p5000 +tp5001 +a(g548 +V39 +p5002 +tp5003 +a(g900 +g960 +tp5004 +a(g548 +V36 +p5005 +tp5006 +a(g548 +V31 +p5007 +tp5008 +a(g900 +g960 +tp5009 +a(g548 +V37 +p5010 +tp5011 +a(g548 +V38 +p5012 +tp5013 +a(g900 +g960 +tp5014 +a(g548 +V35 +p5015 +tp5016 +a(g548 +V31 +p5017 +tp5018 +a(g900 +V +p5019 +tp5020 +a(g341 +V" 57630499617851 +p5021 +tp5022 +a(g900 +V\u000a +p5023 +tp5024 +a(g8 +V0000530 +p5025 +tp5026 +a(g685 +g958 +tp5027 +a(g900 +g960 +tp5028 +a(g548 +V38 +p5029 +tp5030 +a(g548 +V38 +p5031 +tp5032 +a(g900 +g960 +tp5033 +a(g548 +V31 +p5034 +tp5035 +a(g548 +V32 +p5036 +tp5037 +a(g900 +g960 +tp5038 +a(g548 +V33 +p5039 +tp5040 +a(g548 +V34 +p5041 +tp5042 +a(g900 +g960 +tp5043 +a(g548 +V37 +p5044 +tp5045 +a(g548 +V36 +p5046 +tp5047 +a(g900 +g960 +tp5048 +a(g548 +V32 +p5049 +tp5050 +a(g548 +V32 +p5051 +tp5052 +a(g900 +g960 +tp5053 +a(g548 +V33 +p5054 +tp5055 +a(g548 +V39 +p5056 +tp5057 +a(g900 +g960 +tp5058 +a(g548 +V20 +p5059 +tp5060 +a(g548 +V20 +p5061 +tp5062 +a(g900 +g960 +tp5063 +a(g548 +V20 +p5064 +tp5065 +a(g548 +V20 +p5066 +tp5067 +a(g900 +V +p5068 +tp5069 +a(g341 +V881234762239 +p5070 +tp5071 +a(g900 +V\u000a +p5072 +tp5073 +a(g8 +V0000540 +p5074 +tp5075 +a(g685 +g958 +tp5076 +a(g900 +g960 +tp5077 +a(g548 +V20 +p5078 +tp5079 +a(g548 +V22 +p5080 +tp5081 +a(g900 +g960 +tp5082 +a(g548 +V20 +p5083 +tp5084 +a(g548 +V20 +p5085 +tp5086 +a(g900 +g960 +tp5087 +a(g548 +V2f +p5088 +tp5089 +a(g548 +V2f +p5090 +tp5091 +a(g900 +g960 +tp5092 +a(g548 +V20 +p5093 +tp5094 +a(g548 +V36 +p5095 +tp5096 +a(g900 +g960 +tp5097 +a(g548 +V34 +p5098 +tp5099 +a(g548 +V20 +p5100 +tp5101 +a(g900 +g960 +tp5102 +a(g548 +V20 +p5103 +tp5104 +a(g548 +V20 +p5105 +tp5106 +a(g900 +g960 +tp5107 +a(g548 +V0a +p5108 +tp5109 +a(g548 +V20 +p5110 +tp5111 +a(g900 +g960 +tp5112 +a(g548 +V20 +p5113 +tp5114 +a(g548 +V20 +p5115 +tp5116 +a(g900 +V +p5117 +tp5118 +a(g341 +V" // 64 . +p5119 +tp5120 +a(g900 +V\u000a +p5121 +tp5122 +a(g8 +V0000550 +p5123 +tp5124 +a(g685 +g958 +tp5125 +a(g900 +g960 +tp5126 +a(g548 +V20 +p5127 +tp5128 +a(g548 +V22 +p5129 +tp5130 +a(g900 +g960 +tp5131 +a(g548 +V20 +p5132 +tp5133 +a(g548 +V35 +p5134 +tp5135 +a(g900 +g960 +tp5136 +a(g548 +V37 +p5137 +tp5138 +a(g548 +V36 +p5139 +tp5140 +a(g900 +g960 +tp5141 +a(g548 +V33 +p5142 +tp5143 +a(g548 +V30 +p5144 +tp5145 +a(g900 +g960 +tp5146 +a(g548 +V34 +p5147 +tp5148 +a(g548 +V39 +p5149 +tp5150 +a(g900 +g960 +tp5151 +a(g548 +V39 +p5152 +tp5153 +a(g548 +V36 +p5154 +tp5155 +a(g900 +g960 +tp5156 +a(g548 +V31 +p5157 +tp5158 +a(g548 +V37 +p5159 +tp5160 +a(g900 +g960 +tp5161 +a(g548 +V38 +p5162 +tp5163 +a(g548 +V35 +p5164 +tp5165 +a(g900 +V +p5166 +tp5167 +a(g341 +V" 5763049961785 +p5168 +tp5169 +a(g900 +V\u000a +p5170 +tp5171 +a(g8 +V0000560 +p5172 +tp5173 +a(g685 +g958 +tp5174 +a(g900 +g960 +tp5175 +a(g548 +V31 +p5176 +tp5177 +a(g548 +V38 +p5178 +tp5179 +a(g900 +g960 +tp5180 +a(g548 +V38 +p5181 +tp5182 +a(g548 +V31 +p5183 +tp5184 +a(g900 +g960 +tp5185 +a(g548 +V32 +p5186 +tp5187 +a(g548 +V33 +p5188 +tp5189 +a(g900 +g960 +tp5190 +a(g548 +V34 +p5191 +tp5192 +a(g548 +V37 +p5193 +tp5194 +a(g900 +g960 +tp5195 +a(g548 +V36 +p5196 +tp5197 +a(g548 +V32 +p5198 +tp5199 +a(g900 +g960 +tp5200 +a(g548 +V32 +p5201 +tp5202 +a(g548 +V33 +p5203 +tp5204 +a(g900 +g960 +tp5205 +a(g548 +V39 +p5206 +tp5207 +a(g548 +V20 +p5208 +tp5209 +a(g900 +g960 +tp5210 +a(g548 +V20 +p5211 +tp5212 +a(g548 +V20 +p5213 +tp5214 +a(g900 +V +p5215 +tp5216 +a(g341 +V1881234762239 +p5217 +tp5218 +a(g900 +V\u000a +p5219 +tp5220 +a(g8 +V0000570 +p5221 +tp5222 +a(g685 +g958 +tp5223 +a(g900 +g960 +tp5224 +a(g548 +V20 +p5225 +tp5226 +a(g548 +V20 +p5227 +tp5228 +a(g900 +g960 +tp5229 +a(g548 +V22 +p5230 +tp5231 +a(g548 +V0a +p5232 +tp5233 +a(g900 +g960 +tp5234 +a(g548 +V20 +p5235 +tp5236 +a(g548 +V20 +p5237 +tp5238 +a(g900 +g960 +tp5239 +a(g548 +V20 +p5240 +tp5241 +a(g548 +V20 +p5242 +tp5243 +a(g900 +g960 +tp5244 +a(g548 +V22 +p5245 +tp5246 +a(g548 +V20 +p5247 +tp5248 +a(g900 +g960 +tp5249 +a(g548 +V20 +p5250 +tp5251 +a(g548 +V20 +p5252 +tp5253 +a(g900 +g960 +tp5254 +a(g548 +V20 +p5255 +tp5256 +a(g548 +V20 +p5257 +tp5258 +a(g900 +g960 +tp5259 +a(g548 +V20 +p5260 +tp5261 +a(g548 +V20 +p5262 +tp5263 +a(g900 +V +p5264 +tp5265 +a(g341 +V ". " +p5266 +tp5267 +a(g900 +V\u000a +p5268 +tp5269 +a(g8 +V0000580 +p5270 +tp5271 +a(g685 +g958 +tp5272 +a(g900 +g960 +tp5273 +a(g548 +V20 +p5274 +tp5275 +a(g548 +V20 +p5276 +tp5277 +a(g900 +g960 +tp5278 +a(g548 +V20 +p5279 +tp5280 +a(g548 +V20 +p5281 +tp5282 +a(g900 +g960 +tp5283 +a(g548 +V20 +p5284 +tp5285 +a(g548 +V20 +p5286 +tp5287 +a(g900 +g960 +tp5288 +a(g548 +V20 +p5289 +tp5290 +a(g548 +V20 +p5291 +tp5292 +a(g900 +g960 +tp5293 +a(g548 +V20 +p5294 +tp5295 +a(g548 +V20 +p5296 +tp5297 +a(g900 +g960 +tp5298 +a(g548 +V20 +p5299 +tp5300 +a(g548 +V20 +p5301 +tp5302 +a(g900 +g960 +tp5303 +a(g548 +V20 +p5304 +tp5305 +a(g548 +V20 +p5306 +tp5307 +a(g900 +g960 +tp5308 +a(g548 +V20 +p5309 +tp5310 +a(g548 +V20 +p5311 +tp5312 +a(g900 +V +p5313 +tp5314 +a(g341 +V +p5315 +tp5316 +a(g900 +V\u000a +p5317 +tp5318 +a(g8 +V0000590 +p5319 +tp5320 +a(g685 +g958 +tp5321 +a(g900 +g960 +tp5322 +a(g548 +V20 +p5323 +tp5324 +a(g548 +V20 +p5325 +tp5326 +a(g900 +g960 +tp5327 +a(g548 +V20 +p5328 +tp5329 +a(g548 +V20 +p5330 +tp5331 +a(g900 +g960 +tp5332 +a(g548 +V20 +p5333 +tp5334 +a(g548 +V20 +p5335 +tp5336 +a(g900 +g960 +tp5337 +a(g548 +V20 +p5338 +tp5339 +a(g548 +V20 +p5340 +tp5341 +a(g900 +g960 +tp5342 +a(g548 +V20 +p5343 +tp5344 +a(g548 +V22 +p5345 +tp5346 +a(g900 +g960 +tp5347 +a(g548 +V0a +p5348 +tp5349 +a(g548 +V20 +p5350 +tp5351 +a(g900 +g960 +tp5352 +a(g548 +V20 +p5353 +tp5354 +a(g548 +V20 +p5355 +tp5356 +a(g900 +g960 +tp5357 +a(g548 +V20 +p5358 +tp5359 +a(g548 +V22 +p5360 +tp5361 +a(g900 +V +p5362 +tp5363 +a(g341 +V ". " +p5364 +tp5365 +a(g900 +V\u000a +p5366 +tp5367 +a(g8 +V00005a0 +p5368 +tp5369 +a(g685 +g958 +tp5370 +a(g900 +g960 +tp5371 +a(g548 +V20 +p5372 +tp5373 +a(g548 +V20 +p5374 +tp5375 +a(g900 +g960 +tp5376 +a(g548 +V20 +p5377 +tp5378 +a(g548 +V20 +p5379 +tp5380 +a(g900 +g960 +tp5381 +a(g548 +V20 +p5382 +tp5383 +a(g548 +V20 +p5384 +tp5385 +a(g900 +g960 +tp5386 +a(g548 +V20 +p5387 +tp5388 +a(g548 +V20 +p5389 +tp5390 +a(g900 +g960 +tp5391 +a(g548 +V20 +p5392 +tp5393 +a(g548 +V20 +p5394 +tp5395 +a(g900 +g960 +tp5396 +a(g548 +V20 +p5397 +tp5398 +a(g548 +V20 +p5399 +tp5400 +a(g900 +g960 +tp5401 +a(g548 +V20 +p5402 +tp5403 +a(g548 +V20 +p5404 +tp5405 +a(g900 +g960 +tp5406 +a(g548 +V20 +p5407 +tp5408 +a(g548 +V20 +p5409 +tp5410 +a(g900 +V +p5411 +tp5412 +a(g341 +V +p5413 +tp5414 +a(g900 +V\u000a +p5415 +tp5416 +a(g8 +V00005b0 +p5417 +tp5418 +a(g685 +g958 +tp5419 +a(g900 +g960 +tp5420 +a(g548 +V20 +p5421 +tp5422 +a(g548 +V20 +p5423 +tp5424 +a(g900 +g960 +tp5425 +a(g548 +V20 +p5426 +tp5427 +a(g548 +V20 +p5428 +tp5429 +a(g900 +g960 +tp5430 +a(g548 +V20 +p5431 +tp5432 +a(g548 +V20 +p5433 +tp5434 +a(g900 +g960 +tp5435 +a(g548 +V20 +p5436 +tp5437 +a(g548 +V20 +p5438 +tp5439 +a(g900 +g960 +tp5440 +a(g548 +V20 +p5441 +tp5442 +a(g548 +V20 +p5443 +tp5444 +a(g900 +g960 +tp5445 +a(g548 +V20 +p5446 +tp5447 +a(g548 +V20 +p5448 +tp5449 +a(g900 +g960 +tp5450 +a(g548 +V20 +p5451 +tp5452 +a(g548 +V20 +p5453 +tp5454 +a(g900 +g960 +tp5455 +a(g548 +V20 +p5456 +tp5457 +a(g548 +V20 +p5458 +tp5459 +a(g900 +V +p5460 +tp5461 +a(g341 +V +p5462 +tp5463 +a(g900 +V\u000a +p5464 +tp5465 +a(g8 +V00005c0 +p5466 +tp5467 +a(g685 +g958 +tp5468 +a(g900 +g960 +tp5469 +a(g548 +V22 +p5470 +tp5471 +a(g548 +V0a +p5472 +tp5473 +a(g900 +g960 +tp5474 +a(g548 +V20 +p5475 +tp5476 +a(g548 +V20 +p5477 +tp5478 +a(g900 +g960 +tp5479 +a(g548 +V20 +p5480 +tp5481 +a(g548 +V20 +p5482 +tp5483 +a(g900 +g960 +tp5484 +a(g548 +V22 +p5485 +tp5486 +a(g548 +V20 +p5487 +tp5488 +a(g900 +g960 +tp5489 +a(g548 +V20 +p5490 +tp5491 +a(g548 +V20 +p5492 +tp5493 +a(g900 +g960 +tp5494 +a(g548 +V20 +p5495 +tp5496 +a(g548 +V20 +p5497 +tp5498 +a(g900 +g960 +tp5499 +a(g548 +V20 +p5500 +tp5501 +a(g548 +V20 +p5502 +tp5503 +a(g900 +g960 +tp5504 +a(g548 +V20 +p5505 +tp5506 +a(g548 +V20 +p5507 +tp5508 +a(g900 +V +p5509 +tp5510 +a(g341 +V". " +p5511 +tp5512 +a(g900 +V\u000a +p5513 +tp5514 +a(g8 +V00005d0 +p5515 +tp5516 +a(g685 +g958 +tp5517 +a(g900 +g960 +tp5518 +a(g548 +V20 +p5519 +tp5520 +a(g548 +V20 +p5521 +tp5522 +a(g900 +g960 +tp5523 +a(g548 +V20 +p5524 +tp5525 +a(g548 +V20 +p5526 +tp5527 +a(g900 +g960 +tp5528 +a(g548 +V20 +p5529 +tp5530 +a(g548 +V20 +p5531 +tp5532 +a(g900 +g960 +tp5533 +a(g548 +V20 +p5534 +tp5535 +a(g548 +V20 +p5536 +tp5537 +a(g900 +g960 +tp5538 +a(g548 +V20 +p5539 +tp5540 +a(g548 +V20 +p5541 +tp5542 +a(g900 +g960 +tp5543 +a(g548 +V20 +p5544 +tp5545 +a(g548 +V20 +p5546 +tp5547 +a(g900 +g960 +tp5548 +a(g548 +V20 +p5549 +tp5550 +a(g548 +V20 +p5551 +tp5552 +a(g900 +g960 +tp5553 +a(g548 +V20 +p5554 +tp5555 +a(g548 +V20 +p5556 +tp5557 +a(g900 +V +p5558 +tp5559 +a(g341 +V +p5560 +tp5561 +a(g900 +V\u000a +p5562 +tp5563 +a(g8 +V00005e0 +p5564 +tp5565 +a(g685 +g958 +tp5566 +a(g900 +g960 +tp5567 +a(g548 +V20 +p5568 +tp5569 +a(g548 +V20 +p5570 +tp5571 +a(g900 +g960 +tp5572 +a(g548 +V20 +p5573 +tp5574 +a(g548 +V20 +p5575 +tp5576 +a(g900 +g960 +tp5577 +a(g548 +V20 +p5578 +tp5579 +a(g548 +V20 +p5580 +tp5581 +a(g900 +g960 +tp5582 +a(g548 +V20 +p5583 +tp5584 +a(g548 +V22 +p5585 +tp5586 +a(g900 +g960 +tp5587 +a(g548 +V20 +p5588 +tp5589 +a(g548 +V20 +p5590 +tp5591 +a(g900 +g960 +tp5592 +a(g548 +V20 +p5593 +tp5594 +a(g548 +V20 +p5595 +tp5596 +a(g900 +g960 +tp5597 +a(g548 +V0a +p5598 +tp5599 +a(g548 +V20 +p5600 +tp5601 +a(g900 +g960 +tp5602 +a(g548 +V20 +p5603 +tp5604 +a(g548 +V20 +p5605 +tp5606 +a(g900 +V +p5607 +tp5608 +a(g341 +V " . +p5609 +tp5610 +a(g900 +V\u000a +p5611 +tp5612 +a(g8 +V00005f0 +p5613 +tp5614 +a(g685 +g958 +tp5615 +a(g900 +g960 +tp5616 +a(g548 +V20 +p5617 +tp5618 +a(g548 +V22 +p5619 +tp5620 +a(g900 +g960 +tp5621 +a(g548 +V20 +p5622 +tp5623 +a(g548 +V20 +p5624 +tp5625 +a(g900 +g960 +tp5626 +a(g548 +V20 +p5627 +tp5628 +a(g548 +V20 +p5629 +tp5630 +a(g900 +g960 +tp5631 +a(g548 +V20 +p5632 +tp5633 +a(g548 +V20 +p5634 +tp5635 +a(g900 +g960 +tp5636 +a(g548 +V20 +p5637 +tp5638 +a(g548 +V20 +p5639 +tp5640 +a(g900 +g960 +tp5641 +a(g548 +V20 +p5642 +tp5643 +a(g548 +V20 +p5644 +tp5645 +a(g900 +g960 +tp5646 +a(g548 +V20 +p5647 +tp5648 +a(g548 +V20 +p5649 +tp5650 +a(g900 +g960 +tp5651 +a(g548 +V20 +p5652 +tp5653 +a(g548 +V20 +p5654 +tp5655 +a(g900 +V +p5656 +tp5657 +a(g341 +V" +p5658 +tp5659 +a(g900 +V\u000a +p5660 +tp5661 +a(g8 +V0000600 +p5662 +tp5663 +a(g685 +g958 +tp5664 +a(g900 +g960 +tp5665 +a(g548 +V20 +p5666 +tp5667 +a(g548 +V20 +p5668 +tp5669 +a(g900 +g960 +tp5670 +a(g548 +V20 +p5671 +tp5672 +a(g548 +V20 +p5673 +tp5674 +a(g900 +g960 +tp5675 +a(g548 +V20 +p5676 +tp5677 +a(g548 +V20 +p5678 +tp5679 +a(g900 +g960 +tp5680 +a(g548 +V20 +p5681 +tp5682 +a(g548 +V20 +p5683 +tp5684 +a(g900 +g960 +tp5685 +a(g548 +V20 +p5686 +tp5687 +a(g548 +V20 +p5688 +tp5689 +a(g900 +g960 +tp5690 +a(g548 +V20 +p5691 +tp5692 +a(g548 +V20 +p5693 +tp5694 +a(g900 +g960 +tp5695 +a(g548 +V20 +p5696 +tp5697 +a(g548 +V20 +p5698 +tp5699 +a(g900 +g960 +tp5700 +a(g548 +V20 +p5701 +tp5702 +a(g548 +V20 +p5703 +tp5704 +a(g900 +V +p5705 +tp5706 +a(g341 +V +p5707 +tp5708 +a(g900 +V\u000a +p5709 +tp5710 +a(g8 +V0000610 +p5711 +tp5712 +a(g685 +g958 +tp5713 +a(g900 +g960 +tp5714 +a(g548 +V20 +p5715 +tp5716 +a(g548 +V20 +p5717 +tp5718 +a(g900 +g960 +tp5719 +a(g548 +V22 +p5720 +tp5721 +a(g548 +V3b +p5722 +tp5723 +a(g900 +g960 +tp5724 +a(g548 +V0a +p5725 +tp5726 +a(g548 +V20 +p5727 +tp5728 +a(g900 +g960 +tp5729 +a(g548 +V20 +p5730 +tp5731 +a(g548 +V20 +p5732 +tp5733 +a(g900 +g960 +tp5734 +a(g548 +V20 +p5735 +tp5736 +a(g548 +V73 +p5737 +tp5738 +a(g900 +g960 +tp5739 +a(g548 +V74 +p5740 +tp5741 +a(g548 +V72 +p5742 +tp5743 +a(g900 +g960 +tp5744 +a(g548 +V69 +p5745 +tp5746 +a(g548 +V6e +p5747 +tp5748 +a(g900 +g960 +tp5749 +a(g548 +V67 +p5750 +tp5751 +a(g548 +V20 +p5752 +tp5753 +a(g900 +V +p5754 +tp5755 +a(g341 +V ";. string +p5756 +tp5757 +a(g900 +V\u000a +p5758 +tp5759 +a(g8 +V0000620 +p5760 +tp5761 +a(g685 +g958 +tp5762 +a(g900 +g960 +tp5763 +a(g548 +V72 +p5764 +tp5765 +a(g548 +V65 +p5766 +tp5767 +a(g900 +g960 +tp5768 +a(g548 +V74 +p5769 +tp5770 +a(g548 +V3b +p5771 +tp5772 +a(g900 +g960 +tp5773 +a(g548 +V0a +p5774 +tp5775 +a(g548 +V20 +p5776 +tp5777 +a(g900 +g960 +tp5778 +a(g548 +V20 +p5779 +tp5780 +a(g548 +V20 +p5781 +tp5782 +a(g900 +g960 +tp5783 +a(g548 +V20 +p5784 +tp5785 +a(g548 +V66 +p5786 +tp5787 +a(g900 +g960 +tp5788 +a(g548 +V6f +p5789 +tp5790 +a(g548 +V72 +p5791 +tp5792 +a(g900 +g960 +tp5793 +a(g548 +V65 +p5794 +tp5795 +a(g548 +V61 +p5796 +tp5797 +a(g900 +g960 +tp5798 +a(g548 +V63 +p5799 +tp5800 +a(g548 +V68 +p5801 +tp5802 +a(g900 +V +p5803 +tp5804 +a(g341 +Vret;. foreach +p5805 +tp5806 +a(g900 +V\u000a +p5807 +tp5808 +a(g8 +V0000630 +p5809 +tp5810 +a(g685 +g958 +tp5811 +a(g900 +g960 +tp5812 +a(g548 +V28 +p5813 +tp5814 +a(g548 +V63 +p5815 +tp5816 +a(g900 +g960 +tp5817 +a(g548 +V3b +p5818 +tp5819 +a(g548 +V20 +p5820 +tp5821 +a(g900 +g960 +tp5822 +a(g548 +V63 +p5823 +tp5824 +a(g548 +V61 +p5825 +tp5826 +a(g900 +g960 +tp5827 +a(g548 +V73 +p5828 +tp5829 +a(g548 +V74 +p5830 +tp5831 +a(g900 +g960 +tp5832 +a(g548 +V28 +p5833 +tp5834 +a(g548 +V75 +p5835 +tp5836 +a(g900 +g960 +tp5837 +a(g548 +V62 +p5838 +tp5839 +a(g548 +V79 +p5840 +tp5841 +a(g900 +g960 +tp5842 +a(g548 +V74 +p5843 +tp5844 +a(g548 +V65 +p5845 +tp5846 +a(g900 +g960 +tp5847 +a(g548 +V5b +p5848 +tp5849 +a(g548 +V5d +p5850 +tp5851 +a(g900 +V +p5852 +tp5853 +a(g341 +V(c; cast(ubyte[] +p5854 +tp5855 +a(g900 +V\u000a +p5856 +tp5857 +a(g8 +V0000640 +p5858 +tp5859 +a(g685 +g958 +tp5860 +a(g900 +g960 +tp5861 +a(g548 +V29 +p5862 +tp5863 +a(g548 +V77 +p5864 +tp5865 +a(g900 +g960 +tp5866 +a(g548 +V6f +p5867 +tp5868 +a(g548 +V72 +p5869 +tp5870 +a(g900 +g960 +tp5871 +a(g548 +V64 +p5872 +tp5873 +a(g548 +V29 +p5874 +tp5875 +a(g900 +g960 +tp5876 +a(g548 +V0a +p5877 +tp5878 +a(g548 +V20 +p5879 +tp5880 +a(g900 +g960 +tp5881 +a(g548 +V20 +p5882 +tp5883 +a(g548 +V20 +p5884 +tp5885 +a(g900 +g960 +tp5886 +a(g548 +V20 +p5887 +tp5888 +a(g548 +V20 +p5889 +tp5890 +a(g900 +g960 +tp5891 +a(g548 +V20 +p5892 +tp5893 +a(g548 +V20 +p5894 +tp5895 +a(g900 +g960 +tp5896 +a(g548 +V20 +p5897 +tp5898 +a(g548 +V69 +p5899 +tp5900 +a(g900 +V +p5901 +tp5902 +a(g341 +V)word). i +p5903 +tp5904 +a(g900 +V\u000a +p5905 +tp5906 +a(g8 +V0000650 +p5907 +tp5908 +a(g685 +g958 +tp5909 +a(g900 +g960 +tp5910 +a(g548 +V66 +p5911 +tp5912 +a(g548 +V20 +p5913 +tp5914 +a(g900 +g960 +tp5915 +a(g548 +V28 +p5916 +tp5917 +a(g548 +V54 +p5918 +tp5919 +a(g900 +g960 +tp5920 +a(g548 +V52 +p5921 +tp5922 +a(g548 +V41 +p5923 +tp5924 +a(g900 +g960 +tp5925 +a(g548 +V4e +p5926 +tp5927 +a(g548 +V53 +p5928 +tp5929 +a(g900 +g960 +tp5930 +a(g548 +V4c +p5931 +tp5932 +a(g548 +V41 +p5933 +tp5934 +a(g900 +g960 +tp5935 +a(g548 +V54 +p5936 +tp5937 +a(g548 +V45 +p5938 +tp5939 +a(g900 +g960 +tp5940 +a(g548 +V5b +p5941 +tp5942 +a(g548 +V63 +p5943 +tp5944 +a(g900 +g960 +tp5945 +a(g548 +V5d +p5946 +tp5947 +a(g548 +V20 +p5948 +tp5949 +a(g900 +V +p5950 +tp5951 +a(g341 +Vf (TRANSLATE[c] +p5952 +tp5953 +a(g900 +V\u000a +p5954 +tp5955 +a(g8 +V0000660 +p5956 +tp5957 +a(g685 +g958 +tp5958 +a(g900 +g960 +tp5959 +a(g548 +V21 +p5960 +tp5961 +a(g548 +V3d +p5962 +tp5963 +a(g900 +g960 +tp5964 +a(g548 +V20 +p5965 +tp5966 +a(g548 +V27 +p5967 +tp5968 +a(g900 +g960 +tp5969 +a(g548 +V20 +p5970 +tp5971 +a(g548 +V27 +p5972 +tp5973 +a(g900 +g960 +tp5974 +a(g548 +V29 +p5975 +tp5976 +a(g548 +V0a +p5977 +tp5978 +a(g900 +g960 +tp5979 +a(g548 +V20 +p5980 +tp5981 +a(g548 +V20 +p5982 +tp5983 +a(g900 +g960 +tp5984 +a(g548 +V20 +p5985 +tp5986 +a(g548 +V20 +p5987 +tp5988 +a(g900 +g960 +tp5989 +a(g548 +V20 +p5990 +tp5991 +a(g548 +V20 +p5992 +tp5993 +a(g900 +g960 +tp5994 +a(g548 +V20 +p5995 +tp5996 +a(g548 +V20 +p5997 +tp5998 +a(g900 +V +p5999 +tp6000 +a(g341 +V!= ' '). +p6001 +tp6002 +a(g900 +V\u000a +p6003 +tp6004 +a(g8 +V0000670 +p6005 +tp6006 +a(g685 +g958 +tp6007 +a(g900 +g960 +tp6008 +a(g548 +V20 +p6009 +tp6010 +a(g548 +V20 +p6011 +tp6012 +a(g900 +g960 +tp6013 +a(g548 +V20 +p6014 +tp6015 +a(g548 +V20 +p6016 +tp6017 +a(g900 +g960 +tp6018 +a(g548 +V72 +p6019 +tp6020 +a(g548 +V65 +p6021 +tp6022 +a(g900 +g960 +tp6023 +a(g548 +V74 +p6024 +tp6025 +a(g548 +V20 +p6026 +tp6027 +a(g900 +g960 +tp6028 +a(g548 +V7e +p6029 +tp6030 +a(g548 +V3d +p6031 +tp6032 +a(g900 +g960 +tp6033 +a(g548 +V20 +p6034 +tp6035 +a(g548 +V54 +p6036 +tp6037 +a(g900 +g960 +tp6038 +a(g548 +V52 +p6039 +tp6040 +a(g548 +V41 +p6041 +tp6042 +a(g900 +g960 +tp6043 +a(g548 +V4e +p6044 +tp6045 +a(g548 +V53 +p6046 +tp6047 +a(g900 +V +p6048 +tp6049 +a(g341 +V ret ~= TRANS +p6050 +tp6051 +a(g900 +V\u000a +p6052 +tp6053 +a(g8 +V0000680 +p6054 +tp6055 +a(g685 +g958 +tp6056 +a(g900 +g960 +tp6057 +a(g548 +V4c +p6058 +tp6059 +a(g548 +V41 +p6060 +tp6061 +a(g900 +g960 +tp6062 +a(g548 +V54 +p6063 +tp6064 +a(g548 +V45 +p6065 +tp6066 +a(g900 +g960 +tp6067 +a(g548 +V5b +p6068 +tp6069 +a(g548 +V63 +p6070 +tp6071 +a(g900 +g960 +tp6072 +a(g548 +V5d +p6073 +tp6074 +a(g548 +V3b +p6075 +tp6076 +a(g900 +g960 +tp6077 +a(g548 +V0a +p6078 +tp6079 +a(g548 +V20 +p6080 +tp6081 +a(g900 +g960 +tp6082 +a(g548 +V20 +p6083 +tp6084 +a(g548 +V20 +p6085 +tp6086 +a(g900 +g960 +tp6087 +a(g548 +V20 +p6088 +tp6089 +a(g548 +V72 +p6090 +tp6091 +a(g900 +g960 +tp6092 +a(g548 +V65 +p6093 +tp6094 +a(g548 +V74 +p6095 +tp6096 +a(g900 +V +p6097 +tp6098 +a(g341 +VLATE[c];. ret +p6099 +tp6100 +a(g900 +V\u000a +p6101 +tp6102 +a(g8 +V0000690 +p6103 +tp6104 +a(g685 +g958 +tp6105 +a(g900 +g960 +tp6106 +a(g548 +V75 +p6107 +tp6108 +a(g548 +V72 +p6109 +tp6110 +a(g900 +g960 +tp6111 +a(g548 +V6e +p6112 +tp6113 +a(g548 +V20 +p6114 +tp6115 +a(g900 +g960 +tp6116 +a(g548 +V72 +p6117 +tp6118 +a(g548 +V65 +p6119 +tp6120 +a(g900 +g960 +tp6121 +a(g548 +V74 +p6122 +tp6123 +a(g548 +V3b +p6124 +tp6125 +a(g900 +g960 +tp6126 +a(g548 +V0a +p6127 +tp6128 +a(g548 +V7d +p6129 +tp6130 +a(g900 +g960 +tp6131 +a(g548 +V0a +p6132 +tp6133 +a(g548 +V0a +p6134 +tp6135 +a(g900 +g960 +tp6136 +a(g548 +V75 +p6137 +tp6138 +a(g548 +V6e +p6139 +tp6140 +a(g900 +g960 +tp6141 +a(g548 +V69 +p6142 +tp6143 +a(g548 +V74 +p6144 +tp6145 +a(g900 +V +p6146 +tp6147 +a(g341 +Vurn ret;.}..unit +p6148 +tp6149 +a(g900 +V\u000a +p6150 +tp6151 +a(g8 +V00006a0 +p6152 +tp6153 +a(g685 +g958 +tp6154 +a(g900 +g960 +tp6155 +a(g548 +V74 +p6156 +tp6157 +a(g548 +V65 +p6158 +tp6159 +a(g900 +g960 +tp6160 +a(g548 +V73 +p6161 +tp6162 +a(g548 +V74 +p6163 +tp6164 +a(g900 +g960 +tp6165 +a(g548 +V20 +p6166 +tp6167 +a(g548 +V7b +p6168 +tp6169 +a(g900 +g960 +tp6170 +a(g548 +V0a +p6171 +tp6172 +a(g548 +V20 +p6173 +tp6174 +a(g900 +g960 +tp6175 +a(g548 +V2f +p6176 +tp6177 +a(g548 +V2f +p6178 +tp6179 +a(g900 +g960 +tp6180 +a(g548 +V20 +p6181 +tp6182 +a(g548 +V54 +p6183 +tp6184 +a(g900 +g960 +tp6185 +a(g548 +V65 +p6186 +tp6187 +a(g548 +V73 +p6188 +tp6189 +a(g900 +g960 +tp6190 +a(g548 +V74 +p6191 +tp6192 +a(g548 +V20 +p6193 +tp6194 +a(g900 +V +p6195 +tp6196 +a(g341 +Vtest {. // Test +p6197 +tp6198 +a(g900 +V\u000a +p6199 +tp6200 +a(g8 +V00006b0 +p6201 +tp6202 +a(g685 +g958 +tp6203 +a(g900 +g960 +tp6204 +a(g548 +V77 +p6205 +tp6206 +a(g548 +V6f +p6207 +tp6208 +a(g900 +g960 +tp6209 +a(g548 +V72 +p6210 +tp6211 +a(g548 +V64 +p6212 +tp6213 +a(g900 +g960 +tp6214 +a(g548 +V54 +p6215 +tp6216 +a(g548 +V6f +p6217 +tp6218 +a(g900 +g960 +tp6219 +a(g548 +V4e +p6220 +tp6221 +a(g548 +V75 +p6222 +tp6223 +a(g900 +g960 +tp6224 +a(g548 +V6d +p6225 +tp6226 +a(g548 +V20 +p6227 +tp6228 +a(g900 +g960 +tp6229 +a(g548 +V75 +p6230 +tp6231 +a(g548 +V73 +p6232 +tp6233 +a(g900 +g960 +tp6234 +a(g548 +V69 +p6235 +tp6236 +a(g548 +V6e +p6237 +tp6238 +a(g900 +g960 +tp6239 +a(g548 +V67 +p6240 +tp6241 +a(g548 +V20 +p6242 +tp6243 +a(g900 +V +p6244 +tp6245 +a(g341 +VwordToNum using +p6246 +tp6247 +a(g900 +V\u000a +p6248 +tp6249 +a(g8 +V00006c0 +p6250 +tp6251 +a(g685 +g958 +tp6252 +a(g900 +g960 +tp6253 +a(g548 +V74 +p6254 +tp6255 +a(g548 +V68 +p6256 +tp6257 +a(g900 +g960 +tp6258 +a(g548 +V65 +p6259 +tp6260 +a(g548 +V20 +p6261 +tp6262 +a(g900 +g960 +tp6263 +a(g548 +V74 +p6264 +tp6265 +a(g548 +V61 +p6266 +tp6267 +a(g900 +g960 +tp6268 +a(g548 +V62 +p6269 +tp6270 +a(g548 +V6c +p6271 +tp6272 +a(g900 +g960 +tp6273 +a(g548 +V65 +p6274 +tp6275 +a(g548 +V20 +p6276 +tp6277 +a(g900 +g960 +tp6278 +a(g548 +V66 +p6279 +tp6280 +a(g548 +V72 +p6281 +tp6282 +a(g900 +g960 +tp6283 +a(g548 +V6f +p6284 +tp6285 +a(g548 +V6d +p6286 +tp6287 +a(g900 +g960 +tp6288 +a(g548 +V20 +p6289 +tp6290 +a(g548 +V74 +p6291 +tp6292 +a(g900 +V +p6293 +tp6294 +a(g341 +Vthe table from t +p6295 +tp6296 +a(g900 +V\u000a +p6297 +tp6298 +a(g8 +V00006d0 +p6299 +tp6300 +a(g685 +g958 +tp6301 +a(g900 +g960 +tp6302 +a(g548 +V68 +p6303 +tp6304 +a(g548 +V65 +p6305 +tp6306 +a(g900 +g960 +tp6307 +a(g548 +V20 +p6308 +tp6309 +a(g548 +V74 +p6310 +tp6311 +a(g900 +g960 +tp6312 +a(g548 +V61 +p6313 +tp6314 +a(g548 +V73 +p6315 +tp6316 +a(g900 +g960 +tp6317 +a(g548 +V6b +p6318 +tp6319 +a(g548 +V20 +p6320 +tp6321 +a(g900 +g960 +tp6322 +a(g548 +V64 +p6323 +tp6324 +a(g548 +V65 +p6325 +tp6326 +a(g900 +g960 +tp6327 +a(g548 +V73 +p6328 +tp6329 +a(g548 +V63 +p6330 +tp6331 +a(g900 +g960 +tp6332 +a(g548 +V72 +p6333 +tp6334 +a(g548 +V69 +p6335 +tp6336 +a(g900 +g960 +tp6337 +a(g548 +V70 +p6338 +tp6339 +a(g548 +V74 +p6340 +tp6341 +a(g900 +V +p6342 +tp6343 +a(g341 +Vhe task descript +p6344 +tp6345 +a(g900 +V\u000a +p6346 +tp6347 +a(g8 +V00006e0 +p6348 +tp6349 +a(g685 +g958 +tp6350 +a(g900 +g960 +tp6351 +a(g548 +V69 +p6352 +tp6353 +a(g548 +V6f +p6354 +tp6355 +a(g900 +g960 +tp6356 +a(g548 +V6e +p6357 +tp6358 +a(g548 +V2e +p6359 +tp6360 +a(g900 +g960 +tp6361 +a(g548 +V0a +p6362 +tp6363 +a(g548 +V20 +p6364 +tp6365 +a(g900 +g960 +tp6366 +a(g548 +V61 +p6367 +tp6368 +a(g548 +V73 +p6369 +tp6370 +a(g900 +g960 +tp6371 +a(g548 +V73 +p6372 +tp6373 +a(g548 +V65 +p6374 +tp6375 +a(g900 +g960 +tp6376 +a(g548 +V72 +p6377 +tp6378 +a(g548 +V74 +p6379 +tp6380 +a(g900 +g960 +tp6381 +a(g548 +V28 +p6382 +tp6383 +a(g548 +V20 +p6384 +tp6385 +a(g900 +g960 +tp6386 +a(g548 +V22 +p6387 +tp6388 +a(g548 +V30 +p6389 +tp6390 +a(g900 +V +p6391 +tp6392 +a(g341 +Vion.. assert( "0 +p6393 +tp6394 +a(g900 +V\u000a +p6395 +tp6396 +a(g8 +V00006f0 +p6397 +tp6398 +a(g685 +g958 +tp6399 +a(g900 +g960 +tp6400 +a(g548 +V31 +p6401 +tp6402 +a(g548 +V31 +p6403 +tp6404 +a(g900 +g960 +tp6405 +a(g548 +V31 +p6406 +tp6407 +a(g548 +V32 +p6408 +tp6409 +a(g900 +g960 +tp6410 +a(g548 +V32 +p6411 +tp6412 +a(g548 +V32 +p6413 +tp6414 +a(g900 +g960 +tp6415 +a(g548 +V33 +p6416 +tp6417 +a(g548 +V33 +p6418 +tp6419 +a(g900 +g960 +tp6420 +a(g548 +V33 +p6421 +tp6422 +a(g548 +V34 +p6423 +tp6424 +a(g900 +g960 +tp6425 +a(g548 +V34 +p6426 +tp6427 +a(g548 +V35 +p6428 +tp6429 +a(g900 +g960 +tp6430 +a(g548 +V35 +p6431 +tp6432 +a(g548 +V36 +p6433 +tp6434 +a(g900 +g960 +tp6435 +a(g548 +V36 +p6436 +tp6437 +a(g548 +V36 +p6438 +tp6439 +a(g900 +V +p6440 +tp6441 +a(g341 +V1112223334455666 +p6442 +tp6443 +a(g900 +V\u000a +p6444 +tp6445 +a(g8 +V0000700 +p6446 +tp6447 +a(g685 +g958 +tp6448 +a(g900 +g960 +tp6449 +a(g548 +V37 +p6450 +tp6451 +a(g548 +V37 +p6452 +tp6453 +a(g900 +g960 +tp6454 +a(g548 +V37 +p6455 +tp6456 +a(g548 +V38 +p6457 +tp6458 +a(g900 +g960 +tp6459 +a(g548 +V38 +p6460 +tp6461 +a(g548 +V38 +p6462 +tp6463 +a(g900 +g960 +tp6464 +a(g548 +V39 +p6465 +tp6466 +a(g548 +V39 +p6467 +tp6468 +a(g900 +g960 +tp6469 +a(g548 +V39 +p6470 +tp6471 +a(g548 +V22 +p6472 +tp6473 +a(g900 +g960 +tp6474 +a(g548 +V20 +p6475 +tp6476 +a(g548 +V3d +p6477 +tp6478 +a(g900 +g960 +tp6479 +a(g548 +V3d +p6480 +tp6481 +a(g548 +V0a +p6482 +tp6483 +a(g900 +g960 +tp6484 +a(g548 +V20 +p6485 +tp6486 +a(g548 +V20 +p6487 +tp6488 +a(g900 +V +p6489 +tp6490 +a(g341 +V777888999" ==. +p6491 +tp6492 +a(g900 +V\u000a +p6493 +tp6494 +a(g8 +V0000710 +p6495 +tp6496 +a(g685 +g958 +tp6497 +a(g900 +g960 +tp6498 +a(g548 +V20 +p6499 +tp6500 +a(g548 +V77 +p6501 +tp6502 +a(g900 +g960 +tp6503 +a(g548 +V6f +p6504 +tp6505 +a(g548 +V72 +p6506 +tp6507 +a(g900 +g960 +tp6508 +a(g548 +V64 +p6509 +tp6510 +a(g548 +V54 +p6511 +tp6512 +a(g900 +g960 +tp6513 +a(g548 +V6f +p6514 +tp6515 +a(g548 +V4e +p6516 +tp6517 +a(g900 +g960 +tp6518 +a(g548 +V75 +p6519 +tp6520 +a(g548 +V6d +p6521 +tp6522 +a(g900 +g960 +tp6523 +a(g548 +V28 +p6524 +tp6525 +a(g548 +V22 +p6526 +tp6527 +a(g900 +g960 +tp6528 +a(g548 +V45 +p6529 +tp6530 +a(g548 +V20 +p6531 +tp6532 +a(g900 +g960 +tp6533 +a(g548 +V7c +p6534 +tp6535 +a(g548 +V20 +p6536 +tp6537 +a(g900 +V +p6538 +tp6539 +a(g341 +VwordToNum("E | +p6540 +tp6541 +a(g900 +V\u000a +p6542 +tp6543 +a(g8 +V0000720 +p6544 +tp6545 +a(g685 +g958 +tp6546 +a(g900 +g960 +tp6547 +a(g548 +V4a +p6548 +tp6549 +a(g548 +V20 +p6550 +tp6551 +a(g900 +g960 +tp6552 +a(g548 +V4e +p6553 +tp6554 +a(g548 +V20 +p6555 +tp6556 +a(g900 +g960 +tp6557 +a(g548 +V51 +p6558 +tp6559 +a(g548 +V20 +p6560 +tp6561 +a(g900 +g960 +tp6562 +a(g548 +V7c +p6563 +tp6564 +a(g548 +V20 +p6565 +tp6566 +a(g900 +g960 +tp6567 +a(g548 +V52 +p6568 +tp6569 +a(g548 +V20 +p6570 +tp6571 +a(g900 +g960 +tp6572 +a(g548 +V57 +p6573 +tp6574 +a(g548 +V20 +p6575 +tp6576 +a(g900 +g960 +tp6577 +a(g548 +V58 +p6578 +tp6579 +a(g548 +V20 +p6580 +tp6581 +a(g900 +g960 +tp6582 +a(g548 +V7c +p6583 +tp6584 +a(g548 +V20 +p6585 +tp6586 +a(g900 +V +p6587 +tp6588 +a(g341 +VJ N Q | R W X | +p6589 +tp6590 +a(g900 +V\u000a +p6591 +tp6592 +a(g8 +V0000730 +p6593 +tp6594 +a(g685 +g958 +tp6595 +a(g900 +g960 +tp6596 +a(g548 +V44 +p6597 +tp6598 +a(g548 +V20 +p6599 +tp6600 +a(g900 +g960 +tp6601 +a(g548 +V53 +p6602 +tp6603 +a(g548 +V20 +p6604 +tp6605 +a(g900 +g960 +tp6606 +a(g548 +V59 +p6607 +tp6608 +a(g548 +V20 +p6609 +tp6610 +a(g900 +g960 +tp6611 +a(g548 +V7c +p6612 +tp6613 +a(g548 +V20 +p6614 +tp6615 +a(g900 +g960 +tp6616 +a(g548 +V46 +p6617 +tp6618 +a(g548 +V20 +p6619 +tp6620 +a(g900 +g960 +tp6621 +a(g548 +V54 +p6622 +tp6623 +a(g548 +V20 +p6624 +tp6625 +a(g900 +g960 +tp6626 +a(g548 +V7c +p6627 +tp6628 +a(g548 +V20 +p6629 +tp6630 +a(g900 +g960 +tp6631 +a(g548 +V41 +p6632 +tp6633 +a(g548 +V20 +p6634 +tp6635 +a(g900 +V +p6636 +tp6637 +a(g341 +VD S Y | F T | A +p6638 +tp6639 +a(g900 +V\u000a +p6640 +tp6641 +a(g8 +V0000740 +p6642 +tp6643 +a(g685 +g958 +tp6644 +a(g900 +g960 +tp6645 +a(g548 +V4d +p6646 +tp6647 +a(g548 +V20 +p6648 +tp6649 +a(g900 +g960 +tp6650 +a(g548 +V7c +p6651 +tp6652 +a(g548 +V20 +p6653 +tp6654 +a(g900 +g960 +tp6655 +a(g548 +V43 +p6656 +tp6657 +a(g548 +V20 +p6658 +tp6659 +a(g900 +g960 +tp6660 +a(g548 +V49 +p6661 +tp6662 +a(g548 +V20 +p6663 +tp6664 +a(g900 +g960 +tp6665 +a(g548 +V56 +p6666 +tp6667 +a(g548 +V20 +p6668 +tp6669 +a(g900 +g960 +tp6670 +a(g548 +V7c +p6671 +tp6672 +a(g548 +V20 +p6673 +tp6674 +a(g900 +g960 +tp6675 +a(g548 +V42 +p6676 +tp6677 +a(g548 +V20 +p6678 +tp6679 +a(g900 +g960 +tp6680 +a(g548 +V4b +p6681 +tp6682 +a(g548 +V20 +p6683 +tp6684 +a(g900 +V +p6685 +tp6686 +a(g341 +VM | C I V | B K +p6687 +tp6688 +a(g900 +V\u000a +p6689 +tp6690 +a(g8 +V0000750 +p6691 +tp6692 +a(g685 +g958 +tp6693 +a(g900 +g960 +tp6694 +a(g548 +V55 +p6695 +tp6696 +a(g548 +V20 +p6697 +tp6698 +a(g900 +g960 +tp6699 +a(g548 +V7c +p6700 +tp6701 +a(g548 +V20 +p6702 +tp6703 +a(g900 +g960 +tp6704 +a(g548 +V4c +p6705 +tp6706 +a(g548 +V20 +p6707 +tp6708 +a(g900 +g960 +tp6709 +a(g548 +V4f +p6710 +tp6711 +a(g548 +V20 +p6712 +tp6713 +a(g900 +g960 +tp6714 +a(g548 +V50 +p6715 +tp6716 +a(g548 +V20 +p6717 +tp6718 +a(g900 +g960 +tp6719 +a(g548 +V7c +p6720 +tp6721 +a(g548 +V20 +p6722 +tp6723 +a(g900 +g960 +tp6724 +a(g548 +V47 +p6725 +tp6726 +a(g548 +V20 +p6727 +tp6728 +a(g900 +g960 +tp6729 +a(g548 +V48 +p6730 +tp6731 +a(g548 +V20 +p6732 +tp6733 +a(g900 +V +p6734 +tp6735 +a(g341 +VU | L O P | G H +p6736 +tp6737 +a(g900 +V\u000a +p6738 +tp6739 +a(g8 +V0000760 +p6740 +tp6741 +a(g685 +g958 +tp6742 +a(g900 +g960 +tp6743 +a(g548 +V5a +p6744 +tp6745 +a(g548 +V22 +p6746 +tp6747 +a(g900 +g960 +tp6748 +a(g548 +V29 +p6749 +tp6750 +a(g548 +V29 +p6751 +tp6752 +a(g900 +g960 +tp6753 +a(g548 +V3b +p6754 +tp6755 +a(g548 +V0a +p6756 +tp6757 +a(g900 +g960 +tp6758 +a(g548 +V20 +p6759 +tp6760 +a(g548 +V61 +p6761 +tp6762 +a(g900 +g960 +tp6763 +a(g548 +V73 +p6764 +tp6765 +a(g548 +V73 +p6766 +tp6767 +a(g900 +g960 +tp6768 +a(g548 +V65 +p6769 +tp6770 +a(g548 +V72 +p6771 +tp6772 +a(g900 +g960 +tp6773 +a(g548 +V74 +p6774 +tp6775 +a(g548 +V28 +p6776 +tp6777 +a(g900 +g960 +tp6778 +a(g548 +V20 +p6779 +tp6780 +a(g548 +V22 +p6781 +tp6782 +a(g900 +V +p6783 +tp6784 +a(g341 +VZ"));. assert( " +p6785 +tp6786 +a(g900 +V\u000a +p6787 +tp6788 +a(g8 +V0000770 +p6789 +tp6790 +a(g685 +g958 +tp6791 +a(g900 +g960 +tp6792 +a(g548 +V30 +p6793 +tp6794 +a(g548 +V31 +p6795 +tp6796 +a(g900 +g960 +tp6797 +a(g548 +V31 +p6798 +tp6799 +a(g548 +V31 +p6800 +tp6801 +a(g900 +g960 +tp6802 +a(g548 +V32 +p6803 +tp6804 +a(g548 +V32 +p6805 +tp6806 +a(g900 +g960 +tp6807 +a(g548 +V32 +p6808 +tp6809 +a(g548 +V33 +p6810 +tp6811 +a(g900 +g960 +tp6812 +a(g548 +V33 +p6813 +tp6814 +a(g548 +V33 +p6815 +tp6816 +a(g900 +g960 +tp6817 +a(g548 +V34 +p6818 +tp6819 +a(g548 +V34 +p6820 +tp6821 +a(g900 +g960 +tp6822 +a(g548 +V35 +p6823 +tp6824 +a(g548 +V35 +p6825 +tp6826 +a(g900 +g960 +tp6827 +a(g548 +V36 +p6828 +tp6829 +a(g548 +V36 +p6830 +tp6831 +a(g900 +V +p6832 +tp6833 +a(g341 +V0111222333445566 +p6834 +tp6835 +a(g900 +V\u000a +p6836 +tp6837 +a(g8 +V0000780 +p6838 +tp6839 +a(g685 +g958 +tp6840 +a(g900 +g960 +tp6841 +a(g548 +V36 +p6842 +tp6843 +a(g548 +V37 +p6844 +tp6845 +a(g900 +g960 +tp6846 +a(g548 +V37 +p6847 +tp6848 +a(g548 +V37 +p6849 +tp6850 +a(g900 +g960 +tp6851 +a(g548 +V38 +p6852 +tp6853 +a(g548 +V38 +p6854 +tp6855 +a(g900 +g960 +tp6856 +a(g548 +V38 +p6857 +tp6858 +a(g548 +V39 +p6859 +tp6860 +a(g900 +g960 +tp6861 +a(g548 +V39 +p6862 +tp6863 +a(g548 +V39 +p6864 +tp6865 +a(g900 +g960 +tp6866 +a(g548 +V22 +p6867 +tp6868 +a(g548 +V20 +p6869 +tp6870 +a(g900 +g960 +tp6871 +a(g548 +V3d +p6872 +tp6873 +a(g548 +V3d +p6874 +tp6875 +a(g900 +g960 +tp6876 +a(g548 +V20 +p6877 +tp6878 +a(g548 +V0a +p6879 +tp6880 +a(g900 +V +p6881 +tp6882 +a(g341 +V6777888999" == . +p6883 +tp6884 +a(g900 +V\u000a +p6885 +tp6886 +a(g8 +V0000790 +p6887 +tp6888 +a(g685 +g958 +tp6889 +a(g900 +g960 +tp6890 +a(g548 +V20 +p6891 +tp6892 +a(g548 +V20 +p6893 +tp6894 +a(g900 +g960 +tp6895 +a(g548 +V20 +p6896 +tp6897 +a(g548 +V77 +p6898 +tp6899 +a(g900 +g960 +tp6900 +a(g548 +V6f +p6901 +tp6902 +a(g548 +V72 +p6903 +tp6904 +a(g900 +g960 +tp6905 +a(g548 +V64 +p6906 +tp6907 +a(g548 +V54 +p6908 +tp6909 +a(g900 +g960 +tp6910 +a(g548 +V6f +p6911 +tp6912 +a(g548 +V4e +p6913 +tp6914 +a(g900 +g960 +tp6915 +a(g548 +V75 +p6916 +tp6917 +a(g548 +V6d +p6918 +tp6919 +a(g900 +g960 +tp6920 +a(g548 +V28 +p6921 +tp6922 +a(g548 +V22 +p6923 +tp6924 +a(g900 +g960 +tp6925 +a(g548 +V65 +p6926 +tp6927 +a(g548 +V20 +p6928 +tp6929 +a(g900 +V +p6930 +tp6931 +a(g341 +V wordToNum("e +p6932 +tp6933 +a(g900 +V\u000a +p6934 +tp6935 +a(g8 +V00007a0 +p6936 +tp6937 +a(g685 +g958 +tp6938 +a(g900 +g960 +tp6939 +a(g548 +V7c +p6940 +tp6941 +a(g548 +V20 +p6942 +tp6943 +a(g900 +g960 +tp6944 +a(g548 +V6a +p6945 +tp6946 +a(g548 +V20 +p6947 +tp6948 +a(g900 +g960 +tp6949 +a(g548 +V6e +p6950 +tp6951 +a(g548 +V20 +p6952 +tp6953 +a(g900 +g960 +tp6954 +a(g548 +V71 +p6955 +tp6956 +a(g548 +V20 +p6957 +tp6958 +a(g900 +g960 +tp6959 +a(g548 +V7c +p6960 +tp6961 +a(g548 +V20 +p6962 +tp6963 +a(g900 +g960 +tp6964 +a(g548 +V72 +p6965 +tp6966 +a(g548 +V20 +p6967 +tp6968 +a(g900 +g960 +tp6969 +a(g548 +V77 +p6970 +tp6971 +a(g548 +V20 +p6972 +tp6973 +a(g900 +g960 +tp6974 +a(g548 +V78 +p6975 +tp6976 +a(g548 +V20 +p6977 +tp6978 +a(g900 +V +p6979 +tp6980 +a(g341 +V| j n q | r w x +p6981 +tp6982 +a(g900 +V\u000a +p6983 +tp6984 +a(g8 +V00007b0 +p6985 +tp6986 +a(g685 +g958 +tp6987 +a(g900 +g960 +tp6988 +a(g548 +V7c +p6989 +tp6990 +a(g548 +V20 +p6991 +tp6992 +a(g900 +g960 +tp6993 +a(g548 +V64 +p6994 +tp6995 +a(g548 +V20 +p6996 +tp6997 +a(g900 +g960 +tp6998 +a(g548 +V73 +p6999 +tp7000 +a(g548 +V20 +p7001 +tp7002 +a(g900 +g960 +tp7003 +a(g548 +V79 +p7004 +tp7005 +a(g548 +V20 +p7006 +tp7007 +a(g900 +g960 +tp7008 +a(g548 +V7c +p7009 +tp7010 +a(g548 +V20 +p7011 +tp7012 +a(g900 +g960 +tp7013 +a(g548 +V66 +p7014 +tp7015 +a(g548 +V20 +p7016 +tp7017 +a(g900 +g960 +tp7018 +a(g548 +V74 +p7019 +tp7020 +a(g548 +V20 +p7021 +tp7022 +a(g900 +g960 +tp7023 +a(g548 +V7c +p7024 +tp7025 +a(g548 +V20 +p7026 +tp7027 +a(g900 +V +p7028 +tp7029 +a(g341 +V| d s y | f t | +p7030 +tp7031 +a(g900 +V\u000a +p7032 +tp7033 +a(g8 +V00007c0 +p7034 +tp7035 +a(g685 +g958 +tp7036 +a(g900 +g960 +tp7037 +a(g548 +V61 +p7038 +tp7039 +a(g548 +V20 +p7040 +tp7041 +a(g900 +g960 +tp7042 +a(g548 +V6d +p7043 +tp7044 +a(g548 +V20 +p7045 +tp7046 +a(g900 +g960 +tp7047 +a(g548 +V7c +p7048 +tp7049 +a(g548 +V20 +p7050 +tp7051 +a(g900 +g960 +tp7052 +a(g548 +V63 +p7053 +tp7054 +a(g548 +V20 +p7055 +tp7056 +a(g900 +g960 +tp7057 +a(g548 +V69 +p7058 +tp7059 +a(g548 +V20 +p7060 +tp7061 +a(g900 +g960 +tp7062 +a(g548 +V76 +p7063 +tp7064 +a(g548 +V20 +p7065 +tp7066 +a(g900 +g960 +tp7067 +a(g548 +V7c +p7068 +tp7069 +a(g548 +V20 +p7070 +tp7071 +a(g900 +g960 +tp7072 +a(g548 +V62 +p7073 +tp7074 +a(g548 +V20 +p7075 +tp7076 +a(g900 +V +p7077 +tp7078 +a(g341 +Va m | c i v | b +p7079 +tp7080 +a(g900 +V\u000a +p7081 +tp7082 +a(g8 +V00007d0 +p7083 +tp7084 +a(g685 +g958 +tp7085 +a(g900 +g960 +tp7086 +a(g548 +V6b +p7087 +tp7088 +a(g548 +V20 +p7089 +tp7090 +a(g900 +g960 +tp7091 +a(g548 +V75 +p7092 +tp7093 +a(g548 +V20 +p7094 +tp7095 +a(g900 +g960 +tp7096 +a(g548 +V7c +p7097 +tp7098 +a(g548 +V20 +p7099 +tp7100 +a(g900 +g960 +tp7101 +a(g548 +V6c +p7102 +tp7103 +a(g548 +V20 +p7104 +tp7105 +a(g900 +g960 +tp7106 +a(g548 +V6f +p7107 +tp7108 +a(g548 +V20 +p7109 +tp7110 +a(g900 +g960 +tp7111 +a(g548 +V70 +p7112 +tp7113 +a(g548 +V20 +p7114 +tp7115 +a(g900 +g960 +tp7116 +a(g548 +V7c +p7117 +tp7118 +a(g548 +V20 +p7119 +tp7120 +a(g900 +g960 +tp7121 +a(g548 +V67 +p7122 +tp7123 +a(g548 +V20 +p7124 +tp7125 +a(g900 +V +p7126 +tp7127 +a(g341 +Vk u | l o p | g +p7128 +tp7129 +a(g900 +V\u000a +p7130 +tp7131 +a(g8 +V00007e0 +p7132 +tp7133 +a(g685 +g958 +tp7134 +a(g900 +g960 +tp7135 +a(g548 +V68 +p7136 +tp7137 +a(g548 +V20 +p7138 +tp7139 +a(g900 +g960 +tp7140 +a(g548 +V7a +p7141 +tp7142 +a(g548 +V22 +p7143 +tp7144 +a(g900 +g960 +tp7145 +a(g548 +V29 +p7146 +tp7147 +a(g548 +V29 +p7148 +tp7149 +a(g900 +g960 +tp7150 +a(g548 +V3b +p7151 +tp7152 +a(g548 +V0a +p7153 +tp7154 +a(g900 +g960 +tp7155 +a(g548 +V20 +p7156 +tp7157 +a(g548 +V61 +p7158 +tp7159 +a(g900 +g960 +tp7160 +a(g548 +V73 +p7161 +tp7162 +a(g548 +V73 +p7163 +tp7164 +a(g900 +g960 +tp7165 +a(g548 +V65 +p7166 +tp7167 +a(g548 +V72 +p7168 +tp7169 +a(g900 +g960 +tp7170 +a(g548 +V74 +p7171 +tp7172 +a(g548 +V28 +p7173 +tp7174 +a(g900 +V +p7175 +tp7176 +a(g341 +Vh z"));. assert( +p7177 +tp7178 +a(g900 +V\u000a +p7179 +tp7180 +a(g8 +V00007f0 +p7181 +tp7182 +a(g685 +g958 +tp7183 +a(g900 +g960 +tp7184 +a(g548 +V20 +p7185 +tp7186 +a(g548 +V22 +p7187 +tp7188 +a(g900 +g960 +tp7189 +a(g548 +V30 +p7190 +tp7191 +a(g548 +V31 +p7192 +tp7193 +a(g900 +g960 +tp7194 +a(g548 +V32 +p7195 +tp7196 +a(g548 +V33 +p7197 +tp7198 +a(g900 +g960 +tp7199 +a(g548 +V34 +p7200 +tp7201 +a(g548 +V35 +p7202 +tp7203 +a(g900 +g960 +tp7204 +a(g548 +V36 +p7205 +tp7206 +a(g548 +V37 +p7207 +tp7208 +a(g900 +g960 +tp7209 +a(g548 +V38 +p7210 +tp7211 +a(g548 +V39 +p7212 +tp7213 +a(g900 +g960 +tp7214 +a(g548 +V22 +p7215 +tp7216 +a(g548 +V20 +p7217 +tp7218 +a(g900 +g960 +tp7219 +a(g548 +V3d +p7220 +tp7221 +a(g548 +V3d +p7222 +tp7223 +a(g900 +V +p7224 +tp7225 +a(g341 +V"0123456789" == +p7226 +tp7227 +a(g900 +V\u000a +p7228 +tp7229 +a(g8 +V0000800 +p7230 +tp7231 +a(g685 +g958 +tp7232 +a(g900 +g960 +tp7233 +a(g548 +V20 +p7234 +tp7235 +a(g548 +V0a +p7236 +tp7237 +a(g900 +g960 +tp7238 +a(g548 +V20 +p7239 +tp7240 +a(g548 +V20 +p7241 +tp7242 +a(g900 +g960 +tp7243 +a(g548 +V20 +p7244 +tp7245 +a(g548 +V77 +p7246 +tp7247 +a(g900 +g960 +tp7248 +a(g548 +V6f +p7249 +tp7250 +a(g548 +V72 +p7251 +tp7252 +a(g900 +g960 +tp7253 +a(g548 +V64 +p7254 +tp7255 +a(g548 +V54 +p7256 +tp7257 +a(g900 +g960 +tp7258 +a(g548 +V6f +p7259 +tp7260 +a(g548 +V4e +p7261 +tp7262 +a(g900 +g960 +tp7263 +a(g548 +V75 +p7264 +tp7265 +a(g548 +V6d +p7266 +tp7267 +a(g900 +g960 +tp7268 +a(g548 +V28 +p7269 +tp7270 +a(g548 +V22 +p7271 +tp7272 +a(g900 +V +p7273 +tp7274 +a(g341 +V. wordToNum(" +p7275 +tp7276 +a(g900 +V\u000a +p7277 +tp7278 +a(g8 +V0000810 +p7279 +tp7280 +a(g685 +g958 +tp7281 +a(g900 +g960 +tp7282 +a(g548 +V30 +p7283 +tp7284 +a(g548 +V20 +p7285 +tp7286 +a(g900 +g960 +tp7287 +a(g548 +V7c +p7288 +tp7289 +a(g548 +V20 +p7290 +tp7291 +a(g900 +g960 +tp7292 +a(g548 +V20 +p7293 +tp7294 +a(g548 +V20 +p7295 +tp7296 +a(g900 +g960 +tp7297 +a(g548 +V31 +p7298 +tp7299 +a(g548 +V20 +p7300 +tp7301 +a(g900 +g960 +tp7302 +a(g548 +V20 +p7303 +tp7304 +a(g548 +V20 +p7305 +tp7306 +a(g900 +g960 +tp7307 +a(g548 +V7c +p7308 +tp7309 +a(g548 +V20 +p7310 +tp7311 +a(g900 +g960 +tp7312 +a(g548 +V20 +p7313 +tp7314 +a(g548 +V20 +p7315 +tp7316 +a(g900 +g960 +tp7317 +a(g548 +V32 +p7318 +tp7319 +a(g548 +V20 +p7320 +tp7321 +a(g900 +V +p7322 +tp7323 +a(g341 +V0 | 1 | 2 +p7324 +tp7325 +a(g900 +V\u000a +p7326 +tp7327 +a(g8 +V0000820 +p7328 +tp7329 +a(g685 +g958 +tp7330 +a(g900 +g960 +tp7331 +a(g548 +V20 +p7332 +tp7333 +a(g548 +V20 +p7334 +tp7335 +a(g900 +g960 +tp7336 +a(g548 +V7c +p7337 +tp7338 +a(g548 +V20 +p7339 +tp7340 +a(g900 +g960 +tp7341 +a(g548 +V20 +p7342 +tp7343 +a(g548 +V20 +p7344 +tp7345 +a(g900 +g960 +tp7346 +a(g548 +V33 +p7347 +tp7348 +a(g548 +V20 +p7349 +tp7350 +a(g900 +g960 +tp7351 +a(g548 +V20 +p7352 +tp7353 +a(g548 +V20 +p7354 +tp7355 +a(g900 +g960 +tp7356 +a(g548 +V7c +p7357 +tp7358 +a(g548 +V20 +p7359 +tp7360 +a(g900 +g960 +tp7361 +a(g548 +V20 +p7362 +tp7363 +a(g548 +V34 +p7364 +tp7365 +a(g900 +g960 +tp7366 +a(g548 +V20 +p7367 +tp7368 +a(g548 +V20 +p7369 +tp7370 +a(g900 +V +p7371 +tp7372 +a(g341 +V | 3 | 4 +p7373 +tp7374 +a(g900 +V\u000a +p7375 +tp7376 +a(g8 +V0000830 +p7377 +tp7378 +a(g685 +g958 +tp7379 +a(g900 +g960 +tp7380 +a(g548 +V7c +p7381 +tp7382 +a(g548 +V20 +p7383 +tp7384 +a(g900 +g960 +tp7385 +a(g548 +V20 +p7386 +tp7387 +a(g548 +V35 +p7388 +tp7389 +a(g900 +g960 +tp7390 +a(g548 +V20 +p7391 +tp7392 +a(g548 +V20 +p7393 +tp7394 +a(g900 +g960 +tp7395 +a(g548 +V7c +p7396 +tp7397 +a(g548 +V20 +p7398 +tp7399 +a(g900 +g960 +tp7400 +a(g548 +V20 +p7401 +tp7402 +a(g548 +V20 +p7403 +tp7404 +a(g900 +g960 +tp7405 +a(g548 +V36 +p7406 +tp7407 +a(g548 +V20 +p7408 +tp7409 +a(g900 +g960 +tp7410 +a(g548 +V20 +p7411 +tp7412 +a(g548 +V20 +p7413 +tp7414 +a(g900 +g960 +tp7415 +a(g548 +V7c +p7416 +tp7417 +a(g548 +V20 +p7418 +tp7419 +a(g900 +V +p7420 +tp7421 +a(g341 +V| 5 | 6 | +p7422 +tp7423 +a(g900 +V\u000a +p7424 +tp7425 +a(g8 +V0000840 +p7426 +tp7427 +a(g685 +g958 +tp7428 +a(g900 +g960 +tp7429 +a(g548 +V20 +p7430 +tp7431 +a(g548 +V20 +p7432 +tp7433 +a(g900 +g960 +tp7434 +a(g548 +V37 +p7435 +tp7436 +a(g548 +V20 +p7437 +tp7438 +a(g900 +g960 +tp7439 +a(g548 +V20 +p7440 +tp7441 +a(g548 +V20 +p7442 +tp7443 +a(g900 +g960 +tp7444 +a(g548 +V7c +p7445 +tp7446 +a(g548 +V20 +p7447 +tp7448 +a(g900 +g960 +tp7449 +a(g548 +V20 +p7450 +tp7451 +a(g548 +V20 +p7452 +tp7453 +a(g900 +g960 +tp7454 +a(g548 +V38 +p7455 +tp7456 +a(g548 +V20 +p7457 +tp7458 +a(g900 +g960 +tp7459 +a(g548 +V20 +p7460 +tp7461 +a(g548 +V20 +p7462 +tp7463 +a(g900 +g960 +tp7464 +a(g548 +V7c +p7465 +tp7466 +a(g548 +V20 +p7467 +tp7468 +a(g900 +V +p7469 +tp7470 +a(g341 +V 7 | 8 | +p7471 +tp7472 +a(g900 +V\u000a +p7473 +tp7474 +a(g8 +V0000850 +p7475 +tp7476 +a(g685 +g958 +tp7477 +a(g900 +g960 +tp7478 +a(g548 +V20 +p7479 +tp7480 +a(g548 +V20 +p7481 +tp7482 +a(g900 +g960 +tp7483 +a(g548 +V39 +p7484 +tp7485 +a(g548 +V22 +p7486 +tp7487 +a(g900 +g960 +tp7488 +a(g548 +V29 +p7489 +tp7490 +a(g548 +V29 +p7491 +tp7492 +a(g900 +g960 +tp7493 +a(g548 +V3b +p7494 +tp7495 +a(g548 +V0a +p7496 +tp7497 +a(g900 +g960 +tp7498 +a(g548 +V7d +p7499 +tp7500 +a(g548 +V0a +p7501 +tp7502 +a(g900 +g960 +tp7503 +a(g548 +V0a +p7504 +tp7505 +a(g548 +V76 +p7506 +tp7507 +a(g900 +g960 +tp7508 +a(g548 +V6f +p7509 +tp7510 +a(g548 +V69 +p7511 +tp7512 +a(g900 +g960 +tp7513 +a(g548 +V64 +p7514 +tp7515 +a(g548 +V20 +p7516 +tp7517 +a(g900 +V +p7518 +tp7519 +a(g341 +V 9"));.}..void +p7520 +tp7521 +a(g900 +V\u000a +p7522 +tp7523 +a(g8 +V0000860 +p7524 +tp7525 +a(g685 +g958 +tp7526 +a(g900 +g960 +tp7527 +a(g548 +V6d +p7528 +tp7529 +a(g548 +V61 +p7530 +tp7531 +a(g900 +g960 +tp7532 +a(g548 +V69 +p7533 +tp7534 +a(g548 +V6e +p7535 +tp7536 +a(g900 +g960 +tp7537 +a(g548 +V28 +p7538 +tp7539 +a(g548 +V20 +p7540 +tp7541 +a(g900 +g960 +tp7542 +a(g548 +V73 +p7543 +tp7544 +a(g548 +V74 +p7545 +tp7546 +a(g900 +g960 +tp7547 +a(g548 +V72 +p7548 +tp7549 +a(g548 +V69 +p7550 +tp7551 +a(g900 +g960 +tp7552 +a(g548 +V6e +p7553 +tp7554 +a(g548 +V67 +p7555 +tp7556 +a(g900 +g960 +tp7557 +a(g548 +V5b +p7558 +tp7559 +a(g548 +V5d +p7560 +tp7561 +a(g900 +g960 +tp7562 +a(g548 +V20 +p7563 +tp7564 +a(g548 +V61 +p7565 +tp7566 +a(g900 +V +p7567 +tp7568 +a(g341 +Vmain( string[] a +p7569 +tp7570 +a(g900 +V\u000a +p7571 +tp7572 +a(g8 +V0000870 +p7573 +tp7574 +a(g685 +g958 +tp7575 +a(g900 +g960 +tp7576 +a(g548 +V72 +p7577 +tp7578 +a(g548 +V67 +p7579 +tp7580 +a(g900 +g960 +tp7581 +a(g548 +V73 +p7582 +tp7583 +a(g548 +V20 +p7584 +tp7585 +a(g900 +g960 +tp7586 +a(g548 +V29 +p7587 +tp7588 +a(g548 +V0a +p7589 +tp7590 +a(g900 +g960 +tp7591 +a(g548 +V7b +p7592 +tp7593 +a(g548 +V0a +p7594 +tp7595 +a(g900 +g960 +tp7596 +a(g548 +V20 +p7597 +tp7598 +a(g548 +V20 +p7599 +tp7600 +a(g900 +g960 +tp7601 +a(g548 +V20 +p7602 +tp7603 +a(g548 +V20 +p7604 +tp7605 +a(g900 +g960 +tp7606 +a(g548 +V2f +p7607 +tp7608 +a(g548 +V2f +p7609 +tp7610 +a(g900 +g960 +tp7611 +a(g548 +V20 +p7612 +tp7613 +a(g548 +V54 +p7614 +tp7615 +a(g900 +V +p7616 +tp7617 +a(g341 +Vrgs ).{. // T +p7618 +tp7619 +a(g900 +V\u000a +p7620 +tp7621 +a(g8 +V0000880 +p7622 +tp7623 +a(g685 +g958 +tp7624 +a(g900 +g960 +tp7625 +a(g548 +V68 +p7626 +tp7627 +a(g548 +V69 +p7628 +tp7629 +a(g900 +g960 +tp7630 +a(g548 +V73 +p7631 +tp7632 +a(g548 +V20 +p7633 +tp7634 +a(g900 +g960 +tp7635 +a(g548 +V61 +p7636 +tp7637 +a(g548 +V73 +p7638 +tp7639 +a(g900 +g960 +tp7640 +a(g548 +V73 +p7641 +tp7642 +a(g548 +V6f +p7643 +tp7644 +a(g900 +g960 +tp7645 +a(g548 +V63 +p7646 +tp7647 +a(g548 +V69 +p7648 +tp7649 +a(g900 +g960 +tp7650 +a(g548 +V61 +p7651 +tp7652 +a(g548 +V74 +p7653 +tp7654 +a(g900 +g960 +tp7655 +a(g548 +V69 +p7656 +tp7657 +a(g548 +V76 +p7658 +tp7659 +a(g900 +g960 +tp7660 +a(g548 +V65 +p7661 +tp7662 +a(g548 +V20 +p7663 +tp7664 +a(g900 +V +p7665 +tp7666 +a(g341 +Vhis associative +p7667 +tp7668 +a(g900 +V\u000a +p7669 +tp7670 +a(g8 +V0000890 +p7671 +tp7672 +a(g685 +g958 +tp7673 +a(g900 +g960 +tp7674 +a(g548 +V61 +p7675 +tp7676 +a(g548 +V72 +p7677 +tp7678 +a(g900 +g960 +tp7679 +a(g548 +V72 +p7680 +tp7681 +a(g548 +V61 +p7682 +tp7683 +a(g900 +g960 +tp7684 +a(g548 +V79 +p7685 +tp7686 +a(g548 +V20 +p7687 +tp7688 +a(g900 +g960 +tp7689 +a(g548 +V6d +p7690 +tp7691 +a(g548 +V61 +p7692 +tp7693 +a(g900 +g960 +tp7694 +a(g548 +V70 +p7695 +tp7696 +a(g548 +V73 +p7697 +tp7698 +a(g900 +g960 +tp7699 +a(g548 +V20 +p7700 +tp7701 +a(g548 +V61 +p7702 +tp7703 +a(g900 +g960 +tp7704 +a(g548 +V20 +p7705 +tp7706 +a(g548 +V6e +p7707 +tp7708 +a(g900 +g960 +tp7709 +a(g548 +V75 +p7710 +tp7711 +a(g548 +V6d +p7712 +tp7713 +a(g900 +V +p7714 +tp7715 +a(g341 +Varray maps a num +p7716 +tp7717 +a(g900 +V\u000a +p7718 +tp7719 +a(g8 +V00008a0 +p7720 +tp7721 +a(g685 +g958 +tp7722 +a(g900 +g960 +tp7723 +a(g548 +V62 +p7724 +tp7725 +a(g548 +V65 +p7726 +tp7727 +a(g900 +g960 +tp7728 +a(g548 +V72 +p7729 +tp7730 +a(g548 +V20 +p7731 +tp7732 +a(g900 +g960 +tp7733 +a(g548 +V74 +p7734 +tp7735 +a(g548 +V6f +p7736 +tp7737 +a(g900 +g960 +tp7738 +a(g548 +V20 +p7739 +tp7740 +a(g548 +V61 +p7741 +tp7742 +a(g900 +g960 +tp7743 +a(g548 +V6e +p7744 +tp7745 +a(g548 +V20 +p7746 +tp7747 +a(g900 +g960 +tp7748 +a(g548 +V61 +p7749 +tp7750 +a(g548 +V72 +p7751 +tp7752 +a(g900 +g960 +tp7753 +a(g548 +V72 +p7754 +tp7755 +a(g548 +V61 +p7756 +tp7757 +a(g900 +g960 +tp7758 +a(g548 +V79 +p7759 +tp7760 +a(g548 +V20 +p7761 +tp7762 +a(g900 +V +p7763 +tp7764 +a(g341 +Vber to an array +p7765 +tp7766 +a(g900 +V\u000a +p7767 +tp7768 +a(g8 +V00008b0 +p7769 +tp7770 +a(g685 +g958 +tp7771 +a(g900 +g960 +tp7772 +a(g548 +V6f +p7773 +tp7774 +a(g548 +V66 +p7775 +tp7776 +a(g900 +g960 +tp7777 +a(g548 +V20 +p7778 +tp7779 +a(g548 +V77 +p7780 +tp7781 +a(g900 +g960 +tp7782 +a(g548 +V6f +p7783 +tp7784 +a(g548 +V72 +p7785 +tp7786 +a(g900 +g960 +tp7787 +a(g548 +V64 +p7788 +tp7789 +a(g548 +V73 +p7790 +tp7791 +a(g900 +g960 +tp7792 +a(g548 +V2e +p7793 +tp7794 +a(g548 +V20 +p7795 +tp7796 +a(g900 +g960 +tp7797 +a(g548 +V20 +p7798 +tp7799 +a(g548 +V20 +p7800 +tp7801 +a(g900 +g960 +tp7802 +a(g548 +V20 +p7803 +tp7804 +a(g548 +V0a +p7805 +tp7806 +a(g900 +g960 +tp7807 +a(g548 +V20 +p7808 +tp7809 +a(g548 +V20 +p7810 +tp7811 +a(g900 +V +p7812 +tp7813 +a(g341 +Vof words. . +p7814 +tp7815 +a(g900 +V\u000a +p7816 +tp7817 +a(g8 +V00008c0 +p7818 +tp7819 +a(g685 +g958 +tp7820 +a(g900 +g960 +tp7821 +a(g548 +V20 +p7822 +tp7823 +a(g548 +V20 +p7824 +tp7825 +a(g900 +g960 +tp7826 +a(g548 +V73 +p7827 +tp7828 +a(g548 +V74 +p7829 +tp7830 +a(g900 +g960 +tp7831 +a(g548 +V72 +p7832 +tp7833 +a(g548 +V69 +p7834 +tp7835 +a(g900 +g960 +tp7836 +a(g548 +V6e +p7837 +tp7838 +a(g548 +V67 +p7839 +tp7840 +a(g900 +g960 +tp7841 +a(g548 +V61 +p7842 +tp7843 +a(g548 +V72 +p7844 +tp7845 +a(g900 +g960 +tp7846 +a(g548 +V72 +p7847 +tp7848 +a(g548 +V61 +p7849 +tp7850 +a(g900 +g960 +tp7851 +a(g548 +V79 +p7852 +tp7853 +a(g548 +V5b +p7854 +tp7855 +a(g900 +g960 +tp7856 +a(g548 +V73 +p7857 +tp7858 +a(g548 +V74 +p7859 +tp7860 +a(g900 +V +p7861 +tp7862 +a(g341 +V stringarray[st +p7863 +tp7864 +a(g900 +V\u000a +p7865 +tp7866 +a(g8 +V00008d0 +p7867 +tp7868 +a(g685 +g958 +tp7869 +a(g900 +g960 +tp7870 +a(g548 +V72 +p7871 +tp7872 +a(g548 +V69 +p7873 +tp7874 +a(g900 +g960 +tp7875 +a(g548 +V6e +p7876 +tp7877 +a(g548 +V67 +p7878 +tp7879 +a(g900 +g960 +tp7880 +a(g548 +V5d +p7881 +tp7882 +a(g548 +V20 +p7883 +tp7884 +a(g900 +g960 +tp7885 +a(g548 +V20 +p7886 +tp7887 +a(g548 +V20 +p7888 +tp7889 +a(g900 +g960 +tp7890 +a(g548 +V20 +p7891 +tp7892 +a(g548 +V6e +p7893 +tp7894 +a(g900 +g960 +tp7895 +a(g548 +V75 +p7896 +tp7897 +a(g548 +V6d +p7898 +tp7899 +a(g900 +g960 +tp7900 +a(g548 +V32 +p7901 +tp7902 +a(g548 +V77 +p7903 +tp7904 +a(g900 +g960 +tp7905 +a(g548 +V6f +p7906 +tp7907 +a(g548 +V72 +p7908 +tp7909 +a(g900 +V +p7910 +tp7911 +a(g341 +Vring] num2wor +p7912 +tp7913 +a(g900 +V\u000a +p7914 +tp7915 +a(g8 +V00008e0 +p7916 +tp7917 +a(g685 +g958 +tp7918 +a(g900 +g960 +tp7919 +a(g548 +V64 +p7920 +tp7921 +a(g548 +V73 +p7922 +tp7923 +a(g900 +g960 +tp7924 +a(g548 +V3b +p7925 +tp7926 +a(g548 +V0a +p7927 +tp7928 +a(g900 +g960 +tp7929 +a(g548 +V0a +p7930 +tp7931 +a(g548 +V20 +p7932 +tp7933 +a(g900 +g960 +tp7934 +a(g548 +V20 +p7935 +tp7936 +a(g548 +V20 +p7937 +tp7938 +a(g900 +g960 +tp7939 +a(g548 +V20 +p7940 +tp7941 +a(g548 +V66 +p7942 +tp7943 +a(g900 +g960 +tp7944 +a(g548 +V6f +p7945 +tp7946 +a(g548 +V72 +p7947 +tp7948 +a(g900 +g960 +tp7949 +a(g548 +V65 +p7950 +tp7951 +a(g548 +V61 +p7952 +tp7953 +a(g900 +g960 +tp7954 +a(g548 +V63 +p7955 +tp7956 +a(g548 +V68 +p7957 +tp7958 +a(g900 +V +p7959 +tp7960 +a(g341 +Vds;.. foreach +p7961 +tp7962 +a(g900 +V\u000a +p7963 +tp7964 +a(g8 +V00008f0 +p7965 +tp7966 +a(g685 +g958 +tp7967 +a(g900 +g960 +tp7968 +a(g548 +V28 +p7969 +tp7970 +a(g548 +V73 +p7971 +tp7972 +a(g900 +g960 +tp7973 +a(g548 +V74 +p7974 +tp7975 +a(g548 +V72 +p7976 +tp7977 +a(g900 +g960 +tp7978 +a(g548 +V69 +p7979 +tp7980 +a(g548 +V6e +p7981 +tp7982 +a(g900 +g960 +tp7983 +a(g548 +V67 +p7984 +tp7985 +a(g548 +V20 +p7986 +tp7987 +a(g900 +g960 +tp7988 +a(g548 +V77 +p7989 +tp7990 +a(g548 +V6f +p7991 +tp7992 +a(g900 +g960 +tp7993 +a(g548 +V72 +p7994 +tp7995 +a(g548 +V64 +p7996 +tp7997 +a(g900 +g960 +tp7998 +a(g548 +V3b +p7999 +tp8000 +a(g548 +V20 +p8001 +tp8002 +a(g900 +g960 +tp8003 +a(g548 +V6e +p8004 +tp8005 +a(g548 +V65 +p8006 +tp8007 +a(g900 +V +p8008 +tp8009 +a(g341 +V(string word; ne +p8010 +tp8011 +a(g900 +V\u000a +p8012 +tp8013 +a(g8 +V0000900 +p8014 +tp8015 +a(g685 +g958 +tp8016 +a(g900 +g960 +tp8017 +a(g548 +V77 +p8018 +tp8019 +a(g548 +V20 +p8020 +tp8021 +a(g900 +g960 +tp8022 +a(g548 +V42 +p8023 +tp8024 +a(g548 +V75 +p8025 +tp8026 +a(g900 +g960 +tp8027 +a(g548 +V66 +p8028 +tp8029 +a(g548 +V66 +p8030 +tp8031 +a(g900 +g960 +tp8032 +a(g548 +V65 +p8033 +tp8034 +a(g548 +V72 +p8035 +tp8036 +a(g900 +g960 +tp8037 +a(g548 +V65 +p8038 +tp8039 +a(g548 +V64 +p8040 +tp8041 +a(g900 +g960 +tp8042 +a(g548 +V46 +p8043 +tp8044 +a(g548 +V69 +p8045 +tp8046 +a(g900 +g960 +tp8047 +a(g548 +V6c +p8048 +tp8049 +a(g548 +V65 +p8050 +tp8051 +a(g900 +g960 +tp8052 +a(g548 +V28 +p8053 +tp8054 +a(g548 +V22 +p8055 +tp8056 +a(g900 +V +p8057 +tp8058 +a(g341 +Vw BufferedFile(" +p8059 +tp8060 +a(g900 +V\u000a +p8061 +tp8062 +a(g8 +V0000910 +p8063 +tp8064 +a(g685 +g958 +tp8065 +a(g900 +g960 +tp8066 +a(g548 +V64 +p8067 +tp8068 +a(g548 +V69 +p8069 +tp8070 +a(g900 +g960 +tp8071 +a(g548 +V63 +p8072 +tp8073 +a(g548 +V74 +p8074 +tp8075 +a(g900 +g960 +tp8076 +a(g548 +V69 +p8077 +tp8078 +a(g548 +V6f +p8079 +tp8080 +a(g900 +g960 +tp8081 +a(g548 +V6e +p8082 +tp8083 +a(g548 +V61 +p8084 +tp8085 +a(g900 +g960 +tp8086 +a(g548 +V72 +p8087 +tp8088 +a(g548 +V79 +p8089 +tp8090 +a(g900 +g960 +tp8091 +a(g548 +V2e +p8092 +tp8093 +a(g548 +V74 +p8094 +tp8095 +a(g900 +g960 +tp8096 +a(g548 +V78 +p8097 +tp8098 +a(g548 +V74 +p8099 +tp8100 +a(g900 +g960 +tp8101 +a(g548 +V22 +p8102 +tp8103 +a(g548 +V20 +p8104 +tp8105 +a(g900 +V +p8106 +tp8107 +a(g341 +Vdictionary.txt" +p8108 +tp8109 +a(g900 +V\u000a +p8110 +tp8111 +a(g8 +V0000920 +p8112 +tp8113 +a(g685 +g958 +tp8114 +a(g900 +g960 +tp8115 +a(g548 +V29 +p8116 +tp8117 +a(g548 +V20 +p8118 +tp8119 +a(g900 +g960 +tp8120 +a(g548 +V29 +p8121 +tp8122 +a(g548 +V0a +p8123 +tp8124 +a(g900 +g960 +tp8125 +a(g548 +V20 +p8126 +tp8127 +a(g548 +V20 +p8128 +tp8129 +a(g900 +g960 +tp8130 +a(g548 +V20 +p8131 +tp8132 +a(g548 +V20 +p8133 +tp8134 +a(g900 +g960 +tp8135 +a(g548 +V20 +p8136 +tp8137 +a(g548 +V20 +p8138 +tp8139 +a(g900 +g960 +tp8140 +a(g548 +V20 +p8141 +tp8142 +a(g548 +V20 +p8143 +tp8144 +a(g900 +g960 +tp8145 +a(g548 +V6e +p8146 +tp8147 +a(g548 +V75 +p8148 +tp8149 +a(g900 +g960 +tp8150 +a(g548 +V6d +p8151 +tp8152 +a(g548 +V32 +p8153 +tp8154 +a(g900 +V +p8155 +tp8156 +a(g341 +V) ). num2 +p8157 +tp8158 +a(g900 +V\u000a +p8159 +tp8160 +a(g8 +V0000930 +p8161 +tp8162 +a(g685 +g958 +tp8163 +a(g900 +g960 +tp8164 +a(g548 +V77 +p8165 +tp8166 +a(g548 +V6f +p8167 +tp8168 +a(g900 +g960 +tp8169 +a(g548 +V72 +p8170 +tp8171 +a(g548 +V64 +p8172 +tp8173 +a(g900 +g960 +tp8174 +a(g548 +V73 +p8175 +tp8176 +a(g548 +V5b +p8177 +tp8178 +a(g900 +g960 +tp8179 +a(g548 +V20 +p8180 +tp8181 +a(g548 +V77 +p8182 +tp8183 +a(g900 +g960 +tp8184 +a(g548 +V6f +p8185 +tp8186 +a(g548 +V72 +p8187 +tp8188 +a(g900 +g960 +tp8189 +a(g548 +V64 +p8190 +tp8191 +a(g548 +V54 +p8192 +tp8193 +a(g900 +g960 +tp8194 +a(g548 +V6f +p8195 +tp8196 +a(g548 +V4e +p8197 +tp8198 +a(g900 +g960 +tp8199 +a(g548 +V75 +p8200 +tp8201 +a(g548 +V6d +p8202 +tp8203 +a(g900 +V +p8204 +tp8205 +a(g341 +Vwords[ wordToNum +p8206 +tp8207 +a(g900 +V\u000a +p8208 +tp8209 +a(g8 +V0000940 +p8210 +tp8211 +a(g685 +g958 +tp8212 +a(g900 +g960 +tp8213 +a(g548 +V28 +p8214 +tp8215 +a(g548 +V77 +p8216 +tp8217 +a(g900 +g960 +tp8218 +a(g548 +V6f +p8219 +tp8220 +a(g548 +V72 +p8221 +tp8222 +a(g900 +g960 +tp8223 +a(g548 +V64 +p8224 +tp8225 +a(g548 +V29 +p8226 +tp8227 +a(g900 +g960 +tp8228 +a(g548 +V20 +p8229 +tp8230 +a(g548 +V5d +p8231 +tp8232 +a(g900 +g960 +tp8233 +a(g548 +V20 +p8234 +tp8235 +a(g548 +V7e +p8236 +tp8237 +a(g900 +g960 +tp8238 +a(g548 +V3d +p8239 +tp8240 +a(g548 +V20 +p8241 +tp8242 +a(g900 +g960 +tp8243 +a(g548 +V77 +p8244 +tp8245 +a(g548 +V6f +p8246 +tp8247 +a(g900 +g960 +tp8248 +a(g548 +V72 +p8249 +tp8250 +a(g548 +V64 +p8251 +tp8252 +a(g900 +V +p8253 +tp8254 +a(g341 +V(word) ] ~= word +p8255 +tp8256 +a(g900 +V\u000a +p8257 +tp8258 +a(g8 +V0000950 +p8259 +tp8260 +a(g685 +g958 +tp8261 +a(g900 +g960 +tp8262 +a(g548 +V2e +p8263 +tp8264 +a(g548 +V64 +p8265 +tp8266 +a(g900 +g960 +tp8267 +a(g548 +V75 +p8268 +tp8269 +a(g548 +V70 +p8270 +tp8271 +a(g900 +g960 +tp8272 +a(g548 +V3b +p8273 +tp8274 +a(g548 +V20 +p8275 +tp8276 +a(g900 +g960 +tp8277 +a(g548 +V20 +p8278 +tp8279 +a(g548 +V20 +p8280 +tp8281 +a(g900 +g960 +tp8282 +a(g548 +V20 +p8283 +tp8284 +a(g548 +V20 +p8285 +tp8286 +a(g900 +g960 +tp8287 +a(g548 +V20 +p8288 +tp8289 +a(g548 +V20 +p8290 +tp8291 +a(g900 +g960 +tp8292 +a(g548 +V20 +p8293 +tp8294 +a(g548 +V2f +p8295 +tp8296 +a(g900 +g960 +tp8297 +a(g548 +V2f +p8298 +tp8299 +a(g548 +V20 +p8300 +tp8301 +a(g900 +V +p8302 +tp8303 +a(g341 +V.dup; // +p8304 +tp8305 +a(g900 +V\u000a +p8306 +tp8307 +a(g8 +V0000960 +p8308 +tp8309 +a(g685 +g958 +tp8310 +a(g900 +g960 +tp8311 +a(g548 +V6d +p8312 +tp8313 +a(g548 +V75 +p8314 +tp8315 +a(g900 +g960 +tp8316 +a(g548 +V73 +p8317 +tp8318 +a(g548 +V74 +p8319 +tp8320 +a(g900 +g960 +tp8321 +a(g548 +V20 +p8322 +tp8323 +a(g548 +V64 +p8324 +tp8325 +a(g900 +g960 +tp8326 +a(g548 +V75 +p8327 +tp8328 +a(g548 +V70 +p8329 +tp8330 +a(g900 +g960 +tp8331 +a(g548 +V0a +p8332 +tp8333 +a(g548 +V0a +p8334 +tp8335 +a(g900 +g960 +tp8336 +a(g548 +V20 +p8337 +tp8338 +a(g548 +V20 +p8339 +tp8340 +a(g900 +g960 +tp8341 +a(g548 +V20 +p8342 +tp8343 +a(g548 +V20 +p8344 +tp8345 +a(g900 +g960 +tp8346 +a(g548 +V2f +p8347 +tp8348 +a(g548 +V2f +p8349 +tp8350 +a(g900 +V +p8351 +tp8352 +a(g341 +Vmust dup.. // +p8353 +tp8354 +a(g900 +V\u000a +p8355 +tp8356 +a(g8 +V0000970 +p8357 +tp8358 +a(g685 +g958 +tp8359 +a(g900 +g960 +tp8360 +a(g548 +V2f +p8361 +tp8362 +a(g548 +V20 +p8363 +tp8364 +a(g900 +g960 +tp8365 +a(g548 +V46 +p8366 +tp8367 +a(g548 +V69 +p8368 +tp8369 +a(g900 +g960 +tp8370 +a(g548 +V6e +p8371 +tp8372 +a(g548 +V64 +p8373 +tp8374 +a(g900 +g960 +tp8375 +a(g548 +V73 +p8376 +tp8377 +a(g548 +V20 +p8378 +tp8379 +a(g900 +g960 +tp8380 +a(g548 +V61 +p8381 +tp8382 +a(g548 +V6c +p8383 +tp8384 +a(g900 +g960 +tp8385 +a(g548 +V6c +p8386 +tp8387 +a(g548 +V20 +p8388 +tp8389 +a(g900 +g960 +tp8390 +a(g548 +V61 +p8391 +tp8392 +a(g548 +V6c +p8393 +tp8394 +a(g900 +g960 +tp8395 +a(g548 +V74 +p8396 +tp8397 +a(g548 +V65 +p8398 +tp8399 +a(g900 +V +p8400 +tp8401 +a(g341 +V/ Finds all alte +p8402 +tp8403 +a(g900 +V\u000a +p8404 +tp8405 +a(g8 +V0000980 +p8406 +tp8407 +a(g685 +g958 +tp8408 +a(g900 +g960 +tp8409 +a(g548 +V72 +p8410 +tp8411 +a(g548 +V6e +p8412 +tp8413 +a(g900 +g960 +tp8414 +a(g548 +V61 +p8415 +tp8416 +a(g548 +V74 +p8417 +tp8418 +a(g900 +g960 +tp8419 +a(g548 +V69 +p8420 +tp8421 +a(g548 +V76 +p8422 +tp8423 +a(g900 +g960 +tp8424 +a(g548 +V65 +p8425 +tp8426 +a(g548 +V73 +p8427 +tp8428 +a(g900 +g960 +tp8429 +a(g548 +V20 +p8430 +tp8431 +a(g548 +V66 +p8432 +tp8433 +a(g900 +g960 +tp8434 +a(g548 +V6f +p8435 +tp8436 +a(g548 +V72 +p8437 +tp8438 +a(g900 +g960 +tp8439 +a(g548 +V20 +p8440 +tp8441 +a(g548 +V74 +p8442 +tp8443 +a(g900 +g960 +tp8444 +a(g548 +V68 +p8445 +tp8446 +a(g548 +V65 +p8447 +tp8448 +a(g900 +V +p8449 +tp8450 +a(g341 +Vrnatives for the +p8451 +tp8452 +a(g900 +V\u000a +p8453 +tp8454 +a(g8 +V0000990 +p8455 +tp8456 +a(g685 +g958 +tp8457 +a(g900 +g960 +tp8458 +a(g548 +V20 +p8459 +tp8460 +a(g548 +V67 +p8461 +tp8462 +a(g900 +g960 +tp8463 +a(g548 +V69 +p8464 +tp8465 +a(g548 +V76 +p8466 +tp8467 +a(g900 +g960 +tp8468 +a(g548 +V65 +p8469 +tp8470 +a(g548 +V6e +p8471 +tp8472 +a(g900 +g960 +tp8473 +a(g548 +V20 +p8474 +tp8475 +a(g548 +V6e +p8476 +tp8477 +a(g900 +g960 +tp8478 +a(g548 +V75 +p8479 +tp8480 +a(g548 +V6d +p8481 +tp8482 +a(g900 +g960 +tp8483 +a(g548 +V62 +p8484 +tp8485 +a(g548 +V65 +p8486 +tp8487 +a(g900 +g960 +tp8488 +a(g548 +V72 +p8489 +tp8490 +a(g548 +V0a +p8491 +tp8492 +a(g900 +g960 +tp8493 +a(g548 +V20 +p8494 +tp8495 +a(g548 +V20 +p8496 +tp8497 +a(g900 +V +p8498 +tp8499 +a(g341 +Vgiven number. +p8500 +tp8501 +a(g900 +V\u000a +p8502 +tp8503 +a(g8 +V00009a0 +p8504 +tp8505 +a(g685 +g958 +tp8506 +a(g900 +g960 +tp8507 +a(g548 +V20 +p8508 +tp8509 +a(g548 +V20 +p8510 +tp8511 +a(g900 +g960 +tp8512 +a(g548 +V2f +p8513 +tp8514 +a(g548 +V2f +p8515 +tp8516 +a(g900 +g960 +tp8517 +a(g548 +V2f +p8518 +tp8519 +a(g548 +V20 +p8520 +tp8521 +a(g900 +g960 +tp8522 +a(g548 +V28 +p8523 +tp8524 +a(g548 +V73 +p8525 +tp8526 +a(g900 +g960 +tp8527 +a(g548 +V68 +p8528 +tp8529 +a(g548 +V6f +p8530 +tp8531 +a(g900 +g960 +tp8532 +a(g548 +V75 +p8533 +tp8534 +a(g548 +V6c +p8535 +tp8536 +a(g900 +g960 +tp8537 +a(g548 +V64 +p8538 +tp8539 +a(g548 +V20 +p8540 +tp8541 +a(g900 +g960 +tp8542 +a(g548 +V68 +p8543 +tp8544 +a(g548 +V61 +p8545 +tp8546 +a(g900 +V +p8547 +tp8548 +a(g341 +V /// (should ha +p8549 +tp8550 +a(g900 +V\u000a +p8551 +tp8552 +a(g8 +V00009b0 +p8553 +tp8554 +a(g685 +g958 +tp8555 +a(g900 +g960 +tp8556 +a(g548 +V76 +p8557 +tp8558 +a(g548 +V65 +p8559 +tp8560 +a(g900 +g960 +tp8561 +a(g548 +V20 +p8562 +tp8563 +a(g548 +V62 +p8564 +tp8565 +a(g900 +g960 +tp8566 +a(g548 +V65 +p8567 +tp8568 +a(g548 +V65 +p8569 +tp8570 +a(g900 +g960 +tp8571 +a(g548 +V6e +p8572 +tp8573 +a(g548 +V20 +p8574 +tp8575 +a(g900 +g960 +tp8576 +a(g548 +V73 +p8577 +tp8578 +a(g548 +V74 +p8579 +tp8580 +a(g900 +g960 +tp8581 +a(g548 +V72 +p8582 +tp8583 +a(g548 +V69 +p8584 +tp8585 +a(g900 +g960 +tp8586 +a(g548 +V70 +p8587 +tp8588 +a(g548 +V70 +p8589 +tp8590 +a(g900 +g960 +tp8591 +a(g548 +V65 +p8592 +tp8593 +a(g548 +V64 +p8594 +tp8595 +a(g900 +V +p8596 +tp8597 +a(g341 +Vve been stripped +p8598 +tp8599 +a(g900 +V\u000a +p8600 +tp8601 +a(g8 +V00009c0 +p8602 +tp8603 +a(g685 +g958 +tp8604 +a(g900 +g960 +tp8605 +a(g548 +V20 +p8606 +tp8607 +a(g548 +V66 +p8608 +tp8609 +a(g900 +g960 +tp8610 +a(g548 +V72 +p8611 +tp8612 +a(g548 +V6f +p8613 +tp8614 +a(g900 +g960 +tp8615 +a(g548 +V6d +p8616 +tp8617 +a(g548 +V20 +p8618 +tp8619 +a(g900 +g960 +tp8620 +a(g548 +V6e +p8621 +tp8622 +a(g548 +V6f +p8623 +tp8624 +a(g900 +g960 +tp8625 +a(g548 +V6e +p8626 +tp8627 +a(g548 +V2d +p8628 +tp8629 +a(g900 +g960 +tp8630 +a(g548 +V64 +p8631 +tp8632 +a(g548 +V69 +p8633 +tp8634 +a(g900 +g960 +tp8635 +a(g548 +V67 +p8636 +tp8637 +a(g548 +V69 +p8638 +tp8639 +a(g900 +g960 +tp8640 +a(g548 +V74 +p8641 +tp8642 +a(g548 +V20 +p8643 +tp8644 +a(g900 +V +p8645 +tp8646 +a(g341 +Vfrom non-digit +p8647 +tp8648 +a(g900 +V\u000a +p8649 +tp8650 +a(g8 +V00009d0 +p8651 +tp8652 +a(g685 +g958 +tp8653 +a(g900 +g960 +tp8654 +a(g548 +V63 +p8655 +tp8656 +a(g548 +V68 +p8657 +tp8658 +a(g900 +g960 +tp8659 +a(g548 +V61 +p8660 +tp8661 +a(g548 +V72 +p8662 +tp8663 +a(g900 +g960 +tp8664 +a(g548 +V61 +p8665 +tp8666 +a(g548 +V63 +p8667 +tp8668 +a(g900 +g960 +tp8669 +a(g548 +V74 +p8670 +tp8671 +a(g548 +V65 +p8672 +tp8673 +a(g900 +g960 +tp8674 +a(g548 +V72 +p8675 +tp8676 +a(g548 +V73 +p8677 +tp8678 +a(g900 +g960 +tp8679 +a(g548 +V29 +p8680 +tp8681 +a(g548 +V0a +p8682 +tp8683 +a(g900 +g960 +tp8684 +a(g548 +V20 +p8685 +tp8686 +a(g548 +V20 +p8687 +tp8688 +a(g900 +g960 +tp8689 +a(g548 +V20 +p8690 +tp8691 +a(g548 +V20 +p8692 +tp8693 +a(g900 +V +p8694 +tp8695 +a(g341 +Vcharacters). +p8696 +tp8697 +a(g900 +V\u000a +p8698 +tp8699 +a(g8 +V00009e0 +p8700 +tp8701 +a(g685 +g958 +tp8702 +a(g900 +g960 +tp8703 +a(g548 +V73 +p8704 +tp8705 +a(g548 +V74 +p8706 +tp8707 +a(g900 +g960 +tp8708 +a(g548 +V72 +p8709 +tp8710 +a(g548 +V69 +p8711 +tp8712 +a(g900 +g960 +tp8713 +a(g548 +V6e +p8714 +tp8715 +a(g548 +V67 +p8716 +tp8717 +a(g900 +g960 +tp8718 +a(g548 +V61 +p8719 +tp8720 +a(g548 +V72 +p8721 +tp8722 +a(g900 +g960 +tp8723 +a(g548 +V72 +p8724 +tp8725 +a(g548 +V61 +p8726 +tp8727 +a(g900 +g960 +tp8728 +a(g548 +V79 +p8729 +tp8730 +a(g548 +V20 +p8731 +tp8732 +a(g900 +g960 +tp8733 +a(g548 +V5f +p8734 +tp8735 +a(g548 +V46 +p8736 +tp8737 +a(g900 +g960 +tp8738 +a(g548 +V69 +p8739 +tp8740 +a(g548 +V6e +p8741 +tp8742 +a(g900 +V +p8743 +tp8744 +a(g341 +Vstringarray _Fin +p8745 +tp8746 +a(g900 +V\u000a +p8747 +tp8748 +a(g8 +V00009f0 +p8749 +tp8750 +a(g685 +g958 +tp8751 +a(g900 +g960 +tp8752 +a(g548 +V64 +p8753 +tp8754 +a(g548 +V57 +p8755 +tp8756 +a(g900 +g960 +tp8757 +a(g548 +V6f +p8758 +tp8759 +a(g548 +V72 +p8760 +tp8761 +a(g900 +g960 +tp8762 +a(g548 +V64 +p8763 +tp8764 +a(g548 +V73 +p8765 +tp8766 +a(g900 +g960 +tp8767 +a(g548 +V28 +p8768 +tp8769 +a(g548 +V20 +p8770 +tp8771 +a(g900 +g960 +tp8772 +a(g548 +V73 +p8773 +tp8774 +a(g548 +V74 +p8775 +tp8776 +a(g900 +g960 +tp8777 +a(g548 +V72 +p8778 +tp8779 +a(g548 +V69 +p8780 +tp8781 +a(g900 +g960 +tp8782 +a(g548 +V6e +p8783 +tp8784 +a(g548 +V67 +p8785 +tp8786 +a(g900 +g960 +tp8787 +a(g548 +V20 +p8788 +tp8789 +a(g548 +V6e +p8790 +tp8791 +a(g900 +V +p8792 +tp8793 +a(g341 +VdWords( string n +p8794 +tp8795 +a(g900 +V\u000a +p8796 +tp8797 +a(g8 +V0000a00 +p8798 +tp8799 +a(g685 +g958 +tp8800 +a(g900 +g960 +tp8801 +a(g548 +V75 +p8802 +tp8803 +a(g548 +V6d +p8804 +tp8805 +a(g900 +g960 +tp8806 +a(g548 +V62 +p8807 +tp8808 +a(g548 +V65 +p8809 +tp8810 +a(g900 +g960 +tp8811 +a(g548 +V72 +p8812 +tp8813 +a(g548 +V73 +p8814 +tp8815 +a(g900 +g960 +tp8816 +a(g548 +V2c +p8817 +tp8818 +a(g548 +V20 +p8819 +tp8820 +a(g900 +g960 +tp8821 +a(g548 +V62 +p8822 +tp8823 +a(g548 +V6f +p8824 +tp8825 +a(g900 +g960 +tp8826 +a(g548 +V6f +p8827 +tp8828 +a(g548 +V6c +p8829 +tp8830 +a(g900 +g960 +tp8831 +a(g548 +V20 +p8832 +tp8833 +a(g548 +V64 +p8834 +tp8835 +a(g900 +g960 +tp8836 +a(g548 +V69 +p8837 +tp8838 +a(g548 +V67 +p8839 +tp8840 +a(g900 +V +p8841 +tp8842 +a(g341 +Vumbers, bool dig +p8843 +tp8844 +a(g900 +V\u000a +p8845 +tp8846 +a(g8 +V0000a10 +p8847 +tp8848 +a(g685 +g958 +tp8849 +a(g900 +g960 +tp8850 +a(g548 +V69 +p8851 +tp8852 +a(g548 +V74 +p8853 +tp8854 +a(g900 +g960 +tp8855 +a(g548 +V6f +p8856 +tp8857 +a(g548 +V6b +p8858 +tp8859 +a(g900 +g960 +tp8860 +a(g548 +V20 +p8861 +tp8862 +a(g548 +V29 +p8863 +tp8864 +a(g900 +g960 +tp8865 +a(g548 +V0a +p8866 +tp8867 +a(g548 +V20 +p8868 +tp8869 +a(g900 +g960 +tp8870 +a(g548 +V20 +p8871 +tp8872 +a(g548 +V20 +p8873 +tp8874 +a(g900 +g960 +tp8875 +a(g548 +V20 +p8876 +tp8877 +a(g548 +V69 +p8878 +tp8879 +a(g900 +g960 +tp8880 +a(g548 +V6e +p8881 +tp8882 +a(g548 +V20 +p8883 +tp8884 +a(g900 +g960 +tp8885 +a(g548 +V7b +p8886 +tp8887 +a(g548 +V0a +p8888 +tp8889 +a(g900 +V +p8890 +tp8891 +a(g341 +Vitok ). in {. +p8892 +tp8893 +a(g900 +V\u000a +p8894 +tp8895 +a(g8 +V0000a20 +p8896 +tp8897 +a(g685 +g958 +tp8898 +a(g900 +g960 +tp8899 +a(g548 +V20 +p8900 +tp8901 +a(g548 +V20 +p8902 +tp8903 +a(g900 +g960 +tp8904 +a(g548 +V20 +p8905 +tp8906 +a(g548 +V20 +p8907 +tp8908 +a(g900 +g960 +tp8909 +a(g548 +V20 +p8910 +tp8911 +a(g548 +V20 +p8912 +tp8913 +a(g900 +g960 +tp8914 +a(g548 +V20 +p8915 +tp8916 +a(g548 +V20 +p8917 +tp8918 +a(g900 +g960 +tp8919 +a(g548 +V61 +p8920 +tp8921 +a(g548 +V73 +p8922 +tp8923 +a(g900 +g960 +tp8924 +a(g548 +V73 +p8925 +tp8926 +a(g548 +V65 +p8927 +tp8928 +a(g900 +g960 +tp8929 +a(g548 +V72 +p8930 +tp8931 +a(g548 +V74 +p8932 +tp8933 +a(g900 +g960 +tp8934 +a(g548 +V28 +p8935 +tp8936 +a(g548 +V6e +p8937 +tp8938 +a(g900 +V +p8939 +tp8940 +a(g341 +V assert(n +p8941 +tp8942 +a(g900 +V\u000a +p8943 +tp8944 +a(g8 +V0000a30 +p8945 +tp8946 +a(g685 +g958 +tp8947 +a(g900 +g960 +tp8948 +a(g548 +V75 +p8949 +tp8950 +a(g548 +V6d +p8951 +tp8952 +a(g900 +g960 +tp8953 +a(g548 +V62 +p8954 +tp8955 +a(g548 +V65 +p8956 +tp8957 +a(g900 +g960 +tp8958 +a(g548 +V72 +p8959 +tp8960 +a(g548 +V73 +p8961 +tp8962 +a(g900 +g960 +tp8963 +a(g548 +V2e +p8964 +tp8965 +a(g548 +V6c +p8966 +tp8967 +a(g900 +g960 +tp8968 +a(g548 +V65 +p8969 +tp8970 +a(g548 +V6e +p8971 +tp8972 +a(g900 +g960 +tp8973 +a(g548 +V67 +p8974 +tp8975 +a(g548 +V74 +p8976 +tp8977 +a(g900 +g960 +tp8978 +a(g548 +V68 +p8979 +tp8980 +a(g548 +V20 +p8981 +tp8982 +a(g900 +g960 +tp8983 +a(g548 +V3e +p8984 +tp8985 +a(g548 +V20 +p8986 +tp8987 +a(g900 +V +p8988 +tp8989 +a(g341 +Vumbers.length > +p8990 +tp8991 +a(g900 +V\u000a +p8992 +tp8993 +a(g8 +V0000a40 +p8994 +tp8995 +a(g685 +g958 +tp8996 +a(g900 +g960 +tp8997 +a(g548 +V20 +p8998 +tp8999 +a(g548 +V30 +p9000 +tp9001 +a(g900 +g960 +tp9002 +a(g548 +V29 +p9003 +tp9004 +a(g548 +V3b +p9005 +tp9006 +a(g900 +g960 +tp9007 +a(g548 +V20 +p9008 +tp9009 +a(g548 +V20 +p9010 +tp9011 +a(g900 +g960 +tp9012 +a(g548 +V20 +p9013 +tp9014 +a(g548 +V20 +p9015 +tp9016 +a(g900 +g960 +tp9017 +a(g548 +V0a +p9018 +tp9019 +a(g548 +V20 +p9020 +tp9021 +a(g900 +g960 +tp9022 +a(g548 +V20 +p9023 +tp9024 +a(g548 +V20 +p9025 +tp9026 +a(g900 +g960 +tp9027 +a(g548 +V20 +p9028 +tp9029 +a(g548 +V7d +p9030 +tp9031 +a(g900 +g960 +tp9032 +a(g548 +V20 +p9033 +tp9034 +a(g548 +V20 +p9035 +tp9036 +a(g900 +V +p9037 +tp9038 +a(g341 +V0); . } +p9039 +tp9040 +a(g900 +V\u000a +p9041 +tp9042 +a(g8 +V0000a50 +p9043 +tp9044 +a(g685 +g958 +tp9045 +a(g900 +g960 +tp9046 +a(g548 +V20 +p9047 +tp9048 +a(g548 +V20 +p9049 +tp9050 +a(g900 +g960 +tp9051 +a(g548 +V0a +p9052 +tp9053 +a(g548 +V20 +p9054 +tp9055 +a(g900 +g960 +tp9056 +a(g548 +V20 +p9057 +tp9058 +a(g548 +V20 +p9059 +tp9060 +a(g900 +g960 +tp9061 +a(g548 +V20 +p9062 +tp9063 +a(g548 +V6f +p9064 +tp9065 +a(g900 +g960 +tp9066 +a(g548 +V75 +p9067 +tp9068 +a(g548 +V74 +p9069 +tp9070 +a(g900 +g960 +tp9071 +a(g548 +V28 +p9072 +tp9073 +a(g548 +V72 +p9074 +tp9075 +a(g900 +g960 +tp9076 +a(g548 +V65 +p9077 +tp9078 +a(g548 +V73 +p9079 +tp9080 +a(g900 +g960 +tp9081 +a(g548 +V75 +p9082 +tp9083 +a(g548 +V6c +p9084 +tp9085 +a(g900 +V +p9086 +tp9087 +a(g341 +V . out(resul +p9088 +tp9089 +a(g900 +V\u000a +p9090 +tp9091 +a(g8 +V0000a60 +p9092 +tp9093 +a(g685 +g958 +tp9094 +a(g900 +g960 +tp9095 +a(g548 +V74 +p9096 +tp9097 +a(g548 +V29 +p9098 +tp9099 +a(g900 +g960 +tp9100 +a(g548 +V20 +p9101 +tp9102 +a(g548 +V7b +p9103 +tp9104 +a(g900 +g960 +tp9105 +a(g548 +V0a +p9106 +tp9107 +a(g548 +V20 +p9108 +tp9109 +a(g900 +g960 +tp9110 +a(g548 +V20 +p9111 +tp9112 +a(g548 +V20 +p9113 +tp9114 +a(g900 +g960 +tp9115 +a(g548 +V20 +p9116 +tp9117 +a(g548 +V20 +p9118 +tp9119 +a(g900 +g960 +tp9120 +a(g548 +V20 +p9121 +tp9122 +a(g548 +V20 +p9123 +tp9124 +a(g900 +g960 +tp9125 +a(g548 +V20 +p9126 +tp9127 +a(g548 +V66 +p9128 +tp9129 +a(g900 +g960 +tp9130 +a(g548 +V6f +p9131 +tp9132 +a(g548 +V72 +p9133 +tp9134 +a(g900 +V +p9135 +tp9136 +a(g341 +Vt) {. for +p9137 +tp9138 +a(g900 +V\u000a +p9139 +tp9140 +a(g8 +V0000a70 +p9141 +tp9142 +a(g685 +g958 +tp9143 +a(g900 +g960 +tp9144 +a(g548 +V65 +p9145 +tp9146 +a(g548 +V61 +p9147 +tp9148 +a(g900 +g960 +tp9149 +a(g548 +V63 +p9150 +tp9151 +a(g548 +V68 +p9152 +tp9153 +a(g900 +g960 +tp9154 +a(g548 +V20 +p9155 +tp9156 +a(g548 +V28 +p9157 +tp9158 +a(g900 +g960 +tp9159 +a(g548 +V61 +p9160 +tp9161 +a(g548 +V3b +p9162 +tp9163 +a(g900 +g960 +tp9164 +a(g548 +V20 +p9165 +tp9166 +a(g548 +V72 +p9167 +tp9168 +a(g900 +g960 +tp9169 +a(g548 +V65 +p9170 +tp9171 +a(g548 +V73 +p9172 +tp9173 +a(g900 +g960 +tp9174 +a(g548 +V75 +p9175 +tp9176 +a(g548 +V6c +p9177 +tp9178 +a(g900 +g960 +tp9179 +a(g548 +V74 +p9180 +tp9181 +a(g548 +V29 +p9182 +tp9183 +a(g900 +V +p9184 +tp9185 +a(g341 +Veach (a; result) +p9186 +tp9187 +a(g900 +V\u000a +p9188 +tp9189 +a(g8 +V0000a80 +p9190 +tp9191 +a(g685 +g958 +tp9192 +a(g900 +g960 +tp9193 +a(g548 +V0a +p9194 +tp9195 +a(g548 +V20 +p9196 +tp9197 +a(g900 +g960 +tp9198 +a(g548 +V20 +p9199 +tp9200 +a(g548 +V20 +p9201 +tp9202 +a(g900 +g960 +tp9203 +a(g548 +V20 +p9204 +tp9205 +a(g548 +V20 +p9206 +tp9207 +a(g900 +g960 +tp9208 +a(g548 +V20 +p9209 +tp9210 +a(g548 +V20 +p9211 +tp9212 +a(g900 +g960 +tp9213 +a(g548 +V20 +p9214 +tp9215 +a(g548 +V20 +p9216 +tp9217 +a(g900 +g960 +tp9218 +a(g548 +V20 +p9219 +tp9220 +a(g548 +V20 +p9221 +tp9222 +a(g900 +g960 +tp9223 +a(g548 +V20 +p9224 +tp9225 +a(g548 +V61 +p9226 +tp9227 +a(g900 +g960 +tp9228 +a(g548 +V73 +p9229 +tp9230 +a(g548 +V73 +p9231 +tp9232 +a(g900 +V +p9233 +tp9234 +a(g341 +V. ass +p9235 +tp9236 +a(g900 +V\u000a +p9237 +tp9238 +a(g8 +V0000a90 +p9239 +tp9240 +a(g685 +g958 +tp9241 +a(g900 +g960 +tp9242 +a(g548 +V65 +p9243 +tp9244 +a(g548 +V72 +p9245 +tp9246 +a(g900 +g960 +tp9247 +a(g548 +V74 +p9248 +tp9249 +a(g548 +V28 +p9250 +tp9251 +a(g900 +g960 +tp9252 +a(g548 +V20 +p9253 +tp9254 +a(g548 +V77 +p9255 +tp9256 +a(g900 +g960 +tp9257 +a(g548 +V6f +p9258 +tp9259 +a(g548 +V72 +p9260 +tp9261 +a(g900 +g960 +tp9262 +a(g548 +V64 +p9263 +tp9264 +a(g548 +V54 +p9265 +tp9266 +a(g900 +g960 +tp9267 +a(g548 +V6f +p9268 +tp9269 +a(g548 +V4e +p9270 +tp9271 +a(g900 +g960 +tp9272 +a(g548 +V75 +p9273 +tp9274 +a(g548 +V6d +p9275 +tp9276 +a(g900 +g960 +tp9277 +a(g548 +V28 +p9278 +tp9279 +a(g548 +V61 +p9280 +tp9281 +a(g900 +V +p9282 +tp9283 +a(g341 +Vert( wordToNum(a +p9284 +tp9285 +a(g900 +V\u000a +p9286 +tp9287 +a(g8 +V0000aa0 +p9288 +tp9289 +a(g685 +g958 +tp9290 +a(g900 +g960 +tp9291 +a(g548 +V29 +p9292 +tp9293 +a(g548 +V20 +p9294 +tp9295 +a(g900 +g960 +tp9296 +a(g548 +V3d +p9297 +tp9298 +a(g548 +V3d +p9299 +tp9300 +a(g900 +g960 +tp9301 +a(g548 +V20 +p9302 +tp9303 +a(g548 +V6e +p9304 +tp9305 +a(g900 +g960 +tp9306 +a(g548 +V75 +p9307 +tp9308 +a(g548 +V6d +p9309 +tp9310 +a(g900 +g960 +tp9311 +a(g548 +V62 +p9312 +tp9313 +a(g548 +V65 +p9314 +tp9315 +a(g900 +g960 +tp9316 +a(g548 +V72 +p9317 +tp9318 +a(g548 +V73 +p9319 +tp9320 +a(g900 +g960 +tp9321 +a(g548 +V20 +p9322 +tp9323 +a(g548 +V29 +p9324 +tp9325 +a(g900 +g960 +tp9326 +a(g548 +V3b +p9327 +tp9328 +a(g548 +V0a +p9329 +tp9330 +a(g900 +V +p9331 +tp9332 +a(g341 +V) == numbers );. +p9333 +tp9334 +a(g900 +V\u000a +p9335 +tp9336 +a(g8 +V0000ab0 +p9337 +tp9338 +a(g685 +g958 +tp9339 +a(g900 +g960 +tp9340 +a(g548 +V20 +p9341 +tp9342 +a(g548 +V20 +p9343 +tp9344 +a(g900 +g960 +tp9345 +a(g548 +V20 +p9346 +tp9347 +a(g548 +V20 +p9348 +tp9349 +a(g900 +g960 +tp9350 +a(g548 +V7d +p9351 +tp9352 +a(g548 +V20 +p9353 +tp9354 +a(g900 +g960 +tp9355 +a(g548 +V20 +p9356 +tp9357 +a(g548 +V20 +p9358 +tp9359 +a(g900 +g960 +tp9360 +a(g548 +V20 +p9361 +tp9362 +a(g548 +V0a +p9363 +tp9364 +a(g900 +g960 +tp9365 +a(g548 +V20 +p9366 +tp9367 +a(g548 +V20 +p9368 +tp9369 +a(g900 +g960 +tp9370 +a(g548 +V20 +p9371 +tp9372 +a(g548 +V20 +p9373 +tp9374 +a(g900 +g960 +tp9375 +a(g548 +V62 +p9376 +tp9377 +a(g548 +V6f +p9378 +tp9379 +a(g900 +V +p9380 +tp9381 +a(g341 +V } . bo +p9382 +tp9383 +a(g900 +V\u000a +p9384 +tp9385 +a(g8 +V0000ac0 +p9386 +tp9387 +a(g685 +g958 +tp9388 +a(g900 +g960 +tp9389 +a(g548 +V64 +p9390 +tp9391 +a(g548 +V79 +p9392 +tp9393 +a(g900 +g960 +tp9394 +a(g548 +V20 +p9395 +tp9396 +a(g548 +V7b +p9397 +tp9398 +a(g900 +g960 +tp9399 +a(g548 +V0a +p9400 +tp9401 +a(g548 +V20 +p9402 +tp9403 +a(g900 +g960 +tp9404 +a(g548 +V20 +p9405 +tp9406 +a(g548 +V20 +p9407 +tp9408 +a(g900 +g960 +tp9409 +a(g548 +V20 +p9410 +tp9411 +a(g548 +V20 +p9412 +tp9413 +a(g900 +g960 +tp9414 +a(g548 +V20 +p9415 +tp9416 +a(g548 +V20 +p9417 +tp9418 +a(g900 +g960 +tp9419 +a(g548 +V20 +p9420 +tp9421 +a(g548 +V73 +p9422 +tp9423 +a(g900 +g960 +tp9424 +a(g548 +V74 +p9425 +tp9426 +a(g548 +V72 +p9427 +tp9428 +a(g900 +V +p9429 +tp9430 +a(g341 +Vdy {. str +p9431 +tp9432 +a(g900 +V\u000a +p9433 +tp9434 +a(g8 +V0000ad0 +p9435 +tp9436 +a(g685 +g958 +tp9437 +a(g900 +g960 +tp9438 +a(g548 +V69 +p9439 +tp9440 +a(g548 +V6e +p9441 +tp9442 +a(g900 +g960 +tp9443 +a(g548 +V67 +p9444 +tp9445 +a(g548 +V61 +p9446 +tp9447 +a(g900 +g960 +tp9448 +a(g548 +V72 +p9449 +tp9450 +a(g548 +V72 +p9451 +tp9452 +a(g900 +g960 +tp9453 +a(g548 +V61 +p9454 +tp9455 +a(g548 +V79 +p9456 +tp9457 +a(g900 +g960 +tp9458 +a(g548 +V20 +p9459 +tp9460 +a(g548 +V72 +p9461 +tp9462 +a(g900 +g960 +tp9463 +a(g548 +V65 +p9464 +tp9465 +a(g548 +V74 +p9466 +tp9467 +a(g900 +g960 +tp9468 +a(g548 +V3b +p9469 +tp9470 +a(g548 +V0a +p9471 +tp9472 +a(g900 +g960 +tp9473 +a(g548 +V20 +p9474 +tp9475 +a(g548 +V20 +p9476 +tp9477 +a(g900 +V +p9478 +tp9479 +a(g341 +Vingarray ret;. +p9480 +tp9481 +a(g900 +V\u000a +p9482 +tp9483 +a(g8 +V0000ae0 +p9484 +tp9485 +a(g685 +g958 +tp9486 +a(g900 +g960 +tp9487 +a(g548 +V20 +p9488 +tp9489 +a(g548 +V20 +p9490 +tp9491 +a(g900 +g960 +tp9492 +a(g548 +V20 +p9493 +tp9494 +a(g548 +V20 +p9495 +tp9496 +a(g900 +g960 +tp9497 +a(g548 +V20 +p9498 +tp9499 +a(g548 +V20 +p9500 +tp9501 +a(g900 +g960 +tp9502 +a(g548 +V62 +p9503 +tp9504 +a(g548 +V6f +p9505 +tp9506 +a(g900 +g960 +tp9507 +a(g548 +V6f +p9508 +tp9509 +a(g548 +V6c +p9510 +tp9511 +a(g900 +g960 +tp9512 +a(g548 +V20 +p9513 +tp9514 +a(g548 +V66 +p9515 +tp9516 +a(g900 +g960 +tp9517 +a(g548 +V6f +p9518 +tp9519 +a(g548 +V75 +p9520 +tp9521 +a(g900 +g960 +tp9522 +a(g548 +V6e +p9523 +tp9524 +a(g548 +V64 +p9525 +tp9526 +a(g900 +V +p9527 +tp9528 +a(g341 +V bool found +p9529 +tp9530 +a(g900 +V\u000a +p9531 +tp9532 +a(g8 +V0000af0 +p9533 +tp9534 +a(g685 +g958 +tp9535 +a(g900 +g960 +tp9536 +a(g548 +V77 +p9537 +tp9538 +a(g548 +V6f +p9539 +tp9540 +a(g900 +g960 +tp9541 +a(g548 +V72 +p9542 +tp9543 +a(g548 +V64 +p9544 +tp9545 +a(g900 +g960 +tp9546 +a(g548 +V20 +p9547 +tp9548 +a(g548 +V3d +p9549 +tp9550 +a(g900 +g960 +tp9551 +a(g548 +V20 +p9552 +tp9553 +a(g548 +V66 +p9554 +tp9555 +a(g900 +g960 +tp9556 +a(g548 +V61 +p9557 +tp9558 +a(g548 +V6c +p9559 +tp9560 +a(g900 +g960 +tp9561 +a(g548 +V73 +p9562 +tp9563 +a(g548 +V65 +p9564 +tp9565 +a(g900 +g960 +tp9566 +a(g548 +V3b +p9567 +tp9568 +a(g548 +V0a +p9569 +tp9570 +a(g900 +g960 +tp9571 +a(g548 +V20 +p9572 +tp9573 +a(g548 +V20 +p9574 +tp9575 +a(g900 +V +p9576 +tp9577 +a(g341 +Vword = false;. +p9578 +tp9579 +a(g900 +V\u000a +p9580 +tp9581 +a(g8 +V0000b00 +p9582 +tp9583 +a(g685 +g958 +tp9584 +a(g900 +g960 +tp9585 +a(g548 +V20 +p9586 +tp9587 +a(g548 +V20 +p9588 +tp9589 +a(g900 +g960 +tp9590 +a(g548 +V20 +p9591 +tp9592 +a(g548 +V20 +p9593 +tp9594 +a(g900 +g960 +tp9595 +a(g548 +V20 +p9596 +tp9597 +a(g548 +V20 +p9598 +tp9599 +a(g900 +g960 +tp9600 +a(g548 +V66 +p9601 +tp9602 +a(g548 +V6f +p9603 +tp9604 +a(g900 +g960 +tp9605 +a(g548 +V72 +p9606 +tp9607 +a(g548 +V20 +p9608 +tp9609 +a(g900 +g960 +tp9610 +a(g548 +V28 +p9611 +tp9612 +a(g548 +V75 +p9613 +tp9614 +a(g900 +g960 +tp9615 +a(g548 +V69 +p9616 +tp9617 +a(g548 +V6e +p9618 +tp9619 +a(g900 +g960 +tp9620 +a(g548 +V74 +p9621 +tp9622 +a(g548 +V20 +p9623 +tp9624 +a(g900 +V +p9625 +tp9626 +a(g341 +V for (uint +p9627 +tp9628 +a(g900 +V\u000a +p9629 +tp9630 +a(g8 +V0000b10 +p9631 +tp9632 +a(g685 +g958 +tp9633 +a(g900 +g960 +tp9634 +a(g548 +V74 +p9635 +tp9636 +a(g548 +V3d +p9637 +tp9638 +a(g900 +g960 +tp9639 +a(g548 +V31 +p9640 +tp9641 +a(g548 +V3b +p9642 +tp9643 +a(g900 +g960 +tp9644 +a(g548 +V20 +p9645 +tp9646 +a(g548 +V74 +p9647 +tp9648 +a(g900 +g960 +tp9649 +a(g548 +V3c +p9650 +tp9651 +a(g548 +V3d +p9652 +tp9653 +a(g900 +g960 +tp9654 +a(g548 +V6e +p9655 +tp9656 +a(g548 +V75 +p9657 +tp9658 +a(g900 +g960 +tp9659 +a(g548 +V6d +p9660 +tp9661 +a(g548 +V62 +p9662 +tp9663 +a(g900 +g960 +tp9664 +a(g548 +V65 +p9665 +tp9666 +a(g548 +V72 +p9667 +tp9668 +a(g900 +g960 +tp9669 +a(g548 +V73 +p9670 +tp9671 +a(g548 +V2e +p9672 +tp9673 +a(g900 +V +p9674 +tp9675 +a(g341 +Vt=1; t<=numbers. +p9676 +tp9677 +a(g900 +V\u000a +p9678 +tp9679 +a(g8 +V0000b20 +p9680 +tp9681 +a(g685 +g958 +tp9682 +a(g900 +g960 +tp9683 +a(g548 +V6c +p9684 +tp9685 +a(g548 +V65 +p9686 +tp9687 +a(g900 +g960 +tp9688 +a(g548 +V6e +p9689 +tp9690 +a(g548 +V67 +p9691 +tp9692 +a(g900 +g960 +tp9693 +a(g548 +V74 +p9694 +tp9695 +a(g548 +V68 +p9696 +tp9697 +a(g900 +g960 +tp9698 +a(g548 +V3b +p9699 +tp9700 +a(g548 +V20 +p9701 +tp9702 +a(g900 +g960 +tp9703 +a(g548 +V2b +p9704 +tp9705 +a(g548 +V2b +p9706 +tp9707 +a(g900 +g960 +tp9708 +a(g548 +V74 +p9709 +tp9710 +a(g548 +V29 +p9711 +tp9712 +a(g900 +g960 +tp9713 +a(g548 +V20 +p9714 +tp9715 +a(g548 +V7b +p9716 +tp9717 +a(g900 +g960 +tp9718 +a(g548 +V0a +p9719 +tp9720 +a(g548 +V20 +p9721 +tp9722 +a(g900 +V +p9723 +tp9724 +a(g341 +Vlength; ++t) {. +p9725 +tp9726 +a(g900 +V\u000a +p9727 +tp9728 +a(g8 +V0000b30 +p9729 +tp9730 +a(g685 +g958 +tp9731 +a(g900 +g960 +tp9732 +a(g548 +V20 +p9733 +tp9734 +a(g548 +V20 +p9735 +tp9736 +a(g900 +g960 +tp9737 +a(g548 +V20 +p9738 +tp9739 +a(g548 +V20 +p9740 +tp9741 +a(g900 +g960 +tp9742 +a(g548 +V20 +p9743 +tp9744 +a(g548 +V20 +p9745 +tp9746 +a(g900 +g960 +tp9747 +a(g548 +V20 +p9748 +tp9749 +a(g548 +V20 +p9750 +tp9751 +a(g900 +g960 +tp9752 +a(g548 +V20 +p9753 +tp9754 +a(g548 +V20 +p9755 +tp9756 +a(g900 +g960 +tp9757 +a(g548 +V20 +p9758 +tp9759 +a(g548 +V61 +p9760 +tp9761 +a(g900 +g960 +tp9762 +a(g548 +V75 +p9763 +tp9764 +a(g548 +V74 +p9765 +tp9766 +a(g900 +g960 +tp9767 +a(g548 +V6f +p9768 +tp9769 +a(g548 +V20 +p9770 +tp9771 +a(g900 +V +p9772 +tp9773 +a(g341 +V auto +p9774 +tp9775 +a(g900 +V\u000a +p9776 +tp9777 +a(g8 +V0000b40 +p9778 +tp9779 +a(g685 +g958 +tp9780 +a(g900 +g960 +tp9781 +a(g548 +V61 +p9782 +tp9783 +a(g548 +V6c +p9784 +tp9785 +a(g900 +g960 +tp9786 +a(g548 +V74 +p9787 +tp9788 +a(g548 +V65 +p9789 +tp9790 +a(g900 +g960 +tp9791 +a(g548 +V72 +p9792 +tp9793 +a(g548 +V6e +p9794 +tp9795 +a(g900 +g960 +tp9796 +a(g548 +V61 +p9797 +tp9798 +a(g548 +V74 +p9799 +tp9800 +a(g900 +g960 +tp9801 +a(g548 +V69 +p9802 +tp9803 +a(g548 +V76 +p9804 +tp9805 +a(g900 +g960 +tp9806 +a(g548 +V65 +p9807 +tp9808 +a(g548 +V73 +p9809 +tp9810 +a(g900 +g960 +tp9811 +a(g548 +V20 +p9812 +tp9813 +a(g548 +V3d +p9814 +tp9815 +a(g900 +g960 +tp9816 +a(g548 +V20 +p9817 +tp9818 +a(g548 +V6e +p9819 +tp9820 +a(g900 +V +p9821 +tp9822 +a(g341 +Valternatives = n +p9823 +tp9824 +a(g900 +V\u000a +p9825 +tp9826 +a(g8 +V0000b50 +p9827 +tp9828 +a(g685 +g958 +tp9829 +a(g900 +g960 +tp9830 +a(g548 +V75 +p9831 +tp9832 +a(g548 +V6d +p9833 +tp9834 +a(g900 +g960 +tp9835 +a(g548 +V62 +p9836 +tp9837 +a(g548 +V65 +p9838 +tp9839 +a(g900 +g960 +tp9840 +a(g548 +V72 +p9841 +tp9842 +a(g548 +V73 +p9843 +tp9844 +a(g900 +g960 +tp9845 +a(g548 +V5b +p9846 +tp9847 +a(g548 +V30 +p9848 +tp9849 +a(g900 +g960 +tp9850 +a(g548 +V2e +p9851 +tp9852 +a(g548 +V2e +p9853 +tp9854 +a(g900 +g960 +tp9855 +a(g548 +V74 +p9856 +tp9857 +a(g548 +V5d +p9858 +tp9859 +a(g900 +g960 +tp9860 +a(g548 +V20 +p9861 +tp9862 +a(g548 +V69 +p9863 +tp9864 +a(g900 +g960 +tp9865 +a(g548 +V6e +p9866 +tp9867 +a(g548 +V20 +p9868 +tp9869 +a(g900 +V +p9870 +tp9871 +a(g341 +Vumbers[0..t] in +p9872 +tp9873 +a(g900 +V\u000a +p9874 +tp9875 +a(g8 +V0000b60 +p9876 +tp9877 +a(g685 +g958 +tp9878 +a(g900 +g960 +tp9879 +a(g548 +V6e +p9880 +tp9881 +a(g548 +V75 +p9882 +tp9883 +a(g900 +g960 +tp9884 +a(g548 +V6d +p9885 +tp9886 +a(g548 +V32 +p9887 +tp9888 +a(g900 +g960 +tp9889 +a(g548 +V77 +p9890 +tp9891 +a(g548 +V6f +p9892 +tp9893 +a(g900 +g960 +tp9894 +a(g548 +V72 +p9895 +tp9896 +a(g548 +V64 +p9897 +tp9898 +a(g900 +g960 +tp9899 +a(g548 +V73 +p9900 +tp9901 +a(g548 +V3b +p9902 +tp9903 +a(g900 +g960 +tp9904 +a(g548 +V0a +p9905 +tp9906 +a(g548 +V20 +p9907 +tp9908 +a(g900 +g960 +tp9909 +a(g548 +V20 +p9910 +tp9911 +a(g548 +V20 +p9912 +tp9913 +a(g900 +g960 +tp9914 +a(g548 +V20 +p9915 +tp9916 +a(g548 +V20 +p9917 +tp9918 +a(g900 +V +p9919 +tp9920 +a(g341 +Vnum2words;. +p9921 +tp9922 +a(g900 +V\u000a +p9923 +tp9924 +a(g8 +V0000b70 +p9925 +tp9926 +a(g685 +g958 +tp9927 +a(g900 +g960 +tp9928 +a(g548 +V20 +p9929 +tp9930 +a(g548 +V20 +p9931 +tp9932 +a(g900 +g960 +tp9933 +a(g548 +V20 +p9934 +tp9935 +a(g548 +V20 +p9936 +tp9937 +a(g900 +g960 +tp9938 +a(g548 +V20 +p9939 +tp9940 +a(g548 +V20 +p9941 +tp9942 +a(g900 +g960 +tp9943 +a(g548 +V20 +p9944 +tp9945 +a(g548 +V69 +p9946 +tp9947 +a(g900 +g960 +tp9948 +a(g548 +V66 +p9949 +tp9950 +a(g548 +V20 +p9951 +tp9952 +a(g900 +g960 +tp9953 +a(g548 +V28 +p9954 +tp9955 +a(g548 +V21 +p9956 +tp9957 +a(g900 +g960 +tp9958 +a(g548 +V61 +p9959 +tp9960 +a(g548 +V6c +p9961 +tp9962 +a(g900 +g960 +tp9963 +a(g548 +V74 +p9964 +tp9965 +a(g548 +V65 +p9966 +tp9967 +a(g900 +V +p9968 +tp9969 +a(g341 +V if (!alte +p9970 +tp9971 +a(g900 +V\u000a +p9972 +tp9973 +a(g8 +V0000b80 +p9974 +tp9975 +a(g685 +g958 +tp9976 +a(g900 +g960 +tp9977 +a(g548 +V72 +p9978 +tp9979 +a(g548 +V6e +p9980 +tp9981 +a(g900 +g960 +tp9982 +a(g548 +V61 +p9983 +tp9984 +a(g548 +V74 +p9985 +tp9986 +a(g900 +g960 +tp9987 +a(g548 +V69 +p9988 +tp9989 +a(g548 +V76 +p9990 +tp9991 +a(g900 +g960 +tp9992 +a(g548 +V65 +p9993 +tp9994 +a(g548 +V73 +p9995 +tp9996 +a(g900 +g960 +tp9997 +a(g548 +V29 +p9998 +tp9999 +a(g548 +V0a +p10000 +tp10001 +a(g900 +g960 +tp10002 +a(g548 +V20 +p10003 +tp10004 +a(g548 +V20 +p10005 +tp10006 +a(g900 +g960 +tp10007 +a(g548 +V20 +p10008 +tp10009 +a(g548 +V20 +p10010 +tp10011 +a(g900 +g960 +tp10012 +a(g548 +V20 +p10013 +tp10014 +a(g548 +V20 +p10015 +tp10016 +a(g900 +V +p10017 +tp10018 +a(g341 +Vrnatives). +p10019 +tp10020 +a(g900 +V\u000a +p10021 +tp10022 +a(g8 +V0000b90 +p10023 +tp10024 +a(g685 +g958 +tp10025 +a(g900 +g960 +tp10026 +a(g548 +V20 +p10027 +tp10028 +a(g548 +V20 +p10029 +tp10030 +a(g900 +g960 +tp10031 +a(g548 +V20 +p10032 +tp10033 +a(g548 +V20 +p10034 +tp10035 +a(g900 +g960 +tp10036 +a(g548 +V20 +p10037 +tp10038 +a(g548 +V20 +p10039 +tp10040 +a(g900 +g960 +tp10041 +a(g548 +V20 +p10042 +tp10043 +a(g548 +V20 +p10044 +tp10045 +a(g900 +g960 +tp10046 +a(g548 +V20 +p10047 +tp10048 +a(g548 +V20 +p10049 +tp10050 +a(g900 +g960 +tp10051 +a(g548 +V63 +p10052 +tp10053 +a(g548 +V6f +p10054 +tp10055 +a(g900 +g960 +tp10056 +a(g548 +V6e +p10057 +tp10058 +a(g548 +V74 +p10059 +tp10060 +a(g900 +g960 +tp10061 +a(g548 +V69 +p10062 +tp10063 +a(g548 +V6e +p10064 +tp10065 +a(g900 +V +p10066 +tp10067 +a(g341 +V contin +p10068 +tp10069 +a(g900 +V\u000a +p10070 +tp10071 +a(g8 +V0000ba0 +p10072 +tp10073 +a(g685 +g958 +tp10074 +a(g900 +g960 +tp10075 +a(g548 +V75 +p10076 +tp10077 +a(g548 +V65 +p10078 +tp10079 +a(g900 +g960 +tp10080 +a(g548 +V3b +p10081 +tp10082 +a(g548 +V0a +p10083 +tp10084 +a(g900 +g960 +tp10085 +a(g548 +V20 +p10086 +tp10087 +a(g548 +V20 +p10088 +tp10089 +a(g900 +g960 +tp10090 +a(g548 +V20 +p10091 +tp10092 +a(g548 +V20 +p10093 +tp10094 +a(g900 +g960 +tp10095 +a(g548 +V20 +p10096 +tp10097 +a(g548 +V20 +p10098 +tp10099 +a(g900 +g960 +tp10100 +a(g548 +V20 +p10101 +tp10102 +a(g548 +V20 +p10103 +tp10104 +a(g900 +g960 +tp10105 +a(g548 +V20 +p10106 +tp10107 +a(g548 +V20 +p10108 +tp10109 +a(g900 +g960 +tp10110 +a(g548 +V20 +p10111 +tp10112 +a(g548 +V20 +p10113 +tp10114 +a(g900 +V +p10115 +tp10116 +a(g341 +Vue;. +p10117 +tp10118 +a(g900 +V\u000a +p10119 +tp10120 +a(g8 +V0000bb0 +p10121 +tp10122 +a(g685 +g958 +tp10123 +a(g900 +g960 +tp10124 +a(g548 +V66 +p10125 +tp10126 +a(g548 +V6f +p10127 +tp10128 +a(g900 +g960 +tp10129 +a(g548 +V75 +p10130 +tp10131 +a(g548 +V6e +p10132 +tp10133 +a(g900 +g960 +tp10134 +a(g548 +V64 +p10135 +tp10136 +a(g548 +V77 +p10137 +tp10138 +a(g900 +g960 +tp10139 +a(g548 +V6f +p10140 +tp10141 +a(g548 +V72 +p10142 +tp10143 +a(g900 +g960 +tp10144 +a(g548 +V64 +p10145 +tp10146 +a(g548 +V20 +p10147 +tp10148 +a(g900 +g960 +tp10149 +a(g548 +V3d +p10150 +tp10151 +a(g548 +V20 +p10152 +tp10153 +a(g900 +g960 +tp10154 +a(g548 +V74 +p10155 +tp10156 +a(g548 +V72 +p10157 +tp10158 +a(g900 +g960 +tp10159 +a(g548 +V75 +p10160 +tp10161 +a(g548 +V65 +p10162 +tp10163 +a(g900 +V +p10164 +tp10165 +a(g341 +Vfoundword = true +p10166 +tp10167 +a(g900 +V\u000a +p10168 +tp10169 +a(g8 +V0000bc0 +p10170 +tp10171 +a(g685 +g958 +tp10172 +a(g900 +g960 +tp10173 +a(g548 +V3b +p10174 +tp10175 +a(g548 +V0a +p10176 +tp10177 +a(g900 +g960 +tp10178 +a(g548 +V20 +p10179 +tp10180 +a(g548 +V20 +p10181 +tp10182 +a(g900 +g960 +tp10183 +a(g548 +V20 +p10184 +tp10185 +a(g548 +V20 +p10186 +tp10187 +a(g900 +g960 +tp10188 +a(g548 +V20 +p10189 +tp10190 +a(g548 +V20 +p10191 +tp10192 +a(g900 +g960 +tp10193 +a(g548 +V20 +p10194 +tp10195 +a(g548 +V20 +p10196 +tp10197 +a(g900 +g960 +tp10198 +a(g548 +V20 +p10199 +tp10200 +a(g548 +V20 +p10201 +tp10202 +a(g900 +g960 +tp10203 +a(g548 +V20 +p10204 +tp10205 +a(g548 +V20 +p10206 +tp10207 +a(g900 +g960 +tp10208 +a(g548 +V69 +p10209 +tp10210 +a(g548 +V66 +p10211 +tp10212 +a(g900 +V +p10213 +tp10214 +a(g341 +V;. if +p10215 +tp10216 +a(g900 +V\u000a +p10217 +tp10218 +a(g8 +V0000bd0 +p10219 +tp10220 +a(g685 +g958 +tp10221 +a(g900 +g960 +tp10222 +a(g548 +V20 +p10223 +tp10224 +a(g548 +V28 +p10225 +tp10226 +a(g900 +g960 +tp10227 +a(g548 +V6e +p10228 +tp10229 +a(g548 +V75 +p10230 +tp10231 +a(g900 +g960 +tp10232 +a(g548 +V6d +p10233 +tp10234 +a(g548 +V62 +p10235 +tp10236 +a(g900 +g960 +tp10237 +a(g548 +V65 +p10238 +tp10239 +a(g548 +V72 +p10240 +tp10241 +a(g900 +g960 +tp10242 +a(g548 +V73 +p10243 +tp10244 +a(g548 +V2e +p10245 +tp10246 +a(g900 +g960 +tp10247 +a(g548 +V6c +p10248 +tp10249 +a(g548 +V65 +p10250 +tp10251 +a(g900 +g960 +tp10252 +a(g548 +V6e +p10253 +tp10254 +a(g548 +V67 +p10255 +tp10256 +a(g900 +g960 +tp10257 +a(g548 +V74 +p10258 +tp10259 +a(g548 +V68 +p10260 +tp10261 +a(g900 +V +p10262 +tp10263 +a(g341 +V(numbers.length +p10264 +tp10265 +a(g900 +V\u000a +p10266 +tp10267 +a(g8 +V0000be0 +p10268 +tp10269 +a(g685 +g958 +tp10270 +a(g900 +g960 +tp10271 +a(g548 +V20 +p10272 +tp10273 +a(g548 +V3e +p10274 +tp10275 +a(g900 +g960 +tp10276 +a(g548 +V20 +p10277 +tp10278 +a(g548 +V20 +p10279 +tp10280 +a(g900 +g960 +tp10281 +a(g548 +V74 +p10282 +tp10283 +a(g548 +V29 +p10284 +tp10285 +a(g900 +g960 +tp10286 +a(g548 +V20 +p10287 +tp10288 +a(g548 +V7b +p10289 +tp10290 +a(g900 +g960 +tp10291 +a(g548 +V0a +p10292 +tp10293 +a(g548 +V20 +p10294 +tp10295 +a(g900 +g960 +tp10296 +a(g548 +V20 +p10297 +tp10298 +a(g548 +V20 +p10299 +tp10300 +a(g900 +g960 +tp10301 +a(g548 +V20 +p10302 +tp10303 +a(g548 +V20 +p10304 +tp10305 +a(g900 +g960 +tp10306 +a(g548 +V20 +p10307 +tp10308 +a(g548 +V20 +p10309 +tp10310 +a(g900 +V +p10311 +tp10312 +a(g341 +V> t) {. +p10313 +tp10314 +a(g900 +V\u000a +p10315 +tp10316 +a(g8 +V0000bf0 +p10317 +tp10318 +a(g685 +g958 +tp10319 +a(g900 +g960 +tp10320 +a(g548 +V20 +p10321 +tp10322 +a(g548 +V20 +p10323 +tp10324 +a(g900 +g960 +tp10325 +a(g548 +V20 +p10326 +tp10327 +a(g548 +V20 +p10328 +tp10329 +a(g900 +g960 +tp10330 +a(g548 +V20 +p10331 +tp10332 +a(g548 +V20 +p10333 +tp10334 +a(g900 +g960 +tp10335 +a(g548 +V20 +p10336 +tp10337 +a(g548 +V20 +p10338 +tp10339 +a(g900 +g960 +tp10340 +a(g548 +V20 +p10341 +tp10342 +a(g548 +V2f +p10343 +tp10344 +a(g900 +g960 +tp10345 +a(g548 +V2f +p10346 +tp10347 +a(g548 +V20 +p10348 +tp10349 +a(g900 +g960 +tp10350 +a(g548 +V43 +p10351 +tp10352 +a(g548 +V6f +p10353 +tp10354 +a(g900 +g960 +tp10355 +a(g548 +V6d +p10356 +tp10357 +a(g548 +V62 +p10358 +tp10359 +a(g900 +V +p10360 +tp10361 +a(g341 +V // Comb +p10362 +tp10363 +a(g900 +V\u000a +p10364 +tp10365 +a(g8 +V0000c00 +p10366 +tp10367 +a(g685 +g958 +tp10368 +a(g900 +g960 +tp10369 +a(g548 +V69 +p10370 +tp10371 +a(g548 +V6e +p10372 +tp10373 +a(g900 +g960 +tp10374 +a(g548 +V65 +p10375 +tp10376 +a(g548 +V20 +p10377 +tp10378 +a(g900 +g960 +tp10379 +a(g548 +V61 +p10380 +tp10381 +a(g548 +V6c +p10382 +tp10383 +a(g900 +g960 +tp10384 +a(g548 +V6c +p10385 +tp10386 +a(g548 +V20 +p10387 +tp10388 +a(g900 +g960 +tp10389 +a(g548 +V63 +p10390 +tp10391 +a(g548 +V75 +p10392 +tp10393 +a(g900 +g960 +tp10394 +a(g548 +V72 +p10395 +tp10396 +a(g548 +V72 +p10397 +tp10398 +a(g900 +g960 +tp10399 +a(g548 +V65 +p10400 +tp10401 +a(g548 +V6e +p10402 +tp10403 +a(g900 +g960 +tp10404 +a(g548 +V74 +p10405 +tp10406 +a(g548 +V20 +p10407 +tp10408 +a(g900 +V +p10409 +tp10410 +a(g341 +Vine all current +p10411 +tp10412 +a(g900 +V\u000a +p10413 +tp10414 +a(g8 +V0000c10 +p10415 +tp10416 +a(g685 +g958 +tp10417 +a(g900 +g960 +tp10418 +a(g548 +V61 +p10419 +tp10420 +a(g548 +V6c +p10421 +tp10422 +a(g900 +g960 +tp10423 +a(g548 +V74 +p10424 +tp10425 +a(g548 +V65 +p10426 +tp10427 +a(g900 +g960 +tp10428 +a(g548 +V72 +p10429 +tp10430 +a(g548 +V6e +p10431 +tp10432 +a(g900 +g960 +tp10433 +a(g548 +V61 +p10434 +tp10435 +a(g548 +V74 +p10436 +tp10437 +a(g900 +g960 +tp10438 +a(g548 +V69 +p10439 +tp10440 +a(g548 +V76 +p10441 +tp10442 +a(g900 +g960 +tp10443 +a(g548 +V65 +p10444 +tp10445 +a(g548 +V73 +p10446 +tp10447 +a(g900 +g960 +tp10448 +a(g548 +V20 +p10449 +tp10450 +a(g548 +V77 +p10451 +tp10452 +a(g900 +g960 +tp10453 +a(g548 +V69 +p10454 +tp10455 +a(g548 +V74 +p10456 +tp10457 +a(g900 +V +p10458 +tp10459 +a(g341 +Valternatives wit +p10460 +tp10461 +a(g900 +V\u000a +p10462 +tp10463 +a(g8 +V0000c20 +p10464 +tp10465 +a(g685 +g958 +tp10466 +a(g900 +g960 +tp10467 +a(g548 +V68 +p10468 +tp10469 +a(g548 +V20 +p10470 +tp10471 +a(g900 +g960 +tp10472 +a(g548 +V61 +p10473 +tp10474 +a(g548 +V6c +p10475 +tp10476 +a(g900 +g960 +tp10477 +a(g548 +V6c +p10478 +tp10479 +a(g548 +V20 +p10480 +tp10481 +a(g900 +g960 +tp10482 +a(g548 +V61 +p10483 +tp10484 +a(g548 +V6c +p10485 +tp10486 +a(g900 +g960 +tp10487 +a(g548 +V74 +p10488 +tp10489 +a(g548 +V65 +p10490 +tp10491 +a(g900 +g960 +tp10492 +a(g548 +V72 +p10493 +tp10494 +a(g548 +V6e +p10495 +tp10496 +a(g900 +g960 +tp10497 +a(g548 +V61 +p10498 +tp10499 +a(g548 +V74 +p10500 +tp10501 +a(g900 +g960 +tp10502 +a(g548 +V69 +p10503 +tp10504 +a(g548 +V76 +p10505 +tp10506 +a(g900 +V +p10507 +tp10508 +a(g341 +Vh all alternativ +p10509 +tp10510 +a(g900 +V\u000a +p10511 +tp10512 +a(g8 +V0000c30 +p10513 +tp10514 +a(g685 +g958 +tp10515 +a(g900 +g960 +tp10516 +a(g548 +V65 +p10517 +tp10518 +a(g548 +V73 +p10519 +tp10520 +a(g900 +g960 +tp10521 +a(g548 +V20 +p10522 +tp10523 +a(g548 +V20 +p10524 +tp10525 +a(g900 +g960 +tp10526 +a(g548 +V20 +p10527 +tp10528 +a(g548 +V20 +p10529 +tp10530 +a(g900 +g960 +tp10531 +a(g548 +V20 +p10532 +tp10533 +a(g548 +V0a +p10534 +tp10535 +a(g900 +g960 +tp10536 +a(g548 +V20 +p10537 +tp10538 +a(g548 +V20 +p10539 +tp10540 +a(g900 +g960 +tp10541 +a(g548 +V20 +p10542 +tp10543 +a(g548 +V20 +p10544 +tp10545 +a(g900 +g960 +tp10546 +a(g548 +V20 +p10547 +tp10548 +a(g548 +V20 +p10549 +tp10550 +a(g900 +g960 +tp10551 +a(g548 +V20 +p10552 +tp10553 +a(g548 +V20 +p10554 +tp10555 +a(g900 +V +p10556 +tp10557 +a(g341 +Ves . +p10558 +tp10559 +a(g900 +V\u000a +p10560 +tp10561 +a(g8 +V0000c40 +p10562 +tp10563 +a(g685 +g958 +tp10564 +a(g900 +g960 +tp10565 +a(g548 +V20 +p10566 +tp10567 +a(g548 +V20 +p10568 +tp10569 +a(g900 +g960 +tp10570 +a(g548 +V20 +p10571 +tp10572 +a(g548 +V20 +p10573 +tp10574 +a(g900 +g960 +tp10575 +a(g548 +V20 +p10576 +tp10577 +a(g548 +V20 +p10578 +tp10579 +a(g900 +g960 +tp10580 +a(g548 +V20 +p10581 +tp10582 +a(g548 +V20 +p10583 +tp10584 +a(g900 +g960 +tp10585 +a(g548 +V2f +p10586 +tp10587 +a(g548 +V2f +p10588 +tp10589 +a(g900 +g960 +tp10590 +a(g548 +V20 +p10591 +tp10592 +a(g548 +V6f +p10593 +tp10594 +a(g900 +g960 +tp10595 +a(g548 +V66 +p10596 +tp10597 +a(g548 +V20 +p10598 +tp10599 +a(g900 +g960 +tp10600 +a(g548 +V74 +p10601 +tp10602 +a(g548 +V68 +p10603 +tp10604 +a(g900 +V +p10605 +tp10606 +a(g341 +V // of th +p10607 +tp10608 +a(g900 +V\u000a +p10609 +tp10610 +a(g8 +V0000c50 +p10611 +tp10612 +a(g685 +g958 +tp10613 +a(g900 +g960 +tp10614 +a(g548 +V65 +p10615 +tp10616 +a(g548 +V20 +p10617 +tp10618 +a(g900 +g960 +tp10619 +a(g548 +V72 +p10620 +tp10621 +a(g548 +V65 +p10622 +tp10623 +a(g900 +g960 +tp10624 +a(g548 +V73 +p10625 +tp10626 +a(g548 +V74 +p10627 +tp10628 +a(g900 +g960 +tp10629 +a(g548 +V20 +p10630 +tp10631 +a(g548 +V28 +p10632 +tp10633 +a(g900 +g960 +tp10634 +a(g548 +V6e +p10635 +tp10636 +a(g548 +V65 +p10637 +tp10638 +a(g900 +g960 +tp10639 +a(g548 +V78 +p10640 +tp10641 +a(g548 +V74 +p10642 +tp10643 +a(g900 +g960 +tp10644 +a(g548 +V20 +p10645 +tp10646 +a(g548 +V70 +p10647 +tp10648 +a(g900 +g960 +tp10649 +a(g548 +V69 +p10650 +tp10651 +a(g548 +V65 +p10652 +tp10653 +a(g900 +V +p10654 +tp10655 +a(g341 +Ve rest (next pie +p10656 +tp10657 +a(g900 +V\u000a +p10658 +tp10659 +a(g8 +V0000c60 +p10660 +tp10661 +a(g685 +g958 +tp10662 +a(g900 +g960 +tp10663 +a(g548 +V63 +p10664 +tp10665 +a(g548 +V65 +p10666 +tp10667 +a(g900 +g960 +tp10668 +a(g548 +V20 +p10669 +tp10670 +a(g548 +V63 +p10671 +tp10672 +a(g900 +g960 +tp10673 +a(g548 +V61 +p10674 +tp10675 +a(g548 +V6e +p10676 +tp10677 +a(g900 +g960 +tp10678 +a(g548 +V20 +p10679 +tp10680 +a(g548 +V73 +p10681 +tp10682 +a(g900 +g960 +tp10683 +a(g548 +V74 +p10684 +tp10685 +a(g548 +V61 +p10686 +tp10687 +a(g900 +g960 +tp10688 +a(g548 +V72 +p10689 +tp10690 +a(g548 +V74 +p10691 +tp10692 +a(g900 +g960 +tp10693 +a(g548 +V20 +p10694 +tp10695 +a(g548 +V77 +p10696 +tp10697 +a(g900 +g960 +tp10698 +a(g548 +V69 +p10699 +tp10700 +a(g548 +V74 +p10701 +tp10702 +a(g900 +V +p10703 +tp10704 +a(g341 +Vce can start wit +p10705 +tp10706 +a(g900 +V\u000a +p10707 +tp10708 +a(g8 +V0000c70 +p10709 +tp10710 +a(g685 +g958 +tp10711 +a(g900 +g960 +tp10712 +a(g548 +V68 +p10713 +tp10714 +a(g548 +V20 +p10715 +tp10716 +a(g900 +g960 +tp10717 +a(g548 +V61 +p10718 +tp10719 +a(g548 +V20 +p10720 +tp10721 +a(g900 +g960 +tp10722 +a(g548 +V64 +p10723 +tp10724 +a(g548 +V69 +p10725 +tp10726 +a(g900 +g960 +tp10727 +a(g548 +V67 +p10728 +tp10729 +a(g548 +V69 +p10730 +tp10731 +a(g900 +g960 +tp10732 +a(g548 +V74 +p10733 +tp10734 +a(g548 +V29 +p10735 +tp10736 +a(g900 +g960 +tp10737 +a(g548 +V20 +p10738 +tp10739 +a(g548 +V20 +p10740 +tp10741 +a(g900 +g960 +tp10742 +a(g548 +V20 +p10743 +tp10744 +a(g548 +V20 +p10745 +tp10746 +a(g900 +g960 +tp10747 +a(g548 +V20 +p10748 +tp10749 +a(g548 +V20 +p10750 +tp10751 +a(g900 +V +p10752 +tp10753 +a(g341 +Vh a digit) +p10754 +tp10755 +a(g900 +V\u000a +p10756 +tp10757 +a(g8 +V0000c80 +p10758 +tp10759 +a(g685 +g958 +tp10760 +a(g900 +g960 +tp10761 +a(g548 +V20 +p10762 +tp10763 +a(g548 +V20 +p10764 +tp10765 +a(g900 +g960 +tp10766 +a(g548 +V20 +p10767 +tp10768 +a(g548 +V20 +p10769 +tp10770 +a(g900 +g960 +tp10771 +a(g548 +V20 +p10772 +tp10773 +a(g548 +V20 +p10774 +tp10775 +a(g900 +g960 +tp10776 +a(g548 +V20 +p10777 +tp10778 +a(g548 +V20 +p10779 +tp10780 +a(g900 +g960 +tp10781 +a(g548 +V0a +p10782 +tp10783 +a(g548 +V20 +p10784 +tp10785 +a(g900 +g960 +tp10786 +a(g548 +V20 +p10787 +tp10788 +a(g548 +V20 +p10789 +tp10790 +a(g900 +g960 +tp10791 +a(g548 +V20 +p10792 +tp10793 +a(g548 +V20 +p10794 +tp10795 +a(g900 +g960 +tp10796 +a(g548 +V20 +p10797 +tp10798 +a(g548 +V20 +p10799 +tp10800 +a(g900 +V +p10801 +tp10802 +a(g341 +V . +p10803 +tp10804 +a(g900 +V\u000a +p10805 +tp10806 +a(g8 +V0000c90 +p10807 +tp10808 +a(g685 +g958 +tp10809 +a(g900 +g960 +tp10810 +a(g548 +V20 +p10811 +tp10812 +a(g548 +V20 +p10813 +tp10814 +a(g900 +g960 +tp10815 +a(g548 +V20 +p10816 +tp10817 +a(g548 +V20 +p10818 +tp10819 +a(g900 +g960 +tp10820 +a(g548 +V20 +p10821 +tp10822 +a(g548 +V20 +p10823 +tp10824 +a(g900 +g960 +tp10825 +a(g548 +V20 +p10826 +tp10827 +a(g548 +V20 +p10828 +tp10829 +a(g900 +g960 +tp10830 +a(g548 +V20 +p10831 +tp10832 +a(g548 +V66 +p10833 +tp10834 +a(g900 +g960 +tp10835 +a(g548 +V6f +p10836 +tp10837 +a(g548 +V72 +p10838 +tp10839 +a(g900 +g960 +tp10840 +a(g548 +V65 +p10841 +tp10842 +a(g548 +V61 +p10843 +tp10844 +a(g900 +g960 +tp10845 +a(g548 +V63 +p10846 +tp10847 +a(g548 +V68 +p10848 +tp10849 +a(g900 +V +p10850 +tp10851 +a(g341 +V foreach +p10852 +tp10853 +a(g900 +V\u000a +p10854 +tp10855 +a(g8 +V0000ca0 +p10856 +tp10857 +a(g685 +g958 +tp10858 +a(g900 +g960 +tp10859 +a(g548 +V20 +p10860 +tp10861 +a(g548 +V28 +p10862 +tp10863 +a(g900 +g960 +tp10864 +a(g548 +V61 +p10865 +tp10866 +a(g548 +V32 +p10867 +tp10868 +a(g900 +g960 +tp10869 +a(g548 +V3b +p10870 +tp10871 +a(g548 +V20 +p10872 +tp10873 +a(g900 +g960 +tp10874 +a(g548 +V5f +p10875 +tp10876 +a(g548 +V46 +p10877 +tp10878 +a(g900 +g960 +tp10879 +a(g548 +V69 +p10880 +tp10881 +a(g548 +V6e +p10882 +tp10883 +a(g900 +g960 +tp10884 +a(g548 +V64 +p10885 +tp10886 +a(g548 +V57 +p10887 +tp10888 +a(g900 +g960 +tp10889 +a(g548 +V6f +p10890 +tp10891 +a(g548 +V72 +p10892 +tp10893 +a(g900 +g960 +tp10894 +a(g548 +V64 +p10895 +tp10896 +a(g548 +V73 +p10897 +tp10898 +a(g900 +V +p10899 +tp10900 +a(g341 +V(a2; _FindWords +p10901 +tp10902 +a(g900 +V\u000a +p10903 +tp10904 +a(g8 +V0000cb0 +p10905 +tp10906 +a(g685 +g958 +tp10907 +a(g900 +g960 +tp10908 +a(g548 +V28 +p10909 +tp10910 +a(g548 +V20 +p10911 +tp10912 +a(g900 +g960 +tp10913 +a(g548 +V6e +p10914 +tp10915 +a(g548 +V75 +p10916 +tp10917 +a(g900 +g960 +tp10918 +a(g548 +V6d +p10919 +tp10920 +a(g548 +V62 +p10921 +tp10922 +a(g900 +g960 +tp10923 +a(g548 +V65 +p10924 +tp10925 +a(g548 +V72 +p10926 +tp10927 +a(g900 +g960 +tp10928 +a(g548 +V73 +p10929 +tp10930 +a(g548 +V5b +p10931 +tp10932 +a(g900 +g960 +tp10933 +a(g548 +V74 +p10934 +tp10935 +a(g548 +V2e +p10936 +tp10937 +a(g900 +g960 +tp10938 +a(g548 +V2e +p10939 +tp10940 +a(g548 +V24 +p10941 +tp10942 +a(g900 +g960 +tp10943 +a(g548 +V5d +p10944 +tp10945 +a(g548 +V2c +p10946 +tp10947 +a(g900 +V +p10948 +tp10949 +a(g341 +V( numbers[t..$], +p10950 +tp10951 +a(g900 +V\u000a +p10952 +tp10953 +a(g8 +V0000cc0 +p10954 +tp10955 +a(g685 +g958 +tp10956 +a(g900 +g960 +tp10957 +a(g548 +V20 +p10958 +tp10959 +a(g548 +V74 +p10960 +tp10961 +a(g900 +g960 +tp10962 +a(g548 +V72 +p10963 +tp10964 +a(g548 +V75 +p10965 +tp10966 +a(g900 +g960 +tp10967 +a(g548 +V65 +p10968 +tp10969 +a(g548 +V20 +p10970 +tp10971 +a(g900 +g960 +tp10972 +a(g548 +V20 +p10973 +tp10974 +a(g548 +V20 +p10975 +tp10976 +a(g900 +g960 +tp10977 +a(g548 +V20 +p10978 +tp10979 +a(g548 +V20 +p10980 +tp10981 +a(g900 +g960 +tp10982 +a(g548 +V29 +p10983 +tp10984 +a(g548 +V20 +p10985 +tp10986 +a(g900 +g960 +tp10987 +a(g548 +V29 +p10988 +tp10989 +a(g548 +V0a +p10990 +tp10991 +a(g900 +g960 +tp10992 +a(g548 +V20 +p10993 +tp10994 +a(g548 +V20 +p10995 +tp10996 +a(g900 +V +p10997 +tp10998 +a(g341 +Vtrue ) ). +p10999 +tp11000 +a(g900 +V\u000a +p11001 +tp11002 +a(g8 +V0000cd0 +p11003 +tp11004 +a(g685 +g958 +tp11005 +a(g900 +g960 +tp11006 +a(g548 +V20 +p11007 +tp11008 +a(g548 +V20 +p11009 +tp11010 +a(g900 +g960 +tp11011 +a(g548 +V20 +p11012 +tp11013 +a(g548 +V20 +p11014 +tp11015 +a(g900 +g960 +tp11016 +a(g548 +V20 +p11017 +tp11018 +a(g548 +V20 +p11019 +tp11020 +a(g900 +g960 +tp11021 +a(g548 +V20 +p11022 +tp11023 +a(g548 +V20 +p11024 +tp11025 +a(g900 +g960 +tp11026 +a(g548 +V20 +p11027 +tp11028 +a(g548 +V20 +p11029 +tp11030 +a(g900 +g960 +tp11031 +a(g548 +V20 +p11032 +tp11033 +a(g548 +V20 +p11034 +tp11035 +a(g900 +g960 +tp11036 +a(g548 +V20 +p11037 +tp11038 +a(g548 +V20 +p11039 +tp11040 +a(g900 +g960 +tp11041 +a(g548 +V20 +p11042 +tp11043 +a(g548 +V20 +p11044 +tp11045 +a(g900 +V +p11046 +tp11047 +a(g341 +V +p11048 +tp11049 +a(g900 +V\u000a +p11050 +tp11051 +a(g8 +V0000ce0 +p11052 +tp11053 +a(g685 +g958 +tp11054 +a(g900 +g960 +tp11055 +a(g548 +V20 +p11056 +tp11057 +a(g548 +V20 +p11058 +tp11059 +a(g900 +g960 +tp11060 +a(g548 +V66 +p11061 +tp11062 +a(g548 +V6f +p11063 +tp11064 +a(g900 +g960 +tp11065 +a(g548 +V72 +p11066 +tp11067 +a(g548 +V65 +p11068 +tp11069 +a(g900 +g960 +tp11070 +a(g548 +V61 +p11071 +tp11072 +a(g548 +V63 +p11073 +tp11074 +a(g900 +g960 +tp11075 +a(g548 +V68 +p11076 +tp11077 +a(g548 +V28 +p11078 +tp11079 +a(g900 +g960 +tp11080 +a(g548 +V61 +p11081 +tp11082 +a(g548 +V31 +p11083 +tp11084 +a(g900 +g960 +tp11085 +a(g548 +V3b +p11086 +tp11087 +a(g548 +V20 +p11088 +tp11089 +a(g900 +g960 +tp11090 +a(g548 +V2a +p11091 +tp11092 +a(g548 +V61 +p11093 +tp11094 +a(g900 +V +p11095 +tp11096 +a(g341 +V foreach(a1; *a +p11097 +tp11098 +a(g900 +V\u000a +p11099 +tp11100 +a(g8 +V0000cf0 +p11101 +tp11102 +a(g685 +g958 +tp11103 +a(g900 +g960 +tp11104 +a(g548 +V6c +p11105 +tp11106 +a(g548 +V74 +p11107 +tp11108 +a(g900 +g960 +tp11109 +a(g548 +V65 +p11110 +tp11111 +a(g548 +V72 +p11112 +tp11113 +a(g900 +g960 +tp11114 +a(g548 +V6e +p11115 +tp11116 +a(g548 +V61 +p11117 +tp11118 +a(g900 +g960 +tp11119 +a(g548 +V74 +p11120 +tp11121 +a(g548 +V69 +p11122 +tp11123 +a(g900 +g960 +tp11124 +a(g548 +V76 +p11125 +tp11126 +a(g548 +V65 +p11127 +tp11128 +a(g900 +g960 +tp11129 +a(g548 +V73 +p11130 +tp11131 +a(g548 +V29 +p11132 +tp11133 +a(g900 +g960 +tp11134 +a(g548 +V0a +p11135 +tp11136 +a(g548 +V20 +p11137 +tp11138 +a(g900 +g960 +tp11139 +a(g548 +V20 +p11140 +tp11141 +a(g548 +V20 +p11142 +tp11143 +a(g900 +V +p11144 +tp11145 +a(g341 +Vlternatives). +p11146 +tp11147 +a(g900 +V\u000a +p11148 +tp11149 +a(g8 +V0000d00 +p11150 +tp11151 +a(g685 +g958 +tp11152 +a(g900 +g960 +tp11153 +a(g548 +V20 +p11154 +tp11155 +a(g548 +V20 +p11156 +tp11157 +a(g900 +g960 +tp11158 +a(g548 +V20 +p11159 +tp11160 +a(g548 +V20 +p11161 +tp11162 +a(g900 +g960 +tp11163 +a(g548 +V20 +p11164 +tp11165 +a(g548 +V20 +p11166 +tp11167 +a(g900 +g960 +tp11168 +a(g548 +V20 +p11169 +tp11170 +a(g548 +V20 +p11171 +tp11172 +a(g900 +g960 +tp11173 +a(g548 +V20 +p11174 +tp11175 +a(g548 +V20 +p11176 +tp11177 +a(g900 +g960 +tp11178 +a(g548 +V20 +p11179 +tp11180 +a(g548 +V20 +p11181 +tp11182 +a(g900 +g960 +tp11183 +a(g548 +V20 +p11184 +tp11185 +a(g548 +V20 +p11186 +tp11187 +a(g900 +g960 +tp11188 +a(g548 +V20 +p11189 +tp11190 +a(g548 +V20 +p11191 +tp11192 +a(g900 +V +p11193 +tp11194 +a(g341 +V +p11195 +tp11196 +a(g900 +V\u000a +p11197 +tp11198 +a(g8 +V0000d10 +p11199 +tp11200 +a(g685 +g958 +tp11201 +a(g900 +g960 +tp11202 +a(g548 +V20 +p11203 +tp11204 +a(g548 +V20 +p11205 +tp11206 +a(g900 +g960 +tp11207 +a(g548 +V20 +p11208 +tp11209 +a(g548 +V20 +p11210 +tp11211 +a(g900 +g960 +tp11212 +a(g548 +V72 +p11213 +tp11214 +a(g548 +V65 +p11215 +tp11216 +a(g900 +g960 +tp11217 +a(g548 +V74 +p11218 +tp11219 +a(g548 +V20 +p11220 +tp11221 +a(g900 +g960 +tp11222 +a(g548 +V7e +p11223 +tp11224 +a(g548 +V3d +p11225 +tp11226 +a(g900 +g960 +tp11227 +a(g548 +V20 +p11228 +tp11229 +a(g548 +V61 +p11230 +tp11231 +a(g900 +g960 +tp11232 +a(g548 +V31 +p11233 +tp11234 +a(g548 +V20 +p11235 +tp11236 +a(g900 +g960 +tp11237 +a(g548 +V7e +p11238 +tp11239 +a(g548 +V20 +p11240 +tp11241 +a(g900 +V +p11242 +tp11243 +a(g341 +V ret ~= a1 ~ +p11244 +tp11245 +a(g900 +V\u000a +p11246 +tp11247 +a(g8 +V0000d20 +p11248 +tp11249 +a(g685 +g958 +tp11250 +a(g900 +g960 +tp11251 +a(g548 +V22 +p11252 +tp11253 +a(g548 +V20 +p11254 +tp11255 +a(g900 +g960 +tp11256 +a(g548 +V22 +p11257 +tp11258 +a(g548 +V20 +p11259 +tp11260 +a(g900 +g960 +tp11261 +a(g548 +V7e +p11262 +tp11263 +a(g548 +V20 +p11264 +tp11265 +a(g900 +g960 +tp11266 +a(g548 +V61 +p11267 +tp11268 +a(g548 +V32 +p11269 +tp11270 +a(g900 +g960 +tp11271 +a(g548 +V3b +p11272 +tp11273 +a(g548 +V0a +p11274 +tp11275 +a(g900 +g960 +tp11276 +a(g548 +V20 +p11277 +tp11278 +a(g548 +V20 +p11279 +tp11280 +a(g900 +g960 +tp11281 +a(g548 +V20 +p11282 +tp11283 +a(g548 +V20 +p11284 +tp11285 +a(g900 +g960 +tp11286 +a(g548 +V20 +p11287 +tp11288 +a(g548 +V20 +p11289 +tp11290 +a(g900 +V +p11291 +tp11292 +a(g341 +V" " ~ a2;. +p11293 +tp11294 +a(g900 +V\u000a +p11295 +tp11296 +a(g8 +V0000d30 +p11297 +tp11298 +a(g685 +g958 +tp11299 +a(g900 +g960 +tp11300 +a(g548 +V20 +p11301 +tp11302 +a(g548 +V20 +p11303 +tp11304 +a(g900 +g960 +tp11305 +a(g548 +V20 +p11306 +tp11307 +a(g548 +V20 +p11308 +tp11309 +a(g900 +g960 +tp11310 +a(g548 +V20 +p11311 +tp11312 +a(g548 +V20 +p11313 +tp11314 +a(g900 +g960 +tp11315 +a(g548 +V7d +p11316 +tp11317 +a(g548 +V0a +p11318 +tp11319 +a(g900 +g960 +tp11320 +a(g548 +V20 +p11321 +tp11322 +a(g548 +V20 +p11323 +tp11324 +a(g900 +g960 +tp11325 +a(g548 +V20 +p11326 +tp11327 +a(g548 +V20 +p11328 +tp11329 +a(g900 +g960 +tp11330 +a(g548 +V20 +p11331 +tp11332 +a(g548 +V20 +p11333 +tp11334 +a(g900 +g960 +tp11335 +a(g548 +V20 +p11336 +tp11337 +a(g548 +V20 +p11338 +tp11339 +a(g900 +V +p11340 +tp11341 +a(g341 +V }. +p11342 +tp11343 +a(g900 +V\u000a +p11344 +tp11345 +a(g8 +V0000d40 +p11346 +tp11347 +a(g685 +g958 +tp11348 +a(g900 +g960 +tp11349 +a(g548 +V20 +p11350 +tp11351 +a(g548 +V20 +p11352 +tp11353 +a(g900 +g960 +tp11354 +a(g548 +V20 +p11355 +tp11356 +a(g548 +V20 +p11357 +tp11358 +a(g900 +g960 +tp11359 +a(g548 +V65 +p11360 +tp11361 +a(g548 +V6c +p11362 +tp11363 +a(g900 +g960 +tp11364 +a(g548 +V73 +p11365 +tp11366 +a(g548 +V65 +p11367 +tp11368 +a(g900 +g960 +tp11369 +a(g548 +V20 +p11370 +tp11371 +a(g548 +V20 +p11372 +tp11373 +a(g900 +g960 +tp11374 +a(g548 +V20 +p11375 +tp11376 +a(g548 +V20 +p11377 +tp11378 +a(g900 +g960 +tp11379 +a(g548 +V0a +p11380 +tp11381 +a(g548 +V20 +p11382 +tp11383 +a(g900 +g960 +tp11384 +a(g548 +V20 +p11385 +tp11386 +a(g548 +V20 +p11387 +tp11388 +a(g900 +V +p11389 +tp11390 +a(g341 +V else . +p11391 +tp11392 +a(g900 +V\u000a +p11393 +tp11394 +a(g8 +V0000d50 +p11395 +tp11396 +a(g685 +g958 +tp11397 +a(g900 +g960 +tp11398 +a(g548 +V20 +p11399 +tp11400 +a(g548 +V20 +p11401 +tp11402 +a(g900 +g960 +tp11403 +a(g548 +V20 +p11404 +tp11405 +a(g548 +V20 +p11406 +tp11407 +a(g900 +g960 +tp11408 +a(g548 +V20 +p11409 +tp11410 +a(g548 +V20 +p11411 +tp11412 +a(g900 +g960 +tp11413 +a(g548 +V20 +p11414 +tp11415 +a(g548 +V20 +p11416 +tp11417 +a(g900 +g960 +tp11418 +a(g548 +V20 +p11419 +tp11420 +a(g548 +V20 +p11421 +tp11422 +a(g900 +g960 +tp11423 +a(g548 +V20 +p11424 +tp11425 +a(g548 +V20 +p11426 +tp11427 +a(g900 +g960 +tp11428 +a(g548 +V20 +p11429 +tp11430 +a(g548 +V72 +p11431 +tp11432 +a(g900 +g960 +tp11433 +a(g548 +V65 +p11434 +tp11435 +a(g548 +V74 +p11436 +tp11437 +a(g900 +V +p11438 +tp11439 +a(g341 +V ret +p11440 +tp11441 +a(g900 +V\u000a +p11442 +tp11443 +a(g8 +V0000d60 +p11444 +tp11445 +a(g685 +g958 +tp11446 +a(g900 +g960 +tp11447 +a(g548 +V20 +p11448 +tp11449 +a(g548 +V7e +p11450 +tp11451 +a(g900 +g960 +tp11452 +a(g548 +V3d +p11453 +tp11454 +a(g548 +V20 +p11455 +tp11456 +a(g900 +g960 +tp11457 +a(g548 +V2a +p11458 +tp11459 +a(g548 +V61 +p11460 +tp11461 +a(g900 +g960 +tp11462 +a(g548 +V6c +p11463 +tp11464 +a(g548 +V74 +p11465 +tp11466 +a(g900 +g960 +tp11467 +a(g548 +V65 +p11468 +tp11469 +a(g548 +V72 +p11470 +tp11471 +a(g900 +g960 +tp11472 +a(g548 +V6e +p11473 +tp11474 +a(g548 +V61 +p11475 +tp11476 +a(g900 +g960 +tp11477 +a(g548 +V74 +p11478 +tp11479 +a(g548 +V69 +p11480 +tp11481 +a(g900 +g960 +tp11482 +a(g548 +V76 +p11483 +tp11484 +a(g548 +V65 +p11485 +tp11486 +a(g900 +V +p11487 +tp11488 +a(g341 +V~= *alternative +p11489 +tp11490 +a(g900 +V\u000a +p11491 +tp11492 +a(g8 +V0000d70 +p11493 +tp11494 +a(g685 +g958 +tp11495 +a(g900 +g960 +tp11496 +a(g548 +V73 +p11497 +tp11498 +a(g548 +V3b +p11499 +tp11500 +a(g900 +g960 +tp11501 +a(g548 +V20 +p11502 +tp11503 +a(g548 +V20 +p11504 +tp11505 +a(g900 +g960 +tp11506 +a(g548 +V20 +p11507 +tp11508 +a(g548 +V20 +p11509 +tp11510 +a(g900 +g960 +tp11511 +a(g548 +V2f +p11512 +tp11513 +a(g548 +V2f +p11514 +tp11515 +a(g900 +g960 +tp11516 +a(g548 +V20 +p11517 +tp11518 +a(g548 +V61 +p11519 +tp11520 +a(g900 +g960 +tp11521 +a(g548 +V70 +p11522 +tp11523 +a(g548 +V70 +p11524 +tp11525 +a(g900 +g960 +tp11526 +a(g548 +V65 +p11527 +tp11528 +a(g548 +V6e +p11529 +tp11530 +a(g900 +g960 +tp11531 +a(g548 +V64 +p11532 +tp11533 +a(g548 +V20 +p11534 +tp11535 +a(g900 +V +p11536 +tp11537 +a(g341 +Vs; // append +p11538 +tp11539 +a(g900 +V\u000a +p11540 +tp11541 +a(g8 +V0000d80 +p11542 +tp11543 +a(g685 +g958 +tp11544 +a(g900 +g960 +tp11545 +a(g548 +V74 +p11546 +tp11547 +a(g548 +V68 +p11548 +tp11549 +a(g900 +g960 +tp11550 +a(g548 +V65 +p11551 +tp11552 +a(g548 +V73 +p11553 +tp11554 +a(g900 +g960 +tp11555 +a(g548 +V65 +p11556 +tp11557 +a(g548 +V20 +p11558 +tp11559 +a(g900 +g960 +tp11560 +a(g548 +V61 +p11561 +tp11562 +a(g548 +V6c +p11563 +tp11564 +a(g900 +g960 +tp11565 +a(g548 +V74 +p11566 +tp11567 +a(g548 +V65 +p11568 +tp11569 +a(g900 +g960 +tp11570 +a(g548 +V72 +p11571 +tp11572 +a(g548 +V6e +p11573 +tp11574 +a(g900 +g960 +tp11575 +a(g548 +V61 +p11576 +tp11577 +a(g548 +V74 +p11578 +tp11579 +a(g900 +g960 +tp11580 +a(g548 +V69 +p11581 +tp11582 +a(g548 +V76 +p11583 +tp11584 +a(g900 +V +p11585 +tp11586 +a(g341 +Vthese alternativ +p11587 +tp11588 +a(g900 +V\u000a +p11589 +tp11590 +a(g8 +V0000d90 +p11591 +tp11592 +a(g685 +g958 +tp11593 +a(g900 +g960 +tp11594 +a(g548 +V65 +p11595 +tp11596 +a(g548 +V73 +p11597 +tp11598 +a(g900 +g960 +tp11599 +a(g548 +V0a +p11600 +tp11601 +a(g548 +V20 +p11602 +tp11603 +a(g900 +g960 +tp11604 +a(g548 +V20 +p11605 +tp11606 +a(g548 +V20 +p11607 +tp11608 +a(g900 +g960 +tp11609 +a(g548 +V20 +p11610 +tp11611 +a(g548 +V20 +p11612 +tp11613 +a(g900 +g960 +tp11614 +a(g548 +V20 +p11615 +tp11616 +a(g548 +V20 +p11617 +tp11618 +a(g900 +g960 +tp11619 +a(g548 +V20 +p11620 +tp11621 +a(g548 +V7d +p11622 +tp11623 +a(g900 +g960 +tp11624 +a(g548 +V0a +p11625 +tp11626 +a(g548 +V20 +p11627 +tp11628 +a(g900 +g960 +tp11629 +a(g548 +V20 +p11630 +tp11631 +a(g548 +V20 +p11632 +tp11633 +a(g900 +V +p11634 +tp11635 +a(g341 +Ves. }. +p11636 +tp11637 +a(g900 +V\u000a +p11638 +tp11639 +a(g8 +V0000da0 +p11640 +tp11641 +a(g685 +g958 +tp11642 +a(g900 +g960 +tp11643 +a(g548 +V20 +p11644 +tp11645 +a(g548 +V20 +p11646 +tp11647 +a(g900 +g960 +tp11648 +a(g548 +V20 +p11649 +tp11650 +a(g548 +V20 +p11651 +tp11652 +a(g900 +g960 +tp11653 +a(g548 +V20 +p11654 +tp11655 +a(g548 +V2f +p11656 +tp11657 +a(g900 +g960 +tp11658 +a(g548 +V2f +p11659 +tp11660 +a(g548 +V20 +p11661 +tp11662 +a(g900 +g960 +tp11663 +a(g548 +V54 +p11664 +tp11665 +a(g548 +V72 +p11666 +tp11667 +a(g900 +g960 +tp11668 +a(g548 +V79 +p11669 +tp11670 +a(g548 +V20 +p11671 +tp11672 +a(g900 +g960 +tp11673 +a(g548 +V74 +p11674 +tp11675 +a(g548 +V6f +p11676 +tp11677 +a(g900 +g960 +tp11678 +a(g548 +V20 +p11679 +tp11680 +a(g548 +V6b +p11681 +tp11682 +a(g900 +V +p11683 +tp11684 +a(g341 +V // Try to k +p11685 +tp11686 +a(g900 +V\u000a +p11687 +tp11688 +a(g8 +V0000db0 +p11689 +tp11690 +a(g685 +g958 +tp11691 +a(g900 +g960 +tp11692 +a(g548 +V65 +p11693 +tp11694 +a(g548 +V65 +p11695 +tp11696 +a(g900 +g960 +tp11697 +a(g548 +V70 +p11698 +tp11699 +a(g548 +V20 +p11700 +tp11701 +a(g900 +g960 +tp11702 +a(g548 +V31 +p11703 +tp11704 +a(g548 +V20 +p11705 +tp11706 +a(g900 +g960 +tp11707 +a(g548 +V64 +p11708 +tp11709 +a(g548 +V69 +p11710 +tp11711 +a(g900 +g960 +tp11712 +a(g548 +V67 +p11713 +tp11714 +a(g548 +V69 +p11715 +tp11716 +a(g900 +g960 +tp11717 +a(g548 +V74 +p11718 +tp11719 +a(g548 +V2c +p11720 +tp11721 +a(g900 +g960 +tp11722 +a(g548 +V20 +p11723 +tp11724 +a(g548 +V6f +p11725 +tp11726 +a(g900 +g960 +tp11727 +a(g548 +V6e +p11728 +tp11729 +a(g548 +V6c +p11730 +tp11731 +a(g900 +V +p11732 +tp11733 +a(g341 +Veep 1 digit, onl +p11734 +tp11735 +a(g900 +V\u000a +p11736 +tp11737 +a(g8 +V0000dc0 +p11738 +tp11739 +a(g685 +g958 +tp11740 +a(g900 +g960 +tp11741 +a(g548 +V79 +p11742 +tp11743 +a(g548 +V20 +p11744 +tp11745 +a(g900 +g960 +tp11746 +a(g548 +V69 +p11747 +tp11748 +a(g548 +V66 +p11749 +tp11750 +a(g900 +g960 +tp11751 +a(g548 +V20 +p11752 +tp11753 +a(g548 +V77 +p11754 +tp11755 +a(g900 +g960 +tp11756 +a(g548 +V65 +p11757 +tp11758 +a(g548 +V27 +p11759 +tp11760 +a(g900 +g960 +tp11761 +a(g548 +V72 +p11762 +tp11763 +a(g548 +V65 +p11764 +tp11765 +a(g900 +g960 +tp11766 +a(g548 +V20 +p11767 +tp11768 +a(g548 +V61 +p11769 +tp11770 +a(g900 +g960 +tp11771 +a(g548 +V6c +p11772 +tp11773 +a(g548 +V6c +p11774 +tp11775 +a(g900 +g960 +tp11776 +a(g548 +V6f +p11777 +tp11778 +a(g548 +V77 +p11779 +tp11780 +a(g900 +V +p11781 +tp11782 +a(g341 +Vy if we're allow +p11783 +tp11784 +a(g900 +V\u000a +p11785 +tp11786 +a(g8 +V0000dd0 +p11787 +tp11788 +a(g685 +g958 +tp11789 +a(g900 +g960 +tp11790 +a(g548 +V65 +p11791 +tp11792 +a(g548 +V64 +p11793 +tp11794 +a(g900 +g960 +tp11795 +a(g548 +V20 +p11796 +tp11797 +a(g548 +V61 +p11798 +tp11799 +a(g900 +g960 +tp11800 +a(g548 +V6e +p11801 +tp11802 +a(g548 +V64 +p11803 +tp11804 +a(g900 +g960 +tp11805 +a(g548 +V20 +p11806 +tp11807 +a(g548 +V6e +p11808 +tp11809 +a(g900 +g960 +tp11810 +a(g548 +V6f +p11811 +tp11812 +a(g548 +V20 +p11813 +tp11814 +a(g900 +g960 +tp11815 +a(g548 +V6f +p11816 +tp11817 +a(g548 +V74 +p11818 +tp11819 +a(g900 +g960 +tp11820 +a(g548 +V68 +p11821 +tp11822 +a(g548 +V65 +p11823 +tp11824 +a(g900 +g960 +tp11825 +a(g548 +V72 +p11826 +tp11827 +a(g548 +V0a +p11828 +tp11829 +a(g900 +V +p11830 +tp11831 +a(g341 +Ved and no other. +p11832 +tp11833 +a(g900 +V\u000a +p11834 +tp11835 +a(g8 +V0000de0 +p11836 +tp11837 +a(g685 +g958 +tp11838 +a(g900 +g960 +tp11839 +a(g548 +V20 +p11840 +tp11841 +a(g548 +V20 +p11842 +tp11843 +a(g900 +g960 +tp11844 +a(g548 +V20 +p11845 +tp11846 +a(g548 +V20 +p11847 +tp11848 +a(g900 +g960 +tp11849 +a(g548 +V20 +p11850 +tp11851 +a(g548 +V20 +p11852 +tp11853 +a(g900 +g960 +tp11854 +a(g548 +V20 +p11855 +tp11856 +a(g548 +V20 +p11857 +tp11858 +a(g900 +g960 +tp11859 +a(g548 +V2f +p11860 +tp11861 +a(g548 +V2f +p11862 +tp11863 +a(g900 +g960 +tp11864 +a(g548 +V20 +p11865 +tp11866 +a(g548 +V61 +p11867 +tp11868 +a(g900 +g960 +tp11869 +a(g548 +V6c +p11870 +tp11871 +a(g548 +V74 +p11872 +tp11873 +a(g900 +g960 +tp11874 +a(g548 +V65 +p11875 +tp11876 +a(g548 +V72 +p11877 +tp11878 +a(g900 +V +p11879 +tp11880 +a(g341 +V // alter +p11881 +tp11882 +a(g900 +V\u000a +p11883 +tp11884 +a(g8 +V0000df0 +p11885 +tp11886 +a(g685 +g958 +tp11887 +a(g900 +g960 +tp11888 +a(g548 +V6e +p11889 +tp11890 +a(g548 +V61 +p11891 +tp11892 +a(g900 +g960 +tp11893 +a(g548 +V74 +p11894 +tp11895 +a(g548 +V69 +p11896 +tp11897 +a(g900 +g960 +tp11898 +a(g548 +V76 +p11899 +tp11900 +a(g548 +V65 +p11901 +tp11902 +a(g900 +g960 +tp11903 +a(g548 +V73 +p11904 +tp11905 +a(g548 +V20 +p11906 +tp11907 +a(g900 +g960 +tp11908 +a(g548 +V77 +p11909 +tp11910 +a(g548 +V65 +p11911 +tp11912 +a(g900 +g960 +tp11913 +a(g548 +V72 +p11914 +tp11915 +a(g548 +V65 +p11916 +tp11917 +a(g900 +g960 +tp11918 +a(g548 +V20 +p11919 +tp11920 +a(g548 +V66 +p11921 +tp11922 +a(g900 +g960 +tp11923 +a(g548 +V6f +p11924 +tp11925 +a(g548 +V75 +p11926 +tp11927 +a(g900 +V +p11928 +tp11929 +a(g341 +Vnatives were fou +p11930 +tp11931 +a(g900 +V\u000a +p11932 +tp11933 +a(g8 +V0000e00 +p11934 +tp11935 +a(g685 +g958 +tp11936 +a(g900 +g960 +tp11937 +a(g548 +V6e +p11938 +tp11939 +a(g548 +V64 +p11940 +tp11941 +a(g900 +g960 +tp11942 +a(g548 +V0a +p11943 +tp11944 +a(g548 +V20 +p11945 +tp11946 +a(g900 +g960 +tp11947 +a(g548 +V20 +p11948 +tp11949 +a(g548 +V20 +p11950 +tp11951 +a(g900 +g960 +tp11952 +a(g548 +V20 +p11953 +tp11954 +a(g548 +V20 +p11955 +tp11956 +a(g900 +g960 +tp11957 +a(g548 +V20 +p11958 +tp11959 +a(g548 +V20 +p11960 +tp11961 +a(g900 +g960 +tp11962 +a(g548 +V20 +p11963 +tp11964 +a(g548 +V2f +p11965 +tp11966 +a(g900 +g960 +tp11967 +a(g548 +V2f +p11968 +tp11969 +a(g548 +V20 +p11970 +tp11971 +a(g900 +g960 +tp11972 +a(g548 +V54 +p11973 +tp11974 +a(g548 +V65 +p11975 +tp11976 +a(g900 +V +p11977 +tp11978 +a(g341 +Vnd. // Te +p11979 +tp11980 +a(g900 +V\u000a +p11981 +tp11982 +a(g8 +V0000e10 +p11983 +tp11984 +a(g685 +g958 +tp11985 +a(g900 +g960 +tp11986 +a(g548 +V73 +p11987 +tp11988 +a(g548 +V74 +p11989 +tp11990 +a(g900 +g960 +tp11991 +a(g548 +V69 +p11992 +tp11993 +a(g548 +V6e +p11994 +tp11995 +a(g900 +g960 +tp11996 +a(g548 +V67 +p11997 +tp11998 +a(g548 +V20 +p11999 +tp12000 +a(g900 +g960 +tp12001 +a(g548 +V22 +p12002 +tp12003 +a(g548 +V72 +p12004 +tp12005 +a(g900 +g960 +tp12006 +a(g548 +V65 +p12007 +tp12008 +a(g548 +V74 +p12009 +tp12010 +a(g900 +g960 +tp12011 +a(g548 +V2e +p12012 +tp12013 +a(g548 +V6c +p12014 +tp12015 +a(g900 +g960 +tp12016 +a(g548 +V65 +p12017 +tp12018 +a(g548 +V6e +p12019 +tp12020 +a(g900 +g960 +tp12021 +a(g548 +V67 +p12022 +tp12023 +a(g548 +V74 +p12024 +tp12025 +a(g900 +V +p12026 +tp12027 +a(g341 +Vsting "ret.lengt +p12028 +tp12029 +a(g900 +V\u000a +p12030 +tp12031 +a(g8 +V0000e20 +p12032 +tp12033 +a(g685 +g958 +tp12034 +a(g900 +g960 +tp12035 +a(g548 +V68 +p12036 +tp12037 +a(g548 +V22 +p12038 +tp12039 +a(g900 +g960 +tp12040 +a(g548 +V20 +p12041 +tp12042 +a(g548 +V6d +p12043 +tp12044 +a(g900 +g960 +tp12045 +a(g548 +V61 +p12046 +tp12047 +a(g548 +V6b +p12048 +tp12049 +a(g900 +g960 +tp12050 +a(g548 +V65 +p12051 +tp12052 +a(g548 +V73 +p12053 +tp12054 +a(g900 +g960 +tp12055 +a(g548 +V20 +p12056 +tp12057 +a(g548 +V6d +p12058 +tp12059 +a(g900 +g960 +tp12060 +a(g548 +V6f +p12061 +tp12062 +a(g548 +V72 +p12063 +tp12064 +a(g900 +g960 +tp12065 +a(g548 +V65 +p12066 +tp12067 +a(g548 +V20 +p12068 +tp12069 +a(g900 +g960 +tp12070 +a(g548 +V73 +p12071 +tp12072 +a(g548 +V65 +p12073 +tp12074 +a(g900 +V +p12075 +tp12076 +a(g341 +Vh" makes more se +p12077 +tp12078 +a(g900 +V\u000a +p12079 +tp12080 +a(g8 +V0000e30 +p12081 +tp12082 +a(g685 +g958 +tp12083 +a(g900 +g960 +tp12084 +a(g548 +V6e +p12085 +tp12086 +a(g548 +V73 +p12087 +tp12088 +a(g900 +g960 +tp12089 +a(g548 +V65 +p12090 +tp12091 +a(g548 +V20 +p12092 +tp12093 +a(g900 +g960 +tp12094 +a(g548 +V74 +p12095 +tp12096 +a(g548 +V68 +p12097 +tp12098 +a(g900 +g960 +tp12099 +a(g548 +V61 +p12100 +tp12101 +a(g548 +V6e +p12102 +tp12103 +a(g900 +g960 +tp12104 +a(g548 +V20 +p12105 +tp12106 +a(g548 +V74 +p12107 +tp12108 +a(g900 +g960 +tp12109 +a(g548 +V65 +p12110 +tp12111 +a(g548 +V73 +p12112 +tp12113 +a(g900 +g960 +tp12114 +a(g548 +V74 +p12115 +tp12116 +a(g548 +V69 +p12117 +tp12118 +a(g900 +g960 +tp12119 +a(g548 +V6e +p12120 +tp12121 +a(g548 +V67 +p12122 +tp12123 +a(g900 +V +p12124 +tp12125 +a(g341 +Vnse than testing +p12126 +tp12127 +a(g900 +V\u000a +p12128 +tp12129 +a(g8 +V0000e40 +p12130 +tp12131 +a(g685 +g958 +tp12132 +a(g900 +g960 +tp12133 +a(g548 +V20 +p12134 +tp12135 +a(g548 +V22 +p12136 +tp12137 +a(g900 +g960 +tp12138 +a(g548 +V66 +p12139 +tp12140 +a(g548 +V6f +p12141 +tp12142 +a(g900 +g960 +tp12143 +a(g548 +V75 +p12144 +tp12145 +a(g548 +V6e +p12146 +tp12147 +a(g900 +g960 +tp12148 +a(g548 +V64 +p12149 +tp12150 +a(g548 +V77 +p12151 +tp12152 +a(g900 +g960 +tp12153 +a(g548 +V6f +p12154 +tp12155 +a(g548 +V72 +p12156 +tp12157 +a(g900 +g960 +tp12158 +a(g548 +V64 +p12159 +tp12160 +a(g548 +V22 +p12161 +tp12162 +a(g900 +g960 +tp12163 +a(g548 +V2c +p12164 +tp12165 +a(g548 +V0a +p12166 +tp12167 +a(g900 +g960 +tp12168 +a(g548 +V20 +p12169 +tp12170 +a(g548 +V20 +p12171 +tp12172 +a(g900 +V +p12173 +tp12174 +a(g341 +V"foundword",. +p12175 +tp12176 +a(g900 +V\u000a +p12177 +tp12178 +a(g8 +V0000e50 +p12179 +tp12180 +a(g685 +g958 +tp12181 +a(g900 +g960 +tp12182 +a(g548 +V20 +p12183 +tp12184 +a(g548 +V20 +p12185 +tp12186 +a(g900 +g960 +tp12187 +a(g548 +V20 +p12188 +tp12189 +a(g548 +V20 +p12190 +tp12191 +a(g900 +g960 +tp12192 +a(g548 +V20 +p12193 +tp12194 +a(g548 +V20 +p12195 +tp12196 +a(g900 +g960 +tp12197 +a(g548 +V2f +p12198 +tp12199 +a(g548 +V2f +p12200 +tp12201 +a(g900 +g960 +tp12202 +a(g548 +V20 +p12203 +tp12204 +a(g548 +V62 +p12205 +tp12206 +a(g900 +g960 +tp12207 +a(g548 +V75 +p12208 +tp12209 +a(g548 +V74 +p12210 +tp12211 +a(g900 +g960 +tp12212 +a(g548 +V20 +p12213 +tp12214 +a(g548 +V74 +p12215 +tp12216 +a(g900 +g960 +tp12217 +a(g548 +V68 +p12218 +tp12219 +a(g548 +V65 +p12220 +tp12221 +a(g900 +V +p12222 +tp12223 +a(g341 +V // but the +p12224 +tp12225 +a(g900 +V\u000a +p12226 +tp12227 +a(g8 +V0000e60 +p12228 +tp12229 +a(g685 +g958 +tp12230 +a(g900 +g960 +tp12231 +a(g548 +V20 +p12232 +tp12233 +a(g548 +V6f +p12234 +tp12235 +a(g900 +g960 +tp12236 +a(g548 +V74 +p12237 +tp12238 +a(g548 +V68 +p12239 +tp12240 +a(g900 +g960 +tp12241 +a(g548 +V65 +p12242 +tp12243 +a(g548 +V72 +p12244 +tp12245 +a(g900 +g960 +tp12246 +a(g548 +V20 +p12247 +tp12248 +a(g548 +V69 +p12249 +tp12250 +a(g900 +g960 +tp12251 +a(g548 +V6d +p12252 +tp12253 +a(g548 +V70 +p12254 +tp12255 +a(g900 +g960 +tp12256 +a(g548 +V6c +p12257 +tp12258 +a(g548 +V65 +p12259 +tp12260 +a(g900 +g960 +tp12261 +a(g548 +V6d +p12262 +tp12263 +a(g548 +V65 +p12264 +tp12265 +a(g900 +g960 +tp12266 +a(g548 +V6e +p12267 +tp12268 +a(g548 +V74 +p12269 +tp12270 +a(g900 +V +p12271 +tp12272 +a(g341 +Vother implement +p12273 +tp12274 +a(g900 +V\u000a +p12275 +tp12276 +a(g8 +V0000e70 +p12277 +tp12278 +a(g685 +g958 +tp12279 +a(g900 +g960 +tp12280 +a(g548 +V61 +p12281 +tp12282 +a(g548 +V74 +p12283 +tp12284 +a(g900 +g960 +tp12285 +a(g548 +V69 +p12286 +tp12287 +a(g548 +V6f +p12288 +tp12289 +a(g900 +g960 +tp12290 +a(g548 +V6e +p12291 +tp12292 +a(g548 +V73 +p12293 +tp12294 +a(g900 +g960 +tp12295 +a(g548 +V20 +p12296 +tp12297 +a(g548 +V73 +p12298 +tp12299 +a(g900 +g960 +tp12300 +a(g548 +V65 +p12301 +tp12302 +a(g548 +V65 +p12303 +tp12304 +a(g900 +g960 +tp12305 +a(g548 +V6d +p12306 +tp12307 +a(g548 +V20 +p12308 +tp12309 +a(g900 +g960 +tp12310 +a(g548 +V74 +p12311 +tp12312 +a(g548 +V6f +p12313 +tp12314 +a(g900 +g960 +tp12315 +a(g548 +V20 +p12316 +tp12317 +a(g548 +V64 +p12318 +tp12319 +a(g900 +V +p12320 +tp12321 +a(g341 +Vations seem to d +p12322 +tp12323 +a(g900 +V\u000a +p12324 +tp12325 +a(g8 +V0000e80 +p12326 +tp12327 +a(g685 +g958 +tp12328 +a(g900 +g960 +tp12329 +a(g548 +V6f +p12330 +tp12331 +a(g548 +V20 +p12332 +tp12333 +a(g900 +g960 +tp12334 +a(g548 +V6a +p12335 +tp12336 +a(g548 +V75 +p12337 +tp12338 +a(g900 +g960 +tp12339 +a(g548 +V73 +p12340 +tp12341 +a(g548 +V74 +p12342 +tp12343 +a(g900 +g960 +tp12344 +a(g548 +V20 +p12345 +tp12346 +a(g548 +V74 +p12347 +tp12348 +a(g900 +g960 +tp12349 +a(g548 +V68 +p12350 +tp12351 +a(g548 +V69 +p12352 +tp12353 +a(g900 +g960 +tp12354 +a(g548 +V73 +p12355 +tp12356 +a(g548 +V2e +p12357 +tp12358 +a(g900 +g960 +tp12359 +a(g548 +V0a +p12360 +tp12361 +a(g548 +V20 +p12362 +tp12363 +a(g900 +g960 +tp12364 +a(g548 +V20 +p12365 +tp12366 +a(g548 +V20 +p12367 +tp12368 +a(g900 +V +p12369 +tp12370 +a(g341 +Vo just this.. +p12371 +tp12372 +a(g900 +V\u000a +p12373 +tp12374 +a(g8 +V0000e90 +p12375 +tp12376 +a(g685 +g958 +tp12377 +a(g900 +g960 +tp12378 +a(g548 +V20 +p12379 +tp12380 +a(g548 +V20 +p12381 +tp12382 +a(g900 +g960 +tp12383 +a(g548 +V20 +p12384 +tp12385 +a(g548 +V20 +p12386 +tp12387 +a(g900 +g960 +tp12388 +a(g548 +V20 +p12389 +tp12390 +a(g548 +V69 +p12391 +tp12392 +a(g900 +g960 +tp12393 +a(g548 +V66 +p12394 +tp12395 +a(g548 +V20 +p12396 +tp12397 +a(g900 +g960 +tp12398 +a(g548 +V28 +p12399 +tp12400 +a(g548 +V64 +p12401 +tp12402 +a(g900 +g960 +tp12403 +a(g548 +V69 +p12404 +tp12405 +a(g548 +V67 +p12406 +tp12407 +a(g900 +g960 +tp12408 +a(g548 +V69 +p12409 +tp12410 +a(g548 +V74 +p12411 +tp12412 +a(g900 +g960 +tp12413 +a(g548 +V6f +p12414 +tp12415 +a(g548 +V6b +p12416 +tp12417 +a(g900 +V +p12418 +tp12419 +a(g341 +V if (digitok +p12420 +tp12421 +a(g900 +V\u000a +p12422 +tp12423 +a(g8 +V0000ea0 +p12424 +tp12425 +a(g685 +g958 +tp12426 +a(g900 +g960 +tp12427 +a(g548 +V20 +p12428 +tp12429 +a(g548 +V26 +p12430 +tp12431 +a(g900 +g960 +tp12432 +a(g548 +V26 +p12433 +tp12434 +a(g548 +V20 +p12435 +tp12436 +a(g900 +g960 +tp12437 +a(g548 +V21 +p12438 +tp12439 +a(g548 +V66 +p12440 +tp12441 +a(g900 +g960 +tp12442 +a(g548 +V6f +p12443 +tp12444 +a(g548 +V75 +p12445 +tp12446 +a(g900 +g960 +tp12447 +a(g548 +V6e +p12448 +tp12449 +a(g548 +V64 +p12450 +tp12451 +a(g900 +g960 +tp12452 +a(g548 +V77 +p12453 +tp12454 +a(g548 +V6f +p12455 +tp12456 +a(g900 +g960 +tp12457 +a(g548 +V72 +p12458 +tp12459 +a(g548 +V64 +p12460 +tp12461 +a(g900 +g960 +tp12462 +a(g548 +V29 +p12463 +tp12464 +a(g548 +V20 +p12465 +tp12466 +a(g900 +V +p12467 +tp12468 +a(g341 +V&& !foundword) +p12469 +tp12470 +a(g900 +V\u000a +p12471 +tp12472 +a(g8 +V0000eb0 +p12473 +tp12474 +a(g685 +g958 +tp12475 +a(g900 +g960 +tp12476 +a(g548 +V7b +p12477 +tp12478 +a(g548 +V20 +p12479 +tp12480 +a(g900 +g960 +tp12481 +a(g548 +V2f +p12482 +tp12483 +a(g548 +V2f +p12484 +tp12485 +a(g900 +g960 +tp12486 +a(g548 +V72 +p12487 +tp12488 +a(g548 +V65 +p12489 +tp12490 +a(g900 +g960 +tp12491 +a(g548 +V74 +p12492 +tp12493 +a(g548 +V2e +p12494 +tp12495 +a(g900 +g960 +tp12496 +a(g548 +V6c +p12497 +tp12498 +a(g548 +V65 +p12499 +tp12500 +a(g900 +g960 +tp12501 +a(g548 +V6e +p12502 +tp12503 +a(g548 +V67 +p12504 +tp12505 +a(g900 +g960 +tp12506 +a(g548 +V74 +p12507 +tp12508 +a(g548 +V68 +p12509 +tp12510 +a(g900 +g960 +tp12511 +a(g548 +V20 +p12512 +tp12513 +a(g548 +V3d +p12514 +tp12515 +a(g900 +V +p12516 +tp12517 +a(g341 +V{ //ret.length = +p12518 +tp12519 +a(g900 +V\u000a +p12520 +tp12521 +a(g8 +V0000ec0 +p12522 +tp12523 +a(g685 +g958 +tp12524 +a(g900 +g960 +tp12525 +a(g548 +V3d +p12526 +tp12527 +a(g548 +V20 +p12528 +tp12529 +a(g900 +g960 +tp12530 +a(g548 +V30 +p12531 +tp12532 +a(g548 +V20 +p12533 +tp12534 +a(g900 +g960 +tp12535 +a(g548 +V20 +p12536 +tp12537 +a(g548 +V0a +p12538 +tp12539 +a(g900 +g960 +tp12540 +a(g548 +V20 +p12541 +tp12542 +a(g548 +V20 +p12543 +tp12544 +a(g900 +g960 +tp12545 +a(g548 +V20 +p12546 +tp12547 +a(g548 +V20 +p12548 +tp12549 +a(g900 +g960 +tp12550 +a(g548 +V20 +p12551 +tp12552 +a(g548 +V20 +p12553 +tp12554 +a(g900 +g960 +tp12555 +a(g548 +V20 +p12556 +tp12557 +a(g548 +V20 +p12558 +tp12559 +a(g900 +g960 +tp12560 +a(g548 +V20 +p12561 +tp12562 +a(g548 +V20 +p12563 +tp12564 +a(g900 +V +p12565 +tp12566 +a(g341 +V= 0 . +p12567 +tp12568 +a(g900 +V\u000a +p12569 +tp12570 +a(g8 +V0000ed0 +p12571 +tp12572 +a(g685 +g958 +tp12573 +a(g900 +g960 +tp12574 +a(g548 +V20 +p12575 +tp12576 +a(g548 +V20 +p12577 +tp12578 +a(g900 +g960 +tp12579 +a(g548 +V69 +p12580 +tp12581 +a(g548 +V66 +p12582 +tp12583 +a(g900 +g960 +tp12584 +a(g548 +V28 +p12585 +tp12586 +a(g548 +V6e +p12587 +tp12588 +a(g900 +g960 +tp12589 +a(g548 +V75 +p12590 +tp12591 +a(g548 +V6d +p12592 +tp12593 +a(g900 +g960 +tp12594 +a(g548 +V62 +p12595 +tp12596 +a(g548 +V65 +p12597 +tp12598 +a(g900 +g960 +tp12599 +a(g548 +V72 +p12600 +tp12601 +a(g548 +V73 +p12602 +tp12603 +a(g900 +g960 +tp12604 +a(g548 +V2e +p12605 +tp12606 +a(g548 +V6c +p12607 +tp12608 +a(g900 +g960 +tp12609 +a(g548 +V65 +p12610 +tp12611 +a(g548 +V6e +p12612 +tp12613 +a(g900 +V +p12614 +tp12615 +a(g341 +V if(numbers.len +p12616 +tp12617 +a(g900 +V\u000a +p12618 +tp12619 +a(g8 +V0000ee0 +p12620 +tp12621 +a(g685 +g958 +tp12622 +a(g900 +g960 +tp12623 +a(g548 +V67 +p12624 +tp12625 +a(g548 +V74 +p12626 +tp12627 +a(g900 +g960 +tp12628 +a(g548 +V68 +p12629 +tp12630 +a(g548 +V20 +p12631 +tp12632 +a(g900 +g960 +tp12633 +a(g548 +V3e +p12634 +tp12635 +a(g548 +V20 +p12636 +tp12637 +a(g900 +g960 +tp12638 +a(g548 +V20 +p12639 +tp12640 +a(g548 +V31 +p12641 +tp12642 +a(g900 +g960 +tp12643 +a(g548 +V29 +p12644 +tp12645 +a(g548 +V20 +p12646 +tp12647 +a(g900 +g960 +tp12648 +a(g548 +V7b +p12649 +tp12650 +a(g548 +V0a +p12651 +tp12652 +a(g900 +g960 +tp12653 +a(g548 +V20 +p12654 +tp12655 +a(g548 +V20 +p12656 +tp12657 +a(g900 +g960 +tp12658 +a(g548 +V20 +p12659 +tp12660 +a(g548 +V20 +p12661 +tp12662 +a(g900 +V +p12663 +tp12664 +a(g341 +Vgth > 1) {. +p12665 +tp12666 +a(g900 +V\u000a +p12667 +tp12668 +a(g8 +V0000ef0 +p12669 +tp12670 +a(g685 +g958 +tp12671 +a(g900 +g960 +tp12672 +a(g548 +V20 +p12673 +tp12674 +a(g548 +V20 +p12675 +tp12676 +a(g900 +g960 +tp12677 +a(g548 +V20 +p12678 +tp12679 +a(g548 +V20 +p12680 +tp12681 +a(g900 +g960 +tp12682 +a(g548 +V20 +p12683 +tp12684 +a(g548 +V20 +p12685 +tp12686 +a(g900 +g960 +tp12687 +a(g548 +V20 +p12688 +tp12689 +a(g548 +V20 +p12690 +tp12691 +a(g900 +g960 +tp12692 +a(g548 +V20 +p12693 +tp12694 +a(g548 +V20 +p12695 +tp12696 +a(g900 +g960 +tp12697 +a(g548 +V20 +p12698 +tp12699 +a(g548 +V20 +p12700 +tp12701 +a(g900 +g960 +tp12702 +a(g548 +V2f +p12703 +tp12704 +a(g548 +V2f +p12705 +tp12706 +a(g900 +g960 +tp12707 +a(g548 +V20 +p12708 +tp12709 +a(g548 +V43 +p12710 +tp12711 +a(g900 +V +p12712 +tp12713 +a(g341 +V // C +p12714 +tp12715 +a(g900 +V\u000a +p12716 +tp12717 +a(g8 +V0000f00 +p12718 +tp12719 +a(g685 +g958 +tp12720 +a(g900 +g960 +tp12721 +a(g548 +V6f +p12722 +tp12723 +a(g548 +V6d +p12724 +tp12725 +a(g900 +g960 +tp12726 +a(g548 +V62 +p12727 +tp12728 +a(g548 +V69 +p12729 +tp12730 +a(g900 +g960 +tp12731 +a(g548 +V6e +p12732 +tp12733 +a(g548 +V65 +p12734 +tp12735 +a(g900 +g960 +tp12736 +a(g548 +V20 +p12737 +tp12738 +a(g548 +V31 +p12739 +tp12740 +a(g900 +g960 +tp12741 +a(g548 +V20 +p12742 +tp12743 +a(g548 +V64 +p12744 +tp12745 +a(g900 +g960 +tp12746 +a(g548 +V69 +p12747 +tp12748 +a(g548 +V67 +p12749 +tp12750 +a(g900 +g960 +tp12751 +a(g548 +V69 +p12752 +tp12753 +a(g548 +V74 +p12754 +tp12755 +a(g900 +g960 +tp12756 +a(g548 +V20 +p12757 +tp12758 +a(g548 +V77 +p12759 +tp12760 +a(g900 +V +p12761 +tp12762 +a(g341 +Vombine 1 digit w +p12763 +tp12764 +a(g900 +V\u000a +p12765 +tp12766 +a(g8 +V0000f10 +p12767 +tp12768 +a(g685 +g958 +tp12769 +a(g900 +g960 +tp12770 +a(g548 +V69 +p12771 +tp12772 +a(g548 +V74 +p12773 +tp12774 +a(g900 +g960 +tp12775 +a(g548 +V68 +p12776 +tp12777 +a(g548 +V20 +p12778 +tp12779 +a(g900 +g960 +tp12780 +a(g548 +V61 +p12781 +tp12782 +a(g548 +V6c +p12783 +tp12784 +a(g900 +g960 +tp12785 +a(g548 +V6c +p12786 +tp12787 +a(g548 +V20 +p12788 +tp12789 +a(g900 +g960 +tp12790 +a(g548 +V61 +p12791 +tp12792 +a(g548 +V6c +p12793 +tp12794 +a(g900 +g960 +tp12795 +a(g548 +V74 +p12796 +tp12797 +a(g548 +V65 +p12798 +tp12799 +a(g900 +g960 +tp12800 +a(g548 +V6e +p12801 +tp12802 +a(g548 +V61 +p12803 +tp12804 +a(g900 +g960 +tp12805 +a(g548 +V74 +p12806 +tp12807 +a(g548 +V69 +p12808 +tp12809 +a(g900 +V +p12810 +tp12811 +a(g341 +Vith all altenati +p12812 +tp12813 +a(g900 +V\u000a +p12814 +tp12815 +a(g8 +V0000f20 +p12816 +tp12817 +a(g685 +g958 +tp12818 +a(g900 +g960 +tp12819 +a(g548 +V76 +p12820 +tp12821 +a(g548 +V65 +p12822 +tp12823 +a(g900 +g960 +tp12824 +a(g548 +V73 +p12825 +tp12826 +a(g548 +V20 +p12827 +tp12828 +a(g900 +g960 +tp12829 +a(g548 +V66 +p12830 +tp12831 +a(g548 +V72 +p12832 +tp12833 +a(g900 +g960 +tp12834 +a(g548 +V6f +p12835 +tp12836 +a(g548 +V6d +p12837 +tp12838 +a(g900 +g960 +tp12839 +a(g548 +V20 +p12840 +tp12841 +a(g548 +V74 +p12842 +tp12843 +a(g900 +g960 +tp12844 +a(g548 +V68 +p12845 +tp12846 +a(g548 +V65 +p12847 +tp12848 +a(g900 +g960 +tp12849 +a(g548 +V20 +p12850 +tp12851 +a(g548 +V72 +p12852 +tp12853 +a(g900 +g960 +tp12854 +a(g548 +V65 +p12855 +tp12856 +a(g548 +V73 +p12857 +tp12858 +a(g900 +V +p12859 +tp12860 +a(g341 +Vves from the res +p12861 +tp12862 +a(g900 +V\u000a +p12863 +tp12864 +a(g8 +V0000f30 +p12865 +tp12866 +a(g685 +g958 +tp12867 +a(g900 +g960 +tp12868 +a(g548 +V74 +p12869 +tp12870 +a(g548 +V20 +p12871 +tp12872 +a(g900 +g960 +tp12873 +a(g548 +V20 +p12874 +tp12875 +a(g548 +V20 +p12876 +tp12877 +a(g900 +g960 +tp12878 +a(g548 +V20 +p12879 +tp12880 +a(g548 +V0a +p12881 +tp12882 +a(g900 +g960 +tp12883 +a(g548 +V20 +p12884 +tp12885 +a(g548 +V20 +p12886 +tp12887 +a(g900 +g960 +tp12888 +a(g548 +V20 +p12889 +tp12890 +a(g548 +V20 +p12891 +tp12892 +a(g900 +g960 +tp12893 +a(g548 +V20 +p12894 +tp12895 +a(g548 +V20 +p12896 +tp12897 +a(g900 +g960 +tp12898 +a(g548 +V20 +p12899 +tp12900 +a(g548 +V20 +p12901 +tp12902 +a(g900 +g960 +tp12903 +a(g548 +V20 +p12904 +tp12905 +a(g548 +V20 +p12906 +tp12907 +a(g900 +V +p12908 +tp12909 +a(g341 +Vt . +p12910 +tp12911 +a(g900 +V\u000a +p12912 +tp12913 +a(g8 +V0000f40 +p12914 +tp12915 +a(g685 +g958 +tp12916 +a(g900 +g960 +tp12917 +a(g548 +V20 +p12918 +tp12919 +a(g548 +V20 +p12920 +tp12921 +a(g900 +g960 +tp12922 +a(g548 +V20 +p12923 +tp12924 +a(g548 +V20 +p12925 +tp12926 +a(g900 +g960 +tp12927 +a(g548 +V20 +p12928 +tp12929 +a(g548 +V20 +p12930 +tp12931 +a(g900 +g960 +tp12932 +a(g548 +V2f +p12933 +tp12934 +a(g548 +V2f +p12935 +tp12936 +a(g900 +g960 +tp12937 +a(g548 +V20 +p12938 +tp12939 +a(g548 +V28 +p12940 +tp12941 +a(g900 +g960 +tp12942 +a(g548 +V6e +p12943 +tp12944 +a(g548 +V65 +p12945 +tp12946 +a(g900 +g960 +tp12947 +a(g548 +V78 +p12948 +tp12949 +a(g548 +V74 +p12950 +tp12951 +a(g900 +g960 +tp12952 +a(g548 +V20 +p12953 +tp12954 +a(g548 +V70 +p12955 +tp12956 +a(g900 +V +p12957 +tp12958 +a(g341 +V // (next p +p12959 +tp12960 +a(g900 +V\u000a +p12961 +tp12962 +a(g8 +V0000f50 +p12963 +tp12964 +a(g685 +g958 +tp12965 +a(g900 +g960 +tp12966 +a(g548 +V69 +p12967 +tp12968 +a(g548 +V65 +p12969 +tp12970 +a(g900 +g960 +tp12971 +a(g548 +V63 +p12972 +tp12973 +a(g548 +V65 +p12974 +tp12975 +a(g900 +g960 +tp12976 +a(g548 +V20 +p12977 +tp12978 +a(g548 +V63 +p12979 +tp12980 +a(g900 +g960 +tp12981 +a(g548 +V61 +p12982 +tp12983 +a(g548 +V6e +p12984 +tp12985 +a(g900 +g960 +tp12986 +a(g548 +V20 +p12987 +tp12988 +a(g548 +V6e +p12989 +tp12990 +a(g900 +g960 +tp12991 +a(g548 +V6f +p12992 +tp12993 +a(g548 +V74 +p12994 +tp12995 +a(g900 +g960 +tp12996 +a(g548 +V20 +p12997 +tp12998 +a(g548 +V73 +p12999 +tp13000 +a(g900 +g960 +tp13001 +a(g548 +V74 +p13002 +tp13003 +a(g548 +V61 +p13004 +tp13005 +a(g900 +V +p13006 +tp13007 +a(g341 +Viece can not sta +p13008 +tp13009 +a(g900 +V\u000a +p13010 +tp13011 +a(g8 +V0000f60 +p13012 +tp13013 +a(g685 +g958 +tp13014 +a(g900 +g960 +tp13015 +a(g548 +V72 +p13016 +tp13017 +a(g548 +V74 +p13018 +tp13019 +a(g900 +g960 +tp13020 +a(g548 +V20 +p13021 +tp13022 +a(g548 +V77 +p13023 +tp13024 +a(g900 +g960 +tp13025 +a(g548 +V69 +p13026 +tp13027 +a(g548 +V74 +p13028 +tp13029 +a(g900 +g960 +tp13030 +a(g548 +V68 +p13031 +tp13032 +a(g548 +V20 +p13033 +tp13034 +a(g900 +g960 +tp13035 +a(g548 +V61 +p13036 +tp13037 +a(g548 +V20 +p13038 +tp13039 +a(g900 +g960 +tp13040 +a(g548 +V64 +p13041 +tp13042 +a(g548 +V69 +p13043 +tp13044 +a(g900 +g960 +tp13045 +a(g548 +V67 +p13046 +tp13047 +a(g548 +V69 +p13048 +tp13049 +a(g900 +g960 +tp13050 +a(g548 +V74 +p13051 +tp13052 +a(g548 +V29 +p13053 +tp13054 +a(g900 +V +p13055 +tp13056 +a(g341 +Vrt with a digit) +p13057 +tp13058 +a(g900 +V\u000a +p13059 +tp13060 +a(g8 +V0000f70 +p13061 +tp13062 +a(g685 +g958 +tp13063 +a(g900 +g960 +tp13064 +a(g548 +V20 +p13065 +tp13066 +a(g548 +V20 +p13067 +tp13068 +a(g900 +g960 +tp13069 +a(g548 +V20 +p13070 +tp13071 +a(g548 +V20 +p13072 +tp13073 +a(g900 +g960 +tp13074 +a(g548 +V20 +p13075 +tp13076 +a(g548 +V20 +p13077 +tp13078 +a(g900 +g960 +tp13079 +a(g548 +V20 +p13080 +tp13081 +a(g548 +V20 +p13082 +tp13083 +a(g900 +g960 +tp13084 +a(g548 +V20 +p13085 +tp13086 +a(g548 +V20 +p13087 +tp13088 +a(g900 +g960 +tp13089 +a(g548 +V0a +p13090 +tp13091 +a(g548 +V20 +p13092 +tp13093 +a(g900 +g960 +tp13094 +a(g548 +V20 +p13095 +tp13096 +a(g548 +V20 +p13097 +tp13098 +a(g900 +g960 +tp13099 +a(g548 +V20 +p13100 +tp13101 +a(g548 +V20 +p13102 +tp13103 +a(g900 +V +p13104 +tp13105 +a(g341 +V . +p13106 +tp13107 +a(g900 +V\u000a +p13108 +tp13109 +a(g8 +V0000f80 +p13110 +tp13111 +a(g685 +g958 +tp13112 +a(g900 +g960 +tp13113 +a(g548 +V20 +p13114 +tp13115 +a(g548 +V20 +p13116 +tp13117 +a(g900 +g960 +tp13118 +a(g548 +V20 +p13119 +tp13120 +a(g548 +V20 +p13121 +tp13122 +a(g900 +g960 +tp13123 +a(g548 +V20 +p13124 +tp13125 +a(g548 +V20 +p13126 +tp13127 +a(g900 +g960 +tp13128 +a(g548 +V20 +p13129 +tp13130 +a(g548 +V20 +p13131 +tp13132 +a(g900 +g960 +tp13133 +a(g548 +V20 +p13134 +tp13135 +a(g548 +V20 +p13136 +tp13137 +a(g900 +g960 +tp13138 +a(g548 +V20 +p13139 +tp13140 +a(g548 +V66 +p13141 +tp13142 +a(g900 +g960 +tp13143 +a(g548 +V6f +p13144 +tp13145 +a(g548 +V72 +p13146 +tp13147 +a(g900 +g960 +tp13148 +a(g548 +V65 +p13149 +tp13150 +a(g548 +V61 +p13151 +tp13152 +a(g900 +V +p13153 +tp13154 +a(g341 +V forea +p13155 +tp13156 +a(g900 +V\u000a +p13157 +tp13158 +a(g8 +V0000f90 +p13159 +tp13160 +a(g685 +g958 +tp13161 +a(g900 +g960 +tp13162 +a(g548 +V63 +p13163 +tp13164 +a(g548 +V68 +p13165 +tp13166 +a(g900 +g960 +tp13167 +a(g548 +V20 +p13168 +tp13169 +a(g548 +V28 +p13170 +tp13171 +a(g900 +g960 +tp13172 +a(g548 +V61 +p13173 +tp13174 +a(g548 +V3b +p13175 +tp13176 +a(g900 +g960 +tp13177 +a(g548 +V20 +p13178 +tp13179 +a(g548 +V5f +p13180 +tp13181 +a(g900 +g960 +tp13182 +a(g548 +V46 +p13183 +tp13184 +a(g548 +V69 +p13185 +tp13186 +a(g900 +g960 +tp13187 +a(g548 +V6e +p13188 +tp13189 +a(g548 +V64 +p13190 +tp13191 +a(g900 +g960 +tp13192 +a(g548 +V57 +p13193 +tp13194 +a(g548 +V6f +p13195 +tp13196 +a(g900 +g960 +tp13197 +a(g548 +V72 +p13198 +tp13199 +a(g548 +V64 +p13200 +tp13201 +a(g900 +V +p13202 +tp13203 +a(g341 +Vch (a; _FindWord +p13204 +tp13205 +a(g900 +V\u000a +p13206 +tp13207 +a(g8 +V0000fa0 +p13208 +tp13209 +a(g685 +g958 +tp13210 +a(g900 +g960 +tp13211 +a(g548 +V73 +p13212 +tp13213 +a(g548 +V28 +p13214 +tp13215 +a(g900 +g960 +tp13216 +a(g548 +V20 +p13217 +tp13218 +a(g548 +V6e +p13219 +tp13220 +a(g900 +g960 +tp13221 +a(g548 +V75 +p13222 +tp13223 +a(g548 +V6d +p13224 +tp13225 +a(g900 +g960 +tp13226 +a(g548 +V62 +p13227 +tp13228 +a(g548 +V65 +p13229 +tp13230 +a(g900 +g960 +tp13231 +a(g548 +V72 +p13232 +tp13233 +a(g548 +V73 +p13234 +tp13235 +a(g900 +g960 +tp13236 +a(g548 +V5b +p13237 +tp13238 +a(g548 +V31 +p13239 +tp13240 +a(g900 +g960 +tp13241 +a(g548 +V2e +p13242 +tp13243 +a(g548 +V2e +p13244 +tp13245 +a(g900 +g960 +tp13246 +a(g548 +V24 +p13247 +tp13248 +a(g548 +V5d +p13249 +tp13250 +a(g900 +V +p13251 +tp13252 +a(g341 +Vs( numbers[1..$] +p13253 +tp13254 +a(g900 +V\u000a +p13255 +tp13256 +a(g8 +V0000fb0 +p13257 +tp13258 +a(g685 +g958 +tp13259 +a(g900 +g960 +tp13260 +a(g548 +V2c +p13261 +tp13262 +a(g548 +V20 +p13263 +tp13264 +a(g900 +g960 +tp13265 +a(g548 +V66 +p13266 +tp13267 +a(g548 +V61 +p13268 +tp13269 +a(g900 +g960 +tp13270 +a(g548 +V6c +p13271 +tp13272 +a(g548 +V73 +p13273 +tp13274 +a(g900 +g960 +tp13275 +a(g548 +V65 +p13276 +tp13277 +a(g548 +V20 +p13278 +tp13279 +a(g900 +g960 +tp13280 +a(g548 +V29 +p13281 +tp13282 +a(g548 +V20 +p13283 +tp13284 +a(g900 +g960 +tp13285 +a(g548 +V29 +p13286 +tp13287 +a(g548 +V0a +p13288 +tp13289 +a(g900 +g960 +tp13290 +a(g548 +V20 +p13291 +tp13292 +a(g548 +V20 +p13293 +tp13294 +a(g900 +g960 +tp13295 +a(g548 +V20 +p13296 +tp13297 +a(g548 +V20 +p13298 +tp13299 +a(g900 +V +p13300 +tp13301 +a(g341 +V, false ) ). +p13302 +tp13303 +a(g900 +V\u000a +p13304 +tp13305 +a(g8 +V0000fc0 +p13306 +tp13307 +a(g685 +g958 +tp13308 +a(g900 +g960 +tp13309 +a(g548 +V20 +p13310 +tp13311 +a(g548 +V20 +p13312 +tp13313 +a(g900 +g960 +tp13314 +a(g548 +V20 +p13315 +tp13316 +a(g548 +V20 +p13317 +tp13318 +a(g900 +g960 +tp13319 +a(g548 +V20 +p13320 +tp13321 +a(g548 +V20 +p13322 +tp13323 +a(g900 +g960 +tp13324 +a(g548 +V20 +p13325 +tp13326 +a(g548 +V20 +p13327 +tp13328 +a(g900 +g960 +tp13329 +a(g548 +V20 +p13330 +tp13331 +a(g548 +V20 +p13332 +tp13333 +a(g900 +g960 +tp13334 +a(g548 +V20 +p13335 +tp13336 +a(g548 +V20 +p13337 +tp13338 +a(g900 +g960 +tp13339 +a(g548 +V20 +p13340 +tp13341 +a(g548 +V20 +p13342 +tp13343 +a(g900 +g960 +tp13344 +a(g548 +V20 +p13345 +tp13346 +a(g548 +V20 +p13347 +tp13348 +a(g900 +V +p13349 +tp13350 +a(g341 +V +p13351 +tp13352 +a(g900 +V\u000a +p13353 +tp13354 +a(g8 +V0000fd0 +p13355 +tp13356 +a(g685 +g958 +tp13357 +a(g900 +g960 +tp13358 +a(g548 +V72 +p13359 +tp13360 +a(g548 +V65 +p13361 +tp13362 +a(g900 +g960 +tp13363 +a(g548 +V74 +p13364 +tp13365 +a(g548 +V20 +p13366 +tp13367 +a(g900 +g960 +tp13368 +a(g548 +V7e +p13369 +tp13370 +a(g548 +V3d +p13371 +tp13372 +a(g900 +g960 +tp13373 +a(g548 +V20 +p13374 +tp13375 +a(g548 +V6e +p13376 +tp13377 +a(g900 +g960 +tp13378 +a(g548 +V75 +p13379 +tp13380 +a(g548 +V6d +p13381 +tp13382 +a(g900 +g960 +tp13383 +a(g548 +V62 +p13384 +tp13385 +a(g548 +V65 +p13386 +tp13387 +a(g900 +g960 +tp13388 +a(g548 +V72 +p13389 +tp13390 +a(g548 +V73 +p13391 +tp13392 +a(g900 +g960 +tp13393 +a(g548 +V5b +p13394 +tp13395 +a(g548 +V30 +p13396 +tp13397 +a(g900 +V +p13398 +tp13399 +a(g341 +Vret ~= numbers[0 +p13400 +tp13401 +a(g900 +V\u000a +p13402 +tp13403 +a(g8 +V0000fe0 +p13404 +tp13405 +a(g685 +g958 +tp13406 +a(g900 +g960 +tp13407 +a(g548 +V2e +p13408 +tp13409 +a(g548 +V2e +p13410 +tp13411 +a(g900 +g960 +tp13412 +a(g548 +V31 +p13413 +tp13414 +a(g548 +V5d +p13415 +tp13416 +a(g900 +g960 +tp13417 +a(g548 +V20 +p13418 +tp13419 +a(g548 +V7e +p13420 +tp13421 +a(g900 +g960 +tp13422 +a(g548 +V20 +p13423 +tp13424 +a(g548 +V22 +p13425 +tp13426 +a(g900 +g960 +tp13427 +a(g548 +V20 +p13428 +tp13429 +a(g548 +V22 +p13430 +tp13431 +a(g900 +g960 +tp13432 +a(g548 +V20 +p13433 +tp13434 +a(g548 +V7e +p13435 +tp13436 +a(g900 +g960 +tp13437 +a(g548 +V20 +p13438 +tp13439 +a(g548 +V61 +p13440 +tp13441 +a(g900 +g960 +tp13442 +a(g548 +V3b +p13443 +tp13444 +a(g548 +V0a +p13445 +tp13446 +a(g900 +V +p13447 +tp13448 +a(g341 +V..1] ~ " " ~ a;. +p13449 +tp13450 +a(g900 +V\u000a +p13451 +tp13452 +a(g8 +V0000ff0 +p13453 +tp13454 +a(g685 +g958 +tp13455 +a(g900 +g960 +tp13456 +a(g548 +V20 +p13457 +tp13458 +a(g548 +V20 +p13459 +tp13460 +a(g900 +g960 +tp13461 +a(g548 +V20 +p13462 +tp13463 +a(g548 +V20 +p13464 +tp13465 +a(g900 +g960 +tp13466 +a(g548 +V20 +p13467 +tp13468 +a(g548 +V20 +p13469 +tp13470 +a(g900 +g960 +tp13471 +a(g548 +V20 +p13472 +tp13473 +a(g548 +V20 +p13474 +tp13475 +a(g900 +g960 +tp13476 +a(g548 +V20 +p13477 +tp13478 +a(g548 +V20 +p13479 +tp13480 +a(g900 +g960 +tp13481 +a(g548 +V20 +p13482 +tp13483 +a(g548 +V20 +p13484 +tp13485 +a(g900 +g960 +tp13486 +a(g548 +V7d +p13487 +tp13488 +a(g548 +V20 +p13489 +tp13490 +a(g900 +g960 +tp13491 +a(g548 +V20 +p13492 +tp13493 +a(g548 +V20 +p13494 +tp13495 +a(g900 +V +p13496 +tp13497 +a(g341 +V } +p13498 +tp13499 +a(g900 +V\u000a +p13500 +tp13501 +a(g8 +V0001000 +p13502 +tp13503 +a(g685 +g958 +tp13504 +a(g900 +g960 +tp13505 +a(g548 +V20 +p13506 +tp13507 +a(g548 +V0a +p13508 +tp13509 +a(g900 +g960 +tp13510 +a(g548 +V20 +p13511 +tp13512 +a(g548 +V20 +p13513 +tp13514 +a(g900 +g960 +tp13515 +a(g548 +V20 +p13516 +tp13517 +a(g548 +V20 +p13518 +tp13519 +a(g900 +g960 +tp13520 +a(g548 +V20 +p13521 +tp13522 +a(g548 +V20 +p13523 +tp13524 +a(g900 +g960 +tp13525 +a(g548 +V20 +p13526 +tp13527 +a(g548 +V20 +p13528 +tp13529 +a(g900 +g960 +tp13530 +a(g548 +V20 +p13531 +tp13532 +a(g548 +V20 +p13533 +tp13534 +a(g900 +g960 +tp13535 +a(g548 +V20 +p13536 +tp13537 +a(g548 +V20 +p13538 +tp13539 +a(g900 +g960 +tp13540 +a(g548 +V65 +p13541 +tp13542 +a(g548 +V6c +p13543 +tp13544 +a(g900 +V +p13545 +tp13546 +a(g341 +V. el +p13547 +tp13548 +a(g900 +V\u000a +p13549 +tp13550 +a(g8 +V0001010 +p13551 +tp13552 +a(g685 +g958 +tp13553 +a(g900 +g960 +tp13554 +a(g548 +V73 +p13555 +tp13556 +a(g548 +V65 +p13557 +tp13558 +a(g900 +g960 +tp13559 +a(g548 +V20 +p13560 +tp13561 +a(g548 +V20 +p13562 +tp13563 +a(g900 +g960 +tp13564 +a(g548 +V20 +p13565 +tp13566 +a(g548 +V20 +p13567 +tp13568 +a(g900 +g960 +tp13569 +a(g548 +V0a +p13570 +tp13571 +a(g548 +V20 +p13572 +tp13573 +a(g900 +g960 +tp13574 +a(g548 +V20 +p13575 +tp13576 +a(g548 +V20 +p13577 +tp13578 +a(g900 +g960 +tp13579 +a(g548 +V20 +p13580 +tp13581 +a(g548 +V20 +p13582 +tp13583 +a(g900 +g960 +tp13584 +a(g548 +V20 +p13585 +tp13586 +a(g548 +V20 +p13587 +tp13588 +a(g900 +g960 +tp13589 +a(g548 +V20 +p13590 +tp13591 +a(g548 +V20 +p13592 +tp13593 +a(g900 +V +p13594 +tp13595 +a(g341 +Vse . +p13596 +tp13597 +a(g900 +V\u000a +p13598 +tp13599 +a(g8 +V0001020 +p13600 +tp13601 +a(g685 +g958 +tp13602 +a(g900 +g960 +tp13603 +a(g548 +V20 +p13604 +tp13605 +a(g548 +V20 +p13606 +tp13607 +a(g900 +g960 +tp13608 +a(g548 +V20 +p13609 +tp13610 +a(g548 +V20 +p13611 +tp13612 +a(g900 +g960 +tp13613 +a(g548 +V20 +p13614 +tp13615 +a(g548 +V20 +p13616 +tp13617 +a(g900 +g960 +tp13618 +a(g548 +V20 +p13619 +tp13620 +a(g548 +V72 +p13621 +tp13622 +a(g900 +g960 +tp13623 +a(g548 +V65 +p13624 +tp13625 +a(g548 +V74 +p13626 +tp13627 +a(g900 +g960 +tp13628 +a(g548 +V20 +p13629 +tp13630 +a(g548 +V7e +p13631 +tp13632 +a(g900 +g960 +tp13633 +a(g548 +V3d +p13634 +tp13635 +a(g548 +V20 +p13636 +tp13637 +a(g900 +g960 +tp13638 +a(g548 +V6e +p13639 +tp13640 +a(g548 +V75 +p13641 +tp13642 +a(g900 +V +p13643 +tp13644 +a(g341 +V ret ~= nu +p13645 +tp13646 +a(g900 +V\u000a +p13647 +tp13648 +a(g8 +V0001030 +p13649 +tp13650 +a(g685 +g958 +tp13651 +a(g900 +g960 +tp13652 +a(g548 +V6d +p13653 +tp13654 +a(g548 +V62 +p13655 +tp13656 +a(g900 +g960 +tp13657 +a(g548 +V65 +p13658 +tp13659 +a(g548 +V72 +p13660 +tp13661 +a(g900 +g960 +tp13662 +a(g548 +V73 +p13663 +tp13664 +a(g548 +V5b +p13665 +tp13666 +a(g900 +g960 +tp13667 +a(g548 +V30 +p13668 +tp13669 +a(g548 +V2e +p13670 +tp13671 +a(g900 +g960 +tp13672 +a(g548 +V2e +p13673 +tp13674 +a(g548 +V31 +p13675 +tp13676 +a(g900 +g960 +tp13677 +a(g548 +V5d +p13678 +tp13679 +a(g548 +V3b +p13680 +tp13681 +a(g900 +g960 +tp13682 +a(g548 +V20 +p13683 +tp13684 +a(g548 +V20 +p13685 +tp13686 +a(g900 +g960 +tp13687 +a(g548 +V20 +p13688 +tp13689 +a(g548 +V20 +p13690 +tp13691 +a(g900 +V +p13692 +tp13693 +a(g341 +Vmbers[0..1]; +p13694 +tp13695 +a(g900 +V\u000a +p13696 +tp13697 +a(g8 +V0001040 +p13698 +tp13699 +a(g685 +g958 +tp13700 +a(g900 +g960 +tp13701 +a(g548 +V2f +p13702 +tp13703 +a(g548 +V2f +p13704 +tp13705 +a(g900 +g960 +tp13706 +a(g548 +V20 +p13707 +tp13708 +a(g548 +V6a +p13709 +tp13710 +a(g900 +g960 +tp13711 +a(g548 +V75 +p13712 +tp13713 +a(g548 +V73 +p13714 +tp13715 +a(g900 +g960 +tp13716 +a(g548 +V74 +p13717 +tp13718 +a(g548 +V20 +p13719 +tp13720 +a(g900 +g960 +tp13721 +a(g548 +V61 +p13722 +tp13723 +a(g548 +V70 +p13724 +tp13725 +a(g900 +g960 +tp13726 +a(g548 +V70 +p13727 +tp13728 +a(g548 +V65 +p13729 +tp13730 +a(g900 +g960 +tp13731 +a(g548 +V6e +p13732 +tp13733 +a(g548 +V64 +p13734 +tp13735 +a(g900 +g960 +tp13736 +a(g548 +V20 +p13737 +tp13738 +a(g548 +V74 +p13739 +tp13740 +a(g900 +V +p13741 +tp13742 +a(g341 +V// just append t +p13743 +tp13744 +a(g900 +V\u000a +p13745 +tp13746 +a(g8 +V0001050 +p13747 +tp13748 +a(g685 +g958 +tp13749 +a(g900 +g960 +tp13750 +a(g548 +V68 +p13751 +tp13752 +a(g548 +V69 +p13753 +tp13754 +a(g900 +g960 +tp13755 +a(g548 +V73 +p13756 +tp13757 +a(g548 +V20 +p13758 +tp13759 +a(g900 +g960 +tp13760 +a(g548 +V64 +p13761 +tp13762 +a(g548 +V69 +p13763 +tp13764 +a(g900 +g960 +tp13765 +a(g548 +V67 +p13766 +tp13767 +a(g548 +V69 +p13768 +tp13769 +a(g900 +g960 +tp13770 +a(g548 +V74 +p13771 +tp13772 +a(g548 +V20 +p13773 +tp13774 +a(g900 +g960 +tp13775 +a(g548 +V20 +p13776 +tp13777 +a(g548 +V20 +p13778 +tp13779 +a(g900 +g960 +tp13780 +a(g548 +V20 +p13781 +tp13782 +a(g548 +V20 +p13783 +tp13784 +a(g900 +g960 +tp13785 +a(g548 +V20 +p13786 +tp13787 +a(g548 +V20 +p13788 +tp13789 +a(g900 +V +p13790 +tp13791 +a(g341 +Vhis digit +p13792 +tp13793 +a(g900 +V\u000a +p13794 +tp13795 +a(g8 +V0001060 +p13796 +tp13797 +a(g685 +g958 +tp13798 +a(g900 +g960 +tp13799 +a(g548 +V20 +p13800 +tp13801 +a(g548 +V20 +p13802 +tp13803 +a(g900 +g960 +tp13804 +a(g548 +V20 +p13805 +tp13806 +a(g548 +V20 +p13807 +tp13808 +a(g900 +g960 +tp13809 +a(g548 +V20 +p13810 +tp13811 +a(g548 +V20 +p13812 +tp13813 +a(g900 +g960 +tp13814 +a(g548 +V0a +p13815 +tp13816 +a(g548 +V20 +p13817 +tp13818 +a(g900 +g960 +tp13819 +a(g548 +V20 +p13820 +tp13821 +a(g548 +V20 +p13822 +tp13823 +a(g900 +g960 +tp13824 +a(g548 +V20 +p13825 +tp13826 +a(g548 +V20 +p13827 +tp13828 +a(g900 +g960 +tp13829 +a(g548 +V20 +p13830 +tp13831 +a(g548 +V20 +p13832 +tp13833 +a(g900 +g960 +tp13834 +a(g548 +V20 +p13835 +tp13836 +a(g548 +V7d +p13837 +tp13838 +a(g900 +V +p13839 +tp13840 +a(g341 +V . } +p13841 +tp13842 +a(g900 +V\u000a +p13843 +tp13844 +a(g8 +V0001070 +p13845 +tp13846 +a(g685 +g958 +tp13847 +a(g900 +g960 +tp13848 +a(g548 +V20 +p13849 +tp13850 +a(g548 +V20 +p13851 +tp13852 +a(g900 +g960 +tp13853 +a(g548 +V20 +p13854 +tp13855 +a(g548 +V20 +p13856 +tp13857 +a(g900 +g960 +tp13858 +a(g548 +V0a +p13859 +tp13860 +a(g548 +V20 +p13861 +tp13862 +a(g900 +g960 +tp13863 +a(g548 +V20 +p13864 +tp13865 +a(g548 +V20 +p13866 +tp13867 +a(g900 +g960 +tp13868 +a(g548 +V20 +p13869 +tp13870 +a(g548 +V20 +p13871 +tp13872 +a(g900 +g960 +tp13873 +a(g548 +V20 +p13874 +tp13875 +a(g548 +V20 +p13876 +tp13877 +a(g900 +g960 +tp13878 +a(g548 +V20 +p13879 +tp13880 +a(g548 +V72 +p13881 +tp13882 +a(g900 +g960 +tp13883 +a(g548 +V65 +p13884 +tp13885 +a(g548 +V74 +p13886 +tp13887 +a(g900 +V +p13888 +tp13889 +a(g341 +V . ret +p13890 +tp13891 +a(g900 +V\u000a +p13892 +tp13893 +a(g8 +V0001080 +p13894 +tp13895 +a(g685 +g958 +tp13896 +a(g900 +g960 +tp13897 +a(g548 +V75 +p13898 +tp13899 +a(g548 +V72 +p13900 +tp13901 +a(g900 +g960 +tp13902 +a(g548 +V6e +p13903 +tp13904 +a(g548 +V20 +p13905 +tp13906 +a(g900 +g960 +tp13907 +a(g548 +V72 +p13908 +tp13909 +a(g548 +V65 +p13910 +tp13911 +a(g900 +g960 +tp13912 +a(g548 +V74 +p13913 +tp13914 +a(g548 +V3b +p13915 +tp13916 +a(g900 +g960 +tp13917 +a(g548 +V0a +p13918 +tp13919 +a(g548 +V20 +p13920 +tp13921 +a(g900 +g960 +tp13922 +a(g548 +V20 +p13923 +tp13924 +a(g548 +V20 +p13925 +tp13926 +a(g900 +g960 +tp13927 +a(g548 +V20 +p13928 +tp13929 +a(g548 +V7d +p13930 +tp13931 +a(g900 +g960 +tp13932 +a(g548 +V0a +p13933 +tp13934 +a(g548 +V0a +p13935 +tp13936 +a(g900 +V +p13937 +tp13938 +a(g341 +Vurn ret;. }.. +p13939 +tp13940 +a(g900 +V\u000a +p13941 +tp13942 +a(g8 +V0001090 +p13943 +tp13944 +a(g685 +g958 +tp13945 +a(g900 +g960 +tp13946 +a(g548 +V20 +p13947 +tp13948 +a(g548 +V20 +p13949 +tp13950 +a(g900 +g960 +tp13951 +a(g548 +V20 +p13952 +tp13953 +a(g548 +V20 +p13954 +tp13955 +a(g900 +g960 +tp13956 +a(g548 +V2f +p13957 +tp13958 +a(g548 +V2f +p13959 +tp13960 +a(g900 +g960 +tp13961 +a(g548 +V2f +p13962 +tp13963 +a(g548 +V20 +p13964 +tp13965 +a(g900 +g960 +tp13966 +a(g548 +V28 +p13967 +tp13968 +a(g548 +V54 +p13969 +tp13970 +a(g900 +g960 +tp13971 +a(g548 +V68 +p13972 +tp13973 +a(g548 +V69 +p13974 +tp13975 +a(g900 +g960 +tp13976 +a(g548 +V73 +p13977 +tp13978 +a(g548 +V20 +p13979 +tp13980 +a(g900 +g960 +tp13981 +a(g548 +V66 +p13982 +tp13983 +a(g548 +V75 +p13984 +tp13985 +a(g900 +V +p13986 +tp13987 +a(g341 +V /// (This fu +p13988 +tp13989 +a(g900 +V\u000a +p13990 +tp13991 +a(g8 +V00010a0 +p13992 +tp13993 +a(g685 +g958 +tp13994 +a(g900 +g960 +tp13995 +a(g548 +V6e +p13996 +tp13997 +a(g548 +V63 +p13998 +tp13999 +a(g900 +g960 +tp14000 +a(g548 +V74 +p14001 +tp14002 +a(g548 +V69 +p14003 +tp14004 +a(g900 +g960 +tp14005 +a(g548 +V6f +p14006 +tp14007 +a(g548 +V6e +p14008 +tp14009 +a(g900 +g960 +tp14010 +a(g548 +V20 +p14011 +tp14012 +a(g548 +V77 +p14013 +tp14014 +a(g900 +g960 +tp14015 +a(g548 +V61 +p14016 +tp14017 +a(g548 +V73 +p14018 +tp14019 +a(g900 +g960 +tp14020 +a(g548 +V20 +p14021 +tp14022 +a(g548 +V69 +p14023 +tp14024 +a(g900 +g960 +tp14025 +a(g548 +V6e +p14026 +tp14027 +a(g548 +V6c +p14028 +tp14029 +a(g900 +g960 +tp14030 +a(g548 +V69 +p14031 +tp14032 +a(g548 +V6e +p14033 +tp14034 +a(g900 +V +p14035 +tp14036 +a(g341 +Vnction was inlin +p14037 +tp14038 +a(g900 +V\u000a +p14039 +tp14040 +a(g8 +V00010b0 +p14041 +tp14042 +a(g685 +g958 +tp14043 +a(g900 +g960 +tp14044 +a(g548 +V65 +p14045 +tp14046 +a(g548 +V64 +p14047 +tp14048 +a(g900 +g960 +tp14049 +a(g548 +V20 +p14050 +tp14051 +a(g548 +V69 +p14052 +tp14053 +a(g900 +g960 +tp14054 +a(g548 +V6e +p14055 +tp14056 +a(g548 +V20 +p14057 +tp14058 +a(g900 +g960 +tp14059 +a(g548 +V74 +p14060 +tp14061 +a(g548 +V68 +p14062 +tp14063 +a(g900 +g960 +tp14064 +a(g548 +V65 +p14065 +tp14066 +a(g548 +V20 +p14067 +tp14068 +a(g900 +g960 +tp14069 +a(g548 +V6f +p14070 +tp14071 +a(g548 +V72 +p14072 +tp14073 +a(g900 +g960 +tp14074 +a(g548 +V69 +p14075 +tp14076 +a(g548 +V67 +p14077 +tp14078 +a(g900 +g960 +tp14079 +a(g548 +V69 +p14080 +tp14081 +a(g548 +V6e +p14082 +tp14083 +a(g900 +V +p14084 +tp14085 +a(g341 +Ved in the origin +p14086 +tp14087 +a(g900 +V\u000a +p14088 +tp14089 +a(g8 +V00010c0 +p14090 +tp14091 +a(g685 +g958 +tp14092 +a(g900 +g960 +tp14093 +a(g548 +V61 +p14094 +tp14095 +a(g548 +V6c +p14096 +tp14097 +a(g900 +g960 +tp14098 +a(g548 +V20 +p14099 +tp14100 +a(g548 +V70 +p14101 +tp14102 +a(g900 +g960 +tp14103 +a(g548 +V72 +p14104 +tp14105 +a(g548 +V6f +p14106 +tp14107 +a(g900 +g960 +tp14108 +a(g548 +V67 +p14109 +tp14110 +a(g548 +V72 +p14111 +tp14112 +a(g900 +g960 +tp14113 +a(g548 +V61 +p14114 +tp14115 +a(g548 +V6d +p14116 +tp14117 +a(g900 +g960 +tp14118 +a(g548 +V29 +p14119 +tp14120 +a(g548 +V20 +p14121 +tp14122 +a(g900 +g960 +tp14123 +a(g548 +V0a +p14124 +tp14125 +a(g548 +V20 +p14126 +tp14127 +a(g900 +g960 +tp14128 +a(g548 +V20 +p14129 +tp14130 +a(g548 +V20 +p14131 +tp14132 +a(g900 +V +p14133 +tp14134 +a(g341 +Val program) . +p14135 +tp14136 +a(g900 +V\u000a +p14137 +tp14138 +a(g8 +V00010d0 +p14139 +tp14140 +a(g685 +g958 +tp14141 +a(g900 +g960 +tp14142 +a(g548 +V20 +p14143 +tp14144 +a(g548 +V2f +p14145 +tp14146 +a(g900 +g960 +tp14147 +a(g548 +V2f +p14148 +tp14149 +a(g548 +V2f +p14150 +tp14151 +a(g900 +g960 +tp14152 +a(g548 +V20 +p14153 +tp14154 +a(g548 +V46 +p14155 +tp14156 +a(g900 +g960 +tp14157 +a(g548 +V69 +p14158 +tp14159 +a(g548 +V6e +p14160 +tp14161 +a(g900 +g960 +tp14162 +a(g548 +V64 +p14163 +tp14164 +a(g548 +V73 +p14165 +tp14166 +a(g900 +g960 +tp14167 +a(g548 +V20 +p14168 +tp14169 +a(g548 +V61 +p14170 +tp14171 +a(g900 +g960 +tp14172 +a(g548 +V6c +p14173 +tp14174 +a(g548 +V6c +p14175 +tp14176 +a(g900 +g960 +tp14177 +a(g548 +V20 +p14178 +tp14179 +a(g548 +V61 +p14180 +tp14181 +a(g900 +V +p14182 +tp14183 +a(g341 +V/// Finds all a +p14184 +tp14185 +a(g900 +V\u000a +p14186 +tp14187 +a(g8 +V00010e0 +p14188 +tp14189 +a(g685 +g958 +tp14190 +a(g900 +g960 +tp14191 +a(g548 +V6c +p14192 +tp14193 +a(g548 +V74 +p14194 +tp14195 +a(g900 +g960 +tp14196 +a(g548 +V65 +p14197 +tp14198 +a(g548 +V72 +p14199 +tp14200 +a(g900 +g960 +tp14201 +a(g548 +V6e +p14202 +tp14203 +a(g548 +V61 +p14204 +tp14205 +a(g900 +g960 +tp14206 +a(g548 +V74 +p14207 +tp14208 +a(g548 +V69 +p14209 +tp14210 +a(g900 +g960 +tp14211 +a(g548 +V76 +p14212 +tp14213 +a(g548 +V65 +p14214 +tp14215 +a(g900 +g960 +tp14216 +a(g548 +V73 +p14217 +tp14218 +a(g548 +V20 +p14219 +tp14220 +a(g900 +g960 +tp14221 +a(g548 +V66 +p14222 +tp14223 +a(g548 +V6f +p14224 +tp14225 +a(g900 +g960 +tp14226 +a(g548 +V72 +p14227 +tp14228 +a(g548 +V20 +p14229 +tp14230 +a(g900 +V +p14231 +tp14232 +a(g341 +Vlternatives for +p14233 +tp14234 +a(g900 +V\u000a +p14235 +tp14236 +a(g8 +V00010f0 +p14237 +tp14238 +a(g685 +g958 +tp14239 +a(g900 +g960 +tp14240 +a(g548 +V74 +p14241 +tp14242 +a(g548 +V68 +p14243 +tp14244 +a(g900 +g960 +tp14245 +a(g548 +V65 +p14246 +tp14247 +a(g548 +V20 +p14248 +tp14249 +a(g900 +g960 +tp14250 +a(g548 +V67 +p14251 +tp14252 +a(g548 +V69 +p14253 +tp14254 +a(g900 +g960 +tp14255 +a(g548 +V76 +p14256 +tp14257 +a(g548 +V65 +p14258 +tp14259 +a(g900 +g960 +tp14260 +a(g548 +V6e +p14261 +tp14262 +a(g548 +V20 +p14263 +tp14264 +a(g900 +g960 +tp14265 +a(g548 +V70 +p14266 +tp14267 +a(g548 +V68 +p14268 +tp14269 +a(g900 +g960 +tp14270 +a(g548 +V6f +p14271 +tp14272 +a(g548 +V6e +p14273 +tp14274 +a(g900 +g960 +tp14275 +a(g548 +V65 +p14276 +tp14277 +a(g548 +V20 +p14278 +tp14279 +a(g900 +V +p14280 +tp14281 +a(g341 +Vthe given phone +p14282 +tp14283 +a(g900 +V\u000a +p14284 +tp14285 +a(g8 +V0001100 +p14286 +tp14287 +a(g685 +g958 +tp14288 +a(g900 +g960 +tp14289 +a(g548 +V6e +p14290 +tp14291 +a(g548 +V75 +p14292 +tp14293 +a(g900 +g960 +tp14294 +a(g548 +V6d +p14295 +tp14296 +a(g548 +V62 +p14297 +tp14298 +a(g900 +g960 +tp14299 +a(g548 +V65 +p14300 +tp14301 +a(g548 +V72 +p14302 +tp14303 +a(g900 +g960 +tp14304 +a(g548 +V20 +p14305 +tp14306 +a(g548 +V0a +p14307 +tp14308 +a(g900 +g960 +tp14309 +a(g548 +V20 +p14310 +tp14311 +a(g548 +V20 +p14312 +tp14313 +a(g900 +g960 +tp14314 +a(g548 +V20 +p14315 +tp14316 +a(g548 +V20 +p14317 +tp14318 +a(g900 +g960 +tp14319 +a(g548 +V2f +p14320 +tp14321 +a(g548 +V2f +p14322 +tp14323 +a(g900 +g960 +tp14324 +a(g548 +V2f +p14325 +tp14326 +a(g548 +V20 +p14327 +tp14328 +a(g900 +V +p14329 +tp14330 +a(g341 +Vnumber . /// +p14331 +tp14332 +a(g900 +V\u000a +p14333 +tp14334 +a(g8 +V0001110 +p14335 +tp14336 +a(g685 +g958 +tp14337 +a(g900 +g960 +tp14338 +a(g548 +V52 +p14339 +tp14340 +a(g548 +V65 +p14341 +tp14342 +a(g900 +g960 +tp14343 +a(g548 +V74 +p14344 +tp14345 +a(g548 +V75 +p14346 +tp14347 +a(g900 +g960 +tp14348 +a(g548 +V72 +p14349 +tp14350 +a(g548 +V6e +p14351 +tp14352 +a(g900 +g960 +tp14353 +a(g548 +V73 +p14354 +tp14355 +a(g548 +V3a +p14356 +tp14357 +a(g900 +g960 +tp14358 +a(g548 +V20 +p14359 +tp14360 +a(g548 +V61 +p14361 +tp14362 +a(g900 +g960 +tp14363 +a(g548 +V72 +p14364 +tp14365 +a(g548 +V72 +p14366 +tp14367 +a(g900 +g960 +tp14368 +a(g548 +V61 +p14369 +tp14370 +a(g548 +V79 +p14371 +tp14372 +a(g900 +g960 +tp14373 +a(g548 +V20 +p14374 +tp14375 +a(g548 +V6f +p14376 +tp14377 +a(g900 +V +p14378 +tp14379 +a(g341 +VReturns: array o +p14380 +tp14381 +a(g900 +V\u000a +p14382 +tp14383 +a(g8 +V0001120 +p14384 +tp14385 +a(g685 +g958 +tp14386 +a(g900 +g960 +tp14387 +a(g548 +V66 +p14388 +tp14389 +a(g548 +V20 +p14390 +tp14391 +a(g900 +g960 +tp14392 +a(g548 +V73 +p14393 +tp14394 +a(g548 +V74 +p14395 +tp14396 +a(g900 +g960 +tp14397 +a(g548 +V72 +p14398 +tp14399 +a(g548 +V69 +p14400 +tp14401 +a(g900 +g960 +tp14402 +a(g548 +V6e +p14403 +tp14404 +a(g548 +V67 +p14405 +tp14406 +a(g900 +g960 +tp14407 +a(g548 +V73 +p14408 +tp14409 +a(g548 +V20 +p14410 +tp14411 +a(g900 +g960 +tp14412 +a(g548 +V0a +p14413 +tp14414 +a(g548 +V20 +p14415 +tp14416 +a(g900 +g960 +tp14417 +a(g548 +V20 +p14418 +tp14419 +a(g548 +V20 +p14420 +tp14421 +a(g900 +g960 +tp14422 +a(g548 +V20 +p14423 +tp14424 +a(g548 +V73 +p14425 +tp14426 +a(g900 +V +p14427 +tp14428 +a(g341 +Vf strings . s +p14429 +tp14430 +a(g900 +V\u000a +p14431 +tp14432 +a(g8 +V0001130 +p14433 +tp14434 +a(g685 +g958 +tp14435 +a(g900 +g960 +tp14436 +a(g548 +V74 +p14437 +tp14438 +a(g548 +V72 +p14439 +tp14440 +a(g900 +g960 +tp14441 +a(g548 +V69 +p14442 +tp14443 +a(g548 +V6e +p14444 +tp14445 +a(g900 +g960 +tp14446 +a(g548 +V67 +p14447 +tp14448 +a(g548 +V61 +p14449 +tp14450 +a(g900 +g960 +tp14451 +a(g548 +V72 +p14452 +tp14453 +a(g548 +V72 +p14454 +tp14455 +a(g900 +g960 +tp14456 +a(g548 +V61 +p14457 +tp14458 +a(g548 +V79 +p14459 +tp14460 +a(g900 +g960 +tp14461 +a(g548 +V20 +p14462 +tp14463 +a(g548 +V46 +p14464 +tp14465 +a(g900 +g960 +tp14466 +a(g548 +V69 +p14467 +tp14468 +a(g548 +V6e +p14469 +tp14470 +a(g900 +g960 +tp14471 +a(g548 +V64 +p14472 +tp14473 +a(g548 +V57 +p14474 +tp14475 +a(g900 +V +p14476 +tp14477 +a(g341 +Vtringarray FindW +p14478 +tp14479 +a(g900 +V\u000a +p14480 +tp14481 +a(g8 +V0001140 +p14482 +tp14483 +a(g685 +g958 +tp14484 +a(g900 +g960 +tp14485 +a(g548 +V6f +p14486 +tp14487 +a(g548 +V72 +p14488 +tp14489 +a(g900 +g960 +tp14490 +a(g548 +V64 +p14491 +tp14492 +a(g548 +V73 +p14493 +tp14494 +a(g900 +g960 +tp14495 +a(g548 +V28 +p14496 +tp14497 +a(g548 +V20 +p14498 +tp14499 +a(g900 +g960 +tp14500 +a(g548 +V73 +p14501 +tp14502 +a(g548 +V74 +p14503 +tp14504 +a(g900 +g960 +tp14505 +a(g548 +V72 +p14506 +tp14507 +a(g548 +V69 +p14508 +tp14509 +a(g900 +g960 +tp14510 +a(g548 +V6e +p14511 +tp14512 +a(g548 +V67 +p14513 +tp14514 +a(g900 +g960 +tp14515 +a(g548 +V20 +p14516 +tp14517 +a(g548 +V70 +p14518 +tp14519 +a(g900 +g960 +tp14520 +a(g548 +V68 +p14521 +tp14522 +a(g548 +V6f +p14523 +tp14524 +a(g900 +V +p14525 +tp14526 +a(g341 +Vords( string pho +p14527 +tp14528 +a(g900 +V\u000a +p14529 +tp14530 +a(g8 +V0001150 +p14531 +tp14532 +a(g685 +g958 +tp14533 +a(g900 +g960 +tp14534 +a(g548 +V6e +p14535 +tp14536 +a(g548 +V65 +p14537 +tp14538 +a(g900 +g960 +tp14539 +a(g548 +V5f +p14540 +tp14541 +a(g548 +V6e +p14542 +tp14543 +a(g900 +g960 +tp14544 +a(g548 +V75 +p14545 +tp14546 +a(g548 +V6d +p14547 +tp14548 +a(g900 +g960 +tp14549 +a(g548 +V62 +p14550 +tp14551 +a(g548 +V65 +p14552 +tp14553 +a(g900 +g960 +tp14554 +a(g548 +V72 +p14555 +tp14556 +a(g548 +V20 +p14557 +tp14558 +a(g900 +g960 +tp14559 +a(g548 +V29 +p14560 +tp14561 +a(g548 +V0a +p14562 +tp14563 +a(g900 +g960 +tp14564 +a(g548 +V20 +p14565 +tp14566 +a(g548 +V20 +p14567 +tp14568 +a(g900 +g960 +tp14569 +a(g548 +V20 +p14570 +tp14571 +a(g548 +V20 +p14572 +tp14573 +a(g900 +V +p14574 +tp14575 +a(g341 +Vne_number ). +p14576 +tp14577 +a(g900 +V\u000a +p14578 +tp14579 +a(g8 +V0001160 +p14580 +tp14581 +a(g685 +g958 +tp14582 +a(g900 +g960 +tp14583 +a(g548 +V7b +p14584 +tp14585 +a(g548 +V0a +p14586 +tp14587 +a(g900 +g960 +tp14588 +a(g548 +V20 +p14589 +tp14590 +a(g548 +V20 +p14591 +tp14592 +a(g900 +g960 +tp14593 +a(g548 +V20 +p14594 +tp14595 +a(g548 +V20 +p14596 +tp14597 +a(g900 +g960 +tp14598 +a(g548 +V20 +p14599 +tp14600 +a(g548 +V20 +p14601 +tp14602 +a(g900 +g960 +tp14603 +a(g548 +V20 +p14604 +tp14605 +a(g548 +V20 +p14606 +tp14607 +a(g900 +g960 +tp14608 +a(g548 +V69 +p14609 +tp14610 +a(g548 +V66 +p14611 +tp14612 +a(g900 +g960 +tp14613 +a(g548 +V20 +p14614 +tp14615 +a(g548 +V28 +p14616 +tp14617 +a(g900 +g960 +tp14618 +a(g548 +V21 +p14619 +tp14620 +a(g548 +V70 +p14621 +tp14622 +a(g900 +V +p14623 +tp14624 +a(g341 +V{. if (!p +p14625 +tp14626 +a(g900 +V\u000a +p14627 +tp14628 +a(g8 +V0001170 +p14629 +tp14630 +a(g685 +g958 +tp14631 +a(g900 +g960 +tp14632 +a(g548 +V68 +p14633 +tp14634 +a(g548 +V6f +p14635 +tp14636 +a(g900 +g960 +tp14637 +a(g548 +V6e +p14638 +tp14639 +a(g548 +V65 +p14640 +tp14641 +a(g900 +g960 +tp14642 +a(g548 +V5f +p14643 +tp14644 +a(g548 +V6e +p14645 +tp14646 +a(g900 +g960 +tp14647 +a(g548 +V75 +p14648 +tp14649 +a(g548 +V6d +p14650 +tp14651 +a(g900 +g960 +tp14652 +a(g548 +V62 +p14653 +tp14654 +a(g548 +V65 +p14655 +tp14656 +a(g900 +g960 +tp14657 +a(g548 +V72 +p14658 +tp14659 +a(g548 +V2e +p14660 +tp14661 +a(g900 +g960 +tp14662 +a(g548 +V6c +p14663 +tp14664 +a(g548 +V65 +p14665 +tp14666 +a(g900 +g960 +tp14667 +a(g548 +V6e +p14668 +tp14669 +a(g548 +V67 +p14670 +tp14671 +a(g900 +V +p14672 +tp14673 +a(g341 +Vhone_number.leng +p14674 +tp14675 +a(g900 +V\u000a +p14676 +tp14677 +a(g8 +V0001180 +p14678 +tp14679 +a(g685 +g958 +tp14680 +a(g900 +g960 +tp14681 +a(g548 +V74 +p14682 +tp14683 +a(g548 +V68 +p14684 +tp14685 +a(g900 +g960 +tp14686 +a(g548 +V29 +p14687 +tp14688 +a(g548 +V0a +p14689 +tp14690 +a(g900 +g960 +tp14691 +a(g548 +V20 +p14692 +tp14693 +a(g548 +V20 +p14694 +tp14695 +a(g900 +g960 +tp14696 +a(g548 +V20 +p14697 +tp14698 +a(g548 +V20 +p14699 +tp14700 +a(g900 +g960 +tp14701 +a(g548 +V20 +p14702 +tp14703 +a(g548 +V20 +p14704 +tp14705 +a(g900 +g960 +tp14706 +a(g548 +V20 +p14707 +tp14708 +a(g548 +V20 +p14709 +tp14710 +a(g900 +g960 +tp14711 +a(g548 +V20 +p14712 +tp14713 +a(g548 +V20 +p14714 +tp14715 +a(g900 +g960 +tp14716 +a(g548 +V20 +p14717 +tp14718 +a(g548 +V20 +p14719 +tp14720 +a(g900 +V +p14721 +tp14722 +a(g341 +Vth). +p14723 +tp14724 +a(g900 +V\u000a +p14725 +tp14726 +a(g8 +V0001190 +p14727 +tp14728 +a(g685 +g958 +tp14729 +a(g900 +g960 +tp14730 +a(g548 +V72 +p14731 +tp14732 +a(g548 +V65 +p14733 +tp14734 +a(g900 +g960 +tp14735 +a(g548 +V74 +p14736 +tp14737 +a(g548 +V75 +p14738 +tp14739 +a(g900 +g960 +tp14740 +a(g548 +V72 +p14741 +tp14742 +a(g548 +V6e +p14743 +tp14744 +a(g900 +g960 +tp14745 +a(g548 +V20 +p14746 +tp14747 +a(g548 +V6e +p14748 +tp14749 +a(g900 +g960 +tp14750 +a(g548 +V75 +p14751 +tp14752 +a(g548 +V6c +p14753 +tp14754 +a(g900 +g960 +tp14755 +a(g548 +V6c +p14756 +tp14757 +a(g548 +V3b +p14758 +tp14759 +a(g900 +g960 +tp14760 +a(g548 +V0a +p14761 +tp14762 +a(g548 +V20 +p14763 +tp14764 +a(g900 +g960 +tp14765 +a(g548 +V20 +p14766 +tp14767 +a(g548 +V20 +p14768 +tp14769 +a(g900 +V +p14770 +tp14771 +a(g341 +Vreturn null;. +p14772 +tp14773 +a(g900 +V\u000a +p14774 +tp14775 +a(g8 +V00011a0 +p14776 +tp14777 +a(g685 +g958 +tp14778 +a(g900 +g960 +tp14779 +a(g548 +V20 +p14780 +tp14781 +a(g548 +V20 +p14782 +tp14783 +a(g900 +g960 +tp14784 +a(g548 +V20 +p14785 +tp14786 +a(g548 +V20 +p14787 +tp14788 +a(g900 +g960 +tp14789 +a(g548 +V20 +p14790 +tp14791 +a(g548 +V2f +p14792 +tp14793 +a(g900 +g960 +tp14794 +a(g548 +V2f +p14795 +tp14796 +a(g548 +V20 +p14797 +tp14798 +a(g900 +g960 +tp14799 +a(g548 +V53 +p14800 +tp14801 +a(g548 +V74 +p14802 +tp14803 +a(g900 +g960 +tp14804 +a(g548 +V72 +p14805 +tp14806 +a(g548 +V69 +p14807 +tp14808 +a(g900 +g960 +tp14809 +a(g548 +V70 +p14810 +tp14811 +a(g548 +V20 +p14812 +tp14813 +a(g900 +g960 +tp14814 +a(g548 +V74 +p14815 +tp14816 +a(g548 +V68 +p14817 +tp14818 +a(g900 +V +p14819 +tp14820 +a(g341 +V // Strip th +p14821 +tp14822 +a(g900 +V\u000a +p14823 +tp14824 +a(g8 +V00011b0 +p14825 +tp14826 +a(g685 +g958 +tp14827 +a(g900 +g960 +tp14828 +a(g548 +V65 +p14829 +tp14830 +a(g548 +V20 +p14831 +tp14832 +a(g900 +g960 +tp14833 +a(g548 +V6e +p14834 +tp14835 +a(g548 +V6f +p14836 +tp14837 +a(g900 +g960 +tp14838 +a(g548 +V6e +p14839 +tp14840 +a(g548 +V2d +p14841 +tp14842 +a(g900 +g960 +tp14843 +a(g548 +V64 +p14844 +tp14845 +a(g548 +V69 +p14846 +tp14847 +a(g900 +g960 +tp14848 +a(g548 +V67 +p14849 +tp14850 +a(g548 +V69 +p14851 +tp14852 +a(g900 +g960 +tp14853 +a(g548 +V74 +p14854 +tp14855 +a(g548 +V20 +p14856 +tp14857 +a(g900 +g960 +tp14858 +a(g548 +V63 +p14859 +tp14860 +a(g548 +V68 +p14861 +tp14862 +a(g900 +g960 +tp14863 +a(g548 +V61 +p14864 +tp14865 +a(g548 +V72 +p14866 +tp14867 +a(g900 +V +p14868 +tp14869 +a(g341 +Ve non-digit char +p14870 +tp14871 +a(g900 +V\u000a +p14872 +tp14873 +a(g8 +V00011c0 +p14874 +tp14875 +a(g685 +g958 +tp14876 +a(g900 +g960 +tp14877 +a(g548 +V61 +p14878 +tp14879 +a(g548 +V63 +p14880 +tp14881 +a(g900 +g960 +tp14882 +a(g548 +V74 +p14883 +tp14884 +a(g548 +V65 +p14885 +tp14886 +a(g900 +g960 +tp14887 +a(g548 +V72 +p14888 +tp14889 +a(g548 +V73 +p14890 +tp14891 +a(g900 +g960 +tp14892 +a(g548 +V20 +p14893 +tp14894 +a(g548 +V66 +p14895 +tp14896 +a(g900 +g960 +tp14897 +a(g548 +V72 +p14898 +tp14899 +a(g548 +V6f +p14900 +tp14901 +a(g900 +g960 +tp14902 +a(g548 +V6d +p14903 +tp14904 +a(g548 +V20 +p14905 +tp14906 +a(g900 +g960 +tp14907 +a(g548 +V74 +p14908 +tp14909 +a(g548 +V68 +p14910 +tp14911 +a(g900 +g960 +tp14912 +a(g548 +V65 +p14913 +tp14914 +a(g548 +V20 +p14915 +tp14916 +a(g900 +V +p14917 +tp14918 +a(g341 +Vacters from the +p14919 +tp14920 +a(g900 +V\u000a +p14921 +tp14922 +a(g8 +V00011d0 +p14923 +tp14924 +a(g685 +g958 +tp14925 +a(g900 +g960 +tp14926 +a(g548 +V70 +p14927 +tp14928 +a(g548 +V68 +p14929 +tp14930 +a(g900 +g960 +tp14931 +a(g548 +V6f +p14932 +tp14933 +a(g548 +V6e +p14934 +tp14935 +a(g900 +g960 +tp14936 +a(g548 +V65 +p14937 +tp14938 +a(g548 +V20 +p14939 +tp14940 +a(g900 +g960 +tp14941 +a(g548 +V6e +p14942 +tp14943 +a(g548 +V75 +p14944 +tp14945 +a(g900 +g960 +tp14946 +a(g548 +V6d +p14947 +tp14948 +a(g548 +V62 +p14949 +tp14950 +a(g900 +g960 +tp14951 +a(g548 +V65 +p14952 +tp14953 +a(g548 +V72 +p14954 +tp14955 +a(g900 +g960 +tp14956 +a(g548 +V2c +p14957 +tp14958 +a(g548 +V20 +p14959 +tp14960 +a(g900 +g960 +tp14961 +a(g548 +V61 +p14962 +tp14963 +a(g548 +V6e +p14964 +tp14965 +a(g900 +V +p14966 +tp14967 +a(g341 +Vphone number, an +p14968 +tp14969 +a(g900 +V\u000a +p14970 +tp14971 +a(g8 +V00011e0 +p14972 +tp14973 +a(g685 +g958 +tp14974 +a(g900 +g960 +tp14975 +a(g548 +V64 +p14976 +tp14977 +a(g548 +V0a +p14978 +tp14979 +a(g900 +g960 +tp14980 +a(g548 +V20 +p14981 +tp14982 +a(g548 +V20 +p14983 +tp14984 +a(g900 +g960 +tp14985 +a(g548 +V20 +p14986 +tp14987 +a(g548 +V20 +p14988 +tp14989 +a(g900 +g960 +tp14990 +a(g548 +V20 +p14991 +tp14992 +a(g548 +V20 +p14993 +tp14994 +a(g900 +g960 +tp14995 +a(g548 +V20 +p14996 +tp14997 +a(g548 +V20 +p14998 +tp14999 +a(g900 +g960 +tp15000 +a(g548 +V2f +p15001 +tp15002 +a(g548 +V2f +p15003 +tp15004 +a(g900 +g960 +tp15005 +a(g548 +V20 +p15006 +tp15007 +a(g548 +V70 +p15008 +tp15009 +a(g900 +g960 +tp15010 +a(g548 +V61 +p15011 +tp15012 +a(g548 +V73 +p15013 +tp15014 +a(g900 +V +p15015 +tp15016 +a(g341 +Vd. // pas +p15017 +tp15018 +a(g900 +V\u000a +p15019 +tp15020 +a(g8 +V00011f0 +p15021 +tp15022 +a(g685 +g958 +tp15023 +a(g900 +g960 +tp15024 +a(g548 +V73 +p15025 +tp15026 +a(g548 +V20 +p15027 +tp15028 +a(g900 +g960 +tp15029 +a(g548 +V69 +p15030 +tp15031 +a(g548 +V74 +p15032 +tp15033 +a(g900 +g960 +tp15034 +a(g548 +V20 +p15035 +tp15036 +a(g548 +V74 +p15037 +tp15038 +a(g900 +g960 +tp15039 +a(g548 +V6f +p15040 +tp15041 +a(g548 +V20 +p15042 +tp15043 +a(g900 +g960 +tp15044 +a(g548 +V74 +p15045 +tp15046 +a(g548 +V68 +p15047 +tp15048 +a(g900 +g960 +tp15049 +a(g548 +V65 +p15050 +tp15051 +a(g548 +V20 +p15052 +tp15053 +a(g900 +g960 +tp15054 +a(g548 +V72 +p15055 +tp15056 +a(g548 +V65 +p15057 +tp15058 +a(g900 +g960 +tp15059 +a(g548 +V63 +p15060 +tp15061 +a(g548 +V75 +p15062 +tp15063 +a(g900 +V +p15064 +tp15065 +a(g341 +Vs it to the recu +p15066 +tp15067 +a(g900 +V\u000a +p15068 +tp15069 +a(g8 +V0001200 +p15070 +tp15071 +a(g685 +g958 +tp15072 +a(g900 +g960 +tp15073 +a(g548 +V72 +p15074 +tp15075 +a(g548 +V73 +p15076 +tp15077 +a(g900 +g960 +tp15078 +a(g548 +V69 +p15079 +tp15080 +a(g548 +V76 +p15081 +tp15082 +a(g900 +g960 +tp15083 +a(g548 +V65 +p15084 +tp15085 +a(g548 +V20 +p15086 +tp15087 +a(g900 +g960 +tp15088 +a(g548 +V66 +p15089 +tp15090 +a(g548 +V75 +p15091 +tp15092 +a(g900 +g960 +tp15093 +a(g548 +V6e +p15094 +tp15095 +a(g548 +V63 +p15096 +tp15097 +a(g900 +g960 +tp15098 +a(g548 +V74 +p15099 +tp15100 +a(g548 +V69 +p15101 +tp15102 +a(g900 +g960 +tp15103 +a(g548 +V6f +p15104 +tp15105 +a(g548 +V6e +p15106 +tp15107 +a(g900 +g960 +tp15108 +a(g548 +V20 +p15109 +tp15110 +a(g548 +V28 +p15111 +tp15112 +a(g900 +V +p15113 +tp15114 +a(g341 +Vrsive function ( +p15115 +tp15116 +a(g900 +V\u000a +p15117 +tp15118 +a(g8 +V0001210 +p15119 +tp15120 +a(g685 +g958 +tp15121 +a(g900 +g960 +tp15122 +a(g548 +V6c +p15123 +tp15124 +a(g548 +V65 +p15125 +tp15126 +a(g900 +g960 +tp15127 +a(g548 +V61 +p15128 +tp15129 +a(g548 +V64 +p15130 +tp15131 +a(g900 +g960 +tp15132 +a(g548 +V69 +p15133 +tp15134 +a(g548 +V6e +p15135 +tp15136 +a(g900 +g960 +tp15137 +a(g548 +V67 +p15138 +tp15139 +a(g548 +V20 +p15140 +tp15141 +a(g900 +g960 +tp15142 +a(g548 +V64 +p15143 +tp15144 +a(g548 +V69 +p15145 +tp15146 +a(g900 +g960 +tp15147 +a(g548 +V67 +p15148 +tp15149 +a(g548 +V69 +p15150 +tp15151 +a(g900 +g960 +tp15152 +a(g548 +V74 +p15153 +tp15154 +a(g548 +V20 +p15155 +tp15156 +a(g900 +g960 +tp15157 +a(g548 +V69 +p15158 +tp15159 +a(g548 +V73 +p15160 +tp15161 +a(g900 +V +p15162 +tp15163 +a(g341 +Vleading digit is +p15164 +tp15165 +a(g900 +V\u000a +p15166 +tp15167 +a(g8 +V0001220 +p15168 +tp15169 +a(g685 +g958 +tp15170 +a(g900 +g960 +tp15171 +a(g548 +V20 +p15172 +tp15173 +a(g548 +V61 +p15174 +tp15175 +a(g900 +g960 +tp15176 +a(g548 +V6c +p15177 +tp15178 +a(g548 +V6c +p15179 +tp15180 +a(g900 +g960 +tp15181 +a(g548 +V6f +p15182 +tp15183 +a(g548 +V77 +p15184 +tp15185 +a(g900 +g960 +tp15186 +a(g548 +V65 +p15187 +tp15188 +a(g548 +V64 +p15189 +tp15190 +a(g900 +g960 +tp15191 +a(g548 +V29 +p15192 +tp15193 +a(g548 +V0a +p15194 +tp15195 +a(g900 +g960 +tp15196 +a(g548 +V20 +p15197 +tp15198 +a(g548 +V20 +p15199 +tp15200 +a(g900 +g960 +tp15201 +a(g548 +V20 +p15202 +tp15203 +a(g548 +V20 +p15204 +tp15205 +a(g900 +g960 +tp15206 +a(g548 +V20 +p15207 +tp15208 +a(g548 +V20 +p15209 +tp15210 +a(g900 +V +p15211 +tp15212 +a(g341 +Vallowed). +p15213 +tp15214 +a(g900 +V\u000a +p15215 +tp15216 +a(g8 +V0001230 +p15217 +tp15218 +a(g685 +g958 +tp15219 +a(g900 +g960 +tp15220 +a(g548 +V20 +p15221 +tp15222 +a(g548 +V20 +p15223 +tp15224 +a(g900 +g960 +tp15225 +a(g548 +V72 +p15226 +tp15227 +a(g548 +V65 +p15228 +tp15229 +a(g900 +g960 +tp15230 +a(g548 +V74 +p15231 +tp15232 +a(g548 +V75 +p15233 +tp15234 +a(g900 +g960 +tp15235 +a(g548 +V72 +p15236 +tp15237 +a(g548 +V6e +p15238 +tp15239 +a(g900 +g960 +tp15240 +a(g548 +V20 +p15241 +tp15242 +a(g548 +V5f +p15243 +tp15244 +a(g900 +g960 +tp15245 +a(g548 +V46 +p15246 +tp15247 +a(g548 +V69 +p15248 +tp15249 +a(g900 +g960 +tp15250 +a(g548 +V6e +p15251 +tp15252 +a(g548 +V64 +p15253 +tp15254 +a(g900 +g960 +tp15255 +a(g548 +V57 +p15256 +tp15257 +a(g548 +V6f +p15258 +tp15259 +a(g900 +V +p15260 +tp15261 +a(g341 +V return _FindWo +p15262 +tp15263 +a(g900 +V\u000a +p15264 +tp15265 +a(g8 +V0001240 +p15266 +tp15267 +a(g685 +g958 +tp15268 +a(g900 +g960 +tp15269 +a(g548 +V72 +p15270 +tp15271 +a(g548 +V64 +p15272 +tp15273 +a(g900 +g960 +tp15274 +a(g548 +V73 +p15275 +tp15276 +a(g548 +V28 +p15277 +tp15278 +a(g900 +g960 +tp15279 +a(g548 +V20 +p15280 +tp15281 +a(g548 +V73 +p15282 +tp15283 +a(g900 +g960 +tp15284 +a(g548 +V74 +p15285 +tp15286 +a(g548 +V72 +p15287 +tp15288 +a(g900 +g960 +tp15289 +a(g548 +V69 +p15290 +tp15291 +a(g548 +V70 +p15292 +tp15293 +a(g900 +g960 +tp15294 +a(g548 +V4e +p15295 +tp15296 +a(g548 +V6f +p15297 +tp15298 +a(g900 +g960 +tp15299 +a(g548 +V6e +p15300 +tp15301 +a(g548 +V44 +p15302 +tp15303 +a(g900 +g960 +tp15304 +a(g548 +V69 +p15305 +tp15306 +a(g548 +V67 +p15307 +tp15308 +a(g900 +V +p15309 +tp15310 +a(g341 +Vrds( stripNonDig +p15311 +tp15312 +a(g900 +V\u000a +p15313 +tp15314 +a(g8 +V0001250 +p15315 +tp15316 +a(g685 +g958 +tp15317 +a(g900 +g960 +tp15318 +a(g548 +V69 +p15319 +tp15320 +a(g548 +V74 +p15321 +tp15322 +a(g900 +g960 +tp15323 +a(g548 +V28 +p15324 +tp15325 +a(g548 +V70 +p15326 +tp15327 +a(g900 +g960 +tp15328 +a(g548 +V68 +p15329 +tp15330 +a(g548 +V6f +p15331 +tp15332 +a(g900 +g960 +tp15333 +a(g548 +V6e +p15334 +tp15335 +a(g548 +V65 +p15336 +tp15337 +a(g900 +g960 +tp15338 +a(g548 +V5f +p15339 +tp15340 +a(g548 +V6e +p15341 +tp15342 +a(g900 +g960 +tp15343 +a(g548 +V75 +p15344 +tp15345 +a(g548 +V6d +p15346 +tp15347 +a(g900 +g960 +tp15348 +a(g548 +V62 +p15349 +tp15350 +a(g548 +V65 +p15351 +tp15352 +a(g900 +g960 +tp15353 +a(g548 +V72 +p15354 +tp15355 +a(g548 +V29 +p15356 +tp15357 +a(g900 +V +p15358 +tp15359 +a(g341 +Vit(phone_number) +p15360 +tp15361 +a(g900 +V\u000a +p15362 +tp15363 +a(g8 +V0001260 +p15364 +tp15365 +a(g685 +g958 +tp15366 +a(g900 +g960 +tp15367 +a(g548 +V2c +p15368 +tp15369 +a(g548 +V20 +p15370 +tp15371 +a(g900 +g960 +tp15372 +a(g548 +V74 +p15373 +tp15374 +a(g548 +V72 +p15375 +tp15376 +a(g900 +g960 +tp15377 +a(g548 +V75 +p15378 +tp15379 +a(g548 +V65 +p15380 +tp15381 +a(g900 +g960 +tp15382 +a(g548 +V20 +p15383 +tp15384 +a(g548 +V29 +p15385 +tp15386 +a(g900 +g960 +tp15387 +a(g548 +V3b +p15388 +tp15389 +a(g548 +V20 +p15390 +tp15391 +a(g900 +g960 +tp15392 +a(g548 +V20 +p15393 +tp15394 +a(g548 +V20 +p15395 +tp15396 +a(g900 +g960 +tp15397 +a(g548 +V20 +p15398 +tp15399 +a(g548 +V0a +p15400 +tp15401 +a(g900 +g960 +tp15402 +a(g548 +V20 +p15403 +tp15404 +a(g548 +V20 +p15405 +tp15406 +a(g900 +V +p15407 +tp15408 +a(g341 +V, true ); . +p15409 +tp15410 +a(g900 +V\u000a +p15411 +tp15412 +a(g8 +V0001270 +p15413 +tp15414 +a(g685 +g958 +tp15415 +a(g900 +g960 +tp15416 +a(g548 +V20 +p15417 +tp15418 +a(g548 +V20 +p15419 +tp15420 +a(g900 +g960 +tp15421 +a(g548 +V7d +p15422 +tp15423 +a(g548 +V20 +p15424 +tp15425 +a(g900 +g960 +tp15426 +a(g548 +V20 +p15427 +tp15428 +a(g548 +V20 +p15429 +tp15430 +a(g900 +g960 +tp15431 +a(g548 +V20 +p15432 +tp15433 +a(g548 +V0a +p15434 +tp15435 +a(g900 +g960 +tp15436 +a(g548 +V20 +p15437 +tp15438 +a(g548 +V20 +p15439 +tp15440 +a(g900 +g960 +tp15441 +a(g548 +V20 +p15442 +tp15443 +a(g548 +V20 +p15444 +tp15445 +a(g900 +g960 +tp15446 +a(g548 +V0a +p15447 +tp15448 +a(g548 +V20 +p15449 +tp15450 +a(g900 +g960 +tp15451 +a(g548 +V20 +p15452 +tp15453 +a(g548 +V20 +p15454 +tp15455 +a(g900 +V +p15456 +tp15457 +a(g341 +V } . . +p15458 +tp15459 +a(g900 +V\u000a +p15460 +tp15461 +a(g8 +V0001280 +p15462 +tp15463 +a(g685 +g958 +tp15464 +a(g900 +g960 +tp15465 +a(g548 +V20 +p15466 +tp15467 +a(g548 +V2f +p15468 +tp15469 +a(g900 +g960 +tp15470 +a(g548 +V2f +p15471 +tp15472 +a(g548 +V20 +p15473 +tp15474 +a(g900 +g960 +tp15475 +a(g548 +V52 +p15476 +tp15477 +a(g548 +V65 +p15478 +tp15479 +a(g900 +g960 +tp15480 +a(g548 +V61 +p15481 +tp15482 +a(g548 +V64 +p15483 +tp15484 +a(g900 +g960 +tp15485 +a(g548 +V20 +p15486 +tp15487 +a(g548 +V74 +p15488 +tp15489 +a(g900 +g960 +tp15490 +a(g548 +V68 +p15491 +tp15492 +a(g548 +V65 +p15493 +tp15494 +a(g900 +g960 +tp15495 +a(g548 +V20 +p15496 +tp15497 +a(g548 +V70 +p15498 +tp15499 +a(g900 +g960 +tp15500 +a(g548 +V68 +p15501 +tp15502 +a(g548 +V6f +p15503 +tp15504 +a(g900 +V +p15505 +tp15506 +a(g341 +V// Read the pho +p15507 +tp15508 +a(g900 +V\u000a +p15509 +tp15510 +a(g8 +V0001290 +p15511 +tp15512 +a(g685 +g958 +tp15513 +a(g900 +g960 +tp15514 +a(g548 +V6e +p15515 +tp15516 +a(g548 +V65 +p15517 +tp15518 +a(g900 +g960 +tp15519 +a(g548 +V20 +p15520 +tp15521 +a(g548 +V6e +p15522 +tp15523 +a(g900 +g960 +tp15524 +a(g548 +V75 +p15525 +tp15526 +a(g548 +V6d +p15527 +tp15528 +a(g900 +g960 +tp15529 +a(g548 +V62 +p15530 +tp15531 +a(g548 +V65 +p15532 +tp15533 +a(g900 +g960 +tp15534 +a(g548 +V72 +p15535 +tp15536 +a(g548 +V73 +p15537 +tp15538 +a(g900 +g960 +tp15539 +a(g548 +V20 +p15540 +tp15541 +a(g548 +V20 +p15542 +tp15543 +a(g900 +g960 +tp15544 +a(g548 +V20 +p15545 +tp15546 +a(g548 +V20 +p15547 +tp15548 +a(g900 +g960 +tp15549 +a(g548 +V20 +p15550 +tp15551 +a(g548 +V0a +p15552 +tp15553 +a(g900 +V +p15554 +tp15555 +a(g341 +Vne numbers . +p15556 +tp15557 +a(g900 +V\u000a +p15558 +tp15559 +a(g8 +V00012a0 +p15560 +tp15561 +a(g685 +g958 +tp15562 +a(g900 +g960 +tp15563 +a(g548 +V20 +p15564 +tp15565 +a(g548 +V20 +p15566 +tp15567 +a(g900 +g960 +tp15568 +a(g548 +V20 +p15569 +tp15570 +a(g548 +V20 +p15571 +tp15572 +a(g900 +g960 +tp15573 +a(g548 +V66 +p15574 +tp15575 +a(g548 +V6f +p15576 +tp15577 +a(g900 +g960 +tp15578 +a(g548 +V72 +p15579 +tp15580 +a(g548 +V65 +p15581 +tp15582 +a(g900 +g960 +tp15583 +a(g548 +V61 +p15584 +tp15585 +a(g548 +V63 +p15586 +tp15587 +a(g900 +g960 +tp15588 +a(g548 +V68 +p15589 +tp15590 +a(g548 +V28 +p15591 +tp15592 +a(g900 +g960 +tp15593 +a(g548 +V73 +p15594 +tp15595 +a(g548 +V74 +p15596 +tp15597 +a(g900 +g960 +tp15598 +a(g548 +V72 +p15599 +tp15600 +a(g548 +V69 +p15601 +tp15602 +a(g900 +V +p15603 +tp15604 +a(g341 +V foreach(stri +p15605 +tp15606 +a(g900 +V\u000a +p15607 +tp15608 +a(g8 +V00012b0 +p15609 +tp15610 +a(g685 +g958 +tp15611 +a(g900 +g960 +tp15612 +a(g548 +V6e +p15613 +tp15614 +a(g548 +V67 +p15615 +tp15616 +a(g900 +g960 +tp15617 +a(g548 +V20 +p15618 +tp15619 +a(g548 +V70 +p15620 +tp15621 +a(g900 +g960 +tp15622 +a(g548 +V68 +p15623 +tp15624 +a(g548 +V6f +p15625 +tp15626 +a(g900 +g960 +tp15627 +a(g548 +V6e +p15628 +tp15629 +a(g548 +V65 +p15630 +tp15631 +a(g900 +g960 +tp15632 +a(g548 +V3b +p15633 +tp15634 +a(g548 +V20 +p15635 +tp15636 +a(g900 +g960 +tp15637 +a(g548 +V6e +p15638 +tp15639 +a(g548 +V65 +p15640 +tp15641 +a(g900 +g960 +tp15642 +a(g548 +V77 +p15643 +tp15644 +a(g548 +V20 +p15645 +tp15646 +a(g900 +g960 +tp15647 +a(g548 +V42 +p15648 +tp15649 +a(g548 +V75 +p15650 +tp15651 +a(g900 +V +p15652 +tp15653 +a(g341 +Vng phone; new Bu +p15654 +tp15655 +a(g900 +V\u000a +p15656 +tp15657 +a(g8 +V00012c0 +p15658 +tp15659 +a(g685 +g958 +tp15660 +a(g900 +g960 +tp15661 +a(g548 +V66 +p15662 +tp15663 +a(g548 +V66 +p15664 +tp15665 +a(g900 +g960 +tp15666 +a(g548 +V65 +p15667 +tp15668 +a(g548 +V72 +p15669 +tp15670 +a(g900 +g960 +tp15671 +a(g548 +V65 +p15672 +tp15673 +a(g548 +V64 +p15674 +tp15675 +a(g900 +g960 +tp15676 +a(g548 +V46 +p15677 +tp15678 +a(g548 +V69 +p15679 +tp15680 +a(g900 +g960 +tp15681 +a(g548 +V6c +p15682 +tp15683 +a(g548 +V65 +p15684 +tp15685 +a(g900 +g960 +tp15686 +a(g548 +V28 +p15687 +tp15688 +a(g548 +V22 +p15689 +tp15690 +a(g900 +g960 +tp15691 +a(g548 +V69 +p15692 +tp15693 +a(g548 +V6e +p15694 +tp15695 +a(g900 +g960 +tp15696 +a(g548 +V70 +p15697 +tp15698 +a(g548 +V75 +p15699 +tp15700 +a(g900 +V +p15701 +tp15702 +a(g341 +VfferedFile("inpu +p15703 +tp15704 +a(g900 +V\u000a +p15705 +tp15706 +a(g8 +V00012d0 +p15707 +tp15708 +a(g685 +g958 +tp15709 +a(g900 +g960 +tp15710 +a(g548 +V74 +p15711 +tp15712 +a(g548 +V2e +p15713 +tp15714 +a(g900 +g960 +tp15715 +a(g548 +V74 +p15716 +tp15717 +a(g548 +V78 +p15718 +tp15719 +a(g900 +g960 +tp15720 +a(g548 +V74 +p15721 +tp15722 +a(g548 +V22 +p15723 +tp15724 +a(g900 +g960 +tp15725 +a(g548 +V20 +p15726 +tp15727 +a(g548 +V20 +p15728 +tp15729 +a(g900 +g960 +tp15730 +a(g548 +V20 +p15731 +tp15732 +a(g548 +V29 +p15733 +tp15734 +a(g900 +g960 +tp15735 +a(g548 +V20 +p15736 +tp15737 +a(g548 +V29 +p15738 +tp15739 +a(g900 +g960 +tp15740 +a(g548 +V0a +p15741 +tp15742 +a(g548 +V20 +p15743 +tp15744 +a(g900 +g960 +tp15745 +a(g548 +V20 +p15746 +tp15747 +a(g548 +V20 +p15748 +tp15749 +a(g900 +V +p15750 +tp15751 +a(g341 +Vt.txt" ) ). +p15752 +tp15753 +a(g900 +V\u000a +p15754 +tp15755 +a(g8 +V00012e0 +p15756 +tp15757 +a(g685 +g958 +tp15758 +a(g900 +g960 +tp15759 +a(g548 +V20 +p15760 +tp15761 +a(g548 +V20 +p15762 +tp15763 +a(g900 +g960 +tp15764 +a(g548 +V20 +p15765 +tp15766 +a(g548 +V20 +p15767 +tp15768 +a(g900 +g960 +tp15769 +a(g548 +V20 +p15770 +tp15771 +a(g548 +V66 +p15772 +tp15773 +a(g900 +g960 +tp15774 +a(g548 +V6f +p15775 +tp15776 +a(g548 +V72 +p15777 +tp15778 +a(g900 +g960 +tp15779 +a(g548 +V65 +p15780 +tp15781 +a(g548 +V61 +p15782 +tp15783 +a(g900 +g960 +tp15784 +a(g548 +V63 +p15785 +tp15786 +a(g548 +V68 +p15787 +tp15788 +a(g900 +g960 +tp15789 +a(g548 +V28 +p15790 +tp15791 +a(g548 +V61 +p15792 +tp15793 +a(g900 +g960 +tp15794 +a(g548 +V6c +p15795 +tp15796 +a(g548 +V74 +p15797 +tp15798 +a(g900 +V +p15799 +tp15800 +a(g341 +V foreach(alt +p15801 +tp15802 +a(g900 +V\u000a +p15803 +tp15804 +a(g8 +V00012f0 +p15805 +tp15806 +a(g685 +g958 +tp15807 +a(g900 +g960 +tp15808 +a(g548 +V65 +p15809 +tp15810 +a(g548 +V72 +p15811 +tp15812 +a(g900 +g960 +tp15813 +a(g548 +V6e +p15814 +tp15815 +a(g548 +V61 +p15816 +tp15817 +a(g900 +g960 +tp15818 +a(g548 +V74 +p15819 +tp15820 +a(g548 +V69 +p15821 +tp15822 +a(g900 +g960 +tp15823 +a(g548 +V76 +p15824 +tp15825 +a(g548 +V65 +p15826 +tp15827 +a(g900 +g960 +tp15828 +a(g548 +V3b +p15829 +tp15830 +a(g548 +V20 +p15831 +tp15832 +a(g900 +g960 +tp15833 +a(g548 +V46 +p15834 +tp15835 +a(g548 +V69 +p15836 +tp15837 +a(g900 +g960 +tp15838 +a(g548 +V6e +p15839 +tp15840 +a(g548 +V64 +p15841 +tp15842 +a(g900 +g960 +tp15843 +a(g548 +V57 +p15844 +tp15845 +a(g548 +V6f +p15846 +tp15847 +a(g900 +V +p15848 +tp15849 +a(g341 +Vernative; FindWo +p15850 +tp15851 +a(g900 +V\u000a +p15852 +tp15853 +a(g8 +V0001300 +p15854 +tp15855 +a(g685 +g958 +tp15856 +a(g900 +g960 +tp15857 +a(g548 +V72 +p15858 +tp15859 +a(g548 +V64 +p15860 +tp15861 +a(g900 +g960 +tp15862 +a(g548 +V73 +p15863 +tp15864 +a(g548 +V28 +p15865 +tp15866 +a(g900 +g960 +tp15867 +a(g548 +V20 +p15868 +tp15869 +a(g548 +V70 +p15870 +tp15871 +a(g900 +g960 +tp15872 +a(g548 +V68 +p15873 +tp15874 +a(g548 +V6f +p15875 +tp15876 +a(g900 +g960 +tp15877 +a(g548 +V6e +p15878 +tp15879 +a(g548 +V65 +p15880 +tp15881 +a(g900 +g960 +tp15882 +a(g548 +V20 +p15883 +tp15884 +a(g548 +V29 +p15885 +tp15886 +a(g900 +g960 +tp15887 +a(g548 +V20 +p15888 +tp15889 +a(g548 +V29 +p15890 +tp15891 +a(g900 +g960 +tp15892 +a(g548 +V0a +p15893 +tp15894 +a(g548 +V20 +p15895 +tp15896 +a(g900 +V +p15897 +tp15898 +a(g341 +Vrds( phone ) ). +p15899 +tp15900 +a(g900 +V\u000a +p15901 +tp15902 +a(g8 +V0001310 +p15903 +tp15904 +a(g685 +g958 +tp15905 +a(g900 +g960 +tp15906 +a(g548 +V20 +p15907 +tp15908 +a(g548 +V20 +p15909 +tp15910 +a(g900 +g960 +tp15911 +a(g548 +V20 +p15912 +tp15913 +a(g548 +V20 +p15914 +tp15915 +a(g900 +g960 +tp15916 +a(g548 +V20 +p15917 +tp15918 +a(g548 +V20 +p15919 +tp15920 +a(g900 +g960 +tp15921 +a(g548 +V20 +p15922 +tp15923 +a(g548 +V20 +p15924 +tp15925 +a(g900 +g960 +tp15926 +a(g548 +V20 +p15927 +tp15928 +a(g548 +V20 +p15929 +tp15930 +a(g900 +g960 +tp15931 +a(g548 +V20 +p15932 +tp15933 +a(g548 +V77 +p15934 +tp15935 +a(g900 +g960 +tp15936 +a(g548 +V72 +p15937 +tp15938 +a(g548 +V69 +p15939 +tp15940 +a(g900 +g960 +tp15941 +a(g548 +V74 +p15942 +tp15943 +a(g548 +V65 +p15944 +tp15945 +a(g900 +V +p15946 +tp15947 +a(g341 +V write +p15948 +tp15949 +a(g900 +V\u000a +p15950 +tp15951 +a(g8 +V0001320 +p15952 +tp15953 +a(g685 +g958 +tp15954 +a(g900 +g960 +tp15955 +a(g548 +V66 +p15956 +tp15957 +a(g548 +V6c +p15958 +tp15959 +a(g900 +g960 +tp15960 +a(g548 +V6e +p15961 +tp15962 +a(g548 +V28 +p15963 +tp15964 +a(g900 +g960 +tp15965 +a(g548 +V70 +p15966 +tp15967 +a(g548 +V68 +p15968 +tp15969 +a(g900 +g960 +tp15970 +a(g548 +V6f +p15971 +tp15972 +a(g548 +V6e +p15973 +tp15974 +a(g900 +g960 +tp15975 +a(g548 +V65 +p15976 +tp15977 +a(g548 +V2c +p15978 +tp15979 +a(g900 +g960 +tp15980 +a(g548 +V20 +p15981 +tp15982 +a(g548 +V22 +p15983 +tp15984 +a(g900 +g960 +tp15985 +a(g548 +V3a +p15986 +tp15987 +a(g548 +V20 +p15988 +tp15989 +a(g900 +g960 +tp15990 +a(g548 +V22 +p15991 +tp15992 +a(g548 +V2c +p15993 +tp15994 +a(g900 +V +p15995 +tp15996 +a(g341 +Vfln(phone, ": ", +p15997 +tp15998 +a(g900 +V\u000a +p15999 +tp16000 +a(g8 +V0001330 +p16001 +tp16002 +a(g685 +g958 +tp16003 +a(g900 +g960 +tp16004 +a(g548 +V20 +p16005 +tp16006 +a(g548 +V61 +p16007 +tp16008 +a(g900 +g960 +tp16009 +a(g548 +V6c +p16010 +tp16011 +a(g548 +V74 +p16012 +tp16013 +a(g900 +g960 +tp16014 +a(g548 +V65 +p16015 +tp16016 +a(g548 +V72 +p16017 +tp16018 +a(g900 +g960 +tp16019 +a(g548 +V6e +p16020 +tp16021 +a(g548 +V61 +p16022 +tp16023 +a(g900 +g960 +tp16024 +a(g548 +V74 +p16025 +tp16026 +a(g548 +V69 +p16027 +tp16028 +a(g900 +g960 +tp16029 +a(g548 +V76 +p16030 +tp16031 +a(g548 +V65 +p16032 +tp16033 +a(g900 +g960 +tp16034 +a(g548 +V20 +p16035 +tp16036 +a(g548 +V29 +p16037 +tp16038 +a(g900 +g960 +tp16039 +a(g548 +V3b +p16040 +tp16041 +a(g548 +V0a +p16042 +tp16043 +a(g900 +V +p16044 +tp16045 +a(g341 +Valternative );. +p16046 +tp16047 +a(g900 +V\u000a +p16048 +tp16049 +a(g8 +V0001340 +p16050 +tp16051 +a(g685 +g958 +tp16052 +a(g900 +g960 +tp16053 +a(g548 +V7d +p16054 +tp16055 +a(g548 +V0a +p16056 +tp16057 +a(g900 +g960 +tp16058 +a(g548 +V0a +p16059 +tp16060 +a(g900 +V +p16061 +tp16062 +a(g341 +V}.. +p16063 +tp16064 +a(g900 +V\u000a +p16065 +tp16066 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/html+php_faulty.php b/tests/examplefiles/output/html+php_faulty.php new file mode 100644 index 0000000..81ff970 --- /dev/null +++ b/tests/examplefiles/output/html+php_faulty.php @@ -0,0 +1,2343 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV\u000a *\u000a * Hybris is free software: you can redistribute it and/or modify\u000a * it under the terms of the GNU General Public License as published by\u000a * the Free Software Foundation, either version 3 of the License, or\u000a * (at your option) any later version.\u000a *\u000a * Hybris is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\u000a * GNU General Public License for more details.\u000a *\u000a * You should have received a copy of the GNU General Public License\u000a * along with Hybris. If not, see .\u000a*/ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g771 +Vimport +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g621 +Vstd.io.file +p964 +tp965 +a(g413 +V; +p966 +tp967 +a(g827 +V\u000a +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g810 +Vclass +p972 +tp973 +a(g827 +g962 +tp974 +a(g629 +VFile +p975 +tp976 +a(g827 +g962 +tp977 +a(g413 +V{ +p978 +tp979 +a(g827 +V\u000a +p980 +tp981 +a(g827 +V +p982 +tp983 +a(g827 +V\u000a +p984 +tp985 +a(g827 +g982 +tp986 +a(g810 +Vprotected +p987 +tp988 +a(g827 +g962 +tp989 +a(g682 +Vfile +p990 +tp991 +a(g413 +V, +p992 +tp993 +a(g827 +g962 +tp994 +a(g436 +VfileName +p995 +tp996 +a(g413 +g992 +tp997 +a(g827 +g962 +tp998 +a(g436 +Vmode +p999 +tp1000 +a(g413 +g966 +tp1001 +a(g827 +V\u000a +p1002 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g827 +g982 +tp1006 +a(g810 +Vpublic +p1007 +tp1008 +a(g827 +g962 +tp1009 +a(g810 +Vmethod +p1010 +tp1011 +a(g827 +g962 +tp1012 +a(g749 +VFile +p1013 +tp1014 +a(g413 +V( +p1015 +tp1016 +a(g827 +g962 +tp1017 +a(g436 +VfileName +p1018 +tp1019 +a(g413 +g992 +tp1020 +a(g827 +g962 +tp1021 +a(g436 +Vmode +p1022 +tp1023 +a(g827 +g962 +tp1024 +a(g413 +V){ +p1025 +tp1026 +a(g827 +V\u000a +p1027 +tp1028 +a(g827 +V +p1029 +tp1030 +a(g745 +Vme +p1031 +tp1032 +a(g413 +V. +p1033 +tp1034 +a(g667 +VfileName +p1035 +tp1036 +a(g827 +g962 +tp1037 +a(g413 +V= +p1038 +tp1039 +a(g827 +g962 +tp1040 +a(g436 +VfileName +p1041 +tp1042 +a(g413 +g966 +tp1043 +a(g827 +V\u000a +p1044 +tp1045 +a(g827 +V +p1046 +tp1047 +a(g745 +Vme +p1048 +tp1049 +a(g413 +g1033 +tp1050 +a(g667 +Vmode +p1051 +tp1052 +a(g827 +g962 +tp1053 +a(g413 +g1038 +tp1054 +a(g827 +g962 +tp1055 +a(g436 +Vmode +p1056 +tp1057 +a(g413 +g966 +tp1058 +a(g827 +V\u000a +p1059 +tp1060 +a(g827 +V +p1061 +tp1062 +a(g745 +Vme +p1063 +tp1064 +a(g413 +g1033 +tp1065 +a(g667 +Vfile +p1066 +tp1067 +a(g827 +g962 +tp1068 +a(g413 +g1038 +tp1069 +a(g827 +g962 +tp1070 +a(g682 +Vfopen +p1071 +tp1072 +a(g827 +g962 +tp1073 +a(g413 +g1015 +tp1074 +a(g827 +g962 +tp1075 +a(g745 +Vme +p1076 +tp1077 +a(g413 +g1033 +tp1078 +a(g667 +VfileName +p1079 +tp1080 +a(g413 +g992 +tp1081 +a(g827 +g962 +tp1082 +a(g745 +Vme +p1083 +tp1084 +a(g413 +g1033 +tp1085 +a(g667 +Vmode +p1086 +tp1087 +a(g413 +V); +p1088 +tp1089 +a(g827 +V\u000a +p1090 +tp1091 +a(g827 +g982 +tp1092 +a(g413 +V} +p1093 +tp1094 +a(g827 +V\u000a +p1095 +tp1096 +a(g827 +V\u000a +p1097 +tp1098 +a(g827 +g982 +tp1099 +a(g810 +Vprivate +p1100 +tp1101 +a(g827 +g962 +tp1102 +a(g810 +Vmethod +p1103 +tp1104 +a(g827 +g962 +tp1105 +a(g436 +VisBinary +p1106 +tp1107 +a(g413 +V(){ +p1108 +tp1109 +a(g827 +V\u000a +p1110 +tp1111 +a(g827 +V +p1112 +tp1113 +a(g745 +Vreturn +p1114 +tp1115 +a(g827 +g962 +tp1116 +a(g745 +Vme +p1117 +tp1118 +a(g413 +g1033 +tp1119 +a(g667 +Vmode +p1120 +tp1121 +a(g413 +g1033 +tp1122 +a(g667 +Vfind +p1123 +tp1124 +a(g413 +g1015 +tp1125 +a(g89 +V"b" +p1126 +tp1127 +a(g413 +V) +p1128 +tp1129 +a(g827 +g962 +tp1130 +a(g413 +V!= +p1131 +tp1132 +a(g827 +g962 +tp1133 +a(g764 +Vfalse +p1134 +tp1135 +a(g413 +g966 +tp1136 +a(g827 +V\u000a +p1137 +tp1138 +a(g827 +g982 +tp1139 +a(g413 +g1093 +tp1140 +a(g827 +V\u000a +p1141 +tp1142 +a(g827 +g982 +tp1143 +a(g827 +V\u000a +p1144 +tp1145 +a(g827 +g982 +tp1146 +a(g810 +Vpublic +p1147 +tp1148 +a(g827 +g962 +tp1149 +a(g810 +Vmethod +p1150 +tp1151 +a(g827 +g962 +tp1152 +a(g749 +VFile +p1153 +tp1154 +a(g827 +g962 +tp1155 +a(g413 +g1015 +tp1156 +a(g827 +g962 +tp1157 +a(g682 +Vfile +p1158 +tp1159 +a(g827 +g962 +tp1160 +a(g413 +V){ +p1161 +tp1162 +a(g827 +V\u000a +p1163 +tp1164 +a(g827 +V +p1165 +tp1166 +a(g745 +Vme +p1167 +tp1168 +a(g413 +g1033 +tp1169 +a(g667 +Vfile +p1170 +tp1171 +a(g827 +g962 +tp1172 +a(g413 +g1038 +tp1173 +a(g827 +g962 +tp1174 +a(g682 +Vfile +p1175 +tp1176 +a(g413 +g966 +tp1177 +a(g827 +V\u000a +p1178 +tp1179 +a(g827 +g982 +tp1180 +a(g413 +g1093 +tp1181 +a(g827 +V\u000a +p1182 +tp1183 +a(g827 +V\u000a +p1184 +tp1185 +a(g827 +g982 +tp1186 +a(g810 +Vprivate +p1187 +tp1188 +a(g827 +g962 +tp1189 +a(g810 +Vmethod +p1190 +tp1191 +a(g827 +g962 +tp1192 +a(g436 +V__expire +p1193 +tp1194 +a(g413 +V() +p1195 +tp1196 +a(g827 +g962 +tp1197 +a(g413 +g978 +tp1198 +a(g827 +V\u000a +p1199 +tp1200 +a(g827 +V +p1201 +tp1202 +a(g745 +Vme +p1203 +tp1204 +a(g413 +g1033 +tp1205 +a(g667 +Vclose +p1206 +tp1207 +a(g413 +V(); +p1208 +tp1209 +a(g827 +V\u000a +p1210 +tp1211 +a(g827 +g982 +tp1212 +a(g413 +g1093 +tp1213 +a(g827 +V\u000a +p1214 +tp1215 +a(g827 +g982 +tp1216 +a(g827 +V\u000a +p1217 +tp1218 +a(g827 +g982 +tp1219 +a(g810 +Vpublic +p1220 +tp1221 +a(g827 +g962 +tp1222 +a(g810 +Vmethod +p1223 +tp1224 +a(g827 +g962 +tp1225 +a(g682 +Vclose +p1226 +tp1227 +a(g413 +V(){ +p1228 +tp1229 +a(g827 +V\u000a +p1230 +tp1231 +a(g827 +V +p1232 +tp1233 +a(g682 +Vfclose +p1234 +tp1235 +a(g413 +g1015 +tp1236 +a(g827 +g962 +tp1237 +a(g745 +Vme +p1238 +tp1239 +a(g413 +g1033 +tp1240 +a(g667 +Vfile +p1241 +tp1242 +a(g827 +g962 +tp1243 +a(g413 +V); +p1244 +tp1245 +a(g827 +V\u000a +p1246 +tp1247 +a(g827 +g982 +tp1248 +a(g413 +g1093 +tp1249 +a(g827 +V\u000a +p1250 +tp1251 +a(g827 +g982 +tp1252 +a(g827 +V\u000a +p1253 +tp1254 +a(g827 +g982 +tp1255 +a(g810 +Vpublic +p1256 +tp1257 +a(g827 +g962 +tp1258 +a(g810 +Vmethod +p1259 +tp1260 +a(g827 +g962 +tp1261 +a(g436 +VreadLine +p1262 +tp1263 +a(g413 +V(){ +p1264 +tp1265 +a(g827 +V\u000a +p1266 +tp1267 +a(g827 +V +p1268 +tp1269 +a(g745 +Vreturn +p1270 +tp1271 +a(g827 +g962 +tp1272 +a(g436 +Vline +p1273 +tp1274 +a(g827 +g962 +tp1275 +a(g413 +g1038 +tp1276 +a(g827 +g962 +tp1277 +a(g682 +Vfgets +p1278 +tp1279 +a(g413 +g1015 +tp1280 +a(g827 +g962 +tp1281 +a(g745 +Vme +p1282 +tp1283 +a(g413 +g1033 +tp1284 +a(g667 +Vfile +p1285 +tp1286 +a(g827 +g962 +tp1287 +a(g413 +V); +p1288 +tp1289 +a(g827 +V\u000a +p1290 +tp1291 +a(g827 +g982 +tp1292 +a(g413 +g1093 +tp1293 +a(g827 +V\u000a +p1294 +tp1295 +a(g827 +V\u000a +p1296 +tp1297 +a(g827 +g982 +tp1298 +a(g810 +Vpublic +p1299 +tp1300 +a(g827 +g962 +tp1301 +a(g810 +Vmethod +p1302 +tp1303 +a(g827 +g962 +tp1304 +a(g436 +VgetFileName +p1305 +tp1306 +a(g413 +V(){ +p1307 +tp1308 +a(g827 +V\u000a +p1309 +tp1310 +a(g827 +V +p1311 +tp1312 +a(g745 +Vreturn +p1313 +tp1314 +a(g827 +g962 +tp1315 +a(g745 +Vme +p1316 +tp1317 +a(g413 +g1033 +tp1318 +a(g667 +VfileName +p1319 +tp1320 +a(g413 +g966 +tp1321 +a(g827 +V\u000a +p1322 +tp1323 +a(g827 +g982 +tp1324 +a(g413 +g1093 +tp1325 +a(g827 +V\u000a +p1326 +tp1327 +a(g827 +V\u000a +p1328 +tp1329 +a(g827 +g982 +tp1330 +a(g810 +Vpublic +p1331 +tp1332 +a(g827 +g962 +tp1333 +a(g810 +Vmethod +p1334 +tp1335 +a(g827 +g962 +tp1336 +a(g436 +VgetSize +p1337 +tp1338 +a(g413 +V(){ +p1339 +tp1340 +a(g827 +V\u000a +p1341 +tp1342 +a(g827 +V +p1343 +tp1344 +a(g745 +Vreturn +p1345 +tp1346 +a(g827 +g962 +tp1347 +a(g682 +Vfsize +p1348 +tp1349 +a(g413 +g1015 +tp1350 +a(g827 +g962 +tp1351 +a(g745 +Vme +p1352 +tp1353 +a(g413 +g1033 +tp1354 +a(g667 +VfileName +p1355 +tp1356 +a(g827 +g962 +tp1357 +a(g413 +V); +p1358 +tp1359 +a(g827 +V\u000a +p1360 +tp1361 +a(g827 +g982 +tp1362 +a(g413 +g1093 +tp1363 +a(g827 +V\u000a +p1364 +tp1365 +a(g827 +V\u000a +p1366 +tp1367 +a(g827 +g982 +tp1368 +a(g810 +Vpublic +p1369 +tp1370 +a(g827 +g962 +tp1371 +a(g810 +Vmethod +p1372 +tp1373 +a(g827 +g962 +tp1374 +a(g436 +VgetPosition +p1375 +tp1376 +a(g413 +V(){ +p1377 +tp1378 +a(g827 +V\u000a +p1379 +tp1380 +a(g827 +V +p1381 +tp1382 +a(g745 +Vreturn +p1383 +tp1384 +a(g827 +g962 +tp1385 +a(g682 +Vftell +p1386 +tp1387 +a(g413 +g1015 +tp1388 +a(g827 +g962 +tp1389 +a(g745 +Vme +p1390 +tp1391 +a(g413 +g1033 +tp1392 +a(g667 +Vfile +p1393 +tp1394 +a(g827 +g962 +tp1395 +a(g413 +V); +p1396 +tp1397 +a(g827 +V\u000a +p1398 +tp1399 +a(g827 +g982 +tp1400 +a(g413 +g1093 +tp1401 +a(g827 +V\u000a +p1402 +tp1403 +a(g827 +g982 +tp1404 +a(g827 +V\u000a +p1405 +tp1406 +a(g827 +g982 +tp1407 +a(g810 +Vpublic +p1408 +tp1409 +a(g827 +g962 +tp1410 +a(g810 +Vmethod +p1411 +tp1412 +a(g827 +g962 +tp1413 +a(g436 +VreadAll +p1414 +tp1415 +a(g413 +V(){ +p1416 +tp1417 +a(g827 +V\u000a +p1418 +tp1419 +a(g827 +V +p1420 +tp1421 +a(g436 +Vtext +p1422 +tp1423 +a(g827 +g962 +tp1424 +a(g413 +g1038 +tp1425 +a(g827 +g962 +tp1426 +a(g89 +V"" +p1427 +tp1428 +a(g413 +g966 +tp1429 +a(g827 +V\u000a +p1430 +tp1431 +a(g827 +V +p1432 +tp1433 +a(g436 +Vline +p1434 +tp1435 +a(g827 +g962 +tp1436 +a(g413 +g1038 +tp1437 +a(g827 +g962 +tp1438 +a(g89 +V"" +p1439 +tp1440 +a(g413 +g966 +tp1441 +a(g827 +V\u000a +p1442 +tp1443 +a(g827 +V +p1444 +tp1445 +a(g745 +Vwhile +p1446 +tp1447 +a(g827 +g962 +tp1448 +a(g413 +g1015 +tp1449 +a(g827 +g962 +tp1450 +a(g413 +g1015 +tp1451 +a(g827 +g962 +tp1452 +a(g436 +Vline +p1453 +tp1454 +a(g827 +g962 +tp1455 +a(g413 +g1038 +tp1456 +a(g827 +g962 +tp1457 +a(g682 +Vfgets +p1458 +tp1459 +a(g413 +g1015 +tp1460 +a(g745 +Vme +p1461 +tp1462 +a(g413 +g1033 +tp1463 +a(g667 +Vfile +p1464 +tp1465 +a(g413 +g1128 +tp1466 +a(g827 +g962 +tp1467 +a(g413 +g1128 +tp1468 +a(g827 +g962 +tp1469 +a(g413 +V!= +p1470 +tp1471 +a(g827 +g962 +tp1472 +a(g37 +V0 +p1473 +tp1474 +a(g827 +g962 +tp1475 +a(g413 +V){ +p1476 +tp1477 +a(g827 +V\u000a +p1478 +tp1479 +a(g827 +V +p1480 +tp1481 +a(g436 +Vtext +p1482 +tp1483 +a(g827 +g962 +tp1484 +a(g413 +V+= +p1485 +tp1486 +a(g827 +g962 +tp1487 +a(g436 +Vline +p1488 +tp1489 +a(g413 +g966 +tp1490 +a(g827 +V\u000a +p1491 +tp1492 +a(g827 +V +p1493 +tp1494 +a(g413 +g1093 +tp1495 +a(g827 +V\u000a +p1496 +tp1497 +a(g827 +V +p1498 +tp1499 +a(g745 +Vreturn +p1500 +tp1501 +a(g827 +g962 +tp1502 +a(g436 +Vtext +p1503 +tp1504 +a(g413 +g966 +tp1505 +a(g827 +V\u000a +p1506 +tp1507 +a(g827 +g982 +tp1508 +a(g413 +g1093 +tp1509 +a(g827 +V\u000a +p1510 +tp1511 +a(g827 +V\u000a +p1512 +tp1513 +a(g827 +g982 +tp1514 +a(g810 +Vpublic +p1515 +tp1516 +a(g827 +g962 +tp1517 +a(g810 +Vmethod +p1518 +tp1519 +a(g827 +g962 +tp1520 +a(g436 +Vread +p1521 +tp1522 +a(g413 +V(){ +p1523 +tp1524 +a(g827 +V\u000a +p1525 +tp1526 +a(g827 +V +p1527 +tp1528 +a(g436 +Vbyte +p1529 +tp1530 +a(g827 +g962 +tp1531 +a(g413 +g1038 +tp1532 +a(g827 +g962 +tp1533 +a(g93 +V' ' +p1534 +tp1535 +a(g413 +g966 +tp1536 +a(g827 +V\u000a +p1537 +tp1538 +a(g827 +V +p1539 +tp1540 +a(g745 +Vif +p1541 +tp1542 +a(g827 +g962 +tp1543 +a(g413 +g1015 +tp1544 +a(g827 +g962 +tp1545 +a(g682 +Vfread +p1546 +tp1547 +a(g413 +g1015 +tp1548 +a(g827 +g962 +tp1549 +a(g745 +Vme +p1550 +tp1551 +a(g413 +g1033 +tp1552 +a(g667 +Vfile +p1553 +tp1554 +a(g413 +g992 +tp1555 +a(g827 +g962 +tp1556 +a(g436 +Vbyte +p1557 +tp1558 +a(g413 +g1128 +tp1559 +a(g827 +g962 +tp1560 +a(g413 +V> +p1561 +tp1562 +a(g827 +g962 +tp1563 +a(g37 +g1473 +tp1564 +a(g827 +g962 +tp1565 +a(g413 +g1128 +tp1566 +a(g827 +g962 +tp1567 +a(g413 +g978 +tp1568 +a(g827 +V\u000a +p1569 +tp1570 +a(g827 +V +p1571 +tp1572 +a(g745 +Vreturn +p1573 +tp1574 +a(g827 +g962 +tp1575 +a(g436 +Vbyte +p1576 +tp1577 +a(g413 +g966 +tp1578 +a(g827 +V\u000a +p1579 +tp1580 +a(g827 +V +p1581 +tp1582 +a(g413 +g1093 +tp1583 +a(g827 +V\u000a +p1584 +tp1585 +a(g827 +V +p1586 +tp1587 +a(g745 +Velse +p1588 +tp1589 +a(g827 +g962 +tp1590 +a(g413 +g978 +tp1591 +a(g827 +V\u000a +p1592 +tp1593 +a(g827 +V +p1594 +tp1595 +a(g745 +Vreturn +p1596 +tp1597 +a(g827 +g962 +tp1598 +a(g413 +V- +p1599 +tp1600 +a(g37 +V1 +p1601 +tp1602 +a(g413 +g966 +tp1603 +a(g827 +V\u000a +p1604 +tp1605 +a(g827 +V +p1606 +tp1607 +a(g413 +g1093 +tp1608 +a(g827 +V\u000a +p1609 +tp1610 +a(g827 +g982 +tp1611 +a(g413 +g1093 +tp1612 +a(g827 +V\u000a +p1613 +tp1614 +a(g827 +V\u000a +p1615 +tp1616 +a(g827 +g982 +tp1617 +a(g810 +Vpublic +p1618 +tp1619 +a(g827 +g962 +tp1620 +a(g810 +Vmethod +p1621 +tp1622 +a(g827 +g962 +tp1623 +a(g436 +Vread +p1624 +tp1625 +a(g413 +g1015 +tp1626 +a(g827 +g962 +tp1627 +a(g436 +Vbytes +p1628 +tp1629 +a(g827 +g962 +tp1630 +a(g413 +g1128 +tp1631 +a(g827 +g962 +tp1632 +a(g413 +g978 +tp1633 +a(g827 +V\u000a +p1634 +tp1635 +a(g827 +V +p1636 +tp1637 +a(g436 +Vword +p1638 +tp1639 +a(g827 +g962 +tp1640 +a(g413 +g1038 +tp1641 +a(g827 +g962 +tp1642 +a(g89 +V"" +p1643 +tp1644 +a(g413 +g966 +tp1645 +a(g827 +V\u000a +p1646 +tp1647 +a(g827 +V +p1648 +tp1649 +a(g436 +Vbyte +p1650 +tp1651 +a(g827 +g962 +tp1652 +a(g413 +g1038 +tp1653 +a(g827 +g962 +tp1654 +a(g93 +V' ' +p1655 +tp1656 +a(g413 +g966 +tp1657 +a(g827 +V\u000a +p1658 +tp1659 +a(g827 +V +p1660 +tp1661 +a(g745 +Vif +p1662 +tp1663 +a(g827 +g962 +tp1664 +a(g413 +g1015 +tp1665 +a(g827 +g962 +tp1666 +a(g682 +Vfread +p1667 +tp1668 +a(g413 +g1015 +tp1669 +a(g827 +g962 +tp1670 +a(g745 +Vme +p1671 +tp1672 +a(g413 +g1033 +tp1673 +a(g667 +Vfile +p1674 +tp1675 +a(g413 +g992 +tp1676 +a(g827 +g962 +tp1677 +a(g436 +Vbyte +p1678 +tp1679 +a(g413 +g992 +tp1680 +a(g827 +g962 +tp1681 +a(g436 +Vbytes +p1682 +tp1683 +a(g413 +g1128 +tp1684 +a(g827 +g962 +tp1685 +a(g413 +g1561 +tp1686 +a(g827 +g962 +tp1687 +a(g37 +g1473 +tp1688 +a(g827 +g962 +tp1689 +a(g413 +g1128 +tp1690 +a(g827 +g962 +tp1691 +a(g413 +g978 +tp1692 +a(g827 +V\u000a +p1693 +tp1694 +a(g827 +V +p1695 +tp1696 +a(g436 +Vword +p1697 +tp1698 +a(g827 +g962 +tp1699 +a(g413 +V+= +p1700 +tp1701 +a(g827 +g962 +tp1702 +a(g436 +Vbyte +p1703 +tp1704 +a(g413 +g966 +tp1705 +a(g827 +V\u000a +p1706 +tp1707 +a(g827 +V +p1708 +tp1709 +a(g413 +g1093 +tp1710 +a(g827 +V\u000a +p1711 +tp1712 +a(g827 +V +p1713 +tp1714 +a(g745 +Velse +p1715 +tp1716 +a(g827 +g962 +tp1717 +a(g413 +g978 +tp1718 +a(g827 +V\u000a +p1719 +tp1720 +a(g827 +V +p1721 +tp1722 +a(g745 +Vreturn +p1723 +tp1724 +a(g827 +g962 +tp1725 +a(g413 +g1599 +tp1726 +a(g37 +g1601 +tp1727 +a(g413 +g966 +tp1728 +a(g827 +V\u000a +p1729 +tp1730 +a(g827 +V +p1731 +tp1732 +a(g413 +g1093 +tp1733 +a(g827 +V\u000a +p1734 +tp1735 +a(g827 +V +p1736 +tp1737 +a(g745 +Vreturn +p1738 +tp1739 +a(g827 +g962 +tp1740 +a(g436 +Vword +p1741 +tp1742 +a(g413 +g966 +tp1743 +a(g827 +V\u000a +p1744 +tp1745 +a(g827 +g982 +tp1746 +a(g413 +g1093 +tp1747 +a(g827 +V\u000a +p1748 +tp1749 +a(g827 +V\u000a +p1750 +tp1751 +a(g827 +g982 +tp1752 +a(g810 +Vpublic +p1753 +tp1754 +a(g827 +g962 +tp1755 +a(g810 +Vmethod +p1756 +tp1757 +a(g827 +g962 +tp1758 +a(g436 +Vread +p1759 +tp1760 +a(g827 +g962 +tp1761 +a(g413 +g1015 +tp1762 +a(g827 +g962 +tp1763 +a(g436 +Vseek +p1764 +tp1765 +a(g413 +g992 +tp1766 +a(g827 +g962 +tp1767 +a(g436 +VseekType +p1768 +tp1769 +a(g827 +g962 +tp1770 +a(g413 +V){ +p1771 +tp1772 +a(g827 +V\u000a +p1773 +tp1774 +a(g827 +V +p1775 +tp1776 +a(g745 +Vif +p1777 +tp1778 +a(g827 +g962 +tp1779 +a(g413 +g1015 +tp1780 +a(g827 +g962 +tp1781 +a(g745 +Vme +p1782 +tp1783 +a(g413 +g1033 +tp1784 +a(g667 +Vseek +p1785 +tp1786 +a(g413 +g1015 +tp1787 +a(g827 +g962 +tp1788 +a(g436 +Vseek +p1789 +tp1790 +a(g413 +g992 +tp1791 +a(g827 +g962 +tp1792 +a(g436 +VseekType +p1793 +tp1794 +a(g413 +g1128 +tp1795 +a(g827 +g962 +tp1796 +a(g413 +V== +p1797 +tp1798 +a(g827 +g962 +tp1799 +a(g37 +g1473 +tp1800 +a(g827 +g962 +tp1801 +a(g413 +g1128 +tp1802 +a(g827 +g962 +tp1803 +a(g413 +g978 +tp1804 +a(g827 +V\u000a +p1805 +tp1806 +a(g827 +V +p1807 +tp1808 +a(g745 +Vreturn +p1809 +tp1810 +a(g827 +g962 +tp1811 +a(g413 +g1599 +tp1812 +a(g37 +g1601 +tp1813 +a(g413 +g966 +tp1814 +a(g827 +V\u000a +p1815 +tp1816 +a(g827 +V +p1817 +tp1818 +a(g413 +g1093 +tp1819 +a(g827 +V\u000a +p1820 +tp1821 +a(g827 +V\u000a +p1822 +tp1823 +a(g827 +V +p1824 +tp1825 +a(g745 +Vreturn +p1826 +tp1827 +a(g827 +V +p1828 +tp1829 +a(g745 +Vme +p1830 +tp1831 +a(g413 +g1033 +tp1832 +a(g667 +Vread +p1833 +tp1834 +a(g413 +V(); +p1835 +tp1836 +a(g827 +V\u000a +p1837 +tp1838 +a(g827 +g982 +tp1839 +a(g413 +g1093 +tp1840 +a(g827 +V\u000a +p1841 +tp1842 +a(g827 +V\u000a +p1843 +tp1844 +a(g827 +g982 +tp1845 +a(g810 +Vpublic +p1846 +tp1847 +a(g827 +g962 +tp1848 +a(g810 +Vmethod +p1849 +tp1850 +a(g827 +g962 +tp1851 +a(g436 +Vread +p1852 +tp1853 +a(g827 +g962 +tp1854 +a(g413 +g1015 +tp1855 +a(g827 +g962 +tp1856 +a(g436 +Vbytes +p1857 +tp1858 +a(g413 +g992 +tp1859 +a(g827 +g962 +tp1860 +a(g436 +Vseek +p1861 +tp1862 +a(g413 +g992 +tp1863 +a(g827 +g962 +tp1864 +a(g436 +VseekType +p1865 +tp1866 +a(g827 +g962 +tp1867 +a(g413 +V){ +p1868 +tp1869 +a(g827 +V\u000a +p1870 +tp1871 +a(g827 +V +p1872 +tp1873 +a(g745 +Vif +p1874 +tp1875 +a(g827 +g962 +tp1876 +a(g413 +g1015 +tp1877 +a(g827 +g962 +tp1878 +a(g745 +Vme +p1879 +tp1880 +a(g413 +g1033 +tp1881 +a(g667 +Vseek +p1882 +tp1883 +a(g413 +g1015 +tp1884 +a(g827 +g962 +tp1885 +a(g436 +Vseek +p1886 +tp1887 +a(g413 +g992 +tp1888 +a(g827 +g962 +tp1889 +a(g436 +VseekType +p1890 +tp1891 +a(g413 +g1128 +tp1892 +a(g827 +g962 +tp1893 +a(g413 +V== +p1894 +tp1895 +a(g827 +g962 +tp1896 +a(g37 +g1473 +tp1897 +a(g827 +g962 +tp1898 +a(g413 +g1128 +tp1899 +a(g827 +g962 +tp1900 +a(g413 +g978 +tp1901 +a(g827 +V\u000a +p1902 +tp1903 +a(g827 +V +p1904 +tp1905 +a(g745 +Vreturn +p1906 +tp1907 +a(g827 +g962 +tp1908 +a(g413 +g1599 +tp1909 +a(g37 +g1601 +tp1910 +a(g413 +g966 +tp1911 +a(g827 +V\u000a +p1912 +tp1913 +a(g827 +V +p1914 +tp1915 +a(g413 +g1093 +tp1916 +a(g827 +V\u000a +p1917 +tp1918 +a(g827 +V\u000a +p1919 +tp1920 +a(g827 +V +p1921 +tp1922 +a(g745 +Vreturn +p1923 +tp1924 +a(g827 +V +p1925 +tp1926 +a(g745 +Vme +p1927 +tp1928 +a(g413 +g1033 +tp1929 +a(g667 +Vread +p1930 +tp1931 +a(g413 +g1015 +tp1932 +a(g827 +g962 +tp1933 +a(g436 +Vbytes +p1934 +tp1935 +a(g827 +g962 +tp1936 +a(g413 +V); +p1937 +tp1938 +a(g827 +V\u000a +p1939 +tp1940 +a(g827 +g982 +tp1941 +a(g413 +g1093 +tp1942 +a(g827 +V\u000a +p1943 +tp1944 +a(g827 +V\u000a +p1945 +tp1946 +a(g827 +g982 +tp1947 +a(g810 +Vpublic +p1948 +tp1949 +a(g827 +g962 +tp1950 +a(g810 +Vmethod +p1951 +tp1952 +a(g827 +g962 +tp1953 +a(g436 +VreadType +p1954 +tp1955 +a(g827 +g962 +tp1956 +a(g413 +g1015 +tp1957 +a(g827 +g962 +tp1958 +a(g436 +Vtype +p1959 +tp1960 +a(g827 +g962 +tp1961 +a(g413 +V){ +p1962 +tp1963 +a(g827 +V\u000a +p1964 +tp1965 +a(g827 +V +p1966 +tp1967 +a(g745 +Vif +p1968 +tp1969 +a(g827 +g962 +tp1970 +a(g413 +g1015 +tp1971 +a(g827 +g962 +tp1972 +a(g745 +Vme +p1973 +tp1974 +a(g413 +g1033 +tp1975 +a(g667 +VisBinary +p1976 +tp1977 +a(g413 +V() +p1978 +tp1979 +a(g827 +g962 +tp1980 +a(g413 +V== +p1981 +tp1982 +a(g827 +g962 +tp1983 +a(g764 +Vfalse +p1984 +tp1985 +a(g827 +g962 +tp1986 +a(g413 +g1128 +tp1987 +a(g827 +g962 +tp1988 +a(g413 +g978 +tp1989 +a(g827 +V\u000a +p1990 +tp1991 +a(g827 +V +p1992 +tp1993 +a(g745 +Vreturn +p1994 +tp1995 +a(g827 +g962 +tp1996 +a(g413 +g1599 +tp1997 +a(g37 +g1601 +tp1998 +a(g413 +g966 +tp1999 +a(g827 +V\u000a +p2000 +tp2001 +a(g827 +V +p2002 +tp2003 +a(g413 +g1093 +tp2004 +a(g827 +V\u000a +p2005 +tp2006 +a(g827 +V +p2007 +tp2008 +a(g745 +Vif +p2009 +tp2010 +a(g827 +g962 +tp2011 +a(g413 +g1015 +tp2012 +a(g827 +g962 +tp2013 +a(g682 +Vfread +p2014 +tp2015 +a(g827 +g962 +tp2016 +a(g413 +g1015 +tp2017 +a(g745 +Vme +p2018 +tp2019 +a(g413 +g1033 +tp2020 +a(g667 +Vfile +p2021 +tp2022 +a(g413 +g992 +tp2023 +a(g827 +g962 +tp2024 +a(g436 +Vtype +p2025 +tp2026 +a(g827 +g962 +tp2027 +a(g413 +g1128 +tp2028 +a(g827 +g962 +tp2029 +a(g413 +g1561 +tp2030 +a(g827 +g962 +tp2031 +a(g37 +g1473 +tp2032 +a(g827 +g962 +tp2033 +a(g413 +g1128 +tp2034 +a(g827 +g962 +tp2035 +a(g413 +g978 +tp2036 +a(g827 +V\u000a +p2037 +tp2038 +a(g827 +V +p2039 +tp2040 +a(g745 +Vreturn +p2041 +tp2042 +a(g827 +g962 +tp2043 +a(g436 +Vtype +p2044 +tp2045 +a(g413 +g966 +tp2046 +a(g827 +V\u000a +p2047 +tp2048 +a(g827 +V +p2049 +tp2050 +a(g413 +g1093 +tp2051 +a(g827 +g962 +tp2052 +a(g827 +V\u000a +p2053 +tp2054 +a(g827 +V +p2055 +tp2056 +a(g745 +Velse +p2057 +tp2058 +a(g827 +g962 +tp2059 +a(g413 +g978 +tp2060 +a(g827 +V\u000a +p2061 +tp2062 +a(g827 +V +p2063 +tp2064 +a(g745 +Vreturn +p2065 +tp2066 +a(g827 +g962 +tp2067 +a(g413 +g1599 +tp2068 +a(g37 +g1601 +tp2069 +a(g413 +g966 +tp2070 +a(g827 +V\u000a +p2071 +tp2072 +a(g827 +V +p2073 +tp2074 +a(g413 +g1093 +tp2075 +a(g827 +V\u000a +p2076 +tp2077 +a(g827 +g982 +tp2078 +a(g413 +g1093 +tp2079 +a(g827 +V\u000a +p2080 +tp2081 +a(g827 +V\u000a +p2082 +tp2083 +a(g827 +g982 +tp2084 +a(g810 +Voperator +p2085 +tp2086 +a(g827 +g962 +tp2087 +a(g413 +V>> +p2088 +tp2089 +a(g827 +g962 +tp2090 +a(g413 +g1015 +tp2091 +a(g827 +g962 +tp2092 +a(g436 +Vobject +p2093 +tp2094 +a(g827 +g962 +tp2095 +a(g413 +V){ +p2096 +tp2097 +a(g827 +V\u000a +p2098 +tp2099 +a(g827 +V +p2100 +tp2101 +a(g745 +Vreturn +p2102 +tp2103 +a(g827 +g962 +tp2104 +a(g745 +Vme +p2105 +tp2106 +a(g413 +g1033 +tp2107 +a(g667 +VreadType +p2108 +tp2109 +a(g413 +g1015 +tp2110 +a(g436 +Vobject +p2111 +tp2112 +a(g413 +V); +p2113 +tp2114 +a(g827 +V\u000a +p2115 +tp2116 +a(g827 +g982 +tp2117 +a(g413 +g1093 +tp2118 +a(g827 +V\u000a +p2119 +tp2120 +a(g827 +V\u000a +p2121 +tp2122 +a(g827 +g982 +tp2123 +a(g810 +Vpublic +p2124 +tp2125 +a(g827 +g962 +tp2126 +a(g810 +Vmethod +p2127 +tp2128 +a(g827 +g962 +tp2129 +a(g436 +VreadType +p2130 +tp2131 +a(g827 +g962 +tp2132 +a(g413 +g1015 +tp2133 +a(g827 +g962 +tp2134 +a(g436 +Vtype +p2135 +tp2136 +a(g413 +g992 +tp2137 +a(g827 +g962 +tp2138 +a(g436 +Vbytes +p2139 +tp2140 +a(g827 +g962 +tp2141 +a(g413 +V){ +p2142 +tp2143 +a(g827 +V\u000a +p2144 +tp2145 +a(g827 +V +p2146 +tp2147 +a(g745 +Vif +p2148 +tp2149 +a(g827 +g962 +tp2150 +a(g413 +g1015 +tp2151 +a(g827 +g962 +tp2152 +a(g745 +Vme +p2153 +tp2154 +a(g413 +g1033 +tp2155 +a(g667 +VisBinary +p2156 +tp2157 +a(g413 +V() +p2158 +tp2159 +a(g827 +g962 +tp2160 +a(g413 +V== +p2161 +tp2162 +a(g827 +g962 +tp2163 +a(g764 +Vfalse +p2164 +tp2165 +a(g827 +g962 +tp2166 +a(g413 +g1128 +tp2167 +a(g827 +g962 +tp2168 +a(g413 +g978 +tp2169 +a(g827 +V\u000a +p2170 +tp2171 +a(g827 +V +p2172 +tp2173 +a(g745 +Vreturn +p2174 +tp2175 +a(g827 +g962 +tp2176 +a(g413 +g1599 +tp2177 +a(g37 +g1601 +tp2178 +a(g413 +g966 +tp2179 +a(g827 +V\u000a +p2180 +tp2181 +a(g827 +V +p2182 +tp2183 +a(g413 +g1093 +tp2184 +a(g827 +V\u000a +p2185 +tp2186 +a(g827 +V +p2187 +tp2188 +a(g745 +Vif +p2189 +tp2190 +a(g827 +g962 +tp2191 +a(g413 +g1015 +tp2192 +a(g827 +g962 +tp2193 +a(g682 +Vfread +p2194 +tp2195 +a(g827 +g962 +tp2196 +a(g413 +g1015 +tp2197 +a(g745 +Vme +p2198 +tp2199 +a(g413 +g1033 +tp2200 +a(g667 +Vfile +p2201 +tp2202 +a(g413 +g992 +tp2203 +a(g827 +g962 +tp2204 +a(g436 +Vtype +p2205 +tp2206 +a(g413 +g992 +tp2207 +a(g827 +g962 +tp2208 +a(g436 +Vbytes +p2209 +tp2210 +a(g827 +g962 +tp2211 +a(g413 +g1128 +tp2212 +a(g827 +g962 +tp2213 +a(g413 +g1561 +tp2214 +a(g827 +g962 +tp2215 +a(g37 +g1473 +tp2216 +a(g413 +V){ +p2217 +tp2218 +a(g827 +V\u000a +p2219 +tp2220 +a(g827 +V +p2221 +tp2222 +a(g745 +Vreturn +p2223 +tp2224 +a(g827 +g962 +tp2225 +a(g436 +Vtype +p2226 +tp2227 +a(g413 +g966 +tp2228 +a(g827 +V\u000a +p2229 +tp2230 +a(g827 +V +p2231 +tp2232 +a(g413 +g1093 +tp2233 +a(g827 +V\u000a +p2234 +tp2235 +a(g827 +V +p2236 +tp2237 +a(g745 +Velse +p2238 +tp2239 +a(g827 +g962 +tp2240 +a(g413 +g978 +tp2241 +a(g827 +V\u000a +p2242 +tp2243 +a(g827 +V +p2244 +tp2245 +a(g745 +Vreturn +p2246 +tp2247 +a(g827 +g962 +tp2248 +a(g413 +g1599 +tp2249 +a(g37 +g1601 +tp2250 +a(g413 +g966 +tp2251 +a(g827 +V\u000a +p2252 +tp2253 +a(g827 +V +p2254 +tp2255 +a(g413 +g1093 +tp2256 +a(g827 +V\u000a +p2257 +tp2258 +a(g827 +g982 +tp2259 +a(g413 +g1093 +tp2260 +a(g827 +V\u000a +p2261 +tp2262 +a(g827 +V\u000a +p2263 +tp2264 +a(g827 +g982 +tp2265 +a(g810 +Vpublic +p2266 +tp2267 +a(g827 +g962 +tp2268 +a(g810 +Vmethod +p2269 +tp2270 +a(g827 +g962 +tp2271 +a(g436 +VreadType +p2272 +tp2273 +a(g827 +g962 +tp2274 +a(g413 +g1015 +tp2275 +a(g827 +g962 +tp2276 +a(g436 +Vtype +p2277 +tp2278 +a(g413 +g992 +tp2279 +a(g827 +g962 +tp2280 +a(g436 +Vseek +p2281 +tp2282 +a(g413 +g992 +tp2283 +a(g827 +g962 +tp2284 +a(g436 +VseekType +p2285 +tp2286 +a(g827 +g962 +tp2287 +a(g413 +V){ +p2288 +tp2289 +a(g827 +V\u000a +p2290 +tp2291 +a(g827 +V +p2292 +tp2293 +a(g745 +Vif +p2294 +tp2295 +a(g827 +g962 +tp2296 +a(g413 +g1015 +tp2297 +a(g827 +g962 +tp2298 +a(g413 +g1015 +tp2299 +a(g827 +g962 +tp2300 +a(g745 +Vme +p2301 +tp2302 +a(g413 +g1033 +tp2303 +a(g667 +VisBinary +p2304 +tp2305 +a(g413 +V() +p2306 +tp2307 +a(g827 +g962 +tp2308 +a(g413 +V== +p2309 +tp2310 +a(g827 +g962 +tp2311 +a(g764 +Vfalse +p2312 +tp2313 +a(g827 +g962 +tp2314 +a(g413 +g1128 +tp2315 +a(g827 +g962 +tp2316 +a(g413 +V| +p2317 +tp2318 +a(g827 +g962 +tp2319 +a(g413 +g1015 +tp2320 +a(g827 +g962 +tp2321 +a(g745 +Vme +p2322 +tp2323 +a(g413 +g1033 +tp2324 +a(g667 +Vseek +p2325 +tp2326 +a(g413 +g1015 +tp2327 +a(g827 +g962 +tp2328 +a(g436 +Vseek +p2329 +tp2330 +a(g413 +g992 +tp2331 +a(g827 +g962 +tp2332 +a(g436 +VseekType +p2333 +tp2334 +a(g413 +g1128 +tp2335 +a(g827 +g962 +tp2336 +a(g413 +V== +p2337 +tp2338 +a(g827 +g962 +tp2339 +a(g37 +g1473 +tp2340 +a(g827 +g962 +tp2341 +a(g413 +g1128 +tp2342 +a(g827 +g962 +tp2343 +a(g413 +g1128 +tp2344 +a(g827 +g962 +tp2345 +a(g413 +g978 +tp2346 +a(g827 +V\u000a +p2347 +tp2348 +a(g827 +V +p2349 +tp2350 +a(g745 +Vreturn +p2351 +tp2352 +a(g827 +g962 +tp2353 +a(g413 +g1599 +tp2354 +a(g37 +g1601 +tp2355 +a(g413 +g966 +tp2356 +a(g827 +V\u000a +p2357 +tp2358 +a(g827 +V +p2359 +tp2360 +a(g413 +g1093 +tp2361 +a(g827 +V\u000a +p2362 +tp2363 +a(g827 +V\u000a +p2364 +tp2365 +a(g827 +V +p2366 +tp2367 +a(g745 +Vreturn +p2368 +tp2369 +a(g827 +g962 +tp2370 +a(g745 +Vme +p2371 +tp2372 +a(g413 +g1033 +tp2373 +a(g667 +VreadType +p2374 +tp2375 +a(g413 +g1015 +tp2376 +a(g827 +g962 +tp2377 +a(g436 +Vtype +p2378 +tp2379 +a(g827 +g962 +tp2380 +a(g413 +V); +p2381 +tp2382 +a(g827 +V\u000a +p2383 +tp2384 +a(g827 +g982 +tp2385 +a(g413 +g1093 +tp2386 +a(g827 +V\u000a +p2387 +tp2388 +a(g827 +g982 +tp2389 +a(g827 +V\u000a +p2390 +tp2391 +a(g827 +g982 +tp2392 +a(g810 +Vpublic +p2393 +tp2394 +a(g827 +g962 +tp2395 +a(g810 +Vmethod +p2396 +tp2397 +a(g827 +V +p2398 +tp2399 +a(g436 +VreadType +p2400 +tp2401 +a(g413 +g1015 +tp2402 +a(g827 +V +p2403 +tp2404 +a(g436 +Vtype +p2405 +tp2406 +a(g413 +g992 +tp2407 +a(g827 +g962 +tp2408 +a(g436 +Vbytes +p2409 +tp2410 +a(g413 +g992 +tp2411 +a(g827 +g962 +tp2412 +a(g436 +Vseek +p2413 +tp2414 +a(g413 +g992 +tp2415 +a(g827 +g962 +tp2416 +a(g436 +VseekType +p2417 +tp2418 +a(g413 +V){ +p2419 +tp2420 +a(g827 +V\u000a +p2421 +tp2422 +a(g827 +V +p2423 +tp2424 +a(g745 +Vif +p2425 +tp2426 +a(g827 +g962 +tp2427 +a(g413 +g1015 +tp2428 +a(g827 +g962 +tp2429 +a(g413 +g1015 +tp2430 +a(g827 +g962 +tp2431 +a(g745 +Vme +p2432 +tp2433 +a(g413 +g1033 +tp2434 +a(g667 +VisBinary +p2435 +tp2436 +a(g413 +V() +p2437 +tp2438 +a(g827 +g962 +tp2439 +a(g413 +V== +p2440 +tp2441 +a(g827 +g962 +tp2442 +a(g764 +Vfalse +p2443 +tp2444 +a(g827 +g962 +tp2445 +a(g413 +g1128 +tp2446 +a(g827 +g962 +tp2447 +a(g413 +g2317 +tp2448 +a(g827 +g962 +tp2449 +a(g413 +g1015 +tp2450 +a(g827 +g962 +tp2451 +a(g745 +Vme +p2452 +tp2453 +a(g413 +g1033 +tp2454 +a(g667 +Vseek +p2455 +tp2456 +a(g413 +g1015 +tp2457 +a(g827 +g962 +tp2458 +a(g436 +Vseek +p2459 +tp2460 +a(g413 +g992 +tp2461 +a(g827 +g962 +tp2462 +a(g436 +VseekType +p2463 +tp2464 +a(g413 +g1128 +tp2465 +a(g827 +g962 +tp2466 +a(g413 +V== +p2467 +tp2468 +a(g827 +g962 +tp2469 +a(g37 +g1473 +tp2470 +a(g827 +g962 +tp2471 +a(g413 +g1128 +tp2472 +a(g827 +g962 +tp2473 +a(g413 +g1128 +tp2474 +a(g827 +g962 +tp2475 +a(g413 +g978 +tp2476 +a(g827 +V\u000a +p2477 +tp2478 +a(g827 +V +p2479 +tp2480 +a(g745 +Vreturn +p2481 +tp2482 +a(g827 +g962 +tp2483 +a(g413 +g1599 +tp2484 +a(g37 +g1601 +tp2485 +a(g413 +g966 +tp2486 +a(g827 +V\u000a +p2487 +tp2488 +a(g827 +V +p2489 +tp2490 +a(g413 +g1093 +tp2491 +a(g827 +V\u000a +p2492 +tp2493 +a(g827 +V\u000a +p2494 +tp2495 +a(g827 +V +p2496 +tp2497 +a(g745 +Vreturn +p2498 +tp2499 +a(g827 +g962 +tp2500 +a(g745 +Vme +p2501 +tp2502 +a(g413 +g1033 +tp2503 +a(g667 +VreadType +p2504 +tp2505 +a(g413 +g1015 +tp2506 +a(g827 +g962 +tp2507 +a(g436 +Vtype +p2508 +tp2509 +a(g413 +g992 +tp2510 +a(g827 +g962 +tp2511 +a(g436 +Vbytes +p2512 +tp2513 +a(g827 +g962 +tp2514 +a(g413 +V); +p2515 +tp2516 +a(g827 +V\u000a +p2517 +tp2518 +a(g827 +g982 +tp2519 +a(g413 +g1093 +tp2520 +a(g827 +V\u000a +p2521 +tp2522 +a(g827 +g982 +tp2523 +a(g827 +V\u000a +p2524 +tp2525 +a(g827 +g982 +tp2526 +a(g810 +Vpublic +p2527 +tp2528 +a(g827 +g962 +tp2529 +a(g810 +Vmethod +p2530 +tp2531 +a(g827 +g962 +tp2532 +a(g436 +Vwrite +p2533 +tp2534 +a(g413 +g1015 +tp2535 +a(g827 +g962 +tp2536 +a(g436 +Vdata +p2537 +tp2538 +a(g827 +g962 +tp2539 +a(g413 +V){ +p2540 +tp2541 +a(g827 +V\u000a +p2542 +tp2543 +a(g827 +V +p2544 +tp2545 +a(g745 +Vreturn +p2546 +tp2547 +a(g827 +g962 +tp2548 +a(g682 +Vfwrite +p2549 +tp2550 +a(g413 +g1015 +tp2551 +a(g827 +g962 +tp2552 +a(g745 +Vme +p2553 +tp2554 +a(g413 +g1033 +tp2555 +a(g667 +Vfile +p2556 +tp2557 +a(g413 +g992 +tp2558 +a(g827 +g962 +tp2559 +a(g436 +Vdata +p2560 +tp2561 +a(g827 +g962 +tp2562 +a(g413 +V); +p2563 +tp2564 +a(g827 +V\u000a +p2565 +tp2566 +a(g827 +g982 +tp2567 +a(g413 +g1093 +tp2568 +a(g827 +V\u000a +p2569 +tp2570 +a(g827 +V\u000a +p2571 +tp2572 +a(g827 +g982 +tp2573 +a(g810 +Voperator +p2574 +tp2575 +a(g827 +g962 +tp2576 +a(g413 +V<< +p2577 +tp2578 +a(g827 +g962 +tp2579 +a(g413 +g1015 +tp2580 +a(g827 +g962 +tp2581 +a(g436 +Vobject +p2582 +tp2583 +a(g827 +g962 +tp2584 +a(g413 +V){ +p2585 +tp2586 +a(g827 +V\u000a +p2587 +tp2588 +a(g827 +V +p2589 +tp2590 +a(g745 +Vreturn +p2591 +tp2592 +a(g827 +g962 +tp2593 +a(g745 +Vme +p2594 +tp2595 +a(g413 +g1033 +tp2596 +a(g667 +Vwrite +p2597 +tp2598 +a(g413 +g1015 +tp2599 +a(g436 +Vobject +p2600 +tp2601 +a(g413 +V); +p2602 +tp2603 +a(g827 +V\u000a +p2604 +tp2605 +a(g827 +g982 +tp2606 +a(g413 +g1093 +tp2607 +a(g827 +V\u000a +p2608 +tp2609 +a(g827 +V\u000a +p2610 +tp2611 +a(g827 +g982 +tp2612 +a(g810 +Vpublic +p2613 +tp2614 +a(g827 +g962 +tp2615 +a(g810 +Vmethod +p2616 +tp2617 +a(g827 +g962 +tp2618 +a(g436 +Vwrite +p2619 +tp2620 +a(g827 +g962 +tp2621 +a(g413 +g1015 +tp2622 +a(g827 +g962 +tp2623 +a(g436 +Vdata +p2624 +tp2625 +a(g413 +g992 +tp2626 +a(g827 +g962 +tp2627 +a(g436 +Vbytes +p2628 +tp2629 +a(g827 +g962 +tp2630 +a(g413 +V){ +p2631 +tp2632 +a(g827 +V\u000a +p2633 +tp2634 +a(g827 +V +p2635 +tp2636 +a(g745 +Vreturn +p2637 +tp2638 +a(g827 +g962 +tp2639 +a(g682 +Vfwrite +p2640 +tp2641 +a(g413 +g1015 +tp2642 +a(g827 +g962 +tp2643 +a(g745 +Vme +p2644 +tp2645 +a(g413 +g1033 +tp2646 +a(g667 +Vfile +p2647 +tp2648 +a(g413 +g992 +tp2649 +a(g827 +g962 +tp2650 +a(g436 +Vdata +p2651 +tp2652 +a(g413 +g992 +tp2653 +a(g827 +g962 +tp2654 +a(g436 +Vbytes +p2655 +tp2656 +a(g413 +V); +p2657 +tp2658 +a(g827 +V\u000a +p2659 +tp2660 +a(g827 +g982 +tp2661 +a(g413 +g1093 +tp2662 +a(g827 +V\u000a +p2663 +tp2664 +a(g827 +g982 +tp2665 +a(g827 +V\u000a +p2666 +tp2667 +a(g827 +g982 +tp2668 +a(g810 +Vpublic +p2669 +tp2670 +a(g827 +g962 +tp2671 +a(g810 +Vmethod +p2672 +tp2673 +a(g827 +g962 +tp2674 +a(g436 +Vseek +p2675 +tp2676 +a(g413 +g1015 +tp2677 +a(g827 +g962 +tp2678 +a(g436 +Vpos +p2679 +tp2680 +a(g413 +g992 +tp2681 +a(g827 +g962 +tp2682 +a(g436 +Vmode +p2683 +tp2684 +a(g827 +g962 +tp2685 +a(g413 +V){ +p2686 +tp2687 +a(g827 +V\u000a +p2688 +tp2689 +a(g827 +V +p2690 +tp2691 +a(g745 +Vreturn +p2692 +tp2693 +a(g827 +g962 +tp2694 +a(g682 +Vfseek +p2695 +tp2696 +a(g413 +g1015 +tp2697 +a(g827 +g962 +tp2698 +a(g745 +Vme +p2699 +tp2700 +a(g413 +g1033 +tp2701 +a(g667 +Vfile +p2702 +tp2703 +a(g413 +g992 +tp2704 +a(g827 +g962 +tp2705 +a(g436 +Vpos +p2706 +tp2707 +a(g413 +g992 +tp2708 +a(g827 +g962 +tp2709 +a(g436 +Vmode +p2710 +tp2711 +a(g827 +g962 +tp2712 +a(g413 +V); +p2713 +tp2714 +a(g827 +V\u000a +p2715 +tp2716 +a(g827 +g982 +tp2717 +a(g413 +g1093 +tp2718 +a(g827 +V\u000a +p2719 +tp2720 +a(g827 +V\u000a +p2721 +tp2722 +a(g827 +g982 +tp2723 +a(g810 +Vpublic +p2724 +tp2725 +a(g827 +g962 +tp2726 +a(g810 +Vmethod +p2727 +tp2728 +a(g827 +g962 +tp2729 +a(g436 +Vmerge +p2730 +tp2731 +a(g827 +g962 +tp2732 +a(g413 +g1015 +tp2733 +a(g827 +g962 +tp2734 +a(g436 +VfileName +p2735 +tp2736 +a(g827 +g962 +tp2737 +a(g413 +V){ +p2738 +tp2739 +a(g827 +V\u000a +p2740 +tp2741 +a(g827 +V +p2742 +tp2743 +a(g436 +Vtext +p2744 +tp2745 +a(g827 +g962 +tp2746 +a(g413 +g1038 +tp2747 +a(g827 +g962 +tp2748 +a(g682 +Vfile +p2749 +tp2750 +a(g827 +g962 +tp2751 +a(g413 +g1015 +tp2752 +a(g827 +g962 +tp2753 +a(g436 +VfileName +p2754 +tp2755 +a(g827 +g962 +tp2756 +a(g413 +V); +p2757 +tp2758 +a(g827 +V\u000a +p2759 +tp2760 +a(g827 +V +p2761 +tp2762 +a(g745 +Vreturn +p2763 +tp2764 +a(g827 +g962 +tp2765 +a(g745 +Vme +p2766 +tp2767 +a(g413 +g1033 +tp2768 +a(g667 +Vwrite +p2769 +tp2770 +a(g827 +g962 +tp2771 +a(g413 +g1015 +tp2772 +a(g827 +g962 +tp2773 +a(g745 +Vme +p2774 +tp2775 +a(g413 +g1033 +tp2776 +a(g667 +Vfile +p2777 +tp2778 +a(g413 +g992 +tp2779 +a(g827 +g962 +tp2780 +a(g436 +Vtext +p2781 +tp2782 +a(g827 +g962 +tp2783 +a(g413 +V); +p2784 +tp2785 +a(g827 +V\u000a +p2786 +tp2787 +a(g827 +g982 +tp2788 +a(g413 +g1093 +tp2789 +a(g827 +V\u000a +p2790 +tp2791 +a(g413 +g1093 +tp2792 +a(g827 +V\u000a +p2793 +tp2794 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/idl_sample.pro b/tests/examplefiles/output/idl_sample.pro new file mode 100644 index 0000000..fa62ff7 --- /dev/null +++ b/tests/examplefiles/output/idl_sample.pro @@ -0,0 +1,4087 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV; docformat = 'rst'\u000a +p956 +tp957 +a(g8 +V\u000a; Example IDL (Interactive Data Language) source code.\u000a +p958 +tp959 +a(g8 +V\u000a;+\u000a +p960 +tp961 +a(g8 +V; Get `nIndices` random indices for an array of size `nValues` (without \u000a +p962 +tp963 +a(g8 +V; repeating an index).\u000a +p964 +tp965 +a(g8 +V;\u000a +p966 +tp967 +a(g8 +V; :Examples:\u000a +p968 +tp969 +a(g8 +V; Try::\u000a +p970 +tp971 +a(g8 +V;\u000a +p972 +tp973 +a(g8 +V; IDL> r = randomu(seed, 10)\u000a +p974 +tp975 +a(g8 +V; IDL> print, r, format='(4F)'\u000a +p976 +tp977 +a(g8 +V; 0.6297589 0.7815896 0.2508559 0.7546844\u000a +p978 +tp979 +a(g8 +V; 0.1353382 0.1245834 0.8733745 0.0753110\u000a +p980 +tp981 +a(g8 +V; 0.8054136 0.9513228\u000a +p982 +tp983 +a(g8 +V; IDL> ind = mg_sample(10, 3, seed=seed)\u000a +p984 +tp985 +a(g8 +V; IDL> print, ind\u000a +p986 +tp987 +a(g8 +V; 2 4 7\u000a +p988 +tp989 +a(g8 +V; IDL> print, r[ind]\u000a +p990 +tp991 +a(g8 +V; 0.250856 0.135338 0.0753110\u000a +p992 +tp993 +a(g8 +V;\u000a +p994 +tp995 +a(g8 +V; :Returns: \u000a +p996 +tp997 +a(g8 +V; lonarr(`nIndices`)\u000a +p998 +tp999 +a(g8 +V;\u000a +p1000 +tp1001 +a(g8 +V; :Params:\u000a +p1002 +tp1003 +a(g8 +V; nValues : in, required, type=long\u000a +p1004 +tp1005 +a(g8 +V; size of array to choose indices from\u000a +p1006 +tp1007 +a(g8 +V; nIndices : in, required, type=long\u000a +p1008 +tp1009 +a(g8 +V; number of indices needed\u000a +p1010 +tp1011 +a(g8 +V;\u000a +p1012 +tp1013 +a(g8 +V; :Keywords:\u000a +p1014 +tp1015 +a(g8 +V; seed : in, out, optional, type=integer or lonarr(36)\u000a +p1016 +tp1017 +a(g8 +V; seed to use for random number generation, leave undefined to use a \u000a +p1018 +tp1019 +a(g8 +V; seed generated from the system clock; new seed will be output\u000a +p1020 +tp1021 +a(g8 +V;-\u000a +p1022 +tp1023 +a(g744 +Vfunction +p1024 +tp1025 +a(g826 +V +p1026 +tp1027 +a(g826 +Vm +p1028 +tp1029 +a(g826 +Vg +p1030 +tp1031 +a(g826 +V_ +p1032 +tp1033 +a(g826 +Vs +p1034 +tp1035 +a(g826 +Va +p1036 +tp1037 +a(g826 +g1028 +tp1038 +a(g826 +Vp +p1039 +tp1040 +a(g826 +Vl +p1041 +tp1042 +a(g826 +Ve +p1043 +tp1044 +a(g826 +V, +p1045 +tp1046 +a(g826 +g1026 +tp1047 +a(g826 +Vn +p1048 +tp1049 +a(g826 +VV +p1050 +tp1051 +a(g826 +g1036 +tp1052 +a(g826 +g1041 +tp1053 +a(g826 +Vu +p1054 +tp1055 +a(g826 +g1043 +tp1056 +a(g826 +g1034 +tp1057 +a(g826 +g1045 +tp1058 +a(g826 +g1026 +tp1059 +a(g826 +g1048 +tp1060 +a(g826 +VI +p1061 +tp1062 +a(g826 +g1048 +tp1063 +a(g826 +Vd +p1064 +tp1065 +a(g826 +Vi +p1066 +tp1067 +a(g826 +Vc +p1068 +tp1069 +a(g826 +g1043 +tp1070 +a(g826 +g1034 +tp1071 +a(g826 +g1045 +tp1072 +a(g826 +g1026 +tp1073 +a(g826 +g1034 +tp1074 +a(g826 +g1043 +tp1075 +a(g826 +g1043 +tp1076 +a(g826 +g1064 +tp1077 +a(g412 +V= +p1078 +tp1079 +a(g826 +g1034 +tp1080 +a(g826 +g1043 +tp1081 +a(g826 +g1043 +tp1082 +a(g826 +g1064 +tp1083 +a(g826 +V\u000a +p1084 +tp1085 +a(g826 +g1026 +tp1086 +a(g826 +g1026 +tp1087 +a(g744 +Vcompile_opt +p1088 +tp1089 +a(g826 +g1026 +tp1090 +a(g826 +g1034 +tp1091 +a(g826 +Vt +p1092 +tp1093 +a(g826 +Vr +p1094 +tp1095 +a(g826 +g1066 +tp1096 +a(g826 +g1068 +tp1097 +a(g826 +g1092 +tp1098 +a(g826 +g1036 +tp1099 +a(g826 +g1094 +tp1100 +a(g826 +g1094 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g8 +V \u000a ; get random nIndices by finding the indices of the smallest nIndices in a \u000a +p1104 +tp1105 +a(g8 +V ; array of random values\u000a +p1106 +tp1107 +a(g826 +g1026 +tp1108 +a(g826 +g1026 +tp1109 +a(g826 +Vv +p1110 +tp1111 +a(g826 +g1036 +tp1112 +a(g826 +g1041 +tp1113 +a(g826 +g1054 +tp1114 +a(g826 +g1043 +tp1115 +a(g826 +g1034 +tp1116 +a(g826 +g1026 +tp1117 +a(g412 +g1078 +tp1118 +a(g826 +g1026 +tp1119 +a(g681 +Vrandomu +p1120 +tp1121 +a(g826 +V( +p1122 +tp1123 +a(g826 +g1034 +tp1124 +a(g826 +g1043 +tp1125 +a(g826 +g1043 +tp1126 +a(g826 +g1064 +tp1127 +a(g826 +g1045 +tp1128 +a(g826 +g1026 +tp1129 +a(g826 +g1048 +tp1130 +a(g826 +g1050 +tp1131 +a(g826 +g1036 +tp1132 +a(g826 +g1041 +tp1133 +a(g826 +g1054 +tp1134 +a(g826 +g1043 +tp1135 +a(g826 +g1034 +tp1136 +a(g826 +V) +p1137 +tp1138 +a(g826 +V\u000a +p1139 +tp1140 +a(g8 +V \u000a ; our random values are uniformly distributed, so ideally the nIndices \u000a +p1141 +tp1142 +a(g8 +V ; smallest values are in the first bin of the below histogram\u000a +p1143 +tp1144 +a(g826 +g1026 +tp1145 +a(g826 +g1026 +tp1146 +a(g826 +g1048 +tp1147 +a(g826 +VB +p1148 +tp1149 +a(g826 +g1066 +tp1150 +a(g826 +g1048 +tp1151 +a(g826 +g1034 +tp1152 +a(g826 +g1026 +tp1153 +a(g412 +g1078 +tp1154 +a(g826 +g1026 +tp1155 +a(g826 +g1048 +tp1156 +a(g826 +g1050 +tp1157 +a(g826 +g1036 +tp1158 +a(g826 +g1041 +tp1159 +a(g826 +g1054 +tp1160 +a(g826 +g1043 +tp1161 +a(g826 +g1034 +tp1162 +a(g826 +g1026 +tp1163 +a(g412 +V/ +p1164 +tp1165 +a(g826 +g1026 +tp1166 +a(g826 +g1048 +tp1167 +a(g826 +g1061 +tp1168 +a(g826 +g1048 +tp1169 +a(g826 +g1064 +tp1170 +a(g826 +g1066 +tp1171 +a(g826 +g1068 +tp1172 +a(g826 +g1043 +tp1173 +a(g826 +g1034 +tp1174 +a(g826 +V\u000a +p1175 +tp1176 +a(g826 +g1026 +tp1177 +a(g826 +g1026 +tp1178 +a(g826 +Vh +p1179 +tp1180 +a(g826 +g1026 +tp1181 +a(g412 +g1078 +tp1182 +a(g826 +g1026 +tp1183 +a(g681 +Vhistogram +p1184 +tp1185 +a(g826 +g1122 +tp1186 +a(g826 +g1110 +tp1187 +a(g826 +g1036 +tp1188 +a(g826 +g1041 +tp1189 +a(g826 +g1054 +tp1190 +a(g826 +g1043 +tp1191 +a(g826 +g1034 +tp1192 +a(g826 +g1045 +tp1193 +a(g826 +g1026 +tp1194 +a(g826 +g1048 +tp1195 +a(g826 +Vb +p1196 +tp1197 +a(g826 +g1066 +tp1198 +a(g826 +g1048 +tp1199 +a(g826 +g1034 +tp1200 +a(g412 +g1078 +tp1201 +a(g826 +g1048 +tp1202 +a(g826 +g1148 +tp1203 +a(g826 +g1066 +tp1204 +a(g826 +g1048 +tp1205 +a(g826 +g1034 +tp1206 +a(g826 +g1045 +tp1207 +a(g826 +g1026 +tp1208 +a(g826 +g1094 +tp1209 +a(g826 +g1043 +tp1210 +a(g826 +g1110 +tp1211 +a(g826 +g1043 +tp1212 +a(g826 +g1094 +tp1213 +a(g826 +g1034 +tp1214 +a(g826 +g1043 +tp1215 +a(g826 +g1032 +tp1216 +a(g826 +g1066 +tp1217 +a(g826 +g1048 +tp1218 +a(g826 +g1064 +tp1219 +a(g826 +g1066 +tp1220 +a(g826 +g1068 +tp1221 +a(g826 +g1043 +tp1222 +a(g826 +g1034 +tp1223 +a(g412 +g1078 +tp1224 +a(g826 +g1094 +tp1225 +a(g826 +g1066 +tp1226 +a(g826 +g1137 +tp1227 +a(g826 +V\u000a +p1228 +tp1229 +a(g8 +V\u000a ; the candidates for being in the first nIndices will live in bins 0..bin\u000a +p1230 +tp1231 +a(g826 +g1026 +tp1232 +a(g826 +g1026 +tp1233 +a(g826 +g1048 +tp1234 +a(g826 +VC +p1235 +tp1236 +a(g826 +g1036 +tp1237 +a(g826 +g1048 +tp1238 +a(g826 +g1064 +tp1239 +a(g826 +g1066 +tp1240 +a(g826 +g1064 +tp1241 +a(g826 +g1036 +tp1242 +a(g826 +g1092 +tp1243 +a(g826 +g1043 +tp1244 +a(g826 +g1034 +tp1245 +a(g826 +g1026 +tp1246 +a(g412 +g1078 +tp1247 +a(g826 +g1026 +tp1248 +a(g42 +V0L +p1249 +tp1250 +a(g826 +V\u000a +p1251 +tp1252 +a(g826 +g1026 +tp1253 +a(g826 +g1026 +tp1254 +a(g744 +Vfor +p1255 +tp1256 +a(g826 +g1026 +tp1257 +a(g826 +g1196 +tp1258 +a(g826 +g1066 +tp1259 +a(g826 +g1048 +tp1260 +a(g826 +g1026 +tp1261 +a(g412 +g1078 +tp1262 +a(g826 +g1026 +tp1263 +a(g42 +V0L +p1264 +tp1265 +a(g826 +g1045 +tp1266 +a(g826 +g1026 +tp1267 +a(g826 +g1048 +tp1268 +a(g826 +g1148 +tp1269 +a(g826 +g1066 +tp1270 +a(g826 +g1048 +tp1271 +a(g826 +g1034 +tp1272 +a(g826 +g1026 +tp1273 +a(g412 +V- +p1274 +tp1275 +a(g826 +g1026 +tp1276 +a(g42 +V1L +p1277 +tp1278 +a(g826 +g1026 +tp1279 +a(g744 +Vdo +p1280 +tp1281 +a(g826 +g1026 +tp1282 +a(g744 +Vbegin +p1283 +tp1284 +a(g826 +V\u000a +p1285 +tp1286 +a(g826 +g1026 +tp1287 +a(g826 +g1026 +tp1288 +a(g826 +g1026 +tp1289 +a(g826 +g1026 +tp1290 +a(g826 +g1048 +tp1291 +a(g826 +g1235 +tp1292 +a(g826 +g1036 +tp1293 +a(g826 +g1048 +tp1294 +a(g826 +g1064 +tp1295 +a(g826 +g1066 +tp1296 +a(g826 +g1064 +tp1297 +a(g826 +g1036 +tp1298 +a(g826 +g1092 +tp1299 +a(g826 +g1043 +tp1300 +a(g826 +g1034 +tp1301 +a(g826 +g1026 +tp1302 +a(g412 +V+= +p1303 +tp1304 +a(g826 +g1026 +tp1305 +a(g826 +g1179 +tp1306 +a(g826 +V[ +p1307 +tp1308 +a(g826 +g1196 +tp1309 +a(g826 +g1066 +tp1310 +a(g826 +g1048 +tp1311 +a(g826 +V] +p1312 +tp1313 +a(g826 +V\u000a +p1314 +tp1315 +a(g826 +g1026 +tp1316 +a(g826 +g1026 +tp1317 +a(g826 +g1026 +tp1318 +a(g826 +g1026 +tp1319 +a(g744 +Vif +p1320 +tp1321 +a(g826 +g1026 +tp1322 +a(g826 +g1122 +tp1323 +a(g826 +g1048 +tp1324 +a(g826 +g1235 +tp1325 +a(g826 +g1036 +tp1326 +a(g826 +g1048 +tp1327 +a(g826 +g1064 +tp1328 +a(g826 +g1066 +tp1329 +a(g826 +g1064 +tp1330 +a(g826 +g1036 +tp1331 +a(g826 +g1092 +tp1332 +a(g826 +g1043 +tp1333 +a(g826 +g1034 +tp1334 +a(g826 +g1026 +tp1335 +a(g744 +Vge +p1336 +tp1337 +a(g826 +g1026 +tp1338 +a(g826 +g1048 +tp1339 +a(g826 +g1061 +tp1340 +a(g826 +g1048 +tp1341 +a(g826 +g1064 +tp1342 +a(g826 +g1066 +tp1343 +a(g826 +g1068 +tp1344 +a(g826 +g1043 +tp1345 +a(g826 +g1034 +tp1346 +a(g826 +g1137 +tp1347 +a(g826 +g1026 +tp1348 +a(g744 +Vthen +p1349 +tp1350 +a(g826 +g1026 +tp1351 +a(g744 +Vbreak +p1352 +tp1353 +a(g826 +g1026 +tp1354 +a(g826 +g1026 +tp1355 +a(g826 +g1026 +tp1356 +a(g826 +g1026 +tp1357 +a(g826 +V\u000a +p1358 +tp1359 +a(g826 +g1026 +tp1360 +a(g826 +g1026 +tp1361 +a(g744 +Vendfor +p1362 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g8 +V\u000a ; get the candidates and sort them\u000a +p1366 +tp1367 +a(g826 +g1026 +tp1368 +a(g826 +g1026 +tp1369 +a(g826 +g1068 +tp1370 +a(g826 +g1036 +tp1371 +a(g826 +g1048 +tp1372 +a(g826 +g1064 +tp1373 +a(g826 +g1066 +tp1374 +a(g826 +g1064 +tp1375 +a(g826 +g1036 +tp1376 +a(g826 +g1092 +tp1377 +a(g826 +g1043 +tp1378 +a(g826 +g1034 +tp1379 +a(g826 +g1026 +tp1380 +a(g412 +g1078 +tp1381 +a(g826 +g1026 +tp1382 +a(g826 +g1094 +tp1383 +a(g826 +g1066 +tp1384 +a(g826 +g1307 +tp1385 +a(g826 +g1094 +tp1386 +a(g826 +g1066 +tp1387 +a(g826 +g1307 +tp1388 +a(g37 +V0 +p1389 +tp1390 +a(g826 +g1312 +tp1391 +a(g826 +g1026 +tp1392 +a(g412 +V: +p1393 +tp1394 +a(g826 +g1026 +tp1395 +a(g826 +g1094 +tp1396 +a(g826 +g1066 +tp1397 +a(g826 +g1307 +tp1398 +a(g826 +g1196 +tp1399 +a(g826 +g1066 +tp1400 +a(g826 +g1048 +tp1401 +a(g826 +g1026 +tp1402 +a(g412 +V+ +p1403 +tp1404 +a(g826 +g1026 +tp1405 +a(g42 +V1L +p1406 +tp1407 +a(g826 +g1312 +tp1408 +a(g826 +g1026 +tp1409 +a(g412 +g1274 +tp1410 +a(g826 +g1026 +tp1411 +a(g42 +V1L +p1412 +tp1413 +a(g826 +g1312 +tp1414 +a(g826 +V\u000a +p1415 +tp1416 +a(g826 +g1026 +tp1417 +a(g826 +g1026 +tp1418 +a(g826 +g1034 +tp1419 +a(g826 +Vo +p1420 +tp1421 +a(g826 +g1094 +tp1422 +a(g826 +g1092 +tp1423 +a(g826 +g1043 +tp1424 +a(g826 +g1064 +tp1425 +a(g826 +g1235 +tp1426 +a(g826 +g1036 +tp1427 +a(g826 +g1048 +tp1428 +a(g826 +g1064 +tp1429 +a(g826 +g1066 +tp1430 +a(g826 +g1064 +tp1431 +a(g826 +g1036 +tp1432 +a(g826 +g1092 +tp1433 +a(g826 +g1043 +tp1434 +a(g826 +g1034 +tp1435 +a(g826 +g1026 +tp1436 +a(g412 +g1078 +tp1437 +a(g826 +g1026 +tp1438 +a(g681 +Vsort +p1439 +tp1440 +a(g826 +g1122 +tp1441 +a(g826 +g1110 +tp1442 +a(g826 +g1036 +tp1443 +a(g826 +g1041 +tp1444 +a(g826 +g1054 +tp1445 +a(g826 +g1043 +tp1446 +a(g826 +g1034 +tp1447 +a(g826 +g1307 +tp1448 +a(g826 +g1068 +tp1449 +a(g826 +g1036 +tp1450 +a(g826 +g1048 +tp1451 +a(g826 +g1064 +tp1452 +a(g826 +g1066 +tp1453 +a(g826 +g1064 +tp1454 +a(g826 +g1036 +tp1455 +a(g826 +g1092 +tp1456 +a(g826 +g1043 +tp1457 +a(g826 +g1034 +tp1458 +a(g826 +g1312 +tp1459 +a(g826 +g1137 +tp1460 +a(g826 +V\u000a +p1461 +tp1462 +a(g8 +V\u000a ; return the first nIndices of them\u000a +p1463 +tp1464 +a(g826 +g1026 +tp1465 +a(g826 +g1026 +tp1466 +a(g681 +Vreturn +p1467 +tp1468 +a(g826 +g1045 +tp1469 +a(g826 +g1026 +tp1470 +a(g826 +g1122 +tp1471 +a(g826 +g1068 +tp1472 +a(g826 +g1036 +tp1473 +a(g826 +g1048 +tp1474 +a(g826 +g1064 +tp1475 +a(g826 +g1066 +tp1476 +a(g826 +g1064 +tp1477 +a(g826 +g1036 +tp1478 +a(g826 +g1092 +tp1479 +a(g826 +g1043 +tp1480 +a(g826 +g1034 +tp1481 +a(g826 +g1307 +tp1482 +a(g826 +g1034 +tp1483 +a(g826 +g1420 +tp1484 +a(g826 +g1094 +tp1485 +a(g826 +g1092 +tp1486 +a(g826 +g1043 +tp1487 +a(g826 +g1064 +tp1488 +a(g826 +g1235 +tp1489 +a(g826 +g1036 +tp1490 +a(g826 +g1048 +tp1491 +a(g826 +g1064 +tp1492 +a(g826 +g1066 +tp1493 +a(g826 +g1064 +tp1494 +a(g826 +g1036 +tp1495 +a(g826 +g1092 +tp1496 +a(g826 +g1043 +tp1497 +a(g826 +g1034 +tp1498 +a(g826 +g1312 +tp1499 +a(g826 +g1137 +tp1500 +a(g826 +g1307 +tp1501 +a(g37 +g1389 +tp1502 +a(g412 +g1393 +tp1503 +a(g826 +g1048 +tp1504 +a(g826 +g1061 +tp1505 +a(g826 +g1048 +tp1506 +a(g826 +g1064 +tp1507 +a(g826 +g1066 +tp1508 +a(g826 +g1068 +tp1509 +a(g826 +g1043 +tp1510 +a(g826 +g1034 +tp1511 +a(g412 +g1274 +tp1512 +a(g42 +V1L +p1513 +tp1514 +a(g826 +g1312 +tp1515 +a(g826 +V\u000a +p1516 +tp1517 +a(g744 +Vend +p1518 +tp1519 +a(g826 +V\u000a +p1520 +tp1521 +a(g8 +V\u000a\u000a; main-level example program\u000a +p1522 +tp1523 +a(g826 +V\u000a +p1524 +tp1525 +a(g826 +g1094 +tp1526 +a(g826 +g1026 +tp1527 +a(g412 +g1078 +tp1528 +a(g826 +g1026 +tp1529 +a(g681 +Vrandomu +p1530 +tp1531 +a(g826 +g1122 +tp1532 +a(g826 +g1034 +tp1533 +a(g826 +g1043 +tp1534 +a(g826 +g1043 +tp1535 +a(g826 +g1064 +tp1536 +a(g826 +g1045 +tp1537 +a(g826 +g1026 +tp1538 +a(g37 +V10 +p1539 +tp1540 +a(g826 +g1137 +tp1541 +a(g826 +g1026 +tp1542 +a(g826 +g1026 +tp1543 +a(g826 +g1026 +tp1544 +a(g826 +g1026 +tp1545 +a(g826 +g1026 +tp1546 +a(g826 +g1026 +tp1547 +a(g826 +g1026 +tp1548 +a(g826 +g1026 +tp1549 +a(g826 +g1026 +tp1550 +a(g826 +g1026 +tp1551 +a(g826 +g1026 +tp1552 +a(g826 +g1026 +tp1553 +a(g826 +V\u000a +p1554 +tp1555 +a(g681 +Vprint +p1556 +tp1557 +a(g826 +g1045 +tp1558 +a(g826 +g1026 +tp1559 +a(g826 +g1094 +tp1560 +a(g826 +V\u000a +p1561 +tp1562 +a(g826 +g1066 +tp1563 +a(g826 +g1048 +tp1564 +a(g826 +g1064 +tp1565 +a(g826 +g1026 +tp1566 +a(g412 +g1078 +tp1567 +a(g826 +g1026 +tp1568 +a(g826 +g1028 +tp1569 +a(g826 +g1030 +tp1570 +a(g826 +g1032 +tp1571 +a(g826 +g1034 +tp1572 +a(g826 +g1036 +tp1573 +a(g826 +g1028 +tp1574 +a(g826 +g1039 +tp1575 +a(g826 +g1041 +tp1576 +a(g826 +g1043 +tp1577 +a(g826 +g1122 +tp1578 +a(g37 +V10 +p1579 +tp1580 +a(g826 +g1045 +tp1581 +a(g826 +g1026 +tp1582 +a(g37 +V3 +p1583 +tp1584 +a(g826 +g1045 +tp1585 +a(g826 +g1026 +tp1586 +a(g826 +g1034 +tp1587 +a(g826 +g1043 +tp1588 +a(g826 +g1043 +tp1589 +a(g826 +g1064 +tp1590 +a(g412 +g1078 +tp1591 +a(g826 +g1034 +tp1592 +a(g826 +g1043 +tp1593 +a(g826 +g1043 +tp1594 +a(g826 +g1064 +tp1595 +a(g826 +g1137 +tp1596 +a(g826 +V\u000a +p1597 +tp1598 +a(g681 +Vprint +p1599 +tp1600 +a(g826 +g1045 +tp1601 +a(g826 +g1026 +tp1602 +a(g826 +g1066 +tp1603 +a(g826 +g1048 +tp1604 +a(g826 +g1064 +tp1605 +a(g826 +V\u000a +p1606 +tp1607 +a(g681 +Vprint +p1608 +tp1609 +a(g826 +g1045 +tp1610 +a(g826 +g1026 +tp1611 +a(g826 +g1094 +tp1612 +a(g826 +g1307 +tp1613 +a(g826 +g1066 +tp1614 +a(g826 +g1048 +tp1615 +a(g826 +g1064 +tp1616 +a(g826 +g1312 +tp1617 +a(g826 +V\u000a +p1618 +tp1619 +a(g826 +V\u000a +p1620 +tp1621 +a(g744 +Vend +p1622 +tp1623 +a(g826 +V\u000a +p1624 +tp1625 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/iex_example b/tests/examplefiles/output/iex_example new file mode 100644 index 0000000..9c1290f --- /dev/null +++ b/tests/examplefiles/output/iex_example @@ -0,0 +1,2719 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Literal' +p4 +S'String' +p5 +S'Symbol' +p6 +tp7 +tp8 +Rp9 +(dp10 +S'parent' +p11 +g1 +(g2 +g3 +(g4 +g5 +tp12 +tp13 +Rp14 +(dp15 +S'Char' +p16 +g1 +(g2 +g3 +(g4 +g5 +g16 +tp17 +tp18 +Rp19 +(dp20 +g11 +g14 +sS'subtypes' +p21 +c__builtin__ +set +p22 +((lp23 +tp24 +Rp25 +sbsS'Backtick' +p26 +g1 +(g2 +g3 +(g4 +g5 +g26 +tp27 +tp28 +Rp29 +(dp30 +g11 +g14 +sg21 +g22 +((lp31 +tp32 +Rp33 +sbsS'Heredoc' +p34 +g1 +(g2 +g3 +(g4 +g5 +g34 +tp35 +tp36 +Rp37 +(dp38 +g11 +g14 +sg21 +g22 +((lp39 +tp40 +Rp41 +sbsg6 +g9 +sS'Interpol' +p42 +g1 +(g2 +g3 +(g4 +g5 +g42 +tp43 +tp44 +Rp45 +(dp46 +g11 +g14 +sg21 +g22 +((lp47 +tp48 +Rp49 +sbsS'Delimiter' +p50 +g1 +(g2 +g3 +(g4 +g5 +g50 +tp51 +tp52 +Rp53 +(dp54 +g11 +g14 +sg21 +g22 +((lp55 +tp56 +Rp57 +sbsS'Boolean' +p58 +g1 +(g2 +g3 +(g4 +g5 +g58 +tp59 +tp60 +Rp61 +(dp62 +g11 +g14 +sg21 +g22 +((lp63 +tp64 +Rp65 +sbsS'Character' +p66 +g1 +(g2 +g3 +(g4 +g5 +g66 +tp67 +tp68 +Rp69 +(dp70 +g11 +g14 +sg21 +g22 +((lp71 +tp72 +Rp73 +sbsS'Double' +p74 +g1 +(g2 +g3 +(g4 +g5 +g74 +tp75 +tp76 +Rp77 +(dp78 +g11 +g14 +sg21 +g22 +((lp79 +tp80 +Rp81 +sbsS'Delimeter' +p82 +g1 +(g2 +g3 +(g4 +g5 +g82 +tp83 +tp84 +Rp85 +(dp86 +g11 +g14 +sg21 +g22 +((lp87 +tp88 +Rp89 +sbsS'Atom' +p90 +g1 +(g2 +g3 +(g4 +g5 +g90 +tp91 +tp92 +Rp93 +(dp94 +g11 +g14 +sg21 +g22 +((lp95 +tp96 +Rp97 +sbsS'Affix' +p98 +g1 +(g2 +g3 +(g4 +g5 +g98 +tp99 +tp100 +Rp101 +(dp102 +g11 +g14 +sg21 +g22 +((lp103 +tp104 +Rp105 +sbsS'Name' +p106 +g1 +(g2 +g3 +(g4 +g5 +g106 +tp107 +tp108 +Rp109 +(dp110 +g11 +g14 +sg21 +g22 +((lp111 +tp112 +Rp113 +sbsS'Regex' +p114 +g1 +(g2 +g3 +(g4 +g5 +g114 +tp115 +tp116 +Rp117 +(dp118 +g11 +g14 +sg21 +g22 +((lp119 +tp120 +Rp121 +sbsS'Interp' +p122 +g1 +(g2 +g3 +(g4 +g5 +g122 +tp123 +tp124 +Rp125 +(dp126 +g11 +g14 +sg21 +g22 +((lp127 +tp128 +Rp129 +sbsS'Escape' +p130 +g1 +(g2 +g3 +(g4 +g5 +g130 +tp131 +tp132 +Rp133 +(dp134 +g11 +g14 +sg21 +g22 +((lp135 +tp136 +Rp137 +sbsg21 +g22 +((lp138 +g53 +ag9 +ag117 +ag1 +(g2 +g3 +(g4 +g5 +S'Doc' +p139 +tp140 +tp141 +Rp142 +(dp143 +g11 +g14 +sg21 +g22 +((lp144 +tp145 +Rp146 +sbag69 +ag61 +ag77 +ag45 +ag93 +ag85 +ag109 +ag133 +ag1 +(g2 +g3 +(g4 +g5 +S'Single' +p147 +tp148 +tp149 +Rp150 +(dp151 +g11 +g14 +sg21 +g22 +((lp152 +tp153 +Rp154 +sbag1 +(g2 +g3 +(g4 +g5 +S'Other' +p155 +tp156 +tp157 +Rp158 +(dp159 +g11 +g14 +sg21 +g22 +((lp160 +tp161 +Rp162 +sbag125 +ag29 +ag101 +ag1 +(g2 +g3 +(g4 +g5 +S'Moment' +p163 +tp164 +tp165 +Rp166 +(dp167 +g11 +g14 +sg21 +g22 +((lp168 +tp169 +Rp170 +sbag19 +ag37 +atp171 +Rp172 +sg147 +g150 +sg163 +g166 +sg11 +g1 +(g2 +g3 +(g4 +tp173 +tp174 +Rp175 +(dp176 +S'Scalar' +p177 +g1 +(g2 +g3 +(g4 +g177 +tp178 +tp179 +Rp180 +(dp181 +g11 +g175 +sg21 +g22 +((lp182 +g1 +(g2 +g3 +(g4 +g177 +S'Plain' +p183 +tp184 +tp185 +Rp186 +(dp187 +g11 +g180 +sg21 +g22 +((lp188 +tp189 +Rp190 +sbatp191 +Rp192 +sg183 +g186 +sbsS'Number' +p193 +g1 +(g2 +g3 +(g4 +g193 +tp194 +tp195 +Rp196 +(dp197 +S'Integer' +p198 +g1 +(g2 +g3 +(g4 +g193 +g198 +tp199 +tp200 +Rp201 +(dp202 +g11 +g196 +sS'Long' +p203 +g1 +(g2 +g3 +(g4 +g193 +g198 +g203 +tp204 +tp205 +Rp206 +(dp207 +g11 +g201 +sg21 +g22 +((lp208 +tp209 +Rp210 +sbsg21 +g22 +((lp211 +g206 +atp212 +Rp213 +sbsg11 +g175 +sS'Bin' +p214 +g1 +(g2 +g3 +(g4 +g193 +g214 +tp215 +tp216 +Rp217 +(dp218 +g11 +g196 +sg21 +g22 +((lp219 +tp220 +Rp221 +sbsS'Radix' +p222 +g1 +(g2 +g3 +(g4 +g193 +g222 +tp223 +tp224 +Rp225 +(dp226 +g11 +g196 +sg21 +g22 +((lp227 +tp228 +Rp229 +sbsS'Oct' +p230 +g1 +(g2 +g3 +(g4 +g193 +g230 +tp231 +tp232 +Rp233 +(dp234 +g11 +g196 +sg21 +g22 +((lp235 +tp236 +Rp237 +sbsS'Dec' +p238 +g1 +(g2 +g3 +(g4 +g193 +g238 +tp239 +tp240 +Rp241 +(dp242 +g11 +g196 +sg21 +g22 +((lp243 +tp244 +Rp245 +sbsS'Hex' +p246 +g1 +(g2 +g3 +(g4 +g193 +g246 +tp247 +tp248 +Rp249 +(dp250 +g11 +g196 +sg21 +g22 +((lp251 +tp252 +Rp253 +sbsg21 +g22 +((lp254 +g201 +ag225 +ag241 +ag1 +(g2 +g3 +(g4 +g193 +S'Decimal' +p255 +tp256 +tp257 +Rp258 +(dp259 +g11 +g196 +sg21 +g22 +((lp260 +tp261 +Rp262 +sbag217 +ag1 +(g2 +g3 +(g4 +g193 +S'Float' +p263 +tp264 +tp265 +Rp266 +(dp267 +g11 +g196 +sg21 +g22 +((lp268 +tp269 +Rp270 +sbag233 +ag249 +atp271 +Rp272 +sg255 +g258 +sg263 +g266 +sbsg11 +g1 +(g2 +g3 +(ttp273 +Rp274 +(dp275 +g193 +g196 +sS'Generic' +p276 +g1 +(g2 +g3 +(g276 +tp277 +tp278 +Rp279 +(dp280 +g11 +g274 +sS'Deleted' +p281 +g1 +(g2 +g3 +(g276 +g281 +tp282 +tp283 +Rp284 +(dp285 +g11 +g279 +sg21 +g22 +((lp286 +tp287 +Rp288 +sbsS'Subheading' +p289 +g1 +(g2 +g3 +(g276 +g289 +tp290 +tp291 +Rp292 +(dp293 +g11 +g279 +sg21 +g22 +((lp294 +tp295 +Rp296 +sbsS'Heading' +p297 +g1 +(g2 +g3 +(g276 +g297 +tp298 +tp299 +Rp300 +(dp301 +g11 +g279 +sg21 +g22 +((lp302 +tp303 +Rp304 +sbsS'Emph' +p305 +g1 +(g2 +g3 +(g276 +g305 +tp306 +tp307 +Rp308 +(dp309 +g11 +g279 +sg21 +g22 +((lp310 +tp311 +Rp312 +sbsS'Prompt' +p313 +g1 +(g2 +g3 +(g276 +g313 +tp314 +tp315 +Rp316 +(dp317 +g11 +g279 +sg21 +g22 +((lp318 +tp319 +Rp320 +sbsS'Inserted' +p321 +g1 +(g2 +g3 +(g276 +g321 +tp322 +tp323 +Rp324 +(dp325 +g11 +g279 +sg21 +g22 +((lp326 +tp327 +Rp328 +sbsS'Strong' +p329 +g1 +(g2 +g3 +(g276 +g329 +tp330 +tp331 +Rp332 +(dp333 +g11 +g279 +sg21 +g22 +((lp334 +tp335 +Rp336 +sbsS'Error' +p337 +g1 +(g2 +g3 +(g276 +g337 +tp338 +tp339 +Rp340 +(dp341 +g11 +g279 +sg21 +g22 +((lp342 +tp343 +Rp344 +sbsS'Traceback' +p345 +g1 +(g2 +g3 +(g276 +g345 +tp346 +tp347 +Rp348 +(dp349 +g11 +g279 +sg21 +g22 +((lp350 +tp351 +Rp352 +sbsg21 +g22 +((lp353 +g300 +ag292 +ag1 +(g2 +g3 +(g276 +S'Output' +p354 +tp355 +tp356 +Rp357 +(dp358 +g11 +g279 +sg21 +g22 +((lp359 +tp360 +Rp361 +sbag332 +ag308 +ag340 +ag348 +ag324 +ag316 +ag284 +atp362 +Rp363 +sg354 +g357 +sbsS'Operator' +p364 +g1 +(g2 +g3 +(g364 +tp365 +tp366 +Rp367 +(dp368 +g11 +g274 +sS'DBS' +p369 +g1 +(g2 +g3 +(g364 +g369 +tp370 +tp371 +Rp372 +(dp373 +g11 +g367 +sg21 +g22 +((lp374 +tp375 +Rp376 +sbsg21 +g22 +((lp377 +g372 +ag1 +(g2 +g3 +(g364 +S'Word' +p378 +tp379 +tp380 +Rp381 +(dp382 +g11 +g367 +sg21 +g22 +((lp383 +tp384 +Rp385 +sbatp386 +Rp387 +sg378 +g381 +sbsg5 +g14 +sg106 +g1 +(g2 +g3 +(g106 +tp388 +tp389 +Rp390 +(dp391 +S'Variable' +p392 +g1 +(g2 +g3 +(g106 +g392 +tp393 +tp394 +Rp395 +(dp396 +g11 +g390 +sS'Class' +p397 +g1 +(g2 +g3 +(g106 +g392 +g397 +tp398 +tp399 +Rp400 +(dp401 +g11 +g395 +sg21 +g22 +((lp402 +tp403 +Rp404 +sbsS'Anonymous' +p405 +g1 +(g2 +g3 +(g106 +g392 +g405 +tp406 +tp407 +Rp408 +(dp409 +g11 +g395 +sg21 +g22 +((lp410 +tp411 +Rp412 +sbsS'Instance' +p413 +g1 +(g2 +g3 +(g106 +g392 +g413 +tp414 +tp415 +Rp416 +(dp417 +g11 +g395 +sg21 +g22 +((lp418 +tp419 +Rp420 +sbsS'Global' +p421 +g1 +(g2 +g3 +(g106 +g392 +g421 +tp422 +tp423 +Rp424 +(dp425 +g11 +g395 +sg21 +g22 +((lp426 +tp427 +Rp428 +sbsg21 +g22 +((lp429 +g408 +ag416 +ag1 +(g2 +g3 +(g106 +g392 +S'Magic' +p430 +tp431 +tp432 +Rp433 +(dp434 +g11 +g395 +sg21 +g22 +((lp435 +tp436 +Rp437 +sbag424 +ag400 +atp438 +Rp439 +sg430 +g433 +sbsg364 +g1 +(g2 +g3 +(g106 +g364 +tp440 +tp441 +Rp442 +(dp443 +g11 +g390 +sg21 +g22 +((lp444 +tp445 +Rp446 +sbsS'Decorator' +p447 +g1 +(g2 +g3 +(g106 +g447 +tp448 +tp449 +Rp450 +(dp451 +g11 +g390 +sg21 +g22 +((lp452 +tp453 +Rp454 +sbsS'Entity' +p455 +g1 +(g2 +g3 +(g106 +g455 +tp456 +tp457 +Rp458 +(dp459 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g106 +g455 +g369 +tp460 +tp461 +Rp462 +(dp463 +g11 +g458 +sg21 +g22 +((lp464 +tp465 +Rp466 +sbsg21 +g22 +((lp467 +g462 +atp468 +Rp469 +sbsg6 +g1 +(g2 +g3 +(g106 +g6 +tp470 +tp471 +Rp472 +(dp473 +g11 +g390 +sg21 +g22 +((lp474 +tp475 +Rp476 +sbsS'Property' +p477 +g1 +(g2 +g3 +(g106 +g477 +tp478 +tp479 +Rp480 +(dp481 +g11 +g390 +sg21 +g22 +((lp482 +tp483 +Rp484 +sbsS'Pseudo' +p485 +g1 +(g2 +g3 +(g106 +g485 +tp486 +tp487 +Rp488 +(dp489 +g11 +g390 +sg21 +g22 +((lp490 +tp491 +Rp492 +sbsS'Type' +p493 +g1 +(g2 +g3 +(g106 +g493 +tp494 +tp495 +Rp496 +(dp497 +g11 +g390 +sg21 +g22 +((lp498 +tp499 +Rp500 +sbsS'Classes' +p501 +g1 +(g2 +g3 +(g106 +g501 +tp502 +tp503 +Rp504 +(dp505 +g11 +g390 +sg21 +g22 +((lp506 +tp507 +Rp508 +sbsS'Tag' +p509 +g1 +(g2 +g3 +(g106 +g509 +tp510 +tp511 +Rp512 +(dp513 +g11 +g390 +sg21 +g22 +((lp514 +tp515 +Rp516 +sbsS'Constant' +p517 +g1 +(g2 +g3 +(g106 +g517 +tp518 +tp519 +Rp520 +(dp521 +g11 +g390 +sg21 +g22 +((lp522 +tp523 +Rp524 +sbsS'Function' +p525 +g1 +(g2 +g3 +(g106 +g525 +tp526 +tp527 +Rp528 +(dp529 +g11 +g390 +sg21 +g22 +((lp530 +g1 +(g2 +g3 +(g106 +g525 +g430 +tp531 +tp532 +Rp533 +(dp534 +g11 +g528 +sg21 +g22 +((lp535 +tp536 +Rp537 +sbatp538 +Rp539 +sg430 +g533 +sbsS'Blubb' +p540 +g1 +(g2 +g3 +(g106 +g540 +tp541 +tp542 +Rp543 +(dp544 +g11 +g390 +sg21 +g22 +((lp545 +tp546 +Rp547 +sbsS'Label' +p548 +g1 +(g2 +g3 +(g106 +g548 +tp549 +tp550 +Rp551 +(dp552 +g11 +g390 +sg21 +g22 +((lp553 +tp554 +Rp555 +sbsS'Field' +p556 +g1 +(g2 +g3 +(g106 +g556 +tp557 +tp558 +Rp559 +(dp560 +g11 +g390 +sg21 +g22 +((lp561 +tp562 +Rp563 +sbsS'Exception' +p564 +g1 +(g2 +g3 +(g106 +g564 +tp565 +tp566 +Rp567 +(dp568 +g11 +g390 +sg21 +g22 +((lp569 +tp570 +Rp571 +sbsS'Namespace' +p572 +g1 +(g2 +g3 +(g106 +g572 +tp573 +tp574 +Rp575 +(dp576 +g11 +g390 +sg21 +g22 +((lp577 +tp578 +Rp579 +sbsg21 +g22 +((lp580 +g450 +ag543 +ag488 +ag458 +ag395 +ag567 +ag480 +ag512 +ag528 +ag504 +ag1 +(g2 +g3 +(g106 +g397 +tp581 +tp582 +Rp583 +(dp584 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g106 +g397 +g369 +tp585 +tp586 +Rp587 +(dp588 +g11 +g583 +sg21 +g22 +((lp589 +tp590 +Rp591 +sbsg21 +g22 +((lp592 +g1 +(g2 +g3 +(g106 +g397 +S'Start' +p593 +tp594 +tp595 +Rp596 +(dp597 +g11 +g583 +sg21 +g22 +((lp598 +tp599 +Rp600 +sbag587 +atp601 +Rp602 +sg593 +g596 +sbag1 +(g2 +g3 +(g106 +g155 +tp603 +tp604 +Rp605 +(dp606 +g11 +g390 +sS'Member' +p607 +g1 +(g2 +g3 +(g106 +g155 +g607 +tp608 +tp609 +Rp610 +(dp611 +g11 +g605 +sg21 +g22 +((lp612 +tp613 +Rp614 +sbsg21 +g22 +((lp615 +g610 +atp616 +Rp617 +sbag551 +ag442 +ag575 +ag1 +(g2 +g3 +(g106 +S'Attribute' +p618 +tp619 +tp620 +Rp621 +(dp622 +g11 +g390 +sg392 +g1 +(g2 +g3 +(g106 +g618 +g392 +tp623 +tp624 +Rp625 +(dp626 +g11 +g621 +sg21 +g22 +((lp627 +tp628 +Rp629 +sbsg21 +g22 +((lp630 +g625 +atp631 +Rp632 +sbag520 +ag1 +(g2 +g3 +(g106 +S'Builtin' +p633 +tp634 +tp635 +Rp636 +(dp637 +g11 +g390 +sg493 +g1 +(g2 +g3 +(g106 +g633 +g493 +tp638 +tp639 +Rp640 +(dp641 +g11 +g636 +sg21 +g22 +((lp642 +tp643 +Rp644 +sbsg21 +g22 +((lp645 +g1 +(g2 +g3 +(g106 +g633 +g485 +tp646 +tp647 +Rp648 +(dp649 +g11 +g636 +sg21 +g22 +((lp650 +tp651 +Rp652 +sbag640 +atp653 +Rp654 +sg485 +g648 +sbag559 +ag496 +ag472 +atp655 +Rp656 +sg11 +g274 +sg397 +g583 +sg633 +g636 +sg618 +g621 +sg155 +g605 +sbsS'Punctuation' +p657 +g1 +(g2 +g3 +(g657 +tp658 +tp659 +Rp660 +(dp661 +g11 +g274 +sg21 +g22 +((lp662 +g1 +(g2 +g3 +(g657 +S'Indicator' +p663 +tp664 +tp665 +Rp666 +(dp667 +g11 +g660 +sg21 +g22 +((lp668 +tp669 +Rp670 +sbatp671 +Rp672 +sg663 +g666 +sbsS'Comment' +p673 +g1 +(g2 +g3 +(g673 +tp674 +tp675 +Rp676 +(dp677 +S'Multi' +p678 +g1 +(g2 +g3 +(g673 +g678 +tp679 +tp680 +Rp681 +(dp682 +g11 +g676 +sg21 +g22 +((lp683 +tp684 +Rp685 +sbsg11 +g274 +sS'Special' +p686 +g1 +(g2 +g3 +(g673 +g686 +tp687 +tp688 +Rp689 +(dp690 +g11 +g676 +sg21 +g22 +((lp691 +tp692 +Rp693 +sbsS'Hashbang' +p694 +g1 +(g2 +g3 +(g673 +g694 +tp695 +tp696 +Rp697 +(dp698 +g11 +g676 +sg21 +g22 +((lp699 +tp700 +Rp701 +sbsS'Preproc' +p702 +g1 +(g2 +g3 +(g673 +g702 +tp703 +tp704 +Rp705 +(dp706 +g11 +g676 +sg21 +g22 +((lp707 +tp708 +Rp709 +sbsg147 +g1 +(g2 +g3 +(g673 +g147 +tp710 +tp711 +Rp712 +(dp713 +g11 +g676 +sg21 +g22 +((lp714 +tp715 +Rp716 +sbsS'Directive' +p717 +g1 +(g2 +g3 +(g673 +g717 +tp718 +tp719 +Rp720 +(dp721 +g11 +g676 +sg21 +g22 +((lp722 +tp723 +Rp724 +sbsg139 +g1 +(g2 +g3 +(g673 +g139 +tp725 +tp726 +Rp727 +(dp728 +g11 +g676 +sg21 +g22 +((lp729 +tp730 +Rp731 +sbsS'Singleline' +p732 +g1 +(g2 +g3 +(g673 +g732 +tp733 +tp734 +Rp735 +(dp736 +g11 +g676 +sg21 +g22 +((lp737 +tp738 +Rp739 +sbsS'Multiline' +p740 +g1 +(g2 +g3 +(g673 +g740 +tp741 +tp742 +Rp743 +(dp744 +g11 +g676 +sg21 +g22 +((lp745 +tp746 +Rp747 +sbsg21 +g22 +((lp748 +g727 +ag720 +ag697 +ag681 +ag735 +ag705 +ag743 +ag712 +ag1 +(g2 +g3 +(g673 +S'PreprocFile' +p749 +tp750 +tp751 +Rp752 +(dp753 +g11 +g676 +sg21 +g22 +((lp754 +tp755 +Rp756 +sbag1 +(g2 +g3 +(g673 +S'SingleLine' +p757 +tp758 +tp759 +Rp760 +(dp761 +g11 +g676 +sg21 +g22 +((lp762 +tp763 +Rp764 +sbag689 +atp765 +Rp766 +sg749 +g752 +sg757 +g760 +sbsg4 +g175 +sg155 +g1 +(g2 +g3 +(g155 +tp767 +tp768 +Rp769 +(dp770 +g11 +g274 +sg21 +g22 +((lp771 +tp772 +Rp773 +sbsg337 +g1 +(g2 +g3 +(g337 +tp774 +tp775 +Rp776 +(dp777 +g11 +g274 +sg21 +g22 +((lp778 +tp779 +Rp780 +sbsS'Token' +p781 +g274 +sg130 +g1 +(g2 +g3 +(g130 +tp782 +tp783 +Rp784 +(dp785 +g11 +g274 +sg21 +g22 +((lp786 +tp787 +Rp788 +sbsg21 +g22 +((lp789 +g390 +ag769 +ag1 +(g2 +g3 +(S'Keyword' +p790 +tp791 +tp792 +Rp793 +(dp794 +g11 +g274 +sg493 +g1 +(g2 +g3 +(g790 +g493 +tp795 +tp796 +Rp797 +(dp798 +g11 +g793 +sg21 +g22 +((lp799 +tp800 +Rp801 +sbsS'Control' +p802 +g1 +(g2 +g3 +(g790 +g802 +tp803 +tp804 +Rp805 +(dp806 +g11 +g793 +sg21 +g22 +((lp807 +tp808 +Rp809 +sbsg517 +g1 +(g2 +g3 +(g790 +g517 +tp810 +tp811 +Rp812 +(dp813 +g11 +g793 +sg21 +g22 +((lp814 +tp815 +Rp816 +sbsg572 +g1 +(g2 +g3 +(g790 +g572 +tp817 +tp818 +Rp819 +(dp820 +g11 +g793 +sg21 +g22 +((lp821 +tp822 +Rp823 +sbsS'PreProc' +p824 +g1 +(g2 +g3 +(g790 +g824 +tp825 +tp826 +Rp827 +(dp828 +g11 +g793 +sg21 +g22 +((lp829 +tp830 +Rp831 +sbsg485 +g1 +(g2 +g3 +(g790 +g485 +tp832 +tp833 +Rp834 +(dp835 +g11 +g793 +sg21 +g22 +((lp836 +tp837 +Rp838 +sbsS'Reserved' +p839 +g1 +(g2 +g3 +(g790 +g839 +tp840 +tp841 +Rp842 +(dp843 +g11 +g793 +sg21 +g22 +((lp844 +tp845 +Rp846 +sbsg21 +g22 +((lp847 +g819 +ag1 +(g2 +g3 +(g790 +g378 +tp848 +tp849 +Rp850 +(dp851 +g11 +g793 +sg21 +g22 +((lp852 +tp853 +Rp854 +sbag805 +ag1 +(g2 +g3 +(g790 +S'Declaration' +p855 +tp856 +tp857 +Rp858 +(dp859 +g11 +g793 +sg21 +g22 +((lp860 +tp861 +Rp862 +sbag1 +(g2 +g3 +(g790 +g790 +tp863 +tp864 +Rp865 +(dp866 +g11 +g793 +sg21 +g22 +((lp867 +tp868 +Rp869 +sbag834 +ag812 +ag797 +ag842 +ag827 +atp870 +Rp871 +sg790 +g865 +sg855 +g858 +sg378 +g850 +sbag279 +ag1 +(g2 +g3 +(S'Text' +p872 +tp873 +tp874 +Rp875 +(dp876 +S'Beer' +p877 +g1 +(g2 +g3 +(g872 +g877 +tp878 +tp879 +Rp880 +(dp881 +g11 +g875 +sg21 +g22 +((lp882 +tp883 +Rp884 +sbsS'Whitespace' +p885 +g1 +(g2 +g3 +(g872 +g885 +tp886 +tp887 +Rp888 +(dp889 +g11 +g875 +sg21 +g22 +((lp890 +tp891 +Rp892 +sbsg11 +g274 +sS'Root' +p893 +g1 +(g2 +g3 +(g872 +g893 +tp894 +tp895 +Rp896 +(dp897 +g11 +g875 +sg21 +g22 +((lp898 +tp899 +Rp900 +sbsg6 +g1 +(g2 +g3 +(g872 +g6 +tp901 +tp902 +Rp903 +(dp904 +g11 +g875 +sg21 +g22 +((lp905 +tp906 +Rp907 +sbsg657 +g1 +(g2 +g3 +(g872 +g657 +tp908 +tp909 +Rp910 +(dp911 +g11 +g875 +sg21 +g22 +((lp912 +tp913 +Rp914 +sbsg21 +g22 +((lp915 +g896 +ag903 +ag910 +ag888 +ag880 +ag1 +(g2 +g3 +(g872 +S'Rag' +p916 +tp917 +tp918 +Rp919 +(dp920 +g11 +g875 +sg21 +g22 +((lp921 +tp922 +Rp923 +sbatp924 +Rp925 +sg916 +g919 +sbag367 +ag784 +ag660 +ag676 +ag776 +ag175 +atp926 +Rp927 +sg790 +g793 +sg872 +g875 +sbsg155 +g1 +(g2 +g3 +(g4 +g155 +tp928 +tp929 +Rp930 +(dp931 +g11 +g175 +sg21 +g22 +((lp932 +tp933 +Rp934 +sbsg16 +g1 +(g2 +g3 +(g4 +g16 +tp935 +tp936 +Rp937 +(dp938 +g11 +g175 +sg21 +g22 +((lp939 +tp940 +Rp941 +sbsg5 +g14 +sg21 +g22 +((lp942 +g14 +ag937 +ag930 +ag1 +(g2 +g3 +(g4 +S'Date' +p943 +tp944 +tp945 +Rp946 +(dp947 +g11 +g175 +sg21 +g22 +((lp948 +tp949 +Rp950 +sbag180 +ag196 +atp951 +Rp952 +sg943 +g946 +sbsg155 +g158 +sg139 +g142 +sbsg21 +g22 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g316 +Viex> +p958 +tp959 +a(g9 +V:" +p960 +tp961 +a(g9 +V multi\u000a +p962 +tp963 +a(g316 +V...> +p964 +tp965 +a(g9 +V line ' +p966 +tp967 +a(g133 +V\u005cs +p968 +tp969 +a(g9 +V +p970 +tp971 +a(g9 +V\u005c1 +p972 +tp973 +a(g9 +V23 +p974 +tp975 +a(g133 +V\u005cx20 +p976 +tp977 +a(g9 +V\u000a +p978 +tp979 +a(g316 +V...> +p980 +tp981 +a(g9 +Vatom +p982 +tp983 +a(g9 +V" +p984 +tp985 +a(g875 +V\u000a +p986 +tp987 +a(g357 +V:" multi\u005cn line ' S \u005cnatom"\u000a +p988 +tp989 +a(g357 +V\u000a +p990 +tp991 +a(g660 +g956 +tp992 +a(g316 +Viex(1)> +p993 +tp994 +a(g660 +V<< +p995 +tp996 +a(g77 +g984 +tp997 +a(g77 +Vhello +p998 +tp999 +a(g77 +g984 +tp1000 +a(g367 +V:: +p1001 +tp1002 +a(g390 +Vbinary +p1003 +tp1004 +a(g660 +V, +p1005 +tp1006 +a(g875 +g970 +tp1007 +a(g390 +Vc +p1008 +tp1009 +a(g875 +g970 +tp1010 +a(g367 +V:: +p1011 +tp1012 +a(g875 +g970 +tp1013 +a(g390 +Vutf8 +p1014 +tp1015 +a(g660 +g1005 +tp1016 +a(g875 +g970 +tp1017 +a(g390 +Vx +p1018 +tp1019 +a(g367 +V:: +p1020 +tp1021 +a(g660 +V[ +p1022 +tp1023 +a(g201 +V4 +p1024 +tp1025 +a(g660 +g1005 +tp1026 +a(g875 +g970 +tp1027 +a(g390 +Vunit +p1028 +tp1029 +a(g660 +V( +p1030 +tp1031 +a(g201 +V2 +p1032 +tp1033 +a(g660 +V) +p1034 +tp1035 +a(g660 +V] +p1036 +tp1037 +a(g660 +V>> +p1038 +tp1039 +a(g875 +g970 +tp1040 +a(g367 +V= +p1041 +tp1042 +a(g875 +g970 +tp1043 +a(g77 +g984 +tp1044 +a(g77 +Vhello\u21221 +p1045 +tp1046 +a(g77 +g984 +tp1047 +a(g875 +V\u000a +p1048 +tp1049 +a(g357 +V"hello\u21221"\u000a +p1050 +tp1051 +a(g357 +V\u000a +p1052 +tp1053 +a(g390 +g956 +tp1054 +a(g316 +Viex(2)> +p1055 +tp1056 +a(g390 +g1008 +tp1057 +a(g875 +V\u000a +p1058 +tp1059 +a(g357 +V8482\u000a +p1060 +tp1061 +a(g357 +V\u000a +p1062 +tp1063 +a(g201 +g956 +tp1064 +a(g316 +Viex> +p1065 +tp1066 +a(g201 +V1 +p1067 +tp1068 +a(g875 +g970 +tp1069 +a(g367 +V+ +p1070 +tp1071 +a(g875 +g970 +tp1072 +a(g9 +V:atom +p1073 +tp1074 +a(g875 +V\u000a +p1075 +tp1076 +a(g340 +V** (ArithmeticError) bad argument in arithmetic expression +p1077 +tp1078 +a(g875 +V\u000a +p1079 +tp1080 +a(g340 +V :erlang.+(1, :atom)\u000a +p1081 +tp1082 +a(g340 +V\u000a +p1083 +tp1084 +a(g201 +g956 +tp1085 +a(g316 +Viex(3)> +p1086 +tp1087 +a(g201 +g1067 +tp1088 +a(g875 +g970 +tp1089 +a(g367 +g1070 +tp1090 +a(g875 +V\u000a +p1091 +tp1092 +a(g316 +V...(3)> +p1093 +tp1094 +a(g875 +g956 +tp1095 +a(g201 +g1032 +tp1096 +a(g875 +g970 +tp1097 +a(g367 +g1070 +tp1098 +a(g875 +V\u000a +p1099 +tp1100 +a(g316 +V...(3)> +p1101 +tp1102 +a(g875 +g956 +tp1103 +a(g201 +V3 +p1104 +tp1105 +a(g875 +V\u000a +p1106 +tp1107 +a(g357 +V6\u000a +p1108 +tp1109 +a(g357 +V\u000a +p1110 +tp1111 +a(g583 +g956 +tp1112 +a(g316 +Viex> +p1113 +tp1114 +a(g583 +VIO +p1115 +tp1116 +a(g367 +V. +p1117 +tp1118 +a(g390 +Vputs +p1119 +tp1120 +a(g875 +g970 +tp1121 +a(g77 +g984 +tp1122 +a(g77 +VHello world +p1123 +tp1124 +a(g77 +g984 +tp1125 +a(g875 +V\u000a +p1126 +tp1127 +a(g357 +VHello world\u000a +p1128 +tp1129 +a(g357 +V:ok\u000a +p1130 +tp1131 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/inet_pton6.dg b/tests/examplefiles/output/inet_pton6.dg new file mode 100644 index 0000000..50b218d --- /dev/null +++ b/tests/examplefiles/output/inet_pton6.dg @@ -0,0 +1,5000 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVimport +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g81 +V' +p960 +tp961 +a(g81 +V/re +p962 +tp963 +a(g81 +g960 +tp964 +a(g831 +V\u000a +p965 +tp966 +a(g8 +Vimport +p967 +tp968 +a(g831 +g958 +tp969 +a(g81 +g960 +tp970 +a(g81 +V/sys +p971 +tp972 +a(g81 +g960 +tp973 +a(g831 +V\u000a\u000a\u000a +p974 +tp975 +a(g750 +V# IPv6address = hexpart [ ":" IPv4address ] +p976 +tp977 +a(g831 +V\u000a +p978 +tp979 +a(g750 +V# IPv4address = 1*3DIGIT "." 1*3DIGIT "." 1*3DIGIT "." 1*3DIGIT +p980 +tp981 +a(g831 +V\u000a +p982 +tp983 +a(g750 +V# hexpart = [ hexseq ] [ "::" [ hexseq ] ] +p984 +tp985 +a(g831 +V\u000a +p986 +tp987 +a(g750 +V# hexseq = hex4 *( ":" hex4) +p988 +tp989 +a(g831 +V\u000a +p990 +tp991 +a(g750 +V# hex4 = 1*4HEXDIG +p992 +tp993 +a(g831 +V\u000a +p994 +tp995 +a(g428 +Vhexpart +p996 +tp997 +a(g831 +g958 +tp998 +a(g405 +V= +p999 +tp1000 +a(g831 +g958 +tp1001 +a(g81 +Vr' +p1002 +tp1003 +a(g81 +V({0}|)(?:::({0}|)|) +p1004 +tp1005 +a(g81 +g960 +tp1006 +a(g405 +V. +p1007 +tp1008 +a(g428 +Vformat +p1009 +tp1010 +a(g831 +g958 +tp1011 +a(g81 +Vr' +p1012 +tp1013 +a(g81 +V(?:[ +p1014 +tp1015 +a(g81 +V\u005c +p1016 +tp1017 +a(g81 +Vda-f]{1,4})(?::[ +p1018 +tp1019 +a(g81 +V\u005c +p1020 +tp1021 +a(g81 +Vda-f]{1,4})* +p1022 +tp1023 +a(g81 +g960 +tp1024 +a(g831 +V\u000a +p1025 +tp1026 +a(g428 +Vaddrv4 +p1027 +tp1028 +a(g831 +V +p1029 +tp1030 +a(g405 +g999 +tp1031 +a(g831 +g958 +tp1032 +a(g81 +Vr' +p1033 +tp1034 +a(g81 +V( +p1035 +tp1036 +a(g81 +V\u005c +p1037 +tp1038 +a(g81 +Vd{1,3}) +p1039 +tp1040 +a(g81 +V\u005c +p1041 +tp1042 +a(g81 +V.( +p1043 +tp1044 +a(g81 +V\u005c +p1045 +tp1046 +a(g81 +Vd{1,3}) +p1047 +tp1048 +a(g81 +V\u005c +p1049 +tp1050 +a(g81 +V.( +p1051 +tp1052 +a(g81 +V\u005c +p1053 +tp1054 +a(g81 +Vd{1,3}) +p1055 +tp1056 +a(g81 +V\u005c +p1057 +tp1058 +a(g81 +V.( +p1059 +tp1060 +a(g81 +V\u005c +p1061 +tp1062 +a(g81 +Vd{1,3}) +p1063 +tp1064 +a(g81 +g960 +tp1065 +a(g831 +V\u000a +p1066 +tp1067 +a(g428 +Vaddrv6 +p1068 +tp1069 +a(g831 +V +p1070 +tp1071 +a(g405 +g999 +tp1072 +a(g831 +g958 +tp1073 +a(g428 +Vre +p1074 +tp1075 +a(g405 +g1007 +tp1076 +a(g428 +Vcompile +p1077 +tp1078 +a(g831 +g958 +tp1079 +a(g405 +V$ +p1080 +tp1081 +a(g831 +g958 +tp1082 +a(g81 +Vr' +p1083 +tp1084 +a(g81 +V(?i)(?:{})(?::{})?$ +p1085 +tp1086 +a(g81 +g960 +tp1087 +a(g405 +g1007 +tp1088 +a(g428 +Vformat +p1089 +tp1090 +a(g831 +g958 +tp1091 +a(g428 +Vhexpart +p1092 +tp1093 +a(g831 +g958 +tp1094 +a(g428 +Vaddrv4 +p1095 +tp1096 +a(g831 +V\u000a\u000a\u000a +p1097 +tp1098 +a(g750 +V# Parse a base-N number given a list of its digits. +p1099 +tp1100 +a(g831 +V\u000a +p1101 +tp1102 +a(g750 +V# +p1103 +tp1104 +a(g831 +V\u000a +p1105 +tp1106 +a(g750 +V# :param q: the number of digits in that numeral system +p1107 +tp1108 +a(g831 +V\u000a +p1109 +tp1110 +a(g750 +g1103 +tp1111 +a(g831 +V\u000a +p1112 +tp1113 +a(g750 +V# :param digits: an iterable of integers in range [0..q] +p1114 +tp1115 +a(g831 +V\u000a +p1116 +tp1117 +a(g750 +g1103 +tp1118 +a(g831 +V\u000a +p1119 +tp1120 +a(g750 +V# :return: a decimal integer +p1121 +tp1122 +a(g831 +V\u000a +p1123 +tp1124 +a(g750 +g1103 +tp1125 +a(g831 +V\u000a +p1126 +tp1127 +a(g428 +Vbase_n +p1128 +tp1129 +a(g831 +g958 +tp1130 +a(g405 +g999 +tp1131 +a(g831 +g958 +tp1132 +a(g428 +Vq +p1133 +tp1134 +a(g831 +g958 +tp1135 +a(g428 +Vdigits +p1136 +tp1137 +a(g831 +g958 +tp1138 +a(g405 +V-> +p1139 +tp1140 +a(g831 +g958 +tp1141 +a(g428 +Vfoldl +p1142 +tp1143 +a(g831 +g958 +tp1144 +a(g698 +g1035 +tp1145 +a(g428 +Vx +p1146 +tp1147 +a(g831 +g958 +tp1148 +a(g428 +Vy +p1149 +tp1150 +a(g831 +g958 +tp1151 +a(g405 +V-> +p1152 +tp1153 +a(g831 +g958 +tp1154 +a(g428 +g1146 +tp1155 +a(g831 +g958 +tp1156 +a(g405 +V* +p1157 +tp1158 +a(g831 +g958 +tp1159 +a(g428 +g1133 +tp1160 +a(g831 +g958 +tp1161 +a(g405 +V+ +p1162 +tp1163 +a(g831 +g958 +tp1164 +a(g428 +g1149 +tp1165 +a(g698 +V) +p1166 +tp1167 +a(g831 +g958 +tp1168 +a(g27 +V0 +p1169 +tp1170 +a(g831 +g958 +tp1171 +a(g428 +Vdigits +p1172 +tp1173 +a(g831 +V\u000a\u000a\u000a +p1174 +tp1175 +a(g750 +V# Parse a sequence of hexadecimal numbers +p1176 +tp1177 +a(g831 +V\u000a +p1178 +tp1179 +a(g750 +g1103 +tp1180 +a(g831 +V\u000a +p1181 +tp1182 +a(g750 +V# :param q: a string of colon-separated base-16 integers +p1183 +tp1184 +a(g831 +V\u000a +p1185 +tp1186 +a(g750 +g1103 +tp1187 +a(g831 +V\u000a +p1188 +tp1189 +a(g750 +V# :return: an iterable of Python ints +p1190 +tp1191 +a(g831 +V\u000a +p1192 +tp1193 +a(g750 +g1103 +tp1194 +a(g831 +V\u000a +p1195 +tp1196 +a(g428 +Vunhex +p1197 +tp1198 +a(g831 +g958 +tp1199 +a(g405 +g999 +tp1200 +a(g831 +g958 +tp1201 +a(g428 +g1133 +tp1202 +a(g831 +g958 +tp1203 +a(g405 +V-> +p1204 +tp1205 +a(g831 +g958 +tp1206 +a(g428 +g1133 +tp1207 +a(g831 +g958 +tp1208 +a(g419 +Vand +p1209 +tp1210 +a(g831 +g958 +tp1211 +a(g674 +Vmap +p1212 +tp1213 +a(g831 +g958 +tp1214 +a(g698 +g1035 +tp1215 +a(g428 +Vp +p1216 +tp1217 +a(g831 +g958 +tp1218 +a(g405 +V-> +p1219 +tp1220 +a(g831 +g958 +tp1221 +a(g674 +Vint +p1222 +tp1223 +a(g831 +g958 +tp1224 +a(g428 +g1216 +tp1225 +a(g831 +g958 +tp1226 +a(g27 +V16 +p1227 +tp1228 +a(g698 +g1166 +tp1229 +a(g831 +g958 +tp1230 +a(g698 +g1035 +tp1231 +a(g428 +g1133 +tp1232 +a(g405 +g1007 +tp1233 +a(g428 +Vsplit +p1234 +tp1235 +a(g831 +g958 +tp1236 +a(g81 +g960 +tp1237 +a(g81 +V: +p1238 +tp1239 +a(g81 +g960 +tp1240 +a(g698 +g1166 +tp1241 +a(g831 +V\u000a\u000a\u000a +p1242 +tp1243 +a(g750 +V# Parse an IPv6 address as specified in RFC 4291. +p1244 +tp1245 +a(g831 +V\u000a +p1246 +tp1247 +a(g750 +g1103 +tp1248 +a(g831 +V\u000a +p1249 +tp1250 +a(g750 +V# :param address: a string, obviously. +p1251 +tp1252 +a(g831 +V\u000a +p1253 +tp1254 +a(g750 +g1103 +tp1255 +a(g831 +V\u000a +p1256 +tp1257 +a(g750 +V# :return: an integer which, written in binary form, points to the same node. +p1258 +tp1259 +a(g831 +V\u000a +p1260 +tp1261 +a(g750 +g1103 +tp1262 +a(g831 +V\u000a +p1263 +tp1264 +a(g428 +Vinet_pton6 +p1265 +tp1266 +a(g831 +g958 +tp1267 +a(g405 +g999 +tp1268 +a(g831 +g958 +tp1269 +a(g428 +Vaddress +p1270 +tp1271 +a(g831 +g958 +tp1272 +a(g405 +V-> +p1273 +tp1274 +a(g831 +V\u000a +p1275 +tp1276 +a(g8 +Vnot +p1277 +tp1278 +a(g831 +g958 +tp1279 +a(g698 +g1035 +tp1280 +a(g428 +Vmatch +p1281 +tp1282 +a(g831 +g958 +tp1283 +a(g405 +g999 +tp1284 +a(g831 +g958 +tp1285 +a(g428 +Vaddrv6 +p1286 +tp1287 +a(g405 +g1007 +tp1288 +a(g428 +Vmatch +p1289 +tp1290 +a(g831 +g958 +tp1291 +a(g428 +Vaddress +p1292 +tp1293 +a(g698 +g1166 +tp1294 +a(g831 +g958 +tp1295 +a(g405 +V=> +p1296 +tp1297 +a(g831 +g958 +tp1298 +a(g8 +Vraise +p1299 +tp1300 +a(g831 +g958 +tp1301 +a(g405 +g1080 +tp1302 +a(g831 +g958 +tp1303 +a(g605 +VValueError +p1304 +tp1305 +a(g831 +g958 +tp1306 +a(g81 +g960 +tp1307 +a(g81 +Vnot a valid IPv6 address +p1308 +tp1309 +a(g81 +g960 +tp1310 +a(g831 +V\u000a +p1311 +tp1312 +a(g428 +Vstart +p1313 +tp1314 +a(g405 +V, +p1315 +tp1316 +a(g831 +g958 +tp1317 +a(g428 +Vend +p1318 +tp1319 +a(g405 +g1315 +tp1320 +a(g831 +g958 +tp1321 +a(g405 +g1157 +tp1322 +a(g428 +Vipv4 +p1323 +tp1324 +a(g831 +g958 +tp1325 +a(g405 +g999 +tp1326 +a(g831 +g958 +tp1327 +a(g428 +Vmatch +p1328 +tp1329 +a(g405 +g1007 +tp1330 +a(g428 +Vgroups +p1331 +tp1332 +a(g405 +V! +p1333 +tp1334 +a(g831 +V\u000a\u000a +p1335 +tp1336 +a(g428 +Vis_ipv4 +p1337 +tp1338 +a(g831 +g958 +tp1339 +a(g405 +g999 +tp1340 +a(g831 +g958 +tp1341 +a(g8 +Vnot +p1342 +tp1343 +a(g831 +g958 +tp1344 +a(g405 +g1080 +tp1345 +a(g831 +g958 +tp1346 +a(g686 +VNone +p1347 +tp1348 +a(g831 +g958 +tp1349 +a(g419 +Vin +p1350 +tp1351 +a(g831 +g958 +tp1352 +a(g428 +Vipv4 +p1353 +tp1354 +a(g831 +V\u000a +p1355 +tp1356 +a(g428 +Vshift +p1357 +tp1358 +a(g831 +V +p1359 +tp1360 +a(g405 +g999 +tp1361 +a(g831 +g958 +tp1362 +a(g698 +g1035 +tp1363 +a(g27 +V7 +p1364 +tp1365 +a(g831 +g958 +tp1366 +a(g405 +V- +p1367 +tp1368 +a(g831 +g958 +tp1369 +a(g428 +Vstart +p1370 +tp1371 +a(g405 +g1007 +tp1372 +a(g428 +Vcount +p1373 +tp1374 +a(g831 +g958 +tp1375 +a(g81 +g960 +tp1376 +a(g81 +g1238 +tp1377 +a(g81 +g960 +tp1378 +a(g831 +g958 +tp1379 +a(g405 +g1367 +tp1380 +a(g831 +g958 +tp1381 +a(g27 +V2 +p1382 +tp1383 +a(g831 +g958 +tp1384 +a(g405 +g1157 +tp1385 +a(g831 +g958 +tp1386 +a(g428 +Vis_ipv4 +p1387 +tp1388 +a(g698 +g1166 +tp1389 +a(g831 +g958 +tp1390 +a(g405 +g1157 +tp1391 +a(g831 +g958 +tp1392 +a(g27 +V16 +p1393 +tp1394 +a(g831 +V\u000a\u000a +p1395 +tp1396 +a(g698 +g1035 +tp1397 +a(g428 +Vend +p1398 +tp1399 +a(g831 +g958 +tp1400 +a(g419 +Vis +p1401 +tp1402 +a(g831 +g958 +tp1403 +a(g686 +VNone +p1404 +tp1405 +a(g831 +g958 +tp1406 +a(g419 +Vand +p1407 +tp1408 +a(g831 +g958 +tp1409 +a(g428 +Vshift +p1410 +tp1411 +a(g698 +g1166 +tp1412 +a(g831 +g958 +tp1413 +a(g419 +Vor +p1414 +tp1415 +a(g831 +g958 +tp1416 +a(g428 +Vshift +p1417 +tp1418 +a(g831 +g958 +tp1419 +a(g405 +V< +p1420 +tp1421 +a(g831 +g958 +tp1422 +a(g27 +g1169 +tp1423 +a(g831 +g958 +tp1424 +a(g405 +V=> +p1425 +tp1426 +a(g831 +g958 +tp1427 +a(g8 +Vraise +p1428 +tp1429 +a(g831 +g958 +tp1430 +a(g405 +g1080 +tp1431 +a(g831 +g958 +tp1432 +a(g605 +VValueError +p1433 +tp1434 +a(g831 +g958 +tp1435 +a(g81 +g960 +tp1436 +a(g81 +Vnot a valid IPv6 address +p1437 +tp1438 +a(g81 +g960 +tp1439 +a(g831 +V\u000a +p1440 +tp1441 +a(g428 +Vhexaddr +p1442 +tp1443 +a(g831 +g958 +tp1444 +a(g405 +g999 +tp1445 +a(g831 +g958 +tp1446 +a(g698 +g1035 +tp1447 +a(g428 +Vbase_n +p1448 +tp1449 +a(g831 +g958 +tp1450 +a(g290 +V0x10000 +p1451 +tp1452 +a(g831 +g958 +tp1453 +a(g698 +g1035 +tp1454 +a(g428 +Vunhex +p1455 +tp1456 +a(g831 +g958 +tp1457 +a(g428 +Vstart +p1458 +tp1459 +a(g698 +g1166 +tp1460 +a(g831 +g958 +tp1461 +a(g405 +V<< +p1462 +tp1463 +a(g831 +g958 +tp1464 +a(g428 +Vshift +p1465 +tp1466 +a(g698 +g1166 +tp1467 +a(g831 +g958 +tp1468 +a(g405 +g1162 +tp1469 +a(g831 +g958 +tp1470 +a(g428 +Vbase_n +p1471 +tp1472 +a(g831 +g958 +tp1473 +a(g290 +V0x10000 +p1474 +tp1475 +a(g831 +g958 +tp1476 +a(g698 +g1035 +tp1477 +a(g428 +Vunhex +p1478 +tp1479 +a(g831 +g958 +tp1480 +a(g405 +g1080 +tp1481 +a(g831 +g958 +tp1482 +a(g428 +Vend +p1483 +tp1484 +a(g831 +g958 +tp1485 +a(g419 +Vor +p1486 +tp1487 +a(g831 +g958 +tp1488 +a(g81 +g960 +tp1489 +a(g81 +g960 +tp1490 +a(g698 +g1166 +tp1491 +a(g831 +V\u000a +p1492 +tp1493 +a(g8 +Vif +p1494 +tp1495 +a(g831 +g958 +tp1496 +a(g698 +g1035 +tp1497 +a(g428 +Vis_ipv4 +p1498 +tp1499 +a(g831 +g958 +tp1500 +a(g405 +V=> +p1501 +tp1502 +a(g831 +g958 +tp1503 +a(g698 +g1035 +tp1504 +a(g428 +Vhexaddr +p1505 +tp1506 +a(g831 +g958 +tp1507 +a(g405 +V<< +p1508 +tp1509 +a(g831 +g958 +tp1510 +a(g27 +V32 +p1511 +tp1512 +a(g698 +g1166 +tp1513 +a(g831 +g958 +tp1514 +a(g405 +g1162 +tp1515 +a(g831 +g958 +tp1516 +a(g428 +Vbase_n +p1517 +tp1518 +a(g831 +g958 +tp1519 +a(g290 +V0x100 +p1520 +tp1521 +a(g831 +g958 +tp1522 +a(g698 +g1035 +tp1523 +a(g674 +Vmap +p1524 +tp1525 +a(g831 +g958 +tp1526 +a(g674 +Vint +p1527 +tp1528 +a(g831 +g958 +tp1529 +a(g428 +Vipv4 +p1530 +tp1531 +a(g698 +g1166 +tp1532 +a(g698 +g1166 +tp1533 +a(g831 +g958 +tp1534 +a(g698 +g1035 +tp1535 +a(g8 +Votherwise +p1536 +tp1537 +a(g831 +g958 +tp1538 +a(g405 +V=> +p1539 +tp1540 +a(g831 +g958 +tp1541 +a(g428 +Vhexaddr +p1542 +tp1543 +a(g698 +g1166 +tp1544 +a(g831 +V\u000a\u000a\u000a +p1545 +tp1546 +a(g428 +Vinet6_type +p1547 +tp1548 +a(g831 +g958 +tp1549 +a(g405 +g999 +tp1550 +a(g831 +g958 +tp1551 +a(g428 +g1133 +tp1552 +a(g831 +g958 +tp1553 +a(g405 +V-> +p1554 +tp1555 +a(g831 +g958 +tp1556 +a(g8 +Vif +p1557 +tp1558 +a(g831 +V\u000a +p1559 +tp1560 +a(g428 +g1133 +tp1561 +a(g831 +g958 +tp1562 +a(g405 +V== +p1563 +tp1564 +a(g831 +g958 +tp1565 +a(g27 +g1169 +tp1566 +a(g831 +g958 +tp1567 +a(g405 +V=> +p1568 +tp1569 +a(g831 +g958 +tp1570 +a(g81 +g960 +tp1571 +a(g81 +Vunspecified +p1572 +tp1573 +a(g81 +g960 +tp1574 +a(g831 +V\u000a +p1575 +tp1576 +a(g428 +g1133 +tp1577 +a(g831 +g958 +tp1578 +a(g405 +V== +p1579 +tp1580 +a(g831 +g958 +tp1581 +a(g27 +V1 +p1582 +tp1583 +a(g831 +g958 +tp1584 +a(g405 +V=> +p1585 +tp1586 +a(g831 +g958 +tp1587 +a(g81 +g960 +tp1588 +a(g81 +Vloopback +p1589 +tp1590 +a(g81 +g960 +tp1591 +a(g831 +V\u000a +p1592 +tp1593 +a(g698 +g1035 +tp1594 +a(g428 +g1133 +tp1595 +a(g831 +g958 +tp1596 +a(g405 +V>> +p1597 +tp1598 +a(g831 +V +p1599 +tp1600 +a(g27 +V32 +p1601 +tp1602 +a(g698 +g1166 +tp1603 +a(g831 +V +p1604 +tp1605 +a(g405 +V== +p1606 +tp1607 +a(g831 +g958 +tp1608 +a(g290 +V0x000000000000ffff +p1609 +tp1610 +a(g831 +g958 +tp1611 +a(g405 +V=> +p1612 +tp1613 +a(g831 +g958 +tp1614 +a(g81 +g960 +tp1615 +a(g81 +VIPv4-mapped +p1616 +tp1617 +a(g81 +g960 +tp1618 +a(g831 +V\u000a +p1619 +tp1620 +a(g698 +g1035 +tp1621 +a(g428 +g1133 +tp1622 +a(g831 +g958 +tp1623 +a(g405 +V>> +p1624 +tp1625 +a(g831 +V +p1626 +tp1627 +a(g27 +V64 +p1628 +tp1629 +a(g698 +g1166 +tp1630 +a(g831 +V +p1631 +tp1632 +a(g405 +V== +p1633 +tp1634 +a(g831 +g958 +tp1635 +a(g290 +V0xfe80000000000000 +p1636 +tp1637 +a(g831 +g958 +tp1638 +a(g405 +V=> +p1639 +tp1640 +a(g831 +g958 +tp1641 +a(g81 +g960 +tp1642 +a(g81 +Vlink-local +p1643 +tp1644 +a(g81 +g960 +tp1645 +a(g831 +V\u000a +p1646 +tp1647 +a(g698 +g1035 +tp1648 +a(g428 +g1133 +tp1649 +a(g831 +g958 +tp1650 +a(g405 +V>> +p1651 +tp1652 +a(g831 +g958 +tp1653 +a(g27 +V120 +p1654 +tp1655 +a(g698 +g1166 +tp1656 +a(g831 +V +p1657 +tp1658 +a(g405 +V!= +p1659 +tp1660 +a(g831 +g958 +tp1661 +a(g290 +V0x00000000000000ff +p1662 +tp1663 +a(g831 +g958 +tp1664 +a(g405 +V=> +p1665 +tp1666 +a(g831 +g958 +tp1667 +a(g81 +g960 +tp1668 +a(g81 +Vgeneral unicast +p1669 +tp1670 +a(g81 +g960 +tp1671 +a(g831 +V\u000a +p1672 +tp1673 +a(g698 +g1035 +tp1674 +a(g428 +g1133 +tp1675 +a(g831 +g958 +tp1676 +a(g405 +V>> +p1677 +tp1678 +a(g831 +g958 +tp1679 +a(g27 +V112 +p1680 +tp1681 +a(g698 +g1166 +tp1682 +a(g831 +g958 +tp1683 +a(g405 +V% +p1684 +tp1685 +a(g831 +g958 +tp1686 +a(g698 +g1035 +tp1687 +a(g27 +g1582 +tp1688 +a(g831 +g958 +tp1689 +a(g405 +V<< +p1690 +tp1691 +a(g831 +g958 +tp1692 +a(g27 +V4 +p1693 +tp1694 +a(g698 +g1166 +tp1695 +a(g831 +V +p1696 +tp1697 +a(g405 +V== +p1698 +tp1699 +a(g831 +g958 +tp1700 +a(g290 +V0x0000000000000000 +p1701 +tp1702 +a(g831 +g958 +tp1703 +a(g405 +V=> +p1704 +tp1705 +a(g831 +g958 +tp1706 +a(g81 +g960 +tp1707 +a(g81 +Vmulticast w/ reserved scope value +p1708 +tp1709 +a(g81 +g960 +tp1710 +a(g831 +V\u000a +p1711 +tp1712 +a(g698 +g1035 +tp1713 +a(g428 +g1133 +tp1714 +a(g831 +g958 +tp1715 +a(g405 +V>> +p1716 +tp1717 +a(g831 +g958 +tp1718 +a(g27 +V112 +p1719 +tp1720 +a(g698 +g1166 +tp1721 +a(g831 +g958 +tp1722 +a(g405 +g1684 +tp1723 +a(g831 +g958 +tp1724 +a(g698 +g1035 +tp1725 +a(g27 +g1582 +tp1726 +a(g831 +g958 +tp1727 +a(g405 +V<< +p1728 +tp1729 +a(g831 +g958 +tp1730 +a(g27 +g1693 +tp1731 +a(g698 +g1166 +tp1732 +a(g831 +V +p1733 +tp1734 +a(g405 +V== +p1735 +tp1736 +a(g831 +g958 +tp1737 +a(g290 +V0x000000000000000f +p1738 +tp1739 +a(g831 +g958 +tp1740 +a(g405 +V=> +p1741 +tp1742 +a(g831 +g958 +tp1743 +a(g81 +g960 +tp1744 +a(g81 +Vmulticast w/ reserved scope value +p1745 +tp1746 +a(g81 +g960 +tp1747 +a(g831 +V\u000a +p1748 +tp1749 +a(g698 +g1035 +tp1750 +a(g428 +g1133 +tp1751 +a(g831 +g958 +tp1752 +a(g405 +V>> +p1753 +tp1754 +a(g831 +g958 +tp1755 +a(g27 +V112 +p1756 +tp1757 +a(g698 +g1166 +tp1758 +a(g831 +g958 +tp1759 +a(g405 +g1684 +tp1760 +a(g831 +g958 +tp1761 +a(g698 +g1035 +tp1762 +a(g27 +g1582 +tp1763 +a(g831 +g958 +tp1764 +a(g405 +V<< +p1765 +tp1766 +a(g831 +g958 +tp1767 +a(g27 +g1693 +tp1768 +a(g698 +g1166 +tp1769 +a(g831 +V +p1770 +tp1771 +a(g405 +V== +p1772 +tp1773 +a(g831 +g958 +tp1774 +a(g290 +V0x0000000000000001 +p1775 +tp1776 +a(g831 +g958 +tp1777 +a(g405 +V=> +p1778 +tp1779 +a(g831 +g958 +tp1780 +a(g81 +g960 +tp1781 +a(g81 +Vinterface-local multicast +p1782 +tp1783 +a(g81 +g960 +tp1784 +a(g831 +V\u000a +p1785 +tp1786 +a(g698 +g1035 +tp1787 +a(g428 +g1133 +tp1788 +a(g831 +g958 +tp1789 +a(g405 +V>> +p1790 +tp1791 +a(g831 +g958 +tp1792 +a(g27 +V112 +p1793 +tp1794 +a(g698 +g1166 +tp1795 +a(g831 +g958 +tp1796 +a(g405 +g1684 +tp1797 +a(g831 +g958 +tp1798 +a(g698 +g1035 +tp1799 +a(g27 +g1582 +tp1800 +a(g831 +g958 +tp1801 +a(g405 +V<< +p1802 +tp1803 +a(g831 +g958 +tp1804 +a(g27 +g1693 +tp1805 +a(g698 +g1166 +tp1806 +a(g831 +V +p1807 +tp1808 +a(g405 +V== +p1809 +tp1810 +a(g831 +g958 +tp1811 +a(g290 +V0x0000000000000004 +p1812 +tp1813 +a(g831 +g958 +tp1814 +a(g405 +V=> +p1815 +tp1816 +a(g831 +g958 +tp1817 +a(g81 +g960 +tp1818 +a(g81 +Vadmin-local multicast +p1819 +tp1820 +a(g81 +g960 +tp1821 +a(g831 +V\u000a +p1822 +tp1823 +a(g698 +g1035 +tp1824 +a(g428 +g1133 +tp1825 +a(g831 +g958 +tp1826 +a(g405 +V>> +p1827 +tp1828 +a(g831 +g958 +tp1829 +a(g27 +V112 +p1830 +tp1831 +a(g698 +g1166 +tp1832 +a(g831 +g958 +tp1833 +a(g405 +g1684 +tp1834 +a(g831 +g958 +tp1835 +a(g698 +g1035 +tp1836 +a(g27 +g1582 +tp1837 +a(g831 +g958 +tp1838 +a(g405 +V<< +p1839 +tp1840 +a(g831 +g958 +tp1841 +a(g27 +g1693 +tp1842 +a(g698 +g1166 +tp1843 +a(g831 +V +p1844 +tp1845 +a(g405 +V== +p1846 +tp1847 +a(g831 +g958 +tp1848 +a(g290 +V0x0000000000000005 +p1849 +tp1850 +a(g831 +g958 +tp1851 +a(g405 +V=> +p1852 +tp1853 +a(g831 +g958 +tp1854 +a(g81 +g960 +tp1855 +a(g81 +Vsite-local multicast +p1856 +tp1857 +a(g81 +g960 +tp1858 +a(g831 +V\u000a +p1859 +tp1860 +a(g698 +g1035 +tp1861 +a(g428 +g1133 +tp1862 +a(g831 +g958 +tp1863 +a(g405 +V>> +p1864 +tp1865 +a(g831 +g958 +tp1866 +a(g27 +V112 +p1867 +tp1868 +a(g698 +g1166 +tp1869 +a(g831 +g958 +tp1870 +a(g405 +g1684 +tp1871 +a(g831 +g958 +tp1872 +a(g698 +g1035 +tp1873 +a(g27 +g1582 +tp1874 +a(g831 +g958 +tp1875 +a(g405 +V<< +p1876 +tp1877 +a(g831 +g958 +tp1878 +a(g27 +g1693 +tp1879 +a(g698 +g1166 +tp1880 +a(g831 +V +p1881 +tp1882 +a(g405 +V== +p1883 +tp1884 +a(g831 +g958 +tp1885 +a(g290 +V0x0000000000000008 +p1886 +tp1887 +a(g831 +g958 +tp1888 +a(g405 +V=> +p1889 +tp1890 +a(g831 +g958 +tp1891 +a(g81 +g960 +tp1892 +a(g81 +Vorganization-local multicast +p1893 +tp1894 +a(g81 +g960 +tp1895 +a(g831 +V\u000a +p1896 +tp1897 +a(g698 +g1035 +tp1898 +a(g428 +g1133 +tp1899 +a(g831 +g958 +tp1900 +a(g405 +V>> +p1901 +tp1902 +a(g831 +g958 +tp1903 +a(g27 +V112 +p1904 +tp1905 +a(g698 +g1166 +tp1906 +a(g831 +g958 +tp1907 +a(g405 +g1684 +tp1908 +a(g831 +g958 +tp1909 +a(g698 +g1035 +tp1910 +a(g27 +g1582 +tp1911 +a(g831 +g958 +tp1912 +a(g405 +V<< +p1913 +tp1914 +a(g831 +g958 +tp1915 +a(g27 +g1693 +tp1916 +a(g698 +g1166 +tp1917 +a(g831 +V +p1918 +tp1919 +a(g405 +V== +p1920 +tp1921 +a(g831 +g958 +tp1922 +a(g290 +V0x000000000000000e +p1923 +tp1924 +a(g831 +g958 +tp1925 +a(g405 +V=> +p1926 +tp1927 +a(g831 +g958 +tp1928 +a(g81 +g960 +tp1929 +a(g81 +Vglobal multicast +p1930 +tp1931 +a(g81 +g960 +tp1932 +a(g831 +V\u000a +p1933 +tp1934 +a(g698 +g1035 +tp1935 +a(g428 +g1133 +tp1936 +a(g831 +g958 +tp1937 +a(g405 +V>> +p1938 +tp1939 +a(g831 +g958 +tp1940 +a(g27 +V112 +p1941 +tp1942 +a(g698 +g1166 +tp1943 +a(g831 +g958 +tp1944 +a(g405 +g1684 +tp1945 +a(g831 +g958 +tp1946 +a(g698 +g1035 +tp1947 +a(g27 +g1582 +tp1948 +a(g831 +g958 +tp1949 +a(g405 +V<< +p1950 +tp1951 +a(g831 +g958 +tp1952 +a(g27 +g1693 +tp1953 +a(g698 +g1166 +tp1954 +a(g831 +V +p1955 +tp1956 +a(g405 +V!= +p1957 +tp1958 +a(g831 +g958 +tp1959 +a(g290 +V0x0000000000000002 +p1960 +tp1961 +a(g831 +g958 +tp1962 +a(g405 +V=> +p1963 +tp1964 +a(g831 +g958 +tp1965 +a(g81 +g960 +tp1966 +a(g81 +Vmulticast w/ unknown scope value +p1967 +tp1968 +a(g81 +g960 +tp1969 +a(g831 +V\u000a +p1970 +tp1971 +a(g698 +g1035 +tp1972 +a(g428 +g1133 +tp1973 +a(g831 +g958 +tp1974 +a(g405 +V>> +p1975 +tp1976 +a(g831 +V +p1977 +tp1978 +a(g27 +V24 +p1979 +tp1980 +a(g698 +g1166 +tp1981 +a(g831 +g958 +tp1982 +a(g405 +g1684 +tp1983 +a(g831 +g958 +tp1984 +a(g698 +g1035 +tp1985 +a(g27 +g1582 +tp1986 +a(g831 +g958 +tp1987 +a(g405 +V<< +p1988 +tp1989 +a(g831 +g958 +tp1990 +a(g27 +V112 +p1991 +tp1992 +a(g698 +g1166 +tp1993 +a(g831 +g958 +tp1994 +a(g405 +V== +p1995 +tp1996 +a(g831 +g958 +tp1997 +a(g290 +V0x00000000000001ff +p1998 +tp1999 +a(g831 +g958 +tp2000 +a(g405 +V=> +p2001 +tp2002 +a(g831 +g958 +tp2003 +a(g81 +g960 +tp2004 +a(g81 +Vsolicited-node multicast +p2005 +tp2006 +a(g81 +g960 +tp2007 +a(g831 +V\u000a +p2008 +tp2009 +a(g8 +Votherwise +p2010 +tp2011 +a(g831 +g958 +tp2012 +a(g405 +V=> +p2013 +tp2014 +a(g831 +g958 +tp2015 +a(g81 +g960 +tp2016 +a(g81 +Vlink-local multicast +p2017 +tp2018 +a(g81 +g960 +tp2019 +a(g831 +V\u000a\u000a\u000a +p2020 +tp2021 +a(g674 +Vprint +p2022 +tp2023 +a(g831 +g958 +tp2024 +a(g405 +g1080 +tp2025 +a(g831 +g958 +tp2026 +a(g698 +g1035 +tp2027 +a(g428 +g1146 +tp2028 +a(g831 +g958 +tp2029 +a(g405 +V-> +p2030 +tp2031 +a(g831 +g958 +tp2032 +a(g428 +Vinet6_type +p2033 +tp2034 +a(g831 +g958 +tp2035 +a(g428 +g1146 +tp2036 +a(g405 +g1315 +tp2037 +a(g831 +g958 +tp2038 +a(g674 +Vhex +p2039 +tp2040 +a(g831 +g958 +tp2041 +a(g428 +g1146 +tp2042 +a(g698 +g1166 +tp2043 +a(g831 +g958 +tp2044 +a(g405 +g1080 +tp2045 +a(g831 +g958 +tp2046 +a(g428 +Vinet_pton6 +p2047 +tp2048 +a(g831 +g958 +tp2049 +a(g405 +g1080 +tp2050 +a(g831 +g958 +tp2051 +a(g428 +Vsys +p2052 +tp2053 +a(g405 +g1007 +tp2054 +a(g428 +Vstdin +p2055 +tp2056 +a(g405 +g1007 +tp2057 +a(g428 +Vread +p2058 +tp2059 +a(g405 +V!. +p2060 +tp2061 +a(g428 +Vstrip +p2062 +tp2063 +a(g405 +g1333 +tp2064 +a(g831 +V\u000a +p2065 +tp2066 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/inform6_example b/tests/examplefiles/output/inform6_example new file mode 100644 index 0000000..cbfe4b9 --- /dev/null +++ b/tests/examplefiles/output/inform6_example @@ -0,0 +1,15376 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV!% $SMALL ! This is ICL, not a comment.\u000a!% -w\u000a +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g898 +V!% A comprehensive test of Inform6Lexer. +p960 +tp961 +a(g827 +V\u000a\u000a +p962 +tp963 +a(g745 +VSwitches +p964 +tp965 +a(g827 +V +p966 +tp967 +a(g827 +V +p968 +tp969 +a(g436 +Vd2SDq +p970 +tp971 +a(g706 +g968 +tp972 +a(g706 +V; +p973 +tp974 +a(g827 +V\u000a\u000a +p975 +tp976 +a(g745 +VConstant +p977 +tp978 +a(g827 +g966 +tp979 +a(g566 +VStory +p980 +tp981 +a(g827 +g966 +tp982 +a(g827 +g968 +tp983 +a(g157 +V" +p984 +tp985 +a(g157 +VInformal Testing +p986 +tp987 +a(g157 +g984 +tp988 +a(g706 +g968 +tp989 +a(g706 +g973 +tp990 +a(g827 +V\u000a +p991 +tp992 +a(g745 +VConstant +p993 +tp994 +a(g827 +g966 +tp995 +a(g566 +VHeadline +p996 +tp997 +a(g827 +g966 +tp998 +a(g827 +g968 +tp999 +a(g157 +g984 +tp1000 +a(g213 +V^ +p1001 +tp1002 +a(g157 +VNot a game. +p1003 +tp1004 +a(g213 +g1001 +tp1005 +a(g157 +g984 +tp1006 +a(g706 +g968 +tp1007 +a(g706 +g973 +tp1008 +a(g898 +V!% This is a comment, not ICL. +p1009 +tp1010 +a(g827 +V\u000a\u000a +p1011 +tp1012 +a(g745 +VRelease +p1013 +tp1014 +a(g827 +g966 +tp1015 +a(g827 +g968 +tp1016 +a(g37 +V3 +p1017 +tp1018 +a(g706 +g968 +tp1019 +a(g706 +g973 +tp1020 +a(g827 +V\u000a +p1021 +tp1022 +a(g745 +VSerial +p1023 +tp1024 +a(g827 +g966 +tp1025 +a(g827 +g968 +tp1026 +a(g157 +g984 +tp1027 +a(g157 +V151213 +p1028 +tp1029 +a(g157 +g984 +tp1030 +a(g706 +g968 +tp1031 +a(g706 +g973 +tp1032 +a(g827 +V\u000a +p1033 +tp1034 +a(g745 +VVersion +p1035 +tp1036 +a(g827 +g966 +tp1037 +a(g827 +g968 +tp1038 +a(g37 +V5 +p1039 +tp1040 +a(g706 +g968 +tp1041 +a(g706 +g973 +tp1042 +a(g827 +V\u000a\u000a +p1043 +tp1044 +a(g745 +VIfndef +p1045 +tp1046 +a(g827 +g966 +tp1047 +a(g827 +g968 +tp1048 +a(g682 +VTARGET_ZCODE +p1049 +tp1050 +a(g706 +g968 +tp1051 +a(g706 +g973 +tp1052 +a(g827 +V\u000a +p1053 +tp1054 +a(g745 +VIfndef +p1055 +tp1056 +a(g827 +g966 +tp1057 +a(g827 +g968 +tp1058 +a(g682 +VTARGET_GLULX +p1059 +tp1060 +a(g706 +g968 +tp1061 +a(g706 +g973 +tp1062 +a(g827 +V\u000a +p1063 +tp1064 +a(g745 +VIfndef +p1065 +tp1066 +a(g827 +g966 +tp1067 +a(g827 +g968 +tp1068 +a(g682 +VWORDSIZE +p1069 +tp1070 +a(g706 +g968 +tp1071 +a(g706 +g973 +tp1072 +a(g827 +V\u000a +p1073 +tp1074 +a(g745 +VDefault +p1075 +tp1076 +a(g827 +g966 +tp1077 +a(g566 +VWORDSIZE +p1078 +tp1079 +a(g827 +g966 +tp1080 +a(g827 +g968 +tp1081 +a(g37 +V2 +p1082 +tp1083 +a(g706 +g968 +tp1084 +a(g706 +g973 +tp1085 +a(g827 +V\u000a +p1086 +tp1087 +a(g745 +VConstant +p1088 +tp1089 +a(g827 +g966 +tp1090 +a(g566 +VTARGET_ZCODE +p1091 +tp1092 +a(g706 +g968 +tp1093 +a(g706 +g973 +tp1094 +a(g827 +V\u000a +p1095 +tp1096 +a(g745 +VEndif +p1097 +tp1098 +a(g706 +g968 +tp1099 +a(g706 +g973 +tp1100 +a(g827 +V\u000a +p1101 +tp1102 +a(g745 +VEndif +p1103 +tp1104 +a(g706 +g968 +tp1105 +a(g706 +g973 +tp1106 +a(g827 +V\u000a +p1107 +tp1108 +a(g745 +VEndif +p1109 +tp1110 +a(g706 +g968 +tp1111 +a(g706 +g973 +tp1112 +a(g827 +V\u000a\u000a +p1113 +tp1114 +a(g745 +VIfv3 +p1115 +tp1116 +a(g706 +g968 +tp1117 +a(g706 +g973 +tp1118 +a(g827 +g966 +tp1119 +a(g745 +VMessage +p1120 +tp1121 +a(g827 +g966 +tp1122 +a(g157 +g984 +tp1123 +a(g157 +VCompiling to version 3 +p1124 +tp1125 +a(g157 +g984 +tp1126 +a(g706 +g968 +tp1127 +a(g706 +g973 +tp1128 +a(g827 +g966 +tp1129 +a(g745 +VEndif +p1130 +tp1131 +a(g706 +g968 +tp1132 +a(g706 +g973 +tp1133 +a(g827 +V\u000a +p1134 +tp1135 +a(g745 +VIfv5 +p1136 +tp1137 +a(g706 +g968 +tp1138 +a(g706 +g973 +tp1139 +a(g827 +g966 +tp1140 +a(g745 +VMessage +p1141 +tp1142 +a(g827 +g966 +tp1143 +a(g157 +g984 +tp1144 +a(g157 +VNot compiling to version 3 +p1145 +tp1146 +a(g157 +g984 +tp1147 +a(g706 +g968 +tp1148 +a(g706 +g973 +tp1149 +a(g827 +g966 +tp1150 +a(g745 +Vendif +p1151 +tp1152 +a(g706 +g968 +tp1153 +a(g706 +g973 +tp1154 +a(g827 +V\u000a +p1155 +tp1156 +a(g745 +Vifdef +p1157 +tp1158 +a(g827 +g966 +tp1159 +a(g827 +g968 +tp1160 +a(g682 +VTARGET_ZCODE +p1161 +tp1162 +a(g706 +g968 +tp1163 +a(g706 +g973 +tp1164 +a(g827 +V\u000a +p1165 +tp1166 +a(g706 +V# +p1167 +tp1168 +a(g745 +VIFTRUE +p1169 +tp1170 +a(g827 +g966 +tp1171 +a(g827 +g968 +tp1172 +a(g706 +V( +p1173 +tp1174 +a(g827 +g968 +tp1175 +a(g682 +g1167 +tp1176 +a(g682 +Vversion_number +p1177 +tp1178 +a(g827 +g966 +tp1179 +a(g413 +V== +p1180 +tp1181 +a(g827 +g966 +tp1182 +a(g827 +g968 +tp1183 +a(g37 +g1039 +tp1184 +a(g706 +V) +p1185 +tp1186 +a(g706 +g968 +tp1187 +a(g706 +g973 +tp1188 +a(g827 +V\u000a +p1189 +tp1190 +a(g745 +VMessage +p1191 +tp1192 +a(g827 +g966 +tp1193 +a(g157 +g984 +tp1194 +a(g157 +VCompiling to version 5 +p1195 +tp1196 +a(g157 +g984 +tp1197 +a(g706 +g968 +tp1198 +a(g706 +g973 +tp1199 +a(g827 +V\u000a +p1200 +tp1201 +a(g706 +g1167 +tp1202 +a(g745 +VENDIF +p1203 +tp1204 +a(g706 +g968 +tp1205 +a(g706 +g973 +tp1206 +a(g827 +V\u000a +p1207 +tp1208 +a(g745 +Vendif +p1209 +tp1210 +a(g827 +g966 +tp1211 +a(g706 +g968 +tp1212 +a(g706 +g973 +tp1213 +a(g827 +V\u000a\u000a +p1214 +tp1215 +a(g745 +VReplace +p1216 +tp1217 +a(g827 +g966 +tp1218 +a(g574 +VCreatureTest +p1219 +tp1220 +a(g706 +g968 +tp1221 +a(g706 +g973 +tp1222 +a(g827 +V\u000a\u000a +p1223 +tp1224 +a(g745 +VInclude +p1225 +tp1226 +a(g827 +g966 +tp1227 +a(g157 +g984 +tp1228 +a(g157 +VParser +p1229 +tp1230 +a(g157 +g984 +tp1231 +a(g706 +g968 +tp1232 +a(g706 +g973 +tp1233 +a(g827 +V\u000a +p1234 +tp1235 +a(g745 +VInclude +p1236 +tp1237 +a(g827 +g966 +tp1238 +a(g157 +g984 +tp1239 +a(g157 +VVerbLib +p1240 +tp1241 +a(g157 +g984 +tp1242 +a(g706 +g968 +tp1243 +a(g706 +g973 +tp1244 +a(g827 +V\u000a\u000a +p1245 +tp1246 +a(g706 +g1167 +tp1247 +a(g827 +g966 +tp1248 +a(g898 +V! A hash is optional at the top level. +p1249 +tp1250 +a(g827 +V\u000a +p1251 +tp1252 +a(g745 +VObject +p1253 +tp1254 +a(g827 +g966 +tp1255 +a(g470 +Vkitchen +p1256 +tp1257 +a(g827 +g966 +tp1258 +a(g827 +g968 +tp1259 +a(g157 +g984 +tp1260 +a(g157 +VKitchen +p1261 +tp1262 +a(g157 +g984 +tp1263 +a(g827 +V\u000a +p1264 +tp1265 +a(g810 +Vwith +p1266 +tp1267 +a(g827 +g966 +tp1268 +a(g827 +g968 +tp1269 +a(g436 +Vdescription +p1270 +tp1271 +a(g827 +g966 +tp1272 +a(g827 +g968 +tp1273 +a(g157 +g984 +tp1274 +a(g157 +VYou are in a kitchen. +p1275 +tp1276 +a(g157 +g984 +tp1277 +a(g706 +V, +p1278 +tp1279 +a(g827 +V\u000a +p1280 +tp1281 +a(g827 +g968 +tp1282 +a(g436 +Varr +p1283 +tp1284 +a(g827 +g966 +tp1285 +a(g827 +g968 +tp1286 +a(g37 +V1 +p1287 +tp1288 +a(g827 +g966 +tp1289 +a(g827 +g968 +tp1290 +a(g37 +g1082 +tp1291 +a(g827 +g966 +tp1292 +a(g827 +g968 +tp1293 +a(g37 +g1017 +tp1294 +a(g827 +g966 +tp1295 +a(g827 +g968 +tp1296 +a(g37 +V4 +p1297 +tp1298 +a(g706 +g1278 +tp1299 +a(g827 +V\u000a +p1300 +tp1301 +a(g810 +Vhas +p1302 +tp1303 +a(g827 +g966 +tp1304 +a(g827 +g968 +tp1305 +a(g436 +Vlight +p1306 +tp1307 +a(g706 +g973 +tp1308 +a(g827 +V\u000a\u000a +p1309 +tp1310 +a(g706 +g1167 +tp1311 +a(g706 +V[ +p1312 +tp1313 +a(g827 +g966 +tp1314 +a(g574 +VInitialise +p1315 +tp1316 +a(g706 +g973 +tp1317 +a(g827 +V\u000a +p1318 +tp1319 +a(g827 +g968 +tp1320 +a(g436 +Vlocation +p1321 +tp1322 +a(g827 +g966 +tp1323 +a(g413 +V= +p1324 +tp1325 +a(g827 +g966 +tp1326 +a(g827 +g968 +tp1327 +a(g436 +Vkitchen +p1328 +tp1329 +a(g706 +g968 +tp1330 +a(g706 +g973 +tp1331 +a(g827 +V\u000a +p1332 +tp1333 +a(g745 +Vprint +p1334 +tp1335 +a(g827 +g966 +tp1336 +a(g827 +g968 +tp1337 +a(g157 +g984 +tp1338 +a(g157 +Vv +p1339 +tp1340 +a(g157 +g984 +tp1341 +a(g706 +g973 +tp1342 +a(g827 +g966 +tp1343 +a(g745 +Vinversion +p1344 +tp1345 +a(g706 +g968 +tp1346 +a(g706 +g973 +tp1347 +a(g827 +g966 +tp1348 +a(g745 +g968 +tp1349 +a(g827 +g968 +tp1350 +a(g157 +g984 +tp1351 +a(g213 +g1001 +tp1352 +a(g157 +g984 +tp1353 +a(g706 +g973 +tp1354 +a(g827 +V\u000a +p1355 +tp1356 +a(g706 +V] +p1357 +tp1358 +a(g706 +g968 +tp1359 +a(g706 +g973 +tp1360 +a(g827 +V\u000a\u000a +p1361 +tp1362 +a(g745 +VIfdef +p1363 +tp1364 +a(g827 +g966 +tp1365 +a(g827 +g968 +tp1366 +a(g436 +VVN_1633 +p1367 +tp1368 +a(g706 +g968 +tp1369 +a(g706 +g973 +tp1370 +a(g827 +V\u000a +p1371 +tp1372 +a(g745 +VReplace +p1373 +tp1374 +a(g827 +g966 +tp1375 +a(g574 +VIsSeeThrough +p1376 +tp1377 +a(g827 +g966 +tp1378 +a(g574 +VIsSeeThroughOrig +p1379 +tp1380 +a(g706 +g968 +tp1381 +a(g706 +g973 +tp1382 +a(g827 +V\u000a +p1383 +tp1384 +a(g706 +g1312 +tp1385 +a(g827 +g966 +tp1386 +a(g574 +VIsSeeThrough +p1387 +tp1388 +a(g827 +g966 +tp1389 +a(g706 +V* +p1390 +tp1391 +a(g827 +g966 +tp1392 +a(g441 +Vo +p1393 +tp1394 +a(g706 +g973 +tp1395 +a(g827 +V\u000a +p1396 +tp1397 +a(g745 +Vreturn +p1398 +tp1399 +a(g827 +g966 +tp1400 +a(g827 +g968 +tp1401 +a(g436 +g1393 +tp1402 +a(g827 +g966 +tp1403 +a(g427 +Vhasnt +p1404 +tp1405 +a(g827 +g966 +tp1406 +a(g827 +g968 +tp1407 +a(g436 +Vopaque +p1408 +tp1409 +a(g827 +g966 +tp1410 +a(g413 +V|| +p1411 +tp1412 +a(g827 +g966 +tp1413 +a(g827 +g968 +tp1414 +a(g436 +VIsSeeThroughOrig +p1415 +tp1416 +a(g706 +g1173 +tp1417 +a(g827 +g968 +tp1418 +a(g436 +g1393 +tp1419 +a(g706 +g1185 +tp1420 +a(g706 +g968 +tp1421 +a(g706 +g973 +tp1422 +a(g827 +V\u000a +p1423 +tp1424 +a(g706 +g1357 +tp1425 +a(g706 +g968 +tp1426 +a(g706 +g973 +tp1427 +a(g827 +V\u000a +p1428 +tp1429 +a(g745 +VEndif +p1430 +tp1431 +a(g706 +g968 +tp1432 +a(g706 +g973 +tp1433 +a(g827 +V\u000a\u000a +p1434 +tp1435 +a(g745 +VAbbreviate +p1436 +tp1437 +a(g827 +g966 +tp1438 +a(g827 +g968 +tp1439 +a(g157 +g984 +tp1440 +a(g157 +Vtest +p1441 +tp1442 +a(g157 +g984 +tp1443 +a(g706 +g968 +tp1444 +a(g706 +g973 +tp1445 +a(g827 +V\u000a\u000a +p1446 +tp1447 +a(g745 +VArray +p1448 +tp1449 +a(g827 +g966 +tp1450 +a(g470 +Vtable +p1451 +tp1452 +a(g827 +g966 +tp1453 +a(g745 +Vbuffer +p1454 +tp1455 +a(g827 +g966 +tp1456 +a(g827 +g968 +tp1457 +a(g37 +V260 +p1458 +tp1459 +a(g706 +g968 +tp1460 +a(g706 +g973 +tp1461 +a(g827 +V\u000a\u000a +p1462 +tp1463 +a(g745 +VAttribute +p1464 +tp1465 +a(g827 +g966 +tp1466 +a(g566 +Vreversed +p1467 +tp1468 +a(g706 +g968 +tp1469 +a(g706 +g973 +tp1470 +a(g827 +V\u000a +p1471 +tp1472 +a(g745 +VAttribute +p1473 +tp1474 +a(g827 +g966 +tp1475 +a(g566 +Vopaque +p1476 +tp1477 +a(g827 +g966 +tp1478 +a(g745 +Valias +p1479 +tp1480 +a(g827 +g966 +tp1481 +a(g566 +Vlocked +p1482 +tp1483 +a(g706 +g968 +tp1484 +a(g706 +g973 +tp1485 +a(g827 +V\u000a +p1486 +tp1487 +a(g745 +VConstant +p1488 +tp1489 +a(g827 +g966 +tp1490 +a(g566 +Vto +p1491 +tp1492 +a(g827 +g966 +tp1493 +a(g827 +g968 +tp1494 +a(g436 +Vreversed +p1495 +tp1496 +a(g706 +g968 +tp1497 +a(g706 +g973 +tp1498 +a(g827 +V\u000a\u000a +p1499 +tp1500 +a(g745 +VProperty +p1501 +tp1502 +a(g827 +g966 +tp1503 +a(g745 +Vlong +p1504 +tp1505 +a(g827 +g966 +tp1506 +a(g745 +Vadditive +p1507 +tp1508 +a(g827 +g966 +tp1509 +a(g745 +Vadditive +p1510 +tp1511 +a(g827 +g966 +tp1512 +a(g745 +Vlong +p1513 +tp1514 +a(g827 +g966 +tp1515 +a(g566 +Valias +p1516 +tp1517 +a(g706 +g968 +tp1518 +a(g706 +g973 +tp1519 +a(g827 +V\u000a +p1520 +tp1521 +a(g745 +VProperty +p1522 +tp1523 +a(g827 +g966 +tp1524 +a(g745 +Vlong +p1525 +tp1526 +a(g827 +g966 +tp1527 +a(g745 +Vlong +p1528 +tp1529 +a(g827 +g966 +tp1530 +a(g745 +Vlong +p1531 +tp1532 +a(g827 +g966 +tp1533 +a(g566 +Vwingspan +p1534 +tp1535 +a(g827 +g966 +tp1536 +a(g745 +Valias +p1537 +tp1538 +a(g827 +g966 +tp1539 +a(g566 +Valias +p1540 +tp1541 +a(g706 +g968 +tp1542 +a(g706 +g973 +tp1543 +a(g827 +V\u000a\u000a +p1544 +tp1545 +a(g745 +VClass +p1546 +tp1547 +a(g827 +g966 +tp1548 +a(g629 +VFlier +p1549 +tp1550 +a(g827 +g966 +tp1551 +a(g810 +Vwith +p1552 +tp1553 +a(g827 +g966 +tp1554 +a(g827 +g968 +tp1555 +a(g436 +Vwingspan +p1556 +tp1557 +a(g827 +g966 +tp1558 +a(g827 +g968 +tp1559 +a(g37 +g1039 +tp1560 +a(g706 +g973 +tp1561 +a(g827 +V\u000a +p1562 +tp1563 +a(g745 +VClass +p1564 +tp1565 +a(g827 +g966 +tp1566 +a(g629 +VBird +p1567 +tp1568 +a(g706 +g1173 +tp1569 +a(g827 +g968 +tp1570 +a(g37 +V10 +p1571 +tp1572 +a(g706 +g1185 +tp1573 +a(g827 +g966 +tp1574 +a(g810 +Vhas +p1575 +tp1576 +a(g827 +g966 +tp1577 +a(g827 +g968 +tp1578 +a(g436 +Vanimate +p1579 +tp1580 +a(g827 +g966 +tp1581 +a(g810 +Vclass +p1582 +tp1583 +a(g827 +g966 +tp1584 +a(g629 +VFlier +p1585 +tp1586 +a(g827 +g966 +tp1587 +a(g827 +g968 +tp1588 +a(g810 +Vwith +p1589 +tp1590 +a(g827 +g966 +tp1591 +a(g827 +g968 +tp1592 +a(g436 +Vwingspan +p1593 +tp1594 +a(g827 +g966 +tp1595 +a(g827 +g968 +tp1596 +a(g37 +g1082 +tp1597 +a(g706 +g973 +tp1598 +a(g827 +V\u000a\u000a +p1599 +tp1600 +a(g745 +VConstant +p1601 +tp1602 +a(g827 +g966 +tp1603 +a(g566 +VConstant1 +p1604 +tp1605 +a(g706 +g968 +tp1606 +a(g706 +g973 +tp1607 +a(g827 +V\u000a +p1608 +tp1609 +a(g745 +VConstant +p1610 +tp1611 +a(g827 +g966 +tp1612 +a(g566 +VConstant2 +p1613 +tp1614 +a(g827 +g966 +tp1615 +a(g827 +g968 +tp1616 +a(g436 +VConstant1 +p1617 +tp1618 +a(g706 +g968 +tp1619 +a(g706 +g973 +tp1620 +a(g827 +V\u000a +p1621 +tp1622 +a(g745 +VConstant +p1623 +tp1624 +a(g827 +g966 +tp1625 +a(g566 +VConstant3 +p1626 +tp1627 +a(g827 +g966 +tp1628 +a(g413 +g1324 +tp1629 +a(g827 +g966 +tp1630 +a(g827 +g968 +tp1631 +a(g436 +VConstant2 +p1632 +tp1633 +a(g706 +g968 +tp1634 +a(g706 +g973 +tp1635 +a(g827 +V\u000a +p1636 +tp1637 +a(g745 +VIfdef +p1638 +tp1639 +a(g827 +g966 +tp1640 +a(g827 +g968 +tp1641 +a(g436 +VVN_1633 +p1642 +tp1643 +a(g706 +g968 +tp1644 +a(g706 +g973 +tp1645 +a(g827 +g966 +tp1646 +a(g745 +VUndef +p1647 +tp1648 +a(g827 +g966 +tp1649 +a(g566 +VConstant +p1650 +tp1651 +a(g706 +g968 +tp1652 +a(g706 +g973 +tp1653 +a(g827 +g966 +tp1654 +a(g745 +VEndif +p1655 +tp1656 +a(g706 +g968 +tp1657 +a(g706 +g973 +tp1658 +a(g827 +V\u000a\u000a +p1659 +tp1660 +a(g745 +VIfdef +p1661 +tp1662 +a(g827 +g966 +tp1663 +a(g827 +g968 +tp1664 +a(g436 +VVN_1633 +p1665 +tp1666 +a(g706 +g968 +tp1667 +a(g706 +g973 +tp1668 +a(g827 +V\u000a +p1669 +tp1670 +a(g745 +VDictionary +p1671 +tp1672 +a(g827 +g966 +tp1673 +a(g827 +g968 +tp1674 +a(g230 +V' +p1675 +tp1676 +a(g230 +Vword +p1677 +tp1678 +a(g230 +g1675 +tp1679 +a(g827 +g966 +tp1680 +a(g827 +g968 +tp1681 +a(g37 +g1287 +tp1682 +a(g827 +g966 +tp1683 +a(g827 +g968 +tp1684 +a(g37 +g1082 +tp1685 +a(g706 +g968 +tp1686 +a(g706 +g973 +tp1687 +a(g827 +V\u000a +p1688 +tp1689 +a(g745 +VIfnot +p1690 +tp1691 +a(g706 +g968 +tp1692 +a(g706 +g973 +tp1693 +a(g827 +V\u000a +p1694 +tp1695 +a(g745 +VDictionary +p1696 +tp1697 +a(g827 +g966 +tp1698 +a(g827 +g968 +tp1699 +a(g436 +Vdict_word +p1700 +tp1701 +a(g827 +g966 +tp1702 +a(g827 +g968 +tp1703 +a(g157 +g984 +tp1704 +a(g157 +Vword +p1705 +tp1706 +a(g157 +g984 +tp1707 +a(g706 +g968 +tp1708 +a(g706 +g973 +tp1709 +a(g827 +V\u000a +p1710 +tp1711 +a(g745 +VEndif +p1712 +tp1713 +a(g706 +g968 +tp1714 +a(g706 +g973 +tp1715 +a(g827 +V\u000a\u000a +p1716 +tp1717 +a(g745 +VFake_action +p1718 +tp1719 +a(g827 +g966 +tp1720 +a(g566 +VNotReal +p1721 +tp1722 +a(g706 +g968 +tp1723 +a(g706 +g973 +tp1724 +a(g827 +V\u000a\u000a +p1725 +tp1726 +a(g745 +VGlobal +p1727 +tp1728 +a(g827 +g966 +tp1729 +a(g470 +Vglobal1 +p1730 +tp1731 +a(g706 +g968 +tp1732 +a(g706 +g973 +tp1733 +a(g827 +V\u000a +p1734 +tp1735 +a(g745 +VGlobal +p1736 +tp1737 +a(g827 +g966 +tp1738 +a(g470 +Vglobal2 +p1739 +tp1740 +a(g827 +g966 +tp1741 +a(g706 +g1324 +tp1742 +a(g827 +g966 +tp1743 +a(g827 +g968 +tp1744 +a(g37 +V69105 +p1745 +tp1746 +a(g706 +g968 +tp1747 +a(g706 +g973 +tp1748 +a(g827 +V\u000a\u000a +p1749 +tp1750 +a(g745 +VLowstring +p1751 +tp1752 +a(g827 +g966 +tp1753 +a(g566 +Vlow_string +p1754 +tp1755 +a(g827 +g966 +tp1756 +a(g827 +g968 +tp1757 +a(g157 +g984 +tp1758 +a(g157 +Vlow string +p1759 +tp1760 +a(g157 +g984 +tp1761 +a(g706 +g968 +tp1762 +a(g706 +g973 +tp1763 +a(g827 +V\u000a\u000a +p1764 +tp1765 +a(g745 +VIftrue +p1766 +tp1767 +a(g827 +g966 +tp1768 +a(g827 +g968 +tp1769 +a(g682 +Vfalse +p1770 +tp1771 +a(g706 +g968 +tp1772 +a(g706 +g973 +tp1773 +a(g827 +V\u000a +p1774 +tp1775 +a(g745 +VMessage +p1776 +tp1777 +a(g827 +g966 +tp1778 +a(g745 +Verror +p1779 +tp1780 +a(g827 +g966 +tp1781 +a(g157 +g984 +tp1782 +a(g157 +VUh-oh! +p1783 +tp1784 +a(g157 +g1001 +tp1785 +a(g157 +V~ +p1786 +tp1787 +a(g157 +Vfalse +p1788 +tp1789 +a(g157 +g1786 +tp1790 +a(g157 +V shouldn't be +p1791 +tp1792 +a(g157 +g1786 +tp1793 +a(g157 +Vtrue +p1794 +tp1795 +a(g157 +g1786 +tp1796 +a(g157 +V. +p1797 +tp1798 +a(g157 +g984 +tp1799 +a(g706 +g968 +tp1800 +a(g706 +g973 +tp1801 +a(g827 +V\u000a +p1802 +tp1803 +a(g745 +VEndif +p1804 +tp1805 +a(g706 +g968 +tp1806 +a(g706 +g973 +tp1807 +a(g827 +V\u000a +p1808 +tp1809 +a(g745 +VIffalse +p1810 +tp1811 +a(g827 +g966 +tp1812 +a(g827 +g968 +tp1813 +a(g682 +Vtrue +p1814 +tp1815 +a(g706 +g968 +tp1816 +a(g706 +g973 +tp1817 +a(g827 +V\u000a +p1818 +tp1819 +a(g745 +VMessage +p1820 +tp1821 +a(g827 +g966 +tp1822 +a(g745 +Vfatalerror +p1823 +tp1824 +a(g827 +g966 +tp1825 +a(g157 +g984 +tp1826 +a(g157 +VUh-oh! +p1827 +tp1828 +a(g157 +g1001 +tp1829 +a(g157 +g1786 +tp1830 +a(g157 +Vtrue +p1831 +tp1832 +a(g157 +g1786 +tp1833 +a(g157 +V shouldn't be +p1834 +tp1835 +a(g157 +g1786 +tp1836 +a(g157 +Vfalse +p1837 +tp1838 +a(g157 +g1786 +tp1839 +a(g157 +g1797 +tp1840 +a(g157 +g984 +tp1841 +a(g706 +g968 +tp1842 +a(g706 +g973 +tp1843 +a(g827 +V\u000a +p1844 +tp1845 +a(g745 +VEndif +p1846 +tp1847 +a(g706 +g968 +tp1848 +a(g706 +g973 +tp1849 +a(g827 +V\u000a\u000a +p1850 +tp1851 +a(g745 +VNearby +p1852 +tp1853 +a(g827 +g966 +tp1854 +a(g470 +Vperson +p1855 +tp1856 +a(g827 +g966 +tp1857 +a(g827 +g968 +tp1858 +a(g157 +g984 +tp1859 +a(g157 +Vperson +p1860 +tp1861 +a(g157 +g984 +tp1862 +a(g827 +V\u000a +p1863 +tp1864 +a(g810 +Vwith +p1865 +tp1866 +a(g827 +g966 +tp1867 +a(g827 +g968 +tp1868 +a(g682 +Vname +p1869 +tp1870 +a(g827 +g966 +tp1871 +a(g827 +g968 +tp1872 +a(g230 +g1675 +tp1873 +a(g230 +Vperson +p1874 +tp1875 +a(g230 +g1675 +tp1876 +a(g706 +g1278 +tp1877 +a(g827 +V\u000a +p1878 +tp1879 +a(g827 +g968 +tp1880 +a(g436 +Vdescription +p1881 +tp1882 +a(g827 +g966 +tp1883 +a(g827 +g968 +tp1884 +a(g157 +g984 +tp1885 +a(g157 +VThis person is barely implemented. +p1886 +tp1887 +a(g157 +g984 +tp1888 +a(g706 +g1278 +tp1889 +a(g827 +V\u000a +p1890 +tp1891 +a(g827 +g968 +tp1892 +a(g436 +Vlife +p1893 +tp1894 +a(g827 +g966 +tp1895 +a(g706 +g1312 +tp1896 +a(g827 +g966 +tp1897 +a(g706 +g1390 +tp1898 +a(g827 +g966 +tp1899 +a(g441 +Vx +p1900 +tp1901 +a(g827 +g966 +tp1902 +a(g441 +Vy +p1903 +tp1904 +a(g827 +g966 +tp1905 +a(g441 +Vz +p1906 +tp1907 +a(g706 +g973 +tp1908 +a(g827 +V\u000a +p1909 +tp1910 +a(g827 +g968 +tp1911 +a(g436 +VAsk +p1912 +tp1913 +a(g706 +V: +p1914 +tp1915 +a(g827 +g966 +tp1916 +a(g745 +Vprint_ret +p1917 +tp1918 +a(g827 +g966 +tp1919 +a(g706 +g1173 +tp1920 +a(g786 +VThe +p1921 +tp1922 +a(g706 +g1185 +tp1923 +a(g827 +g966 +tp1924 +a(g827 +g968 +tp1925 +a(g682 +Vself +p1926 +tp1927 +a(g706 +g1278 +tp1928 +a(g827 +g966 +tp1929 +a(g827 +g968 +tp1930 +a(g157 +g984 +tp1931 +a(g157 +V says nothing. +p1932 +tp1933 +a(g157 +g984 +tp1934 +a(g706 +g973 +tp1935 +a(g827 +V\u000a +p1936 +tp1937 +a(g827 +g968 +tp1938 +a(g436 +VAnswer +p1939 +tp1940 +a(g706 +g1914 +tp1941 +a(g827 +g966 +tp1942 +a(g745 +Vprint +p1943 +tp1944 +a(g827 +g966 +tp1945 +a(g706 +g1173 +tp1946 +a(g786 +VThe +p1947 +tp1948 +a(g706 +g1185 +tp1949 +a(g827 +g966 +tp1950 +a(g827 +g968 +tp1951 +a(g682 +Vself +p1952 +tp1953 +a(g706 +g1278 +tp1954 +a(g827 +g966 +tp1955 +a(g827 +g968 +tp1956 +a(g157 +g984 +tp1957 +a(g157 +V didn't say anything. +p1958 +tp1959 +a(g213 +g1001 +tp1960 +a(g157 +g984 +tp1961 +a(g706 +g973 +tp1962 +a(g827 +g966 +tp1963 +a(g745 +Vrfalse +p1964 +tp1965 +a(g706 +g968 +tp1966 +a(g706 +g973 +tp1967 +a(g827 +V\u000a +p1968 +tp1969 +a(g706 +g1357 +tp1970 +a(g827 +V\u000a +p1971 +tp1972 +a(g810 +Vhas +p1973 +tp1974 +a(g827 +g966 +tp1975 +a(g810 +Vhas +p1976 +tp1977 +a(g827 +g966 +tp1978 +a(g827 +g968 +tp1979 +a(g436 +Vanimate +p1980 +tp1981 +a(g827 +g966 +tp1982 +a(g827 +g968 +tp1983 +a(g436 +Vtransparent +p1984 +tp1985 +a(g706 +g973 +tp1986 +a(g827 +V\u000a\u000a +p1987 +tp1988 +a(g745 +VObject +p1989 +tp1990 +a(g827 +g966 +tp1991 +a(g706 +V-> +p1992 +tp1993 +a(g827 +g966 +tp1994 +a(g706 +V-> +p1995 +tp1996 +a(g827 +g966 +tp1997 +a(g470 +Vtest_tube +p1998 +tp1999 +a(g827 +g966 +tp2000 +a(g827 +g968 +tp2001 +a(g157 +g984 +tp2002 +a(g157 +Vtest tube +p2003 +tp2004 +a(g157 +g984 +tp2005 +a(g827 +V\u000a +p2006 +tp2007 +a(g810 +Vwith +p2008 +tp2009 +a(g827 +g966 +tp2010 +a(g827 +g968 +tp2011 +a(g682 +Vname +p2012 +tp2013 +a(g827 +g966 +tp2014 +a(g827 +g968 +tp2015 +a(g230 +g1675 +tp2016 +a(g230 +Vtest +p2017 +tp2018 +a(g230 +g1675 +tp2019 +a(g827 +g966 +tp2020 +a(g827 +g968 +tp2021 +a(g157 +g984 +tp2022 +a(g157 +Vtube +p2023 +tp2024 +a(g157 +g984 +tp2025 +a(g827 +g966 +tp2026 +a(g827 +g968 +tp2027 +a(g230 +g1675 +tp2028 +a(g230 +Vtesttube +p2029 +tp2030 +a(g230 +g1675 +tp2031 +a(g706 +g1278 +tp2032 +a(g827 +V\u000a +p2033 +tp2034 +a(g810 +Vhas +p2035 +tp2036 +a(g827 +g966 +tp2037 +a(g413 +g1786 +tp2038 +a(g827 +g968 +tp2039 +a(g436 +Vopenable +p2040 +tp2041 +a(g827 +g966 +tp2042 +a(g413 +g1786 +tp2043 +a(g827 +g968 +tp2044 +a(g436 +Vopaque +p2045 +tp2046 +a(g827 +g966 +tp2047 +a(g827 +g968 +tp2048 +a(g436 +Vcontainer +p2049 +tp2050 +a(g706 +g973 +tp2051 +a(g827 +V\u000a\u000a +p2052 +tp2053 +a(g629 +VBird +p2054 +tp2055 +a(g827 +g966 +tp2056 +a(g706 +V-> +p2057 +tp2058 +a(g827 +g966 +tp2059 +a(g470 +Vpigeon +p2060 +tp2061 +a(g827 +V\u000a +p2062 +tp2063 +a(g810 +Vwith +p2064 +tp2065 +a(g827 +g966 +tp2066 +a(g827 +g968 +tp2067 +a(g682 +Vname +p2068 +tp2069 +a(g827 +g966 +tp2070 +a(g827 +g968 +tp2071 +a(g230 +g1675 +tp2072 +a(g230 +Vpigeon +p2073 +tp2074 +a(g230 +g1675 +tp2075 +a(g706 +g1278 +tp2076 +a(g827 +V\u000a +p2077 +tp2078 +a(g827 +g968 +tp2079 +a(g436 +Vdescription +p2080 +tp2081 +a(g827 +g966 +tp2082 +a(g706 +g1312 +tp2083 +a(g706 +g973 +tp2084 +a(g827 +V\u000a +p2085 +tp2086 +a(g745 +g968 +tp2087 +a(g827 +g968 +tp2088 +a(g157 +g984 +tp2089 +a(g157 +VThe pigeon has a wingspan of +p2090 +tp2091 +a(g157 +g984 +tp2092 +a(g706 +g1278 +tp2093 +a(g827 +g966 +tp2094 +a(g827 +g968 +tp2095 +a(g682 +Vself +p2096 +tp2097 +a(g413 +V.& +p2098 +tp2099 +a(g827 +g968 +tp2100 +a(g436 +Vwingspan +p2101 +tp2102 +a(g413 +V--> +p2103 +tp2104 +a(g827 +g968 +tp2105 +a(g37 +V0 +p2106 +tp2107 +a(g706 +g1278 +tp2108 +a(g827 +g966 +tp2109 +a(g827 +g968 +tp2110 +a(g157 +g984 +tp2111 +a(g157 +V wing units. +p2112 +tp2113 +a(g157 +g984 +tp2114 +a(g706 +g973 +tp2115 +a(g827 +V\u000a +p2116 +tp2117 +a(g706 +g1357 +tp2118 +a(g706 +g973 +tp2119 +a(g827 +V\u000a\u000a +p2120 +tp2121 +a(g745 +VObject +p2122 +tp2123 +a(g827 +g966 +tp2124 +a(g706 +V-> +p2125 +tp2126 +a(g827 +g966 +tp2127 +a(g157 +g984 +tp2128 +a(g157 +Vthimble +p2129 +tp2130 +a(g157 +g984 +tp2131 +a(g827 +g966 +tp2132 +a(g810 +Vwith +p2133 +tp2134 +a(g827 +g966 +tp2135 +a(g827 +g968 +tp2136 +a(g682 +Vname +p2137 +tp2138 +a(g827 +g966 +tp2139 +a(g827 +g968 +tp2140 +a(g230 +g1675 +tp2141 +a(g230 +Vthimble +p2142 +tp2143 +a(g230 +g1675 +tp2144 +a(g706 +g973 +tp2145 +a(g827 +V\u000a\u000a +p2146 +tp2147 +a(g745 +VObject +p2148 +tp2149 +a(g827 +g966 +tp2150 +a(g706 +V-> +p2151 +tp2152 +a(g827 +g966 +tp2153 +a(g470 +Vpebble +p2154 +tp2155 +a(g827 +g966 +tp2156 +a(g827 +g968 +tp2157 +a(g157 +g984 +tp2158 +a(g157 +Vpebble +p2159 +tp2160 +a(g157 +g984 +tp2161 +a(g827 +g966 +tp2162 +a(g810 +Vwith +p2163 +tp2164 +a(g827 +g966 +tp2165 +a(g827 +g968 +tp2166 +a(g682 +Vname +p2167 +tp2168 +a(g827 +g966 +tp2169 +a(g827 +g968 +tp2170 +a(g230 +g1675 +tp2171 +a(g230 +Vpebble +p2172 +tp2173 +a(g230 +g1675 +tp2174 +a(g706 +g973 +tp2175 +a(g827 +V\u000a\u000a +p2176 +tp2177 +a(g745 +VIfdef +p2178 +tp2179 +a(g827 +g966 +tp2180 +a(g827 +g968 +tp2181 +a(g682 +VTARGET_ZCODE +p2182 +tp2183 +a(g706 +g968 +tp2184 +a(g706 +g973 +tp2185 +a(g827 +g966 +tp2186 +a(g745 +VTrace +p2187 +tp2188 +a(g827 +g966 +tp2189 +a(g745 +Vobjects +p2190 +tp2191 +a(g706 +g968 +tp2192 +a(g706 +g973 +tp2193 +a(g827 +g966 +tp2194 +a(g745 +VEndif +p2195 +tp2196 +a(g706 +g968 +tp2197 +a(g706 +g973 +tp2198 +a(g827 +V\u000a\u000a +p2199 +tp2200 +a(g745 +VStatusline +p2201 +tp2202 +a(g827 +g966 +tp2203 +a(g745 +Vscore +p2204 +tp2205 +a(g706 +g968 +tp2206 +a(g706 +g973 +tp2207 +a(g827 +V\u000a\u000a +p2208 +tp2209 +a(g745 +VStub +p2210 +tp2211 +a(g827 +g966 +tp2212 +a(g574 +VStubR +p2213 +tp2214 +a(g827 +g966 +tp2215 +a(g827 +g968 +tp2216 +a(g37 +g1017 +tp2217 +a(g706 +g968 +tp2218 +a(g706 +g973 +tp2219 +a(g827 +V\u000a\u000a +p2220 +tp2221 +a(g745 +VIfdef +p2222 +tp2223 +a(g827 +g966 +tp2224 +a(g827 +g968 +tp2225 +a(g682 +VTARGET_ZCODE +p2226 +tp2227 +a(g706 +g968 +tp2228 +a(g706 +g973 +tp2229 +a(g827 +V\u000a +p2230 +tp2231 +a(g745 +VZcharacter +p2232 +tp2233 +a(g827 +g966 +tp2234 +a(g827 +g968 +tp2235 +a(g157 +g984 +tp2236 +a(g157 +Vabcdefghijklmnopqrstuvwxyz +p2237 +tp2238 +a(g157 +g984 +tp2239 +a(g827 +V\u000a +p2240 +tp2241 +a(g827 +g968 +tp2242 +a(g157 +g984 +tp2243 +a(g157 +VABCDEFGHIJKLMNOPQRSTUVWXYZ +p2244 +tp2245 +a(g157 +g984 +tp2246 +a(g827 +V\u000a +p2247 +tp2248 +a(g827 +g968 +tp2249 +a(g157 +g984 +tp2250 +a(g157 +V123456789.,!?_#'0/ +p2251 +tp2252 +a(g213 +V@{005C} +p2253 +tp2254 +a(g157 +V-: +p2255 +tp2256 +a(g157 +g1173 +tp2257 +a(g157 +g1185 +tp2258 +a(g157 +g984 +tp2259 +a(g706 +g968 +tp2260 +a(g706 +g973 +tp2261 +a(g827 +V\u000a +p2262 +tp2263 +a(g745 +VZcharacter +p2264 +tp2265 +a(g827 +g966 +tp2266 +a(g745 +Vtable +p2267 +tp2268 +a(g827 +g966 +tp2269 +a(g827 +g968 +tp2270 +a(g93 +g1675 +tp2271 +a(g213 +V@!! +p2272 +tp2273 +a(g93 +g1675 +tp2274 +a(g827 +g966 +tp2275 +a(g827 +g968 +tp2276 +a(g93 +g1675 +tp2277 +a(g213 +V@<< +p2278 +tp2279 +a(g93 +g1675 +tp2280 +a(g827 +g966 +tp2281 +a(g827 +g968 +tp2282 +a(g93 +g1675 +tp2283 +a(g213 +V@'A +p2284 +tp2285 +a(g93 +g1675 +tp2286 +a(g706 +g968 +tp2287 +a(g706 +g973 +tp2288 +a(g827 +V\u000a +p2289 +tp2290 +a(g745 +VZcharacter +p2291 +tp2292 +a(g827 +g966 +tp2293 +a(g745 +Vtable +p2294 +tp2295 +a(g827 +g966 +tp2296 +a(g706 +V+ +p2297 +tp2298 +a(g827 +g966 +tp2299 +a(g827 +g968 +tp2300 +a(g93 +g1675 +tp2301 +a(g213 +V@AE +p2302 +tp2303 +a(g93 +g1675 +tp2304 +a(g827 +g966 +tp2305 +a(g827 +g968 +tp2306 +a(g93 +g1675 +tp2307 +a(g213 +V@{dc} +p2308 +tp2309 +a(g93 +g1675 +tp2310 +a(g827 +g966 +tp2311 +a(g827 +g968 +tp2312 +a(g93 +g1675 +tp2313 +a(g213 +V@et +p2314 +tp2315 +a(g93 +g1675 +tp2316 +a(g827 +g966 +tp2317 +a(g827 +g968 +tp2318 +a(g93 +g1675 +tp2319 +a(g213 +V@:y +p2320 +tp2321 +a(g93 +g1675 +tp2322 +a(g706 +g968 +tp2323 +a(g706 +g973 +tp2324 +a(g827 +V\u000a +p2325 +tp2326 +a(g745 +VIfnot +p2327 +tp2328 +a(g706 +g968 +tp2329 +a(g706 +g973 +tp2330 +a(g827 +V\u000a +p2331 +tp2332 +a(g745 +VIfdef +p2333 +tp2334 +a(g827 +g966 +tp2335 +a(g827 +g968 +tp2336 +a(g682 +VTARGET_GLULX +p2337 +tp2338 +a(g706 +g968 +tp2339 +a(g706 +g973 +tp2340 +a(g827 +V\u000a +p2341 +tp2342 +a(g745 +VMessage +p2343 +tp2344 +a(g827 +g966 +tp2345 +a(g157 +g984 +tp2346 +a(g157 +VGlulx doesn't use +p2347 +tp2348 +a(g213 +g1786 +tp2349 +a(g157 +VZcharacter +p2350 +tp2351 +a(g213 +g1786 +tp2352 +a(g157 +g1797 +tp2353 +a(g213 +g1001 +tp2354 +a(g157 +VOh well. +p2355 +tp2356 +a(g157 +g984 +tp2357 +a(g706 +g968 +tp2358 +a(g706 +g973 +tp2359 +a(g827 +g966 +tp2360 +a(g898 +V! '~' and '^' work here. +p2361 +tp2362 +a(g827 +V\u000a +p2363 +tp2364 +a(g745 +VIfnot +p2365 +tp2366 +a(g706 +g968 +tp2367 +a(g706 +g973 +tp2368 +a(g827 +V\u000a +p2369 +tp2370 +a(g745 +VMessage +p2371 +tp2372 +a(g827 +g966 +tp2373 +a(g745 +Vwarning +p2374 +tp2375 +a(g827 +g966 +tp2376 +a(g157 +g984 +tp2377 +a(g157 +VUh-oh! +p2378 +tp2379 +a(g157 +g1001 +tp2380 +a(g157 +g1786 +tp2381 +a(g157 +g1001 +tp2382 +a(g157 +g984 +tp2383 +a(g706 +g968 +tp2384 +a(g706 +g973 +tp2385 +a(g827 +g966 +tp2386 +a(g898 +V! They don't work in other Messages. +p2387 +tp2388 +a(g827 +V\u000a +p2389 +tp2390 +a(g745 +VEndif +p2391 +tp2392 +a(g706 +g968 +tp2393 +a(g706 +g973 +tp2394 +a(g827 +V\u000a +p2395 +tp2396 +a(g745 +VEndif +p2397 +tp2398 +a(g706 +g968 +tp2399 +a(g706 +g973 +tp2400 +a(g827 +V\u000a\u000a +p2401 +tp2402 +a(g745 +VInclude +p2403 +tp2404 +a(g827 +g966 +tp2405 +a(g157 +g984 +tp2406 +a(g157 +VGrammar +p2407 +tp2408 +a(g157 +g984 +tp2409 +a(g706 +g968 +tp2410 +a(g706 +g973 +tp2411 +a(g827 +V\u000a\u000a +p2412 +tp2413 +a(g745 +VVerb +p2414 +tp2415 +a(g157 +g984 +tp2416 +a(g157 +Vacquire +p2417 +tp2418 +a(g157 +g984 +tp2419 +a(g230 +g1675 +tp2420 +a(g230 +Vcollect +p2421 +tp2422 +a(g230 +g1675 +tp2423 +a(g706 +g1324 +tp2424 +a(g827 +g968 +tp2425 +a(g230 +g1675 +tp2426 +a(g230 +Vtake +p2427 +tp2428 +a(g230 +g1675 +tp2429 +a(g706 +g968 +tp2430 +a(g706 +g973 +tp2431 +a(g827 +V\u000a\u000a +p2432 +tp2433 +a(g706 +g1312 +tp2434 +a(g827 +g966 +tp2435 +a(g574 +VNounFilter +p2436 +tp2437 +a(g706 +g973 +tp2438 +a(g827 +g966 +tp2439 +a(g745 +Vreturn +p2440 +tp2441 +a(g827 +g966 +tp2442 +a(g827 +g968 +tp2443 +a(g436 +Vnoun +p2444 +tp2445 +a(g827 +g966 +tp2446 +a(g427 +Vofclass +p2447 +tp2448 +a(g827 +g966 +tp2449 +a(g827 +g968 +tp2450 +a(g436 +VBird +p2451 +tp2452 +a(g706 +g968 +tp2453 +a(g706 +g973 +tp2454 +a(g827 +g966 +tp2455 +a(g706 +g1357 +tp2456 +a(g706 +g968 +tp2457 +a(g706 +g973 +tp2458 +a(g827 +V\u000a\u000a +p2459 +tp2460 +a(g706 +g1312 +tp2461 +a(g827 +g966 +tp2462 +a(g574 +VScopeFilter +p2463 +tp2464 +a(g827 +g966 +tp2465 +a(g441 +Vobj +p2466 +tp2467 +a(g706 +g973 +tp2468 +a(g827 +V\u000a +p2469 +tp2470 +a(g745 +Vswitch +p2471 +tp2472 +a(g827 +g966 +tp2473 +a(g706 +g1173 +tp2474 +a(g827 +g968 +tp2475 +a(g436 +Vscope_stage +p2476 +tp2477 +a(g706 +g1185 +tp2478 +a(g827 +g966 +tp2479 +a(g706 +V{ +p2480 +tp2481 +a(g827 +V\u000a +p2482 +tp2483 +a(g827 +g968 +tp2484 +a(g37 +g1287 +tp2485 +a(g706 +g1914 +tp2486 +a(g827 +g966 +tp2487 +a(g745 +Vrtrue +p2488 +tp2489 +a(g706 +g968 +tp2490 +a(g706 +g973 +tp2491 +a(g827 +V\u000a +p2492 +tp2493 +a(g827 +g968 +tp2494 +a(g37 +g1082 +tp2495 +a(g706 +g1914 +tp2496 +a(g827 +g966 +tp2497 +a(g745 +Vobjectloop +p2498 +tp2499 +a(g827 +g966 +tp2500 +a(g706 +g1173 +tp2501 +a(g441 +Vobj +p2502 +tp2503 +a(g827 +g966 +tp2504 +a(g745 +Vin +p2505 +tp2506 +a(g827 +g966 +tp2507 +a(g827 +g968 +tp2508 +a(g436 +Vcompass +p2509 +tp2510 +a(g706 +g1185 +tp2511 +a(g827 +g966 +tp2512 +a(g827 +g968 +tp2513 +a(g436 +VPlaceInScope +p2514 +tp2515 +a(g706 +g1173 +tp2516 +a(g827 +g968 +tp2517 +a(g436 +Vobj +p2518 +tp2519 +a(g706 +g1185 +tp2520 +a(g706 +g968 +tp2521 +a(g706 +g973 +tp2522 +a(g827 +V\u000a +p2523 +tp2524 +a(g827 +g968 +tp2525 +a(g37 +g1017 +tp2526 +a(g706 +g1914 +tp2527 +a(g827 +g966 +tp2528 +a(g745 +g968 +tp2529 +a(g827 +g968 +tp2530 +a(g157 +g984 +tp2531 +a(g157 +VNothing is in scope. +p2532 +tp2533 +a(g157 +g984 +tp2534 +a(g706 +g973 +tp2535 +a(g827 +V\u000a +p2536 +tp2537 +a(g706 +V} +p2538 +tp2539 +a(g827 +V\u000a +p2540 +tp2541 +a(g706 +g1357 +tp2542 +a(g706 +g968 +tp2543 +a(g706 +g973 +tp2544 +a(g827 +V\u000a\u000a +p2545 +tp2546 +a(g745 +VVerb +p2547 +tp2548 +a(g827 +g966 +tp2549 +a(g745 +Vmeta +p2550 +tp2551 +a(g827 +g966 +tp2552 +a(g157 +g984 +tp2553 +a(g157 +Vt +p2554 +tp2555 +a(g157 +g984 +tp2556 +a(g827 +g966 +tp2557 +a(g230 +g1675 +tp2558 +a(g230 +Vtest +p2559 +tp2560 +a(g230 +g1675 +tp2561 +a(g827 +V\u000a +p2562 +tp2563 +a(g706 +g1390 +tp2564 +a(g827 +g966 +tp2565 +a(g230 +g1675 +tp2566 +a(g230 +Vheld +p2567 +tp2568 +a(g230 +g1675 +tp2569 +a(g827 +g966 +tp2570 +a(g745 +Vheld +p2571 +tp2572 +a(g827 +g966 +tp2573 +a(g706 +V-> +p2574 +tp2575 +a(g827 +g966 +tp2576 +a(g436 +VTestHeld +p2577 +tp2578 +a(g827 +V\u000a +p2579 +tp2580 +a(g706 +g1390 +tp2581 +a(g827 +g966 +tp2582 +a(g745 +Vnumber +p2583 +tp2584 +a(g827 +g966 +tp2585 +a(g706 +V-> +p2586 +tp2587 +a(g827 +g966 +tp2588 +a(g436 +VTestNumber +p2589 +tp2590 +a(g827 +V\u000a +p2591 +tp2592 +a(g706 +g1390 +tp2593 +a(g827 +g966 +tp2594 +a(g436 +Vreversed +p2595 +tp2596 +a(g827 +g966 +tp2597 +a(g706 +V-> +p2598 +tp2599 +a(g827 +g966 +tp2600 +a(g436 +VTestAttribute +p2601 +tp2602 +a(g827 +V\u000a +p2603 +tp2604 +a(g706 +g1390 +tp2605 +a(g827 +g966 +tp2606 +a(g230 +g1675 +tp2607 +a(g230 +Vcreature +p2608 +tp2609 +a(g230 +g1675 +tp2610 +a(g827 +g966 +tp2611 +a(g745 +Vcreature +p2612 +tp2613 +a(g827 +g966 +tp2614 +a(g706 +V-> +p2615 +tp2616 +a(g827 +g966 +tp2617 +a(g436 +VTestCreature +p2618 +tp2619 +a(g827 +V\u000a +p2620 +tp2621 +a(g706 +g1390 +tp2622 +a(g827 +g966 +tp2623 +a(g230 +g1675 +tp2624 +a(g230 +Vmultiheld +p2625 +tp2626 +a(g230 +g1675 +tp2627 +a(g827 +g966 +tp2628 +a(g745 +Vmultiheld +p2629 +tp2630 +a(g827 +g966 +tp2631 +a(g706 +V-> +p2632 +tp2633 +a(g827 +g966 +tp2634 +a(g436 +VTestMultiheld +p2635 +tp2636 +a(g827 +V\u000a +p2637 +tp2638 +a(g706 +g1390 +tp2639 +a(g827 +g966 +tp2640 +a(g93 +V'm' +p2641 +tp2642 +a(g827 +g966 +tp2643 +a(g745 +Vmultiexcept +p2644 +tp2645 +a(g827 +g966 +tp2646 +a(g230 +g1675 +tp2647 +a(g230 +Vinto +p2648 +tp2649 +a(g230 +g1675 +tp2650 +a(g706 +V/ +p2651 +tp2652 +a(g157 +g984 +tp2653 +a(g157 +Vin +p2654 +tp2655 +a(g157 +g984 +tp2656 +a(g827 +g966 +tp2657 +a(g745 +Vnoun +p2658 +tp2659 +a(g827 +g966 +tp2660 +a(g706 +V-> +p2661 +tp2662 +a(g827 +g966 +tp2663 +a(g436 +VTestMultiexcept +p2664 +tp2665 +a(g827 +V\u000a +p2666 +tp2667 +a(g706 +g1390 +tp2668 +a(g827 +g966 +tp2669 +a(g93 +V'm' +p2670 +tp2671 +a(g827 +g966 +tp2672 +a(g745 +Vmultiinside +p2673 +tp2674 +a(g827 +g966 +tp2675 +a(g230 +g1675 +tp2676 +a(g230 +Vfrom +p2677 +tp2678 +a(g230 +g1675 +tp2679 +a(g827 +g966 +tp2680 +a(g745 +Vnoun +p2681 +tp2682 +a(g827 +g966 +tp2683 +a(g706 +V-> +p2684 +tp2685 +a(g827 +g966 +tp2686 +a(g436 +VTestMultiinside +p2687 +tp2688 +a(g827 +V\u000a +p2689 +tp2690 +a(g706 +g1390 +tp2691 +a(g827 +g966 +tp2692 +a(g745 +Vmulti +p2693 +tp2694 +a(g827 +g966 +tp2695 +a(g706 +V-> +p2696 +tp2697 +a(g827 +g966 +tp2698 +a(g436 +VTestMulti +p2699 +tp2700 +a(g827 +V\u000a +p2701 +tp2702 +a(g706 +g1390 +tp2703 +a(g827 +g966 +tp2704 +a(g230 +g1675 +tp2705 +a(g230 +Vfilter +p2706 +tp2707 +a(g230 +g1675 +tp2708 +a(g706 +g2651 +tp2709 +a(g93 +V'f' +p2710 +tp2711 +a(g827 +g966 +tp2712 +a(g745 +Vnoun +p2713 +tp2714 +a(g706 +g1324 +tp2715 +a(g574 +VNounFilter +p2716 +tp2717 +a(g827 +g966 +tp2718 +a(g706 +V-> +p2719 +tp2720 +a(g827 +g966 +tp2721 +a(g436 +VTestNounFilter +p2722 +tp2723 +a(g827 +V\u000a +p2724 +tp2725 +a(g706 +g1390 +tp2726 +a(g827 +g966 +tp2727 +a(g230 +g1675 +tp2728 +a(g230 +Vfilter +p2729 +tp2730 +a(g230 +g1675 +tp2731 +a(g706 +g2651 +tp2732 +a(g93 +V'f' +p2733 +tp2734 +a(g827 +g966 +tp2735 +a(g745 +Vscope +p2736 +tp2737 +a(g706 +g1324 +tp2738 +a(g574 +VScopeFilter +p2739 +tp2740 +a(g827 +g966 +tp2741 +a(g706 +V-> +p2742 +tp2743 +a(g827 +g966 +tp2744 +a(g436 +VTestScopeFilter +p2745 +tp2746 +a(g827 +V\u000a +p2747 +tp2748 +a(g706 +g1390 +tp2749 +a(g827 +g966 +tp2750 +a(g230 +g1675 +tp2751 +a(g230 +Vspecial +p2752 +tp2753 +a(g230 +g1675 +tp2754 +a(g827 +g966 +tp2755 +a(g745 +Vspecial +p2756 +tp2757 +a(g827 +g966 +tp2758 +a(g706 +V-> +p2759 +tp2760 +a(g827 +g966 +tp2761 +a(g436 +VTestSpecial +p2762 +tp2763 +a(g827 +V\u000a +p2764 +tp2765 +a(g706 +g1390 +tp2766 +a(g827 +g966 +tp2767 +a(g745 +Vtopic +p2768 +tp2769 +a(g827 +g966 +tp2770 +a(g706 +V-> +p2771 +tp2772 +a(g827 +g966 +tp2773 +a(g436 +VTestTopic +p2774 +tp2775 +a(g706 +g973 +tp2776 +a(g827 +V\u000a\u000a +p2777 +tp2778 +a(g745 +VVerb +p2779 +tp2780 +a(g827 +g966 +tp2781 +a(g230 +g1675 +tp2782 +a(g230 +Vreverse +p2783 +tp2784 +a(g230 +g1675 +tp2785 +a(g827 +g966 +tp2786 +a(g230 +g1675 +tp2787 +a(g230 +Vswap +p2788 +tp2789 +a(g230 +g1675 +tp2790 +a(g827 +g966 +tp2791 +a(g230 +g1675 +tp2792 +a(g230 +Vexchange +p2793 +tp2794 +a(g230 +g1675 +tp2795 +a(g827 +V\u000a +p2796 +tp2797 +a(g706 +g1390 +tp2798 +a(g827 +g966 +tp2799 +a(g745 +Vheld +p2800 +tp2801 +a(g827 +g966 +tp2802 +a(g230 +g1675 +tp2803 +a(g230 +Vfor +p2804 +tp2805 +a(g230 +g1675 +tp2806 +a(g827 +g966 +tp2807 +a(g745 +Vnoun +p2808 +tp2809 +a(g827 +g966 +tp2810 +a(g706 +V-> +p2811 +tp2812 +a(g827 +g966 +tp2813 +a(g436 +Vreverse +p2814 +tp2815 +a(g827 +V\u000a +p2816 +tp2817 +a(g706 +g1390 +tp2818 +a(g827 +g966 +tp2819 +a(g745 +Vnoun +p2820 +tp2821 +a(g827 +g966 +tp2822 +a(g230 +g1675 +tp2823 +a(g230 +Vwith +p2824 +tp2825 +a(g230 +g1675 +tp2826 +a(g827 +g966 +tp2827 +a(g745 +Vnoun +p2828 +tp2829 +a(g827 +g966 +tp2830 +a(g706 +V-> +p2831 +tp2832 +a(g827 +g966 +tp2833 +a(g436 +Vreverse +p2834 +tp2835 +a(g827 +g966 +tp2836 +a(g745 +Vreverse +p2837 +tp2838 +a(g706 +g973 +tp2839 +a(g827 +V\u000a\u000a +p2840 +tp2841 +a(g745 +VExtend +p2842 +tp2843 +a(g827 +g966 +tp2844 +a(g157 +g984 +tp2845 +a(g157 +g2554 +tp2846 +a(g157 +g984 +tp2847 +a(g827 +g966 +tp2848 +a(g745 +Vlast +p2849 +tp2850 +a(g827 +g966 +tp2851 +a(g706 +g1390 +tp2852 +a(g827 +g966 +tp2853 +a(g745 +Vnoun +p2854 +tp2855 +a(g827 +g966 +tp2856 +a(g706 +V-> +p2857 +tp2858 +a(g827 +g966 +tp2859 +a(g436 +VTestNoun +p2860 +tp2861 +a(g706 +g973 +tp2862 +a(g827 +V\u000a\u000a +p2863 +tp2864 +a(g745 +VExtend +p2865 +tp2866 +a(g827 +g966 +tp2867 +a(g93 +V't' +p2868 +tp2869 +a(g827 +g966 +tp2870 +a(g745 +Vfirst +p2871 +tp2872 +a(g827 +g966 +tp2873 +a(g706 +g1390 +tp2874 +a(g827 +g966 +tp2875 +a(g706 +V-> +p2876 +tp2877 +a(g827 +g966 +tp2878 +a(g436 +VTest +p2879 +tp2880 +a(g706 +g973 +tp2881 +a(g827 +V\u000a\u000a +p2882 +tp2883 +a(g745 +VExtend +p2884 +tp2885 +a(g827 +g966 +tp2886 +a(g230 +g1675 +tp2887 +a(g230 +Vwave +p2888 +tp2889 +a(g230 +g1675 +tp2890 +a(g827 +g966 +tp2891 +a(g745 +Vreplace +p2892 +tp2893 +a(g827 +g966 +tp2894 +a(g706 +g1390 +tp2895 +a(g827 +g966 +tp2896 +a(g706 +V-> +p2897 +tp2898 +a(g827 +g966 +tp2899 +a(g436 +VNewWave +p2900 +tp2901 +a(g706 +g973 +tp2902 +a(g827 +V\u000a\u000a +p2903 +tp2904 +a(g745 +VExtend +p2905 +tp2906 +a(g827 +g966 +tp2907 +a(g745 +Vonly +p2908 +tp2909 +a(g827 +g966 +tp2910 +a(g230 +g1675 +tp2911 +a(g230 +Vfeel +p2912 +tp2913 +a(g230 +g1675 +tp2914 +a(g827 +g966 +tp2915 +a(g230 +g1675 +tp2916 +a(g230 +Vtouch +p2917 +tp2918 +a(g230 +g1675 +tp2919 +a(g827 +g966 +tp2920 +a(g745 +Vreplace +p2921 +tp2922 +a(g827 +g966 +tp2923 +a(g706 +g1390 +tp2924 +a(g827 +g966 +tp2925 +a(g745 +Vnoun +p2926 +tp2927 +a(g827 +g966 +tp2928 +a(g706 +V-> +p2929 +tp2930 +a(g827 +g966 +tp2931 +a(g436 +VFeel +p2932 +tp2933 +a(g706 +g973 +tp2934 +a(g827 +V\u000a\u000a +p2935 +tp2936 +a(g706 +g1312 +tp2937 +a(g827 +g966 +tp2938 +a(g574 +VTestSub +p2939 +tp2940 +a(g827 +g966 +tp2941 +a(g157 +g984 +tp2942 +a(g157 +Va +p2943 +tp2944 +a(g213 +V\u005c +p2945 +tp2946 +a(g157 +V\u000a +p2947 +tp2948 +a(g157 +g984 +tp2949 +a(g827 +g966 +tp2950 +a(g441 +Vb +p2951 +tp2952 +a(g827 +g966 +tp2953 +a(g441 +g1393 +tp2954 +a(g827 +g966 +tp2955 +a(g157 +g984 +tp2956 +a(g157 +V@@98 +p2957 +tp2958 +a(g157 +g984 +tp2959 +a(g706 +g973 +tp2960 +a(g827 +g966 +tp2961 +a(g898 +V! Not an escape sequence. +p2962 +tp2963 +a(g827 +V\u000a +p2964 +tp2965 +a(g745 +Vstring +p2966 +tp2967 +a(g827 +g966 +tp2968 +a(g827 +g968 +tp2969 +a(g37 +V25 +p2970 +tp2971 +a(g827 +g966 +tp2972 +a(g827 +g968 +tp2973 +a(g436 +Vlow_string +p2974 +tp2975 +a(g706 +g968 +tp2976 +a(g706 +g973 +tp2977 +a(g827 +V\u000a +p2978 +tp2979 +a(g745 +Vprint +p2980 +tp2981 +a(g827 +g966 +tp2982 +a(g827 +g968 +tp2983 +a(g157 +g984 +tp2984 +a(g157 +VTest what?> +p2985 +tp2986 +a(g157 +g984 +tp2987 +a(g706 +g973 +tp2988 +a(g827 +V\u000a +p2989 +tp2990 +a(g827 +g968 +tp2991 +a(g436 +Vtable +p2992 +tp2993 +a(g413 +V-> +p2994 +tp2995 +a(g827 +g968 +tp2996 +a(g37 +g2106 +tp2997 +a(g827 +g966 +tp2998 +a(g413 +g1324 +tp2999 +a(g827 +g966 +tp3000 +a(g827 +g968 +tp3001 +a(g37 +V260 +p3002 +tp3003 +a(g706 +g968 +tp3004 +a(g706 +g973 +tp3005 +a(g827 +V\u000a +p3006 +tp3007 +a(g827 +g968 +tp3008 +a(g436 +Vparse +p3009 +tp3010 +a(g413 +V-> +p3011 +tp3012 +a(g827 +g968 +tp3013 +a(g37 +g2106 +tp3014 +a(g827 +g966 +tp3015 +a(g413 +g1324 +tp3016 +a(g827 +g966 +tp3017 +a(g827 +g968 +tp3018 +a(g37 +V61 +p3019 +tp3020 +a(g706 +g968 +tp3021 +a(g706 +g973 +tp3022 +a(g827 +V\u000a +p3023 +tp3024 +a(g706 +g1167 +tp3025 +a(g745 +VIfdef +p3026 +tp3027 +a(g827 +g966 +tp3028 +a(g827 +g968 +tp3029 +a(g682 +VTARGET_ZCODE +p3030 +tp3031 +a(g706 +g968 +tp3032 +a(g706 +g973 +tp3033 +a(g827 +V\u000a +p3034 +tp3035 +a(g745 +Vread +p3036 +tp3037 +a(g827 +g966 +tp3038 +a(g827 +g968 +tp3039 +a(g436 +Vbuffer +p3040 +tp3041 +a(g827 +g966 +tp3042 +a(g827 +g968 +tp3043 +a(g436 +Vparse +p3044 +tp3045 +a(g706 +g968 +tp3046 +a(g706 +g973 +tp3047 +a(g827 +V\u000a +p3048 +tp3049 +a(g706 +g1167 +tp3050 +a(g745 +VIfnot +p3051 +tp3052 +a(g706 +g968 +tp3053 +a(g706 +g973 +tp3054 +a(g827 +g966 +tp3055 +a(g898 +V! TARGET_GLULX +p3056 +tp3057 +a(g827 +V\u000a +p3058 +tp3059 +a(g827 +g968 +tp3060 +a(g436 +VKeyboardPrimitive +p3061 +tp3062 +a(g706 +g1173 +tp3063 +a(g827 +g968 +tp3064 +a(g436 +Vbuffer +p3065 +tp3066 +a(g706 +g1278 +tp3067 +a(g827 +g966 +tp3068 +a(g827 +g968 +tp3069 +a(g436 +Vparse +p3070 +tp3071 +a(g706 +g1185 +tp3072 +a(g706 +g968 +tp3073 +a(g706 +g973 +tp3074 +a(g827 +V\u000a +p3075 +tp3076 +a(g706 +g1167 +tp3077 +a(g745 +VEndif +p3078 +tp3079 +a(g706 +g968 +tp3080 +a(g706 +g973 +tp3081 +a(g827 +g966 +tp3082 +a(g898 +V! TARGET_ +p3083 +tp3084 +a(g827 +V\u000a +p3085 +tp3086 +a(g745 +Vswitch +p3087 +tp3088 +a(g827 +g966 +tp3089 +a(g706 +g1173 +tp3090 +a(g827 +g968 +tp3091 +a(g436 +Vparse +p3092 +tp3093 +a(g413 +V--> +p3094 +tp3095 +a(g827 +g968 +tp3096 +a(g37 +g1287 +tp3097 +a(g706 +g1185 +tp3098 +a(g827 +g966 +tp3099 +a(g706 +g2480 +tp3100 +a(g827 +V\u000a +p3101 +tp3102 +a(g827 +g968 +tp3103 +a(g230 +g1675 +tp3104 +a(g230 +Vsave +p3105 +tp3106 +a(g230 +g1675 +tp3107 +a(g706 +g1914 +tp3108 +a(g827 +V\u000a +p3109 +tp3110 +a(g706 +g1167 +tp3111 +a(g745 +VIfdef +p3112 +tp3113 +a(g827 +g966 +tp3114 +a(g827 +g968 +tp3115 +a(g682 +VTARGET_ZCODE +p3116 +tp3117 +a(g706 +g968 +tp3118 +a(g706 +g973 +tp3119 +a(g827 +V\u000a +p3120 +tp3121 +a(g706 +g1167 +tp3122 +a(g745 +VIfv3 +p3123 +tp3124 +a(g706 +g968 +tp3125 +a(g706 +g973 +tp3126 +a(g827 +V\u000a +p3127 +tp3128 +a(g745 +V@ +p3129 +tp3130 +a(g745 +Vsave +p3131 +tp3132 +a(g827 +g966 +tp3133 +a(g827 +g968 +tp3134 +a(g597 +V? +p3135 +tp3136 +a(g597 +Vsaved +p3137 +tp3138 +a(g706 +g973 +tp3139 +a(g827 +V\u000a +p3140 +tp3141 +a(g706 +g1167 +tp3142 +a(g745 +VIfnot +p3143 +tp3144 +a(g706 +g968 +tp3145 +a(g706 +g973 +tp3146 +a(g827 +V\u000a +p3147 +tp3148 +a(g745 +Vsave +p3149 +tp3150 +a(g827 +g966 +tp3151 +a(g597 +Vsaved +p3152 +tp3153 +a(g706 +g968 +tp3154 +a(g706 +g973 +tp3155 +a(g827 +V\u000a +p3156 +tp3157 +a(g706 +g1167 +tp3158 +a(g745 +VEndif +p3159 +tp3160 +a(g706 +g968 +tp3161 +a(g706 +g973 +tp3162 +a(g827 +V\u000a +p3163 +tp3164 +a(g706 +g1167 +tp3165 +a(g745 +VEndif +p3166 +tp3167 +a(g706 +g968 +tp3168 +a(g706 +g973 +tp3169 +a(g827 +V\u000a +p3170 +tp3171 +a(g745 +Vprint +p3172 +tp3173 +a(g827 +g966 +tp3174 +a(g827 +g968 +tp3175 +a(g157 +g984 +tp3176 +a(g157 +VSaving failed. +p3177 +tp3178 +a(g213 +g1001 +tp3179 +a(g157 +g984 +tp3180 +a(g706 +g973 +tp3181 +a(g827 +V\u000a +p3182 +tp3183 +a(g827 +g968 +tp3184 +a(g230 +g1675 +tp3185 +a(g230 +Vrestore +p3186 +tp3187 +a(g230 +g1675 +tp3188 +a(g706 +g1914 +tp3189 +a(g827 +V\u000a +p3190 +tp3191 +a(g706 +g1167 +tp3192 +a(g745 +VIfdef +p3193 +tp3194 +a(g827 +g966 +tp3195 +a(g827 +g968 +tp3196 +a(g682 +VTARGET_ZCODE +p3197 +tp3198 +a(g706 +g968 +tp3199 +a(g706 +g973 +tp3200 +a(g827 +V\u000a +p3201 +tp3202 +a(g745 +Vrestore +p3203 +tp3204 +a(g827 +g966 +tp3205 +a(g597 +Vsaved +p3206 +tp3207 +a(g706 +g968 +tp3208 +a(g706 +g973 +tp3209 +a(g827 +V\u000a +p3210 +tp3211 +a(g706 +g1167 +tp3212 +a(g745 +VEndif +p3213 +tp3214 +a(g706 +g968 +tp3215 +a(g706 +g973 +tp3216 +a(g827 +V\u000a +p3217 +tp3218 +a(g745 +Vprint +p3219 +tp3220 +a(g827 +g966 +tp3221 +a(g827 +g968 +tp3222 +a(g157 +g984 +tp3223 +a(g157 +VRestoring failed. +p3224 +tp3225 +a(g213 +g1001 +tp3226 +a(g157 +g984 +tp3227 +a(g706 +g973 +tp3228 +a(g827 +V\u000a +p3229 +tp3230 +a(g827 +g968 +tp3231 +a(g230 +g1675 +tp3232 +a(g230 +Vrestart +p3233 +tp3234 +a(g230 +g1675 +tp3235 +a(g706 +g1914 +tp3236 +a(g827 +V\u000a +p3237 +tp3238 +a(g745 +g3129 +tp3239 +a(g745 +Vrestart +p3240 +tp3241 +a(g827 +g968 +tp3242 +a(g706 +g973 +tp3243 +a(g827 +V\u000a +p3244 +tp3245 +a(g827 +g968 +tp3246 +a(g230 +g1675 +tp3247 +a(g230 +Vquit +p3248 +tp3249 +a(g230 +g1675 +tp3250 +a(g706 +g1278 +tp3251 +a(g827 +g966 +tp3252 +a(g827 +g968 +tp3253 +a(g230 +g1675 +tp3254 +a(g230 +Vq// +p3255 +tp3256 +a(g230 +g1675 +tp3257 +a(g706 +g1914 +tp3258 +a(g827 +V\u000a +p3259 +tp3260 +a(g745 +Vquit +p3261 +tp3262 +a(g706 +g968 +tp3263 +a(g706 +g973 +tp3264 +a(g827 +V\u000a +p3265 +tp3266 +a(g745 +Vreturn +p3267 +tp3268 +a(g827 +g966 +tp3269 +a(g827 +g968 +tp3270 +a(g37 +g1082 +tp3271 +a(g706 +g968 +tp3272 +a(g706 +g973 +tp3273 +a(g827 +g966 +tp3274 +a(g745 +Vrtrue +p3275 +tp3276 +a(g706 +g968 +tp3277 +a(g706 +g973 +tp3278 +a(g827 +g966 +tp3279 +a(g745 +Vrfalse +p3280 +tp3281 +a(g706 +g968 +tp3282 +a(g706 +g973 +tp3283 +a(g827 +g966 +tp3284 +a(g745 +Vreturn +p3285 +tp3286 +a(g706 +g968 +tp3287 +a(g706 +g973 +tp3288 +a(g827 +V\u000a +p3289 +tp3290 +a(g827 +g968 +tp3291 +a(g230 +g1675 +tp3292 +a(g230 +Vprint +p3293 +tp3294 +a(g230 +g1675 +tp3295 +a(g706 +g1278 +tp3296 +a(g827 +g966 +tp3297 +a(g827 +g968 +tp3298 +a(g230 +g1675 +tp3299 +a(g230 +Vp// +p3300 +tp3301 +a(g230 +g1675 +tp3302 +a(g706 +g1914 +tp3303 +a(g827 +V\u000a +p3304 +tp3305 +a(g745 +Vprint +p3306 +tp3307 +a(g827 +g966 +tp3308 +a(g827 +g968 +tp3309 +a(g157 +g984 +tp3310 +a(g157 +VPrint: +p3311 +tp3312 +a(g213 +g1001 +tp3313 +a(g157 +g984 +tp3314 +a(g706 +g1278 +tp3315 +a(g827 +V\u000a +p3316 +tp3317 +a(g827 +g968 +tp3318 +a(g157 +g984 +tp3319 +a(g157 +g966 +tp3320 +a(g157 +g1173 +tp3321 +a(g157 +Vstring): +p3322 +tp3323 +a(g157 +g984 +tp3324 +a(g706 +g1278 +tp3325 +a(g827 +g966 +tp3326 +a(g706 +g1173 +tp3327 +a(g786 +Vstring +p3328 +tp3329 +a(g706 +g1185 +tp3330 +a(g827 +g966 +tp3331 +a(g827 +g968 +tp3332 +a(g157 +g984 +tp3333 +a(g157 +Vxyzzy +p3334 +tp3335 +a(g213 +g1001 +tp3336 +a(g157 +g984 +tp3337 +a(g706 +g1278 +tp3338 +a(g827 +V\u000a +p3339 +tp3340 +a(g827 +g968 +tp3341 +a(g157 +g984 +tp3342 +a(g157 +g966 +tp3343 +a(g157 +g1173 +tp3344 +a(g157 +Vnumber): +p3345 +tp3346 +a(g157 +g984 +tp3347 +a(g706 +g1278 +tp3348 +a(g827 +g966 +tp3349 +a(g706 +g1173 +tp3350 +a(g786 +Vnumber +p3351 +tp3352 +a(g706 +g1185 +tp3353 +a(g827 +g966 +tp3354 +a(g827 +g968 +tp3355 +a(g37 +V123 +p3356 +tp3357 +a(g706 +g1278 +tp3358 +a(g827 +g966 +tp3359 +a(g827 +g968 +tp3360 +a(g157 +g984 +tp3361 +a(g213 +g1001 +tp3362 +a(g157 +g984 +tp3363 +a(g706 +g1278 +tp3364 +a(g827 +V\u000a +p3365 +tp3366 +a(g827 +g968 +tp3367 +a(g157 +g984 +tp3368 +a(g157 +g966 +tp3369 +a(g157 +g1173 +tp3370 +a(g157 +Vchar): +p3371 +tp3372 +a(g157 +g984 +tp3373 +a(g706 +g1278 +tp3374 +a(g827 +g966 +tp3375 +a(g706 +g1173 +tp3376 +a(g786 +Vchar +p3377 +tp3378 +a(g706 +g1185 +tp3379 +a(g827 +g966 +tp3380 +a(g827 +g968 +tp3381 +a(g93 +V'x' +p3382 +tp3383 +a(g706 +g1278 +tp3384 +a(g827 +g966 +tp3385 +a(g827 +g968 +tp3386 +a(g157 +g984 +tp3387 +a(g213 +g1001 +tp3388 +a(g157 +g984 +tp3389 +a(g706 +g1278 +tp3390 +a(g827 +V\u000a +p3391 +tp3392 +a(g827 +g968 +tp3393 +a(g157 +g984 +tp3394 +a(g157 +g966 +tp3395 +a(g157 +g1173 +tp3396 +a(g157 +Vaddress): +p3397 +tp3398 +a(g157 +g984 +tp3399 +a(g706 +g1278 +tp3400 +a(g827 +g966 +tp3401 +a(g706 +g1173 +tp3402 +a(g786 +Vaddress +p3403 +tp3404 +a(g706 +g1185 +tp3405 +a(g827 +g966 +tp3406 +a(g827 +g968 +tp3407 +a(g230 +g1675 +tp3408 +a(g230 +Vplugh//p +p3409 +tp3410 +a(g230 +g1675 +tp3411 +a(g706 +g1278 +tp3412 +a(g827 +g966 +tp3413 +a(g827 +g968 +tp3414 +a(g157 +g984 +tp3415 +a(g213 +g1001 +tp3416 +a(g157 +g984 +tp3417 +a(g706 +g1278 +tp3418 +a(g827 +V\u000a +p3419 +tp3420 +a(g827 +g968 +tp3421 +a(g157 +g984 +tp3422 +a(g157 +g966 +tp3423 +a(g157 +g1173 +tp3424 +a(g157 +VThe): +p3425 +tp3426 +a(g157 +g984 +tp3427 +a(g706 +g1278 +tp3428 +a(g827 +g966 +tp3429 +a(g706 +g1173 +tp3430 +a(g786 +VThe +p3431 +tp3432 +a(g706 +g1185 +tp3433 +a(g827 +g966 +tp3434 +a(g827 +g968 +tp3435 +a(g436 +Vperson +p3436 +tp3437 +a(g706 +g1278 +tp3438 +a(g827 +g966 +tp3439 +a(g827 +g968 +tp3440 +a(g157 +g984 +tp3441 +a(g213 +g1001 +tp3442 +a(g157 +g984 +tp3443 +a(g706 +g1278 +tp3444 +a(g827 +V\u000a +p3445 +tp3446 +a(g827 +g968 +tp3447 +a(g157 +g984 +tp3448 +a(g157 +g966 +tp3449 +a(g157 +g1173 +tp3450 +a(g157 +Vthe): +p3451 +tp3452 +a(g157 +g984 +tp3453 +a(g706 +g1278 +tp3454 +a(g827 +g966 +tp3455 +a(g706 +g1173 +tp3456 +a(g786 +Vthe +p3457 +tp3458 +a(g706 +g1185 +tp3459 +a(g827 +g966 +tp3460 +a(g827 +g968 +tp3461 +a(g436 +Vperson +p3462 +tp3463 +a(g706 +g1278 +tp3464 +a(g827 +g966 +tp3465 +a(g827 +g968 +tp3466 +a(g157 +g984 +tp3467 +a(g213 +g1001 +tp3468 +a(g157 +g984 +tp3469 +a(g706 +g1278 +tp3470 +a(g827 +V\u000a +p3471 +tp3472 +a(g827 +g968 +tp3473 +a(g157 +g984 +tp3474 +a(g157 +g966 +tp3475 +a(g157 +g1173 +tp3476 +a(g157 +VA): +p3477 +tp3478 +a(g157 +g984 +tp3479 +a(g706 +g1278 +tp3480 +a(g827 +g966 +tp3481 +a(g706 +g1173 +tp3482 +a(g786 +VA +p3483 +tp3484 +a(g706 +g1185 +tp3485 +a(g827 +g966 +tp3486 +a(g827 +g968 +tp3487 +a(g436 +Vperson +p3488 +tp3489 +a(g706 +g1278 +tp3490 +a(g827 +g966 +tp3491 +a(g827 +g968 +tp3492 +a(g157 +g984 +tp3493 +a(g213 +g1001 +tp3494 +a(g157 +g984 +tp3495 +a(g706 +g1278 +tp3496 +a(g827 +V\u000a +p3497 +tp3498 +a(g827 +g968 +tp3499 +a(g157 +g984 +tp3500 +a(g157 +g966 +tp3501 +a(g157 +g1173 +tp3502 +a(g157 +Va): +p3503 +tp3504 +a(g157 +g984 +tp3505 +a(g706 +g1278 +tp3506 +a(g827 +g966 +tp3507 +a(g706 +g1173 +tp3508 +a(g786 +g2943 +tp3509 +a(g706 +g1185 +tp3510 +a(g827 +g966 +tp3511 +a(g827 +g968 +tp3512 +a(g436 +Vperson +p3513 +tp3514 +a(g706 +g1278 +tp3515 +a(g827 +g966 +tp3516 +a(g827 +g968 +tp3517 +a(g157 +g984 +tp3518 +a(g213 +g1001 +tp3519 +a(g157 +g984 +tp3520 +a(g706 +g1278 +tp3521 +a(g827 +V\u000a +p3522 +tp3523 +a(g827 +g968 +tp3524 +a(g157 +g984 +tp3525 +a(g157 +g966 +tp3526 +a(g157 +g1173 +tp3527 +a(g157 +Van): +p3528 +tp3529 +a(g157 +g984 +tp3530 +a(g706 +g1278 +tp3531 +a(g827 +g966 +tp3532 +a(g706 +g1173 +tp3533 +a(g786 +Van +p3534 +tp3535 +a(g706 +g1185 +tp3536 +a(g827 +g966 +tp3537 +a(g827 +g968 +tp3538 +a(g436 +Vperson +p3539 +tp3540 +a(g706 +g1278 +tp3541 +a(g827 +g966 +tp3542 +a(g827 +g968 +tp3543 +a(g157 +g984 +tp3544 +a(g213 +g1001 +tp3545 +a(g157 +g984 +tp3546 +a(g706 +g1278 +tp3547 +a(g827 +V\u000a +p3548 +tp3549 +a(g827 +g968 +tp3550 +a(g157 +g984 +tp3551 +a(g157 +g966 +tp3552 +a(g157 +g1173 +tp3553 +a(g157 +Vname): +p3554 +tp3555 +a(g157 +g984 +tp3556 +a(g706 +g1278 +tp3557 +a(g827 +g966 +tp3558 +a(g706 +g1173 +tp3559 +a(g786 +Vname +p3560 +tp3561 +a(g706 +g1185 +tp3562 +a(g827 +g966 +tp3563 +a(g827 +g968 +tp3564 +a(g436 +Vperson +p3565 +tp3566 +a(g706 +g1278 +tp3567 +a(g827 +g966 +tp3568 +a(g827 +g968 +tp3569 +a(g157 +g984 +tp3570 +a(g213 +g1001 +tp3571 +a(g157 +g984 +tp3572 +a(g706 +g1278 +tp3573 +a(g827 +V\u000a +p3574 +tp3575 +a(g827 +g968 +tp3576 +a(g157 +g984 +tp3577 +a(g157 +g966 +tp3578 +a(g157 +g1173 +tp3579 +a(g157 +Vobject): +p3580 +tp3581 +a(g157 +g984 +tp3582 +a(g706 +g1278 +tp3583 +a(g827 +g966 +tp3584 +a(g706 +g1173 +tp3585 +a(g786 +Vobject +p3586 +tp3587 +a(g706 +g1185 +tp3588 +a(g827 +g966 +tp3589 +a(g827 +g968 +tp3590 +a(g436 +Vperson +p3591 +tp3592 +a(g706 +g1278 +tp3593 +a(g827 +g966 +tp3594 +a(g827 +g968 +tp3595 +a(g157 +g984 +tp3596 +a(g213 +g1001 +tp3597 +a(g157 +g984 +tp3598 +a(g706 +g1278 +tp3599 +a(g827 +V\u000a +p3600 +tp3601 +a(g827 +g968 +tp3602 +a(g157 +g984 +tp3603 +a(g157 +g966 +tp3604 +a(g157 +g1173 +tp3605 +a(g157 +Vproperty): +p3606 +tp3607 +a(g157 +g984 +tp3608 +a(g706 +g1278 +tp3609 +a(g827 +g966 +tp3610 +a(g706 +g1173 +tp3611 +a(g786 +Vproperty +p3612 +tp3613 +a(g706 +g1185 +tp3614 +a(g827 +g966 +tp3615 +a(g827 +g968 +tp3616 +a(g436 +Valias +p3617 +tp3618 +a(g706 +g1278 +tp3619 +a(g827 +g966 +tp3620 +a(g827 +g968 +tp3621 +a(g157 +g984 +tp3622 +a(g213 +g1001 +tp3623 +a(g157 +g984 +tp3624 +a(g706 +g1278 +tp3625 +a(g827 +V\u000a +p3626 +tp3627 +a(g827 +g968 +tp3628 +a(g157 +g984 +tp3629 +a(g157 +g966 +tp3630 +a(g157 +g1173 +tp3631 +a(g157 +V): +p3632 +tp3633 +a(g157 +g984 +tp3634 +a(g706 +g1278 +tp3635 +a(g827 +g966 +tp3636 +a(g706 +g1173 +tp3637 +a(g574 +VLanguageNumber +p3638 +tp3639 +a(g706 +g1185 +tp3640 +a(g827 +g966 +tp3641 +a(g827 +g968 +tp3642 +a(g37 +V123 +p3643 +tp3644 +a(g706 +g1278 +tp3645 +a(g827 +g966 +tp3646 +a(g827 +g968 +tp3647 +a(g157 +g984 +tp3648 +a(g213 +g1001 +tp3649 +a(g157 +g984 +tp3650 +a(g706 +g1278 +tp3651 +a(g827 +V\u000a +p3652 +tp3653 +a(g827 +g968 +tp3654 +a(g157 +g984 +tp3655 +a(g157 +V : +p3656 +tp3657 +a(g157 +g984 +tp3658 +a(g706 +g1278 +tp3659 +a(g827 +g966 +tp3660 +a(g827 +g968 +tp3661 +a(g436 +g2943 +tp3662 +a(g827 +g966 +tp3663 +a(g413 +g1390 +tp3664 +a(g827 +g966 +tp3665 +a(g827 +g968 +tp3666 +a(g37 +g1082 +tp3667 +a(g827 +g966 +tp3668 +a(g413 +V- +p3669 +tp3670 +a(g827 +g966 +tp3671 +a(g827 +g968 +tp3672 +a(g37 +g1287 +tp3673 +a(g706 +g1278 +tp3674 +a(g827 +g966 +tp3675 +a(g827 +g968 +tp3676 +a(g157 +g984 +tp3677 +a(g213 +g1001 +tp3678 +a(g157 +g984 +tp3679 +a(g706 +g1278 +tp3680 +a(g827 +V\u000a +p3681 +tp3682 +a(g827 +g968 +tp3683 +a(g157 +g984 +tp3684 +a(g157 +g966 +tp3685 +a(g157 +g1173 +tp3686 +a(g157 +V): +p3687 +tp3688 +a(g157 +g984 +tp3689 +a(g706 +g1278 +tp3690 +a(g827 +g966 +tp3691 +a(g706 +g1173 +tp3692 +a(g827 +g968 +tp3693 +a(g436 +g2943 +tp3694 +a(g827 +g966 +tp3695 +a(g413 +g2297 +tp3696 +a(g827 +g966 +tp3697 +a(g827 +g968 +tp3698 +a(g436 +Vperson +p3699 +tp3700 +a(g706 +g1185 +tp3701 +a(g706 +g1278 +tp3702 +a(g827 +g966 +tp3703 +a(g827 +g968 +tp3704 +a(g157 +g984 +tp3705 +a(g213 +g1001 +tp3706 +a(g157 +g984 +tp3707 +a(g706 +g973 +tp3708 +a(g827 +V\u000a +p3709 +tp3710 +a(g745 +Vprint +p3711 +tp3712 +a(g827 +g966 +tp3713 +a(g827 +g968 +tp3714 +a(g157 +g984 +tp3715 +a(g157 +VEscapes: +p3716 +tp3717 +a(g213 +g1001 +tp3718 +a(g157 +g984 +tp3719 +a(g706 +g1278 +tp3720 +a(g827 +V\u000a +p3721 +tp3722 +a(g827 +g968 +tp3723 +a(g157 +g984 +tp3724 +a(g157 +V by mnemonic: +p3725 +tp3726 +a(g213 +V@!! +p3727 +tp3728 +a(g157 +g966 +tp3729 +a(g213 +V@<< +p3730 +tp3731 +a(g157 +g966 +tp3732 +a(g213 +V@'A +p3733 +tp3734 +a(g157 +g966 +tp3735 +a(g213 +V@AE +p3736 +tp3737 +a(g157 +g966 +tp3738 +a(g213 +V@et +p3739 +tp3740 +a(g157 +g966 +tp3741 +a(g213 +V@:y +p3742 +tp3743 +a(g213 +g1001 +tp3744 +a(g157 +g984 +tp3745 +a(g706 +g1278 +tp3746 +a(g827 +V\u000a +p3747 +tp3748 +a(g827 +g968 +tp3749 +a(g157 +g984 +tp3750 +a(g157 +V by decimal value: +p3751 +tp3752 +a(g213 +V@@64 +p3753 +tp3754 +a(g157 +g966 +tp3755 +a(g213 +V@@126 +p3756 +tp3757 +a(g213 +g1001 +tp3758 +a(g157 +g984 +tp3759 +a(g706 +g1278 +tp3760 +a(g827 +V\u000a +p3761 +tp3762 +a(g827 +g968 +tp3763 +a(g157 +g984 +tp3764 +a(g157 +V by Unicode value: +p3765 +tp3766 +a(g213 +V@{DC} +p3767 +tp3768 +a(g213 +V@{002b} +p3769 +tp3770 +a(g213 +g1001 +tp3771 +a(g157 +g984 +tp3772 +a(g706 +g1278 +tp3773 +a(g827 +V\u000a +p3774 +tp3775 +a(g827 +g968 +tp3776 +a(g157 +g984 +tp3777 +a(g157 +V by string variable: +p3778 +tp3779 +a(g213 +V@25 +p3780 +tp3781 +a(g213 +g1001 +tp3782 +a(g157 +g984 +tp3783 +a(g706 +g973 +tp3784 +a(g827 +V\u000a +p3785 +tp3786 +a(g827 +g968 +tp3787 +a(g230 +g1675 +tp3788 +a(g230 +Vfont +p3789 +tp3790 +a(g230 +g1675 +tp3791 +a(g706 +g1278 +tp3792 +a(g827 +g966 +tp3793 +a(g827 +g968 +tp3794 +a(g230 +g1675 +tp3795 +a(g230 +Vstyle +p3796 +tp3797 +a(g230 +g1675 +tp3798 +a(g706 +g1914 +tp3799 +a(g827 +V \u000a +p3800 +tp3801 +a(g745 +Vfont +p3802 +tp3803 +a(g827 +g966 +tp3804 +a(g745 +Voff +p3805 +tp3806 +a(g706 +g968 +tp3807 +a(g706 +g973 +tp3808 +a(g827 +g966 +tp3809 +a(g745 +Vprint +p3810 +tp3811 +a(g827 +g966 +tp3812 +a(g827 +g968 +tp3813 +a(g157 +g984 +tp3814 +a(g157 +Vfont off +p3815 +tp3816 +a(g213 +g1001 +tp3817 +a(g157 +g984 +tp3818 +a(g706 +g973 +tp3819 +a(g827 +V\u000a +p3820 +tp3821 +a(g745 +Vfont +p3822 +tp3823 +a(g827 +g966 +tp3824 +a(g745 +Von +p3825 +tp3826 +a(g706 +g968 +tp3827 +a(g706 +g973 +tp3828 +a(g827 +g966 +tp3829 +a(g745 +Vprint +p3830 +tp3831 +a(g827 +g966 +tp3832 +a(g827 +g968 +tp3833 +a(g157 +g984 +tp3834 +a(g157 +Vfont on +p3835 +tp3836 +a(g213 +g1001 +tp3837 +a(g157 +g984 +tp3838 +a(g706 +g973 +tp3839 +a(g827 +V\u000a +p3840 +tp3841 +a(g745 +Vstyle +p3842 +tp3843 +a(g827 +g966 +tp3844 +a(g745 +Vreverse +p3845 +tp3846 +a(g706 +g968 +tp3847 +a(g706 +g973 +tp3848 +a(g827 +g966 +tp3849 +a(g745 +Vprint +p3850 +tp3851 +a(g827 +g966 +tp3852 +a(g827 +g968 +tp3853 +a(g157 +g984 +tp3854 +a(g157 +Vstyle reverse +p3855 +tp3856 +a(g213 +g1001 +tp3857 +a(g157 +g984 +tp3858 +a(g706 +g973 +tp3859 +a(g827 +g966 +tp3860 +a(g745 +Vstyle +p3861 +tp3862 +a(g827 +g966 +tp3863 +a(g745 +Vroman +p3864 +tp3865 +a(g706 +g968 +tp3866 +a(g706 +g973 +tp3867 +a(g827 +V\u000a +p3868 +tp3869 +a(g745 +Vstyle +p3870 +tp3871 +a(g827 +g966 +tp3872 +a(g745 +Vbold +p3873 +tp3874 +a(g706 +g968 +tp3875 +a(g706 +g973 +tp3876 +a(g827 +g966 +tp3877 +a(g745 +Vprint +p3878 +tp3879 +a(g827 +g966 +tp3880 +a(g827 +g968 +tp3881 +a(g157 +g984 +tp3882 +a(g157 +Vstyle bold +p3883 +tp3884 +a(g213 +g1001 +tp3885 +a(g157 +g984 +tp3886 +a(g706 +g973 +tp3887 +a(g827 +V\u000a +p3888 +tp3889 +a(g745 +Vstyle +p3890 +tp3891 +a(g827 +g966 +tp3892 +a(g745 +Vunderline +p3893 +tp3894 +a(g706 +g968 +tp3895 +a(g706 +g973 +tp3896 +a(g827 +g966 +tp3897 +a(g745 +Vprint +p3898 +tp3899 +a(g827 +g966 +tp3900 +a(g827 +g968 +tp3901 +a(g157 +g984 +tp3902 +a(g157 +Vstyle underline +p3903 +tp3904 +a(g213 +g1001 +tp3905 +a(g157 +g984 +tp3906 +a(g706 +g973 +tp3907 +a(g827 +V\u000a +p3908 +tp3909 +a(g745 +Vstyle +p3910 +tp3911 +a(g827 +g966 +tp3912 +a(g745 +Vfixed +p3913 +tp3914 +a(g706 +g968 +tp3915 +a(g706 +g973 +tp3916 +a(g827 +g966 +tp3917 +a(g745 +Vprint +p3918 +tp3919 +a(g827 +g966 +tp3920 +a(g827 +g968 +tp3921 +a(g157 +g984 +tp3922 +a(g157 +Vstyle fixed +p3923 +tp3924 +a(g213 +g1001 +tp3925 +a(g157 +g984 +tp3926 +a(g706 +g973 +tp3927 +a(g827 +V\u000a +p3928 +tp3929 +a(g745 +Vstyle +p3930 +tp3931 +a(g827 +g966 +tp3932 +a(g745 +Vroman +p3933 +tp3934 +a(g706 +g968 +tp3935 +a(g706 +g973 +tp3936 +a(g827 +g966 +tp3937 +a(g745 +Vprint +p3938 +tp3939 +a(g827 +g966 +tp3940 +a(g827 +g968 +tp3941 +a(g157 +g984 +tp3942 +a(g157 +Vstyle roman +p3943 +tp3944 +a(g213 +g1001 +tp3945 +a(g157 +g984 +tp3946 +a(g706 +g973 +tp3947 +a(g827 +V\u000a +p3948 +tp3949 +a(g827 +g968 +tp3950 +a(g230 +g1675 +tp3951 +a(g230 +Vstatements +p3952 +tp3953 +a(g230 +g1675 +tp3954 +a(g706 +g1914 +tp3955 +a(g827 +V\u000a +p3956 +tp3957 +a(g745 +Vspaces +p3958 +tp3959 +a(g827 +g966 +tp3960 +a(g827 +g968 +tp3961 +a(g37 +V8 +p3962 +tp3963 +a(g706 +g968 +tp3964 +a(g706 +g973 +tp3965 +a(g827 +V\u000a +p3966 +tp3967 +a(g745 +Vobjectloop +p3968 +tp3969 +a(g827 +g966 +tp3970 +a(g706 +g1173 +tp3971 +a(g441 +g1393 +tp3972 +a(g706 +g1185 +tp3973 +a(g827 +g966 +tp3974 +a(g706 +g2480 +tp3975 +a(g827 +V\u000a +p3976 +tp3977 +a(g745 +Vprint +p3978 +tp3979 +a(g827 +g966 +tp3980 +a(g827 +g968 +tp3981 +a(g157 +g984 +tp3982 +a(g157 +Vobjectloop +p3983 +tp3984 +a(g157 +g1173 +tp3985 +a(g157 +Vo): +p3986 +tp3987 +a(g157 +g984 +tp3988 +a(g706 +g1278 +tp3989 +a(g827 +g966 +tp3990 +a(g706 +g1173 +tp3991 +a(g786 +Vthe +p3992 +tp3993 +a(g706 +g1185 +tp3994 +a(g827 +g966 +tp3995 +a(g827 +g968 +tp3996 +a(g436 +g1393 +tp3997 +a(g706 +g1278 +tp3998 +a(g827 +g966 +tp3999 +a(g827 +g968 +tp4000 +a(g157 +g984 +tp4001 +a(g213 +g1001 +tp4002 +a(g157 +g984 +tp4003 +a(g706 +g973 +tp4004 +a(g827 +V\u000a +p4005 +tp4006 +a(g706 +g2538 +tp4007 +a(g827 +V\u000a +p4008 +tp4009 +a(g745 +Vobjectloop +p4010 +tp4011 +a(g827 +g966 +tp4012 +a(g706 +g1173 +tp4013 +a(g441 +g1393 +tp4014 +a(g827 +g966 +tp4015 +a(g745 +Vin +p4016 +tp4017 +a(g827 +g966 +tp4018 +a(g827 +g968 +tp4019 +a(g436 +Vcompass +p4020 +tp4021 +a(g706 +g1185 +tp4022 +a(g827 +g966 +tp4023 +a(g706 +g2480 +tp4024 +a(g827 +g966 +tp4025 +a(g898 +V! 'in' is a keyword +p4026 +tp4027 +a(g827 +V\u000a +p4028 +tp4029 +a(g745 +Vprint +p4030 +tp4031 +a(g827 +g966 +tp4032 +a(g827 +g968 +tp4033 +a(g157 +g984 +tp4034 +a(g157 +Vobjectloop +p4035 +tp4036 +a(g157 +g1173 +tp4037 +a(g157 +Vo in compass): +p4038 +tp4039 +a(g157 +g984 +tp4040 +a(g706 +g1278 +tp4041 +a(g827 +g966 +tp4042 +a(g706 +g1173 +tp4043 +a(g786 +Vthe +p4044 +tp4045 +a(g706 +g1185 +tp4046 +a(g827 +g966 +tp4047 +a(g827 +g968 +tp4048 +a(g436 +g1393 +tp4049 +a(g706 +g1278 +tp4050 +a(g827 +g966 +tp4051 +a(g827 +g968 +tp4052 +a(g157 +g984 +tp4053 +a(g213 +g1001 +tp4054 +a(g157 +g984 +tp4055 +a(g706 +g973 +tp4056 +a(g827 +V\u000a +p4057 +tp4058 +a(g706 +g2538 +tp4059 +a(g827 +V\u000a +p4060 +tp4061 +a(g745 +Vobjectloop +p4062 +tp4063 +a(g827 +g966 +tp4064 +a(g706 +g1173 +tp4065 +a(g441 +g1393 +tp4066 +a(g827 +g966 +tp4067 +a(g427 +Vin +p4068 +tp4069 +a(g827 +g966 +tp4070 +a(g827 +g968 +tp4071 +a(g436 +Vcompass +p4072 +tp4073 +a(g827 +g966 +tp4074 +a(g413 +V&& +p4075 +tp4076 +a(g827 +g966 +tp4077 +a(g827 +g968 +tp4078 +a(g682 +Vtrue +p4079 +tp4080 +a(g706 +g1185 +tp4081 +a(g827 +g966 +tp4082 +a(g706 +g2480 +tp4083 +a(g827 +g966 +tp4084 +a(g898 +V! 'in' is an operator +p4085 +tp4086 +a(g827 +V\u000a +p4087 +tp4088 +a(g745 +Vprint +p4089 +tp4090 +a(g827 +g966 +tp4091 +a(g827 +g968 +tp4092 +a(g157 +g984 +tp4093 +a(g157 +Vobjectloop +p4094 +tp4095 +a(g157 +g1173 +tp4096 +a(g157 +Vo in compass && true): +p4097 +tp4098 +a(g157 +g984 +tp4099 +a(g706 +g1278 +tp4100 +a(g827 +g966 +tp4101 +a(g706 +g1173 +tp4102 +a(g786 +Vthe +p4103 +tp4104 +a(g706 +g1185 +tp4105 +a(g827 +g966 +tp4106 +a(g827 +g968 +tp4107 +a(g436 +g1393 +tp4108 +a(g706 +g1278 +tp4109 +a(g827 +g966 +tp4110 +a(g827 +g968 +tp4111 +a(g157 +g984 +tp4112 +a(g213 +g1001 +tp4113 +a(g157 +g984 +tp4114 +a(g706 +g973 +tp4115 +a(g827 +V\u000a +p4116 +tp4117 +a(g706 +g2538 +tp4118 +a(g827 +V\u000a +p4119 +tp4120 +a(g745 +Vobjectloop +p4121 +tp4122 +a(g827 +g966 +tp4123 +a(g706 +g1173 +tp4124 +a(g441 +g1393 +tp4125 +a(g827 +g966 +tp4126 +a(g745 +Vfrom +p4127 +tp4128 +a(g827 +g966 +tp4129 +a(g827 +g968 +tp4130 +a(g436 +Vse_obj +p4131 +tp4132 +a(g706 +g1185 +tp4133 +a(g827 +g966 +tp4134 +a(g706 +g2480 +tp4135 +a(g827 +V\u000a +p4136 +tp4137 +a(g745 +Vprint +p4138 +tp4139 +a(g827 +g966 +tp4140 +a(g827 +g968 +tp4141 +a(g157 +g984 +tp4142 +a(g157 +Vobjectloop +p4143 +tp4144 +a(g157 +g1173 +tp4145 +a(g157 +Vo from se_obj): +p4146 +tp4147 +a(g157 +g984 +tp4148 +a(g706 +g1278 +tp4149 +a(g827 +g966 +tp4150 +a(g706 +g1173 +tp4151 +a(g786 +Vthe +p4152 +tp4153 +a(g706 +g1185 +tp4154 +a(g827 +g966 +tp4155 +a(g827 +g968 +tp4156 +a(g436 +g1393 +tp4157 +a(g706 +g1278 +tp4158 +a(g827 +g966 +tp4159 +a(g827 +g968 +tp4160 +a(g157 +g984 +tp4161 +a(g213 +g1001 +tp4162 +a(g157 +g984 +tp4163 +a(g706 +g973 +tp4164 +a(g827 +V\u000a +p4165 +tp4166 +a(g706 +g2538 +tp4167 +a(g827 +V\u000a +p4168 +tp4169 +a(g745 +Vobjectloop +p4170 +tp4171 +a(g827 +g966 +tp4172 +a(g706 +g1173 +tp4173 +a(g441 +g1393 +tp4174 +a(g827 +g966 +tp4175 +a(g745 +Vnear +p4176 +tp4177 +a(g827 +g966 +tp4178 +a(g827 +g968 +tp4179 +a(g436 +Vperson +p4180 +tp4181 +a(g706 +g1185 +tp4182 +a(g827 +g966 +tp4183 +a(g706 +g2480 +tp4184 +a(g827 +V\u000a +p4185 +tp4186 +a(g745 +Vprint +p4187 +tp4188 +a(g827 +g966 +tp4189 +a(g827 +g968 +tp4190 +a(g157 +g984 +tp4191 +a(g157 +Vobjectloop +p4192 +tp4193 +a(g157 +g1173 +tp4194 +a(g157 +Vo near person): +p4195 +tp4196 +a(g157 +g984 +tp4197 +a(g706 +g1278 +tp4198 +a(g827 +g966 +tp4199 +a(g706 +g1173 +tp4200 +a(g786 +Vthe +p4201 +tp4202 +a(g706 +g1185 +tp4203 +a(g827 +g966 +tp4204 +a(g827 +g968 +tp4205 +a(g436 +g1393 +tp4206 +a(g706 +g1278 +tp4207 +a(g827 +g966 +tp4208 +a(g827 +g968 +tp4209 +a(g157 +g984 +tp4210 +a(g213 +g1001 +tp4211 +a(g157 +g984 +tp4212 +a(g706 +g973 +tp4213 +a(g827 +V\u000a +p4214 +tp4215 +a(g706 +g2538 +tp4216 +a(g827 +V\u000a +p4217 +tp4218 +a(g706 +g1167 +tp4219 +a(g745 +VIfdef +p4220 +tp4221 +a(g827 +g966 +tp4222 +a(g827 +g968 +tp4223 +a(g682 +VTARGET_ZCODE +p4224 +tp4225 +a(g706 +g968 +tp4226 +a(g706 +g973 +tp4227 +a(g827 +V\u000a +p4228 +tp4229 +a(g706 +g1167 +tp4230 +a(g745 +VTrace +p4231 +tp4232 +a(g827 +g966 +tp4233 +a(g745 +Vassembly +p4234 +tp4235 +a(g827 +g966 +tp4236 +a(g745 +Von +p4237 +tp4238 +a(g706 +g968 +tp4239 +a(g706 +g973 +tp4240 +a(g827 +V\u000a +p4241 +tp4242 +a(g745 +g3129 +tp4243 +a(g827 +g966 +tp4244 +a(g898 +V! This is assembly. +p4245 +tp4246 +a(g827 +V\u000a +p4247 +tp4248 +a(g745 +Vadd +p4249 +tp4250 +a(g827 +g966 +tp4251 +a(g413 +g3669 +tp4252 +a(g827 +g968 +tp4253 +a(g37 +g1297 +tp4254 +a(g827 +g966 +tp4255 +a(g706 +g1173 +tp4256 +a(g827 +g968 +tp4257 +a(g266 +V$$1 +p4258 +tp4259 +a(g413 +g2297 +tp4260 +a(g827 +g968 +tp4261 +a(g298 +V$3 +p4262 +tp4263 +a(g706 +g1185 +tp4264 +a(g413 +g1390 +tp4265 +a(g827 +g968 +tp4266 +a(g37 +g1082 +tp4267 +a(g827 +g966 +tp4268 +a(g706 +V-> +p4269 +tp4270 +a(g827 +g966 +tp4271 +a(g827 +g968 +tp4272 +a(g436 +g2951 +tp4273 +a(g706 +g973 +tp4274 +a(g827 +V\u000a +p4275 +tp4276 +a(g745 +g3129 +tp4277 +a(g745 +Vget_sibling +p4278 +tp4279 +a(g827 +g966 +tp4280 +a(g827 +g968 +tp4281 +a(g436 +Vtest_tube +p4282 +tp4283 +a(g827 +g966 +tp4284 +a(g706 +V-> +p4285 +tp4286 +a(g827 +g966 +tp4287 +a(g827 +g968 +tp4288 +a(g436 +g2951 +tp4289 +a(g827 +g966 +tp4290 +a(g597 +g3135 +tp4291 +a(g597 +Vsaved +p4292 +tp4293 +a(g706 +g973 +tp4294 +a(g827 +V\u000a +p4295 +tp4296 +a(g745 +g3129 +tp4297 +a(g745 +Vinc +p4298 +tp4299 +a(g827 +g966 +tp4300 +a(g827 +g968 +tp4301 +a(g706 +g1312 +tp4302 +a(g827 +g968 +tp4303 +a(g436 +g2951 +tp4304 +a(g706 +g1357 +tp4305 +a(g706 +g973 +tp4306 +a(g827 +V\u000a +p4307 +tp4308 +a(g745 +g3129 +tp4309 +a(g745 +Vje +p4310 +tp4311 +a(g827 +g966 +tp4312 +a(g827 +g968 +tp4313 +a(g786 +Vsp +p4314 +tp4315 +a(g827 +g966 +tp4316 +a(g706 +g1173 +tp4317 +a(g827 +g968 +tp4318 +a(g37 +g1287 +tp4319 +a(g413 +g2297 +tp4320 +a(g827 +g968 +tp4321 +a(g37 +g1017 +tp4322 +a(g413 +g1390 +tp4323 +a(g827 +g968 +tp4324 +a(g37 +g2106 +tp4325 +a(g706 +g1185 +tp4326 +a(g827 +g966 +tp4327 +a(g597 +g3135 +tp4328 +a(g827 +g966 +tp4329 +a(g597 +Vequal +p4330 +tp4331 +a(g706 +g973 +tp4332 +a(g827 +V\u000a +p4333 +tp4334 +a(g745 +g3129 +tp4335 +a(g745 +Vje +p4336 +tp4337 +a(g827 +g966 +tp4338 +a(g827 +g968 +tp4339 +a(g37 +g1287 +tp4340 +a(g827 +g966 +tp4341 +a(g706 +g1173 +tp4342 +a(g827 +g968 +tp4343 +a(g706 +g1173 +tp4344 +a(g827 +g968 +tp4345 +a(g786 +Vsp +p4346 +tp4347 +a(g706 +g1185 +tp4348 +a(g706 +g1185 +tp4349 +a(g827 +g966 +tp4350 +a(g597 +V?~ +p4351 +tp4352 +a(g827 +g966 +tp4353 +a(g597 +Vdifferent +p4354 +tp4355 +a(g706 +g973 +tp4356 +a(g827 +V\u000a +p4357 +tp4358 +a(g597 +g1797 +tp4359 +a(g898 +V! This is a label: +p4360 +tp4361 +a(g827 +V\u000a +p4362 +tp4363 +a(g597 +Vequal +p4364 +tp4365 +a(g706 +g973 +tp4366 +a(g827 +V\u000a +p4367 +tp4368 +a(g745 +Vprint +p4369 +tp4370 +a(g827 +g966 +tp4371 +a(g827 +g968 +tp4372 +a(g157 +g984 +tp4373 +a(g157 +Vsp == 1 +p4374 +tp4375 +a(g213 +g1001 +tp4376 +a(g157 +g984 +tp4377 +a(g706 +g973 +tp4378 +a(g827 +V\u000a +p4379 +tp4380 +a(g745 +Vjump +p4381 +tp4382 +a(g827 +g966 +tp4383 +a(g597 +Vlabel +p4384 +tp4385 +a(g706 +g968 +tp4386 +a(g706 +g973 +tp4387 +a(g827 +V\u000a +p4388 +tp4389 +a(g597 +g1797 +tp4390 +a(g597 +Vdifferent +p4391 +tp4392 +a(g706 +g973 +tp4393 +a(g827 +V\u000a +p4394 +tp4395 +a(g745 +Vprint +p4396 +tp4397 +a(g827 +g966 +tp4398 +a(g827 +g968 +tp4399 +a(g157 +g984 +tp4400 +a(g157 +Vsp +p4401 +tp4402 +a(g213 +V@@126 +p4403 +tp4404 +a(g157 +V= 1 +p4405 +tp4406 +a(g213 +g1001 +tp4407 +a(g157 +g984 +tp4408 +a(g706 +g973 +tp4409 +a(g827 +V\u000a +p4410 +tp4411 +a(g597 +g1797 +tp4412 +a(g597 +Vlabel +p4413 +tp4414 +a(g706 +g973 +tp4415 +a(g827 +V\u000a +p4416 +tp4417 +a(g706 +g1167 +tp4418 +a(g745 +VTrace +p4419 +tp4420 +a(g827 +g966 +tp4421 +a(g745 +Voff +p4422 +tp4423 +a(g706 +g968 +tp4424 +a(g706 +g973 +tp4425 +a(g827 +g966 +tp4426 +a(g706 +g1167 +tp4427 +a(g745 +VEndif +p4428 +tp4429 +a(g706 +g968 +tp4430 +a(g706 +g973 +tp4431 +a(g827 +g966 +tp4432 +a(g898 +V! TARGET_ZCODE +p4433 +tp4434 +a(g827 +V\u000a +p4435 +tp4436 +a(g827 +g968 +tp4437 +a(g436 +g2943 +tp4438 +a(g827 +g966 +tp4439 +a(g413 +g1324 +tp4440 +a(g827 +g966 +tp4441 +a(g827 +g968 +tp4442 +a(g682 +Vrandom +p4443 +tp4444 +a(g706 +g1173 +tp4445 +a(g827 +g968 +tp4446 +a(g37 +V10 +p4447 +tp4448 +a(g706 +g1185 +tp4449 +a(g706 +g968 +tp4450 +a(g706 +g973 +tp4451 +a(g827 +V\u000a +p4452 +tp4453 +a(g745 +Vswitch +p4454 +tp4455 +a(g827 +g966 +tp4456 +a(g706 +g1173 +tp4457 +a(g827 +g968 +tp4458 +a(g436 +g2943 +tp4459 +a(g706 +g1185 +tp4460 +a(g827 +g966 +tp4461 +a(g706 +g2480 +tp4462 +a(g827 +V\u000a +p4463 +tp4464 +a(g827 +g968 +tp4465 +a(g37 +g1287 +tp4466 +a(g706 +g1278 +tp4467 +a(g827 +g966 +tp4468 +a(g827 +g968 +tp4469 +a(g37 +V9 +p4470 +tp4471 +a(g706 +g1914 +tp4472 +a(g827 +V\u000a +p4473 +tp4474 +a(g745 +Vbox +p4475 +tp4476 +a(g827 +g966 +tp4477 +a(g827 +g968 +tp4478 +a(g157 +g984 +tp4479 +a(g157 +VTesting oneself is best when done alone. +p4480 +tp4481 +a(g157 +g984 +tp4482 +a(g827 +V\u000a +p4483 +tp4484 +a(g827 +g968 +tp4485 +a(g157 +g984 +tp4486 +a(g157 +V -- Jimmy Carter +p4487 +tp4488 +a(g157 +g984 +tp4489 +a(g706 +g968 +tp4490 +a(g706 +g973 +tp4491 +a(g827 +V\u000a +p4492 +tp4493 +a(g827 +g968 +tp4494 +a(g37 +g1082 +tp4495 +a(g706 +g1278 +tp4496 +a(g827 +g966 +tp4497 +a(g827 +g968 +tp4498 +a(g37 +V6 +p4499 +tp4500 +a(g706 +g1278 +tp4501 +a(g827 +g966 +tp4502 +a(g827 +g968 +tp4503 +a(g436 +Vto +p4504 +tp4505 +a(g706 +g1278 +tp4506 +a(g827 +g966 +tp4507 +a(g827 +g968 +tp4508 +a(g37 +g1017 +tp4509 +a(g827 +g966 +tp4510 +a(g745 +Vto +p4511 +tp4512 +a(g827 +g966 +tp4513 +a(g827 +g968 +tp4514 +a(g37 +g1039 +tp4515 +a(g706 +g1278 +tp4516 +a(g827 +g966 +tp4517 +a(g827 +g968 +tp4518 +a(g436 +Vto +p4519 +tp4520 +a(g827 +g966 +tp4521 +a(g745 +Vto +p4522 +tp4523 +a(g827 +g966 +tp4524 +a(g827 +g968 +tp4525 +a(g436 +Vto +p4526 +tp4527 +a(g706 +g1914 +tp4528 +a(g827 +V\u000a +p4529 +tp4530 +a(g706 +V< +p4531 +tp4532 +a(g827 +g968 +tp4533 +a(g436 +VTake +p4534 +tp4535 +a(g827 +g966 +tp4536 +a(g827 +g968 +tp4537 +a(g436 +Vpigeon +p4538 +tp4539 +a(g706 +V> +p4540 +tp4541 +a(g706 +g968 +tp4542 +a(g706 +g973 +tp4543 +a(g827 +V\u000a +p4544 +tp4545 +a(g706 +g1167 +tp4546 +a(g745 +VIfdef +p4547 +tp4548 +a(g827 +g966 +tp4549 +a(g827 +g968 +tp4550 +a(g436 +VVN_1633 +p4551 +tp4552 +a(g706 +g968 +tp4553 +a(g706 +g973 +tp4554 +a(g827 +V\u000a +p4555 +tp4556 +a(g706 +g4531 +tp4557 +a(g827 +g968 +tp4558 +a(g436 +VJump +p4559 +tp4560 +a(g706 +g1278 +tp4561 +a(g827 +g966 +tp4562 +a(g827 +g968 +tp4563 +a(g436 +Vperson +p4564 +tp4565 +a(g706 +g4540 +tp4566 +a(g706 +g968 +tp4567 +a(g706 +g973 +tp4568 +a(g827 +V\u000a +p4569 +tp4570 +a(g706 +g1167 +tp4571 +a(g745 +VEndif +p4572 +tp4573 +a(g706 +g968 +tp4574 +a(g706 +g973 +tp4575 +a(g827 +V\u000a +p4576 +tp4577 +a(g827 +g968 +tp4578 +a(g436 +g2943 +tp4579 +a(g827 +g966 +tp4580 +a(g413 +g1324 +tp4581 +a(g827 +g966 +tp4582 +a(g827 +g968 +tp4583 +a(g413 +V## +p4584 +tp4585 +a(g436 +VDrop +p4586 +tp4587 +a(g706 +g968 +tp4588 +a(g706 +g973 +tp4589 +a(g827 +V\u000a +p4590 +tp4591 +a(g706 +g4531 +tp4592 +a(g827 +g966 +tp4593 +a(g898 +V! The angle brackets may be separated by whitespace. +p4594 +tp4595 +a(g827 +V\u000a +p4596 +tp4597 +a(g706 +g4531 +tp4598 +a(g827 +g966 +tp4599 +a(g827 +g968 +tp4600 +a(g706 +g1173 +tp4601 +a(g827 +g968 +tp4602 +a(g436 +g2943 +tp4603 +a(g706 +g1185 +tp4604 +a(g827 +g966 +tp4605 +a(g827 +g968 +tp4606 +a(g436 +Vpigeon +p4607 +tp4608 +a(g827 +g966 +tp4609 +a(g706 +g4540 +tp4610 +a(g827 +g966 +tp4611 +a(g706 +g4540 +tp4612 +a(g706 +g968 +tp4613 +a(g706 +g973 +tp4614 +a(g827 +V\u000a +p4615 +tp4616 +a(g745 +Vdefault +p4617 +tp4618 +a(g706 +g1914 +tp4619 +a(g827 +V\u000a +p4620 +tp4621 +a(g745 +Vdo +p4622 +tp4623 +a(g827 +g966 +tp4624 +a(g706 +g2480 +tp4625 +a(g827 +V\u000a +p4626 +tp4627 +a(g745 +Vgive +p4628 +tp4629 +a(g827 +g966 +tp4630 +a(g827 +g968 +tp4631 +a(g436 +Vperson +p4632 +tp4633 +a(g827 +g966 +tp4634 +a(g827 +g968 +tp4635 +a(g436 +Vgeneral +p4636 +tp4637 +a(g827 +g966 +tp4638 +a(g413 +g1786 +tp4639 +a(g827 +g968 +tp4640 +a(g436 +Vgeneral +p4641 +tp4642 +a(g706 +g968 +tp4643 +a(g706 +g973 +tp4644 +a(g827 +V\u000a +p4645 +tp4646 +a(g706 +g2538 +tp4647 +a(g827 +g966 +tp4648 +a(g745 +Vuntil +p4649 +tp4650 +a(g827 +g966 +tp4651 +a(g827 +g968 +tp4652 +a(g706 +g1173 +tp4653 +a(g827 +g968 +tp4654 +a(g436 +Vperson +p4655 +tp4656 +a(g827 +g966 +tp4657 +a(g427 +Vprovides +p4658 +tp4659 +a(g827 +g966 +tp4660 +a(g827 +g968 +tp4661 +a(g436 +Vlife +p4662 +tp4663 +a(g827 +g966 +tp4664 +a(g413 +V&& +p4665 +tp4666 +a(g827 +g966 +tp4667 +a(g413 +V~~ +p4668 +tp4669 +a(g827 +g968 +tp4670 +a(g682 +Vfalse +p4671 +tp4672 +a(g706 +g1185 +tp4673 +a(g706 +g968 +tp4674 +a(g706 +g973 +tp4675 +a(g827 +V\u000a +p4676 +tp4677 +a(g745 +Vif +p4678 +tp4679 +a(g827 +g966 +tp4680 +a(g706 +g1173 +tp4681 +a(g827 +g968 +tp4682 +a(g436 +g2943 +tp4683 +a(g827 +g966 +tp4684 +a(g413 +V== +p4685 +tp4686 +a(g827 +g966 +tp4687 +a(g827 +g968 +tp4688 +a(g37 +V7 +p4689 +tp4690 +a(g706 +g1185 +tp4691 +a(g827 +g966 +tp4692 +a(g827 +g968 +tp4693 +a(g436 +g2943 +tp4694 +a(g827 +g966 +tp4695 +a(g413 +g1324 +tp4696 +a(g827 +g966 +tp4697 +a(g827 +g968 +tp4698 +a(g37 +g1297 +tp4699 +a(g706 +g968 +tp4700 +a(g706 +g973 +tp4701 +a(g827 +V\u000a +p4702 +tp4703 +a(g745 +Velse +p4704 +tp4705 +a(g827 +g966 +tp4706 +a(g827 +g968 +tp4707 +a(g436 +g2943 +tp4708 +a(g827 +g966 +tp4709 +a(g413 +g1324 +tp4710 +a(g827 +g966 +tp4711 +a(g827 +g968 +tp4712 +a(g37 +g1039 +tp4713 +a(g706 +g968 +tp4714 +a(g706 +g973 +tp4715 +a(g827 +V\u000a +p4716 +tp4717 +a(g706 +g2538 +tp4718 +a(g827 +V\u000a +p4719 +tp4720 +a(g827 +g968 +tp4721 +a(g230 +g1675 +tp4722 +a(g230 +Vexpressions +p4723 +tp4724 +a(g230 +g1675 +tp4725 +a(g706 +g1914 +tp4726 +a(g827 +V\u000a +p4727 +tp4728 +a(g827 +g968 +tp4729 +a(g436 +g2943 +tp4730 +a(g827 +g966 +tp4731 +a(g413 +g1324 +tp4732 +a(g827 +g966 +tp4733 +a(g827 +g968 +tp4734 +a(g37 +g1287 +tp4735 +a(g413 +g2297 +tp4736 +a(g827 +g968 +tp4737 +a(g37 +g1287 +tp4738 +a(g413 +g3669 +tp4739 +a(g827 +g968 +tp4740 +a(g37 +g1287 +tp4741 +a(g413 +g1390 +tp4742 +a(g827 +g968 +tp4743 +a(g37 +g1287 +tp4744 +a(g413 +g2651 +tp4745 +a(g827 +g968 +tp4746 +a(g37 +g1287 +tp4747 +a(g413 +V% +p4748 +tp4749 +a(g827 +g968 +tp4750 +a(g37 +g1287 +tp4751 +a(g413 +V& +p4752 +tp4753 +a(g827 +g968 +tp4754 +a(g37 +g1287 +tp4755 +a(g413 +V| +p4756 +tp4757 +a(g827 +g968 +tp4758 +a(g37 +g1287 +tp4759 +a(g413 +V&& +p4760 +tp4761 +a(g827 +g968 +tp4762 +a(g37 +g1287 +tp4763 +a(g413 +V|| +p4764 +tp4765 +a(g827 +g968 +tp4766 +a(g37 +g1287 +tp4767 +a(g413 +V== +p4768 +tp4769 +a(g827 +g968 +tp4770 +a(g706 +g1173 +tp4771 +a(g827 +g968 +tp4772 +a(g37 +g1287 +tp4773 +a(g413 +V~= +p4774 +tp4775 +a(g827 +g968 +tp4776 +a(g706 +g1173 +tp4777 +a(g827 +g968 +tp4778 +a(g37 +g1287 +tp4779 +a(g413 +g4540 +tp4780 +a(g827 +g968 +tp4781 +a(g706 +g1173 +tp4782 +a(g827 +g968 +tp4783 +a(g37 +g1287 +tp4784 +a(g413 +g4531 +tp4785 +a(g827 +g968 +tp4786 +a(g706 +g1173 +tp4787 +a(g827 +g968 +tp4788 +a(g37 +g1287 +tp4789 +a(g413 +V>= +p4790 +tp4791 +a(g827 +g968 +tp4792 +a(g706 +g1173 +tp4793 +a(g827 +g968 +tp4794 +a(g37 +g1287 +tp4795 +a(g413 +V<= +p4796 +tp4797 +a(g827 +g968 +tp4798 +a(g37 +g1287 +tp4799 +a(g706 +g1185 +tp4800 +a(g706 +g1185 +tp4801 +a(g706 +g1185 +tp4802 +a(g706 +g1185 +tp4803 +a(g706 +g1185 +tp4804 +a(g706 +g968 +tp4805 +a(g706 +g973 +tp4806 +a(g827 +V\u000a +p4807 +tp4808 +a(g827 +g968 +tp4809 +a(g436 +g2943 +tp4810 +a(g413 +V++ +p4811 +tp4812 +a(g706 +g968 +tp4813 +a(g706 +g973 +tp4814 +a(g827 +g966 +tp4815 +a(g413 +V++ +p4816 +tp4817 +a(g827 +g968 +tp4818 +a(g436 +g2943 +tp4819 +a(g706 +g968 +tp4820 +a(g706 +g973 +tp4821 +a(g827 +g966 +tp4822 +a(g827 +g968 +tp4823 +a(g436 +g2943 +tp4824 +a(g413 +V-- +p4825 +tp4826 +a(g706 +g968 +tp4827 +a(g706 +g973 +tp4828 +a(g827 +g966 +tp4829 +a(g413 +V-- +p4830 +tp4831 +a(g827 +g968 +tp4832 +a(g436 +g2943 +tp4833 +a(g706 +g968 +tp4834 +a(g706 +g973 +tp4835 +a(g827 +V\u000a +p4836 +tp4837 +a(g827 +g968 +tp4838 +a(g436 +g2943 +tp4839 +a(g827 +g966 +tp4840 +a(g413 +g1324 +tp4841 +a(g827 +g966 +tp4842 +a(g827 +g968 +tp4843 +a(g436 +Vperson +p4844 +tp4845 +a(g413 +g1797 +tp4846 +a(g827 +g968 +tp4847 +a(g436 +Vlife +p4848 +tp4849 +a(g706 +g968 +tp4850 +a(g706 +g973 +tp4851 +a(g827 +V\u000a +p4852 +tp4853 +a(g827 +g968 +tp4854 +a(g436 +g2943 +tp4855 +a(g827 +g966 +tp4856 +a(g413 +g1324 +tp4857 +a(g827 +g966 +tp4858 +a(g827 +g968 +tp4859 +a(g436 +Vkitchen +p4860 +tp4861 +a(g413 +V.& +p4862 +tp4863 +a(g827 +g968 +tp4864 +a(g436 +Varr +p4865 +tp4866 +a(g706 +g968 +tp4867 +a(g706 +g973 +tp4868 +a(g827 +V\u000a +p4869 +tp4870 +a(g827 +g968 +tp4871 +a(g436 +g2943 +tp4872 +a(g827 +g966 +tp4873 +a(g413 +g1324 +tp4874 +a(g827 +g966 +tp4875 +a(g827 +g968 +tp4876 +a(g436 +Vkitchen +p4877 +tp4878 +a(g413 +V.# +p4879 +tp4880 +a(g827 +g968 +tp4881 +a(g436 +Varr +p4882 +tp4883 +a(g706 +g968 +tp4884 +a(g706 +g973 +tp4885 +a(g827 +V\u000a +p4886 +tp4887 +a(g827 +g968 +tp4888 +a(g436 +g2943 +tp4889 +a(g827 +g966 +tp4890 +a(g413 +g1324 +tp4891 +a(g827 +g966 +tp4892 +a(g827 +g968 +tp4893 +a(g436 +VBird +p4894 +tp4895 +a(g413 +V:: +p4896 +tp4897 +a(g827 +g968 +tp4898 +a(g436 +Vwingspan +p4899 +tp4900 +a(g706 +g968 +tp4901 +a(g706 +g973 +tp4902 +a(g827 +V\u000a +p4903 +tp4904 +a(g827 +g968 +tp4905 +a(g436 +g2943 +tp4906 +a(g827 +g966 +tp4907 +a(g413 +g1324 +tp4908 +a(g827 +g966 +tp4909 +a(g827 +g968 +tp4910 +a(g436 +Vkitchen +p4911 +tp4912 +a(g827 +g966 +tp4913 +a(g427 +Vhas +p4914 +tp4915 +a(g827 +g966 +tp4916 +a(g827 +g968 +tp4917 +a(g436 +Vgeneral +p4918 +tp4919 +a(g706 +g968 +tp4920 +a(g706 +g973 +tp4921 +a(g827 +V\u000a +p4922 +tp4923 +a(g827 +g968 +tp4924 +a(g436 +g2943 +tp4925 +a(g827 +g966 +tp4926 +a(g413 +g1324 +tp4927 +a(g827 +g966 +tp4928 +a(g827 +g968 +tp4929 +a(g436 +Vkitchen +p4930 +tp4931 +a(g827 +g966 +tp4932 +a(g427 +Vhasnt +p4933 +tp4934 +a(g827 +g966 +tp4935 +a(g827 +g968 +tp4936 +a(g436 +Vgeneral +p4937 +tp4938 +a(g706 +g968 +tp4939 +a(g706 +g973 +tp4940 +a(g827 +V\u000a +p4941 +tp4942 +a(g827 +g968 +tp4943 +a(g436 +g2943 +tp4944 +a(g827 +g966 +tp4945 +a(g413 +g1324 +tp4946 +a(g827 +g966 +tp4947 +a(g827 +g968 +tp4948 +a(g436 +Vkitchen +p4949 +tp4950 +a(g827 +g966 +tp4951 +a(g427 +Vprovides +p4952 +tp4953 +a(g827 +g966 +tp4954 +a(g827 +g968 +tp4955 +a(g436 +Varr +p4956 +tp4957 +a(g706 +g968 +tp4958 +a(g706 +g973 +tp4959 +a(g827 +V\u000a +p4960 +tp4961 +a(g827 +g968 +tp4962 +a(g436 +g2943 +tp4963 +a(g827 +g966 +tp4964 +a(g413 +g1324 +tp4965 +a(g827 +g966 +tp4966 +a(g827 +g968 +tp4967 +a(g436 +Vperson +p4968 +tp4969 +a(g827 +g966 +tp4970 +a(g427 +Vin +p4971 +tp4972 +a(g827 +g966 +tp4973 +a(g827 +g968 +tp4974 +a(g436 +Vkitchen +p4975 +tp4976 +a(g706 +g968 +tp4977 +a(g706 +g973 +tp4978 +a(g827 +V\u000a +p4979 +tp4980 +a(g827 +g968 +tp4981 +a(g436 +g2943 +tp4982 +a(g827 +g966 +tp4983 +a(g413 +g1324 +tp4984 +a(g827 +g966 +tp4985 +a(g827 +g968 +tp4986 +a(g436 +Vperson +p4987 +tp4988 +a(g827 +g966 +tp4989 +a(g427 +Vnotin +p4990 +tp4991 +a(g827 +g966 +tp4992 +a(g827 +g968 +tp4993 +a(g436 +Vkitchen +p4994 +tp4995 +a(g706 +g968 +tp4996 +a(g706 +g973 +tp4997 +a(g827 +V\u000a +p4998 +tp4999 +a(g827 +g968 +tp5000 +a(g436 +g2943 +tp5001 +a(g827 +g966 +tp5002 +a(g413 +g1324 +tp5003 +a(g827 +g966 +tp5004 +a(g827 +g968 +tp5005 +a(g436 +Vperson +p5006 +tp5007 +a(g827 +g966 +tp5008 +a(g427 +Vofclass +p5009 +tp5010 +a(g827 +g966 +tp5011 +a(g827 +g968 +tp5012 +a(g436 +VBird +p5013 +tp5014 +a(g706 +g968 +tp5015 +a(g706 +g973 +tp5016 +a(g827 +V\u000a +p5017 +tp5018 +a(g827 +g968 +tp5019 +a(g436 +g2943 +tp5020 +a(g827 +g966 +tp5021 +a(g413 +g1324 +tp5022 +a(g827 +g966 +tp5023 +a(g827 +g968 +tp5024 +a(g436 +g2943 +tp5025 +a(g827 +g966 +tp5026 +a(g413 +V== +p5027 +tp5028 +a(g827 +g966 +tp5029 +a(g827 +g968 +tp5030 +a(g37 +g2106 +tp5031 +a(g827 +g966 +tp5032 +a(g427 +Vor +p5033 +tp5034 +a(g827 +g966 +tp5035 +a(g827 +g968 +tp5036 +a(g37 +g1287 +tp5037 +a(g706 +g968 +tp5038 +a(g706 +g973 +tp5039 +a(g827 +V\u000a +p5040 +tp5041 +a(g827 +g968 +tp5042 +a(g436 +g2943 +tp5043 +a(g827 +g966 +tp5044 +a(g413 +g1324 +tp5045 +a(g827 +g966 +tp5046 +a(g827 +g968 +tp5047 +a(g436 +VStubR +p5048 +tp5049 +a(g706 +g1173 +tp5050 +a(g827 +g968 +tp5051 +a(g706 +g1185 +tp5052 +a(g706 +g968 +tp5053 +a(g706 +g973 +tp5054 +a(g827 +V\u000a +p5055 +tp5056 +a(g827 +g968 +tp5057 +a(g436 +g2943 +tp5058 +a(g827 +g966 +tp5059 +a(g413 +g1324 +tp5060 +a(g827 +g966 +tp5061 +a(g827 +g968 +tp5062 +a(g436 +VStubR +p5063 +tp5064 +a(g706 +g1173 +tp5065 +a(g827 +g968 +tp5066 +a(g436 +g2943 +tp5067 +a(g706 +g1185 +tp5068 +a(g706 +g968 +tp5069 +a(g706 +g973 +tp5070 +a(g827 +V\u000a +p5071 +tp5072 +a(g827 +g968 +tp5073 +a(g436 +g2943 +tp5074 +a(g827 +g966 +tp5075 +a(g413 +g1324 +tp5076 +a(g827 +g966 +tp5077 +a(g827 +g968 +tp5078 +a(g436 +VStubR +p5079 +tp5080 +a(g706 +g1173 +tp5081 +a(g827 +g968 +tp5082 +a(g706 +g1278 +tp5083 +a(g827 +g966 +tp5084 +a(g827 +g968 +tp5085 +a(g436 +g2943 +tp5086 +a(g706 +g1185 +tp5087 +a(g706 +g968 +tp5088 +a(g706 +g973 +tp5089 +a(g827 +V\u000a +p5090 +tp5091 +a(g827 +g968 +tp5092 +a(g436 +g2943 +tp5093 +a(g827 +g966 +tp5094 +a(g413 +g1324 +tp5095 +a(g827 +g966 +tp5096 +a(g827 +g968 +tp5097 +a(g157 +g984 +tp5098 +a(g157 +Vstring +p5099 +tp5100 +a(g157 +g984 +tp5101 +a(g706 +g968 +tp5102 +a(g706 +g973 +tp5103 +a(g827 +V\u000a +p5104 +tp5105 +a(g827 +g968 +tp5106 +a(g436 +g2943 +tp5107 +a(g827 +g966 +tp5108 +a(g413 +g1324 +tp5109 +a(g827 +g966 +tp5110 +a(g827 +g968 +tp5111 +a(g230 +g1675 +tp5112 +a(g230 +Vword +p5113 +tp5114 +a(g230 +g1675 +tp5115 +a(g706 +g968 +tp5116 +a(g706 +g973 +tp5117 +a(g827 +V\u000a +p5118 +tp5119 +a(g827 +g968 +tp5120 +a(g436 +g2943 +tp5121 +a(g827 +g966 +tp5122 +a(g413 +g1324 +tp5123 +a(g827 +g966 +tp5124 +a(g827 +g968 +tp5125 +a(g93 +V''' +p5126 +tp5127 +a(g706 +g968 +tp5128 +a(g706 +g973 +tp5129 +a(g827 +g966 +tp5130 +a(g898 +V! character +p5131 +tp5132 +a(g827 +V\u000a +p5133 +tp5134 +a(g827 +g968 +tp5135 +a(g436 +g2943 +tp5136 +a(g827 +g966 +tp5137 +a(g413 +g1324 +tp5138 +a(g827 +g966 +tp5139 +a(g827 +g968 +tp5140 +a(g298 +V$09afAF +p5141 +tp5142 +a(g706 +g968 +tp5143 +a(g706 +g973 +tp5144 +a(g827 +V\u000a +p5145 +tp5146 +a(g827 +g968 +tp5147 +a(g436 +g2943 +tp5148 +a(g827 +g966 +tp5149 +a(g413 +g1324 +tp5150 +a(g827 +g966 +tp5151 +a(g827 +g968 +tp5152 +a(g266 +V$$01 +p5153 +tp5154 +a(g706 +g968 +tp5155 +a(g706 +g973 +tp5156 +a(g827 +V\u000a +p5157 +tp5158 +a(g827 +g968 +tp5159 +a(g436 +g2943 +tp5160 +a(g827 +g966 +tp5161 +a(g413 +g1324 +tp5162 +a(g827 +g966 +tp5163 +a(g827 +g968 +tp5164 +a(g413 +V## +p5165 +tp5166 +a(g436 +VEat +p5167 +tp5168 +a(g706 +g968 +tp5169 +a(g706 +g973 +tp5170 +a(g827 +g966 +tp5171 +a(g827 +g968 +tp5172 +a(g436 +g2943 +tp5173 +a(g827 +g966 +tp5174 +a(g413 +g1324 +tp5175 +a(g827 +g966 +tp5176 +a(g827 +g968 +tp5177 +a(g413 +V#a$ +p5178 +tp5179 +a(g436 +VEat +p5180 +tp5181 +a(g706 +g968 +tp5182 +a(g706 +g973 +tp5183 +a(g827 +V\u000a +p5184 +tp5185 +a(g827 +g968 +tp5186 +a(g436 +g2943 +tp5187 +a(g827 +g966 +tp5188 +a(g413 +g1324 +tp5189 +a(g827 +g966 +tp5190 +a(g827 +g968 +tp5191 +a(g413 +V#g$ +p5192 +tp5193 +a(g470 +Vself +p5194 +tp5195 +a(g706 +g968 +tp5196 +a(g706 +g973 +tp5197 +a(g827 +V\u000a +p5198 +tp5199 +a(g827 +g968 +tp5200 +a(g436 +g2943 +tp5201 +a(g827 +g966 +tp5202 +a(g413 +g1324 +tp5203 +a(g827 +g966 +tp5204 +a(g827 +g968 +tp5205 +a(g413 +V#n$ +p5206 +tp5207 +a(g237 +V!word +p5208 +tp5209 +a(g706 +g968 +tp5210 +a(g706 +g973 +tp5211 +a(g827 +V\u000a +p5212 +tp5213 +a(g827 +g968 +tp5214 +a(g436 +g2943 +tp5215 +a(g827 +g966 +tp5216 +a(g413 +g1324 +tp5217 +a(g827 +g966 +tp5218 +a(g827 +g968 +tp5219 +a(g413 +V#r$ +p5220 +tp5221 +a(g574 +VStubR +p5222 +tp5223 +a(g706 +g968 +tp5224 +a(g706 +g973 +tp5225 +a(g827 +V\u000a +p5226 +tp5227 +a(g827 +g968 +tp5228 +a(g436 +g2943 +tp5229 +a(g827 +g966 +tp5230 +a(g413 +g1324 +tp5231 +a(g827 +g966 +tp5232 +a(g827 +g968 +tp5233 +a(g682 +g1167 +tp5234 +a(g682 +Vdict_par1 +p5235 +tp5236 +a(g706 +g968 +tp5237 +a(g706 +g973 +tp5238 +a(g827 +V\u000a +p5239 +tp5240 +a(g745 +Vdefault +p5241 +tp5242 +a(g706 +g1914 +tp5243 +a(g827 +V\u000a +p5244 +tp5245 +a(g745 +Vfor +p5246 +tp5247 +a(g827 +g966 +tp5248 +a(g706 +g1173 +tp5249 +a(g827 +g968 +tp5250 +a(g436 +g2943 +tp5251 +a(g827 +g966 +tp5252 +a(g413 +g1324 +tp5253 +a(g827 +g966 +tp5254 +a(g827 +g968 +tp5255 +a(g37 +g1082 +tp5256 +a(g706 +g1278 +tp5257 +a(g827 +g966 +tp5258 +a(g827 +g968 +tp5259 +a(g436 +g2951 +tp5260 +a(g827 +g966 +tp5261 +a(g413 +g1324 +tp5262 +a(g827 +g966 +tp5263 +a(g827 +g968 +tp5264 +a(g436 +g2943 +tp5265 +a(g706 +g973 +tp5266 +a(g827 +g966 +tp5267 +a(g827 +g968 +tp5268 +a(g706 +g1173 +tp5269 +a(g827 +g968 +tp5270 +a(g436 +g2943 +tp5271 +a(g827 +g966 +tp5272 +a(g413 +g4531 +tp5273 +a(g827 +g966 +tp5274 +a(g827 +g968 +tp5275 +a(g436 +Vbuffer +p5276 +tp5277 +a(g413 +V-> +p5278 +tp5279 +a(g827 +g968 +tp5280 +a(g37 +g1287 +tp5281 +a(g827 +g966 +tp5282 +a(g413 +g2297 +tp5283 +a(g827 +g966 +tp5284 +a(g827 +g968 +tp5285 +a(g37 +g1082 +tp5286 +a(g706 +g1185 +tp5287 +a(g827 +g966 +tp5288 +a(g413 +V&& +p5289 +tp5290 +a(g827 +g966 +tp5291 +a(g827 +g968 +tp5292 +a(g706 +g1173 +tp5293 +a(g827 +g968 +tp5294 +a(g436 +VBird +p5295 +tp5296 +a(g413 +V:: +p5297 +tp5298 +a(g827 +g968 +tp5299 +a(g436 +Vwingspan +p5300 +tp5301 +a(g706 +g1185 +tp5302 +a(g706 +g1914 +tp5303 +a(g827 +g966 +tp5304 +a(g413 +V++ +p5305 +tp5306 +a(g827 +g968 +tp5307 +a(g436 +g2943 +tp5308 +a(g706 +g1278 +tp5309 +a(g827 +g966 +tp5310 +a(g827 +g968 +tp5311 +a(g436 +g2951 +tp5312 +a(g413 +V-- +p5313 +tp5314 +a(g706 +g1185 +tp5315 +a(g827 +g966 +tp5316 +a(g706 +g2480 +tp5317 +a(g827 +V\u000a +p5318 +tp5319 +a(g745 +Vprint +p5320 +tp5321 +a(g827 +g966 +tp5322 +a(g706 +g1173 +tp5323 +a(g786 +Vchar +p5324 +tp5325 +a(g706 +g1185 +tp5326 +a(g827 +g966 +tp5327 +a(g827 +g968 +tp5328 +a(g436 +Vbuffer +p5329 +tp5330 +a(g413 +V-> +p5331 +tp5332 +a(g827 +g968 +tp5333 +a(g436 +g2943 +tp5334 +a(g706 +g973 +tp5335 +a(g827 +V\u000a +p5336 +tp5337 +a(g706 +g2538 +tp5338 +a(g827 +V\u000a +p5339 +tp5340 +a(g745 +Vnew_line +p5341 +tp5342 +a(g706 +g968 +tp5343 +a(g706 +g973 +tp5344 +a(g827 +V\u000a +p5345 +tp5346 +a(g745 +Vfor +p5347 +tp5348 +a(g827 +g966 +tp5349 +a(g706 +g1173 +tp5350 +a(g827 +g968 +tp5351 +a(g706 +g1914 +tp5352 +a(g827 +g968 +tp5353 +a(g706 +g1914 +tp5354 +a(g827 +g968 +tp5355 +a(g706 +g1185 +tp5356 +a(g827 +g966 +tp5357 +a(g745 +Vbreak +p5358 +tp5359 +a(g706 +g968 +tp5360 +a(g706 +g973 +tp5361 +a(g827 +V\u000a +p5362 +tp5363 +a(g706 +g2538 +tp5364 +a(g827 +V\u000a +p5365 +tp5366 +a(g597 +g1797 +tp5367 +a(g597 +Vsaved +p5368 +tp5369 +a(g706 +g973 +tp5370 +a(g706 +g973 +tp5371 +a(g827 +V\u000a +p5372 +tp5373 +a(g706 +g1357 +tp5374 +a(g706 +g968 +tp5375 +a(g706 +g973 +tp5376 +a(g827 +V\u000a\u000a +p5377 +tp5378 +a(g706 +g1312 +tp5379 +a(g827 +g966 +tp5380 +a(g574 +VTestNumberSub +p5381 +tp5382 +a(g706 +g973 +tp5383 +a(g827 +V\u000a +p5384 +tp5385 +a(g745 +Vprint_ret +p5386 +tp5387 +a(g827 +g966 +tp5388 +a(g827 +g968 +tp5389 +a(g436 +Vparsed_number +p5390 +tp5391 +a(g706 +g1278 +tp5392 +a(g827 +g966 +tp5393 +a(g827 +g968 +tp5394 +a(g157 +g984 +tp5395 +a(g157 +V is +p5396 +tp5397 +a(g157 +g984 +tp5398 +a(g706 +g1278 +tp5399 +a(g827 +g966 +tp5400 +a(g706 +g1173 +tp5401 +a(g786 +Vnumber +p5402 +tp5403 +a(g706 +g1185 +tp5404 +a(g827 +g966 +tp5405 +a(g827 +g968 +tp5406 +a(g436 +Vparsed_number +p5407 +tp5408 +a(g706 +g1278 +tp5409 +a(g827 +g966 +tp5410 +a(g827 +g968 +tp5411 +a(g157 +g984 +tp5412 +a(g157 +g1797 +tp5413 +a(g157 +g984 +tp5414 +a(g706 +g973 +tp5415 +a(g827 +V\u000a +p5416 +tp5417 +a(g706 +g1357 +tp5418 +a(g706 +g968 +tp5419 +a(g706 +g973 +tp5420 +a(g827 +V\u000a\u000a +p5421 +tp5422 +a(g706 +g1312 +tp5423 +a(g827 +g966 +tp5424 +a(g574 +VTestAttributeSub +p5425 +tp5426 +a(g706 +g973 +tp5427 +a(g827 +g966 +tp5428 +a(g745 +Vprint_ret +p5429 +tp5430 +a(g827 +g966 +tp5431 +a(g706 +g1173 +tp5432 +a(g786 +VThe +p5433 +tp5434 +a(g706 +g1185 +tp5435 +a(g827 +g966 +tp5436 +a(g827 +g968 +tp5437 +a(g436 +Vnoun +p5438 +tp5439 +a(g706 +g1278 +tp5440 +a(g827 +g966 +tp5441 +a(g827 +g968 +tp5442 +a(g157 +g984 +tp5443 +a(g157 +V has been reversed. +p5444 +tp5445 +a(g157 +g984 +tp5446 +a(g706 +g973 +tp5447 +a(g827 +g966 +tp5448 +a(g706 +g1357 +tp5449 +a(g706 +g968 +tp5450 +a(g706 +g973 +tp5451 +a(g827 +V\u000a\u000a +p5452 +tp5453 +a(g706 +g1312 +tp5454 +a(g827 +g966 +tp5455 +a(g574 +VCreatureTest +p5456 +tp5457 +a(g827 +g966 +tp5458 +a(g441 +Vobj +p5459 +tp5460 +a(g706 +g973 +tp5461 +a(g827 +g966 +tp5462 +a(g745 +Vreturn +p5463 +tp5464 +a(g827 +g966 +tp5465 +a(g827 +g968 +tp5466 +a(g436 +Vobj +p5467 +tp5468 +a(g827 +g966 +tp5469 +a(g427 +Vhas +p5470 +tp5471 +a(g827 +g966 +tp5472 +a(g827 +g968 +tp5473 +a(g436 +Vanimate +p5474 +tp5475 +a(g706 +g968 +tp5476 +a(g706 +g973 +tp5477 +a(g827 +g966 +tp5478 +a(g706 +g1357 +tp5479 +a(g706 +g968 +tp5480 +a(g706 +g973 +tp5481 +a(g827 +V\u000a\u000a +p5482 +tp5483 +a(g706 +g1312 +tp5484 +a(g827 +g966 +tp5485 +a(g574 +VTestCreatureSub +p5486 +tp5487 +a(g706 +g973 +tp5488 +a(g827 +g966 +tp5489 +a(g745 +Vprint_ret +p5490 +tp5491 +a(g827 +g966 +tp5492 +a(g706 +g1173 +tp5493 +a(g786 +VThe +p5494 +tp5495 +a(g706 +g1185 +tp5496 +a(g827 +g966 +tp5497 +a(g827 +g968 +tp5498 +a(g436 +Vnoun +p5499 +tp5500 +a(g706 +g1278 +tp5501 +a(g827 +g966 +tp5502 +a(g827 +g968 +tp5503 +a(g157 +g984 +tp5504 +a(g157 +V is a creature. +p5505 +tp5506 +a(g157 +g984 +tp5507 +a(g706 +g973 +tp5508 +a(g827 +g966 +tp5509 +a(g706 +g1357 +tp5510 +a(g706 +g968 +tp5511 +a(g706 +g973 +tp5512 +a(g827 +V\u000a\u000a +p5513 +tp5514 +a(g706 +g1312 +tp5515 +a(g827 +g966 +tp5516 +a(g574 +VTestMultiheldSub +p5517 +tp5518 +a(g706 +g973 +tp5519 +a(g827 +g966 +tp5520 +a(g745 +Vprint_ret +p5521 +tp5522 +a(g827 +g966 +tp5523 +a(g827 +g968 +tp5524 +a(g157 +g984 +tp5525 +a(g157 +VYou are holding +p5526 +tp5527 +a(g157 +g984 +tp5528 +a(g706 +g1278 +tp5529 +a(g827 +g966 +tp5530 +a(g706 +g1173 +tp5531 +a(g786 +Vthe +p5532 +tp5533 +a(g706 +g1185 +tp5534 +a(g827 +g966 +tp5535 +a(g827 +g968 +tp5536 +a(g436 +Vnoun +p5537 +tp5538 +a(g706 +g1278 +tp5539 +a(g827 +g966 +tp5540 +a(g827 +g968 +tp5541 +a(g157 +g984 +tp5542 +a(g157 +g1797 +tp5543 +a(g157 +g984 +tp5544 +a(g706 +g973 +tp5545 +a(g827 +g966 +tp5546 +a(g706 +g1357 +tp5547 +a(g706 +g968 +tp5548 +a(g706 +g973 +tp5549 +a(g827 +V\u000a\u000a +p5550 +tp5551 +a(g706 +g1312 +tp5552 +a(g827 +g966 +tp5553 +a(g574 +VTestMultiexceptSub +p5554 +tp5555 +a(g706 +g973 +tp5556 +a(g827 +g966 +tp5557 +a(g745 +g968 +tp5558 +a(g827 +g968 +tp5559 +a(g157 +g984 +tp5560 +a(g157 +VYou test +p5561 +tp5562 +a(g157 +g984 +tp5563 +a(g706 +g1278 +tp5564 +a(g827 +g966 +tp5565 +a(g706 +g1173 +tp5566 +a(g786 +Vthe +p5567 +tp5568 +a(g706 +g1185 +tp5569 +a(g827 +g966 +tp5570 +a(g827 +g968 +tp5571 +a(g436 +Vnoun +p5572 +tp5573 +a(g706 +g1278 +tp5574 +a(g827 +g966 +tp5575 +a(g827 +g968 +tp5576 +a(g157 +g984 +tp5577 +a(g157 +V with +p5578 +tp5579 +a(g157 +g984 +tp5580 +a(g706 +g1278 +tp5581 +a(g827 +g966 +tp5582 +a(g706 +g1173 +tp5583 +a(g786 +Vthe +p5584 +tp5585 +a(g706 +g1185 +tp5586 +a(g827 +g966 +tp5587 +a(g827 +g968 +tp5588 +a(g436 +Vsecond +p5589 +tp5590 +a(g706 +g1278 +tp5591 +a(g827 +g966 +tp5592 +a(g827 +g968 +tp5593 +a(g157 +g984 +tp5594 +a(g157 +g1797 +tp5595 +a(g157 +g984 +tp5596 +a(g706 +g973 +tp5597 +a(g827 +g966 +tp5598 +a(g706 +g1357 +tp5599 +a(g706 +g968 +tp5600 +a(g706 +g973 +tp5601 +a(g827 +V\u000a\u000a +p5602 +tp5603 +a(g706 +g1312 +tp5604 +a(g827 +g966 +tp5605 +a(g574 +VTestMultiinsideSub +p5606 +tp5607 +a(g706 +g973 +tp5608 +a(g827 +g966 +tp5609 +a(g745 +g968 +tp5610 +a(g827 +g968 +tp5611 +a(g157 +g984 +tp5612 +a(g157 +VYou test +p5613 +tp5614 +a(g157 +g984 +tp5615 +a(g706 +g1278 +tp5616 +a(g827 +g966 +tp5617 +a(g706 +g1173 +tp5618 +a(g786 +Vthe +p5619 +tp5620 +a(g706 +g1185 +tp5621 +a(g827 +g966 +tp5622 +a(g827 +g968 +tp5623 +a(g436 +Vnoun +p5624 +tp5625 +a(g706 +g1278 +tp5626 +a(g827 +g966 +tp5627 +a(g827 +g968 +tp5628 +a(g157 +g984 +tp5629 +a(g157 +V from +p5630 +tp5631 +a(g157 +g984 +tp5632 +a(g706 +g1278 +tp5633 +a(g827 +g966 +tp5634 +a(g706 +g1173 +tp5635 +a(g786 +Vthe +p5636 +tp5637 +a(g706 +g1185 +tp5638 +a(g827 +g966 +tp5639 +a(g827 +g968 +tp5640 +a(g436 +Vsecond +p5641 +tp5642 +a(g706 +g1278 +tp5643 +a(g827 +g966 +tp5644 +a(g827 +g968 +tp5645 +a(g157 +g984 +tp5646 +a(g157 +g1797 +tp5647 +a(g157 +g984 +tp5648 +a(g706 +g973 +tp5649 +a(g827 +g966 +tp5650 +a(g706 +g1357 +tp5651 +a(g706 +g968 +tp5652 +a(g706 +g973 +tp5653 +a(g827 +V\u000a\u000a +p5654 +tp5655 +a(g706 +g1312 +tp5656 +a(g827 +g966 +tp5657 +a(g574 +VTestMultiSub +p5658 +tp5659 +a(g706 +g973 +tp5660 +a(g827 +g966 +tp5661 +a(g745 +Vprint_ret +p5662 +tp5663 +a(g827 +g966 +tp5664 +a(g706 +g1173 +tp5665 +a(g786 +VThe +p5666 +tp5667 +a(g706 +g1185 +tp5668 +a(g827 +g966 +tp5669 +a(g827 +g968 +tp5670 +a(g436 +Vnoun +p5671 +tp5672 +a(g706 +g1278 +tp5673 +a(g827 +g966 +tp5674 +a(g827 +g968 +tp5675 +a(g157 +g984 +tp5676 +a(g157 +V is a thing. +p5677 +tp5678 +a(g157 +g984 +tp5679 +a(g706 +g973 +tp5680 +a(g827 +g966 +tp5681 +a(g706 +g1357 +tp5682 +a(g706 +g968 +tp5683 +a(g706 +g973 +tp5684 +a(g827 +V\u000a\u000a +p5685 +tp5686 +a(g706 +g1312 +tp5687 +a(g827 +g966 +tp5688 +a(g574 +VTestNounFilterSub +p5689 +tp5690 +a(g706 +g973 +tp5691 +a(g827 +g966 +tp5692 +a(g745 +Vprint_ret +p5693 +tp5694 +a(g827 +g966 +tp5695 +a(g706 +g1173 +tp5696 +a(g786 +VThe +p5697 +tp5698 +a(g706 +g1185 +tp5699 +a(g827 +g966 +tp5700 +a(g827 +g968 +tp5701 +a(g436 +Vnoun +p5702 +tp5703 +a(g706 +g1278 +tp5704 +a(g827 +g966 +tp5705 +a(g827 +g968 +tp5706 +a(g157 +g984 +tp5707 +a(g157 +V is a bird. +p5708 +tp5709 +a(g157 +g984 +tp5710 +a(g706 +g973 +tp5711 +a(g827 +g966 +tp5712 +a(g706 +g1357 +tp5713 +a(g706 +g968 +tp5714 +a(g706 +g973 +tp5715 +a(g827 +V\u000a\u000a +p5716 +tp5717 +a(g706 +g1312 +tp5718 +a(g827 +g966 +tp5719 +a(g574 +VTestScopeFilterSub +p5720 +tp5721 +a(g706 +g973 +tp5722 +a(g827 +g966 +tp5723 +a(g745 +Vprint_ret +p5724 +tp5725 +a(g827 +g966 +tp5726 +a(g706 +g1173 +tp5727 +a(g786 +VThe +p5728 +tp5729 +a(g706 +g1185 +tp5730 +a(g827 +g966 +tp5731 +a(g827 +g968 +tp5732 +a(g436 +Vnoun +p5733 +tp5734 +a(g706 +g1278 +tp5735 +a(g827 +g966 +tp5736 +a(g827 +g968 +tp5737 +a(g157 +g984 +tp5738 +a(g157 +V is a direction. +p5739 +tp5740 +a(g157 +g984 +tp5741 +a(g706 +g973 +tp5742 +a(g827 +g966 +tp5743 +a(g706 +g1357 +tp5744 +a(g706 +g968 +tp5745 +a(g706 +g973 +tp5746 +a(g827 +V\u000a\u000a +p5747 +tp5748 +a(g706 +g1312 +tp5749 +a(g827 +g966 +tp5750 +a(g574 +VTestSpecialSub +p5751 +tp5752 +a(g706 +g973 +tp5753 +a(g827 +g966 +tp5754 +a(g745 +g968 +tp5755 +a(g827 +g968 +tp5756 +a(g157 +g984 +tp5757 +a(g157 +VYour lucky number is +p5758 +tp5759 +a(g157 +g984 +tp5760 +a(g706 +g1278 +tp5761 +a(g827 +g966 +tp5762 +a(g827 +g968 +tp5763 +a(g436 +Vparsed_number +p5764 +tp5765 +a(g706 +g1278 +tp5766 +a(g827 +g966 +tp5767 +a(g827 +g968 +tp5768 +a(g157 +g984 +tp5769 +a(g157 +g1797 +tp5770 +a(g157 +g984 +tp5771 +a(g706 +g973 +tp5772 +a(g827 +g966 +tp5773 +a(g706 +g1357 +tp5774 +a(g706 +g968 +tp5775 +a(g706 +g973 +tp5776 +a(g827 +V\u000a\u000a +p5777 +tp5778 +a(g706 +g1312 +tp5779 +a(g827 +g966 +tp5780 +a(g574 +VTestTopicSub +p5781 +tp5782 +a(g706 +g973 +tp5783 +a(g827 +g966 +tp5784 +a(g745 +g968 +tp5785 +a(g827 +g968 +tp5786 +a(g157 +g984 +tp5787 +a(g157 +VYou discuss a topic. +p5788 +tp5789 +a(g157 +g984 +tp5790 +a(g706 +g973 +tp5791 +a(g827 +g966 +tp5792 +a(g706 +g1357 +tp5793 +a(g706 +g968 +tp5794 +a(g706 +g973 +tp5795 +a(g827 +V\u000a\u000a +p5796 +tp5797 +a(g706 +g1312 +tp5798 +a(g827 +g966 +tp5799 +a(g574 +VTestNounSub +p5800 +tp5801 +a(g706 +g973 +tp5802 +a(g827 +g966 +tp5803 +a(g745 +g968 +tp5804 +a(g827 +g968 +tp5805 +a(g157 +g984 +tp5806 +a(g157 +VThat is +p5807 +tp5808 +a(g157 +g984 +tp5809 +a(g706 +g1278 +tp5810 +a(g827 +g966 +tp5811 +a(g706 +g1173 +tp5812 +a(g786 +g2943 +tp5813 +a(g706 +g1185 +tp5814 +a(g827 +g966 +tp5815 +a(g827 +g968 +tp5816 +a(g436 +Vnoun +p5817 +tp5818 +a(g706 +g1278 +tp5819 +a(g827 +g966 +tp5820 +a(g827 +g968 +tp5821 +a(g157 +g984 +tp5822 +a(g157 +g1797 +tp5823 +a(g157 +g984 +tp5824 +a(g706 +g973 +tp5825 +a(g827 +g966 +tp5826 +a(g706 +g1357 +tp5827 +a(g706 +g968 +tp5828 +a(g706 +g973 +tp5829 +a(g827 +V\u000a\u000a +p5830 +tp5831 +a(g706 +g1312 +tp5832 +a(g827 +g966 +tp5833 +a(g574 +VTestHeldSub +p5834 +tp5835 +a(g706 +g973 +tp5836 +a(g827 +g966 +tp5837 +a(g745 +g968 +tp5838 +a(g827 +g968 +tp5839 +a(g157 +g984 +tp5840 +a(g157 +VYou are holding +p5841 +tp5842 +a(g157 +g984 +tp5843 +a(g706 +g1278 +tp5844 +a(g827 +g966 +tp5845 +a(g706 +g1173 +tp5846 +a(g786 +g2943 +tp5847 +a(g706 +g1185 +tp5848 +a(g827 +g966 +tp5849 +a(g827 +g968 +tp5850 +a(g436 +Vnoun +p5851 +tp5852 +a(g706 +g1278 +tp5853 +a(g827 +g966 +tp5854 +a(g827 +g968 +tp5855 +a(g157 +g984 +tp5856 +a(g157 +g1797 +tp5857 +a(g157 +g984 +tp5858 +a(g706 +g973 +tp5859 +a(g827 +g966 +tp5860 +a(g706 +g1357 +tp5861 +a(g706 +g968 +tp5862 +a(g706 +g973 +tp5863 +a(g827 +V\u000a\u000a +p5864 +tp5865 +a(g706 +g1312 +tp5866 +a(g827 +g966 +tp5867 +a(g574 +VNewWaveSub +p5868 +tp5869 +a(g706 +g973 +tp5870 +a(g827 +g966 +tp5871 +a(g745 +g968 +tp5872 +a(g827 +g968 +tp5873 +a(g157 +g984 +tp5874 +a(g157 +VThat would be foolish. +p5875 +tp5876 +a(g157 +g984 +tp5877 +a(g706 +g973 +tp5878 +a(g827 +g966 +tp5879 +a(g706 +g1357 +tp5880 +a(g706 +g968 +tp5881 +a(g706 +g973 +tp5882 +a(g827 +V\u000a\u000a +p5883 +tp5884 +a(g706 +g1312 +tp5885 +a(g827 +g966 +tp5886 +a(g574 +VFeelSub +p5887 +tp5888 +a(g706 +g973 +tp5889 +a(g827 +g966 +tp5890 +a(g745 +Vprint_ret +p5891 +tp5892 +a(g827 +g966 +tp5893 +a(g706 +g1173 +tp5894 +a(g786 +VThe +p5895 +tp5896 +a(g706 +g1185 +tp5897 +a(g827 +g966 +tp5898 +a(g827 +g968 +tp5899 +a(g436 +Vnoun +p5900 +tp5901 +a(g706 +g1278 +tp5902 +a(g827 +g966 +tp5903 +a(g827 +g968 +tp5904 +a(g157 +g984 +tp5905 +a(g157 +V feels normal. +p5906 +tp5907 +a(g157 +g984 +tp5908 +a(g706 +g973 +tp5909 +a(g827 +g966 +tp5910 +a(g706 +g1357 +tp5911 +a(g706 +g968 +tp5912 +a(g706 +g973 +tp5913 +a(g827 +V\u000a\u000a +p5914 +tp5915 +a(g706 +g1312 +tp5916 +a(g827 +g966 +tp5917 +a(g574 +VReverseSub +p5918 +tp5919 +a(g827 +g966 +tp5920 +a(g441 +Vfrom +p5921 +tp5922 +a(g706 +g973 +tp5923 +a(g827 +V\u000a +p5924 +tp5925 +a(g827 +g968 +tp5926 +a(g436 +Vfrom +p5927 +tp5928 +a(g827 +g966 +tp5929 +a(g413 +g1324 +tp5930 +a(g827 +g966 +tp5931 +a(g827 +g968 +tp5932 +a(g682 +Vparent +p5933 +tp5934 +a(g706 +g1173 +tp5935 +a(g827 +g968 +tp5936 +a(g436 +Vnoun +p5937 +tp5938 +a(g706 +g1185 +tp5939 +a(g706 +g968 +tp5940 +a(g706 +g973 +tp5941 +a(g827 +V\u000a +p5942 +tp5943 +a(g745 +Vmove +p5944 +tp5945 +a(g827 +g966 +tp5946 +a(g827 +g968 +tp5947 +a(g436 +Vnoun +p5948 +tp5949 +a(g827 +g966 +tp5950 +a(g745 +Vto +p5951 +tp5952 +a(g827 +g966 +tp5953 +a(g827 +g968 +tp5954 +a(g682 +Vparent +p5955 +tp5956 +a(g706 +g1173 +tp5957 +a(g827 +g968 +tp5958 +a(g436 +Vsecond +p5959 +tp5960 +a(g706 +g1185 +tp5961 +a(g706 +g968 +tp5962 +a(g706 +g973 +tp5963 +a(g827 +V\u000a +p5964 +tp5965 +a(g745 +Vif +p5966 +tp5967 +a(g827 +g966 +tp5968 +a(g706 +g1173 +tp5969 +a(g827 +g968 +tp5970 +a(g436 +Vfrom +p5971 +tp5972 +a(g827 +g966 +tp5973 +a(g413 +V== +p5974 +tp5975 +a(g827 +g966 +tp5976 +a(g827 +g968 +tp5977 +a(g436 +Vto +p5978 +tp5979 +a(g706 +g1185 +tp5980 +a(g827 +V\u000a +p5981 +tp5982 +a(g745 +Vmove +p5983 +tp5984 +a(g827 +g966 +tp5985 +a(g827 +g968 +tp5986 +a(g436 +Vsecond +p5987 +tp5988 +a(g827 +g966 +tp5989 +a(g745 +Vto +p5990 +tp5991 +a(g827 +g966 +tp5992 +a(g827 +g968 +tp5993 +a(g436 +Vto +p5994 +tp5995 +a(g706 +g968 +tp5996 +a(g706 +g973 +tp5997 +a(g827 +V\u000a +p5998 +tp5999 +a(g745 +Velse +p6000 +tp6001 +a(g827 +V\u000a +p6002 +tp6003 +a(g745 +Vmove +p6004 +tp6005 +a(g827 +g966 +tp6006 +a(g827 +g968 +tp6007 +a(g436 +Vsecond +p6008 +tp6009 +a(g827 +g966 +tp6010 +a(g745 +Vto +p6011 +tp6012 +a(g827 +g966 +tp6013 +a(g827 +g968 +tp6014 +a(g436 +Vfrom +p6015 +tp6016 +a(g706 +g968 +tp6017 +a(g706 +g973 +tp6018 +a(g827 +V\u000a +p6019 +tp6020 +a(g745 +Vgive +p6021 +tp6022 +a(g827 +g966 +tp6023 +a(g827 +g968 +tp6024 +a(g436 +Vnoun +p6025 +tp6026 +a(g827 +g966 +tp6027 +a(g827 +g968 +tp6028 +a(g436 +Vto +p6029 +tp6030 +a(g706 +g968 +tp6031 +a(g706 +g973 +tp6032 +a(g827 +V\u000a +p6033 +tp6034 +a(g827 +g968 +tp6035 +a(g436 +Vfrom +p6036 +tp6037 +a(g827 +g966 +tp6038 +a(g413 +g1324 +tp6039 +a(g827 +g966 +tp6040 +a(g827 +g968 +tp6041 +a(g436 +Vto +p6042 +tp6043 +a(g706 +g968 +tp6044 +a(g706 +g973 +tp6045 +a(g827 +V\u000a +p6046 +tp6047 +a(g745 +Vgive +p6048 +tp6049 +a(g827 +g966 +tp6050 +a(g827 +g968 +tp6051 +a(g436 +Vsecond +p6052 +tp6053 +a(g827 +g966 +tp6054 +a(g827 +g968 +tp6055 +a(g436 +Vfrom +p6056 +tp6057 +a(g706 +g968 +tp6058 +a(g706 +g973 +tp6059 +a(g827 +V\u000a +p6060 +tp6061 +a(g745 +g968 +tp6062 +a(g827 +g968 +tp6063 +a(g157 +g984 +tp6064 +a(g157 +VYou swap +p6065 +tp6066 +a(g157 +g984 +tp6067 +a(g706 +g1278 +tp6068 +a(g827 +g966 +tp6069 +a(g706 +g1173 +tp6070 +a(g786 +Vthe +p6071 +tp6072 +a(g706 +g1185 +tp6073 +a(g827 +g966 +tp6074 +a(g827 +g968 +tp6075 +a(g436 +Vnoun +p6076 +tp6077 +a(g706 +g1278 +tp6078 +a(g827 +g966 +tp6079 +a(g827 +g968 +tp6080 +a(g157 +g984 +tp6081 +a(g157 +V and +p6082 +tp6083 +a(g157 +g984 +tp6084 +a(g706 +g1278 +tp6085 +a(g827 +g966 +tp6086 +a(g706 +g1173 +tp6087 +a(g786 +Vthe +p6088 +tp6089 +a(g706 +g1185 +tp6090 +a(g827 +g966 +tp6091 +a(g827 +g968 +tp6092 +a(g436 +Vsecond +p6093 +tp6094 +a(g706 +g1278 +tp6095 +a(g827 +g966 +tp6096 +a(g827 +g968 +tp6097 +a(g157 +g984 +tp6098 +a(g157 +g1797 +tp6099 +a(g157 +g984 +tp6100 +a(g706 +g973 +tp6101 +a(g827 +V\u000a +p6102 +tp6103 +a(g706 +g1357 +tp6104 +a(g706 +g968 +tp6105 +a(g706 +g973 +tp6106 +a(g827 +V\u000a\u000a +p6107 +tp6108 +a(g745 +VEnd +p6109 +tp6110 +a(g827 +V: The End directive ends the source code.\u000a +p6111 +tp6112 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/interp.scala b/tests/examplefiles/output/interp.scala new file mode 100644 index 0000000..5329718 --- /dev/null +++ b/tests/examplefiles/output/interp.scala @@ -0,0 +1,2796 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVval +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g423 +Vn +p960 +tp961 +a(g826 +g958 +tp962 +a(g7 +V= +p963 +tp964 +a(g826 +g958 +tp965 +a(g22 +V123 +p966 +tp967 +a(g400 +V; +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g7 +Vval +p972 +tp973 +a(g826 +g958 +tp974 +a(g423 +Va +p975 +tp976 +a(g826 +g958 +tp977 +a(g7 +g963 +tp978 +a(g826 +g958 +tp979 +a(g76 +Vs" +p980 +tp981 +a(g76 +Vn= +p982 +tp983 +a(g112 +V$n +p984 +tp985 +a(g76 +V" +p986 +tp987 +a(g400 +g968 +tp988 +a(g826 +V\u000a +p989 +tp990 +a(g7 +Vval +p991 +tp992 +a(g826 +g958 +tp993 +a(g423 +Va2 +p994 +tp995 +a(g826 +g958 +tp996 +a(g7 +g963 +tp997 +a(g826 +g958 +tp998 +a(g76 +Vs" +p999 +tp1000 +a(g76 +Vn= +p1001 +tp1002 +a(g112 +V$n +p1003 +tp1004 +a(g76 +V'' +p1005 +tp1006 +a(g76 +g986 +tp1007 +a(g400 +g968 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g7 +Vval +p1011 +tp1012 +a(g826 +g958 +tp1013 +a(g423 +Vb +p1014 +tp1015 +a(g826 +g958 +tp1016 +a(g7 +g963 +tp1017 +a(g826 +g958 +tp1018 +a(g76 +Vs""" +p1019 +tp1020 +a(g76 +Vn= +p1021 +tp1022 +a(g112 +V$n +p1023 +tp1024 +a(g76 +V""" +p1025 +tp1026 +a(g400 +g968 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g7 +Vval +p1030 +tp1031 +a(g826 +g958 +tp1032 +a(g423 +Vc +p1033 +tp1034 +a(g826 +g958 +tp1035 +a(g7 +g963 +tp1036 +a(g826 +g958 +tp1037 +a(g76 +Vf" +p1038 +tp1039 +a(g76 +Vn= +p1040 +tp1041 +a(g112 +V$n +p1042 +tp1043 +a(g76 +V%f +p1044 +tp1045 +a(g76 +g986 +tp1046 +a(g400 +g968 +tp1047 +a(g826 +V\u000a +p1048 +tp1049 +a(g7 +Vval +p1050 +tp1051 +a(g826 +g958 +tp1052 +a(g423 +Vd +p1053 +tp1054 +a(g826 +g958 +tp1055 +a(g7 +g963 +tp1056 +a(g826 +g958 +tp1057 +a(g76 +Vf""" +p1058 +tp1059 +a(g76 +Vn= +p1060 +tp1061 +a(g112 +V$n +p1062 +tp1063 +a(g76 +V%f +p1064 +tp1065 +a(g76 +V""" +p1066 +tp1067 +a(g400 +g968 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g7 +Vval +p1071 +tp1072 +a(g826 +g958 +tp1073 +a(g423 +Vd2 +p1074 +tp1075 +a(g826 +g958 +tp1076 +a(g7 +g963 +tp1077 +a(g826 +g958 +tp1078 +a(g76 +Vs""" +p1079 +tp1080 +a(g76 +g975 +tp1081 +a(g76 +g986 +tp1082 +a(g76 +V""" +p1083 +tp1084 +a(g400 +g968 +tp1085 +a(g826 +V\u000a +p1086 +tp1087 +a(g7 +Vval +p1088 +tp1089 +a(g826 +g958 +tp1090 +a(g423 +Ve +p1091 +tp1092 +a(g826 +g958 +tp1093 +a(g7 +g963 +tp1094 +a(g826 +g958 +tp1095 +a(g76 +Vs" +p1096 +tp1097 +a(g76 +Vabc +p1098 +tp1099 +a(g76 +V\u005cu +p1100 +tp1101 +a(g76 +V00e9 +p1102 +tp1103 +a(g76 +g986 +tp1104 +a(g400 +g968 +tp1105 +a(g826 +V\u000a +p1106 +tp1107 +a(g7 +Vval +p1108 +tp1109 +a(g826 +g958 +tp1110 +a(g423 +Vf +p1111 +tp1112 +a(g826 +g958 +tp1113 +a(g7 +g963 +tp1114 +a(g826 +g958 +tp1115 +a(g76 +Vs" +p1116 +tp1117 +a(g76 +g975 +tp1118 +a(g112 +V${ +p1119 +tp1120 +a(g423 +g960 +tp1121 +a(g112 +V} +p1122 +tp1123 +a(g76 +g1014 +tp1124 +a(g76 +g986 +tp1125 +a(g400 +g968 +tp1126 +a(g826 +V\u000a +p1127 +tp1128 +a(g7 +Vval +p1129 +tp1130 +a(g826 +g958 +tp1131 +a(g423 +Vg +p1132 +tp1133 +a(g826 +g958 +tp1134 +a(g7 +g963 +tp1135 +a(g826 +g958 +tp1136 +a(g76 +Vs" +p1137 +tp1138 +a(g76 +g975 +tp1139 +a(g112 +V${ +p1140 +tp1141 +a(g423 +g960 +tp1142 +a(g826 +g958 +tp1143 +a(g400 +V+ +p1144 +tp1145 +a(g826 +g958 +tp1146 +a(g22 +V1 +p1147 +tp1148 +a(g112 +g1122 +tp1149 +a(g76 +g1014 +tp1150 +a(g76 +g986 +tp1151 +a(g400 +g968 +tp1152 +a(g826 +V\u000a +p1153 +tp1154 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/intro.ik b/tests/examplefiles/output/intro.ik new file mode 100644 index 0000000..8c8dcd3 --- /dev/null +++ b/tests/examplefiles/output/intro.ik @@ -0,0 +1,3011 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV#!/usr/bin/ioke\u000a +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g436 +VIoke +p960 +tp961 +a(g822 +V +p962 +tp963 +a(g408 +V= +p964 +tp965 +a(g822 +g962 +tp966 +a(g624 +VLanguageExperiment +p967 +tp968 +a(g822 +g962 +tp969 +a(g789 +Vwith +p970 +tp971 +a(g701 +V( +p972 +tp973 +a(g822 +V\u000a +p974 +tp975 +a(g822 +V +p976 +tp977 +a(g232 +Vgoal: +p978 +tp979 +a(g822 +g962 +tp980 +a(g112 +V:expressiveness +p981 +tp982 +a(g701 +V, +p983 +tp984 +a(g822 +V\u000a +p985 +tp986 +a(g822 +V +p987 +tp988 +a(g232 +Vdata: +p989 +tp990 +a(g822 +g962 +tp991 +a(g431 +Vas +p992 +tp993 +a(g701 +g972 +tp994 +a(g431 +Vcode +p995 +tp996 +a(g701 +V) +p997 +tp998 +a(g701 +g983 +tp999 +a(g822 +V\u000a +p1000 +tp1001 +a(g822 +V +p1002 +tp1003 +a(g232 +Vcode: +p1004 +tp1005 +a(g822 +g962 +tp1006 +a(g431 +Vas +p1007 +tp1008 +a(g701 +g972 +tp1009 +a(g431 +Vdata +p1010 +tp1011 +a(g701 +g997 +tp1012 +a(g701 +g983 +tp1013 +a(g822 +V\u000a +p1014 +tp1015 +a(g822 +V +p1016 +tp1017 +a(g232 +Vfeatures: +p1018 +tp1019 +a(g822 +g962 +tp1020 +a(g701 +V[ +p1021 +tp1022 +a(g822 +V\u000a +p1023 +tp1024 +a(g822 +V +p1025 +tp1026 +a(g112 +V:dynamic +p1027 +tp1028 +a(g701 +g983 +tp1029 +a(g822 +V\u000a +p1030 +tp1031 +a(g822 +V +p1032 +tp1033 +a(g112 +V:object_oriented +p1034 +tp1035 +a(g701 +g983 +tp1036 +a(g822 +V\u000a +p1037 +tp1038 +a(g822 +V +p1039 +tp1040 +a(g112 +V:prototype_based +p1041 +tp1042 +a(g701 +g983 +tp1043 +a(g822 +V\u000a +p1044 +tp1045 +a(g822 +V +p1046 +tp1047 +a(g112 +V:homoiconic +p1048 +tp1049 +a(g701 +g983 +tp1050 +a(g822 +V\u000a +p1051 +tp1052 +a(g822 +V +p1053 +tp1054 +a(g112 +V:macros +p1055 +tp1056 +a(g822 +V\u000a +p1057 +tp1058 +a(g822 +V +p1059 +tp1060 +a(g701 +V] +p1061 +tp1062 +a(g701 +g983 +tp1063 +a(g822 +V\u000a +p1064 +tp1065 +a(g822 +V +p1066 +tp1067 +a(g232 +Vruntimes: +p1068 +tp1069 +a(g701 +g972 +tp1070 +a(g624 +VJVM +p1071 +tp1072 +a(g701 +g983 +tp1073 +a(g822 +g962 +tp1074 +a(g624 +VCLR +p1075 +tp1076 +a(g701 +g997 +tp1077 +a(g701 +g983 +tp1078 +a(g822 +V\u000a +p1079 +tp1080 +a(g822 +V +p1081 +tp1082 +a(g232 +Vinspirations: +p1083 +tp1084 +a(g822 +g962 +tp1085 +a(g789 +Vset +p1086 +tp1087 +a(g701 +g972 +tp1088 +a(g624 +VIo +p1089 +tp1090 +a(g701 +g983 +tp1091 +a(g822 +g962 +tp1092 +a(g624 +VSmalltalk +p1093 +tp1094 +a(g701 +g983 +tp1095 +a(g822 +g962 +tp1096 +a(g624 +VRuby +p1097 +tp1098 +a(g701 +g983 +tp1099 +a(g822 +g962 +tp1100 +a(g624 +VLisp +p1101 +tp1102 +a(g701 +g997 +tp1103 +a(g822 +V\u000a +p1104 +tp1105 +a(g701 +g997 +tp1106 +a(g822 +V\u000a +p1107 +tp1108 +a(g822 +V\u000a +p1109 +tp1110 +a(g436 +Vhello +p1111 +tp1112 +a(g822 +g962 +tp1113 +a(g408 +g964 +tp1114 +a(g822 +g962 +tp1115 +a(g569 +Vmethod +p1116 +tp1117 +a(g701 +g972 +tp1118 +a(g217 +V" +p1119 +tp1120 +a(g217 +VE +p1121 +tp1122 +a(g217 +Vv +p1123 +tp1124 +a(g217 +Ve +p1125 +tp1126 +a(g217 +Vr +p1127 +tp1128 +a(g217 +Vy +p1129 +tp1130 +a(g217 +g962 +tp1131 +a(g217 +g1125 +tp1132 +a(g217 +Vx +p1133 +tp1134 +a(g217 +Va +p1135 +tp1136 +a(g217 +Vm +p1137 +tp1138 +a(g217 +Vp +p1139 +tp1140 +a(g217 +Vl +p1141 +tp1142 +a(g217 +g1125 +tp1143 +a(g217 +g962 +tp1144 +a(g217 +Vn +p1145 +tp1146 +a(g217 +g1125 +tp1147 +a(g217 +g1125 +tp1148 +a(g217 +Vd +p1149 +tp1150 +a(g217 +Vs +p1151 +tp1152 +a(g217 +g962 +tp1153 +a(g217 +g1135 +tp1154 +a(g217 +g962 +tp1155 +a(g217 +Vh +p1156 +tp1157 +a(g217 +g1125 +tp1158 +a(g217 +g1141 +tp1159 +a(g217 +g1141 +tp1160 +a(g217 +Vo +p1161 +tp1162 +a(g217 +g962 +tp1163 +a(g217 +Vw +p1164 +tp1165 +a(g217 +g1161 +tp1166 +a(g217 +g1127 +tp1167 +a(g217 +g1141 +tp1168 +a(g217 +g1149 +tp1169 +a(g217 +V! +p1170 +tp1171 +a(g217 +g1119 +tp1172 +a(g701 +g983 +tp1173 +a(g822 +V\u000a +p1174 +tp1175 +a(g822 +V +p1176 +tp1177 +a(g431 +Vname +p1178 +tp1179 +a(g701 +g983 +tp1180 +a(g822 +V\u000a +p1181 +tp1182 +a(g822 +V +p1183 +tp1184 +a(g84 +g1119 +tp1185 +a(g84 +g1156 +tp1186 +a(g84 +g1125 +tp1187 +a(g84 +g1141 +tp1188 +a(g84 +g1141 +tp1189 +a(g84 +g1161 +tp1190 +a(g84 +g983 +tp1191 +a(g84 +g962 +tp1192 +a(g701 +V#{ +p1193 +tp1194 +a(g431 +Vname +p1195 +tp1196 +a(g701 +V} +p1197 +tp1198 +a(g84 +g1170 +tp1199 +a(g84 +g1119 +tp1200 +a(g822 +g962 +tp1201 +a(g740 +Vprintln +p1202 +tp1203 +a(g701 +g997 +tp1204 +a(g822 +V\u000a +p1205 +tp1206 +a(g822 +V\u000a +p1207 +tp1208 +a(g624 +VIoke +p1209 +tp1210 +a(g822 +g962 +tp1211 +a(g431 +Vinspirations +p1212 +tp1213 +a(g822 +g962 +tp1214 +a(g431 +Vselect +p1215 +tp1216 +a(g701 +g972 +tp1217 +a(g822 +V\u000a +p1218 +tp1219 +a(g822 +V +p1220 +tp1221 +a(g431 +Vfeatures +p1222 +tp1223 +a(g822 +g962 +tp1224 +a(g431 +Vinclude? +p1225 +tp1226 +a(g701 +g972 +tp1227 +a(g112 +V:object_oriented +p1228 +tp1229 +a(g701 +g997 +tp1230 +a(g822 +V\u000a +p1231 +tp1232 +a(g701 +g997 +tp1233 +a(g822 +g962 +tp1234 +a(g431 +Veach +p1235 +tp1236 +a(g701 +g972 +tp1237 +a(g431 +g1133 +tp1238 +a(g701 +g983 +tp1239 +a(g822 +g962 +tp1240 +a(g431 +Vhello +p1241 +tp1242 +a(g701 +g972 +tp1243 +a(g431 +g1133 +tp1244 +a(g822 +g962 +tp1245 +a(g431 +Vname +p1246 +tp1247 +a(g701 +g997 +tp1248 +a(g701 +g997 +tp1249 +a(g822 +V\u000a +p1250 +tp1251 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ints.php b/tests/examplefiles/output/ints.php new file mode 100644 index 0000000..61732ea --- /dev/null +++ b/tests/examplefiles/output/ints.php @@ -0,0 +1,2536 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV +p1002 +tp1003 +a(g721 +V\u000a +p1004 +tp1005 +a(g8 +V +p1041 +tp1042 +a(g721 +V\u000a +p1043 +tp1044 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/intsyn.fun b/tests/examplefiles/output/intsyn.fun new file mode 100644 index 0000000..cfdc539 --- /dev/null +++ b/tests/examplefiles/output/intsyn.fun @@ -0,0 +1,49049 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV(* +p956 +tp957 +a(g8 +V +p958 +tp959 +a(g8 +VI +p960 +tp961 +a(g8 +Vn +p962 +tp963 +a(g8 +Vt +p964 +tp965 +a(g8 +Ve +p966 +tp967 +a(g8 +Vr +p968 +tp969 +a(g8 +g962 +tp970 +a(g8 +Va +p971 +tp972 +a(g8 +Vl +p973 +tp974 +a(g8 +g958 +tp975 +a(g8 +VS +p976 +tp977 +a(g8 +Vy +p978 +tp979 +a(g8 +g962 +tp980 +a(g8 +g964 +tp981 +a(g8 +g971 +tp982 +a(g8 +Vx +p983 +tp984 +a(g8 +g958 +tp985 +a(g8 +V*) +p986 +tp987 +a(g827 +V\u000a +p988 +tp989 +a(g8 +V(* +p990 +tp991 +a(g8 +g958 +tp992 +a(g8 +VA +p993 +tp994 +a(g8 +Vu +p995 +tp996 +a(g8 +g964 +tp997 +a(g8 +Vh +p998 +tp999 +a(g8 +Vo +p1000 +tp1001 +a(g8 +g968 +tp1002 +a(g8 +V: +p1003 +tp1004 +a(g8 +g958 +tp1005 +a(g8 +VF +p1006 +tp1007 +a(g8 +g968 +tp1008 +a(g8 +g971 +tp1009 +a(g8 +g962 +tp1010 +a(g8 +Vk +p1011 +tp1012 +a(g8 +g958 +tp1013 +a(g8 +VP +p1014 +tp1015 +a(g8 +Vf +p1016 +tp1017 +a(g8 +g966 +tp1018 +a(g8 +g962 +tp1019 +a(g8 +g962 +tp1020 +a(g8 +Vi +p1021 +tp1022 +a(g8 +g962 +tp1023 +a(g8 +Vg +p1024 +tp1025 +a(g8 +V, +p1026 +tp1027 +a(g8 +g958 +tp1028 +a(g8 +VC +p1029 +tp1030 +a(g8 +g971 +tp1031 +a(g8 +g968 +tp1032 +a(g8 +Vs +p1033 +tp1034 +a(g8 +g964 +tp1035 +a(g8 +g966 +tp1036 +a(g8 +g962 +tp1037 +a(g8 +g958 +tp1038 +a(g8 +g976 +tp1039 +a(g8 +Vc +p1040 +tp1041 +a(g8 +g998 +tp1042 +a(g8 +g995 +tp1043 +a(g8 +g966 +tp1044 +a(g8 +g968 +tp1045 +a(g8 +Vm +p1046 +tp1047 +a(g8 +g971 +tp1048 +a(g8 +g962 +tp1049 +a(g8 +g962 +tp1050 +a(g8 +g958 +tp1051 +a(g8 +V*) +p1052 +tp1053 +a(g827 +V\u000a +p1054 +tp1055 +a(g8 +V(* +p1056 +tp1057 +a(g8 +g958 +tp1058 +a(g8 +VM +p1059 +tp1060 +a(g8 +g1000 +tp1061 +a(g8 +Vd +p1062 +tp1063 +a(g8 +g1021 +tp1064 +a(g8 +g1016 +tp1065 +a(g8 +g1021 +tp1066 +a(g8 +g966 +tp1067 +a(g8 +g1062 +tp1068 +a(g8 +g1003 +tp1069 +a(g8 +g958 +tp1070 +a(g8 +VR +p1071 +tp1072 +a(g8 +g1000 +tp1073 +a(g8 +Vb +p1074 +tp1075 +a(g8 +g966 +tp1076 +a(g8 +g968 +tp1077 +a(g8 +g964 +tp1078 +a(g8 +g1000 +tp1079 +a(g8 +g958 +tp1080 +a(g8 +VV +p1081 +tp1082 +a(g8 +g1021 +tp1083 +a(g8 +g968 +tp1084 +a(g8 +g1024 +tp1085 +a(g8 +g971 +tp1086 +a(g8 +g958 +tp1087 +a(g8 +V*) +p1088 +tp1089 +a(g827 +V\u000a\u000a +p1090 +tp1091 +a(g794 +Vfunctor +p1092 +tp1093 +a(g827 +g958 +tp1094 +a(g621 +VIntSyn +p1095 +tp1096 +a(g827 +g958 +tp1097 +a(g706 +V( +p1098 +tp1099 +a(g794 +Vstructure +p1100 +tp1101 +a(g827 +g958 +tp1102 +a(g621 +VGlobal +p1103 +tp1104 +a(g827 +g958 +tp1105 +a(g706 +g1003 +tp1106 +a(g827 +g958 +tp1107 +a(g436 +VGLOBAL +p1108 +tp1109 +a(g706 +V) +p1110 +tp1111 +a(g827 +g958 +tp1112 +a(g706 +V:> +p1113 +tp1114 +a(g827 +g958 +tp1115 +a(g436 +VINTSYN +p1116 +tp1117 +a(g827 +g958 +tp1118 +a(g706 +V= +p1119 +tp1120 +a(g827 +V\u000a +p1121 +tp1122 +a(g794 +Vstruct +p1123 +tp1124 +a(g827 +V\u000a\u000a +p1125 +tp1126 +a(g794 +Vtype +p1127 +tp1128 +a(g827 +g958 +tp1129 +a(g749 +Vcid +p1130 +tp1131 +a(g827 +g958 +tp1132 +a(g706 +g1119 +tp1133 +a(g827 +g958 +tp1134 +a(g436 +Vint +p1135 +tp1136 +a(g827 +V +p1137 +tp1138 +a(g8 +V(* +p1139 +tp1140 +a(g8 +g958 +tp1141 +a(g8 +g1029 +tp1142 +a(g8 +g1000 +tp1143 +a(g8 +g962 +tp1144 +a(g8 +g1033 +tp1145 +a(g8 +g964 +tp1146 +a(g8 +g971 +tp1147 +a(g8 +g962 +tp1148 +a(g8 +g964 +tp1149 +a(g8 +g958 +tp1150 +a(g8 +g1021 +tp1151 +a(g8 +g1062 +tp1152 +a(g8 +g966 +tp1153 +a(g8 +g962 +tp1154 +a(g8 +g964 +tp1155 +a(g8 +g1021 +tp1156 +a(g8 +g1016 +tp1157 +a(g8 +g1021 +tp1158 +a(g8 +g966 +tp1159 +a(g8 +g968 +tp1160 +a(g8 +g958 +tp1161 +a(g8 +g958 +tp1162 +a(g8 +g958 +tp1163 +a(g8 +g958 +tp1164 +a(g8 +g958 +tp1165 +a(g8 +g958 +tp1166 +a(g8 +g958 +tp1167 +a(g8 +g958 +tp1168 +a(g8 +V*) +p1169 +tp1170 +a(g827 +V\u000a +p1171 +tp1172 +a(g827 +V +p1173 +tp1174 +a(g794 +Vtype +p1175 +tp1176 +a(g827 +g958 +tp1177 +a(g749 +Vname +p1178 +tp1179 +a(g827 +g958 +tp1180 +a(g706 +g1119 +tp1181 +a(g827 +g958 +tp1182 +a(g436 +Vstring +p1183 +tp1184 +a(g827 +V +p1185 +tp1186 +a(g8 +V(* +p1187 +tp1188 +a(g8 +g958 +tp1189 +a(g8 +g1081 +tp1190 +a(g8 +g971 +tp1191 +a(g8 +g968 +tp1192 +a(g8 +g1021 +tp1193 +a(g8 +g971 +tp1194 +a(g8 +g1074 +tp1195 +a(g8 +g973 +tp1196 +a(g8 +g966 +tp1197 +a(g8 +g958 +tp1198 +a(g8 +g962 +tp1199 +a(g8 +g971 +tp1200 +a(g8 +g1046 +tp1201 +a(g8 +g966 +tp1202 +a(g8 +g958 +tp1203 +a(g8 +g958 +tp1204 +a(g8 +g958 +tp1205 +a(g8 +g958 +tp1206 +a(g8 +g958 +tp1207 +a(g8 +g958 +tp1208 +a(g8 +g958 +tp1209 +a(g8 +g958 +tp1210 +a(g8 +g958 +tp1211 +a(g8 +g958 +tp1212 +a(g8 +g958 +tp1213 +a(g8 +g958 +tp1214 +a(g8 +g958 +tp1215 +a(g8 +g958 +tp1216 +a(g8 +V*) +p1217 +tp1218 +a(g827 +V\u000a +p1219 +tp1220 +a(g827 +g1173 +tp1221 +a(g794 +Vtype +p1222 +tp1223 +a(g827 +g958 +tp1224 +a(g749 +Vmid +p1225 +tp1226 +a(g827 +g958 +tp1227 +a(g706 +g1119 +tp1228 +a(g827 +g958 +tp1229 +a(g436 +Vint +p1230 +tp1231 +a(g827 +V +p1232 +tp1233 +a(g8 +V(* +p1234 +tp1235 +a(g8 +g958 +tp1236 +a(g8 +g976 +tp1237 +a(g8 +g964 +tp1238 +a(g8 +g968 +tp1239 +a(g8 +g995 +tp1240 +a(g8 +g1040 +tp1241 +a(g8 +g964 +tp1242 +a(g8 +g995 +tp1243 +a(g8 +g968 +tp1244 +a(g8 +g966 +tp1245 +a(g8 +g958 +tp1246 +a(g8 +g1021 +tp1247 +a(g8 +g1062 +tp1248 +a(g8 +g966 +tp1249 +a(g8 +g962 +tp1250 +a(g8 +g964 +tp1251 +a(g8 +g1021 +tp1252 +a(g8 +g1016 +tp1253 +a(g8 +g1021 +tp1254 +a(g8 +g966 +tp1255 +a(g8 +g968 +tp1256 +a(g8 +g958 +tp1257 +a(g8 +g958 +tp1258 +a(g8 +g958 +tp1259 +a(g8 +g958 +tp1260 +a(g8 +g958 +tp1261 +a(g8 +g958 +tp1262 +a(g8 +g958 +tp1263 +a(g8 +V*) +p1264 +tp1265 +a(g827 +V\u000a +p1266 +tp1267 +a(g827 +g1173 +tp1268 +a(g794 +Vtype +p1269 +tp1270 +a(g827 +g958 +tp1271 +a(g749 +Vcsid +p1272 +tp1273 +a(g827 +g958 +tp1274 +a(g706 +g1119 +tp1275 +a(g827 +g958 +tp1276 +a(g436 +Vint +p1277 +tp1278 +a(g827 +V +p1279 +tp1280 +a(g8 +V(* +p1281 +tp1282 +a(g8 +g958 +tp1283 +a(g8 +g1029 +tp1284 +a(g8 +g976 +tp1285 +a(g8 +g958 +tp1286 +a(g8 +g1046 +tp1287 +a(g8 +g1000 +tp1288 +a(g8 +g1062 +tp1289 +a(g8 +g995 +tp1290 +a(g8 +g973 +tp1291 +a(g8 +g966 +tp1292 +a(g8 +g958 +tp1293 +a(g8 +g1021 +tp1294 +a(g8 +g1062 +tp1295 +a(g8 +g966 +tp1296 +a(g8 +g962 +tp1297 +a(g8 +g964 +tp1298 +a(g8 +g1021 +tp1299 +a(g8 +g1016 +tp1300 +a(g8 +g1021 +tp1301 +a(g8 +g966 +tp1302 +a(g8 +g968 +tp1303 +a(g8 +g958 +tp1304 +a(g8 +g958 +tp1305 +a(g8 +g958 +tp1306 +a(g8 +g958 +tp1307 +a(g8 +g958 +tp1308 +a(g8 +g958 +tp1309 +a(g8 +g958 +tp1310 +a(g8 +V*) +p1311 +tp1312 +a(g827 +V\u000a\u000a\u000a +p1313 +tp1314 +a(g8 +V(* +p1315 +tp1316 +a(g8 +g958 +tp1317 +a(g8 +g1029 +tp1318 +a(g8 +g1000 +tp1319 +a(g8 +g962 +tp1320 +a(g8 +g964 +tp1321 +a(g8 +g966 +tp1322 +a(g8 +g983 +tp1323 +a(g8 +g964 +tp1324 +a(g8 +g1033 +tp1325 +a(g8 +g958 +tp1326 +a(g8 +V*) +p1327 +tp1328 +a(g827 +V\u000a +p1329 +tp1330 +a(g827 +g1173 +tp1331 +a(g794 +Vdatatype +p1332 +tp1333 +a(g827 +g958 +tp1334 +a(g496 +V'a +p1335 +tp1336 +a(g827 +g958 +tp1337 +a(g749 +VCtx +p1338 +tp1339 +a(g827 +g958 +tp1340 +a(g706 +g1119 +tp1341 +a(g827 +V +p1342 +tp1343 +a(g8 +V(* +p1344 +tp1345 +a(g8 +g958 +tp1346 +a(g8 +g1029 +tp1347 +a(g8 +g1000 +tp1348 +a(g8 +g962 +tp1349 +a(g8 +g964 +tp1350 +a(g8 +g966 +tp1351 +a(g8 +g983 +tp1352 +a(g8 +g964 +tp1353 +a(g8 +g1033 +tp1354 +a(g8 +g958 +tp1355 +a(g8 +g958 +tp1356 +a(g8 +g958 +tp1357 +a(g8 +g958 +tp1358 +a(g8 +g958 +tp1359 +a(g8 +g958 +tp1360 +a(g8 +g958 +tp1361 +a(g8 +g958 +tp1362 +a(g8 +g958 +tp1363 +a(g8 +g958 +tp1364 +a(g8 +g958 +tp1365 +a(g8 +g958 +tp1366 +a(g8 +g958 +tp1367 +a(g8 +g958 +tp1368 +a(g8 +g958 +tp1369 +a(g8 +g958 +tp1370 +a(g8 +g958 +tp1371 +a(g8 +g958 +tp1372 +a(g8 +g958 +tp1373 +a(g8 +V*) +p1374 +tp1375 +a(g827 +V\u000a +p1376 +tp1377 +a(g629 +VNull +p1378 +tp1379 +a(g827 +V +p1380 +tp1381 +a(g8 +V(* +p1382 +tp1383 +a(g8 +g958 +tp1384 +a(g8 +VG +p1385 +tp1386 +a(g8 +g958 +tp1387 +a(g8 +g1003 +tp1388 +a(g8 +g1003 +tp1389 +a(g8 +g1119 +tp1390 +a(g8 +g958 +tp1391 +a(g8 +V. +p1392 +tp1393 +a(g8 +g958 +tp1394 +a(g8 +g958 +tp1395 +a(g8 +g958 +tp1396 +a(g8 +g958 +tp1397 +a(g8 +g958 +tp1398 +a(g8 +g958 +tp1399 +a(g8 +g958 +tp1400 +a(g8 +g958 +tp1401 +a(g8 +g958 +tp1402 +a(g8 +g958 +tp1403 +a(g8 +g958 +tp1404 +a(g8 +g958 +tp1405 +a(g8 +g958 +tp1406 +a(g8 +g958 +tp1407 +a(g8 +g958 +tp1408 +a(g8 +g958 +tp1409 +a(g8 +g958 +tp1410 +a(g8 +g958 +tp1411 +a(g8 +g958 +tp1412 +a(g8 +g958 +tp1413 +a(g8 +V*) +p1414 +tp1415 +a(g827 +V\u000a +p1416 +tp1417 +a(g706 +V| +p1418 +tp1419 +a(g827 +g958 +tp1420 +a(g629 +VDecl +p1421 +tp1422 +a(g827 +g958 +tp1423 +a(g794 +Vof +p1424 +tp1425 +a(g827 +g958 +tp1426 +a(g496 +V'a +p1427 +tp1428 +a(g827 +g958 +tp1429 +a(g436 +VCtx +p1430 +tp1431 +a(g827 +g958 +tp1432 +a(g436 +V* +p1433 +tp1434 +a(g827 +g958 +tp1435 +a(g496 +V'a +p1436 +tp1437 +a(g827 +V +p1438 +tp1439 +a(g8 +V(* +p1440 +tp1441 +a(g8 +g958 +tp1442 +a(g8 +g958 +tp1443 +a(g8 +g958 +tp1444 +a(g8 +g958 +tp1445 +a(g8 +g958 +tp1446 +a(g8 +g1418 +tp1447 +a(g8 +g958 +tp1448 +a(g8 +g1385 +tp1449 +a(g8 +g1026 +tp1450 +a(g8 +g958 +tp1451 +a(g8 +VD +p1452 +tp1453 +a(g8 +g958 +tp1454 +a(g8 +g958 +tp1455 +a(g8 +g958 +tp1456 +a(g8 +g958 +tp1457 +a(g8 +g958 +tp1458 +a(g8 +g958 +tp1459 +a(g8 +g958 +tp1460 +a(g8 +g958 +tp1461 +a(g8 +g958 +tp1462 +a(g8 +g958 +tp1463 +a(g8 +g958 +tp1464 +a(g8 +g958 +tp1465 +a(g8 +g958 +tp1466 +a(g8 +g958 +tp1467 +a(g8 +g958 +tp1468 +a(g8 +g958 +tp1469 +a(g8 +g958 +tp1470 +a(g8 +V*) +p1471 +tp1472 +a(g827 +V\u000a\u000a +p1473 +tp1474 +a(g8 +V(* +p1475 +tp1476 +a(g8 +g958 +tp1477 +a(g8 +g1040 +tp1478 +a(g8 +g964 +tp1479 +a(g8 +g983 +tp1480 +a(g8 +g1014 +tp1481 +a(g8 +g1000 +tp1482 +a(g8 +Vp +p1483 +tp1484 +a(g8 +g958 +tp1485 +a(g8 +g1098 +tp1486 +a(g8 +g1385 +tp1487 +a(g8 +g1110 +tp1488 +a(g8 +g958 +tp1489 +a(g8 +g1119 +tp1490 +a(g8 +V> +p1491 +tp1492 +a(g8 +g958 +tp1493 +a(g8 +g1385 +tp1494 +a(g8 +V' +p1495 +tp1496 +a(g8 +V\u000a +p1497 +tp1498 +a(g8 +g958 +tp1499 +a(g8 +g958 +tp1500 +a(g8 +g958 +tp1501 +a(g8 +g958 +tp1502 +a(g8 +g958 +tp1503 +a(g8 +g960 +tp1504 +a(g8 +g962 +tp1505 +a(g8 +Vv +p1506 +tp1507 +a(g8 +g971 +tp1508 +a(g8 +g968 +tp1509 +a(g8 +g1021 +tp1510 +a(g8 +g971 +tp1511 +a(g8 +g962 +tp1512 +a(g8 +g964 +tp1513 +a(g8 +g1003 +tp1514 +a(g8 +g958 +tp1515 +a(g8 +g1385 +tp1516 +a(g8 +g958 +tp1517 +a(g8 +g1119 +tp1518 +a(g8 +g958 +tp1519 +a(g8 +g1385 +tp1520 +a(g8 +g1495 +tp1521 +a(g8 +g1026 +tp1522 +a(g8 +g1452 +tp1523 +a(g8 +V\u000a +p1524 +tp1525 +a(g8 +g958 +tp1526 +a(g8 +g958 +tp1527 +a(g8 +V*) +p1528 +tp1529 +a(g827 +V\u000a +p1530 +tp1531 +a(g827 +g1173 +tp1532 +a(g794 +Vfun +p1533 +tp1534 +a(g827 +g958 +tp1535 +a(g574 +VctxPop +p1536 +tp1537 +a(g827 +g958 +tp1538 +a(g706 +g1098 +tp1539 +a(g436 +VDecl +p1540 +tp1541 +a(g827 +g958 +tp1542 +a(g706 +g1098 +tp1543 +a(g436 +g1385 +tp1544 +a(g706 +g1026 +tp1545 +a(g827 +g958 +tp1546 +a(g436 +g1452 +tp1547 +a(g706 +g1110 +tp1548 +a(g706 +g1110 +tp1549 +a(g827 +g958 +tp1550 +a(g706 +g1119 +tp1551 +a(g827 +g958 +tp1552 +a(g436 +g1385 +tp1553 +a(g827 +V\u000a\u000a +p1554 +tp1555 +a(g827 +g1173 +tp1556 +a(g794 +Vexception +p1557 +tp1558 +a(g827 +g958 +tp1559 +a(g629 +VError +p1560 +tp1561 +a(g827 +g958 +tp1562 +a(g794 +Vof +p1563 +tp1564 +a(g827 +g958 +tp1565 +a(g436 +Vstring +p1566 +tp1567 +a(g827 +V +p1568 +tp1569 +a(g8 +V(* +p1570 +tp1571 +a(g8 +g958 +tp1572 +a(g8 +g968 +tp1573 +a(g8 +g971 +tp1574 +a(g8 +g1021 +tp1575 +a(g8 +g1033 +tp1576 +a(g8 +g966 +tp1577 +a(g8 +g1062 +tp1578 +a(g8 +g958 +tp1579 +a(g8 +g1021 +tp1580 +a(g8 +g1016 +tp1581 +a(g8 +g958 +tp1582 +a(g8 +g1000 +tp1583 +a(g8 +g995 +tp1584 +a(g8 +g964 +tp1585 +a(g8 +g958 +tp1586 +a(g8 +g1000 +tp1587 +a(g8 +g1016 +tp1588 +a(g8 +g958 +tp1589 +a(g8 +g1033 +tp1590 +a(g8 +g1483 +tp1591 +a(g8 +g971 +tp1592 +a(g8 +g1040 +tp1593 +a(g8 +g966 +tp1594 +a(g8 +g958 +tp1595 +a(g8 +g958 +tp1596 +a(g8 +g958 +tp1597 +a(g8 +g958 +tp1598 +a(g8 +g958 +tp1599 +a(g8 +V*) +p1600 +tp1601 +a(g827 +V \u000a +p1602 +tp1603 +a(g8 +V(* +p1604 +tp1605 +a(g8 +g958 +tp1606 +a(g8 +g1040 +tp1607 +a(g8 +g964 +tp1608 +a(g8 +g983 +tp1609 +a(g8 +VL +p1610 +tp1611 +a(g8 +g1000 +tp1612 +a(g8 +g1000 +tp1613 +a(g8 +g1011 +tp1614 +a(g8 +g995 +tp1615 +a(g8 +g1483 +tp1616 +a(g8 +g958 +tp1617 +a(g8 +g1098 +tp1618 +a(g8 +g1385 +tp1619 +a(g8 +g1026 +tp1620 +a(g8 +g958 +tp1621 +a(g8 +g1011 +tp1622 +a(g8 +g1110 +tp1623 +a(g8 +g958 +tp1624 +a(g8 +g1119 +tp1625 +a(g8 +g958 +tp1626 +a(g8 +g1452 +tp1627 +a(g8 +g1026 +tp1628 +a(g8 +g958 +tp1629 +a(g8 +g1011 +tp1630 +a(g8 +g964 +tp1631 +a(g8 +g998 +tp1632 +a(g8 +g958 +tp1633 +a(g8 +g1062 +tp1634 +a(g8 +g966 +tp1635 +a(g8 +g1040 +tp1636 +a(g8 +g973 +tp1637 +a(g8 +g971 +tp1638 +a(g8 +g968 +tp1639 +a(g8 +g971 +tp1640 +a(g8 +g964 +tp1641 +a(g8 +g1021 +tp1642 +a(g8 +g1000 +tp1643 +a(g8 +g962 +tp1644 +a(g8 +g958 +tp1645 +a(g8 +g1021 +tp1646 +a(g8 +g962 +tp1647 +a(g8 +g958 +tp1648 +a(g8 +g1385 +tp1649 +a(g8 +g958 +tp1650 +a(g8 +g1016 +tp1651 +a(g8 +g968 +tp1652 +a(g8 +g1000 +tp1653 +a(g8 +g1046 +tp1654 +a(g8 +g958 +tp1655 +a(g8 +g968 +tp1656 +a(g8 +g1021 +tp1657 +a(g8 +g1024 +tp1658 +a(g8 +g998 +tp1659 +a(g8 +g964 +tp1660 +a(g8 +g958 +tp1661 +a(g8 +g964 +tp1662 +a(g8 +g1000 +tp1663 +a(g8 +g958 +tp1664 +a(g8 +g973 +tp1665 +a(g8 +g966 +tp1666 +a(g8 +g1016 +tp1667 +a(g8 +g964 +tp1668 +a(g8 +V\u000a +p1669 +tp1670 +a(g8 +g958 +tp1671 +a(g8 +g958 +tp1672 +a(g8 +g958 +tp1673 +a(g8 +g958 +tp1674 +a(g8 +g958 +tp1675 +a(g8 +g960 +tp1676 +a(g8 +g962 +tp1677 +a(g8 +g1506 +tp1678 +a(g8 +g971 +tp1679 +a(g8 +g968 +tp1680 +a(g8 +g1021 +tp1681 +a(g8 +g971 +tp1682 +a(g8 +g962 +tp1683 +a(g8 +g964 +tp1684 +a(g8 +g1003 +tp1685 +a(g8 +g958 +tp1686 +a(g8 +V1 +p1687 +tp1688 +a(g8 +g958 +tp1689 +a(g8 +V< +p1690 +tp1691 +a(g8 +g1119 +tp1692 +a(g8 +g958 +tp1693 +a(g8 +g1011 +tp1694 +a(g8 +g958 +tp1695 +a(g8 +g1690 +tp1696 +a(g8 +g1119 +tp1697 +a(g8 +g958 +tp1698 +a(g8 +g1418 +tp1699 +a(g8 +g1385 +tp1700 +a(g8 +g1418 +tp1701 +a(g8 +g1026 +tp1702 +a(g8 +g958 +tp1703 +a(g8 +Vw +p1704 +tp1705 +a(g8 +g998 +tp1706 +a(g8 +g966 +tp1707 +a(g8 +g968 +tp1708 +a(g8 +g966 +tp1709 +a(g8 +g958 +tp1710 +a(g8 +g1418 +tp1711 +a(g8 +g1385 +tp1712 +a(g8 +g1418 +tp1713 +a(g8 +g958 +tp1714 +a(g8 +g1021 +tp1715 +a(g8 +g1033 +tp1716 +a(g8 +g958 +tp1717 +a(g8 +g973 +tp1718 +a(g8 +g966 +tp1719 +a(g8 +g962 +tp1720 +a(g8 +g1024 +tp1721 +a(g8 +g964 +tp1722 +a(g8 +g998 +tp1723 +a(g8 +g958 +tp1724 +a(g8 +g1000 +tp1725 +a(g8 +g1016 +tp1726 +a(g8 +g958 +tp1727 +a(g8 +g1385 +tp1728 +a(g8 +V\u000a +p1729 +tp1730 +a(g8 +g958 +tp1731 +a(g8 +g958 +tp1732 +a(g8 +V*) +p1733 +tp1734 +a(g827 +V\u000a\u000a +p1735 +tp1736 +a(g827 +g1173 +tp1737 +a(g794 +Vfun +p1738 +tp1739 +a(g827 +g958 +tp1740 +a(g574 +VctxLookup +p1741 +tp1742 +a(g827 +g958 +tp1743 +a(g706 +g1098 +tp1744 +a(g436 +VDecl +p1745 +tp1746 +a(g827 +g958 +tp1747 +a(g706 +g1098 +tp1748 +a(g436 +VG' +p1749 +tp1750 +a(g706 +g1026 +tp1751 +a(g827 +g958 +tp1752 +a(g436 +g1452 +tp1753 +a(g706 +g1110 +tp1754 +a(g706 +g1026 +tp1755 +a(g827 +g958 +tp1756 +a(g37 +g1687 +tp1757 +a(g706 +g1110 +tp1758 +a(g827 +g958 +tp1759 +a(g706 +g1119 +tp1760 +a(g827 +g958 +tp1761 +a(g436 +g1452 +tp1762 +a(g827 +V\u000a +p1763 +tp1764 +a(g706 +g1418 +tp1765 +a(g827 +g958 +tp1766 +a(g574 +VctxLookup +p1767 +tp1768 +a(g827 +g958 +tp1769 +a(g706 +g1098 +tp1770 +a(g436 +VDecl +p1771 +tp1772 +a(g827 +g958 +tp1773 +a(g706 +g1098 +tp1774 +a(g436 +VG' +p1775 +tp1776 +a(g706 +g1026 +tp1777 +a(g827 +g958 +tp1778 +a(g706 +V_ +p1779 +tp1780 +a(g706 +g1110 +tp1781 +a(g706 +g1026 +tp1782 +a(g827 +g958 +tp1783 +a(g436 +Vk' +p1784 +tp1785 +a(g706 +g1110 +tp1786 +a(g827 +g958 +tp1787 +a(g706 +g1119 +tp1788 +a(g827 +g958 +tp1789 +a(g436 +VctxLookup +p1790 +tp1791 +a(g827 +g958 +tp1792 +a(g706 +g1098 +tp1793 +a(g436 +VG' +p1794 +tp1795 +a(g706 +g1026 +tp1796 +a(g827 +g958 +tp1797 +a(g436 +Vk' +p1798 +tp1799 +a(g436 +V- +p1800 +tp1801 +a(g37 +g1687 +tp1802 +a(g706 +g1110 +tp1803 +a(g827 +V\u000a +p1804 +tp1805 +a(g8 +V(* +p1806 +tp1807 +a(g8 +g958 +tp1808 +a(g8 +g958 +tp1809 +a(g8 +g958 +tp1810 +a(g8 +g958 +tp1811 +a(g8 +g1418 +tp1812 +a(g8 +g958 +tp1813 +a(g8 +g1040 +tp1814 +a(g8 +g964 +tp1815 +a(g8 +g983 +tp1816 +a(g8 +g1610 +tp1817 +a(g8 +g1000 +tp1818 +a(g8 +g1000 +tp1819 +a(g8 +g1011 +tp1820 +a(g8 +g995 +tp1821 +a(g8 +g1483 +tp1822 +a(g8 +g958 +tp1823 +a(g8 +g1098 +tp1824 +a(g8 +VN +p1825 +tp1826 +a(g8 +g995 +tp1827 +a(g8 +g973 +tp1828 +a(g8 +g973 +tp1829 +a(g8 +g1026 +tp1830 +a(g8 +g958 +tp1831 +a(g8 +g1011 +tp1832 +a(g8 +g1495 +tp1833 +a(g8 +g1110 +tp1834 +a(g8 +g958 +tp1835 +a(g8 +g1119 +tp1836 +a(g8 +g958 +tp1837 +a(g8 +g1098 +tp1838 +a(g8 +g1483 +tp1839 +a(g8 +g968 +tp1840 +a(g8 +g1021 +tp1841 +a(g8 +g962 +tp1842 +a(g8 +g964 +tp1843 +a(g8 +g958 +tp1844 +a(g8 +g1098 +tp1845 +a(g8 +V" +p1846 +tp1847 +a(g8 +g1610 +tp1848 +a(g8 +g1000 +tp1849 +a(g8 +g1000 +tp1850 +a(g8 +g1011 +tp1851 +a(g8 +g1021 +tp1852 +a(g8 +g962 +tp1853 +a(g8 +g1024 +tp1854 +a(g8 +g958 +tp1855 +a(g8 +g995 +tp1856 +a(g8 +g1483 +tp1857 +a(g8 +g958 +tp1858 +a(g8 +g1011 +tp1859 +a(g8 +g1495 +tp1860 +a(g8 +g958 +tp1861 +a(g8 +g1119 +tp1862 +a(g8 +g958 +tp1863 +a(g8 +g1846 +tp1864 +a(g8 +g958 +tp1865 +a(g8 +V^ +p1866 +tp1867 +a(g8 +g958 +tp1868 +a(g8 +g960 +tp1869 +a(g8 +g962 +tp1870 +a(g8 +g964 +tp1871 +a(g8 +g1392 +tp1872 +a(g8 +g964 +tp1873 +a(g8 +g1000 +tp1874 +a(g8 +g976 +tp1875 +a(g8 +g964 +tp1876 +a(g8 +g968 +tp1877 +a(g8 +g1021 +tp1878 +a(g8 +g962 +tp1879 +a(g8 +g1024 +tp1880 +a(g8 +g958 +tp1881 +a(g8 +g1011 +tp1882 +a(g8 +g1495 +tp1883 +a(g8 +g958 +tp1884 +a(g8 +g1866 +tp1885 +a(g8 +g958 +tp1886 +a(g8 +g1846 +tp1887 +a(g8 +V\u005c +p1888 +tp1889 +a(g8 +g962 +tp1890 +a(g8 +g1846 +tp1891 +a(g8 +g1110 +tp1892 +a(g8 +V; +p1893 +tp1894 +a(g8 +g958 +tp1895 +a(g8 +g968 +tp1896 +a(g8 +g971 +tp1897 +a(g8 +g1021 +tp1898 +a(g8 +g1033 +tp1899 +a(g8 +g966 +tp1900 +a(g8 +g958 +tp1901 +a(g8 +VE +p1902 +tp1903 +a(g8 +g968 +tp1904 +a(g8 +g968 +tp1905 +a(g8 +g1000 +tp1906 +a(g8 +g968 +tp1907 +a(g8 +g958 +tp1908 +a(g8 +g1846 +tp1909 +a(g8 +VO +p1910 +tp1911 +a(g8 +g995 +tp1912 +a(g8 +g964 +tp1913 +a(g8 +g958 +tp1914 +a(g8 +g1000 +tp1915 +a(g8 +g1016 +tp1916 +a(g8 +g958 +tp1917 +a(g8 +VB +p1918 +tp1919 +a(g8 +g1000 +tp1920 +a(g8 +g995 +tp1921 +a(g8 +g962 +tp1922 +a(g8 +g1040 +tp1923 +a(g8 +g966 +tp1924 +a(g8 +V\u005c +p1925 +tp1926 +a(g8 +g962 +tp1927 +a(g8 +g1846 +tp1928 +a(g8 +g1110 +tp1929 +a(g8 +V*) +p1930 +tp1931 +a(g827 +V\u000a +p1932 +tp1933 +a(g8 +V(* +p1934 +tp1935 +a(g8 +g958 +tp1936 +a(g8 +g1040 +tp1937 +a(g8 +g964 +tp1938 +a(g8 +g983 +tp1939 +a(g8 +g1610 +tp1940 +a(g8 +g1000 +tp1941 +a(g8 +g1000 +tp1942 +a(g8 +g1011 +tp1943 +a(g8 +g995 +tp1944 +a(g8 +g1483 +tp1945 +a(g8 +g958 +tp1946 +a(g8 +g1098 +tp1947 +a(g8 +g1825 +tp1948 +a(g8 +g995 +tp1949 +a(g8 +g973 +tp1950 +a(g8 +g973 +tp1951 +a(g8 +g1026 +tp1952 +a(g8 +g958 +tp1953 +a(g8 +g1011 +tp1954 +a(g8 +g1495 +tp1955 +a(g8 +g1110 +tp1956 +a(g8 +g958 +tp1957 +a(g8 +g958 +tp1958 +a(g8 +g1033 +tp1959 +a(g8 +g998 +tp1960 +a(g8 +g1000 +tp1961 +a(g8 +g995 +tp1962 +a(g8 +g973 +tp1963 +a(g8 +g1062 +tp1964 +a(g8 +g958 +tp1965 +a(g8 +g962 +tp1966 +a(g8 +g1000 +tp1967 +a(g8 +g964 +tp1968 +a(g8 +g958 +tp1969 +a(g8 +g1000 +tp1970 +a(g8 +g1040 +tp1971 +a(g8 +g1040 +tp1972 +a(g8 +g995 +tp1973 +a(g8 +g968 +tp1974 +a(g8 +g958 +tp1975 +a(g8 +g1074 +tp1976 +a(g8 +g978 +tp1977 +a(g8 +g958 +tp1978 +a(g8 +g1021 +tp1979 +a(g8 +g962 +tp1980 +a(g8 +g1506 +tp1981 +a(g8 +g971 +tp1982 +a(g8 +g968 +tp1983 +a(g8 +g1021 +tp1984 +a(g8 +g971 +tp1985 +a(g8 +g962 +tp1986 +a(g8 +g964 +tp1987 +a(g8 +g958 +tp1988 +a(g8 +V*) +p1989 +tp1990 +a(g827 +V\u000a\u000a +p1991 +tp1992 +a(g8 +V(* +p1993 +tp1994 +a(g8 +g958 +tp1995 +a(g8 +g1040 +tp1996 +a(g8 +g964 +tp1997 +a(g8 +g983 +tp1998 +a(g8 +g1610 +tp1999 +a(g8 +g966 +tp2000 +a(g8 +g962 +tp2001 +a(g8 +g1024 +tp2002 +a(g8 +g964 +tp2003 +a(g8 +g998 +tp2004 +a(g8 +g958 +tp2005 +a(g8 +g1385 +tp2006 +a(g8 +g958 +tp2007 +a(g8 +g1119 +tp2008 +a(g8 +g958 +tp2009 +a(g8 +g1418 +tp2010 +a(g8 +g1385 +tp2011 +a(g8 +g1418 +tp2012 +a(g8 +g1026 +tp2013 +a(g8 +g958 +tp2014 +a(g8 +g964 +tp2015 +a(g8 +g998 +tp2016 +a(g8 +g966 +tp2017 +a(g8 +g958 +tp2018 +a(g8 +g962 +tp2019 +a(g8 +g995 +tp2020 +a(g8 +g1046 +tp2021 +a(g8 +g1074 +tp2022 +a(g8 +g966 +tp2023 +a(g8 +g968 +tp2024 +a(g8 +g958 +tp2025 +a(g8 +g1000 +tp2026 +a(g8 +g1016 +tp2027 +a(g8 +g958 +tp2028 +a(g8 +g1062 +tp2029 +a(g8 +g966 +tp2030 +a(g8 +g1040 +tp2031 +a(g8 +g973 +tp2032 +a(g8 +g971 +tp2033 +a(g8 +g968 +tp2034 +a(g8 +g971 +tp2035 +a(g8 +g964 +tp2036 +a(g8 +g1021 +tp2037 +a(g8 +g1000 +tp2038 +a(g8 +g962 +tp2039 +a(g8 +g1033 +tp2040 +a(g8 +g958 +tp2041 +a(g8 +g1021 +tp2042 +a(g8 +g962 +tp2043 +a(g8 +g958 +tp2044 +a(g8 +g1385 +tp2045 +a(g8 +g958 +tp2046 +a(g8 +V*) +p2047 +tp2048 +a(g827 +V\u000a +p2049 +tp2050 +a(g794 +Vfun +p2051 +tp2052 +a(g827 +g958 +tp2053 +a(g574 +VctxLength +p2054 +tp2055 +a(g827 +g958 +tp2056 +a(g436 +g1385 +tp2057 +a(g827 +g958 +tp2058 +a(g706 +g1119 +tp2059 +a(g827 +V\u000a +p2060 +tp2061 +a(g794 +Vlet +p2062 +tp2063 +a(g827 +V \u000a +p2064 +tp2065 +a(g794 +Vfun +p2066 +tp2067 +a(g827 +g958 +tp2068 +a(g574 +VctxLength' +p2069 +tp2070 +a(g827 +g958 +tp2071 +a(g706 +g1098 +tp2072 +a(g436 +VNull +p2073 +tp2074 +a(g706 +g1026 +tp2075 +a(g827 +g958 +tp2076 +a(g436 +g962 +tp2077 +a(g706 +g1110 +tp2078 +a(g827 +g958 +tp2079 +a(g706 +g1119 +tp2080 +a(g827 +g958 +tp2081 +a(g436 +g962 +tp2082 +a(g827 +V\u000a +p2083 +tp2084 +a(g706 +g1418 +tp2085 +a(g827 +g958 +tp2086 +a(g574 +VctxLength' +p2087 +tp2088 +a(g827 +g958 +tp2089 +a(g706 +g1098 +tp2090 +a(g436 +VDecl +p2091 +tp2092 +a(g706 +g1098 +tp2093 +a(g436 +g1385 +tp2094 +a(g706 +g1026 +tp2095 +a(g827 +g958 +tp2096 +a(g706 +g1779 +tp2097 +a(g706 +g1110 +tp2098 +a(g706 +g1026 +tp2099 +a(g827 +g958 +tp2100 +a(g436 +g962 +tp2101 +a(g706 +g1110 +tp2102 +a(g706 +g1119 +tp2103 +a(g827 +g958 +tp2104 +a(g436 +VctxLength' +p2105 +tp2106 +a(g827 +g958 +tp2107 +a(g706 +g1098 +tp2108 +a(g436 +g1385 +tp2109 +a(g706 +g1026 +tp2110 +a(g827 +g958 +tp2111 +a(g436 +g962 +tp2112 +a(g436 +V+ +p2113 +tp2114 +a(g37 +g1687 +tp2115 +a(g706 +g1110 +tp2116 +a(g827 +V\u000a +p2117 +tp2118 +a(g794 +Vin +p2119 +tp2120 +a(g827 +V\u000a +p2121 +tp2122 +a(g436 +VctxLength' +p2123 +tp2124 +a(g827 +g958 +tp2125 +a(g706 +g1098 +tp2126 +a(g436 +g1385 +tp2127 +a(g706 +g1026 +tp2128 +a(g827 +g958 +tp2129 +a(g37 +V0 +p2130 +tp2131 +a(g706 +g1110 +tp2132 +a(g827 +V\u000a +p2133 +tp2134 +a(g794 +Vend +p2135 +tp2136 +a(g827 +V\u000a \u000a +p2137 +tp2138 +a(g794 +Vtype +p2139 +tp2140 +a(g827 +g958 +tp2141 +a(g749 +VFgnExp +p2142 +tp2143 +a(g827 +g958 +tp2144 +a(g706 +g1119 +tp2145 +a(g827 +g958 +tp2146 +a(g436 +Vexn +p2147 +tp2148 +a(g827 +V +p2149 +tp2150 +a(g8 +V(* +p2151 +tp2152 +a(g8 +g958 +tp2153 +a(g8 +g1016 +tp2154 +a(g8 +g1000 +tp2155 +a(g8 +g968 +tp2156 +a(g8 +g966 +tp2157 +a(g8 +g1021 +tp2158 +a(g8 +g1024 +tp2159 +a(g8 +g962 +tp2160 +a(g8 +g958 +tp2161 +a(g8 +g966 +tp2162 +a(g8 +g983 +tp2163 +a(g8 +g1483 +tp2164 +a(g8 +g968 +tp2165 +a(g8 +g966 +tp2166 +a(g8 +g1033 +tp2167 +a(g8 +g1033 +tp2168 +a(g8 +g1021 +tp2169 +a(g8 +g1000 +tp2170 +a(g8 +g962 +tp2171 +a(g8 +g958 +tp2172 +a(g8 +g968 +tp2173 +a(g8 +g966 +tp2174 +a(g8 +g1483 +tp2175 +a(g8 +g968 +tp2176 +a(g8 +g966 +tp2177 +a(g8 +g1033 +tp2178 +a(g8 +g966 +tp2179 +a(g8 +g962 +tp2180 +a(g8 +g964 +tp2181 +a(g8 +g971 +tp2182 +a(g8 +g964 +tp2183 +a(g8 +g1021 +tp2184 +a(g8 +g1000 +tp2185 +a(g8 +g962 +tp2186 +a(g8 +g958 +tp2187 +a(g8 +V*) +p2188 +tp2189 +a(g827 +V\u000a +p2190 +tp2191 +a(g827 +g1173 +tp2192 +a(g827 +g1173 +tp2193 +a(g794 +Vexception +p2194 +tp2195 +a(g827 +g958 +tp2196 +a(g629 +VUnexpectedFgnExp +p2197 +tp2198 +a(g827 +g958 +tp2199 +a(g794 +Vof +p2200 +tp2201 +a(g827 +g958 +tp2202 +a(g436 +VFgnExp +p2203 +tp2204 +a(g827 +V\u000a +p2205 +tp2206 +a(g8 +V(* +p2207 +tp2208 +a(g8 +g958 +tp2209 +a(g8 +g968 +tp2210 +a(g8 +g971 +tp2211 +a(g8 +g1021 +tp2212 +a(g8 +g1033 +tp2213 +a(g8 +g966 +tp2214 +a(g8 +g1062 +tp2215 +a(g8 +g958 +tp2216 +a(g8 +g1074 +tp2217 +a(g8 +g978 +tp2218 +a(g8 +g958 +tp2219 +a(g8 +g971 +tp2220 +a(g8 +g958 +tp2221 +a(g8 +g1040 +tp2222 +a(g8 +g1000 +tp2223 +a(g8 +g962 +tp2224 +a(g8 +g1033 +tp2225 +a(g8 +g964 +tp2226 +a(g8 +g968 +tp2227 +a(g8 +g971 +tp2228 +a(g8 +g1021 +tp2229 +a(g8 +g962 +tp2230 +a(g8 +g964 +tp2231 +a(g8 +g958 +tp2232 +a(g8 +g1033 +tp2233 +a(g8 +g1000 +tp2234 +a(g8 +g973 +tp2235 +a(g8 +g1506 +tp2236 +a(g8 +g966 +tp2237 +a(g8 +g968 +tp2238 +a(g8 +V\u000a +p2239 +tp2240 +a(g8 +V +p2241 +tp2242 +a(g8 +g2241 +tp2243 +a(g8 +g2241 +tp2244 +a(g8 +g2241 +tp2245 +a(g8 +g2241 +tp2246 +a(g8 +g958 +tp2247 +a(g8 +g958 +tp2248 +a(g8 +g958 +tp2249 +a(g8 +g1021 +tp2250 +a(g8 +g1016 +tp2251 +a(g8 +g958 +tp2252 +a(g8 +g1483 +tp2253 +a(g8 +g971 +tp2254 +a(g8 +g1033 +tp2255 +a(g8 +g1033 +tp2256 +a(g8 +g966 +tp2257 +a(g8 +g1062 +tp2258 +a(g8 +g958 +tp2259 +a(g8 +g971 +tp2260 +a(g8 +g962 +tp2261 +a(g8 +g958 +tp2262 +a(g8 +g1021 +tp2263 +a(g8 +g962 +tp2264 +a(g8 +g1040 +tp2265 +a(g8 +g1000 +tp2266 +a(g8 +g968 +tp2267 +a(g8 +g968 +tp2268 +a(g8 +g966 +tp2269 +a(g8 +g1040 +tp2270 +a(g8 +g964 +tp2271 +a(g8 +g958 +tp2272 +a(g8 +g971 +tp2273 +a(g8 +g968 +tp2274 +a(g8 +g1024 +tp2275 +a(g8 +g958 +tp2276 +a(g8 +V*) +p2277 +tp2278 +a(g827 +V\u000a\u000a +p2279 +tp2280 +a(g827 +g1173 +tp2281 +a(g794 +Vtype +p2282 +tp2283 +a(g827 +g958 +tp2284 +a(g749 +VFgnCnstr +p2285 +tp2286 +a(g827 +g958 +tp2287 +a(g706 +g1119 +tp2288 +a(g827 +g958 +tp2289 +a(g436 +Vexn +p2290 +tp2291 +a(g827 +V +p2292 +tp2293 +a(g8 +V(* +p2294 +tp2295 +a(g8 +g958 +tp2296 +a(g8 +g1016 +tp2297 +a(g8 +g1000 +tp2298 +a(g8 +g968 +tp2299 +a(g8 +g966 +tp2300 +a(g8 +g1021 +tp2301 +a(g8 +g1024 +tp2302 +a(g8 +g962 +tp2303 +a(g8 +g958 +tp2304 +a(g8 +g995 +tp2305 +a(g8 +g962 +tp2306 +a(g8 +g1021 +tp2307 +a(g8 +g1016 +tp2308 +a(g8 +g1021 +tp2309 +a(g8 +g1040 +tp2310 +a(g8 +g971 +tp2311 +a(g8 +g964 +tp2312 +a(g8 +g1021 +tp2313 +a(g8 +g1000 +tp2314 +a(g8 +g962 +tp2315 +a(g8 +g958 +tp2316 +a(g8 +g1040 +tp2317 +a(g8 +g1000 +tp2318 +a(g8 +g962 +tp2319 +a(g8 +g1033 +tp2320 +a(g8 +g964 +tp2321 +a(g8 +g968 +tp2322 +a(g8 +g971 +tp2323 +a(g8 +g1021 +tp2324 +a(g8 +g962 +tp2325 +a(g8 +g964 +tp2326 +a(g8 +V\u000a +p2327 +tp2328 +a(g8 +g958 +tp2329 +a(g8 +g958 +tp2330 +a(g8 +g958 +tp2331 +a(g8 +g958 +tp2332 +a(g8 +g958 +tp2333 +a(g8 +g958 +tp2334 +a(g8 +g958 +tp2335 +a(g8 +g958 +tp2336 +a(g8 +g958 +tp2337 +a(g8 +g958 +tp2338 +a(g8 +g958 +tp2339 +a(g8 +g958 +tp2340 +a(g8 +g958 +tp2341 +a(g8 +g958 +tp2342 +a(g8 +g958 +tp2343 +a(g8 +g958 +tp2344 +a(g8 +g958 +tp2345 +a(g8 +g958 +tp2346 +a(g8 +g958 +tp2347 +a(g8 +g958 +tp2348 +a(g8 +g958 +tp2349 +a(g8 +g958 +tp2350 +a(g8 +g958 +tp2351 +a(g8 +g958 +tp2352 +a(g8 +g958 +tp2353 +a(g8 +g958 +tp2354 +a(g8 +g958 +tp2355 +a(g8 +g958 +tp2356 +a(g8 +g958 +tp2357 +a(g8 +g958 +tp2358 +a(g8 +g958 +tp2359 +a(g8 +g958 +tp2360 +a(g8 +g958 +tp2361 +a(g8 +g958 +tp2362 +a(g8 +g958 +tp2363 +a(g8 +g958 +tp2364 +a(g8 +g958 +tp2365 +a(g8 +g958 +tp2366 +a(g8 +g958 +tp2367 +a(g8 +g958 +tp2368 +a(g8 +g958 +tp2369 +a(g8 +g958 +tp2370 +a(g8 +g958 +tp2371 +a(g8 +g968 +tp2372 +a(g8 +g966 +tp2373 +a(g8 +g1483 +tp2374 +a(g8 +g968 +tp2375 +a(g8 +g966 +tp2376 +a(g8 +g1033 +tp2377 +a(g8 +g966 +tp2378 +a(g8 +g962 +tp2379 +a(g8 +g964 +tp2380 +a(g8 +g971 +tp2381 +a(g8 +g964 +tp2382 +a(g8 +g1021 +tp2383 +a(g8 +g1000 +tp2384 +a(g8 +g962 +tp2385 +a(g8 +g958 +tp2386 +a(g8 +V*) +p2387 +tp2388 +a(g827 +V\u000a +p2389 +tp2390 +a(g827 +g1173 +tp2391 +a(g827 +g1173 +tp2392 +a(g794 +Vexception +p2393 +tp2394 +a(g827 +g958 +tp2395 +a(g629 +VUnexpectedFgnCnstr +p2396 +tp2397 +a(g827 +g958 +tp2398 +a(g794 +Vof +p2399 +tp2400 +a(g827 +g958 +tp2401 +a(g436 +VFgnCnstr +p2402 +tp2403 +a(g827 +V\u000a +p2404 +tp2405 +a(g8 +V(* +p2406 +tp2407 +a(g8 +g958 +tp2408 +a(g8 +g968 +tp2409 +a(g8 +g971 +tp2410 +a(g8 +g1021 +tp2411 +a(g8 +g1033 +tp2412 +a(g8 +g966 +tp2413 +a(g8 +g1062 +tp2414 +a(g8 +g958 +tp2415 +a(g8 +g1074 +tp2416 +a(g8 +g978 +tp2417 +a(g8 +g958 +tp2418 +a(g8 +g971 +tp2419 +a(g8 +g958 +tp2420 +a(g8 +g1040 +tp2421 +a(g8 +g1000 +tp2422 +a(g8 +g962 +tp2423 +a(g8 +g1033 +tp2424 +a(g8 +g964 +tp2425 +a(g8 +g968 +tp2426 +a(g8 +g971 +tp2427 +a(g8 +g1021 +tp2428 +a(g8 +g962 +tp2429 +a(g8 +g964 +tp2430 +a(g8 +g958 +tp2431 +a(g8 +g1033 +tp2432 +a(g8 +g1000 +tp2433 +a(g8 +g973 +tp2434 +a(g8 +g1506 +tp2435 +a(g8 +g966 +tp2436 +a(g8 +g968 +tp2437 +a(g8 +V\u000a +p2438 +tp2439 +a(g8 +g958 +tp2440 +a(g8 +g958 +tp2441 +a(g8 +g958 +tp2442 +a(g8 +g958 +tp2443 +a(g8 +g958 +tp2444 +a(g8 +g958 +tp2445 +a(g8 +g958 +tp2446 +a(g8 +g958 +tp2447 +a(g8 +g958 +tp2448 +a(g8 +g958 +tp2449 +a(g8 +g958 +tp2450 +a(g8 +g958 +tp2451 +a(g8 +g958 +tp2452 +a(g8 +g958 +tp2453 +a(g8 +g958 +tp2454 +a(g8 +g958 +tp2455 +a(g8 +g958 +tp2456 +a(g8 +g958 +tp2457 +a(g8 +g958 +tp2458 +a(g8 +g958 +tp2459 +a(g8 +g958 +tp2460 +a(g8 +g958 +tp2461 +a(g8 +g958 +tp2462 +a(g8 +g958 +tp2463 +a(g8 +g958 +tp2464 +a(g8 +g958 +tp2465 +a(g8 +g958 +tp2466 +a(g8 +g958 +tp2467 +a(g8 +g958 +tp2468 +a(g8 +g958 +tp2469 +a(g8 +g958 +tp2470 +a(g8 +g958 +tp2471 +a(g8 +g958 +tp2472 +a(g8 +g958 +tp2473 +a(g8 +g958 +tp2474 +a(g8 +g958 +tp2475 +a(g8 +g958 +tp2476 +a(g8 +g958 +tp2477 +a(g8 +g958 +tp2478 +a(g8 +g958 +tp2479 +a(g8 +g958 +tp2480 +a(g8 +g958 +tp2481 +a(g8 +g958 +tp2482 +a(g8 +g1021 +tp2483 +a(g8 +g1016 +tp2484 +a(g8 +g958 +tp2485 +a(g8 +g1483 +tp2486 +a(g8 +g971 +tp2487 +a(g8 +g1033 +tp2488 +a(g8 +g1033 +tp2489 +a(g8 +g966 +tp2490 +a(g8 +g1062 +tp2491 +a(g8 +g958 +tp2492 +a(g8 +g971 +tp2493 +a(g8 +g962 +tp2494 +a(g8 +g958 +tp2495 +a(g8 +g1021 +tp2496 +a(g8 +g962 +tp2497 +a(g8 +g1040 +tp2498 +a(g8 +g1000 +tp2499 +a(g8 +g968 +tp2500 +a(g8 +g968 +tp2501 +a(g8 +g966 +tp2502 +a(g8 +g1040 +tp2503 +a(g8 +g964 +tp2504 +a(g8 +g958 +tp2505 +a(g8 +g971 +tp2506 +a(g8 +g968 +tp2507 +a(g8 +g1024 +tp2508 +a(g8 +g958 +tp2509 +a(g8 +V*) +p2510 +tp2511 +a(g827 +V\u000a\u000a +p2512 +tp2513 +a(g827 +g1173 +tp2514 +a(g794 +Vdatatype +p2515 +tp2516 +a(g827 +g958 +tp2517 +a(g749 +VDepend +p2518 +tp2519 +a(g827 +g958 +tp2520 +a(g706 +g1119 +tp2521 +a(g827 +V +p2522 +tp2523 +a(g8 +V(* +p2524 +tp2525 +a(g8 +g958 +tp2526 +a(g8 +g1452 +tp2527 +a(g8 +g966 +tp2528 +a(g8 +g1483 +tp2529 +a(g8 +g966 +tp2530 +a(g8 +g962 +tp2531 +a(g8 +g1062 +tp2532 +a(g8 +g966 +tp2533 +a(g8 +g962 +tp2534 +a(g8 +g1040 +tp2535 +a(g8 +g978 +tp2536 +a(g8 +g958 +tp2537 +a(g8 +g1021 +tp2538 +a(g8 +g962 +tp2539 +a(g8 +g1016 +tp2540 +a(g8 +g1000 +tp2541 +a(g8 +g968 +tp2542 +a(g8 +g1046 +tp2543 +a(g8 +g971 +tp2544 +a(g8 +g964 +tp2545 +a(g8 +g1021 +tp2546 +a(g8 +g1000 +tp2547 +a(g8 +g962 +tp2548 +a(g8 +g958 +tp2549 +a(g8 +g958 +tp2550 +a(g8 +g958 +tp2551 +a(g8 +g958 +tp2552 +a(g8 +g958 +tp2553 +a(g8 +V*) +p2554 +tp2555 +a(g827 +V\u000a +p2556 +tp2557 +a(g629 +VNo +p2558 +tp2559 +a(g827 +V +p2560 +tp2561 +a(g8 +V(* +p2562 +tp2563 +a(g8 +g958 +tp2564 +a(g8 +g1014 +tp2565 +a(g8 +g958 +tp2566 +a(g8 +g1003 +tp2567 +a(g8 +g1003 +tp2568 +a(g8 +g1119 +tp2569 +a(g8 +g958 +tp2570 +a(g8 +g1825 +tp2571 +a(g8 +g1000 +tp2572 +a(g8 +g958 +tp2573 +a(g8 +g958 +tp2574 +a(g8 +g958 +tp2575 +a(g8 +g958 +tp2576 +a(g8 +g958 +tp2577 +a(g8 +g958 +tp2578 +a(g8 +g958 +tp2579 +a(g8 +g958 +tp2580 +a(g8 +g958 +tp2581 +a(g8 +g958 +tp2582 +a(g8 +g958 +tp2583 +a(g8 +g958 +tp2584 +a(g8 +g958 +tp2585 +a(g8 +g958 +tp2586 +a(g8 +g958 +tp2587 +a(g8 +g958 +tp2588 +a(g8 +g958 +tp2589 +a(g8 +g958 +tp2590 +a(g8 +g958 +tp2591 +a(g8 +V*) +p2592 +tp2593 +a(g827 +V\u000a +p2594 +tp2595 +a(g706 +g1418 +tp2596 +a(g827 +g958 +tp2597 +a(g629 +VMaybe +p2598 +tp2599 +a(g827 +V +p2600 +tp2601 +a(g8 +V(* +p2602 +tp2603 +a(g8 +g958 +tp2604 +a(g8 +g958 +tp2605 +a(g8 +g958 +tp2606 +a(g8 +g958 +tp2607 +a(g8 +g958 +tp2608 +a(g8 +g1418 +tp2609 +a(g8 +g958 +tp2610 +a(g8 +g1059 +tp2611 +a(g8 +g971 +tp2612 +a(g8 +g978 +tp2613 +a(g8 +g1074 +tp2614 +a(g8 +g966 +tp2615 +a(g8 +g958 +tp2616 +a(g8 +g958 +tp2617 +a(g8 +g958 +tp2618 +a(g8 +g958 +tp2619 +a(g8 +g958 +tp2620 +a(g8 +g958 +tp2621 +a(g8 +g958 +tp2622 +a(g8 +g958 +tp2623 +a(g8 +g958 +tp2624 +a(g8 +g958 +tp2625 +a(g8 +g958 +tp2626 +a(g8 +g958 +tp2627 +a(g8 +g958 +tp2628 +a(g8 +g958 +tp2629 +a(g8 +g958 +tp2630 +a(g8 +g958 +tp2631 +a(g8 +V*) +p2632 +tp2633 +a(g827 +V\u000a +p2634 +tp2635 +a(g706 +g1418 +tp2636 +a(g827 +g958 +tp2637 +a(g629 +VMeta +p2638 +tp2639 +a(g827 +V +p2640 +tp2641 +a(g8 +V(* +p2642 +tp2643 +a(g8 +g958 +tp2644 +a(g8 +g958 +tp2645 +a(g8 +g958 +tp2646 +a(g8 +g958 +tp2647 +a(g8 +g958 +tp2648 +a(g8 +g1418 +tp2649 +a(g8 +g958 +tp2650 +a(g8 +g1059 +tp2651 +a(g8 +g966 +tp2652 +a(g8 +g964 +tp2653 +a(g8 +g971 +tp2654 +a(g8 +g958 +tp2655 +a(g8 +g958 +tp2656 +a(g8 +g958 +tp2657 +a(g8 +g958 +tp2658 +a(g8 +g958 +tp2659 +a(g8 +g958 +tp2660 +a(g8 +g958 +tp2661 +a(g8 +g958 +tp2662 +a(g8 +g958 +tp2663 +a(g8 +g958 +tp2664 +a(g8 +g958 +tp2665 +a(g8 +g958 +tp2666 +a(g8 +g958 +tp2667 +a(g8 +g958 +tp2668 +a(g8 +g958 +tp2669 +a(g8 +g958 +tp2670 +a(g8 +g958 +tp2671 +a(g8 +V*) +p2672 +tp2673 +a(g827 +V\u000a\u000a +p2674 +tp2675 +a(g8 +V(* +p2676 +tp2677 +a(g8 +g958 +tp2678 +a(g8 +g1902 +tp2679 +a(g8 +g983 +tp2680 +a(g8 +g1483 +tp2681 +a(g8 +g968 +tp2682 +a(g8 +g966 +tp2683 +a(g8 +g1033 +tp2684 +a(g8 +g1033 +tp2685 +a(g8 +g1021 +tp2686 +a(g8 +g1000 +tp2687 +a(g8 +g962 +tp2688 +a(g8 +g1033 +tp2689 +a(g8 +g958 +tp2690 +a(g8 +V*) +p2691 +tp2692 +a(g827 +V\u000a\u000a +p2693 +tp2694 +a(g827 +g1173 +tp2695 +a(g794 +Vdatatype +p2696 +tp2697 +a(g827 +g958 +tp2698 +a(g749 +VUni +p2699 +tp2700 +a(g827 +g958 +tp2701 +a(g706 +g1119 +tp2702 +a(g827 +V +p2703 +tp2704 +a(g8 +V(* +p2705 +tp2706 +a(g8 +g958 +tp2707 +a(g8 +VU +p2708 +tp2709 +a(g8 +g962 +tp2710 +a(g8 +g1021 +tp2711 +a(g8 +g1506 +tp2712 +a(g8 +g966 +tp2713 +a(g8 +g968 +tp2714 +a(g8 +g1033 +tp2715 +a(g8 +g966 +tp2716 +a(g8 +g1033 +tp2717 +a(g8 +g1003 +tp2718 +a(g8 +g958 +tp2719 +a(g8 +g958 +tp2720 +a(g8 +g958 +tp2721 +a(g8 +g958 +tp2722 +a(g8 +g958 +tp2723 +a(g8 +g958 +tp2724 +a(g8 +g958 +tp2725 +a(g8 +g958 +tp2726 +a(g8 +g958 +tp2727 +a(g8 +g958 +tp2728 +a(g8 +g958 +tp2729 +a(g8 +g958 +tp2730 +a(g8 +g958 +tp2731 +a(g8 +g958 +tp2732 +a(g8 +g958 +tp2733 +a(g8 +g958 +tp2734 +a(g8 +g958 +tp2735 +a(g8 +V*) +p2736 +tp2737 +a(g827 +V\u000a +p2738 +tp2739 +a(g629 +VKind +p2740 +tp2741 +a(g827 +V +p2742 +tp2743 +a(g8 +V(* +p2744 +tp2745 +a(g8 +g958 +tp2746 +a(g8 +g1610 +tp2747 +a(g8 +g958 +tp2748 +a(g8 +g1003 +tp2749 +a(g8 +g1003 +tp2750 +a(g8 +g1119 +tp2751 +a(g8 +g958 +tp2752 +a(g8 +VK +p2753 +tp2754 +a(g8 +g1021 +tp2755 +a(g8 +g962 +tp2756 +a(g8 +g1062 +tp2757 +a(g8 +g958 +tp2758 +a(g8 +g958 +tp2759 +a(g8 +g958 +tp2760 +a(g8 +g958 +tp2761 +a(g8 +g958 +tp2762 +a(g8 +g958 +tp2763 +a(g8 +g958 +tp2764 +a(g8 +g958 +tp2765 +a(g8 +g958 +tp2766 +a(g8 +g958 +tp2767 +a(g8 +g958 +tp2768 +a(g8 +g958 +tp2769 +a(g8 +g958 +tp2770 +a(g8 +g958 +tp2771 +a(g8 +g958 +tp2772 +a(g8 +g958 +tp2773 +a(g8 +g958 +tp2774 +a(g8 +V*) +p2775 +tp2776 +a(g827 +V\u000a +p2777 +tp2778 +a(g706 +g1418 +tp2779 +a(g827 +g958 +tp2780 +a(g629 +VType +p2781 +tp2782 +a(g827 +V +p2783 +tp2784 +a(g8 +V(* +p2785 +tp2786 +a(g8 +g958 +tp2787 +a(g8 +g958 +tp2788 +a(g8 +g958 +tp2789 +a(g8 +g958 +tp2790 +a(g8 +g958 +tp2791 +a(g8 +g1418 +tp2792 +a(g8 +g958 +tp2793 +a(g8 +VT +p2794 +tp2795 +a(g8 +g978 +tp2796 +a(g8 +g1483 +tp2797 +a(g8 +g966 +tp2798 +a(g8 +g958 +tp2799 +a(g8 +g958 +tp2800 +a(g8 +g958 +tp2801 +a(g8 +g958 +tp2802 +a(g8 +g958 +tp2803 +a(g8 +g958 +tp2804 +a(g8 +g958 +tp2805 +a(g8 +g958 +tp2806 +a(g8 +g958 +tp2807 +a(g8 +g958 +tp2808 +a(g8 +g958 +tp2809 +a(g8 +g958 +tp2810 +a(g8 +g958 +tp2811 +a(g8 +g958 +tp2812 +a(g8 +g958 +tp2813 +a(g8 +g958 +tp2814 +a(g8 +g958 +tp2815 +a(g8 +V*) +p2816 +tp2817 +a(g827 +V\u000a\u000a +p2818 +tp2819 +a(g827 +g1173 +tp2820 +a(g794 +Vdatatype +p2821 +tp2822 +a(g827 +g958 +tp2823 +a(g749 +VExp +p2824 +tp2825 +a(g827 +g958 +tp2826 +a(g706 +g1119 +tp2827 +a(g827 +V +p2828 +tp2829 +a(g8 +V(* +p2830 +tp2831 +a(g8 +g958 +tp2832 +a(g8 +g1902 +tp2833 +a(g8 +g983 +tp2834 +a(g8 +g1483 +tp2835 +a(g8 +g968 +tp2836 +a(g8 +g966 +tp2837 +a(g8 +g1033 +tp2838 +a(g8 +g1033 +tp2839 +a(g8 +g1021 +tp2840 +a(g8 +g1000 +tp2841 +a(g8 +g962 +tp2842 +a(g8 +g1033 +tp2843 +a(g8 +g1003 +tp2844 +a(g8 +g958 +tp2845 +a(g8 +g958 +tp2846 +a(g8 +g958 +tp2847 +a(g8 +g958 +tp2848 +a(g8 +g958 +tp2849 +a(g8 +g958 +tp2850 +a(g8 +g958 +tp2851 +a(g8 +g958 +tp2852 +a(g8 +g958 +tp2853 +a(g8 +g958 +tp2854 +a(g8 +g958 +tp2855 +a(g8 +g958 +tp2856 +a(g8 +g958 +tp2857 +a(g8 +g958 +tp2858 +a(g8 +g958 +tp2859 +a(g8 +V*) +p2860 +tp2861 +a(g827 +V\u000a +p2862 +tp2863 +a(g629 +VUni +p2864 +tp2865 +a(g827 +V +p2866 +tp2867 +a(g794 +Vof +p2868 +tp2869 +a(g827 +g958 +tp2870 +a(g436 +VUni +p2871 +tp2872 +a(g827 +V +p2873 +tp2874 +a(g8 +V(* +p2875 +tp2876 +a(g8 +g958 +tp2877 +a(g8 +g2708 +tp2878 +a(g8 +g958 +tp2879 +a(g8 +g1003 +tp2880 +a(g8 +g1003 +tp2881 +a(g8 +g1119 +tp2882 +a(g8 +g958 +tp2883 +a(g8 +g1610 +tp2884 +a(g8 +g958 +tp2885 +a(g8 +g958 +tp2886 +a(g8 +g958 +tp2887 +a(g8 +g958 +tp2888 +a(g8 +g958 +tp2889 +a(g8 +g958 +tp2890 +a(g8 +g958 +tp2891 +a(g8 +g958 +tp2892 +a(g8 +g958 +tp2893 +a(g8 +g958 +tp2894 +a(g8 +g958 +tp2895 +a(g8 +g958 +tp2896 +a(g8 +g958 +tp2897 +a(g8 +g958 +tp2898 +a(g8 +g958 +tp2899 +a(g8 +g958 +tp2900 +a(g8 +g958 +tp2901 +a(g8 +g958 +tp2902 +a(g8 +g958 +tp2903 +a(g8 +g958 +tp2904 +a(g8 +V*) +p2905 +tp2906 +a(g827 +V\u000a +p2907 +tp2908 +a(g706 +g1418 +tp2909 +a(g827 +g958 +tp2910 +a(g629 +VPi +p2911 +tp2912 +a(g827 +V +p2913 +tp2914 +a(g794 +Vof +p2915 +tp2916 +a(g827 +g958 +tp2917 +a(g706 +g1098 +tp2918 +a(g436 +VDec +p2919 +tp2920 +a(g827 +g958 +tp2921 +a(g436 +g1433 +tp2922 +a(g827 +g958 +tp2923 +a(g436 +VDepend +p2924 +tp2925 +a(g706 +g1110 +tp2926 +a(g827 +g958 +tp2927 +a(g436 +g1433 +tp2928 +a(g827 +g958 +tp2929 +a(g436 +VExp +p2930 +tp2931 +a(g827 +V +p2932 +tp2933 +a(g8 +V(* +p2934 +tp2935 +a(g8 +g958 +tp2936 +a(g8 +g958 +tp2937 +a(g8 +g958 +tp2938 +a(g8 +g958 +tp2939 +a(g8 +g958 +tp2940 +a(g8 +g1418 +tp2941 +a(g8 +g958 +tp2942 +a(g8 +g1074 +tp2943 +a(g8 +g1014 +tp2944 +a(g8 +g1021 +tp2945 +a(g8 +g958 +tp2946 +a(g8 +g1098 +tp2947 +a(g8 +g1452 +tp2948 +a(g8 +g1026 +tp2949 +a(g8 +g958 +tp2950 +a(g8 +g1014 +tp2951 +a(g8 +g1110 +tp2952 +a(g8 +g1392 +tp2953 +a(g8 +g958 +tp2954 +a(g8 +g1081 +tp2955 +a(g8 +g958 +tp2956 +a(g8 +g958 +tp2957 +a(g8 +g958 +tp2958 +a(g8 +g958 +tp2959 +a(g8 +g958 +tp2960 +a(g8 +g958 +tp2961 +a(g8 +g958 +tp2962 +a(g8 +g958 +tp2963 +a(g8 +g958 +tp2964 +a(g8 +V*) +p2965 +tp2966 +a(g827 +V\u000a +p2967 +tp2968 +a(g706 +g1418 +tp2969 +a(g827 +g958 +tp2970 +a(g629 +VRoot +p2971 +tp2972 +a(g827 +V +p2973 +tp2974 +a(g794 +Vof +p2975 +tp2976 +a(g827 +g958 +tp2977 +a(g436 +VHead +p2978 +tp2979 +a(g827 +g958 +tp2980 +a(g436 +g1433 +tp2981 +a(g827 +g958 +tp2982 +a(g436 +VSpine +p2983 +tp2984 +a(g827 +V +p2985 +tp2986 +a(g8 +V(* +p2987 +tp2988 +a(g8 +g958 +tp2989 +a(g8 +g958 +tp2990 +a(g8 +g958 +tp2991 +a(g8 +g958 +tp2992 +a(g8 +g958 +tp2993 +a(g8 +g1418 +tp2994 +a(g8 +g958 +tp2995 +a(g8 +g1029 +tp2996 +a(g8 +g958 +tp2997 +a(g8 +V@ +p2998 +tp2999 +a(g8 +g958 +tp3000 +a(g8 +g976 +tp3001 +a(g8 +g958 +tp3002 +a(g8 +g958 +tp3003 +a(g8 +g958 +tp3004 +a(g8 +g958 +tp3005 +a(g8 +g958 +tp3006 +a(g8 +g958 +tp3007 +a(g8 +g958 +tp3008 +a(g8 +g958 +tp3009 +a(g8 +g958 +tp3010 +a(g8 +g958 +tp3011 +a(g8 +g958 +tp3012 +a(g8 +g958 +tp3013 +a(g8 +g958 +tp3014 +a(g8 +g958 +tp3015 +a(g8 +g958 +tp3016 +a(g8 +g958 +tp3017 +a(g8 +V*) +p3018 +tp3019 +a(g827 +V\u000a +p3020 +tp3021 +a(g706 +g1418 +tp3022 +a(g827 +g958 +tp3023 +a(g629 +VRedex +p3024 +tp3025 +a(g827 +g958 +tp3026 +a(g794 +Vof +p3027 +tp3028 +a(g827 +g958 +tp3029 +a(g436 +VExp +p3030 +tp3031 +a(g827 +g958 +tp3032 +a(g436 +g1433 +tp3033 +a(g827 +g958 +tp3034 +a(g436 +VSpine +p3035 +tp3036 +a(g827 +V +p3037 +tp3038 +a(g8 +V(* +p3039 +tp3040 +a(g8 +g958 +tp3041 +a(g8 +g958 +tp3042 +a(g8 +g958 +tp3043 +a(g8 +g958 +tp3044 +a(g8 +g958 +tp3045 +a(g8 +g1418 +tp3046 +a(g8 +g958 +tp3047 +a(g8 +g2708 +tp3048 +a(g8 +g958 +tp3049 +a(g8 +g2998 +tp3050 +a(g8 +g958 +tp3051 +a(g8 +g976 +tp3052 +a(g8 +g958 +tp3053 +a(g8 +g958 +tp3054 +a(g8 +g958 +tp3055 +a(g8 +g958 +tp3056 +a(g8 +g958 +tp3057 +a(g8 +g958 +tp3058 +a(g8 +g958 +tp3059 +a(g8 +g958 +tp3060 +a(g8 +g958 +tp3061 +a(g8 +g958 +tp3062 +a(g8 +g958 +tp3063 +a(g8 +g958 +tp3064 +a(g8 +g958 +tp3065 +a(g8 +g958 +tp3066 +a(g8 +g958 +tp3067 +a(g8 +g958 +tp3068 +a(g8 +V*) +p3069 +tp3070 +a(g827 +V\u000a +p3071 +tp3072 +a(g706 +g1418 +tp3073 +a(g827 +g958 +tp3074 +a(g629 +VLam +p3075 +tp3076 +a(g827 +V +p3077 +tp3078 +a(g794 +Vof +p3079 +tp3080 +a(g827 +g958 +tp3081 +a(g436 +VDec +p3082 +tp3083 +a(g827 +g958 +tp3084 +a(g436 +g1433 +tp3085 +a(g827 +g958 +tp3086 +a(g436 +VExp +p3087 +tp3088 +a(g827 +V +p3089 +tp3090 +a(g8 +V(* +p3091 +tp3092 +a(g8 +g958 +tp3093 +a(g8 +g958 +tp3094 +a(g8 +g958 +tp3095 +a(g8 +g958 +tp3096 +a(g8 +g958 +tp3097 +a(g8 +g1418 +tp3098 +a(g8 +g958 +tp3099 +a(g8 +g973 +tp3100 +a(g8 +g971 +tp3101 +a(g8 +g1046 +tp3102 +a(g8 +g958 +tp3103 +a(g8 +g1452 +tp3104 +a(g8 +g1392 +tp3105 +a(g8 +g958 +tp3106 +a(g8 +g2708 +tp3107 +a(g8 +g958 +tp3108 +a(g8 +g958 +tp3109 +a(g8 +g958 +tp3110 +a(g8 +g958 +tp3111 +a(g8 +g958 +tp3112 +a(g8 +g958 +tp3113 +a(g8 +g958 +tp3114 +a(g8 +g958 +tp3115 +a(g8 +g958 +tp3116 +a(g8 +g958 +tp3117 +a(g8 +g958 +tp3118 +a(g8 +g958 +tp3119 +a(g8 +g958 +tp3120 +a(g8 +V*) +p3121 +tp3122 +a(g827 +V\u000a +p3123 +tp3124 +a(g706 +g1418 +tp3125 +a(g827 +g958 +tp3126 +a(g629 +VEVar +p3127 +tp3128 +a(g827 +V +p3129 +tp3130 +a(g794 +Vof +p3131 +tp3132 +a(g827 +g958 +tp3133 +a(g436 +VExp +p3134 +tp3135 +a(g827 +g958 +tp3136 +a(g436 +Voption +p3137 +tp3138 +a(g827 +g958 +tp3139 +a(g436 +Vref +p3140 +tp3141 +a(g827 +g958 +tp3142 +a(g436 +g1433 +tp3143 +a(g827 +g958 +tp3144 +a(g436 +VDec +p3145 +tp3146 +a(g827 +g958 +tp3147 +a(g436 +VCtx +p3148 +tp3149 +a(g827 +g958 +tp3150 +a(g436 +g1433 +tp3151 +a(g827 +g958 +tp3152 +a(g436 +VExp +p3153 +tp3154 +a(g827 +g958 +tp3155 +a(g436 +g1433 +tp3156 +a(g827 +g958 +tp3157 +a(g706 +g1098 +tp3158 +a(g436 +VCnstr +p3159 +tp3160 +a(g827 +g958 +tp3161 +a(g436 +Vref +p3162 +tp3163 +a(g706 +g1110 +tp3164 +a(g827 +g958 +tp3165 +a(g436 +Vlist +p3166 +tp3167 +a(g827 +g958 +tp3168 +a(g436 +Vref +p3169 +tp3170 +a(g827 +V\u000a +p3171 +tp3172 +a(g8 +V(* +p3173 +tp3174 +a(g8 +g958 +tp3175 +a(g8 +g958 +tp3176 +a(g8 +g958 +tp3177 +a(g8 +g958 +tp3178 +a(g8 +g958 +tp3179 +a(g8 +g1418 +tp3180 +a(g8 +g958 +tp3181 +a(g8 +VX +p3182 +tp3183 +a(g8 +g1690 +tp3184 +a(g8 +g960 +tp3185 +a(g8 +g1491 +tp3186 +a(g8 +g958 +tp3187 +a(g8 +g1003 +tp3188 +a(g8 +g958 +tp3189 +a(g8 +g1385 +tp3190 +a(g8 +g1418 +tp3191 +a(g8 +g1800 +tp3192 +a(g8 +g1081 +tp3193 +a(g8 +g1026 +tp3194 +a(g8 +g958 +tp3195 +a(g8 +g1029 +tp3196 +a(g8 +g962 +tp3197 +a(g8 +g1033 +tp3198 +a(g8 +g964 +tp3199 +a(g8 +g968 +tp3200 +a(g8 +g958 +tp3201 +a(g8 +g958 +tp3202 +a(g8 +g958 +tp3203 +a(g8 +V*) +p3204 +tp3205 +a(g827 +V\u000a\u000a +p3206 +tp3207 +a(g706 +g1418 +tp3208 +a(g827 +g958 +tp3209 +a(g629 +VEClo +p3210 +tp3211 +a(g827 +V +p3212 +tp3213 +a(g794 +Vof +p3214 +tp3215 +a(g827 +g958 +tp3216 +a(g436 +VExp +p3217 +tp3218 +a(g827 +g958 +tp3219 +a(g436 +g1433 +tp3220 +a(g827 +g958 +tp3221 +a(g436 +VSub +p3222 +tp3223 +a(g827 +V +p3224 +tp3225 +a(g8 +V(* +p3226 +tp3227 +a(g8 +g958 +tp3228 +a(g8 +g958 +tp3229 +a(g8 +g958 +tp3230 +a(g8 +g958 +tp3231 +a(g8 +g958 +tp3232 +a(g8 +g1418 +tp3233 +a(g8 +g958 +tp3234 +a(g8 +g2708 +tp3235 +a(g8 +V[ +p3236 +tp3237 +a(g8 +g1033 +tp3238 +a(g8 +V] +p3239 +tp3240 +a(g8 +g958 +tp3241 +a(g8 +g958 +tp3242 +a(g8 +g958 +tp3243 +a(g8 +g958 +tp3244 +a(g8 +g958 +tp3245 +a(g8 +g958 +tp3246 +a(g8 +g958 +tp3247 +a(g8 +g958 +tp3248 +a(g8 +g958 +tp3249 +a(g8 +g958 +tp3250 +a(g8 +g958 +tp3251 +a(g8 +g958 +tp3252 +a(g8 +g958 +tp3253 +a(g8 +g958 +tp3254 +a(g8 +g958 +tp3255 +a(g8 +g958 +tp3256 +a(g8 +g958 +tp3257 +a(g8 +V*) +p3258 +tp3259 +a(g827 +V\u000a +p3260 +tp3261 +a(g706 +g1418 +tp3262 +a(g827 +g958 +tp3263 +a(g629 +VAVar +p3264 +tp3265 +a(g827 +V +p3266 +tp3267 +a(g794 +Vof +p3268 +tp3269 +a(g827 +g958 +tp3270 +a(g436 +VExp +p3271 +tp3272 +a(g827 +g958 +tp3273 +a(g436 +Voption +p3274 +tp3275 +a(g827 +g958 +tp3276 +a(g436 +Vref +p3277 +tp3278 +a(g827 +V +p3279 +tp3280 +a(g8 +V(* +p3281 +tp3282 +a(g8 +g958 +tp3283 +a(g8 +g958 +tp3284 +a(g8 +g958 +tp3285 +a(g8 +g958 +tp3286 +a(g8 +g958 +tp3287 +a(g8 +g1418 +tp3288 +a(g8 +g958 +tp3289 +a(g8 +g993 +tp3290 +a(g8 +g1690 +tp3291 +a(g8 +g960 +tp3292 +a(g8 +g1491 +tp3293 +a(g8 +g958 +tp3294 +a(g8 +g958 +tp3295 +a(g8 +g958 +tp3296 +a(g8 +g958 +tp3297 +a(g8 +g958 +tp3298 +a(g8 +g958 +tp3299 +a(g8 +g958 +tp3300 +a(g8 +g958 +tp3301 +a(g8 +g958 +tp3302 +a(g8 +g958 +tp3303 +a(g8 +g958 +tp3304 +a(g8 +g958 +tp3305 +a(g8 +g958 +tp3306 +a(g8 +g958 +tp3307 +a(g8 +g958 +tp3308 +a(g8 +g958 +tp3309 +a(g8 +g958 +tp3310 +a(g8 +V*) +p3311 +tp3312 +a(g827 +V \u000a +p3313 +tp3314 +a(g706 +g1418 +tp3315 +a(g827 +g958 +tp3316 +a(g629 +VNVar +p3317 +tp3318 +a(g827 +V +p3319 +tp3320 +a(g794 +Vof +p3321 +tp3322 +a(g827 +g958 +tp3323 +a(g436 +Vint +p3324 +tp3325 +a(g827 +V +p3326 +tp3327 +a(g8 +V(* +p3328 +tp3329 +a(g8 +g958 +tp3330 +a(g8 +g958 +tp3331 +a(g8 +g958 +tp3332 +a(g8 +g958 +tp3333 +a(g8 +g958 +tp3334 +a(g8 +g1418 +tp3335 +a(g8 +g958 +tp3336 +a(g8 +g962 +tp3337 +a(g8 +g958 +tp3338 +a(g8 +g1098 +tp3339 +a(g8 +g973 +tp3340 +a(g8 +g1021 +tp3341 +a(g8 +g962 +tp3342 +a(g8 +g966 +tp3343 +a(g8 +g971 +tp3344 +a(g8 +g968 +tp3345 +a(g8 +g1026 +tp3346 +a(g8 +g958 +tp3347 +a(g8 +g1016 +tp3348 +a(g8 +g995 +tp3349 +a(g8 +g973 +tp3350 +a(g8 +g973 +tp3351 +a(g8 +g978 +tp3352 +a(g8 +g958 +tp3353 +a(g8 +g971 +tp3354 +a(g8 +g1483 +tp3355 +a(g8 +g1483 +tp3356 +a(g8 +g973 +tp3357 +a(g8 +g1021 +tp3358 +a(g8 +g966 +tp3359 +a(g8 +g1062 +tp3360 +a(g8 +g1110 +tp3361 +a(g8 +g958 +tp3362 +a(g8 +V*) +p3363 +tp3364 +a(g827 +V\u000a +p3365 +tp3366 +a(g8 +V(* +p3367 +tp3368 +a(g8 +g958 +tp3369 +a(g8 +g1024 +tp3370 +a(g8 +g968 +tp3371 +a(g8 +g971 +tp3372 +a(g8 +g1016 +tp3373 +a(g8 +g964 +tp3374 +a(g8 +g1021 +tp3375 +a(g8 +g962 +tp3376 +a(g8 +g1024 +tp3377 +a(g8 +g958 +tp3378 +a(g8 +g1506 +tp3379 +a(g8 +g971 +tp3380 +a(g8 +g968 +tp3381 +a(g8 +g1021 +tp3382 +a(g8 +g971 +tp3383 +a(g8 +g1074 +tp3384 +a(g8 +g973 +tp3385 +a(g8 +g966 +tp3386 +a(g8 +g958 +tp3387 +a(g8 +V*) +p3388 +tp3389 +a(g827 +V\u000a\u000a +p3390 +tp3391 +a(g706 +g1418 +tp3392 +a(g827 +g958 +tp3393 +a(g629 +VFgnExp +p3394 +tp3395 +a(g827 +g958 +tp3396 +a(g794 +Vof +p3397 +tp3398 +a(g827 +g958 +tp3399 +a(g436 +Vcsid +p3400 +tp3401 +a(g827 +g958 +tp3402 +a(g436 +g1433 +tp3403 +a(g827 +g958 +tp3404 +a(g436 +VFgnExp +p3405 +tp3406 +a(g827 +V\u000a +p3407 +tp3408 +a(g8 +V(* +p3409 +tp3410 +a(g8 +g958 +tp3411 +a(g8 +g958 +tp3412 +a(g8 +g958 +tp3413 +a(g8 +g958 +tp3414 +a(g8 +g958 +tp3415 +a(g8 +g1418 +tp3416 +a(g8 +g958 +tp3417 +a(g8 +g1098 +tp3418 +a(g8 +g1016 +tp3419 +a(g8 +g1000 +tp3420 +a(g8 +g968 +tp3421 +a(g8 +g966 +tp3422 +a(g8 +g1021 +tp3423 +a(g8 +g1024 +tp3424 +a(g8 +g962 +tp3425 +a(g8 +g958 +tp3426 +a(g8 +g966 +tp3427 +a(g8 +g983 +tp3428 +a(g8 +g1483 +tp3429 +a(g8 +g968 +tp3430 +a(g8 +g966 +tp3431 +a(g8 +g1033 +tp3432 +a(g8 +g1033 +tp3433 +a(g8 +g1021 +tp3434 +a(g8 +g1000 +tp3435 +a(g8 +g962 +tp3436 +a(g8 +g1110 +tp3437 +a(g8 +g958 +tp3438 +a(g8 +V*) +p3439 +tp3440 +a(g827 +V\u000a \u000a +p3441 +tp3442 +a(g794 +Vand +p3443 +tp3444 +a(g827 +g958 +tp3445 +a(g749 +VHead +p3446 +tp3447 +a(g827 +g958 +tp3448 +a(g706 +g1119 +tp3449 +a(g827 +V +p3450 +tp3451 +a(g8 +V(* +p3452 +tp3453 +a(g8 +g958 +tp3454 +a(g8 +VH +p3455 +tp3456 +a(g8 +g966 +tp3457 +a(g8 +g971 +tp3458 +a(g8 +g1062 +tp3459 +a(g8 +g1033 +tp3460 +a(g8 +g1003 +tp3461 +a(g8 +g958 +tp3462 +a(g8 +g958 +tp3463 +a(g8 +g958 +tp3464 +a(g8 +g958 +tp3465 +a(g8 +g958 +tp3466 +a(g8 +g958 +tp3467 +a(g8 +g958 +tp3468 +a(g8 +g958 +tp3469 +a(g8 +g958 +tp3470 +a(g8 +g958 +tp3471 +a(g8 +g958 +tp3472 +a(g8 +g958 +tp3473 +a(g8 +g958 +tp3474 +a(g8 +g958 +tp3475 +a(g8 +g958 +tp3476 +a(g8 +g958 +tp3477 +a(g8 +g958 +tp3478 +a(g8 +g958 +tp3479 +a(g8 +g958 +tp3480 +a(g8 +g958 +tp3481 +a(g8 +g958 +tp3482 +a(g8 +V*) +p3483 +tp3484 +a(g827 +V\u000a +p3485 +tp3486 +a(g629 +VBVar +p3487 +tp3488 +a(g827 +V +p3489 +tp3490 +a(g794 +Vof +p3491 +tp3492 +a(g827 +g958 +tp3493 +a(g436 +Vint +p3494 +tp3495 +a(g827 +V +p3496 +tp3497 +a(g8 +V(* +p3498 +tp3499 +a(g8 +g958 +tp3500 +a(g8 +g3455 +tp3501 +a(g8 +g958 +tp3502 +a(g8 +g1003 +tp3503 +a(g8 +g1003 +tp3504 +a(g8 +g1119 +tp3505 +a(g8 +g958 +tp3506 +a(g8 +g1011 +tp3507 +a(g8 +g958 +tp3508 +a(g8 +g958 +tp3509 +a(g8 +g958 +tp3510 +a(g8 +g958 +tp3511 +a(g8 +g958 +tp3512 +a(g8 +g958 +tp3513 +a(g8 +g958 +tp3514 +a(g8 +g958 +tp3515 +a(g8 +g958 +tp3516 +a(g8 +g958 +tp3517 +a(g8 +g958 +tp3518 +a(g8 +g958 +tp3519 +a(g8 +g958 +tp3520 +a(g8 +g958 +tp3521 +a(g8 +g958 +tp3522 +a(g8 +g958 +tp3523 +a(g8 +g958 +tp3524 +a(g8 +g958 +tp3525 +a(g8 +g958 +tp3526 +a(g8 +g958 +tp3527 +a(g8 +V*) +p3528 +tp3529 +a(g827 +V\u000a +p3530 +tp3531 +a(g706 +g1418 +tp3532 +a(g827 +g958 +tp3533 +a(g629 +VConst +p3534 +tp3535 +a(g827 +g958 +tp3536 +a(g794 +Vof +p3537 +tp3538 +a(g827 +g958 +tp3539 +a(g436 +Vcid +p3540 +tp3541 +a(g827 +V +p3542 +tp3543 +a(g8 +V(* +p3544 +tp3545 +a(g8 +g958 +tp3546 +a(g8 +g958 +tp3547 +a(g8 +g958 +tp3548 +a(g8 +g958 +tp3549 +a(g8 +g958 +tp3550 +a(g8 +g1418 +tp3551 +a(g8 +g958 +tp3552 +a(g8 +g1040 +tp3553 +a(g8 +g958 +tp3554 +a(g8 +g958 +tp3555 +a(g8 +g958 +tp3556 +a(g8 +g958 +tp3557 +a(g8 +g958 +tp3558 +a(g8 +g958 +tp3559 +a(g8 +g958 +tp3560 +a(g8 +g958 +tp3561 +a(g8 +g958 +tp3562 +a(g8 +g958 +tp3563 +a(g8 +g958 +tp3564 +a(g8 +g958 +tp3565 +a(g8 +g958 +tp3566 +a(g8 +g958 +tp3567 +a(g8 +g958 +tp3568 +a(g8 +g958 +tp3569 +a(g8 +g958 +tp3570 +a(g8 +g958 +tp3571 +a(g8 +g958 +tp3572 +a(g8 +g958 +tp3573 +a(g8 +V*) +p3574 +tp3575 +a(g827 +V\u000a +p3576 +tp3577 +a(g706 +g1418 +tp3578 +a(g827 +g958 +tp3579 +a(g629 +VProj +p3580 +tp3581 +a(g827 +V +p3582 +tp3583 +a(g794 +Vof +p3584 +tp3585 +a(g827 +g958 +tp3586 +a(g436 +VBlock +p3587 +tp3588 +a(g827 +g958 +tp3589 +a(g436 +g1433 +tp3590 +a(g827 +g958 +tp3591 +a(g436 +Vint +p3592 +tp3593 +a(g827 +V +p3594 +tp3595 +a(g8 +V(* +p3596 +tp3597 +a(g8 +g958 +tp3598 +a(g8 +g958 +tp3599 +a(g8 +g958 +tp3600 +a(g8 +g958 +tp3601 +a(g8 +g958 +tp3602 +a(g8 +g1418 +tp3603 +a(g8 +g958 +tp3604 +a(g8 +V# +p3605 +tp3606 +a(g8 +g1011 +tp3607 +a(g8 +g1098 +tp3608 +a(g8 +g1074 +tp3609 +a(g8 +g1110 +tp3610 +a(g8 +g958 +tp3611 +a(g8 +g958 +tp3612 +a(g8 +g958 +tp3613 +a(g8 +g958 +tp3614 +a(g8 +g958 +tp3615 +a(g8 +g958 +tp3616 +a(g8 +g958 +tp3617 +a(g8 +g958 +tp3618 +a(g8 +g958 +tp3619 +a(g8 +g958 +tp3620 +a(g8 +g958 +tp3621 +a(g8 +g958 +tp3622 +a(g8 +g958 +tp3623 +a(g8 +g958 +tp3624 +a(g8 +g958 +tp3625 +a(g8 +g958 +tp3626 +a(g8 +V*) +p3627 +tp3628 +a(g827 +V\u000a +p3629 +tp3630 +a(g706 +g1418 +tp3631 +a(g827 +g958 +tp3632 +a(g629 +VSkonst +p3633 +tp3634 +a(g827 +g958 +tp3635 +a(g794 +Vof +p3636 +tp3637 +a(g827 +g958 +tp3638 +a(g436 +Vcid +p3639 +tp3640 +a(g827 +V +p3641 +tp3642 +a(g8 +V(* +p3643 +tp3644 +a(g8 +g958 +tp3645 +a(g8 +g958 +tp3646 +a(g8 +g958 +tp3647 +a(g8 +g958 +tp3648 +a(g8 +g958 +tp3649 +a(g8 +g1418 +tp3650 +a(g8 +g958 +tp3651 +a(g8 +g1040 +tp3652 +a(g8 +g3605 +tp3653 +a(g8 +g958 +tp3654 +a(g8 +g958 +tp3655 +a(g8 +g958 +tp3656 +a(g8 +g958 +tp3657 +a(g8 +g958 +tp3658 +a(g8 +g958 +tp3659 +a(g8 +g958 +tp3660 +a(g8 +g958 +tp3661 +a(g8 +g958 +tp3662 +a(g8 +g958 +tp3663 +a(g8 +g958 +tp3664 +a(g8 +g958 +tp3665 +a(g8 +g958 +tp3666 +a(g8 +g958 +tp3667 +a(g8 +g958 +tp3668 +a(g8 +g958 +tp3669 +a(g8 +g958 +tp3670 +a(g8 +g958 +tp3671 +a(g8 +g958 +tp3672 +a(g8 +V*) +p3673 +tp3674 +a(g827 +V\u000a +p3675 +tp3676 +a(g706 +g1418 +tp3677 +a(g827 +g958 +tp3678 +a(g629 +VDef +p3679 +tp3680 +a(g827 +V +p3681 +tp3682 +a(g794 +Vof +p3683 +tp3684 +a(g827 +g958 +tp3685 +a(g436 +Vcid +p3686 +tp3687 +a(g827 +V +p3688 +tp3689 +a(g8 +V(* +p3690 +tp3691 +a(g8 +g958 +tp3692 +a(g8 +g958 +tp3693 +a(g8 +g958 +tp3694 +a(g8 +g958 +tp3695 +a(g8 +g958 +tp3696 +a(g8 +g1418 +tp3697 +a(g8 +g958 +tp3698 +a(g8 +g1062 +tp3699 +a(g8 +g958 +tp3700 +a(g8 +g958 +tp3701 +a(g8 +g958 +tp3702 +a(g8 +g958 +tp3703 +a(g8 +g958 +tp3704 +a(g8 +g958 +tp3705 +a(g8 +g958 +tp3706 +a(g8 +g958 +tp3707 +a(g8 +g958 +tp3708 +a(g8 +g958 +tp3709 +a(g8 +g958 +tp3710 +a(g8 +g958 +tp3711 +a(g8 +g958 +tp3712 +a(g8 +g958 +tp3713 +a(g8 +g958 +tp3714 +a(g8 +g958 +tp3715 +a(g8 +g958 +tp3716 +a(g8 +g958 +tp3717 +a(g8 +g958 +tp3718 +a(g8 +g958 +tp3719 +a(g8 +V*) +p3720 +tp3721 +a(g827 +V\u000a +p3722 +tp3723 +a(g706 +g1418 +tp3724 +a(g827 +g958 +tp3725 +a(g629 +VNSDef +p3726 +tp3727 +a(g827 +g958 +tp3728 +a(g794 +Vof +p3729 +tp3730 +a(g827 +g958 +tp3731 +a(g436 +Vcid +p3732 +tp3733 +a(g827 +V +p3734 +tp3735 +a(g8 +V(* +p3736 +tp3737 +a(g8 +g958 +tp3738 +a(g8 +g958 +tp3739 +a(g8 +g958 +tp3740 +a(g8 +g958 +tp3741 +a(g8 +g958 +tp3742 +a(g8 +g1418 +tp3743 +a(g8 +g958 +tp3744 +a(g8 +g1062 +tp3745 +a(g8 +g958 +tp3746 +a(g8 +g1098 +tp3747 +a(g8 +g962 +tp3748 +a(g8 +g1000 +tp3749 +a(g8 +g962 +tp3750 +a(g8 +g958 +tp3751 +a(g8 +g1033 +tp3752 +a(g8 +g964 +tp3753 +a(g8 +g968 +tp3754 +a(g8 +g1021 +tp3755 +a(g8 +g1040 +tp3756 +a(g8 +g964 +tp3757 +a(g8 +g1110 +tp3758 +a(g8 +g958 +tp3759 +a(g8 +g958 +tp3760 +a(g8 +g958 +tp3761 +a(g8 +g958 +tp3762 +a(g8 +g958 +tp3763 +a(g8 +g958 +tp3764 +a(g8 +g958 +tp3765 +a(g8 +V*) +p3766 +tp3767 +a(g827 +V\u000a +p3768 +tp3769 +a(g706 +g1418 +tp3770 +a(g827 +g958 +tp3771 +a(g629 +VFVar +p3772 +tp3773 +a(g827 +V +p3774 +tp3775 +a(g794 +Vof +p3776 +tp3777 +a(g827 +g958 +tp3778 +a(g436 +Vname +p3779 +tp3780 +a(g827 +g958 +tp3781 +a(g436 +g1433 +tp3782 +a(g827 +g958 +tp3783 +a(g436 +VExp +p3784 +tp3785 +a(g827 +g958 +tp3786 +a(g436 +g1433 +tp3787 +a(g827 +g958 +tp3788 +a(g436 +VSub +p3789 +tp3790 +a(g827 +V +p3791 +tp3792 +a(g8 +V(* +p3793 +tp3794 +a(g8 +g958 +tp3795 +a(g8 +g958 +tp3796 +a(g8 +g958 +tp3797 +a(g8 +g958 +tp3798 +a(g8 +g958 +tp3799 +a(g8 +g1418 +tp3800 +a(g8 +g958 +tp3801 +a(g8 +g1006 +tp3802 +a(g8 +g3236 +tp3803 +a(g8 +g1033 +tp3804 +a(g8 +g3239 +tp3805 +a(g8 +g958 +tp3806 +a(g8 +g958 +tp3807 +a(g8 +g958 +tp3808 +a(g8 +g958 +tp3809 +a(g8 +g958 +tp3810 +a(g8 +g958 +tp3811 +a(g8 +g958 +tp3812 +a(g8 +g958 +tp3813 +a(g8 +g958 +tp3814 +a(g8 +g958 +tp3815 +a(g8 +g958 +tp3816 +a(g8 +g958 +tp3817 +a(g8 +g958 +tp3818 +a(g8 +g958 +tp3819 +a(g8 +g958 +tp3820 +a(g8 +g958 +tp3821 +a(g8 +g958 +tp3822 +a(g8 +V*) +p3823 +tp3824 +a(g827 +V\u000a +p3825 +tp3826 +a(g706 +g1418 +tp3827 +a(g827 +g958 +tp3828 +a(g629 +VFgnConst +p3829 +tp3830 +a(g827 +g958 +tp3831 +a(g794 +Vof +p3832 +tp3833 +a(g827 +g958 +tp3834 +a(g436 +Vcsid +p3835 +tp3836 +a(g827 +g958 +tp3837 +a(g436 +g1433 +tp3838 +a(g827 +g958 +tp3839 +a(g436 +VConDec +p3840 +tp3841 +a(g827 +V +p3842 +tp3843 +a(g8 +V(* +p3844 +tp3845 +a(g8 +g958 +tp3846 +a(g8 +g958 +tp3847 +a(g8 +g958 +tp3848 +a(g8 +g958 +tp3849 +a(g8 +g958 +tp3850 +a(g8 +g1418 +tp3851 +a(g8 +g958 +tp3852 +a(g8 +g1098 +tp3853 +a(g8 +g1016 +tp3854 +a(g8 +g1000 +tp3855 +a(g8 +g968 +tp3856 +a(g8 +g966 +tp3857 +a(g8 +g1021 +tp3858 +a(g8 +g1024 +tp3859 +a(g8 +g962 +tp3860 +a(g8 +g958 +tp3861 +a(g8 +g1040 +tp3862 +a(g8 +g1000 +tp3863 +a(g8 +g962 +tp3864 +a(g8 +g1033 +tp3865 +a(g8 +g964 +tp3866 +a(g8 +g971 +tp3867 +a(g8 +g962 +tp3868 +a(g8 +g964 +tp3869 +a(g8 +g1110 +tp3870 +a(g8 +g958 +tp3871 +a(g8 +g958 +tp3872 +a(g8 +g958 +tp3873 +a(g8 +V*) +p3874 +tp3875 +a(g827 +V\u000a \u000a +p3876 +tp3877 +a(g794 +Vand +p3878 +tp3879 +a(g827 +g958 +tp3880 +a(g749 +VSpine +p3881 +tp3882 +a(g827 +g958 +tp3883 +a(g706 +g1119 +tp3884 +a(g827 +V +p3885 +tp3886 +a(g8 +V(* +p3887 +tp3888 +a(g8 +g958 +tp3889 +a(g8 +g976 +tp3890 +a(g8 +g1483 +tp3891 +a(g8 +g1021 +tp3892 +a(g8 +g962 +tp3893 +a(g8 +g966 +tp3894 +a(g8 +g1033 +tp3895 +a(g8 +g1003 +tp3896 +a(g8 +g958 +tp3897 +a(g8 +g958 +tp3898 +a(g8 +g958 +tp3899 +a(g8 +g958 +tp3900 +a(g8 +g958 +tp3901 +a(g8 +g958 +tp3902 +a(g8 +g958 +tp3903 +a(g8 +g958 +tp3904 +a(g8 +g958 +tp3905 +a(g8 +g958 +tp3906 +a(g8 +g958 +tp3907 +a(g8 +g958 +tp3908 +a(g8 +g958 +tp3909 +a(g8 +g958 +tp3910 +a(g8 +g958 +tp3911 +a(g8 +g958 +tp3912 +a(g8 +g958 +tp3913 +a(g8 +g958 +tp3914 +a(g8 +g958 +tp3915 +a(g8 +g958 +tp3916 +a(g8 +V*) +p3917 +tp3918 +a(g827 +V\u000a +p3919 +tp3920 +a(g629 +VNil +p3921 +tp3922 +a(g827 +V +p3923 +tp3924 +a(g8 +V(* +p3925 +tp3926 +a(g8 +g958 +tp3927 +a(g8 +g976 +tp3928 +a(g8 +g958 +tp3929 +a(g8 +g1003 +tp3930 +a(g8 +g1003 +tp3931 +a(g8 +g1119 +tp3932 +a(g8 +g958 +tp3933 +a(g8 +g1825 +tp3934 +a(g8 +g1021 +tp3935 +a(g8 +g973 +tp3936 +a(g8 +g958 +tp3937 +a(g8 +g958 +tp3938 +a(g8 +g958 +tp3939 +a(g8 +g958 +tp3940 +a(g8 +g958 +tp3941 +a(g8 +g958 +tp3942 +a(g8 +g958 +tp3943 +a(g8 +g958 +tp3944 +a(g8 +g958 +tp3945 +a(g8 +g958 +tp3946 +a(g8 +g958 +tp3947 +a(g8 +g958 +tp3948 +a(g8 +g958 +tp3949 +a(g8 +g958 +tp3950 +a(g8 +g958 +tp3951 +a(g8 +g958 +tp3952 +a(g8 +g958 +tp3953 +a(g8 +g958 +tp3954 +a(g8 +V*) +p3955 +tp3956 +a(g827 +V\u000a +p3957 +tp3958 +a(g706 +g1418 +tp3959 +a(g827 +g958 +tp3960 +a(g629 +VApp +p3961 +tp3962 +a(g827 +V +p3963 +tp3964 +a(g794 +Vof +p3965 +tp3966 +a(g827 +g958 +tp3967 +a(g436 +VExp +p3968 +tp3969 +a(g827 +g958 +tp3970 +a(g436 +g1433 +tp3971 +a(g827 +g958 +tp3972 +a(g436 +VSpine +p3973 +tp3974 +a(g827 +V +p3975 +tp3976 +a(g8 +V(* +p3977 +tp3978 +a(g8 +g958 +tp3979 +a(g8 +g958 +tp3980 +a(g8 +g958 +tp3981 +a(g8 +g958 +tp3982 +a(g8 +g958 +tp3983 +a(g8 +g1418 +tp3984 +a(g8 +g958 +tp3985 +a(g8 +g2708 +tp3986 +a(g8 +g958 +tp3987 +a(g8 +g1893 +tp3988 +a(g8 +g958 +tp3989 +a(g8 +g976 +tp3990 +a(g8 +g958 +tp3991 +a(g8 +g958 +tp3992 +a(g8 +g958 +tp3993 +a(g8 +g958 +tp3994 +a(g8 +g958 +tp3995 +a(g8 +g958 +tp3996 +a(g8 +g958 +tp3997 +a(g8 +g958 +tp3998 +a(g8 +g958 +tp3999 +a(g8 +g958 +tp4000 +a(g8 +g958 +tp4001 +a(g8 +g958 +tp4002 +a(g8 +g958 +tp4003 +a(g8 +g958 +tp4004 +a(g8 +g958 +tp4005 +a(g8 +g958 +tp4006 +a(g8 +V*) +p4007 +tp4008 +a(g827 +V\u000a +p4009 +tp4010 +a(g706 +g1418 +tp4011 +a(g827 +g958 +tp4012 +a(g629 +VSClo +p4013 +tp4014 +a(g827 +V +p4015 +tp4016 +a(g794 +Vof +p4017 +tp4018 +a(g827 +g958 +tp4019 +a(g436 +VSpine +p4020 +tp4021 +a(g827 +g958 +tp4022 +a(g436 +g1433 +tp4023 +a(g827 +g958 +tp4024 +a(g436 +VSub +p4025 +tp4026 +a(g827 +V +p4027 +tp4028 +a(g8 +V(* +p4029 +tp4030 +a(g8 +g958 +tp4031 +a(g8 +g958 +tp4032 +a(g8 +g958 +tp4033 +a(g8 +g958 +tp4034 +a(g8 +g958 +tp4035 +a(g8 +g1418 +tp4036 +a(g8 +g958 +tp4037 +a(g8 +g976 +tp4038 +a(g8 +g3236 +tp4039 +a(g8 +g1033 +tp4040 +a(g8 +g3239 +tp4041 +a(g8 +g958 +tp4042 +a(g8 +g958 +tp4043 +a(g8 +g958 +tp4044 +a(g8 +g958 +tp4045 +a(g8 +g958 +tp4046 +a(g8 +g958 +tp4047 +a(g8 +g958 +tp4048 +a(g8 +g958 +tp4049 +a(g8 +g958 +tp4050 +a(g8 +g958 +tp4051 +a(g8 +g958 +tp4052 +a(g8 +g958 +tp4053 +a(g8 +g958 +tp4054 +a(g8 +g958 +tp4055 +a(g8 +g958 +tp4056 +a(g8 +g958 +tp4057 +a(g8 +g958 +tp4058 +a(g8 +V*) +p4059 +tp4060 +a(g827 +V\u000a\u000a +p4061 +tp4062 +a(g794 +Vand +p4063 +tp4064 +a(g827 +g958 +tp4065 +a(g749 +VSub +p4066 +tp4067 +a(g827 +g958 +tp4068 +a(g706 +g1119 +tp4069 +a(g827 +V +p4070 +tp4071 +a(g8 +V(* +p4072 +tp4073 +a(g8 +g958 +tp4074 +a(g8 +g1902 +tp4075 +a(g8 +g983 +tp4076 +a(g8 +g1483 +tp4077 +a(g8 +g973 +tp4078 +a(g8 +g1021 +tp4079 +a(g8 +g1040 +tp4080 +a(g8 +g1021 +tp4081 +a(g8 +g964 +tp4082 +a(g8 +g958 +tp4083 +a(g8 +g1033 +tp4084 +a(g8 +g995 +tp4085 +a(g8 +g1074 +tp4086 +a(g8 +g1033 +tp4087 +a(g8 +g964 +tp4088 +a(g8 +g1021 +tp4089 +a(g8 +g964 +tp4090 +a(g8 +g995 +tp4091 +a(g8 +g964 +tp4092 +a(g8 +g1021 +tp4093 +a(g8 +g1000 +tp4094 +a(g8 +g962 +tp4095 +a(g8 +g1033 +tp4096 +a(g8 +g1003 +tp4097 +a(g8 +g958 +tp4098 +a(g8 +g958 +tp4099 +a(g8 +g958 +tp4100 +a(g8 +g958 +tp4101 +a(g8 +V*) +p4102 +tp4103 +a(g827 +V\u000a +p4104 +tp4105 +a(g629 +VShift +p4106 +tp4107 +a(g827 +g958 +tp4108 +a(g794 +Vof +p4109 +tp4110 +a(g827 +g958 +tp4111 +a(g436 +Vint +p4112 +tp4113 +a(g827 +V +p4114 +tp4115 +a(g8 +V(* +p4116 +tp4117 +a(g8 +g958 +tp4118 +a(g8 +g1033 +tp4119 +a(g8 +g958 +tp4120 +a(g8 +g1003 +tp4121 +a(g8 +g1003 +tp4122 +a(g8 +g1119 +tp4123 +a(g8 +g958 +tp4124 +a(g8 +g1866 +tp4125 +a(g8 +g962 +tp4126 +a(g8 +g958 +tp4127 +a(g8 +g958 +tp4128 +a(g8 +g958 +tp4129 +a(g8 +g958 +tp4130 +a(g8 +g958 +tp4131 +a(g8 +g958 +tp4132 +a(g8 +g958 +tp4133 +a(g8 +g958 +tp4134 +a(g8 +g958 +tp4135 +a(g8 +g958 +tp4136 +a(g8 +g958 +tp4137 +a(g8 +g958 +tp4138 +a(g8 +g958 +tp4139 +a(g8 +g958 +tp4140 +a(g8 +g958 +tp4141 +a(g8 +g958 +tp4142 +a(g8 +g958 +tp4143 +a(g8 +g958 +tp4144 +a(g8 +g958 +tp4145 +a(g8 +V*) +p4146 +tp4147 +a(g827 +V\u000a +p4148 +tp4149 +a(g706 +g1418 +tp4150 +a(g827 +g958 +tp4151 +a(g629 +VDot +p4152 +tp4153 +a(g827 +V +p4154 +tp4155 +a(g794 +Vof +p4156 +tp4157 +a(g827 +g958 +tp4158 +a(g436 +VFront +p4159 +tp4160 +a(g827 +g958 +tp4161 +a(g436 +g1433 +tp4162 +a(g827 +g958 +tp4163 +a(g436 +VSub +p4164 +tp4165 +a(g827 +V +p4166 +tp4167 +a(g8 +V(* +p4168 +tp4169 +a(g8 +g958 +tp4170 +a(g8 +g958 +tp4171 +a(g8 +g958 +tp4172 +a(g8 +g958 +tp4173 +a(g8 +g958 +tp4174 +a(g8 +g1418 +tp4175 +a(g8 +g958 +tp4176 +a(g8 +g1006 +tp4177 +a(g8 +g964 +tp4178 +a(g8 +g1392 +tp4179 +a(g8 +g1033 +tp4180 +a(g8 +g958 +tp4181 +a(g8 +g958 +tp4182 +a(g8 +g958 +tp4183 +a(g8 +g958 +tp4184 +a(g8 +g958 +tp4185 +a(g8 +g958 +tp4186 +a(g8 +g958 +tp4187 +a(g8 +g958 +tp4188 +a(g8 +g958 +tp4189 +a(g8 +g958 +tp4190 +a(g8 +g958 +tp4191 +a(g8 +g958 +tp4192 +a(g8 +g958 +tp4193 +a(g8 +g958 +tp4194 +a(g8 +g958 +tp4195 +a(g8 +g958 +tp4196 +a(g8 +g958 +tp4197 +a(g8 +V*) +p4198 +tp4199 +a(g827 +V\u000a\u000a +p4200 +tp4201 +a(g794 +Vand +p4202 +tp4203 +a(g827 +g958 +tp4204 +a(g749 +VFront +p4205 +tp4206 +a(g827 +g958 +tp4207 +a(g706 +g1119 +tp4208 +a(g827 +V +p4209 +tp4210 +a(g8 +V(* +p4211 +tp4212 +a(g8 +g958 +tp4213 +a(g8 +g1006 +tp4214 +a(g8 +g968 +tp4215 +a(g8 +g1000 +tp4216 +a(g8 +g962 +tp4217 +a(g8 +g964 +tp4218 +a(g8 +g1033 +tp4219 +a(g8 +g1003 +tp4220 +a(g8 +g958 +tp4221 +a(g8 +g958 +tp4222 +a(g8 +g958 +tp4223 +a(g8 +g958 +tp4224 +a(g8 +g958 +tp4225 +a(g8 +g958 +tp4226 +a(g8 +g958 +tp4227 +a(g8 +g958 +tp4228 +a(g8 +g958 +tp4229 +a(g8 +g958 +tp4230 +a(g8 +g958 +tp4231 +a(g8 +g958 +tp4232 +a(g8 +g958 +tp4233 +a(g8 +g958 +tp4234 +a(g8 +g958 +tp4235 +a(g8 +g958 +tp4236 +a(g8 +g958 +tp4237 +a(g8 +g958 +tp4238 +a(g8 +g958 +tp4239 +a(g8 +g958 +tp4240 +a(g8 +V*) +p4241 +tp4242 +a(g827 +V\u000a +p4243 +tp4244 +a(g629 +VIdx +p4245 +tp4246 +a(g827 +g958 +tp4247 +a(g794 +Vof +p4248 +tp4249 +a(g827 +g958 +tp4250 +a(g436 +Vint +p4251 +tp4252 +a(g827 +V +p4253 +tp4254 +a(g8 +V(* +p4255 +tp4256 +a(g8 +g958 +tp4257 +a(g8 +g1006 +tp4258 +a(g8 +g964 +tp4259 +a(g8 +g958 +tp4260 +a(g8 +g1003 +tp4261 +a(g8 +g1003 +tp4262 +a(g8 +g1119 +tp4263 +a(g8 +g958 +tp4264 +a(g8 +g1011 +tp4265 +a(g8 +g958 +tp4266 +a(g8 +g958 +tp4267 +a(g8 +g958 +tp4268 +a(g8 +g958 +tp4269 +a(g8 +g958 +tp4270 +a(g8 +g958 +tp4271 +a(g8 +g958 +tp4272 +a(g8 +g958 +tp4273 +a(g8 +g958 +tp4274 +a(g8 +g958 +tp4275 +a(g8 +g958 +tp4276 +a(g8 +g958 +tp4277 +a(g8 +g958 +tp4278 +a(g8 +g958 +tp4279 +a(g8 +g958 +tp4280 +a(g8 +g958 +tp4281 +a(g8 +g958 +tp4282 +a(g8 +g958 +tp4283 +a(g8 +g958 +tp4284 +a(g8 +V*) +p4285 +tp4286 +a(g827 +V\u000a +p4287 +tp4288 +a(g706 +g1418 +tp4289 +a(g827 +g958 +tp4290 +a(g629 +VExp +p4291 +tp4292 +a(g827 +g958 +tp4293 +a(g794 +Vof +p4294 +tp4295 +a(g827 +g958 +tp4296 +a(g436 +VExp +p4297 +tp4298 +a(g827 +V +p4299 +tp4300 +a(g8 +V(* +p4301 +tp4302 +a(g8 +g958 +tp4303 +a(g8 +g958 +tp4304 +a(g8 +g958 +tp4305 +a(g8 +g958 +tp4306 +a(g8 +g958 +tp4307 +a(g8 +g1418 +tp4308 +a(g8 +g958 +tp4309 +a(g8 +g2708 +tp4310 +a(g8 +g958 +tp4311 +a(g8 +g958 +tp4312 +a(g8 +g958 +tp4313 +a(g8 +g958 +tp4314 +a(g8 +g958 +tp4315 +a(g8 +g958 +tp4316 +a(g8 +g958 +tp4317 +a(g8 +g958 +tp4318 +a(g8 +g958 +tp4319 +a(g8 +g958 +tp4320 +a(g8 +g958 +tp4321 +a(g8 +g958 +tp4322 +a(g8 +g958 +tp4323 +a(g8 +g958 +tp4324 +a(g8 +g958 +tp4325 +a(g8 +g958 +tp4326 +a(g8 +g958 +tp4327 +a(g8 +g958 +tp4328 +a(g8 +g958 +tp4329 +a(g8 +g958 +tp4330 +a(g8 +V*) +p4331 +tp4332 +a(g827 +V\u000a +p4333 +tp4334 +a(g706 +g1418 +tp4335 +a(g827 +g958 +tp4336 +a(g629 +VAxp +p4337 +tp4338 +a(g827 +g958 +tp4339 +a(g794 +Vof +p4340 +tp4341 +a(g827 +g958 +tp4342 +a(g436 +VExp +p4343 +tp4344 +a(g827 +V +p4345 +tp4346 +a(g8 +V(* +p4347 +tp4348 +a(g8 +g958 +tp4349 +a(g8 +g958 +tp4350 +a(g8 +g958 +tp4351 +a(g8 +g958 +tp4352 +a(g8 +g958 +tp4353 +a(g8 +g1418 +tp4354 +a(g8 +g958 +tp4355 +a(g8 +g2708 +tp4356 +a(g8 +g958 +tp4357 +a(g8 +g1098 +tp4358 +a(g8 +g971 +tp4359 +a(g8 +g1033 +tp4360 +a(g8 +g1033 +tp4361 +a(g8 +g1021 +tp4362 +a(g8 +g1024 +tp4363 +a(g8 +g962 +tp4364 +a(g8 +g971 +tp4365 +a(g8 +g1074 +tp4366 +a(g8 +g973 +tp4367 +a(g8 +g966 +tp4368 +a(g8 +g1110 +tp4369 +a(g8 +g958 +tp4370 +a(g8 +g958 +tp4371 +a(g8 +g958 +tp4372 +a(g8 +g958 +tp4373 +a(g8 +g958 +tp4374 +a(g8 +g958 +tp4375 +a(g8 +g958 +tp4376 +a(g8 +V*) +p4377 +tp4378 +a(g827 +V\u000a +p4379 +tp4380 +a(g706 +g1418 +tp4381 +a(g827 +g958 +tp4382 +a(g629 +VBlock +p4383 +tp4384 +a(g827 +g958 +tp4385 +a(g794 +Vof +p4386 +tp4387 +a(g827 +g958 +tp4388 +a(g436 +VBlock +p4389 +tp4390 +a(g827 +V +p4391 +tp4392 +a(g8 +V(* +p4393 +tp4394 +a(g8 +g958 +tp4395 +a(g8 +g958 +tp4396 +a(g8 +g958 +tp4397 +a(g8 +g958 +tp4398 +a(g8 +g958 +tp4399 +a(g8 +g1418 +tp4400 +a(g8 +g958 +tp4401 +a(g8 +g1779 +tp4402 +a(g8 +g983 +tp4403 +a(g8 +g958 +tp4404 +a(g8 +g958 +tp4405 +a(g8 +g958 +tp4406 +a(g8 +g958 +tp4407 +a(g8 +g958 +tp4408 +a(g8 +g958 +tp4409 +a(g8 +g958 +tp4410 +a(g8 +g958 +tp4411 +a(g8 +g958 +tp4412 +a(g8 +g958 +tp4413 +a(g8 +g958 +tp4414 +a(g8 +g958 +tp4415 +a(g8 +g958 +tp4416 +a(g8 +g958 +tp4417 +a(g8 +g958 +tp4418 +a(g8 +g958 +tp4419 +a(g8 +g958 +tp4420 +a(g8 +g958 +tp4421 +a(g8 +g958 +tp4422 +a(g8 +V*) +p4423 +tp4424 +a(g827 +V\u000a +p4425 +tp4426 +a(g706 +g1418 +tp4427 +a(g827 +g958 +tp4428 +a(g629 +VUndef +p4429 +tp4430 +a(g827 +V +p4431 +tp4432 +a(g8 +V(* +p4433 +tp4434 +a(g8 +g958 +tp4435 +a(g8 +g958 +tp4436 +a(g8 +g958 +tp4437 +a(g8 +g958 +tp4438 +a(g8 +g958 +tp4439 +a(g8 +g1418 +tp4440 +a(g8 +g958 +tp4441 +a(g8 +g1779 +tp4442 +a(g8 +g958 +tp4443 +a(g8 +g958 +tp4444 +a(g8 +g958 +tp4445 +a(g8 +g958 +tp4446 +a(g8 +g958 +tp4447 +a(g8 +g958 +tp4448 +a(g8 +g958 +tp4449 +a(g8 +g958 +tp4450 +a(g8 +g958 +tp4451 +a(g8 +g958 +tp4452 +a(g8 +g958 +tp4453 +a(g8 +g958 +tp4454 +a(g8 +g958 +tp4455 +a(g8 +g958 +tp4456 +a(g8 +g958 +tp4457 +a(g8 +g958 +tp4458 +a(g8 +g958 +tp4459 +a(g8 +g958 +tp4460 +a(g8 +g958 +tp4461 +a(g8 +g958 +tp4462 +a(g8 +V*) +p4463 +tp4464 +a(g827 +V\u000a\u000a +p4465 +tp4466 +a(g794 +Vand +p4467 +tp4468 +a(g827 +g958 +tp4469 +a(g749 +VDec +p4470 +tp4471 +a(g827 +g958 +tp4472 +a(g706 +g1119 +tp4473 +a(g827 +V +p4474 +tp4475 +a(g8 +V(* +p4476 +tp4477 +a(g8 +g958 +tp4478 +a(g8 +g1452 +tp4479 +a(g8 +g966 +tp4480 +a(g8 +g1040 +tp4481 +a(g8 +g973 +tp4482 +a(g8 +g971 +tp4483 +a(g8 +g968 +tp4484 +a(g8 +g971 +tp4485 +a(g8 +g964 +tp4486 +a(g8 +g1021 +tp4487 +a(g8 +g1000 +tp4488 +a(g8 +g962 +tp4489 +a(g8 +g1033 +tp4490 +a(g8 +g1003 +tp4491 +a(g8 +g958 +tp4492 +a(g8 +g958 +tp4493 +a(g8 +g958 +tp4494 +a(g8 +g958 +tp4495 +a(g8 +g958 +tp4496 +a(g8 +g958 +tp4497 +a(g8 +g958 +tp4498 +a(g8 +g958 +tp4499 +a(g8 +g958 +tp4500 +a(g8 +g958 +tp4501 +a(g8 +g958 +tp4502 +a(g8 +g958 +tp4503 +a(g8 +g958 +tp4504 +a(g8 +g958 +tp4505 +a(g8 +V*) +p4506 +tp4507 +a(g827 +V\u000a +p4508 +tp4509 +a(g629 +VDec +p4510 +tp4511 +a(g827 +g958 +tp4512 +a(g794 +Vof +p4513 +tp4514 +a(g827 +g958 +tp4515 +a(g436 +Vname +p4516 +tp4517 +a(g827 +g958 +tp4518 +a(g436 +Voption +p4519 +tp4520 +a(g827 +g958 +tp4521 +a(g436 +g1433 +tp4522 +a(g827 +g958 +tp4523 +a(g436 +VExp +p4524 +tp4525 +a(g827 +V +p4526 +tp4527 +a(g8 +V(* +p4528 +tp4529 +a(g8 +g958 +tp4530 +a(g8 +g1452 +tp4531 +a(g8 +g958 +tp4532 +a(g8 +g1003 +tp4533 +a(g8 +g1003 +tp4534 +a(g8 +g1119 +tp4535 +a(g8 +g958 +tp4536 +a(g8 +g983 +tp4537 +a(g8 +g1003 +tp4538 +a(g8 +g1081 +tp4539 +a(g8 +g958 +tp4540 +a(g8 +g958 +tp4541 +a(g8 +g958 +tp4542 +a(g8 +g958 +tp4543 +a(g8 +g958 +tp4544 +a(g8 +g958 +tp4545 +a(g8 +g958 +tp4546 +a(g8 +g958 +tp4547 +a(g8 +g958 +tp4548 +a(g8 +g958 +tp4549 +a(g8 +g958 +tp4550 +a(g8 +g958 +tp4551 +a(g8 +g958 +tp4552 +a(g8 +g958 +tp4553 +a(g8 +g958 +tp4554 +a(g8 +g958 +tp4555 +a(g8 +g958 +tp4556 +a(g8 +g958 +tp4557 +a(g8 +V*) +p4558 +tp4559 +a(g827 +V\u000a +p4560 +tp4561 +a(g706 +g1418 +tp4562 +a(g827 +g958 +tp4563 +a(g629 +VBDec +p4564 +tp4565 +a(g827 +g958 +tp4566 +a(g794 +Vof +p4567 +tp4568 +a(g827 +g958 +tp4569 +a(g436 +Vname +p4570 +tp4571 +a(g827 +g958 +tp4572 +a(g436 +Voption +p4573 +tp4574 +a(g827 +g958 +tp4575 +a(g436 +g1433 +tp4576 +a(g827 +g958 +tp4577 +a(g706 +g1098 +tp4578 +a(g436 +Vcid +p4579 +tp4580 +a(g827 +g958 +tp4581 +a(g436 +g1433 +tp4582 +a(g827 +g958 +tp4583 +a(g436 +VSub +p4584 +tp4585 +a(g706 +g1110 +tp4586 +a(g827 +g2241 +tp4587 +a(g8 +V(* +p4588 +tp4589 +a(g8 +g958 +tp4590 +a(g8 +g958 +tp4591 +a(g8 +g958 +tp4592 +a(g8 +g958 +tp4593 +a(g8 +g958 +tp4594 +a(g8 +g1418 +tp4595 +a(g8 +g958 +tp4596 +a(g8 +g1506 +tp4597 +a(g8 +g1003 +tp4598 +a(g8 +g973 +tp4599 +a(g8 +g3236 +tp4600 +a(g8 +g1033 +tp4601 +a(g8 +g3239 +tp4602 +a(g8 +g958 +tp4603 +a(g8 +g958 +tp4604 +a(g8 +g958 +tp4605 +a(g8 +g958 +tp4606 +a(g8 +g958 +tp4607 +a(g8 +g958 +tp4608 +a(g8 +g958 +tp4609 +a(g8 +g958 +tp4610 +a(g8 +g958 +tp4611 +a(g8 +g958 +tp4612 +a(g8 +g958 +tp4613 +a(g8 +g958 +tp4614 +a(g8 +g958 +tp4615 +a(g8 +g958 +tp4616 +a(g8 +g958 +tp4617 +a(g8 +V*) +p4618 +tp4619 +a(g827 +V\u000a +p4620 +tp4621 +a(g706 +g1418 +tp4622 +a(g827 +g958 +tp4623 +a(g629 +VADec +p4624 +tp4625 +a(g827 +g958 +tp4626 +a(g794 +Vof +p4627 +tp4628 +a(g827 +g958 +tp4629 +a(g436 +Vname +p4630 +tp4631 +a(g827 +g958 +tp4632 +a(g436 +Voption +p4633 +tp4634 +a(g827 +g958 +tp4635 +a(g436 +g1433 +tp4636 +a(g827 +g958 +tp4637 +a(g436 +Vint +p4638 +tp4639 +a(g827 +V +p4640 +tp4641 +a(g8 +V(* +p4642 +tp4643 +a(g8 +g958 +tp4644 +a(g8 +g958 +tp4645 +a(g8 +g958 +tp4646 +a(g8 +g958 +tp4647 +a(g8 +g958 +tp4648 +a(g8 +g1418 +tp4649 +a(g8 +g958 +tp4650 +a(g8 +g1506 +tp4651 +a(g8 +g3236 +tp4652 +a(g8 +g1866 +tp4653 +a(g8 +g1800 +tp4654 +a(g8 +g1062 +tp4655 +a(g8 +g3239 +tp4656 +a(g8 +g958 +tp4657 +a(g8 +g958 +tp4658 +a(g8 +g958 +tp4659 +a(g8 +g958 +tp4660 +a(g8 +g958 +tp4661 +a(g8 +g958 +tp4662 +a(g8 +g958 +tp4663 +a(g8 +g958 +tp4664 +a(g8 +g958 +tp4665 +a(g8 +g958 +tp4666 +a(g8 +g958 +tp4667 +a(g8 +g958 +tp4668 +a(g8 +g958 +tp4669 +a(g8 +g958 +tp4670 +a(g8 +g958 +tp4671 +a(g8 +V*) +p4672 +tp4673 +a(g827 +V\u000a +p4674 +tp4675 +a(g706 +g1418 +tp4676 +a(g827 +g958 +tp4677 +a(g629 +VNDec +p4678 +tp4679 +a(g827 +g958 +tp4680 +a(g794 +Vof +p4681 +tp4682 +a(g827 +g958 +tp4683 +a(g436 +Vname +p4684 +tp4685 +a(g827 +g958 +tp4686 +a(g436 +Voption +p4687 +tp4688 +a(g827 +V\u000a\u000a +p4689 +tp4690 +a(g794 +Vand +p4691 +tp4692 +a(g827 +g958 +tp4693 +a(g749 +VBlock +p4694 +tp4695 +a(g827 +g958 +tp4696 +a(g706 +g1119 +tp4697 +a(g827 +V +p4698 +tp4699 +a(g8 +V(* +p4700 +tp4701 +a(g8 +g958 +tp4702 +a(g8 +g1918 +tp4703 +a(g8 +g973 +tp4704 +a(g8 +g1000 +tp4705 +a(g8 +g1040 +tp4706 +a(g8 +g1011 +tp4707 +a(g8 +g1033 +tp4708 +a(g8 +g1003 +tp4709 +a(g8 +g958 +tp4710 +a(g8 +g958 +tp4711 +a(g8 +g958 +tp4712 +a(g8 +g958 +tp4713 +a(g8 +g958 +tp4714 +a(g8 +g958 +tp4715 +a(g8 +g958 +tp4716 +a(g8 +g958 +tp4717 +a(g8 +g958 +tp4718 +a(g8 +g958 +tp4719 +a(g8 +g958 +tp4720 +a(g8 +g958 +tp4721 +a(g8 +g958 +tp4722 +a(g8 +g958 +tp4723 +a(g8 +g958 +tp4724 +a(g8 +g958 +tp4725 +a(g8 +g958 +tp4726 +a(g8 +g958 +tp4727 +a(g8 +g958 +tp4728 +a(g8 +g958 +tp4729 +a(g8 +V*) +p4730 +tp4731 +a(g827 +V\u000a +p4732 +tp4733 +a(g629 +VBidx +p4734 +tp4735 +a(g827 +g958 +tp4736 +a(g794 +Vof +p4737 +tp4738 +a(g827 +g958 +tp4739 +a(g436 +Vint +p4740 +tp4741 +a(g827 +V +p4742 +tp4743 +a(g8 +V(* +p4744 +tp4745 +a(g8 +g958 +tp4746 +a(g8 +g1074 +tp4747 +a(g8 +g958 +tp4748 +a(g8 +g1003 +tp4749 +a(g8 +g1003 +tp4750 +a(g8 +g1119 +tp4751 +a(g8 +g958 +tp4752 +a(g8 +g1506 +tp4753 +a(g8 +g958 +tp4754 +a(g8 +g958 +tp4755 +a(g8 +g958 +tp4756 +a(g8 +g958 +tp4757 +a(g8 +g958 +tp4758 +a(g8 +g958 +tp4759 +a(g8 +g958 +tp4760 +a(g8 +g958 +tp4761 +a(g8 +g958 +tp4762 +a(g8 +g958 +tp4763 +a(g8 +g958 +tp4764 +a(g8 +g958 +tp4765 +a(g8 +g958 +tp4766 +a(g8 +g958 +tp4767 +a(g8 +g958 +tp4768 +a(g8 +g958 +tp4769 +a(g8 +g958 +tp4770 +a(g8 +g958 +tp4771 +a(g8 +g958 +tp4772 +a(g8 +g958 +tp4773 +a(g8 +V*) +p4774 +tp4775 +a(g827 +V\u000a +p4776 +tp4777 +a(g706 +g1418 +tp4778 +a(g827 +g958 +tp4779 +a(g629 +VLVar +p4780 +tp4781 +a(g827 +g958 +tp4782 +a(g794 +Vof +p4783 +tp4784 +a(g827 +g958 +tp4785 +a(g436 +VBlock +p4786 +tp4787 +a(g827 +g958 +tp4788 +a(g436 +Voption +p4789 +tp4790 +a(g827 +g958 +tp4791 +a(g436 +Vref +p4792 +tp4793 +a(g827 +g958 +tp4794 +a(g436 +g1433 +tp4795 +a(g827 +g958 +tp4796 +a(g436 +VSub +p4797 +tp4798 +a(g827 +g958 +tp4799 +a(g436 +g1433 +tp4800 +a(g827 +g958 +tp4801 +a(g706 +g1098 +tp4802 +a(g436 +Vcid +p4803 +tp4804 +a(g827 +g958 +tp4805 +a(g436 +g1433 +tp4806 +a(g827 +g958 +tp4807 +a(g436 +VSub +p4808 +tp4809 +a(g706 +g1110 +tp4810 +a(g827 +V\u000a +p4811 +tp4812 +a(g8 +V(* +p4813 +tp4814 +a(g8 +g958 +tp4815 +a(g8 +g958 +tp4816 +a(g8 +g958 +tp4817 +a(g8 +g958 +tp4818 +a(g8 +g958 +tp4819 +a(g8 +g1418 +tp4820 +a(g8 +g958 +tp4821 +a(g8 +g1610 +tp4822 +a(g8 +g1098 +tp4823 +a(g8 +g973 +tp4824 +a(g8 +g3236 +tp4825 +a(g8 +g1866 +tp4826 +a(g8 +g1011 +tp4827 +a(g8 +g3239 +tp4828 +a(g8 +g1026 +tp4829 +a(g8 +g964 +tp4830 +a(g8 +g1110 +tp4831 +a(g8 +g958 +tp4832 +a(g8 +g958 +tp4833 +a(g8 +g958 +tp4834 +a(g8 +g958 +tp4835 +a(g8 +g958 +tp4836 +a(g8 +g958 +tp4837 +a(g8 +g958 +tp4838 +a(g8 +g958 +tp4839 +a(g8 +g958 +tp4840 +a(g8 +g958 +tp4841 +a(g8 +g958 +tp4842 +a(g8 +V*) +p4843 +tp4844 +a(g827 +V\u000a +p4845 +tp4846 +a(g706 +g1418 +tp4847 +a(g827 +g958 +tp4848 +a(g629 +VInst +p4849 +tp4850 +a(g827 +g958 +tp4851 +a(g794 +Vof +p4852 +tp4853 +a(g827 +g958 +tp4854 +a(g436 +VExp +p4855 +tp4856 +a(g827 +g958 +tp4857 +a(g436 +Vlist +p4858 +tp4859 +a(g827 +V +p4860 +tp4861 +a(g8 +V(* +p4862 +tp4863 +a(g8 +g958 +tp4864 +a(g8 +g958 +tp4865 +a(g8 +g958 +tp4866 +a(g8 +g958 +tp4867 +a(g8 +g958 +tp4868 +a(g8 +g1418 +tp4869 +a(g8 +g958 +tp4870 +a(g8 +g995 +tp4871 +a(g8 +g1687 +tp4872 +a(g8 +g1026 +tp4873 +a(g8 +g958 +tp4874 +a(g8 +g1392 +tp4875 +a(g8 +g1392 +tp4876 +a(g8 +g1392 +tp4877 +a(g8 +g1026 +tp4878 +a(g8 +g958 +tp4879 +a(g8 +g2708 +tp4880 +a(g8 +g962 +tp4881 +a(g8 +g958 +tp4882 +a(g8 +g958 +tp4883 +a(g8 +g958 +tp4884 +a(g8 +g958 +tp4885 +a(g8 +g958 +tp4886 +a(g8 +g958 +tp4887 +a(g8 +g958 +tp4888 +a(g8 +g958 +tp4889 +a(g8 +g958 +tp4890 +a(g8 +g958 +tp4891 +a(g8 +V*) +p4892 +tp4893 +a(g827 +V\u000a\u000a\u000a +p4894 +tp4895 +a(g8 +V(* +p4896 +tp4897 +a(g8 +g958 +tp4898 +a(g8 +g1029 +tp4899 +a(g8 +g1000 +tp4900 +a(g8 +g962 +tp4901 +a(g8 +g1033 +tp4902 +a(g8 +g964 +tp4903 +a(g8 +g968 +tp4904 +a(g8 +g971 +tp4905 +a(g8 +g1021 +tp4906 +a(g8 +g962 +tp4907 +a(g8 +g964 +tp4908 +a(g8 +g1033 +tp4909 +a(g8 +g958 +tp4910 +a(g8 +V*) +p4911 +tp4912 +a(g827 +V\u000a\u000a +p4913 +tp4914 +a(g794 +Vand +p4915 +tp4916 +a(g827 +g958 +tp4917 +a(g749 +VCnstr +p4918 +tp4919 +a(g827 +g958 +tp4920 +a(g706 +g1119 +tp4921 +a(g827 +V +p4922 +tp4923 +a(g8 +V(* +p4924 +tp4925 +a(g8 +g958 +tp4926 +a(g8 +g1029 +tp4927 +a(g8 +g1000 +tp4928 +a(g8 +g962 +tp4929 +a(g8 +g1033 +tp4930 +a(g8 +g964 +tp4931 +a(g8 +g968 +tp4932 +a(g8 +g971 +tp4933 +a(g8 +g1021 +tp4934 +a(g8 +g962 +tp4935 +a(g8 +g964 +tp4936 +a(g8 +g1003 +tp4937 +a(g8 +g958 +tp4938 +a(g8 +g958 +tp4939 +a(g8 +g958 +tp4940 +a(g8 +g958 +tp4941 +a(g8 +g958 +tp4942 +a(g8 +g958 +tp4943 +a(g8 +g958 +tp4944 +a(g8 +g958 +tp4945 +a(g8 +g958 +tp4946 +a(g8 +g958 +tp4947 +a(g8 +g958 +tp4948 +a(g8 +g958 +tp4949 +a(g8 +g958 +tp4950 +a(g8 +g958 +tp4951 +a(g8 +g958 +tp4952 +a(g8 +g958 +tp4953 +a(g8 +V*) +p4954 +tp4955 +a(g827 +V\u000a +p4956 +tp4957 +a(g629 +VSolved +p4958 +tp4959 +a(g827 +V +p4960 +tp4961 +a(g8 +V(* +p4962 +tp4963 +a(g8 +g958 +tp4964 +a(g8 +g1029 +tp4965 +a(g8 +g962 +tp4966 +a(g8 +g1033 +tp4967 +a(g8 +g964 +tp4968 +a(g8 +g968 +tp4969 +a(g8 +g958 +tp4970 +a(g8 +g1003 +tp4971 +a(g8 +g1003 +tp4972 +a(g8 +g1119 +tp4973 +a(g8 +g958 +tp4974 +a(g8 +g1033 +tp4975 +a(g8 +g1000 +tp4976 +a(g8 +g973 +tp4977 +a(g8 +g1506 +tp4978 +a(g8 +g966 +tp4979 +a(g8 +g1062 +tp4980 +a(g8 +g958 +tp4981 +a(g8 +g958 +tp4982 +a(g8 +g958 +tp4983 +a(g8 +g958 +tp4984 +a(g8 +g958 +tp4985 +a(g8 +g958 +tp4986 +a(g8 +g958 +tp4987 +a(g8 +g958 +tp4988 +a(g8 +g958 +tp4989 +a(g8 +g958 +tp4990 +a(g8 +g958 +tp4991 +a(g8 +V*) +p4992 +tp4993 +a(g827 +V\u000a +p4994 +tp4995 +a(g706 +g1418 +tp4996 +a(g827 +g958 +tp4997 +a(g629 +VEqn +p4998 +tp4999 +a(g827 +V +p5000 +tp5001 +a(g794 +Vof +p5002 +tp5003 +a(g827 +g958 +tp5004 +a(g436 +VDec +p5005 +tp5006 +a(g827 +g958 +tp5007 +a(g436 +VCtx +p5008 +tp5009 +a(g827 +g958 +tp5010 +a(g436 +g1433 +tp5011 +a(g827 +g958 +tp5012 +a(g436 +VExp +p5013 +tp5014 +a(g827 +g958 +tp5015 +a(g436 +g1433 +tp5016 +a(g827 +g958 +tp5017 +a(g436 +VExp +p5018 +tp5019 +a(g827 +V +p5020 +tp5021 +a(g8 +V(* +p5022 +tp5023 +a(g8 +g958 +tp5024 +a(g8 +g958 +tp5025 +a(g8 +g958 +tp5026 +a(g8 +g958 +tp5027 +a(g8 +g958 +tp5028 +a(g8 +g958 +tp5029 +a(g8 +g958 +tp5030 +a(g8 +g958 +tp5031 +a(g8 +g958 +tp5032 +a(g8 +g1418 +tp5033 +a(g8 +g958 +tp5034 +a(g8 +g1385 +tp5035 +a(g8 +g1418 +tp5036 +a(g8 +g1800 +tp5037 +a(g8 +g1098 +tp5038 +a(g8 +g2708 +tp5039 +a(g8 +g1687 +tp5040 +a(g8 +g958 +tp5041 +a(g8 +g1119 +tp5042 +a(g8 +g1119 +tp5043 +a(g8 +g958 +tp5044 +a(g8 +g2708 +tp5045 +a(g8 +V2 +p5046 +tp5047 +a(g8 +g1110 +tp5048 +a(g8 +g958 +tp5049 +a(g8 +g958 +tp5050 +a(g8 +g958 +tp5051 +a(g8 +g958 +tp5052 +a(g8 +V*) +p5053 +tp5054 +a(g827 +V\u000a +p5055 +tp5056 +a(g706 +g1418 +tp5057 +a(g827 +g958 +tp5058 +a(g629 +VFgnCnstr +p5059 +tp5060 +a(g827 +g958 +tp5061 +a(g794 +Vof +p5062 +tp5063 +a(g827 +g958 +tp5064 +a(g436 +Vcsid +p5065 +tp5066 +a(g827 +g958 +tp5067 +a(g436 +g1433 +tp5068 +a(g827 +g958 +tp5069 +a(g436 +VFgnCnstr +p5070 +tp5071 +a(g827 +V +p5072 +tp5073 +a(g8 +V(* +p5074 +tp5075 +a(g8 +g958 +tp5076 +a(g8 +g958 +tp5077 +a(g8 +g958 +tp5078 +a(g8 +g958 +tp5079 +a(g8 +g958 +tp5080 +a(g8 +g958 +tp5081 +a(g8 +g958 +tp5082 +a(g8 +g958 +tp5083 +a(g8 +g958 +tp5084 +a(g8 +g1418 +tp5085 +a(g8 +g958 +tp5086 +a(g8 +g1098 +tp5087 +a(g8 +g1016 +tp5088 +a(g8 +g1000 +tp5089 +a(g8 +g968 +tp5090 +a(g8 +g966 +tp5091 +a(g8 +g1021 +tp5092 +a(g8 +g1024 +tp5093 +a(g8 +g962 +tp5094 +a(g8 +g1110 +tp5095 +a(g8 +g958 +tp5096 +a(g8 +g958 +tp5097 +a(g8 +g958 +tp5098 +a(g8 +g958 +tp5099 +a(g8 +g958 +tp5100 +a(g8 +g958 +tp5101 +a(g8 +g958 +tp5102 +a(g8 +g958 +tp5103 +a(g8 +V*) +p5104 +tp5105 +a(g827 +V\u000a\u000a +p5106 +tp5107 +a(g794 +Vand +p5108 +tp5109 +a(g827 +g958 +tp5110 +a(g749 +VStatus +p5111 +tp5112 +a(g827 +g958 +tp5113 +a(g706 +g1119 +tp5114 +a(g827 +V +p5115 +tp5116 +a(g8 +V(* +p5117 +tp5118 +a(g8 +g958 +tp5119 +a(g8 +g976 +tp5120 +a(g8 +g964 +tp5121 +a(g8 +g971 +tp5122 +a(g8 +g964 +tp5123 +a(g8 +g995 +tp5124 +a(g8 +g1033 +tp5125 +a(g8 +g958 +tp5126 +a(g8 +g1000 +tp5127 +a(g8 +g1016 +tp5128 +a(g8 +g958 +tp5129 +a(g8 +g971 +tp5130 +a(g8 +g958 +tp5131 +a(g8 +g1040 +tp5132 +a(g8 +g1000 +tp5133 +a(g8 +g962 +tp5134 +a(g8 +g1033 +tp5135 +a(g8 +g964 +tp5136 +a(g8 +g971 +tp5137 +a(g8 +g962 +tp5138 +a(g8 +g964 +tp5139 +a(g8 +g1003 +tp5140 +a(g8 +g958 +tp5141 +a(g8 +g958 +tp5142 +a(g8 +g958 +tp5143 +a(g8 +g958 +tp5144 +a(g8 +g958 +tp5145 +a(g8 +g958 +tp5146 +a(g8 +V*) +p5147 +tp5148 +a(g827 +V\u000a +p5149 +tp5150 +a(g629 +VNormal +p5151 +tp5152 +a(g827 +V +p5153 +tp5154 +a(g8 +V(* +p5155 +tp5156 +a(g8 +g958 +tp5157 +a(g8 +g958 +tp5158 +a(g8 +g958 +tp5159 +a(g8 +g1021 +tp5160 +a(g8 +g962 +tp5161 +a(g8 +g966 +tp5162 +a(g8 +g968 +tp5163 +a(g8 +g964 +tp5164 +a(g8 +g958 +tp5165 +a(g8 +g958 +tp5166 +a(g8 +g958 +tp5167 +a(g8 +g958 +tp5168 +a(g8 +g958 +tp5169 +a(g8 +g958 +tp5170 +a(g8 +g958 +tp5171 +a(g8 +g958 +tp5172 +a(g8 +g958 +tp5173 +a(g8 +g958 +tp5174 +a(g8 +g958 +tp5175 +a(g8 +g958 +tp5176 +a(g8 +g958 +tp5177 +a(g8 +g958 +tp5178 +a(g8 +g958 +tp5179 +a(g8 +g958 +tp5180 +a(g8 +g958 +tp5181 +a(g8 +g958 +tp5182 +a(g8 +g958 +tp5183 +a(g8 +g958 +tp5184 +a(g8 +V*) +p5185 +tp5186 +a(g827 +V\u000a +p5187 +tp5188 +a(g706 +g1418 +tp5189 +a(g827 +g958 +tp5190 +a(g629 +VConstraint +p5191 +tp5192 +a(g827 +g958 +tp5193 +a(g794 +Vof +p5194 +tp5195 +a(g827 +g958 +tp5196 +a(g436 +Vcsid +p5197 +tp5198 +a(g827 +g958 +tp5199 +a(g436 +g1433 +tp5200 +a(g827 +g958 +tp5201 +a(g706 +g1098 +tp5202 +a(g436 +VDec +p5203 +tp5204 +a(g827 +g958 +tp5205 +a(g436 +VCtx +p5206 +tp5207 +a(g827 +g958 +tp5208 +a(g436 +g1433 +tp5209 +a(g827 +g958 +tp5210 +a(g436 +VSpine +p5211 +tp5212 +a(g827 +g958 +tp5213 +a(g436 +g1433 +tp5214 +a(g827 +g958 +tp5215 +a(g436 +Vint +p5216 +tp5217 +a(g827 +g958 +tp5218 +a(g706 +V-> +p5219 +tp5220 +a(g827 +g958 +tp5221 +a(g436 +VExp +p5222 +tp5223 +a(g827 +g958 +tp5224 +a(g436 +Voption +p5225 +tp5226 +a(g706 +g1110 +tp5227 +a(g827 +V\u000a +p5228 +tp5229 +a(g8 +V(* +p5230 +tp5231 +a(g8 +g958 +tp5232 +a(g8 +g958 +tp5233 +a(g8 +g958 +tp5234 +a(g8 +g971 +tp5235 +a(g8 +g1040 +tp5236 +a(g8 +g964 +tp5237 +a(g8 +g1033 +tp5238 +a(g8 +g958 +tp5239 +a(g8 +g971 +tp5240 +a(g8 +g1033 +tp5241 +a(g8 +g958 +tp5242 +a(g8 +g1040 +tp5243 +a(g8 +g1000 +tp5244 +a(g8 +g962 +tp5245 +a(g8 +g1033 +tp5246 +a(g8 +g964 +tp5247 +a(g8 +g968 +tp5248 +a(g8 +g971 +tp5249 +a(g8 +g1021 +tp5250 +a(g8 +g962 +tp5251 +a(g8 +g964 +tp5252 +a(g8 +g958 +tp5253 +a(g8 +g958 +tp5254 +a(g8 +g958 +tp5255 +a(g8 +g958 +tp5256 +a(g8 +g958 +tp5257 +a(g8 +g958 +tp5258 +a(g8 +g958 +tp5259 +a(g8 +V*) +p5260 +tp5261 +a(g827 +V\u000a +p5262 +tp5263 +a(g706 +g1418 +tp5264 +a(g827 +g958 +tp5265 +a(g629 +VForeign +p5266 +tp5267 +a(g827 +g958 +tp5268 +a(g794 +Vof +p5269 +tp5270 +a(g827 +g958 +tp5271 +a(g436 +Vcsid +p5272 +tp5273 +a(g827 +g958 +tp5274 +a(g436 +g1433 +tp5275 +a(g827 +g958 +tp5276 +a(g706 +g1098 +tp5277 +a(g436 +VSpine +p5278 +tp5279 +a(g827 +g958 +tp5280 +a(g706 +V-> +p5281 +tp5282 +a(g827 +g958 +tp5283 +a(g436 +VExp +p5284 +tp5285 +a(g706 +g1110 +tp5286 +a(g827 +V +p5287 +tp5288 +a(g8 +V(* +p5289 +tp5290 +a(g8 +g958 +tp5291 +a(g8 +g958 +tp5292 +a(g8 +g958 +tp5293 +a(g8 +g1021 +tp5294 +a(g8 +g1033 +tp5295 +a(g8 +g958 +tp5296 +a(g8 +g1040 +tp5297 +a(g8 +g1000 +tp5298 +a(g8 +g962 +tp5299 +a(g8 +g1506 +tp5300 +a(g8 +g966 +tp5301 +a(g8 +g968 +tp5302 +a(g8 +g964 +tp5303 +a(g8 +g966 +tp5304 +a(g8 +g1062 +tp5305 +a(g8 +g958 +tp5306 +a(g8 +g964 +tp5307 +a(g8 +g1000 +tp5308 +a(g8 +g958 +tp5309 +a(g8 +g1016 +tp5310 +a(g8 +g1000 +tp5311 +a(g8 +g968 +tp5312 +a(g8 +g966 +tp5313 +a(g8 +g1021 +tp5314 +a(g8 +g1024 +tp5315 +a(g8 +g962 +tp5316 +a(g8 +g958 +tp5317 +a(g8 +g958 +tp5318 +a(g8 +V*) +p5319 +tp5320 +a(g827 +V\u000a\u000a +p5321 +tp5322 +a(g794 +Vand +p5323 +tp5324 +a(g827 +g958 +tp5325 +a(g749 +VFgnUnify +p5326 +tp5327 +a(g827 +g958 +tp5328 +a(g706 +g1119 +tp5329 +a(g827 +V +p5330 +tp5331 +a(g8 +V(* +p5332 +tp5333 +a(g8 +g958 +tp5334 +a(g8 +g1071 +tp5335 +a(g8 +g966 +tp5336 +a(g8 +g1033 +tp5337 +a(g8 +g995 +tp5338 +a(g8 +g973 +tp5339 +a(g8 +g964 +tp5340 +a(g8 +g958 +tp5341 +a(g8 +g1000 +tp5342 +a(g8 +g1016 +tp5343 +a(g8 +g958 +tp5344 +a(g8 +g1016 +tp5345 +a(g8 +g1000 +tp5346 +a(g8 +g968 +tp5347 +a(g8 +g966 +tp5348 +a(g8 +g1021 +tp5349 +a(g8 +g1024 +tp5350 +a(g8 +g962 +tp5351 +a(g8 +g958 +tp5352 +a(g8 +g995 +tp5353 +a(g8 +g962 +tp5354 +a(g8 +g1021 +tp5355 +a(g8 +g1016 +tp5356 +a(g8 +g978 +tp5357 +a(g8 +g958 +tp5358 +a(g8 +g958 +tp5359 +a(g8 +g958 +tp5360 +a(g8 +g958 +tp5361 +a(g8 +V*) +p5362 +tp5363 +a(g827 +V\u000a +p5364 +tp5365 +a(g629 +VSucceed +p5366 +tp5367 +a(g827 +g958 +tp5368 +a(g794 +Vof +p5369 +tp5370 +a(g827 +g958 +tp5371 +a(g436 +VFgnUnifyResidual +p5372 +tp5373 +a(g827 +g958 +tp5374 +a(g436 +Vlist +p5375 +tp5376 +a(g827 +V\u000a +p5377 +tp5378 +a(g8 +V(* +p5379 +tp5380 +a(g8 +g958 +tp5381 +a(g8 +g1033 +tp5382 +a(g8 +g995 +tp5383 +a(g8 +g1040 +tp5384 +a(g8 +g1040 +tp5385 +a(g8 +g966 +tp5386 +a(g8 +g966 +tp5387 +a(g8 +g1062 +tp5388 +a(g8 +g958 +tp5389 +a(g8 +g1704 +tp5390 +a(g8 +g1021 +tp5391 +a(g8 +g964 +tp5392 +a(g8 +g998 +tp5393 +a(g8 +g958 +tp5394 +a(g8 +g971 +tp5395 +a(g8 +g958 +tp5396 +a(g8 +g973 +tp5397 +a(g8 +g1021 +tp5398 +a(g8 +g1033 +tp5399 +a(g8 +g964 +tp5400 +a(g8 +g958 +tp5401 +a(g8 +g1000 +tp5402 +a(g8 +g1016 +tp5403 +a(g8 +g958 +tp5404 +a(g8 +g968 +tp5405 +a(g8 +g966 +tp5406 +a(g8 +g1033 +tp5407 +a(g8 +g1021 +tp5408 +a(g8 +g1062 +tp5409 +a(g8 +g995 +tp5410 +a(g8 +g971 +tp5411 +a(g8 +g973 +tp5412 +a(g8 +g958 +tp5413 +a(g8 +g1000 +tp5414 +a(g8 +g1483 +tp5415 +a(g8 +g966 +tp5416 +a(g8 +g968 +tp5417 +a(g8 +g971 +tp5418 +a(g8 +g964 +tp5419 +a(g8 +g1021 +tp5420 +a(g8 +g1000 +tp5421 +a(g8 +g962 +tp5422 +a(g8 +g1033 +tp5423 +a(g8 +g958 +tp5424 +a(g8 +V*) +p5425 +tp5426 +a(g827 +V\u000a +p5427 +tp5428 +a(g706 +g1418 +tp5429 +a(g827 +g958 +tp5430 +a(g629 +VFail +p5431 +tp5432 +a(g827 +V\u000a\u000a +p5433 +tp5434 +a(g794 +Vand +p5435 +tp5436 +a(g827 +g958 +tp5437 +a(g749 +VFgnUnifyResidual +p5438 +tp5439 +a(g827 +g958 +tp5440 +a(g706 +g1119 +tp5441 +a(g827 +V +p5442 +tp5443 +a(g8 +V(* +p5444 +tp5445 +a(g8 +g958 +tp5446 +a(g8 +g1071 +tp5447 +a(g8 +g966 +tp5448 +a(g8 +g1033 +tp5449 +a(g8 +g1021 +tp5450 +a(g8 +g1062 +tp5451 +a(g8 +g995 +tp5452 +a(g8 +g971 +tp5453 +a(g8 +g973 +tp5454 +a(g8 +g958 +tp5455 +a(g8 +g1000 +tp5456 +a(g8 +g1016 +tp5457 +a(g8 +g958 +tp5458 +a(g8 +g1016 +tp5459 +a(g8 +g1000 +tp5460 +a(g8 +g968 +tp5461 +a(g8 +g966 +tp5462 +a(g8 +g1021 +tp5463 +a(g8 +g1024 +tp5464 +a(g8 +g962 +tp5465 +a(g8 +g958 +tp5466 +a(g8 +g995 +tp5467 +a(g8 +g962 +tp5468 +a(g8 +g1021 +tp5469 +a(g8 +g1016 +tp5470 +a(g8 +g978 +tp5471 +a(g8 +g958 +tp5472 +a(g8 +g958 +tp5473 +a(g8 +V*) +p5474 +tp5475 +a(g827 +V\u000a +p5476 +tp5477 +a(g629 +VAssign +p5478 +tp5479 +a(g827 +g958 +tp5480 +a(g794 +Vof +p5481 +tp5482 +a(g827 +g958 +tp5483 +a(g436 +VDec +p5484 +tp5485 +a(g827 +g958 +tp5486 +a(g436 +VCtx +p5487 +tp5488 +a(g827 +g958 +tp5489 +a(g436 +g1433 +tp5490 +a(g827 +g958 +tp5491 +a(g436 +VExp +p5492 +tp5493 +a(g827 +g958 +tp5494 +a(g436 +g1433 +tp5495 +a(g827 +g958 +tp5496 +a(g436 +VExp +p5497 +tp5498 +a(g827 +g958 +tp5499 +a(g436 +g1433 +tp5500 +a(g827 +g958 +tp5501 +a(g436 +VSub +p5502 +tp5503 +a(g827 +V\u000a +p5504 +tp5505 +a(g8 +V(* +p5506 +tp5507 +a(g8 +g958 +tp5508 +a(g8 +g1483 +tp5509 +a(g8 +g966 +tp5510 +a(g8 +g968 +tp5511 +a(g8 +g1016 +tp5512 +a(g8 +g1000 +tp5513 +a(g8 +g968 +tp5514 +a(g8 +g1046 +tp5515 +a(g8 +g958 +tp5516 +a(g8 +g964 +tp5517 +a(g8 +g998 +tp5518 +a(g8 +g966 +tp5519 +a(g8 +g958 +tp5520 +a(g8 +g971 +tp5521 +a(g8 +g1033 +tp5522 +a(g8 +g1033 +tp5523 +a(g8 +g1021 +tp5524 +a(g8 +g1024 +tp5525 +a(g8 +g962 +tp5526 +a(g8 +g1046 +tp5527 +a(g8 +g966 +tp5528 +a(g8 +g962 +tp5529 +a(g8 +g964 +tp5530 +a(g8 +g958 +tp5531 +a(g8 +g1385 +tp5532 +a(g8 +g958 +tp5533 +a(g8 +g1418 +tp5534 +a(g8 +g1800 +tp5535 +a(g8 +g958 +tp5536 +a(g8 +g3182 +tp5537 +a(g8 +g958 +tp5538 +a(g8 +g1119 +tp5539 +a(g8 +g958 +tp5540 +a(g8 +g2708 +tp5541 +a(g8 +g958 +tp5542 +a(g8 +g3236 +tp5543 +a(g8 +g1033 +tp5544 +a(g8 +g1033 +tp5545 +a(g8 +g3239 +tp5546 +a(g8 +g958 +tp5547 +a(g8 +V*) +p5548 +tp5549 +a(g827 +V\u000a +p5550 +tp5551 +a(g706 +g1418 +tp5552 +a(g827 +g958 +tp5553 +a(g629 +VDelay +p5554 +tp5555 +a(g827 +g958 +tp5556 +a(g794 +Vof +p5557 +tp5558 +a(g827 +g958 +tp5559 +a(g436 +VExp +p5560 +tp5561 +a(g827 +g958 +tp5562 +a(g436 +g1433 +tp5563 +a(g827 +g958 +tp5564 +a(g436 +VCnstr +p5565 +tp5566 +a(g827 +g958 +tp5567 +a(g436 +Vref +p5568 +tp5569 +a(g827 +V\u000a +p5570 +tp5571 +a(g8 +V(* +p5572 +tp5573 +a(g8 +g958 +tp5574 +a(g8 +g1062 +tp5575 +a(g8 +g966 +tp5576 +a(g8 +g973 +tp5577 +a(g8 +g971 +tp5578 +a(g8 +g978 +tp5579 +a(g8 +g958 +tp5580 +a(g8 +g1040 +tp5581 +a(g8 +g962 +tp5582 +a(g8 +g1033 +tp5583 +a(g8 +g964 +tp5584 +a(g8 +g968 +tp5585 +a(g8 +g1026 +tp5586 +a(g8 +g958 +tp5587 +a(g8 +g971 +tp5588 +a(g8 +g1033 +tp5589 +a(g8 +g1033 +tp5590 +a(g8 +g1000 +tp5591 +a(g8 +g1040 +tp5592 +a(g8 +g1021 +tp5593 +a(g8 +g971 +tp5594 +a(g8 +g964 +tp5595 +a(g8 +g1021 +tp5596 +a(g8 +g962 +tp5597 +a(g8 +g1024 +tp5598 +a(g8 +g958 +tp5599 +a(g8 +g1021 +tp5600 +a(g8 +g964 +tp5601 +a(g8 +g958 +tp5602 +a(g8 +g1704 +tp5603 +a(g8 +g1021 +tp5604 +a(g8 +g964 +tp5605 +a(g8 +g998 +tp5606 +a(g8 +g958 +tp5607 +a(g8 +g971 +tp5608 +a(g8 +g973 +tp5609 +a(g8 +g973 +tp5610 +a(g8 +g958 +tp5611 +a(g8 +g964 +tp5612 +a(g8 +g998 +tp5613 +a(g8 +g966 +tp5614 +a(g8 +g958 +tp5615 +a(g8 +g968 +tp5616 +a(g8 +g1021 +tp5617 +a(g8 +g1024 +tp5618 +a(g8 +g1021 +tp5619 +a(g8 +g1062 +tp5620 +a(g8 +g958 +tp5621 +a(g8 +g1902 +tp5622 +a(g8 +g1081 +tp5623 +a(g8 +g971 +tp5624 +a(g8 +g968 +tp5625 +a(g8 +g1033 +tp5626 +a(g8 +g958 +tp5627 +a(g8 +g1021 +tp5628 +a(g8 +g962 +tp5629 +a(g8 +g958 +tp5630 +a(g8 +g2708 +tp5631 +a(g8 +g958 +tp5632 +a(g8 +g958 +tp5633 +a(g8 +V*) +p5634 +tp5635 +a(g827 +V\u000a\u000a +p5636 +tp5637 +a(g8 +V(* +p5638 +tp5639 +a(g8 +g958 +tp5640 +a(g8 +g1385 +tp5641 +a(g8 +g973 +tp5642 +a(g8 +g1000 +tp5643 +a(g8 +g1074 +tp5644 +a(g8 +g971 +tp5645 +a(g8 +g973 +tp5646 +a(g8 +g958 +tp5647 +a(g8 +g1033 +tp5648 +a(g8 +g1021 +tp5649 +a(g8 +g1024 +tp5650 +a(g8 +g962 +tp5651 +a(g8 +g971 +tp5652 +a(g8 +g964 +tp5653 +a(g8 +g995 +tp5654 +a(g8 +g968 +tp5655 +a(g8 +g966 +tp5656 +a(g8 +g958 +tp5657 +a(g8 +V*) +p5658 +tp5659 +a(g827 +V\u000a\u000a +p5660 +tp5661 +a(g794 +Vand +p5662 +tp5663 +a(g827 +g958 +tp5664 +a(g749 +VConDec +p5665 +tp5666 +a(g827 +g958 +tp5667 +a(g706 +g1119 +tp5668 +a(g827 +V +p5669 +tp5670 +a(g8 +V(* +p5671 +tp5672 +a(g8 +g958 +tp5673 +a(g8 +g1029 +tp5674 +a(g8 +g1000 +tp5675 +a(g8 +g962 +tp5676 +a(g8 +g1033 +tp5677 +a(g8 +g964 +tp5678 +a(g8 +g971 +tp5679 +a(g8 +g962 +tp5680 +a(g8 +g964 +tp5681 +a(g8 +g958 +tp5682 +a(g8 +g1062 +tp5683 +a(g8 +g966 +tp5684 +a(g8 +g1040 +tp5685 +a(g8 +g973 +tp5686 +a(g8 +g971 +tp5687 +a(g8 +g968 +tp5688 +a(g8 +g971 +tp5689 +a(g8 +g964 +tp5690 +a(g8 +g1021 +tp5691 +a(g8 +g1000 +tp5692 +a(g8 +g962 +tp5693 +a(g8 +g958 +tp5694 +a(g8 +g958 +tp5695 +a(g8 +g958 +tp5696 +a(g8 +g958 +tp5697 +a(g8 +g958 +tp5698 +a(g8 +g958 +tp5699 +a(g8 +g958 +tp5700 +a(g8 +V*) +p5701 +tp5702 +a(g827 +V\u000a +p5703 +tp5704 +a(g629 +VConDec +p5705 +tp5706 +a(g827 +g958 +tp5707 +a(g794 +Vof +p5708 +tp5709 +a(g827 +g958 +tp5710 +a(g436 +Vstring +p5711 +tp5712 +a(g827 +g958 +tp5713 +a(g436 +g1433 +tp5714 +a(g827 +g958 +tp5715 +a(g436 +Vmid +p5716 +tp5717 +a(g827 +g958 +tp5718 +a(g436 +Voption +p5719 +tp5720 +a(g827 +g958 +tp5721 +a(g436 +g1433 +tp5722 +a(g827 +g958 +tp5723 +a(g436 +Vint +p5724 +tp5725 +a(g827 +g958 +tp5726 +a(g436 +g1433 +tp5727 +a(g827 +g958 +tp5728 +a(g436 +VStatus +p5729 +tp5730 +a(g827 +V\u000a +p5731 +tp5732 +a(g8 +V(* +p5733 +tp5734 +a(g8 +g958 +tp5735 +a(g8 +g971 +tp5736 +a(g8 +g958 +tp5737 +a(g8 +g1003 +tp5738 +a(g8 +g958 +tp5739 +a(g8 +g2753 +tp5740 +a(g8 +g958 +tp5741 +a(g8 +g1003 +tp5742 +a(g8 +g958 +tp5743 +a(g8 +g1011 +tp5744 +a(g8 +g1021 +tp5745 +a(g8 +g962 +tp5746 +a(g8 +g1062 +tp5747 +a(g8 +g958 +tp5748 +a(g8 +g958 +tp5749 +a(g8 +g1000 +tp5750 +a(g8 +g968 +tp5751 +a(g8 +g958 +tp5752 +a(g8 +g958 +tp5753 +a(g8 +g958 +tp5754 +a(g8 +g958 +tp5755 +a(g8 +g958 +tp5756 +a(g8 +g958 +tp5757 +a(g8 +g958 +tp5758 +a(g8 +g958 +tp5759 +a(g8 +g958 +tp5760 +a(g8 +g958 +tp5761 +a(g8 +g958 +tp5762 +a(g8 +V*) +p5763 +tp5764 +a(g827 +V\u000a +p5765 +tp5766 +a(g436 +g1433 +tp5767 +a(g827 +g958 +tp5768 +a(g436 +VExp +p5769 +tp5770 +a(g827 +g958 +tp5771 +a(g436 +g1433 +tp5772 +a(g827 +g958 +tp5773 +a(g436 +VUni +p5774 +tp5775 +a(g827 +V +p5776 +tp5777 +a(g8 +V(* +p5778 +tp5779 +a(g8 +g958 +tp5780 +a(g8 +g1040 +tp5781 +a(g8 +g958 +tp5782 +a(g8 +g1003 +tp5783 +a(g8 +g958 +tp5784 +a(g8 +g993 +tp5785 +a(g8 +g958 +tp5786 +a(g8 +g1003 +tp5787 +a(g8 +g958 +tp5788 +a(g8 +g964 +tp5789 +a(g8 +g978 +tp5790 +a(g8 +g1483 +tp5791 +a(g8 +g966 +tp5792 +a(g8 +g958 +tp5793 +a(g8 +g958 +tp5794 +a(g8 +g958 +tp5795 +a(g8 +g958 +tp5796 +a(g8 +g958 +tp5797 +a(g8 +g958 +tp5798 +a(g8 +g958 +tp5799 +a(g8 +g958 +tp5800 +a(g8 +g958 +tp5801 +a(g8 +g958 +tp5802 +a(g8 +g958 +tp5803 +a(g8 +g958 +tp5804 +a(g8 +g958 +tp5805 +a(g8 +g958 +tp5806 +a(g8 +g958 +tp5807 +a(g8 +V*) +p5808 +tp5809 +a(g827 +V\u000a +p5810 +tp5811 +a(g706 +g1418 +tp5812 +a(g827 +g958 +tp5813 +a(g629 +VConDef +p5814 +tp5815 +a(g827 +g958 +tp5816 +a(g794 +Vof +p5817 +tp5818 +a(g827 +g958 +tp5819 +a(g436 +Vstring +p5820 +tp5821 +a(g827 +g958 +tp5822 +a(g436 +g1433 +tp5823 +a(g827 +g958 +tp5824 +a(g436 +Vmid +p5825 +tp5826 +a(g827 +g958 +tp5827 +a(g436 +Voption +p5828 +tp5829 +a(g827 +g958 +tp5830 +a(g436 +g1433 +tp5831 +a(g827 +g958 +tp5832 +a(g436 +Vint +p5833 +tp5834 +a(g827 +g2241 +tp5835 +a(g8 +V(* +p5836 +tp5837 +a(g8 +g958 +tp5838 +a(g8 +g971 +tp5839 +a(g8 +g958 +tp5840 +a(g8 +g1119 +tp5841 +a(g8 +g958 +tp5842 +a(g8 +g993 +tp5843 +a(g8 +g958 +tp5844 +a(g8 +g1003 +tp5845 +a(g8 +g958 +tp5846 +a(g8 +g2753 +tp5847 +a(g8 +g958 +tp5848 +a(g8 +g1003 +tp5849 +a(g8 +g958 +tp5850 +a(g8 +g1011 +tp5851 +a(g8 +g1021 +tp5852 +a(g8 +g962 +tp5853 +a(g8 +g1062 +tp5854 +a(g8 +g958 +tp5855 +a(g8 +g958 +tp5856 +a(g8 +g1000 +tp5857 +a(g8 +g968 +tp5858 +a(g8 +g958 +tp5859 +a(g8 +g958 +tp5860 +a(g8 +g958 +tp5861 +a(g8 +g958 +tp5862 +a(g8 +g958 +tp5863 +a(g8 +g958 +tp5864 +a(g8 +g958 +tp5865 +a(g8 +V*) +p5866 +tp5867 +a(g827 +V\u000a +p5868 +tp5869 +a(g436 +g1433 +tp5870 +a(g827 +g958 +tp5871 +a(g436 +VExp +p5872 +tp5873 +a(g827 +g958 +tp5874 +a(g436 +g1433 +tp5875 +a(g827 +g958 +tp5876 +a(g436 +VExp +p5877 +tp5878 +a(g827 +g958 +tp5879 +a(g436 +g1433 +tp5880 +a(g827 +g958 +tp5881 +a(g436 +VUni +p5882 +tp5883 +a(g827 +V +p5884 +tp5885 +a(g8 +V(* +p5886 +tp5887 +a(g8 +g958 +tp5888 +a(g8 +g1062 +tp5889 +a(g8 +g958 +tp5890 +a(g8 +g1119 +tp5891 +a(g8 +g958 +tp5892 +a(g8 +g1059 +tp5893 +a(g8 +g958 +tp5894 +a(g8 +g1003 +tp5895 +a(g8 +g958 +tp5896 +a(g8 +g993 +tp5897 +a(g8 +g958 +tp5898 +a(g8 +g1003 +tp5899 +a(g8 +g958 +tp5900 +a(g8 +g964 +tp5901 +a(g8 +g978 +tp5902 +a(g8 +g1483 +tp5903 +a(g8 +g966 +tp5904 +a(g8 +g958 +tp5905 +a(g8 +g958 +tp5906 +a(g8 +g958 +tp5907 +a(g8 +g958 +tp5908 +a(g8 +g958 +tp5909 +a(g8 +g958 +tp5910 +a(g8 +g958 +tp5911 +a(g8 +g958 +tp5912 +a(g8 +g958 +tp5913 +a(g8 +g958 +tp5914 +a(g8 +g958 +tp5915 +a(g8 +V*) +p5916 +tp5917 +a(g827 +V\u000a +p5918 +tp5919 +a(g436 +g1433 +tp5920 +a(g827 +g958 +tp5921 +a(g436 +VAncestor +p5922 +tp5923 +a(g827 +V +p5924 +tp5925 +a(g8 +V(* +p5926 +tp5927 +a(g8 +g958 +tp5928 +a(g8 +g993 +tp5929 +a(g8 +g962 +tp5930 +a(g8 +g1040 +tp5931 +a(g8 +g966 +tp5932 +a(g8 +g1033 +tp5933 +a(g8 +g964 +tp5934 +a(g8 +g1000 +tp5935 +a(g8 +g968 +tp5936 +a(g8 +g958 +tp5937 +a(g8 +g1021 +tp5938 +a(g8 +g962 +tp5939 +a(g8 +g1016 +tp5940 +a(g8 +g1000 +tp5941 +a(g8 +g958 +tp5942 +a(g8 +g1016 +tp5943 +a(g8 +g1000 +tp5944 +a(g8 +g968 +tp5945 +a(g8 +g958 +tp5946 +a(g8 +g1062 +tp5947 +a(g8 +g958 +tp5948 +a(g8 +g1000 +tp5949 +a(g8 +g968 +tp5950 +a(g8 +g958 +tp5951 +a(g8 +g971 +tp5952 +a(g8 +g958 +tp5953 +a(g8 +g958 +tp5954 +a(g8 +g958 +tp5955 +a(g8 +V*) +p5956 +tp5957 +a(g827 +V\u000a +p5958 +tp5959 +a(g706 +g1418 +tp5960 +a(g827 +g958 +tp5961 +a(g629 +VAbbrevDef +p5962 +tp5963 +a(g827 +g958 +tp5964 +a(g794 +Vof +p5965 +tp5966 +a(g827 +g958 +tp5967 +a(g436 +Vstring +p5968 +tp5969 +a(g827 +g958 +tp5970 +a(g436 +g1433 +tp5971 +a(g827 +g958 +tp5972 +a(g436 +Vmid +p5973 +tp5974 +a(g827 +g958 +tp5975 +a(g436 +Voption +p5976 +tp5977 +a(g827 +g958 +tp5978 +a(g436 +g1433 +tp5979 +a(g827 +g958 +tp5980 +a(g436 +Vint +p5981 +tp5982 +a(g827 +V\u000a +p5983 +tp5984 +a(g8 +V(* +p5985 +tp5986 +a(g8 +g958 +tp5987 +a(g8 +g971 +tp5988 +a(g8 +g958 +tp5989 +a(g8 +g1119 +tp5990 +a(g8 +g958 +tp5991 +a(g8 +g993 +tp5992 +a(g8 +g958 +tp5993 +a(g8 +g1003 +tp5994 +a(g8 +g958 +tp5995 +a(g8 +g2753 +tp5996 +a(g8 +g958 +tp5997 +a(g8 +g1003 +tp5998 +a(g8 +g958 +tp5999 +a(g8 +g1011 +tp6000 +a(g8 +g1021 +tp6001 +a(g8 +g962 +tp6002 +a(g8 +g1062 +tp6003 +a(g8 +g958 +tp6004 +a(g8 +g958 +tp6005 +a(g8 +g1000 +tp6006 +a(g8 +g968 +tp6007 +a(g8 +g958 +tp6008 +a(g8 +g958 +tp6009 +a(g8 +g958 +tp6010 +a(g8 +g958 +tp6011 +a(g8 +g958 +tp6012 +a(g8 +g958 +tp6013 +a(g8 +g958 +tp6014 +a(g8 +V*) +p6015 +tp6016 +a(g827 +V\u000a +p6017 +tp6018 +a(g436 +g1433 +tp6019 +a(g827 +g958 +tp6020 +a(g436 +VExp +p6021 +tp6022 +a(g827 +g958 +tp6023 +a(g436 +g1433 +tp6024 +a(g827 +g958 +tp6025 +a(g436 +VExp +p6026 +tp6027 +a(g827 +g958 +tp6028 +a(g436 +g1433 +tp6029 +a(g827 +g958 +tp6030 +a(g436 +VUni +p6031 +tp6032 +a(g827 +V +p6033 +tp6034 +a(g8 +V(* +p6035 +tp6036 +a(g8 +g958 +tp6037 +a(g8 +g1062 +tp6038 +a(g8 +g958 +tp6039 +a(g8 +g1119 +tp6040 +a(g8 +g958 +tp6041 +a(g8 +g1059 +tp6042 +a(g8 +g958 +tp6043 +a(g8 +g1003 +tp6044 +a(g8 +g958 +tp6045 +a(g8 +g993 +tp6046 +a(g8 +g958 +tp6047 +a(g8 +g1003 +tp6048 +a(g8 +g958 +tp6049 +a(g8 +g964 +tp6050 +a(g8 +g978 +tp6051 +a(g8 +g1483 +tp6052 +a(g8 +g966 +tp6053 +a(g8 +g958 +tp6054 +a(g8 +g958 +tp6055 +a(g8 +g958 +tp6056 +a(g8 +g958 +tp6057 +a(g8 +g958 +tp6058 +a(g8 +g958 +tp6059 +a(g8 +g958 +tp6060 +a(g8 +g958 +tp6061 +a(g8 +g958 +tp6062 +a(g8 +g958 +tp6063 +a(g8 +g958 +tp6064 +a(g8 +V*) +p6065 +tp6066 +a(g827 +V\u000a +p6067 +tp6068 +a(g706 +g1418 +tp6069 +a(g827 +g958 +tp6070 +a(g629 +VBlockDec +p6071 +tp6072 +a(g827 +g958 +tp6073 +a(g794 +Vof +p6074 +tp6075 +a(g827 +g958 +tp6076 +a(g436 +Vstring +p6077 +tp6078 +a(g827 +g958 +tp6079 +a(g436 +g1433 +tp6080 +a(g827 +g958 +tp6081 +a(g436 +Vmid +p6082 +tp6083 +a(g827 +g958 +tp6084 +a(g436 +Voption +p6085 +tp6086 +a(g827 +V +p6087 +tp6088 +a(g8 +V(* +p6089 +tp6090 +a(g8 +g958 +tp6091 +a(g8 +V% +p6092 +tp6093 +a(g8 +g1074 +tp6094 +a(g8 +g973 +tp6095 +a(g8 +g1000 +tp6096 +a(g8 +g1040 +tp6097 +a(g8 +g1011 +tp6098 +a(g8 +g958 +tp6099 +a(g8 +g973 +tp6100 +a(g8 +g958 +tp6101 +a(g8 +g1003 +tp6102 +a(g8 +g958 +tp6103 +a(g8 +g976 +tp6104 +a(g8 +g1910 +tp6105 +a(g8 +g1059 +tp6106 +a(g8 +g1902 +tp6107 +a(g8 +g958 +tp6108 +a(g8 +g1385 +tp6109 +a(g8 +g1687 +tp6110 +a(g8 +g958 +tp6111 +a(g8 +g1014 +tp6112 +a(g8 +g960 +tp6113 +a(g8 +g958 +tp6114 +a(g8 +g1385 +tp6115 +a(g8 +g5046 +tp6116 +a(g8 +g958 +tp6117 +a(g8 +g958 +tp6118 +a(g8 +g958 +tp6119 +a(g8 +V*) +p6120 +tp6121 +a(g827 +V\u000a +p6122 +tp6123 +a(g436 +g1433 +tp6124 +a(g827 +g958 +tp6125 +a(g436 +VDec +p6126 +tp6127 +a(g827 +g958 +tp6128 +a(g436 +VCtx +p6129 +tp6130 +a(g827 +g958 +tp6131 +a(g436 +g1433 +tp6132 +a(g827 +g958 +tp6133 +a(g436 +VDec +p6134 +tp6135 +a(g827 +g958 +tp6136 +a(g436 +Vlist +p6137 +tp6138 +a(g827 +V\u000a\u000a +p6139 +tp6140 +a(g706 +g1418 +tp6141 +a(g827 +g958 +tp6142 +a(g629 +VBlockDef +p6143 +tp6144 +a(g827 +g958 +tp6145 +a(g794 +Vof +p6146 +tp6147 +a(g827 +g958 +tp6148 +a(g436 +Vstring +p6149 +tp6150 +a(g827 +g958 +tp6151 +a(g436 +g1433 +tp6152 +a(g827 +g958 +tp6153 +a(g436 +Vmid +p6154 +tp6155 +a(g827 +g958 +tp6156 +a(g436 +Voption +p6157 +tp6158 +a(g827 +g958 +tp6159 +a(g436 +g1433 +tp6160 +a(g827 +g958 +tp6161 +a(g436 +Vcid +p6162 +tp6163 +a(g827 +g958 +tp6164 +a(g436 +Vlist +p6165 +tp6166 +a(g827 +V\u000a +p6167 +tp6168 +a(g8 +V(* +p6169 +tp6170 +a(g8 +g958 +tp6171 +a(g8 +g6092 +tp6172 +a(g8 +g1074 +tp6173 +a(g8 +g973 +tp6174 +a(g8 +g1000 +tp6175 +a(g8 +g1040 +tp6176 +a(g8 +g1011 +tp6177 +a(g8 +g958 +tp6178 +a(g8 +g973 +tp6179 +a(g8 +g958 +tp6180 +a(g8 +g1119 +tp6181 +a(g8 +g958 +tp6182 +a(g8 +g1098 +tp6183 +a(g8 +g973 +tp6184 +a(g8 +g1687 +tp6185 +a(g8 +g958 +tp6186 +a(g8 +g1418 +tp6187 +a(g8 +g958 +tp6188 +a(g8 +g1392 +tp6189 +a(g8 +g1392 +tp6190 +a(g8 +g1392 +tp6191 +a(g8 +g958 +tp6192 +a(g8 +g1418 +tp6193 +a(g8 +g958 +tp6194 +a(g8 +g973 +tp6195 +a(g8 +g962 +tp6196 +a(g8 +g1110 +tp6197 +a(g8 +g958 +tp6198 +a(g8 +V*) +p6199 +tp6200 +a(g827 +V\u000a\u000a +p6201 +tp6202 +a(g706 +g1418 +tp6203 +a(g827 +g958 +tp6204 +a(g629 +VSkoDec +p6205 +tp6206 +a(g827 +g958 +tp6207 +a(g794 +Vof +p6208 +tp6209 +a(g827 +g958 +tp6210 +a(g436 +Vstring +p6211 +tp6212 +a(g827 +g958 +tp6213 +a(g436 +g1433 +tp6214 +a(g827 +g958 +tp6215 +a(g436 +Vmid +p6216 +tp6217 +a(g827 +g958 +tp6218 +a(g436 +Voption +p6219 +tp6220 +a(g827 +g958 +tp6221 +a(g436 +g1433 +tp6222 +a(g827 +g958 +tp6223 +a(g436 +Vint +p6224 +tp6225 +a(g827 +g2241 +tp6226 +a(g8 +V(* +p6227 +tp6228 +a(g8 +g958 +tp6229 +a(g8 +g1033 +tp6230 +a(g8 +g971 +tp6231 +a(g8 +g1003 +tp6232 +a(g8 +g958 +tp6233 +a(g8 +g2753 +tp6234 +a(g8 +g958 +tp6235 +a(g8 +g1003 +tp6236 +a(g8 +g958 +tp6237 +a(g8 +g1011 +tp6238 +a(g8 +g1021 +tp6239 +a(g8 +g962 +tp6240 +a(g8 +g1062 +tp6241 +a(g8 +g958 +tp6242 +a(g8 +g958 +tp6243 +a(g8 +g1000 +tp6244 +a(g8 +g968 +tp6245 +a(g8 +g958 +tp6246 +a(g8 +g958 +tp6247 +a(g8 +g958 +tp6248 +a(g8 +g958 +tp6249 +a(g8 +g958 +tp6250 +a(g8 +g958 +tp6251 +a(g8 +g958 +tp6252 +a(g8 +g958 +tp6253 +a(g8 +g958 +tp6254 +a(g8 +g958 +tp6255 +a(g8 +g958 +tp6256 +a(g8 +V*) +p6257 +tp6258 +a(g827 +V\u000a +p6259 +tp6260 +a(g436 +g1433 +tp6261 +a(g827 +g958 +tp6262 +a(g436 +VExp +p6263 +tp6264 +a(g827 +g958 +tp6265 +a(g436 +g1433 +tp6266 +a(g827 +g958 +tp6267 +a(g436 +VUni +p6268 +tp6269 +a(g827 +V +p6270 +tp6271 +a(g8 +V(* +p6272 +tp6273 +a(g8 +g958 +tp6274 +a(g8 +g1033 +tp6275 +a(g8 +g1040 +tp6276 +a(g8 +g1003 +tp6277 +a(g8 +g958 +tp6278 +a(g8 +g993 +tp6279 +a(g8 +g958 +tp6280 +a(g8 +g1003 +tp6281 +a(g8 +g958 +tp6282 +a(g8 +g964 +tp6283 +a(g8 +g978 +tp6284 +a(g8 +g1483 +tp6285 +a(g8 +g966 +tp6286 +a(g8 +g958 +tp6287 +a(g8 +g958 +tp6288 +a(g8 +g958 +tp6289 +a(g8 +g958 +tp6290 +a(g8 +g958 +tp6291 +a(g8 +g958 +tp6292 +a(g8 +g958 +tp6293 +a(g8 +g958 +tp6294 +a(g8 +g958 +tp6295 +a(g8 +g958 +tp6296 +a(g8 +g958 +tp6297 +a(g8 +g958 +tp6298 +a(g8 +g958 +tp6299 +a(g8 +g958 +tp6300 +a(g8 +g958 +tp6301 +a(g8 +V*) +p6302 +tp6303 +a(g827 +V\u000a\u000a +p6304 +tp6305 +a(g794 +Vand +p6306 +tp6307 +a(g827 +g958 +tp6308 +a(g749 +VAncestor +p6309 +tp6310 +a(g827 +g958 +tp6311 +a(g706 +g1119 +tp6312 +a(g827 +V +p6313 +tp6314 +a(g8 +V(* +p6315 +tp6316 +a(g8 +g958 +tp6317 +a(g8 +g993 +tp6318 +a(g8 +g962 +tp6319 +a(g8 +g1040 +tp6320 +a(g8 +g966 +tp6321 +a(g8 +g1033 +tp6322 +a(g8 +g964 +tp6323 +a(g8 +g1000 +tp6324 +a(g8 +g968 +tp6325 +a(g8 +g958 +tp6326 +a(g8 +g1000 +tp6327 +a(g8 +g1016 +tp6328 +a(g8 +g958 +tp6329 +a(g8 +g1062 +tp6330 +a(g8 +g958 +tp6331 +a(g8 +g1000 +tp6332 +a(g8 +g968 +tp6333 +a(g8 +g958 +tp6334 +a(g8 +g971 +tp6335 +a(g8 +g958 +tp6336 +a(g8 +g958 +tp6337 +a(g8 +g958 +tp6338 +a(g8 +g958 +tp6339 +a(g8 +g958 +tp6340 +a(g8 +g958 +tp6341 +a(g8 +g958 +tp6342 +a(g8 +g958 +tp6343 +a(g8 +g958 +tp6344 +a(g8 +V*) +p6345 +tp6346 +a(g827 +V\u000a +p6347 +tp6348 +a(g629 +VAnc +p6349 +tp6350 +a(g827 +g958 +tp6351 +a(g794 +Vof +p6352 +tp6353 +a(g827 +g958 +tp6354 +a(g436 +Vcid +p6355 +tp6356 +a(g827 +g958 +tp6357 +a(g436 +Voption +p6358 +tp6359 +a(g827 +g958 +tp6360 +a(g436 +g1433 +tp6361 +a(g827 +g958 +tp6362 +a(g436 +Vint +p6363 +tp6364 +a(g827 +g958 +tp6365 +a(g436 +g1433 +tp6366 +a(g827 +g958 +tp6367 +a(g436 +Vcid +p6368 +tp6369 +a(g827 +g958 +tp6370 +a(g436 +Voption +p6371 +tp6372 +a(g827 +g958 +tp6373 +a(g8 +V(* +p6374 +tp6375 +a(g8 +g958 +tp6376 +a(g8 +g998 +tp6377 +a(g8 +g966 +tp6378 +a(g8 +g971 +tp6379 +a(g8 +g1062 +tp6380 +a(g8 +g1098 +tp6381 +a(g8 +g966 +tp6382 +a(g8 +g983 +tp6383 +a(g8 +g1483 +tp6384 +a(g8 +g971 +tp6385 +a(g8 +g962 +tp6386 +a(g8 +g1062 +tp6387 +a(g8 +g1098 +tp6388 +a(g8 +g1062 +tp6389 +a(g8 +g1110 +tp6390 +a(g8 +g1110 +tp6391 +a(g8 +g1026 +tp6392 +a(g8 +g958 +tp6393 +a(g8 +g998 +tp6394 +a(g8 +g966 +tp6395 +a(g8 +g1021 +tp6396 +a(g8 +g1024 +tp6397 +a(g8 +g998 +tp6398 +a(g8 +g964 +tp6399 +a(g8 +g1026 +tp6400 +a(g8 +g958 +tp6401 +a(g8 +g998 +tp6402 +a(g8 +g966 +tp6403 +a(g8 +g971 +tp6404 +a(g8 +g1062 +tp6405 +a(g8 +g1098 +tp6406 +a(g8 +g966 +tp6407 +a(g8 +g983 +tp6408 +a(g8 +g1483 +tp6409 +a(g8 +g971 +tp6410 +a(g8 +g962 +tp6411 +a(g8 +g1062 +tp6412 +a(g8 +g3236 +tp6413 +a(g8 +g998 +tp6414 +a(g8 +g966 +tp6415 +a(g8 +g1021 +tp6416 +a(g8 +g1024 +tp6417 +a(g8 +g998 +tp6418 +a(g8 +g964 +tp6419 +a(g8 +g3239 +tp6420 +a(g8 +g1098 +tp6421 +a(g8 +g1062 +tp6422 +a(g8 +g1110 +tp6423 +a(g8 +g1110 +tp6424 +a(g8 +g958 +tp6425 +a(g8 +V*) +p6426 +tp6427 +a(g827 +V\u000a +p6428 +tp6429 +a(g8 +V(* +p6430 +tp6431 +a(g8 +g958 +tp6432 +a(g8 +g1825 +tp6433 +a(g8 +g1910 +tp6434 +a(g8 +g1825 +tp6435 +a(g8 +g1902 +tp6436 +a(g8 +g958 +tp6437 +a(g8 +g1046 +tp6438 +a(g8 +g966 +tp6439 +a(g8 +g971 +tp6440 +a(g8 +g962 +tp6441 +a(g8 +g1033 +tp6442 +a(g8 +g958 +tp6443 +a(g8 +g966 +tp6444 +a(g8 +g983 +tp6445 +a(g8 +g1483 +tp6446 +a(g8 +g971 +tp6447 +a(g8 +g962 +tp6448 +a(g8 +g1062 +tp6449 +a(g8 +g1033 +tp6450 +a(g8 +g958 +tp6451 +a(g8 +g964 +tp6452 +a(g8 +g1000 +tp6453 +a(g8 +g958 +tp6454 +a(g8 +V{ +p6455 +tp6456 +a(g8 +g983 +tp6457 +a(g8 +g1003 +tp6458 +a(g8 +g993 +tp6459 +a(g8 +V} +p6460 +tp6461 +a(g8 +g1918 +tp6462 +a(g8 +g958 +tp6463 +a(g8 +V*) +p6464 +tp6465 +a(g827 +V\u000a\u000a +p6466 +tp6467 +a(g827 +g1173 +tp6468 +a(g794 +Vdatatype +p6469 +tp6470 +a(g827 +g958 +tp6471 +a(g749 +VStrDec +p6472 +tp6473 +a(g827 +g958 +tp6474 +a(g706 +g1119 +tp6475 +a(g827 +V +p6476 +tp6477 +a(g8 +V(* +p6478 +tp6479 +a(g8 +g958 +tp6480 +a(g8 +g976 +tp6481 +a(g8 +g964 +tp6482 +a(g8 +g968 +tp6483 +a(g8 +g995 +tp6484 +a(g8 +g1040 +tp6485 +a(g8 +g964 +tp6486 +a(g8 +g995 +tp6487 +a(g8 +g968 +tp6488 +a(g8 +g966 +tp6489 +a(g8 +g958 +tp6490 +a(g8 +g1062 +tp6491 +a(g8 +g966 +tp6492 +a(g8 +g1040 +tp6493 +a(g8 +g973 +tp6494 +a(g8 +g971 +tp6495 +a(g8 +g968 +tp6496 +a(g8 +g971 +tp6497 +a(g8 +g964 +tp6498 +a(g8 +g1021 +tp6499 +a(g8 +g1000 +tp6500 +a(g8 +g962 +tp6501 +a(g8 +g958 +tp6502 +a(g8 +g958 +tp6503 +a(g8 +g958 +tp6504 +a(g8 +g958 +tp6505 +a(g8 +g958 +tp6506 +a(g8 +g958 +tp6507 +a(g8 +V*) +p6508 +tp6509 +a(g827 +V\u000a +p6510 +tp6511 +a(g629 +VStrDec +p6512 +tp6513 +a(g827 +g958 +tp6514 +a(g794 +Vof +p6515 +tp6516 +a(g827 +g958 +tp6517 +a(g436 +Vstring +p6518 +tp6519 +a(g827 +g958 +tp6520 +a(g436 +g1433 +tp6521 +a(g827 +g958 +tp6522 +a(g436 +Vmid +p6523 +tp6524 +a(g827 +g958 +tp6525 +a(g436 +Voption +p6526 +tp6527 +a(g827 +V\u000a\u000a +p6528 +tp6529 +a(g8 +V(* +p6530 +tp6531 +a(g8 +g958 +tp6532 +a(g8 +g1006 +tp6533 +a(g8 +g1000 +tp6534 +a(g8 +g968 +tp6535 +a(g8 +g1046 +tp6536 +a(g8 +g958 +tp6537 +a(g8 +g1000 +tp6538 +a(g8 +g1016 +tp6539 +a(g8 +g958 +tp6540 +a(g8 +g1040 +tp6541 +a(g8 +g1000 +tp6542 +a(g8 +g962 +tp6543 +a(g8 +g1033 +tp6544 +a(g8 +g964 +tp6545 +a(g8 +g971 +tp6546 +a(g8 +g962 +tp6547 +a(g8 +g964 +tp6548 +a(g8 +g958 +tp6549 +a(g8 +g1062 +tp6550 +a(g8 +g966 +tp6551 +a(g8 +g1040 +tp6552 +a(g8 +g973 +tp6553 +a(g8 +g971 +tp6554 +a(g8 +g968 +tp6555 +a(g8 +g971 +tp6556 +a(g8 +g964 +tp6557 +a(g8 +g1021 +tp6558 +a(g8 +g1000 +tp6559 +a(g8 +g962 +tp6560 +a(g8 +g958 +tp6561 +a(g8 +V*) +p6562 +tp6563 +a(g827 +V\u000a +p6564 +tp6565 +a(g827 +g1173 +tp6566 +a(g794 +Vdatatype +p6567 +tp6568 +a(g827 +g958 +tp6569 +a(g749 +VConDecForm +p6570 +tp6571 +a(g827 +g958 +tp6572 +a(g706 +g1119 +tp6573 +a(g827 +V\u000a +p6574 +tp6575 +a(g629 +VFromCS +p6576 +tp6577 +a(g827 +V +p6578 +tp6579 +a(g8 +V(* +p6580 +tp6581 +a(g8 +g958 +tp6582 +a(g8 +g1016 +tp6583 +a(g8 +g968 +tp6584 +a(g8 +g1000 +tp6585 +a(g8 +g1046 +tp6586 +a(g8 +g958 +tp6587 +a(g8 +g1040 +tp6588 +a(g8 +g1000 +tp6589 +a(g8 +g962 +tp6590 +a(g8 +g1033 +tp6591 +a(g8 +g964 +tp6592 +a(g8 +g968 +tp6593 +a(g8 +g971 +tp6594 +a(g8 +g1021 +tp6595 +a(g8 +g962 +tp6596 +a(g8 +g964 +tp6597 +a(g8 +g958 +tp6598 +a(g8 +g1062 +tp6599 +a(g8 +g1000 +tp6600 +a(g8 +g1046 +tp6601 +a(g8 +g971 +tp6602 +a(g8 +g1021 +tp6603 +a(g8 +g962 +tp6604 +a(g8 +g958 +tp6605 +a(g8 +V*) +p6606 +tp6607 +a(g827 +V\u000a +p6608 +tp6609 +a(g706 +g1418 +tp6610 +a(g827 +g958 +tp6611 +a(g629 +VOrdinary +p6612 +tp6613 +a(g827 +V +p6614 +tp6615 +a(g8 +V(* +p6616 +tp6617 +a(g8 +g958 +tp6618 +a(g8 +g1000 +tp6619 +a(g8 +g968 +tp6620 +a(g8 +g1062 +tp6621 +a(g8 +g1021 +tp6622 +a(g8 +g962 +tp6623 +a(g8 +g971 +tp6624 +a(g8 +g968 +tp6625 +a(g8 +g978 +tp6626 +a(g8 +g958 +tp6627 +a(g8 +g1062 +tp6628 +a(g8 +g966 +tp6629 +a(g8 +g1040 +tp6630 +a(g8 +g973 +tp6631 +a(g8 +g971 +tp6632 +a(g8 +g968 +tp6633 +a(g8 +g971 +tp6634 +a(g8 +g964 +tp6635 +a(g8 +g1021 +tp6636 +a(g8 +g1000 +tp6637 +a(g8 +g962 +tp6638 +a(g8 +g958 +tp6639 +a(g8 +V*) +p6640 +tp6641 +a(g827 +V\u000a +p6642 +tp6643 +a(g706 +g1418 +tp6644 +a(g827 +g958 +tp6645 +a(g629 +VClause +p6646 +tp6647 +a(g827 +V +p6648 +tp6649 +a(g8 +V(* +p6650 +tp6651 +a(g8 +g958 +tp6652 +a(g8 +g6092 +tp6653 +a(g8 +g1040 +tp6654 +a(g8 +g973 +tp6655 +a(g8 +g971 +tp6656 +a(g8 +g995 +tp6657 +a(g8 +g1033 +tp6658 +a(g8 +g966 +tp6659 +a(g8 +g958 +tp6660 +a(g8 +g1062 +tp6661 +a(g8 +g966 +tp6662 +a(g8 +g1040 +tp6663 +a(g8 +g973 +tp6664 +a(g8 +g971 +tp6665 +a(g8 +g968 +tp6666 +a(g8 +g971 +tp6667 +a(g8 +g964 +tp6668 +a(g8 +g1021 +tp6669 +a(g8 +g1000 +tp6670 +a(g8 +g962 +tp6671 +a(g8 +g958 +tp6672 +a(g8 +V*) +p6673 +tp6674 +a(g827 +V\u000a\u000a +p6675 +tp6676 +a(g8 +V(* +p6677 +tp6678 +a(g8 +g958 +tp6679 +a(g8 +g2794 +tp6680 +a(g8 +g978 +tp6681 +a(g8 +g1483 +tp6682 +a(g8 +g966 +tp6683 +a(g8 +g958 +tp6684 +a(g8 +g971 +tp6685 +a(g8 +g1074 +tp6686 +a(g8 +g1074 +tp6687 +a(g8 +g968 +tp6688 +a(g8 +g966 +tp6689 +a(g8 +g1506 +tp6690 +a(g8 +g1021 +tp6691 +a(g8 +g971 +tp6692 +a(g8 +g964 +tp6693 +a(g8 +g1021 +tp6694 +a(g8 +g1000 +tp6695 +a(g8 +g962 +tp6696 +a(g8 +g1033 +tp6697 +a(g8 +g958 +tp6698 +a(g8 +V*) +p6699 +tp6700 +a(g827 +V\u000a +p6701 +tp6702 +a(g827 +g1173 +tp6703 +a(g794 +Vtype +p6704 +tp6705 +a(g827 +g958 +tp6706 +a(g749 +Vdctx +p6707 +tp6708 +a(g827 +g958 +tp6709 +a(g706 +g1119 +tp6710 +a(g827 +g958 +tp6711 +a(g436 +VDec +p6712 +tp6713 +a(g827 +g958 +tp6714 +a(g436 +VCtx +p6715 +tp6716 +a(g827 +V +p6717 +tp6718 +a(g8 +V(* +p6719 +tp6720 +a(g8 +g958 +tp6721 +a(g8 +g1385 +tp6722 +a(g8 +g958 +tp6723 +a(g8 +g1119 +tp6724 +a(g8 +g958 +tp6725 +a(g8 +g1392 +tp6726 +a(g8 +g958 +tp6727 +a(g8 +g1418 +tp6728 +a(g8 +g958 +tp6729 +a(g8 +g1385 +tp6730 +a(g8 +g1026 +tp6731 +a(g8 +g1452 +tp6732 +a(g8 +g958 +tp6733 +a(g8 +g958 +tp6734 +a(g8 +g958 +tp6735 +a(g8 +g958 +tp6736 +a(g8 +g958 +tp6737 +a(g8 +g958 +tp6738 +a(g8 +g958 +tp6739 +a(g8 +g958 +tp6740 +a(g8 +g958 +tp6741 +a(g8 +g958 +tp6742 +a(g8 +g958 +tp6743 +a(g8 +g958 +tp6744 +a(g8 +g958 +tp6745 +a(g8 +g958 +tp6746 +a(g8 +g958 +tp6747 +a(g8 +g958 +tp6748 +a(g8 +V*) +p6749 +tp6750 +a(g827 +V\u000a +p6751 +tp6752 +a(g827 +g1173 +tp6753 +a(g794 +Vtype +p6754 +tp6755 +a(g827 +g958 +tp6756 +a(g749 +Veclo +p6757 +tp6758 +a(g827 +g958 +tp6759 +a(g706 +g1119 +tp6760 +a(g827 +g958 +tp6761 +a(g436 +VExp +p6762 +tp6763 +a(g827 +g958 +tp6764 +a(g436 +g1433 +tp6765 +a(g827 +g958 +tp6766 +a(g436 +VSub +p6767 +tp6768 +a(g827 +V +p6769 +tp6770 +a(g8 +V(* +p6771 +tp6772 +a(g8 +g958 +tp6773 +a(g8 +g2708 +tp6774 +a(g8 +g1033 +tp6775 +a(g8 +g958 +tp6776 +a(g8 +g1119 +tp6777 +a(g8 +g958 +tp6778 +a(g8 +g2708 +tp6779 +a(g8 +g3236 +tp6780 +a(g8 +g1033 +tp6781 +a(g8 +g3239 +tp6782 +a(g8 +g958 +tp6783 +a(g8 +g958 +tp6784 +a(g8 +g958 +tp6785 +a(g8 +g958 +tp6786 +a(g8 +g958 +tp6787 +a(g8 +g958 +tp6788 +a(g8 +g958 +tp6789 +a(g8 +g958 +tp6790 +a(g8 +g958 +tp6791 +a(g8 +g958 +tp6792 +a(g8 +g958 +tp6793 +a(g8 +g958 +tp6794 +a(g8 +g958 +tp6795 +a(g8 +g958 +tp6796 +a(g8 +g958 +tp6797 +a(g8 +g958 +tp6798 +a(g8 +g958 +tp6799 +a(g8 +g958 +tp6800 +a(g8 +V*) +p6801 +tp6802 +a(g827 +V\u000a +p6803 +tp6804 +a(g827 +g1173 +tp6805 +a(g794 +Vtype +p6806 +tp6807 +a(g827 +g958 +tp6808 +a(g749 +Vbclo +p6809 +tp6810 +a(g827 +g958 +tp6811 +a(g706 +g1119 +tp6812 +a(g827 +g958 +tp6813 +a(g436 +VBlock +p6814 +tp6815 +a(g827 +g958 +tp6816 +a(g436 +g1433 +tp6817 +a(g827 +g958 +tp6818 +a(g436 +VSub +p6819 +tp6820 +a(g827 +V +p6821 +tp6822 +a(g8 +V(* +p6823 +tp6824 +a(g8 +g958 +tp6825 +a(g8 +g1918 +tp6826 +a(g8 +g1033 +tp6827 +a(g8 +g958 +tp6828 +a(g8 +g1119 +tp6829 +a(g8 +g958 +tp6830 +a(g8 +g1918 +tp6831 +a(g8 +g3236 +tp6832 +a(g8 +g1033 +tp6833 +a(g8 +g3239 +tp6834 +a(g8 +g958 +tp6835 +a(g8 +g958 +tp6836 +a(g8 +g958 +tp6837 +a(g8 +g958 +tp6838 +a(g8 +g958 +tp6839 +a(g8 +g958 +tp6840 +a(g8 +g958 +tp6841 +a(g8 +g958 +tp6842 +a(g8 +g958 +tp6843 +a(g8 +g958 +tp6844 +a(g8 +g958 +tp6845 +a(g8 +g958 +tp6846 +a(g8 +g958 +tp6847 +a(g8 +g958 +tp6848 +a(g8 +g958 +tp6849 +a(g8 +g958 +tp6850 +a(g8 +g958 +tp6851 +a(g8 +g958 +tp6852 +a(g8 +V*) +p6853 +tp6854 +a(g827 +V\u000a +p6855 +tp6856 +a(g827 +g1173 +tp6857 +a(g794 +Vtype +p6858 +tp6859 +a(g827 +g958 +tp6860 +a(g749 +Vcnstr +p6861 +tp6862 +a(g827 +g958 +tp6863 +a(g706 +g1119 +tp6864 +a(g827 +g958 +tp6865 +a(g436 +VCnstr +p6866 +tp6867 +a(g827 +g958 +tp6868 +a(g436 +Vref +p6869 +tp6870 +a(g827 +V\u000a\u000a +p6871 +tp6872 +a(g8 +V(* +p6873 +tp6874 +a(g8 +g958 +tp6875 +a(g8 +g958 +tp6876 +a(g8 +g966 +tp6877 +a(g8 +g983 +tp6878 +a(g8 +g1040 +tp6879 +a(g8 +g966 +tp6880 +a(g8 +g1483 +tp6881 +a(g8 +g964 +tp6882 +a(g8 +g1021 +tp6883 +a(g8 +g1000 +tp6884 +a(g8 +g962 +tp6885 +a(g8 +g958 +tp6886 +a(g8 +g1902 +tp6887 +a(g8 +g968 +tp6888 +a(g8 +g968 +tp6889 +a(g8 +g1000 +tp6890 +a(g8 +g968 +tp6891 +a(g8 +g958 +tp6892 +a(g8 +g1000 +tp6893 +a(g8 +g1016 +tp6894 +a(g8 +g958 +tp6895 +a(g8 +g1033 +tp6896 +a(g8 +g964 +tp6897 +a(g8 +g968 +tp6898 +a(g8 +g1021 +tp6899 +a(g8 +g962 +tp6900 +a(g8 +g1024 +tp6901 +a(g8 +g958 +tp6902 +a(g8 +g958 +tp6903 +a(g8 +g958 +tp6904 +a(g8 +g958 +tp6905 +a(g8 +g958 +tp6906 +a(g8 +g958 +tp6907 +a(g8 +g958 +tp6908 +a(g8 +g958 +tp6909 +a(g8 +g958 +tp6910 +a(g8 +g958 +tp6911 +a(g8 +g958 +tp6912 +a(g8 +g958 +tp6913 +a(g8 +g958 +tp6914 +a(g8 +V(* +p6915 +tp6916 +a(g8 +g958 +tp6917 +a(g8 +g968 +tp6918 +a(g8 +g971 +tp6919 +a(g8 +g1021 +tp6920 +a(g8 +g1033 +tp6921 +a(g8 +g966 +tp6922 +a(g8 +g1062 +tp6923 +a(g8 +g958 +tp6924 +a(g8 +g1021 +tp6925 +a(g8 +g1016 +tp6926 +a(g8 +g958 +tp6927 +a(g8 +g1000 +tp6928 +a(g8 +g995 +tp6929 +a(g8 +g964 +tp6930 +a(g8 +g958 +tp6931 +a(g8 +g1000 +tp6932 +a(g8 +g1016 +tp6933 +a(g8 +g958 +tp6934 +a(g8 +g1033 +tp6935 +a(g8 +g1483 +tp6936 +a(g8 +g971 +tp6937 +a(g8 +g1040 +tp6938 +a(g8 +g966 +tp6939 +a(g8 +g958 +tp6940 +a(g8 +g958 +tp6941 +a(g8 +g958 +tp6942 +a(g8 +g958 +tp6943 +a(g8 +g958 +tp6944 +a(g8 +V*) +p6945 +tp6946 +a(g8 +g958 +tp6947 +a(g8 +V*) +p6948 +tp6949 +a(g827 +V\u000a\u000a\u000a +p6950 +tp6951 +a(g827 +g1173 +tp6952 +a(g794 +Vstructure +p6953 +tp6954 +a(g827 +g958 +tp6955 +a(g621 +VFgnExpStd +p6956 +tp6957 +a(g827 +g958 +tp6958 +a(g706 +g1119 +tp6959 +a(g827 +g958 +tp6960 +a(g794 +Vstruct +p6961 +tp6962 +a(g827 +V\u000a\u000a +p6963 +tp6964 +a(g794 +Vstructure +p6965 +tp6966 +a(g827 +g958 +tp6967 +a(g621 +VToInternal +p6968 +tp6969 +a(g827 +g958 +tp6970 +a(g706 +g1119 +tp6971 +a(g827 +g958 +tp6972 +a(g436 +VFgnOpnTable +p6973 +tp6974 +a(g827 +g958 +tp6975 +a(g706 +g1098 +tp6976 +a(g794 +Vtype +p6977 +tp6978 +a(g827 +g958 +tp6979 +a(g749 +Varg +p6980 +tp6981 +a(g827 +g958 +tp6982 +a(g706 +g1119 +tp6983 +a(g827 +g958 +tp6984 +a(g436 +Vunit +p6985 +tp6986 +a(g827 +V\u000a +p6987 +tp6988 +a(g827 +g1173 +tp6989 +a(g794 +Vtype +p6990 +tp6991 +a(g827 +g958 +tp6992 +a(g749 +Vresult +p6993 +tp6994 +a(g827 +g958 +tp6995 +a(g706 +g1119 +tp6996 +a(g827 +g958 +tp6997 +a(g436 +VExp +p6998 +tp6999 +a(g706 +g1110 +tp7000 +a(g827 +V\u000a\u000a +p7001 +tp7002 +a(g827 +g1173 +tp7003 +a(g794 +Vstructure +p7004 +tp7005 +a(g827 +g958 +tp7006 +a(g621 +VMap +p7007 +tp7008 +a(g827 +g958 +tp7009 +a(g706 +g1119 +tp7010 +a(g827 +g958 +tp7011 +a(g436 +VFgnOpnTable +p7012 +tp7013 +a(g827 +g958 +tp7014 +a(g706 +g1098 +tp7015 +a(g794 +Vtype +p7016 +tp7017 +a(g827 +g958 +tp7018 +a(g749 +Varg +p7019 +tp7020 +a(g827 +g958 +tp7021 +a(g706 +g1119 +tp7022 +a(g827 +g958 +tp7023 +a(g436 +VExp +p7024 +tp7025 +a(g827 +g958 +tp7026 +a(g706 +V-> +p7027 +tp7028 +a(g827 +g958 +tp7029 +a(g436 +VExp +p7030 +tp7031 +a(g827 +V\u000a +p7032 +tp7033 +a(g827 +g1173 +tp7034 +a(g794 +Vtype +p7035 +tp7036 +a(g827 +g958 +tp7037 +a(g749 +Vresult +p7038 +tp7039 +a(g827 +g958 +tp7040 +a(g706 +g1119 +tp7041 +a(g827 +g958 +tp7042 +a(g436 +VExp +p7043 +tp7044 +a(g706 +g1110 +tp7045 +a(g827 +V\u000a\u000a +p7046 +tp7047 +a(g827 +g1173 +tp7048 +a(g794 +Vstructure +p7049 +tp7050 +a(g827 +g958 +tp7051 +a(g621 +VApp +p7052 +tp7053 +a(g827 +g958 +tp7054 +a(g706 +g1119 +tp7055 +a(g827 +g958 +tp7056 +a(g436 +VFgnOpnTable +p7057 +tp7058 +a(g827 +g958 +tp7059 +a(g706 +g1098 +tp7060 +a(g794 +Vtype +p7061 +tp7062 +a(g827 +g958 +tp7063 +a(g749 +Varg +p7064 +tp7065 +a(g827 +g958 +tp7066 +a(g706 +g1119 +tp7067 +a(g827 +g958 +tp7068 +a(g436 +VExp +p7069 +tp7070 +a(g827 +g958 +tp7071 +a(g706 +V-> +p7072 +tp7073 +a(g827 +g958 +tp7074 +a(g436 +Vunit +p7075 +tp7076 +a(g827 +V\u000a +p7077 +tp7078 +a(g827 +g1173 +tp7079 +a(g794 +Vtype +p7080 +tp7081 +a(g827 +g958 +tp7082 +a(g749 +Vresult +p7083 +tp7084 +a(g827 +g958 +tp7085 +a(g706 +g1119 +tp7086 +a(g827 +g958 +tp7087 +a(g436 +Vunit +p7088 +tp7089 +a(g706 +g1110 +tp7090 +a(g827 +V\u000a\u000a +p7091 +tp7092 +a(g827 +g1173 +tp7093 +a(g794 +Vstructure +p7094 +tp7095 +a(g827 +g958 +tp7096 +a(g621 +VEqualTo +p7097 +tp7098 +a(g827 +g958 +tp7099 +a(g706 +g1119 +tp7100 +a(g827 +g958 +tp7101 +a(g436 +VFgnOpnTable +p7102 +tp7103 +a(g827 +g958 +tp7104 +a(g706 +g1098 +tp7105 +a(g794 +Vtype +p7106 +tp7107 +a(g827 +g958 +tp7108 +a(g749 +Varg +p7109 +tp7110 +a(g827 +g958 +tp7111 +a(g706 +g1119 +tp7112 +a(g827 +g958 +tp7113 +a(g436 +VExp +p7114 +tp7115 +a(g827 +V\u000a +p7116 +tp7117 +a(g827 +g1173 +tp7118 +a(g794 +Vtype +p7119 +tp7120 +a(g827 +g958 +tp7121 +a(g749 +Vresult +p7122 +tp7123 +a(g827 +g958 +tp7124 +a(g706 +g1119 +tp7125 +a(g827 +g958 +tp7126 +a(g436 +Vbool +p7127 +tp7128 +a(g706 +g1110 +tp7129 +a(g827 +V\u000a\u000a +p7130 +tp7131 +a(g827 +g1173 +tp7132 +a(g794 +Vstructure +p7133 +tp7134 +a(g827 +g958 +tp7135 +a(g621 +VUnifyWith +p7136 +tp7137 +a(g827 +g958 +tp7138 +a(g706 +g1119 +tp7139 +a(g827 +g958 +tp7140 +a(g436 +VFgnOpnTable +p7141 +tp7142 +a(g827 +g958 +tp7143 +a(g706 +g1098 +tp7144 +a(g794 +Vtype +p7145 +tp7146 +a(g827 +g958 +tp7147 +a(g749 +Varg +p7148 +tp7149 +a(g827 +g958 +tp7150 +a(g706 +g1119 +tp7151 +a(g827 +g958 +tp7152 +a(g436 +VDec +p7153 +tp7154 +a(g827 +g958 +tp7155 +a(g436 +VCtx +p7156 +tp7157 +a(g827 +g958 +tp7158 +a(g436 +g1433 +tp7159 +a(g827 +g958 +tp7160 +a(g436 +VExp +p7161 +tp7162 +a(g827 +V\u000a +p7163 +tp7164 +a(g827 +g1173 +tp7165 +a(g794 +Vtype +p7166 +tp7167 +a(g827 +g958 +tp7168 +a(g749 +Vresult +p7169 +tp7170 +a(g827 +g958 +tp7171 +a(g706 +g1119 +tp7172 +a(g827 +g958 +tp7173 +a(g436 +VFgnUnify +p7174 +tp7175 +a(g706 +g1110 +tp7176 +a(g827 +V\u000a\u000a \u000a\u000a +p7177 +tp7178 +a(g827 +g1173 +tp7179 +a(g794 +Vfun +p7180 +tp7181 +a(g827 +g958 +tp7182 +a(g574 +Vfold +p7183 +tp7184 +a(g827 +g958 +tp7185 +a(g436 +Vcsfe +p7186 +tp7187 +a(g827 +g958 +tp7188 +a(g436 +g1016 +tp7189 +a(g827 +g958 +tp7190 +a(g436 +g1074 +tp7191 +a(g827 +g958 +tp7192 +a(g706 +g1119 +tp7193 +a(g827 +g958 +tp7194 +a(g794 +Vlet +p7195 +tp7196 +a(g827 +V\u000a +p7197 +tp7198 +a(g794 +Vval +p7199 +tp7200 +a(g827 +g958 +tp7201 +a(g441 +g968 +tp7202 +a(g827 +g958 +tp7203 +a(g706 +g1119 +tp7204 +a(g827 +g958 +tp7205 +a(g436 +Vref +p7206 +tp7207 +a(g827 +g958 +tp7208 +a(g436 +g1074 +tp7209 +a(g827 +V\u000a +p7210 +tp7211 +a(g794 +Vfun +p7212 +tp7213 +a(g827 +g958 +tp7214 +a(g574 +g1024 +tp7215 +a(g827 +g958 +tp7216 +a(g436 +g2708 +tp7217 +a(g827 +g958 +tp7218 +a(g706 +g1119 +tp7219 +a(g827 +g958 +tp7220 +a(g436 +g968 +tp7221 +a(g827 +g958 +tp7222 +a(g436 +V:= +p7223 +tp7224 +a(g827 +g958 +tp7225 +a(g436 +g1016 +tp7226 +a(g827 +g958 +tp7227 +a(g706 +g1098 +tp7228 +a(g436 +g2708 +tp7229 +a(g706 +g1026 +tp7230 +a(g436 +V! +p7231 +tp7232 +a(g436 +g968 +tp7233 +a(g706 +g1110 +tp7234 +a(g827 +V\u000a +p7235 +tp7236 +a(g794 +Vin +p7237 +tp7238 +a(g827 +V\u000a +p7239 +tp7240 +a(g621 +VApp +p7241 +tp7242 +a(g706 +g1392 +tp7243 +a(g436 +Vapply +p7244 +tp7245 +a(g827 +g958 +tp7246 +a(g436 +Vcsfe +p7247 +tp7248 +a(g827 +g958 +tp7249 +a(g436 +g1024 +tp7250 +a(g827 +g958 +tp7251 +a(g706 +g1893 +tp7252 +a(g827 +g958 +tp7253 +a(g436 +g7231 +tp7254 +a(g436 +g968 +tp7255 +a(g827 +V\u000a +p7256 +tp7257 +a(g794 +Vend +p7258 +tp7259 +a(g827 +V\u000a\u000a +p7260 +tp7261 +a(g794 +Vend +p7262 +tp7263 +a(g827 +V\u000a\u000a +p7264 +tp7265 +a(g794 +Vstructure +p7266 +tp7267 +a(g827 +g958 +tp7268 +a(g621 +VFgnCnstrStd +p7269 +tp7270 +a(g827 +g958 +tp7271 +a(g706 +g1119 +tp7272 +a(g827 +g958 +tp7273 +a(g794 +Vstruct +p7274 +tp7275 +a(g827 +V\u000a\u000a +p7276 +tp7277 +a(g794 +Vstructure +p7278 +tp7279 +a(g827 +g958 +tp7280 +a(g621 +VToInternal +p7281 +tp7282 +a(g827 +g958 +tp7283 +a(g706 +g1119 +tp7284 +a(g827 +g958 +tp7285 +a(g436 +VFgnOpnTable +p7286 +tp7287 +a(g827 +g958 +tp7288 +a(g706 +g1098 +tp7289 +a(g794 +Vtype +p7290 +tp7291 +a(g827 +g958 +tp7292 +a(g749 +Varg +p7293 +tp7294 +a(g827 +g958 +tp7295 +a(g706 +g1119 +tp7296 +a(g827 +g958 +tp7297 +a(g436 +Vunit +p7298 +tp7299 +a(g827 +V\u000a +p7300 +tp7301 +a(g827 +g1173 +tp7302 +a(g794 +Vtype +p7303 +tp7304 +a(g827 +g958 +tp7305 +a(g749 +Vresult +p7306 +tp7307 +a(g827 +g958 +tp7308 +a(g706 +g1119 +tp7309 +a(g827 +g958 +tp7310 +a(g706 +g1098 +tp7311 +a(g436 +VDec +p7312 +tp7313 +a(g827 +g958 +tp7314 +a(g436 +VCtx +p7315 +tp7316 +a(g827 +g958 +tp7317 +a(g436 +g1433 +tp7318 +a(g827 +g958 +tp7319 +a(g436 +VExp +p7320 +tp7321 +a(g706 +g1110 +tp7322 +a(g827 +g958 +tp7323 +a(g436 +Vlist +p7324 +tp7325 +a(g706 +g1110 +tp7326 +a(g827 +V\u000a\u000a +p7327 +tp7328 +a(g827 +g1173 +tp7329 +a(g794 +Vstructure +p7330 +tp7331 +a(g827 +g958 +tp7332 +a(g621 +VAwake +p7333 +tp7334 +a(g827 +g958 +tp7335 +a(g706 +g1119 +tp7336 +a(g827 +g958 +tp7337 +a(g436 +VFgnOpnTable +p7338 +tp7339 +a(g827 +g958 +tp7340 +a(g706 +g1098 +tp7341 +a(g794 +Vtype +p7342 +tp7343 +a(g827 +g958 +tp7344 +a(g749 +Varg +p7345 +tp7346 +a(g827 +g958 +tp7347 +a(g706 +g1119 +tp7348 +a(g827 +g958 +tp7349 +a(g436 +Vunit +p7350 +tp7351 +a(g827 +V\u000a +p7352 +tp7353 +a(g827 +g1173 +tp7354 +a(g794 +Vtype +p7355 +tp7356 +a(g827 +g958 +tp7357 +a(g749 +Vresult +p7358 +tp7359 +a(g827 +g958 +tp7360 +a(g706 +g1119 +tp7361 +a(g827 +g958 +tp7362 +a(g436 +Vbool +p7363 +tp7364 +a(g706 +g1110 +tp7365 +a(g827 +V\u000a\u000a +p7366 +tp7367 +a(g827 +g1173 +tp7368 +a(g794 +Vstructure +p7369 +tp7370 +a(g827 +g958 +tp7371 +a(g621 +VSimplify +p7372 +tp7373 +a(g827 +g958 +tp7374 +a(g706 +g1119 +tp7375 +a(g827 +g958 +tp7376 +a(g436 +VFgnOpnTable +p7377 +tp7378 +a(g827 +g958 +tp7379 +a(g706 +g1098 +tp7380 +a(g794 +Vtype +p7381 +tp7382 +a(g827 +g958 +tp7383 +a(g749 +Varg +p7384 +tp7385 +a(g827 +g958 +tp7386 +a(g706 +g1119 +tp7387 +a(g827 +g958 +tp7388 +a(g436 +Vunit +p7389 +tp7390 +a(g827 +V\u000a +p7391 +tp7392 +a(g827 +g1173 +tp7393 +a(g794 +Vtype +p7394 +tp7395 +a(g827 +g958 +tp7396 +a(g749 +Vresult +p7397 +tp7398 +a(g827 +g958 +tp7399 +a(g706 +g1119 +tp7400 +a(g827 +g958 +tp7401 +a(g436 +Vbool +p7402 +tp7403 +a(g706 +g1110 +tp7404 +a(g827 +V\u000a\u000a +p7405 +tp7406 +a(g827 +g1173 +tp7407 +a(g794 +Vend +p7408 +tp7409 +a(g827 +V\u000a\u000a +p7410 +tp7411 +a(g794 +Vfun +p7412 +tp7413 +a(g827 +g958 +tp7414 +a(g574 +VconDecName +p7415 +tp7416 +a(g827 +g958 +tp7417 +a(g706 +g1098 +tp7418 +a(g436 +VConDec +p7419 +tp7420 +a(g827 +g958 +tp7421 +a(g706 +g1098 +tp7422 +a(g436 +Vname +p7423 +tp7424 +a(g706 +g1026 +tp7425 +a(g827 +g958 +tp7426 +a(g706 +g1779 +tp7427 +a(g706 +g1026 +tp7428 +a(g827 +g958 +tp7429 +a(g706 +g1779 +tp7430 +a(g706 +g1026 +tp7431 +a(g827 +g958 +tp7432 +a(g706 +g1779 +tp7433 +a(g706 +g1026 +tp7434 +a(g827 +g958 +tp7435 +a(g706 +g1779 +tp7436 +a(g706 +g1026 +tp7437 +a(g827 +g958 +tp7438 +a(g706 +g1779 +tp7439 +a(g706 +g1110 +tp7440 +a(g706 +g1110 +tp7441 +a(g827 +g958 +tp7442 +a(g706 +g1119 +tp7443 +a(g827 +g958 +tp7444 +a(g436 +Vname +p7445 +tp7446 +a(g827 +V\u000a +p7447 +tp7448 +a(g706 +g1418 +tp7449 +a(g827 +g958 +tp7450 +a(g574 +VconDecName +p7451 +tp7452 +a(g827 +g958 +tp7453 +a(g706 +g1098 +tp7454 +a(g436 +VConDef +p7455 +tp7456 +a(g827 +g958 +tp7457 +a(g706 +g1098 +tp7458 +a(g436 +Vname +p7459 +tp7460 +a(g706 +g1026 +tp7461 +a(g827 +g958 +tp7462 +a(g706 +g1779 +tp7463 +a(g706 +g1026 +tp7464 +a(g827 +g958 +tp7465 +a(g706 +g1779 +tp7466 +a(g706 +g1026 +tp7467 +a(g827 +g958 +tp7468 +a(g706 +g1779 +tp7469 +a(g706 +g1026 +tp7470 +a(g827 +g958 +tp7471 +a(g706 +g1779 +tp7472 +a(g706 +g1026 +tp7473 +a(g827 +g958 +tp7474 +a(g706 +g1779 +tp7475 +a(g706 +g1026 +tp7476 +a(g827 +g958 +tp7477 +a(g706 +g1779 +tp7478 +a(g706 +g1110 +tp7479 +a(g706 +g1110 +tp7480 +a(g827 +g958 +tp7481 +a(g706 +g1119 +tp7482 +a(g827 +g958 +tp7483 +a(g436 +Vname +p7484 +tp7485 +a(g827 +V\u000a +p7486 +tp7487 +a(g706 +g1418 +tp7488 +a(g827 +g958 +tp7489 +a(g574 +VconDecName +p7490 +tp7491 +a(g827 +g958 +tp7492 +a(g706 +g1098 +tp7493 +a(g436 +VAbbrevDef +p7494 +tp7495 +a(g827 +g958 +tp7496 +a(g706 +g1098 +tp7497 +a(g436 +Vname +p7498 +tp7499 +a(g706 +g1026 +tp7500 +a(g827 +g958 +tp7501 +a(g706 +g1779 +tp7502 +a(g706 +g1026 +tp7503 +a(g827 +g958 +tp7504 +a(g706 +g1779 +tp7505 +a(g706 +g1026 +tp7506 +a(g827 +g958 +tp7507 +a(g706 +g1779 +tp7508 +a(g706 +g1026 +tp7509 +a(g827 +g958 +tp7510 +a(g706 +g1779 +tp7511 +a(g706 +g1026 +tp7512 +a(g827 +g958 +tp7513 +a(g706 +g1779 +tp7514 +a(g706 +g1110 +tp7515 +a(g706 +g1110 +tp7516 +a(g827 +g958 +tp7517 +a(g706 +g1119 +tp7518 +a(g827 +g958 +tp7519 +a(g436 +Vname +p7520 +tp7521 +a(g827 +V\u000a +p7522 +tp7523 +a(g706 +g1418 +tp7524 +a(g827 +g958 +tp7525 +a(g574 +VconDecName +p7526 +tp7527 +a(g827 +g958 +tp7528 +a(g706 +g1098 +tp7529 +a(g436 +VSkoDec +p7530 +tp7531 +a(g827 +g958 +tp7532 +a(g706 +g1098 +tp7533 +a(g436 +Vname +p7534 +tp7535 +a(g706 +g1026 +tp7536 +a(g827 +g958 +tp7537 +a(g706 +g1779 +tp7538 +a(g706 +g1026 +tp7539 +a(g827 +g958 +tp7540 +a(g706 +g1779 +tp7541 +a(g706 +g1026 +tp7542 +a(g827 +g958 +tp7543 +a(g706 +g1779 +tp7544 +a(g706 +g1026 +tp7545 +a(g827 +g958 +tp7546 +a(g706 +g1779 +tp7547 +a(g706 +g1110 +tp7548 +a(g706 +g1110 +tp7549 +a(g827 +g958 +tp7550 +a(g706 +g1119 +tp7551 +a(g827 +g958 +tp7552 +a(g436 +Vname +p7553 +tp7554 +a(g827 +V\u000a +p7555 +tp7556 +a(g706 +g1418 +tp7557 +a(g827 +g958 +tp7558 +a(g574 +VconDecName +p7559 +tp7560 +a(g827 +g958 +tp7561 +a(g706 +g1098 +tp7562 +a(g436 +VBlockDec +p7563 +tp7564 +a(g827 +g958 +tp7565 +a(g706 +g1098 +tp7566 +a(g436 +Vname +p7567 +tp7568 +a(g706 +g1026 +tp7569 +a(g827 +g958 +tp7570 +a(g706 +g1779 +tp7571 +a(g706 +g1026 +tp7572 +a(g827 +g958 +tp7573 +a(g706 +g1779 +tp7574 +a(g706 +g1026 +tp7575 +a(g827 +g958 +tp7576 +a(g706 +g1779 +tp7577 +a(g706 +g1110 +tp7578 +a(g706 +g1110 +tp7579 +a(g827 +g958 +tp7580 +a(g706 +g1119 +tp7581 +a(g827 +g958 +tp7582 +a(g436 +Vname +p7583 +tp7584 +a(g827 +V\u000a +p7585 +tp7586 +a(g706 +g1418 +tp7587 +a(g827 +g958 +tp7588 +a(g574 +VconDecName +p7589 +tp7590 +a(g827 +g958 +tp7591 +a(g706 +g1098 +tp7592 +a(g436 +VBlockDef +p7593 +tp7594 +a(g827 +g958 +tp7595 +a(g706 +g1098 +tp7596 +a(g436 +Vname +p7597 +tp7598 +a(g706 +g1026 +tp7599 +a(g827 +g958 +tp7600 +a(g706 +g1779 +tp7601 +a(g706 +g1026 +tp7602 +a(g827 +g958 +tp7603 +a(g706 +g1779 +tp7604 +a(g706 +g1110 +tp7605 +a(g706 +g1110 +tp7606 +a(g827 +g958 +tp7607 +a(g706 +g1119 +tp7608 +a(g827 +g958 +tp7609 +a(g436 +Vname +p7610 +tp7611 +a(g827 +V\u000a\u000a +p7612 +tp7613 +a(g794 +Vfun +p7614 +tp7615 +a(g827 +g958 +tp7616 +a(g574 +VconDecParent +p7617 +tp7618 +a(g827 +g958 +tp7619 +a(g706 +g1098 +tp7620 +a(g436 +VConDec +p7621 +tp7622 +a(g827 +g958 +tp7623 +a(g706 +g1098 +tp7624 +a(g706 +g1779 +tp7625 +a(g706 +g1026 +tp7626 +a(g827 +g958 +tp7627 +a(g436 +Vparent +p7628 +tp7629 +a(g706 +g1026 +tp7630 +a(g827 +g958 +tp7631 +a(g706 +g1779 +tp7632 +a(g706 +g1026 +tp7633 +a(g827 +g958 +tp7634 +a(g706 +g1779 +tp7635 +a(g706 +g1026 +tp7636 +a(g827 +g958 +tp7637 +a(g706 +g1779 +tp7638 +a(g706 +g1026 +tp7639 +a(g827 +g958 +tp7640 +a(g706 +g1779 +tp7641 +a(g706 +g1110 +tp7642 +a(g706 +g1110 +tp7643 +a(g827 +g958 +tp7644 +a(g706 +g1119 +tp7645 +a(g827 +g958 +tp7646 +a(g436 +Vparent +p7647 +tp7648 +a(g827 +V\u000a +p7649 +tp7650 +a(g706 +g1418 +tp7651 +a(g827 +g958 +tp7652 +a(g574 +VconDecParent +p7653 +tp7654 +a(g827 +g958 +tp7655 +a(g706 +g1098 +tp7656 +a(g436 +VConDef +p7657 +tp7658 +a(g827 +g958 +tp7659 +a(g706 +g1098 +tp7660 +a(g706 +g1779 +tp7661 +a(g706 +g1026 +tp7662 +a(g827 +g958 +tp7663 +a(g436 +Vparent +p7664 +tp7665 +a(g706 +g1026 +tp7666 +a(g827 +g958 +tp7667 +a(g706 +g1779 +tp7668 +a(g706 +g1026 +tp7669 +a(g827 +g958 +tp7670 +a(g706 +g1779 +tp7671 +a(g706 +g1026 +tp7672 +a(g827 +g958 +tp7673 +a(g706 +g1779 +tp7674 +a(g706 +g1026 +tp7675 +a(g827 +g958 +tp7676 +a(g706 +g1779 +tp7677 +a(g706 +g1026 +tp7678 +a(g827 +g958 +tp7679 +a(g706 +g1779 +tp7680 +a(g706 +g1110 +tp7681 +a(g706 +g1110 +tp7682 +a(g827 +g958 +tp7683 +a(g706 +g1119 +tp7684 +a(g827 +g958 +tp7685 +a(g436 +Vparent +p7686 +tp7687 +a(g827 +V\u000a +p7688 +tp7689 +a(g706 +g1418 +tp7690 +a(g827 +g958 +tp7691 +a(g574 +VconDecParent +p7692 +tp7693 +a(g827 +g958 +tp7694 +a(g706 +g1098 +tp7695 +a(g436 +VAbbrevDef +p7696 +tp7697 +a(g827 +g958 +tp7698 +a(g706 +g1098 +tp7699 +a(g706 +g1779 +tp7700 +a(g706 +g1026 +tp7701 +a(g827 +g958 +tp7702 +a(g436 +Vparent +p7703 +tp7704 +a(g706 +g1026 +tp7705 +a(g827 +g958 +tp7706 +a(g706 +g1779 +tp7707 +a(g706 +g1026 +tp7708 +a(g827 +g958 +tp7709 +a(g706 +g1779 +tp7710 +a(g706 +g1026 +tp7711 +a(g827 +g958 +tp7712 +a(g706 +g1779 +tp7713 +a(g706 +g1026 +tp7714 +a(g827 +g958 +tp7715 +a(g706 +g1779 +tp7716 +a(g706 +g1110 +tp7717 +a(g706 +g1110 +tp7718 +a(g827 +g958 +tp7719 +a(g706 +g1119 +tp7720 +a(g827 +g958 +tp7721 +a(g436 +Vparent +p7722 +tp7723 +a(g827 +V\u000a +p7724 +tp7725 +a(g706 +g1418 +tp7726 +a(g827 +g958 +tp7727 +a(g574 +VconDecParent +p7728 +tp7729 +a(g827 +g958 +tp7730 +a(g706 +g1098 +tp7731 +a(g436 +VSkoDec +p7732 +tp7733 +a(g827 +g958 +tp7734 +a(g706 +g1098 +tp7735 +a(g706 +g1779 +tp7736 +a(g706 +g1026 +tp7737 +a(g827 +g958 +tp7738 +a(g436 +Vparent +p7739 +tp7740 +a(g706 +g1026 +tp7741 +a(g827 +g958 +tp7742 +a(g706 +g1779 +tp7743 +a(g706 +g1026 +tp7744 +a(g827 +g958 +tp7745 +a(g706 +g1779 +tp7746 +a(g706 +g1026 +tp7747 +a(g827 +g958 +tp7748 +a(g706 +g1779 +tp7749 +a(g706 +g1110 +tp7750 +a(g706 +g1110 +tp7751 +a(g827 +g958 +tp7752 +a(g706 +g1119 +tp7753 +a(g827 +g958 +tp7754 +a(g436 +Vparent +p7755 +tp7756 +a(g827 +V\u000a +p7757 +tp7758 +a(g706 +g1418 +tp7759 +a(g827 +g958 +tp7760 +a(g574 +VconDecParent +p7761 +tp7762 +a(g827 +g958 +tp7763 +a(g706 +g1098 +tp7764 +a(g436 +VBlockDec +p7765 +tp7766 +a(g827 +g958 +tp7767 +a(g706 +g1098 +tp7768 +a(g706 +g1779 +tp7769 +a(g706 +g1026 +tp7770 +a(g827 +g958 +tp7771 +a(g436 +Vparent +p7772 +tp7773 +a(g706 +g1026 +tp7774 +a(g827 +g958 +tp7775 +a(g706 +g1779 +tp7776 +a(g706 +g1026 +tp7777 +a(g827 +g958 +tp7778 +a(g706 +g1779 +tp7779 +a(g706 +g1110 +tp7780 +a(g706 +g1110 +tp7781 +a(g827 +g958 +tp7782 +a(g706 +g1119 +tp7783 +a(g827 +g958 +tp7784 +a(g436 +Vparent +p7785 +tp7786 +a(g827 +V\u000a +p7787 +tp7788 +a(g706 +g1418 +tp7789 +a(g827 +g958 +tp7790 +a(g574 +VconDecParent +p7791 +tp7792 +a(g827 +g958 +tp7793 +a(g706 +g1098 +tp7794 +a(g436 +VBlockDef +p7795 +tp7796 +a(g827 +g958 +tp7797 +a(g706 +g1098 +tp7798 +a(g706 +g1779 +tp7799 +a(g706 +g1026 +tp7800 +a(g827 +g958 +tp7801 +a(g436 +Vparent +p7802 +tp7803 +a(g706 +g1026 +tp7804 +a(g827 +g958 +tp7805 +a(g706 +g1779 +tp7806 +a(g706 +g1110 +tp7807 +a(g706 +g1110 +tp7808 +a(g827 +g958 +tp7809 +a(g706 +g1119 +tp7810 +a(g827 +g958 +tp7811 +a(g436 +Vparent +p7812 +tp7813 +a(g827 +V\u000a \u000a\u000a +p7814 +tp7815 +a(g8 +V(* +p7816 +tp7817 +a(g8 +g958 +tp7818 +a(g8 +g1040 +tp7819 +a(g8 +g1000 +tp7820 +a(g8 +g962 +tp7821 +a(g8 +g1452 +tp7822 +a(g8 +g966 +tp7823 +a(g8 +g1040 +tp7824 +a(g8 +g960 +tp7825 +a(g8 +g1046 +tp7826 +a(g8 +g1483 +tp7827 +a(g8 +g958 +tp7828 +a(g8 +g1098 +tp7829 +a(g8 +g1029 +tp7830 +a(g8 +g1452 +tp7831 +a(g8 +g1110 +tp7832 +a(g8 +g958 +tp7833 +a(g8 +g1119 +tp7834 +a(g8 +g958 +tp7835 +a(g8 +g1011 +tp7836 +a(g8 +V\u000a +p7837 +tp7838 +a(g8 +V\u000a +p7839 +tp7840 +a(g8 +g958 +tp7841 +a(g8 +g958 +tp7842 +a(g8 +g958 +tp7843 +a(g8 +g958 +tp7844 +a(g8 +g958 +tp7845 +a(g8 +g960 +tp7846 +a(g8 +g962 +tp7847 +a(g8 +g1506 +tp7848 +a(g8 +g971 +tp7849 +a(g8 +g968 +tp7850 +a(g8 +g1021 +tp7851 +a(g8 +g971 +tp7852 +a(g8 +g962 +tp7853 +a(g8 +g964 +tp7854 +a(g8 +g1003 +tp7855 +a(g8 +V\u000a +p7856 +tp7857 +a(g8 +g958 +tp7858 +a(g8 +g958 +tp7859 +a(g8 +g958 +tp7860 +a(g8 +g958 +tp7861 +a(g8 +g958 +tp7862 +a(g8 +g960 +tp7863 +a(g8 +g1016 +tp7864 +a(g8 +g958 +tp7865 +a(g8 +g958 +tp7866 +a(g8 +g958 +tp7867 +a(g8 +g1029 +tp7868 +a(g8 +g1452 +tp7869 +a(g8 +g958 +tp7870 +a(g8 +g1021 +tp7871 +a(g8 +g1033 +tp7872 +a(g8 +g958 +tp7873 +a(g8 +g966 +tp7874 +a(g8 +g1021 +tp7875 +a(g8 +g964 +tp7876 +a(g8 +g998 +tp7877 +a(g8 +g966 +tp7878 +a(g8 +g968 +tp7879 +a(g8 +g958 +tp7880 +a(g8 +g971 +tp7881 +a(g8 +g958 +tp7882 +a(g8 +g1062 +tp7883 +a(g8 +g966 +tp7884 +a(g8 +g1040 +tp7885 +a(g8 +g973 +tp7886 +a(g8 +g971 +tp7887 +a(g8 +g968 +tp7888 +a(g8 +g971 +tp7889 +a(g8 +g964 +tp7890 +a(g8 +g1021 +tp7891 +a(g8 +g1000 +tp7892 +a(g8 +g962 +tp7893 +a(g8 +g1026 +tp7894 +a(g8 +g958 +tp7895 +a(g8 +g1062 +tp7896 +a(g8 +g966 +tp7897 +a(g8 +g1016 +tp7898 +a(g8 +g1021 +tp7899 +a(g8 +g962 +tp7900 +a(g8 +g1021 +tp7901 +a(g8 +g964 +tp7902 +a(g8 +g1021 +tp7903 +a(g8 +g1000 +tp7904 +a(g8 +g962 +tp7905 +a(g8 +g1026 +tp7906 +a(g8 +g958 +tp7907 +a(g8 +g971 +tp7908 +a(g8 +g1074 +tp7909 +a(g8 +g1074 +tp7910 +a(g8 +g968 +tp7911 +a(g8 +g966 +tp7912 +a(g8 +g1506 +tp7913 +a(g8 +g1021 +tp7914 +a(g8 +g971 +tp7915 +a(g8 +g964 +tp7916 +a(g8 +g1021 +tp7917 +a(g8 +g1000 +tp7918 +a(g8 +g962 +tp7919 +a(g8 +g1026 +tp7920 +a(g8 +g958 +tp7921 +a(g8 +g1000 +tp7922 +a(g8 +g968 +tp7923 +a(g8 +g958 +tp7924 +a(g8 +V\u000a +p7925 +tp7926 +a(g8 +g958 +tp7927 +a(g8 +g958 +tp7928 +a(g8 +g958 +tp7929 +a(g8 +g958 +tp7930 +a(g8 +g958 +tp7931 +a(g8 +g958 +tp7932 +a(g8 +g958 +tp7933 +a(g8 +g958 +tp7934 +a(g8 +g958 +tp7935 +a(g8 +g958 +tp7936 +a(g8 +g971 +tp7937 +a(g8 +g958 +tp7938 +a(g8 +g976 +tp7939 +a(g8 +g1011 +tp7940 +a(g8 +g1000 +tp7941 +a(g8 +g973 +tp7942 +a(g8 +g966 +tp7943 +a(g8 +g1046 +tp7944 +a(g8 +g958 +tp7945 +a(g8 +g1040 +tp7946 +a(g8 +g1000 +tp7947 +a(g8 +g962 +tp7948 +a(g8 +g1033 +tp7949 +a(g8 +g964 +tp7950 +a(g8 +g971 +tp7951 +a(g8 +g962 +tp7952 +a(g8 +g964 +tp7953 +a(g8 +V\u000a +p7954 +tp7955 +a(g8 +g958 +tp7956 +a(g8 +g958 +tp7957 +a(g8 +g958 +tp7958 +a(g8 +g958 +tp7959 +a(g8 +g958 +tp7960 +a(g8 +g964 +tp7961 +a(g8 +g998 +tp7962 +a(g8 +g966 +tp7963 +a(g8 +g962 +tp7964 +a(g8 +g958 +tp7965 +a(g8 +g1011 +tp7966 +a(g8 +g958 +tp7967 +a(g8 +g1033 +tp7968 +a(g8 +g964 +tp7969 +a(g8 +g971 +tp7970 +a(g8 +g962 +tp7971 +a(g8 +g1062 +tp7972 +a(g8 +g1033 +tp7973 +a(g8 +g958 +tp7974 +a(g8 +g1016 +tp7975 +a(g8 +g1000 +tp7976 +a(g8 +g968 +tp7977 +a(g8 +g958 +tp7978 +a(g8 +g964 +tp7979 +a(g8 +g998 +tp7980 +a(g8 +g966 +tp7981 +a(g8 +g958 +tp7982 +a(g8 +g962 +tp7983 +a(g8 +g995 +tp7984 +a(g8 +g1046 +tp7985 +a(g8 +g1074 +tp7986 +a(g8 +g966 +tp7987 +a(g8 +g968 +tp7988 +a(g8 +g958 +tp7989 +a(g8 +g1000 +tp7990 +a(g8 +g1016 +tp7991 +a(g8 +g958 +tp7992 +a(g8 +g1021 +tp7993 +a(g8 +g1046 +tp7994 +a(g8 +g1483 +tp7995 +a(g8 +g973 +tp7996 +a(g8 +g1021 +tp7997 +a(g8 +g1040 +tp7998 +a(g8 +g1021 +tp7999 +a(g8 +g964 +tp8000 +a(g8 +g958 +tp8001 +a(g8 +g966 +tp8002 +a(g8 +g973 +tp8003 +a(g8 +g966 +tp8004 +a(g8 +g1046 +tp8005 +a(g8 +g966 +tp8006 +a(g8 +g962 +tp8007 +a(g8 +g964 +tp8008 +a(g8 +g1033 +tp8009 +a(g8 +g1392 +tp8010 +a(g8 +V\u000a +p8011 +tp8012 +a(g8 +g958 +tp8013 +a(g8 +g958 +tp8014 +a(g8 +V*) +p8015 +tp8016 +a(g827 +V\u000a +p8017 +tp8018 +a(g794 +Vfun +p8019 +tp8020 +a(g827 +g958 +tp8021 +a(g574 +VconDecImp +p8022 +tp8023 +a(g827 +g958 +tp8024 +a(g706 +g1098 +tp8025 +a(g436 +VConDec +p8026 +tp8027 +a(g827 +g958 +tp8028 +a(g706 +g1098 +tp8029 +a(g706 +g1779 +tp8030 +a(g706 +g1026 +tp8031 +a(g827 +g958 +tp8032 +a(g706 +g1779 +tp8033 +a(g706 +g1026 +tp8034 +a(g827 +g958 +tp8035 +a(g436 +g1021 +tp8036 +a(g706 +g1026 +tp8037 +a(g827 +g958 +tp8038 +a(g706 +g1779 +tp8039 +a(g706 +g1026 +tp8040 +a(g827 +g958 +tp8041 +a(g706 +g1779 +tp8042 +a(g706 +g1026 +tp8043 +a(g827 +g958 +tp8044 +a(g706 +g1779 +tp8045 +a(g706 +g1110 +tp8046 +a(g706 +g1110 +tp8047 +a(g827 +g958 +tp8048 +a(g706 +g1119 +tp8049 +a(g827 +g958 +tp8050 +a(g436 +g1021 +tp8051 +a(g827 +V\u000a +p8052 +tp8053 +a(g706 +g1418 +tp8054 +a(g827 +g958 +tp8055 +a(g574 +VconDecImp +p8056 +tp8057 +a(g827 +g958 +tp8058 +a(g706 +g1098 +tp8059 +a(g436 +VConDef +p8060 +tp8061 +a(g827 +g958 +tp8062 +a(g706 +g1098 +tp8063 +a(g706 +g1779 +tp8064 +a(g706 +g1026 +tp8065 +a(g827 +g958 +tp8066 +a(g706 +g1779 +tp8067 +a(g706 +g1026 +tp8068 +a(g827 +g958 +tp8069 +a(g436 +g1021 +tp8070 +a(g706 +g1026 +tp8071 +a(g827 +g958 +tp8072 +a(g706 +g1779 +tp8073 +a(g706 +g1026 +tp8074 +a(g827 +g958 +tp8075 +a(g706 +g1779 +tp8076 +a(g706 +g1026 +tp8077 +a(g827 +g958 +tp8078 +a(g706 +g1779 +tp8079 +a(g706 +g1026 +tp8080 +a(g827 +g958 +tp8081 +a(g706 +g1779 +tp8082 +a(g706 +g1110 +tp8083 +a(g706 +g1110 +tp8084 +a(g827 +g958 +tp8085 +a(g706 +g1119 +tp8086 +a(g827 +g958 +tp8087 +a(g436 +g1021 +tp8088 +a(g827 +V\u000a +p8089 +tp8090 +a(g706 +g1418 +tp8091 +a(g827 +g958 +tp8092 +a(g574 +VconDecImp +p8093 +tp8094 +a(g827 +g958 +tp8095 +a(g706 +g1098 +tp8096 +a(g436 +VAbbrevDef +p8097 +tp8098 +a(g827 +g958 +tp8099 +a(g706 +g1098 +tp8100 +a(g706 +g1779 +tp8101 +a(g706 +g1026 +tp8102 +a(g827 +g958 +tp8103 +a(g706 +g1779 +tp8104 +a(g706 +g1026 +tp8105 +a(g827 +g958 +tp8106 +a(g436 +g1021 +tp8107 +a(g706 +g1026 +tp8108 +a(g827 +g958 +tp8109 +a(g706 +g1779 +tp8110 +a(g706 +g1026 +tp8111 +a(g827 +g958 +tp8112 +a(g706 +g1779 +tp8113 +a(g706 +g1026 +tp8114 +a(g827 +g958 +tp8115 +a(g706 +g1779 +tp8116 +a(g706 +g1110 +tp8117 +a(g706 +g1110 +tp8118 +a(g827 +g958 +tp8119 +a(g706 +g1119 +tp8120 +a(g827 +g958 +tp8121 +a(g436 +g1021 +tp8122 +a(g827 +V\u000a +p8123 +tp8124 +a(g706 +g1418 +tp8125 +a(g827 +g958 +tp8126 +a(g574 +VconDecImp +p8127 +tp8128 +a(g827 +g958 +tp8129 +a(g706 +g1098 +tp8130 +a(g436 +VSkoDec +p8131 +tp8132 +a(g827 +g958 +tp8133 +a(g706 +g1098 +tp8134 +a(g706 +g1779 +tp8135 +a(g706 +g1026 +tp8136 +a(g827 +g958 +tp8137 +a(g706 +g1779 +tp8138 +a(g706 +g1026 +tp8139 +a(g827 +g958 +tp8140 +a(g436 +g1021 +tp8141 +a(g706 +g1026 +tp8142 +a(g827 +g958 +tp8143 +a(g706 +g1779 +tp8144 +a(g706 +g1026 +tp8145 +a(g827 +g958 +tp8146 +a(g706 +g1779 +tp8147 +a(g706 +g1110 +tp8148 +a(g706 +g1110 +tp8149 +a(g827 +g958 +tp8150 +a(g706 +g1119 +tp8151 +a(g827 +g958 +tp8152 +a(g436 +g1021 +tp8153 +a(g827 +V\u000a +p8154 +tp8155 +a(g706 +g1418 +tp8156 +a(g827 +g958 +tp8157 +a(g574 +VconDecImp +p8158 +tp8159 +a(g827 +g958 +tp8160 +a(g706 +g1098 +tp8161 +a(g436 +VBlockDec +p8162 +tp8163 +a(g827 +g958 +tp8164 +a(g706 +g1098 +tp8165 +a(g706 +g1779 +tp8166 +a(g706 +g1026 +tp8167 +a(g827 +g958 +tp8168 +a(g706 +g1779 +tp8169 +a(g706 +g1026 +tp8170 +a(g827 +V +p8171 +tp8172 +a(g706 +g1779 +tp8173 +a(g706 +g1026 +tp8174 +a(g827 +g958 +tp8175 +a(g706 +g1779 +tp8176 +a(g706 +g1110 +tp8177 +a(g706 +g1110 +tp8178 +a(g827 +g958 +tp8179 +a(g706 +g1119 +tp8180 +a(g827 +g958 +tp8181 +a(g37 +g2130 +tp8182 +a(g827 +V +p8183 +tp8184 +a(g8 +V(* +p8185 +tp8186 +a(g8 +g958 +tp8187 +a(g8 +g1704 +tp8188 +a(g8 +g971 +tp8189 +a(g8 +g964 +tp8190 +a(g8 +g1040 +tp8191 +a(g8 +g998 +tp8192 +a(g8 +g958 +tp8193 +a(g8 +g1000 +tp8194 +a(g8 +g995 +tp8195 +a(g8 +g964 +tp8196 +a(g8 +g958 +tp8197 +a(g8 +g1800 +tp8198 +a(g8 +g1800 +tp8199 +a(g8 +g958 +tp8200 +a(g8 +g1040 +tp8201 +a(g8 +g971 +tp8202 +a(g8 +g968 +tp8203 +a(g8 +g1033 +tp8204 +a(g8 +g964 +tp8205 +a(g8 +g966 +tp8206 +a(g8 +g962 +tp8207 +a(g8 +g958 +tp8208 +a(g8 +V*) +p8209 +tp8210 +a(g827 +V\u000a\u000a +p8211 +tp8212 +a(g794 +Vfun +p8213 +tp8214 +a(g827 +g958 +tp8215 +a(g574 +VconDecStatus +p8216 +tp8217 +a(g827 +g958 +tp8218 +a(g706 +g1098 +tp8219 +a(g436 +VConDec +p8220 +tp8221 +a(g827 +g958 +tp8222 +a(g706 +g1098 +tp8223 +a(g706 +g1779 +tp8224 +a(g706 +g1026 +tp8225 +a(g827 +g958 +tp8226 +a(g706 +g1779 +tp8227 +a(g706 +g1026 +tp8228 +a(g827 +g958 +tp8229 +a(g706 +g1779 +tp8230 +a(g706 +g1026 +tp8231 +a(g827 +g958 +tp8232 +a(g436 +Vstatus +p8233 +tp8234 +a(g706 +g1026 +tp8235 +a(g827 +g958 +tp8236 +a(g706 +g1779 +tp8237 +a(g706 +g1026 +tp8238 +a(g827 +g958 +tp8239 +a(g706 +g1779 +tp8240 +a(g706 +g1110 +tp8241 +a(g706 +g1110 +tp8242 +a(g827 +g958 +tp8243 +a(g706 +g1119 +tp8244 +a(g827 +g958 +tp8245 +a(g436 +Vstatus +p8246 +tp8247 +a(g827 +V\u000a +p8248 +tp8249 +a(g706 +g1418 +tp8250 +a(g827 +g958 +tp8251 +a(g574 +VconDecStatus +p8252 +tp8253 +a(g827 +g958 +tp8254 +a(g706 +g1779 +tp8255 +a(g827 +g958 +tp8256 +a(g706 +g1119 +tp8257 +a(g827 +g958 +tp8258 +a(g436 +VNormal +p8259 +tp8260 +a(g827 +V\u000a\u000a +p8261 +tp8262 +a(g8 +V(* +p8263 +tp8264 +a(g8 +g958 +tp8265 +a(g8 +g1040 +tp8266 +a(g8 +g1000 +tp8267 +a(g8 +g962 +tp8268 +a(g8 +g1452 +tp8269 +a(g8 +g966 +tp8270 +a(g8 +g1040 +tp8271 +a(g8 +g2794 +tp8272 +a(g8 +g978 +tp8273 +a(g8 +g1483 +tp8274 +a(g8 +g966 +tp8275 +a(g8 +g958 +tp8276 +a(g8 +g1098 +tp8277 +a(g8 +g1029 +tp8278 +a(g8 +g1452 +tp8279 +a(g8 +g1110 +tp8280 +a(g8 +g958 +tp8281 +a(g8 +g1119 +tp8282 +a(g8 +g958 +tp8283 +a(g8 +g958 +tp8284 +a(g8 +g1081 +tp8285 +a(g8 +V\u000a +p8286 +tp8287 +a(g8 +V\u000a +p8288 +tp8289 +a(g8 +g958 +tp8290 +a(g8 +g958 +tp8291 +a(g8 +g958 +tp8292 +a(g8 +g958 +tp8293 +a(g8 +g958 +tp8294 +a(g8 +g960 +tp8295 +a(g8 +g962 +tp8296 +a(g8 +g1506 +tp8297 +a(g8 +g971 +tp8298 +a(g8 +g968 +tp8299 +a(g8 +g1021 +tp8300 +a(g8 +g971 +tp8301 +a(g8 +g962 +tp8302 +a(g8 +g964 +tp8303 +a(g8 +g1003 +tp8304 +a(g8 +V\u000a +p8305 +tp8306 +a(g8 +g958 +tp8307 +a(g8 +g958 +tp8308 +a(g8 +g958 +tp8309 +a(g8 +g958 +tp8310 +a(g8 +g958 +tp8311 +a(g8 +g960 +tp8312 +a(g8 +g1016 +tp8313 +a(g8 +g958 +tp8314 +a(g8 +g958 +tp8315 +a(g8 +g958 +tp8316 +a(g8 +g1029 +tp8317 +a(g8 +g1452 +tp8318 +a(g8 +g958 +tp8319 +a(g8 +g1021 +tp8320 +a(g8 +g1033 +tp8321 +a(g8 +g958 +tp8322 +a(g8 +g966 +tp8323 +a(g8 +g1021 +tp8324 +a(g8 +g964 +tp8325 +a(g8 +g998 +tp8326 +a(g8 +g966 +tp8327 +a(g8 +g968 +tp8328 +a(g8 +g958 +tp8329 +a(g8 +g971 +tp8330 +a(g8 +g958 +tp8331 +a(g8 +g1062 +tp8332 +a(g8 +g966 +tp8333 +a(g8 +g1040 +tp8334 +a(g8 +g973 +tp8335 +a(g8 +g971 +tp8336 +a(g8 +g968 +tp8337 +a(g8 +g971 +tp8338 +a(g8 +g964 +tp8339 +a(g8 +g1021 +tp8340 +a(g8 +g1000 +tp8341 +a(g8 +g962 +tp8342 +a(g8 +g1026 +tp8343 +a(g8 +g958 +tp8344 +a(g8 +g1062 +tp8345 +a(g8 +g966 +tp8346 +a(g8 +g1016 +tp8347 +a(g8 +g1021 +tp8348 +a(g8 +g962 +tp8349 +a(g8 +g1021 +tp8350 +a(g8 +g964 +tp8351 +a(g8 +g1021 +tp8352 +a(g8 +g1000 +tp8353 +a(g8 +g962 +tp8354 +a(g8 +g1026 +tp8355 +a(g8 +g958 +tp8356 +a(g8 +g971 +tp8357 +a(g8 +g1074 +tp8358 +a(g8 +g1074 +tp8359 +a(g8 +g968 +tp8360 +a(g8 +g966 +tp8361 +a(g8 +g1506 +tp8362 +a(g8 +g1021 +tp8363 +a(g8 +g971 +tp8364 +a(g8 +g964 +tp8365 +a(g8 +g1021 +tp8366 +a(g8 +g1000 +tp8367 +a(g8 +g962 +tp8368 +a(g8 +g1026 +tp8369 +a(g8 +g958 +tp8370 +a(g8 +g1000 +tp8371 +a(g8 +g968 +tp8372 +a(g8 +g958 +tp8373 +a(g8 +V\u000a +p8374 +tp8375 +a(g8 +g958 +tp8376 +a(g8 +g958 +tp8377 +a(g8 +g958 +tp8378 +a(g8 +g958 +tp8379 +a(g8 +g958 +tp8380 +a(g8 +g958 +tp8381 +a(g8 +g958 +tp8382 +a(g8 +g958 +tp8383 +a(g8 +g958 +tp8384 +a(g8 +g958 +tp8385 +a(g8 +g971 +tp8386 +a(g8 +g958 +tp8387 +a(g8 +g976 +tp8388 +a(g8 +g1011 +tp8389 +a(g8 +g1000 +tp8390 +a(g8 +g973 +tp8391 +a(g8 +g966 +tp8392 +a(g8 +g1046 +tp8393 +a(g8 +g958 +tp8394 +a(g8 +g1040 +tp8395 +a(g8 +g1000 +tp8396 +a(g8 +g962 +tp8397 +a(g8 +g1033 +tp8398 +a(g8 +g964 +tp8399 +a(g8 +g971 +tp8400 +a(g8 +g962 +tp8401 +a(g8 +g964 +tp8402 +a(g8 +V\u000a +p8403 +tp8404 +a(g8 +g958 +tp8405 +a(g8 +g958 +tp8406 +a(g8 +g958 +tp8407 +a(g8 +g958 +tp8408 +a(g8 +g958 +tp8409 +a(g8 +g964 +tp8410 +a(g8 +g998 +tp8411 +a(g8 +g966 +tp8412 +a(g8 +g962 +tp8413 +a(g8 +g958 +tp8414 +a(g8 +g1081 +tp8415 +a(g8 +g958 +tp8416 +a(g8 +g1021 +tp8417 +a(g8 +g1033 +tp8418 +a(g8 +g958 +tp8419 +a(g8 +g964 +tp8420 +a(g8 +g998 +tp8421 +a(g8 +g966 +tp8422 +a(g8 +g958 +tp8423 +a(g8 +g968 +tp8424 +a(g8 +g966 +tp8425 +a(g8 +g1033 +tp8426 +a(g8 +g1483 +tp8427 +a(g8 +g966 +tp8428 +a(g8 +g1040 +tp8429 +a(g8 +g964 +tp8430 +a(g8 +g1021 +tp8431 +a(g8 +g1506 +tp8432 +a(g8 +g966 +tp8433 +a(g8 +g958 +tp8434 +a(g8 +g964 +tp8435 +a(g8 +g978 +tp8436 +a(g8 +g1483 +tp8437 +a(g8 +g966 +tp8438 +a(g8 +V\u000a +p8439 +tp8440 +a(g8 +g958 +tp8441 +a(g8 +g958 +tp8442 +a(g8 +V*) +p8443 +tp8444 +a(g827 +V\u000a +p8445 +tp8446 +a(g794 +Vfun +p8447 +tp8448 +a(g827 +g958 +tp8449 +a(g574 +VconDecType +p8450 +tp8451 +a(g827 +g958 +tp8452 +a(g706 +g1098 +tp8453 +a(g436 +VConDec +p8454 +tp8455 +a(g827 +g958 +tp8456 +a(g706 +g1098 +tp8457 +a(g706 +g1779 +tp8458 +a(g706 +g1026 +tp8459 +a(g827 +g958 +tp8460 +a(g706 +g1779 +tp8461 +a(g706 +g1026 +tp8462 +a(g827 +g958 +tp8463 +a(g706 +g1779 +tp8464 +a(g706 +g1026 +tp8465 +a(g827 +g958 +tp8466 +a(g706 +g1779 +tp8467 +a(g706 +g1026 +tp8468 +a(g827 +g958 +tp8469 +a(g436 +g1081 +tp8470 +a(g706 +g1026 +tp8471 +a(g827 +g958 +tp8472 +a(g706 +g1779 +tp8473 +a(g706 +g1110 +tp8474 +a(g706 +g1110 +tp8475 +a(g827 +g958 +tp8476 +a(g706 +g1119 +tp8477 +a(g827 +g958 +tp8478 +a(g436 +g1081 +tp8479 +a(g827 +V\u000a +p8480 +tp8481 +a(g706 +g1418 +tp8482 +a(g827 +g958 +tp8483 +a(g574 +VconDecType +p8484 +tp8485 +a(g827 +g958 +tp8486 +a(g706 +g1098 +tp8487 +a(g436 +VConDef +p8488 +tp8489 +a(g827 +g958 +tp8490 +a(g706 +g1098 +tp8491 +a(g706 +g1779 +tp8492 +a(g706 +g1026 +tp8493 +a(g827 +g958 +tp8494 +a(g706 +g1779 +tp8495 +a(g706 +g1026 +tp8496 +a(g827 +g958 +tp8497 +a(g706 +g1779 +tp8498 +a(g706 +g1026 +tp8499 +a(g827 +g958 +tp8500 +a(g706 +g1779 +tp8501 +a(g706 +g1026 +tp8502 +a(g827 +g958 +tp8503 +a(g436 +g1081 +tp8504 +a(g706 +g1026 +tp8505 +a(g827 +g958 +tp8506 +a(g706 +g1779 +tp8507 +a(g706 +g1026 +tp8508 +a(g827 +g958 +tp8509 +a(g706 +g1779 +tp8510 +a(g706 +g1110 +tp8511 +a(g706 +g1110 +tp8512 +a(g827 +g958 +tp8513 +a(g706 +g1119 +tp8514 +a(g827 +g958 +tp8515 +a(g436 +g1081 +tp8516 +a(g827 +V\u000a +p8517 +tp8518 +a(g706 +g1418 +tp8519 +a(g827 +g958 +tp8520 +a(g574 +VconDecType +p8521 +tp8522 +a(g827 +g958 +tp8523 +a(g706 +g1098 +tp8524 +a(g436 +VAbbrevDef +p8525 +tp8526 +a(g827 +g958 +tp8527 +a(g706 +g1098 +tp8528 +a(g706 +g1779 +tp8529 +a(g706 +g1026 +tp8530 +a(g827 +g958 +tp8531 +a(g706 +g1779 +tp8532 +a(g706 +g1026 +tp8533 +a(g827 +g958 +tp8534 +a(g706 +g1779 +tp8535 +a(g706 +g1026 +tp8536 +a(g827 +g958 +tp8537 +a(g706 +g1779 +tp8538 +a(g706 +g1026 +tp8539 +a(g827 +g958 +tp8540 +a(g436 +g1081 +tp8541 +a(g706 +g1026 +tp8542 +a(g827 +g958 +tp8543 +a(g706 +g1779 +tp8544 +a(g706 +g1110 +tp8545 +a(g706 +g1110 +tp8546 +a(g827 +g958 +tp8547 +a(g706 +g1119 +tp8548 +a(g827 +g958 +tp8549 +a(g436 +g1081 +tp8550 +a(g827 +V\u000a +p8551 +tp8552 +a(g706 +g1418 +tp8553 +a(g827 +g958 +tp8554 +a(g574 +VconDecType +p8555 +tp8556 +a(g827 +g958 +tp8557 +a(g706 +g1098 +tp8558 +a(g436 +VSkoDec +p8559 +tp8560 +a(g827 +g958 +tp8561 +a(g706 +g1098 +tp8562 +a(g706 +g1779 +tp8563 +a(g706 +g1026 +tp8564 +a(g827 +g958 +tp8565 +a(g706 +g1779 +tp8566 +a(g706 +g1026 +tp8567 +a(g827 +g958 +tp8568 +a(g706 +g1779 +tp8569 +a(g706 +g1026 +tp8570 +a(g827 +g958 +tp8571 +a(g436 +g1081 +tp8572 +a(g706 +g1026 +tp8573 +a(g827 +g958 +tp8574 +a(g706 +g1779 +tp8575 +a(g706 +g1110 +tp8576 +a(g706 +g1110 +tp8577 +a(g827 +g958 +tp8578 +a(g706 +g1119 +tp8579 +a(g827 +g958 +tp8580 +a(g436 +g1081 +tp8581 +a(g827 +V\u000a\u000a\u000a +p8582 +tp8583 +a(g8 +V(* +p8584 +tp8585 +a(g8 +g958 +tp8586 +a(g8 +g1040 +tp8587 +a(g8 +g1000 +tp8588 +a(g8 +g962 +tp8589 +a(g8 +g1452 +tp8590 +a(g8 +g966 +tp8591 +a(g8 +g1040 +tp8592 +a(g8 +g1918 +tp8593 +a(g8 +g973 +tp8594 +a(g8 +g1000 +tp8595 +a(g8 +g1040 +tp8596 +a(g8 +g1011 +tp8597 +a(g8 +g958 +tp8598 +a(g8 +g1098 +tp8599 +a(g8 +g1029 +tp8600 +a(g8 +g1452 +tp8601 +a(g8 +g1110 +tp8602 +a(g8 +g958 +tp8603 +a(g8 +g1119 +tp8604 +a(g8 +g958 +tp8605 +a(g8 +g958 +tp8606 +a(g8 +g1098 +tp8607 +a(g8 +g1385 +tp8608 +a(g8 +g1033 +tp8609 +a(g8 +g1000 +tp8610 +a(g8 +g1046 +tp8611 +a(g8 +g966 +tp8612 +a(g8 +g1026 +tp8613 +a(g8 +g958 +tp8614 +a(g8 +g1610 +tp8615 +a(g8 +g1483 +tp8616 +a(g8 +g1021 +tp8617 +a(g8 +g1110 +tp8618 +a(g8 +V\u000a +p8619 +tp8620 +a(g8 +V\u000a +p8621 +tp8622 +a(g8 +g958 +tp8623 +a(g8 +g958 +tp8624 +a(g8 +g958 +tp8625 +a(g8 +g958 +tp8626 +a(g8 +g958 +tp8627 +a(g8 +g960 +tp8628 +a(g8 +g962 +tp8629 +a(g8 +g1506 +tp8630 +a(g8 +g971 +tp8631 +a(g8 +g968 +tp8632 +a(g8 +g1021 +tp8633 +a(g8 +g971 +tp8634 +a(g8 +g962 +tp8635 +a(g8 +g964 +tp8636 +a(g8 +g1003 +tp8637 +a(g8 +V\u000a +p8638 +tp8639 +a(g8 +g958 +tp8640 +a(g8 +g958 +tp8641 +a(g8 +g958 +tp8642 +a(g8 +g958 +tp8643 +a(g8 +g958 +tp8644 +a(g8 +g960 +tp8645 +a(g8 +g1016 +tp8646 +a(g8 +g958 +tp8647 +a(g8 +g958 +tp8648 +a(g8 +g958 +tp8649 +a(g8 +g1029 +tp8650 +a(g8 +g1452 +tp8651 +a(g8 +g958 +tp8652 +a(g8 +g1021 +tp8653 +a(g8 +g1033 +tp8654 +a(g8 +g958 +tp8655 +a(g8 +g1074 +tp8656 +a(g8 +g973 +tp8657 +a(g8 +g1000 +tp8658 +a(g8 +g1040 +tp8659 +a(g8 +g1011 +tp8660 +a(g8 +g958 +tp8661 +a(g8 +g1062 +tp8662 +a(g8 +g966 +tp8663 +a(g8 +g1016 +tp8664 +a(g8 +g1021 +tp8665 +a(g8 +g962 +tp8666 +a(g8 +g1021 +tp8667 +a(g8 +g964 +tp8668 +a(g8 +g1021 +tp8669 +a(g8 +g1000 +tp8670 +a(g8 +g962 +tp8671 +a(g8 +V\u000a +p8672 +tp8673 +a(g8 +g958 +tp8674 +a(g8 +g958 +tp8675 +a(g8 +g958 +tp8676 +a(g8 +g958 +tp8677 +a(g8 +g958 +tp8678 +a(g8 +g964 +tp8679 +a(g8 +g998 +tp8680 +a(g8 +g966 +tp8681 +a(g8 +g962 +tp8682 +a(g8 +g958 +tp8683 +a(g8 +g1385 +tp8684 +a(g8 +g1033 +tp8685 +a(g8 +g1000 +tp8686 +a(g8 +g1046 +tp8687 +a(g8 +g966 +tp8688 +a(g8 +g958 +tp8689 +a(g8 +g1021 +tp8690 +a(g8 +g1033 +tp8691 +a(g8 +g958 +tp8692 +a(g8 +g964 +tp8693 +a(g8 +g998 +tp8694 +a(g8 +g966 +tp8695 +a(g8 +g958 +tp8696 +a(g8 +g1040 +tp8697 +a(g8 +g1000 +tp8698 +a(g8 +g962 +tp8699 +a(g8 +g964 +tp8700 +a(g8 +g966 +tp8701 +a(g8 +g983 +tp8702 +a(g8 +g964 +tp8703 +a(g8 +g958 +tp8704 +a(g8 +g1000 +tp8705 +a(g8 +g1016 +tp8706 +a(g8 +g958 +tp8707 +a(g8 +g1033 +tp8708 +a(g8 +g1000 +tp8709 +a(g8 +g1046 +tp8710 +a(g8 +g966 +tp8711 +a(g8 +g958 +tp8712 +a(g8 +g1506 +tp8713 +a(g8 +g971 +tp8714 +a(g8 +g968 +tp8715 +a(g8 +g1021 +tp8716 +a(g8 +g971 +tp8717 +a(g8 +g1074 +tp8718 +a(g8 +g973 +tp8719 +a(g8 +g966 +tp8720 +a(g8 +g1033 +tp8721 +a(g8 +V\u000a +p8722 +tp8723 +a(g8 +g958 +tp8724 +a(g8 +g958 +tp8725 +a(g8 +g958 +tp8726 +a(g8 +g958 +tp8727 +a(g8 +g958 +tp8728 +a(g8 +g971 +tp8729 +a(g8 +g962 +tp8730 +a(g8 +g1062 +tp8731 +a(g8 +g958 +tp8732 +a(g8 +g958 +tp8733 +a(g8 +g1610 +tp8734 +a(g8 +g1483 +tp8735 +a(g8 +g1021 +tp8736 +a(g8 +g958 +tp8737 +a(g8 +g1021 +tp8738 +a(g8 +g1033 +tp8739 +a(g8 +g958 +tp8740 +a(g8 +g964 +tp8741 +a(g8 +g998 +tp8742 +a(g8 +g966 +tp8743 +a(g8 +g958 +tp8744 +a(g8 +g973 +tp8745 +a(g8 +g1021 +tp8746 +a(g8 +g1033 +tp8747 +a(g8 +g964 +tp8748 +a(g8 +g958 +tp8749 +a(g8 +g1000 +tp8750 +a(g8 +g1016 +tp8751 +a(g8 +g958 +tp8752 +a(g8 +g1483 +tp8753 +a(g8 +g1021 +tp8754 +a(g8 +g958 +tp8755 +a(g8 +g1506 +tp8756 +a(g8 +g971 +tp8757 +a(g8 +g968 +tp8758 +a(g8 +g1021 +tp8759 +a(g8 +g971 +tp8760 +a(g8 +g1074 +tp8761 +a(g8 +g973 +tp8762 +a(g8 +g966 +tp8763 +a(g8 +g1033 +tp8764 +a(g8 +V\u000a +p8765 +tp8766 +a(g8 +g958 +tp8767 +a(g8 +g958 +tp8768 +a(g8 +V*) +p8769 +tp8770 +a(g827 +V\u000a +p8771 +tp8772 +a(g794 +Vfun +p8773 +tp8774 +a(g827 +g958 +tp8775 +a(g574 +VconDecBlock +p8776 +tp8777 +a(g827 +g958 +tp8778 +a(g706 +g1098 +tp8779 +a(g436 +VBlockDec +p8780 +tp8781 +a(g827 +g958 +tp8782 +a(g706 +g1098 +tp8783 +a(g706 +g1779 +tp8784 +a(g706 +g1026 +tp8785 +a(g827 +g958 +tp8786 +a(g706 +g1779 +tp8787 +a(g706 +g1026 +tp8788 +a(g827 +g958 +tp8789 +a(g436 +VGsome +p8790 +tp8791 +a(g706 +g1026 +tp8792 +a(g827 +g958 +tp8793 +a(g436 +VLpi +p8794 +tp8795 +a(g706 +g1110 +tp8796 +a(g706 +g1110 +tp8797 +a(g827 +g958 +tp8798 +a(g706 +g1119 +tp8799 +a(g827 +g958 +tp8800 +a(g706 +g1098 +tp8801 +a(g436 +VGsome +p8802 +tp8803 +a(g706 +g1026 +tp8804 +a(g827 +g958 +tp8805 +a(g436 +VLpi +p8806 +tp8807 +a(g706 +g1110 +tp8808 +a(g827 +V\u000a\u000a +p8809 +tp8810 +a(g8 +V(* +p8811 +tp8812 +a(g8 +g958 +tp8813 +a(g8 +g1040 +tp8814 +a(g8 +g1000 +tp8815 +a(g8 +g962 +tp8816 +a(g8 +g1452 +tp8817 +a(g8 +g966 +tp8818 +a(g8 +g1040 +tp8819 +a(g8 +g2708 +tp8820 +a(g8 +g962 +tp8821 +a(g8 +g1021 +tp8822 +a(g8 +g958 +tp8823 +a(g8 +g1098 +tp8824 +a(g8 +g1029 +tp8825 +a(g8 +g1452 +tp8826 +a(g8 +g1110 +tp8827 +a(g8 +g958 +tp8828 +a(g8 +g1119 +tp8829 +a(g8 +g958 +tp8830 +a(g8 +g958 +tp8831 +a(g8 +g1610 +tp8832 +a(g8 +V\u000a +p8833 +tp8834 +a(g8 +V\u000a +p8835 +tp8836 +a(g8 +g958 +tp8837 +a(g8 +g958 +tp8838 +a(g8 +g958 +tp8839 +a(g8 +g958 +tp8840 +a(g8 +g958 +tp8841 +a(g8 +g960 +tp8842 +a(g8 +g962 +tp8843 +a(g8 +g1506 +tp8844 +a(g8 +g971 +tp8845 +a(g8 +g968 +tp8846 +a(g8 +g1021 +tp8847 +a(g8 +g971 +tp8848 +a(g8 +g962 +tp8849 +a(g8 +g964 +tp8850 +a(g8 +g1003 +tp8851 +a(g8 +V\u000a +p8852 +tp8853 +a(g8 +g958 +tp8854 +a(g8 +g958 +tp8855 +a(g8 +g958 +tp8856 +a(g8 +g958 +tp8857 +a(g8 +g958 +tp8858 +a(g8 +g960 +tp8859 +a(g8 +g1016 +tp8860 +a(g8 +g958 +tp8861 +a(g8 +g958 +tp8862 +a(g8 +g958 +tp8863 +a(g8 +g1029 +tp8864 +a(g8 +g1452 +tp8865 +a(g8 +g958 +tp8866 +a(g8 +g1021 +tp8867 +a(g8 +g1033 +tp8868 +a(g8 +g958 +tp8869 +a(g8 +g966 +tp8870 +a(g8 +g1021 +tp8871 +a(g8 +g964 +tp8872 +a(g8 +g998 +tp8873 +a(g8 +g966 +tp8874 +a(g8 +g968 +tp8875 +a(g8 +g958 +tp8876 +a(g8 +g971 +tp8877 +a(g8 +g958 +tp8878 +a(g8 +g1062 +tp8879 +a(g8 +g966 +tp8880 +a(g8 +g1040 +tp8881 +a(g8 +g973 +tp8882 +a(g8 +g971 +tp8883 +a(g8 +g968 +tp8884 +a(g8 +g971 +tp8885 +a(g8 +g964 +tp8886 +a(g8 +g1021 +tp8887 +a(g8 +g1000 +tp8888 +a(g8 +g962 +tp8889 +a(g8 +g1026 +tp8890 +a(g8 +g958 +tp8891 +a(g8 +g1062 +tp8892 +a(g8 +g966 +tp8893 +a(g8 +g1016 +tp8894 +a(g8 +g1021 +tp8895 +a(g8 +g962 +tp8896 +a(g8 +g1021 +tp8897 +a(g8 +g964 +tp8898 +a(g8 +g1021 +tp8899 +a(g8 +g1000 +tp8900 +a(g8 +g962 +tp8901 +a(g8 +g1026 +tp8902 +a(g8 +g958 +tp8903 +a(g8 +g971 +tp8904 +a(g8 +g1074 +tp8905 +a(g8 +g1074 +tp8906 +a(g8 +g968 +tp8907 +a(g8 +g966 +tp8908 +a(g8 +g1506 +tp8909 +a(g8 +g1021 +tp8910 +a(g8 +g971 +tp8911 +a(g8 +g964 +tp8912 +a(g8 +g1021 +tp8913 +a(g8 +g1000 +tp8914 +a(g8 +g962 +tp8915 +a(g8 +g1026 +tp8916 +a(g8 +g958 +tp8917 +a(g8 +g1000 +tp8918 +a(g8 +g968 +tp8919 +a(g8 +g958 +tp8920 +a(g8 +V\u000a +p8921 +tp8922 +a(g8 +g958 +tp8923 +a(g8 +g958 +tp8924 +a(g8 +g958 +tp8925 +a(g8 +g958 +tp8926 +a(g8 +g958 +tp8927 +a(g8 +g958 +tp8928 +a(g8 +g958 +tp8929 +a(g8 +g958 +tp8930 +a(g8 +g958 +tp8931 +a(g8 +g958 +tp8932 +a(g8 +g971 +tp8933 +a(g8 +g958 +tp8934 +a(g8 +g976 +tp8935 +a(g8 +g1011 +tp8936 +a(g8 +g1000 +tp8937 +a(g8 +g973 +tp8938 +a(g8 +g966 +tp8939 +a(g8 +g1046 +tp8940 +a(g8 +g958 +tp8941 +a(g8 +g1040 +tp8942 +a(g8 +g1000 +tp8943 +a(g8 +g962 +tp8944 +a(g8 +g1033 +tp8945 +a(g8 +g964 +tp8946 +a(g8 +g971 +tp8947 +a(g8 +g962 +tp8948 +a(g8 +g964 +tp8949 +a(g8 +V\u000a +p8950 +tp8951 +a(g8 +g958 +tp8952 +a(g8 +g958 +tp8953 +a(g8 +g958 +tp8954 +a(g8 +g958 +tp8955 +a(g8 +g958 +tp8956 +a(g8 +g964 +tp8957 +a(g8 +g998 +tp8958 +a(g8 +g966 +tp8959 +a(g8 +g962 +tp8960 +a(g8 +g958 +tp8961 +a(g8 +g1610 +tp8962 +a(g8 +g958 +tp8963 +a(g8 +g1021 +tp8964 +a(g8 +g1033 +tp8965 +a(g8 +g958 +tp8966 +a(g8 +g964 +tp8967 +a(g8 +g998 +tp8968 +a(g8 +g966 +tp8969 +a(g8 +g958 +tp8970 +a(g8 +g968 +tp8971 +a(g8 +g966 +tp8972 +a(g8 +g1033 +tp8973 +a(g8 +g1483 +tp8974 +a(g8 +g966 +tp8975 +a(g8 +g1040 +tp8976 +a(g8 +g964 +tp8977 +a(g8 +g1021 +tp8978 +a(g8 +g1506 +tp8979 +a(g8 +g966 +tp8980 +a(g8 +g958 +tp8981 +a(g8 +g995 +tp8982 +a(g8 +g962 +tp8983 +a(g8 +g1021 +tp8984 +a(g8 +g1506 +tp8985 +a(g8 +g966 +tp8986 +a(g8 +g968 +tp8987 +a(g8 +g1033 +tp8988 +a(g8 +g966 +tp8989 +a(g8 +V\u000a +p8990 +tp8991 +a(g8 +g958 +tp8992 +a(g8 +g958 +tp8993 +a(g8 +V*) +p8994 +tp8995 +a(g827 +V\u000a +p8996 +tp8997 +a(g794 +Vfun +p8998 +tp8999 +a(g827 +g958 +tp9000 +a(g574 +VconDecUni +p9001 +tp9002 +a(g827 +g958 +tp9003 +a(g706 +g1098 +tp9004 +a(g436 +VConDec +p9005 +tp9006 +a(g827 +g958 +tp9007 +a(g706 +g1098 +tp9008 +a(g706 +g1779 +tp9009 +a(g706 +g1026 +tp9010 +a(g827 +g958 +tp9011 +a(g706 +g1779 +tp9012 +a(g706 +g1026 +tp9013 +a(g827 +g958 +tp9014 +a(g706 +g1779 +tp9015 +a(g706 +g1026 +tp9016 +a(g827 +g958 +tp9017 +a(g706 +g1779 +tp9018 +a(g706 +g1026 +tp9019 +a(g827 +g958 +tp9020 +a(g706 +g1779 +tp9021 +a(g706 +g1026 +tp9022 +a(g827 +g958 +tp9023 +a(g436 +g1610 +tp9024 +a(g706 +g1110 +tp9025 +a(g706 +g1110 +tp9026 +a(g827 +g958 +tp9027 +a(g706 +g1119 +tp9028 +a(g827 +g958 +tp9029 +a(g436 +g1610 +tp9030 +a(g827 +V\u000a +p9031 +tp9032 +a(g706 +g1418 +tp9033 +a(g827 +g958 +tp9034 +a(g574 +VconDecUni +p9035 +tp9036 +a(g827 +g958 +tp9037 +a(g706 +g1098 +tp9038 +a(g436 +VConDef +p9039 +tp9040 +a(g827 +g958 +tp9041 +a(g706 +g1098 +tp9042 +a(g706 +g1779 +tp9043 +a(g706 +g1026 +tp9044 +a(g827 +g958 +tp9045 +a(g706 +g1779 +tp9046 +a(g706 +g1026 +tp9047 +a(g827 +g958 +tp9048 +a(g706 +g1779 +tp9049 +a(g706 +g1026 +tp9050 +a(g827 +g958 +tp9051 +a(g706 +g1779 +tp9052 +a(g706 +g1026 +tp9053 +a(g827 +g958 +tp9054 +a(g706 +g1779 +tp9055 +a(g706 +g1026 +tp9056 +a(g827 +g958 +tp9057 +a(g436 +g1610 +tp9058 +a(g706 +g1026 +tp9059 +a(g827 +g958 +tp9060 +a(g706 +g1779 +tp9061 +a(g706 +g1110 +tp9062 +a(g706 +g1110 +tp9063 +a(g827 +g958 +tp9064 +a(g706 +g1119 +tp9065 +a(g827 +g958 +tp9066 +a(g436 +g1610 +tp9067 +a(g827 +V\u000a +p9068 +tp9069 +a(g706 +g1418 +tp9070 +a(g827 +g958 +tp9071 +a(g574 +VconDecUni +p9072 +tp9073 +a(g827 +g958 +tp9074 +a(g706 +g1098 +tp9075 +a(g436 +VAbbrevDef +p9076 +tp9077 +a(g827 +g958 +tp9078 +a(g706 +g1098 +tp9079 +a(g706 +g1779 +tp9080 +a(g706 +g1026 +tp9081 +a(g827 +g958 +tp9082 +a(g706 +g1779 +tp9083 +a(g706 +g1026 +tp9084 +a(g827 +g958 +tp9085 +a(g706 +g1779 +tp9086 +a(g706 +g1026 +tp9087 +a(g827 +g958 +tp9088 +a(g706 +g1779 +tp9089 +a(g706 +g1026 +tp9090 +a(g827 +g958 +tp9091 +a(g706 +g1779 +tp9092 +a(g706 +g1026 +tp9093 +a(g827 +g958 +tp9094 +a(g436 +g1610 +tp9095 +a(g706 +g1110 +tp9096 +a(g706 +g1110 +tp9097 +a(g827 +g958 +tp9098 +a(g706 +g1119 +tp9099 +a(g827 +g958 +tp9100 +a(g436 +g1610 +tp9101 +a(g827 +V\u000a +p9102 +tp9103 +a(g706 +g1418 +tp9104 +a(g827 +g958 +tp9105 +a(g574 +VconDecUni +p9106 +tp9107 +a(g827 +g958 +tp9108 +a(g706 +g1098 +tp9109 +a(g436 +VSkoDec +p9110 +tp9111 +a(g827 +g958 +tp9112 +a(g706 +g1098 +tp9113 +a(g706 +g1779 +tp9114 +a(g706 +g1026 +tp9115 +a(g827 +g958 +tp9116 +a(g706 +g1779 +tp9117 +a(g706 +g1026 +tp9118 +a(g827 +g958 +tp9119 +a(g706 +g1779 +tp9120 +a(g706 +g1026 +tp9121 +a(g827 +g958 +tp9122 +a(g706 +g1779 +tp9123 +a(g706 +g1026 +tp9124 +a(g827 +g958 +tp9125 +a(g436 +g1610 +tp9126 +a(g706 +g1110 +tp9127 +a(g706 +g1110 +tp9128 +a(g827 +g958 +tp9129 +a(g706 +g1119 +tp9130 +a(g827 +g958 +tp9131 +a(g436 +g1610 +tp9132 +a(g827 +V\u000a\u000a\u000a +p9133 +tp9134 +a(g794 +Vfun +p9135 +tp9136 +a(g827 +g958 +tp9137 +a(g574 +VstrDecName +p9138 +tp9139 +a(g827 +g958 +tp9140 +a(g706 +g1098 +tp9141 +a(g436 +VStrDec +p9142 +tp9143 +a(g827 +g958 +tp9144 +a(g706 +g1098 +tp9145 +a(g436 +Vname +p9146 +tp9147 +a(g706 +g1026 +tp9148 +a(g827 +g958 +tp9149 +a(g706 +g1779 +tp9150 +a(g706 +g1110 +tp9151 +a(g706 +g1110 +tp9152 +a(g827 +g958 +tp9153 +a(g706 +g1119 +tp9154 +a(g827 +g958 +tp9155 +a(g436 +Vname +p9156 +tp9157 +a(g827 +V\u000a\u000a +p9158 +tp9159 +a(g794 +Vfun +p9160 +tp9161 +a(g827 +g958 +tp9162 +a(g574 +VstrDecParent +p9163 +tp9164 +a(g827 +g958 +tp9165 +a(g706 +g1098 +tp9166 +a(g436 +VStrDec +p9167 +tp9168 +a(g827 +g958 +tp9169 +a(g706 +g1098 +tp9170 +a(g706 +g1779 +tp9171 +a(g706 +g1026 +tp9172 +a(g827 +g958 +tp9173 +a(g436 +Vparent +p9174 +tp9175 +a(g706 +g1110 +tp9176 +a(g706 +g1110 +tp9177 +a(g827 +g958 +tp9178 +a(g706 +g1119 +tp9179 +a(g827 +g958 +tp9180 +a(g436 +Vparent +p9181 +tp9182 +a(g827 +V\u000a\u000a +p9183 +tp9184 +a(g794 +Vlocal +p9185 +tp9186 +a(g827 +V\u000a +p9187 +tp9188 +a(g794 +Vval +p9189 +tp9190 +a(g827 +g958 +tp9191 +a(g441 +VmaxCid +p9192 +tp9193 +a(g827 +g958 +tp9194 +a(g706 +g1119 +tp9195 +a(g827 +g958 +tp9196 +a(g621 +VGlobal +p9197 +tp9198 +a(g706 +g1392 +tp9199 +a(g436 +VmaxCid +p9200 +tp9201 +a(g827 +V\u000a +p9202 +tp9203 +a(g794 +Vval +p9204 +tp9205 +a(g827 +g958 +tp9206 +a(g441 +VdummyEntry +p9207 +tp9208 +a(g827 +g958 +tp9209 +a(g706 +g1119 +tp9210 +a(g827 +g958 +tp9211 +a(g436 +VConDec +p9212 +tp9213 +a(g706 +g1098 +tp9214 +a(g157 +g1846 +tp9215 +a(g157 +g1846 +tp9216 +a(g706 +g1026 +tp9217 +a(g827 +g958 +tp9218 +a(g436 +VNONE +p9219 +tp9220 +a(g706 +g1026 +tp9221 +a(g827 +g958 +tp9222 +a(g37 +g2130 +tp9223 +a(g706 +g1026 +tp9224 +a(g827 +g958 +tp9225 +a(g436 +VNormal +p9226 +tp9227 +a(g706 +g1026 +tp9228 +a(g827 +g958 +tp9229 +a(g436 +VUni +p9230 +tp9231 +a(g827 +g958 +tp9232 +a(g706 +g1098 +tp9233 +a(g436 +VKind +p9234 +tp9235 +a(g706 +g1110 +tp9236 +a(g706 +g1026 +tp9237 +a(g827 +g958 +tp9238 +a(g436 +VKind +p9239 +tp9240 +a(g706 +g1110 +tp9241 +a(g827 +V\u000a +p9242 +tp9243 +a(g794 +Vval +p9244 +tp9245 +a(g827 +g958 +tp9246 +a(g441 +VsgnArray +p9247 +tp9248 +a(g827 +g958 +tp9249 +a(g706 +g1119 +tp9250 +a(g827 +g958 +tp9251 +a(g621 +VArray +p9252 +tp9253 +a(g706 +g1392 +tp9254 +a(g436 +Varray +p9255 +tp9256 +a(g827 +g958 +tp9257 +a(g706 +g1098 +tp9258 +a(g436 +VmaxCid +p9259 +tp9260 +a(g436 +g2113 +tp9261 +a(g37 +g1687 +tp9262 +a(g706 +g1026 +tp9263 +a(g827 +g958 +tp9264 +a(g436 +VdummyEntry +p9265 +tp9266 +a(g706 +g1110 +tp9267 +a(g827 +V\u000a +p9268 +tp9269 +a(g706 +g1003 +tp9270 +a(g827 +g958 +tp9271 +a(g436 +VConDec +p9272 +tp9273 +a(g827 +g958 +tp9274 +a(g621 +VArray +p9275 +tp9276 +a(g706 +g1392 +tp9277 +a(g436 +Varray +p9278 +tp9279 +a(g827 +V\u000a +p9280 +tp9281 +a(g794 +Vval +p9282 +tp9283 +a(g827 +g958 +tp9284 +a(g441 +VnextCid +p9285 +tp9286 +a(g827 +V +p9287 +tp9288 +a(g706 +g1119 +tp9289 +a(g827 +g958 +tp9290 +a(g436 +Vref +p9291 +tp9292 +a(g706 +g1098 +tp9293 +a(g37 +g2130 +tp9294 +a(g706 +g1110 +tp9295 +a(g827 +V\u000a\u000a +p9296 +tp9297 +a(g794 +Vval +p9298 +tp9299 +a(g827 +g958 +tp9300 +a(g441 +VmaxMid +p9301 +tp9302 +a(g827 +g958 +tp9303 +a(g706 +g1119 +tp9304 +a(g827 +g958 +tp9305 +a(g621 +VGlobal +p9306 +tp9307 +a(g706 +g1392 +tp9308 +a(g436 +VmaxMid +p9309 +tp9310 +a(g827 +V\u000a +p9311 +tp9312 +a(g794 +Vval +p9313 +tp9314 +a(g827 +g958 +tp9315 +a(g441 +VsgnStructArray +p9316 +tp9317 +a(g827 +g958 +tp9318 +a(g706 +g1119 +tp9319 +a(g827 +g958 +tp9320 +a(g621 +VArray +p9321 +tp9322 +a(g706 +g1392 +tp9323 +a(g436 +Varray +p9324 +tp9325 +a(g827 +g958 +tp9326 +a(g706 +g1098 +tp9327 +a(g436 +VmaxMid +p9328 +tp9329 +a(g436 +g2113 +tp9330 +a(g37 +g1687 +tp9331 +a(g706 +g1026 +tp9332 +a(g827 +g958 +tp9333 +a(g436 +VStrDec +p9334 +tp9335 +a(g706 +g1098 +tp9336 +a(g157 +g1846 +tp9337 +a(g157 +g1846 +tp9338 +a(g706 +g1026 +tp9339 +a(g827 +g958 +tp9340 +a(g436 +VNONE +p9341 +tp9342 +a(g706 +g1110 +tp9343 +a(g706 +g1110 +tp9344 +a(g827 +V\u000a +p9345 +tp9346 +a(g706 +g1003 +tp9347 +a(g827 +g958 +tp9348 +a(g436 +VStrDec +p9349 +tp9350 +a(g827 +g958 +tp9351 +a(g621 +VArray +p9352 +tp9353 +a(g706 +g1392 +tp9354 +a(g436 +Varray +p9355 +tp9356 +a(g827 +V\u000a +p9357 +tp9358 +a(g794 +Vval +p9359 +tp9360 +a(g827 +g958 +tp9361 +a(g441 +VnextMid +p9362 +tp9363 +a(g827 +g958 +tp9364 +a(g706 +g1119 +tp9365 +a(g827 +g958 +tp9366 +a(g436 +Vref +p9367 +tp9368 +a(g827 +g958 +tp9369 +a(g706 +g1098 +tp9370 +a(g37 +g2130 +tp9371 +a(g706 +g1110 +tp9372 +a(g827 +V\u000a\u000a +p9373 +tp9374 +a(g794 +Vin +p9375 +tp9376 +a(g827 +V\u000a +p9377 +tp9378 +a(g8 +V(* +p9379 +tp9380 +a(g8 +g958 +tp9381 +a(g8 +g960 +tp9382 +a(g8 +g962 +tp9383 +a(g8 +g1506 +tp9384 +a(g8 +g971 +tp9385 +a(g8 +g968 +tp9386 +a(g8 +g1021 +tp9387 +a(g8 +g971 +tp9388 +a(g8 +g962 +tp9389 +a(g8 +g964 +tp9390 +a(g8 +g1033 +tp9391 +a(g8 +g958 +tp9392 +a(g8 +V*) +p9393 +tp9394 +a(g827 +V\u000a +p9395 +tp9396 +a(g8 +V(* +p9397 +tp9398 +a(g8 +g958 +tp9399 +a(g8 +g1029 +tp9400 +a(g8 +g1000 +tp9401 +a(g8 +g962 +tp9402 +a(g8 +g1033 +tp9403 +a(g8 +g964 +tp9404 +a(g8 +g971 +tp9405 +a(g8 +g962 +tp9406 +a(g8 +g964 +tp9407 +a(g8 +g958 +tp9408 +a(g8 +g1062 +tp9409 +a(g8 +g966 +tp9410 +a(g8 +g1040 +tp9411 +a(g8 +g973 +tp9412 +a(g8 +g971 +tp9413 +a(g8 +g968 +tp9414 +a(g8 +g971 +tp9415 +a(g8 +g964 +tp9416 +a(g8 +g1021 +tp9417 +a(g8 +g1000 +tp9418 +a(g8 +g962 +tp9419 +a(g8 +g1033 +tp9420 +a(g8 +g958 +tp9421 +a(g8 +g971 +tp9422 +a(g8 +g968 +tp9423 +a(g8 +g966 +tp9424 +a(g8 +g958 +tp9425 +a(g8 +g971 +tp9426 +a(g8 +g973 +tp9427 +a(g8 +g973 +tp9428 +a(g8 +g958 +tp9429 +a(g8 +g1704 +tp9430 +a(g8 +g966 +tp9431 +a(g8 +g973 +tp9432 +a(g8 +g973 +tp9433 +a(g8 +g1800 +tp9434 +a(g8 +g964 +tp9435 +a(g8 +g978 +tp9436 +a(g8 +g1483 +tp9437 +a(g8 +g966 +tp9438 +a(g8 +g1062 +tp9439 +a(g8 +g958 +tp9440 +a(g8 +V*) +p9441 +tp9442 +a(g827 +V\u000a +p9443 +tp9444 +a(g8 +V(* +p9445 +tp9446 +a(g8 +g958 +tp9447 +a(g8 +g1029 +tp9448 +a(g8 +g1000 +tp9449 +a(g8 +g962 +tp9450 +a(g8 +g1033 +tp9451 +a(g8 +g964 +tp9452 +a(g8 +g971 +tp9453 +a(g8 +g962 +tp9454 +a(g8 +g964 +tp9455 +a(g8 +g958 +tp9456 +a(g8 +g1062 +tp9457 +a(g8 +g966 +tp9458 +a(g8 +g1040 +tp9459 +a(g8 +g973 +tp9460 +a(g8 +g971 +tp9461 +a(g8 +g968 +tp9462 +a(g8 +g971 +tp9463 +a(g8 +g964 +tp9464 +a(g8 +g1021 +tp9465 +a(g8 +g1000 +tp9466 +a(g8 +g962 +tp9467 +a(g8 +g1033 +tp9468 +a(g8 +g958 +tp9469 +a(g8 +g971 +tp9470 +a(g8 +g968 +tp9471 +a(g8 +g966 +tp9472 +a(g8 +g958 +tp9473 +a(g8 +g1033 +tp9474 +a(g8 +g964 +tp9475 +a(g8 +g1000 +tp9476 +a(g8 +g968 +tp9477 +a(g8 +g966 +tp9478 +a(g8 +g1062 +tp9479 +a(g8 +g958 +tp9480 +a(g8 +g1021 +tp9481 +a(g8 +g962 +tp9482 +a(g8 +g958 +tp9483 +a(g8 +g1074 +tp9484 +a(g8 +g966 +tp9485 +a(g8 +g964 +tp9486 +a(g8 +g971 +tp9487 +a(g8 +g1800 +tp9488 +a(g8 +g962 +tp9489 +a(g8 +g1000 +tp9490 +a(g8 +g968 +tp9491 +a(g8 +g1046 +tp9492 +a(g8 +g971 +tp9493 +a(g8 +g973 +tp9494 +a(g8 +g958 +tp9495 +a(g8 +g1016 +tp9496 +a(g8 +g1000 +tp9497 +a(g8 +g968 +tp9498 +a(g8 +g1046 +tp9499 +a(g8 +g958 +tp9500 +a(g8 +V*) +p9501 +tp9502 +a(g827 +V\u000a +p9503 +tp9504 +a(g8 +V(* +p9505 +tp9506 +a(g8 +g958 +tp9507 +a(g8 +g993 +tp9508 +a(g8 +g973 +tp9509 +a(g8 +g973 +tp9510 +a(g8 +g958 +tp9511 +a(g8 +g1062 +tp9512 +a(g8 +g966 +tp9513 +a(g8 +g1016 +tp9514 +a(g8 +g1021 +tp9515 +a(g8 +g962 +tp9516 +a(g8 +g1021 +tp9517 +a(g8 +g964 +tp9518 +a(g8 +g1021 +tp9519 +a(g8 +g1000 +tp9520 +a(g8 +g962 +tp9521 +a(g8 +g1033 +tp9522 +a(g8 +g958 +tp9523 +a(g8 +g971 +tp9524 +a(g8 +g968 +tp9525 +a(g8 +g966 +tp9526 +a(g8 +g958 +tp9527 +a(g8 +g1033 +tp9528 +a(g8 +g964 +tp9529 +a(g8 +g968 +tp9530 +a(g8 +g1021 +tp9531 +a(g8 +g1040 +tp9532 +a(g8 +g964 +tp9533 +a(g8 +g958 +tp9534 +a(g8 +g1021 +tp9535 +a(g8 +g962 +tp9536 +a(g8 +g958 +tp9537 +a(g8 +g971 +tp9538 +a(g8 +g973 +tp9539 +a(g8 +g973 +tp9540 +a(g8 +g958 +tp9541 +a(g8 +g964 +tp9542 +a(g8 +g998 +tp9543 +a(g8 +g966 +tp9544 +a(g8 +g1021 +tp9545 +a(g8 +g968 +tp9546 +a(g8 +g958 +tp9547 +a(g8 +g971 +tp9548 +a(g8 +g968 +tp9549 +a(g8 +g1024 +tp9550 +a(g8 +g995 +tp9551 +a(g8 +g1046 +tp9552 +a(g8 +g966 +tp9553 +a(g8 +g962 +tp9554 +a(g8 +g964 +tp9555 +a(g8 +g1033 +tp9556 +a(g8 +g958 +tp9557 +a(g8 +V*) +p9558 +tp9559 +a(g827 +V\u000a +p9560 +tp9561 +a(g8 +V(* +p9562 +tp9563 +a(g8 +g958 +tp9564 +a(g8 +g960 +tp9565 +a(g8 +g1016 +tp9566 +a(g8 +g958 +tp9567 +a(g8 +g1029 +tp9568 +a(g8 +g1000 +tp9569 +a(g8 +g962 +tp9570 +a(g8 +g1033 +tp9571 +a(g8 +g964 +tp9572 +a(g8 +g1098 +tp9573 +a(g8 +g1040 +tp9574 +a(g8 +g1021 +tp9575 +a(g8 +g1062 +tp9576 +a(g8 +g1110 +tp9577 +a(g8 +g958 +tp9578 +a(g8 +g1021 +tp9579 +a(g8 +g1033 +tp9580 +a(g8 +g958 +tp9581 +a(g8 +g1506 +tp9582 +a(g8 +g971 +tp9583 +a(g8 +g973 +tp9584 +a(g8 +g1021 +tp9585 +a(g8 +g1062 +tp9586 +a(g8 +g1026 +tp9587 +a(g8 +g958 +tp9588 +a(g8 +g964 +tp9589 +a(g8 +g998 +tp9590 +a(g8 +g966 +tp9591 +a(g8 +g962 +tp9592 +a(g8 +g958 +tp9593 +a(g8 +g1033 +tp9594 +a(g8 +g1024 +tp9595 +a(g8 +g962 +tp9596 +a(g8 +g993 +tp9597 +a(g8 +g968 +tp9598 +a(g8 +g968 +tp9599 +a(g8 +g971 +tp9600 +a(g8 +g978 +tp9601 +a(g8 +g1098 +tp9602 +a(g8 +g1040 +tp9603 +a(g8 +g1021 +tp9604 +a(g8 +g1062 +tp9605 +a(g8 +g1110 +tp9606 +a(g8 +g958 +tp9607 +a(g8 +g1119 +tp9608 +a(g8 +g958 +tp9609 +a(g8 +g1029 +tp9610 +a(g8 +g1000 +tp9611 +a(g8 +g962 +tp9612 +a(g8 +g1452 +tp9613 +a(g8 +g966 +tp9614 +a(g8 +g1040 +tp9615 +a(g8 +g958 +tp9616 +a(g8 +g1779 +tp9617 +a(g8 +g958 +tp9618 +a(g8 +V*) +p9619 +tp9620 +a(g827 +V\u000a +p9621 +tp9622 +a(g8 +V(* +p9623 +tp9624 +a(g8 +g958 +tp9625 +a(g8 +g960 +tp9626 +a(g8 +g1016 +tp9627 +a(g8 +g958 +tp9628 +a(g8 +g1452 +tp9629 +a(g8 +g966 +tp9630 +a(g8 +g1016 +tp9631 +a(g8 +g1098 +tp9632 +a(g8 +g1040 +tp9633 +a(g8 +g1021 +tp9634 +a(g8 +g1062 +tp9635 +a(g8 +g1110 +tp9636 +a(g8 +g958 +tp9637 +a(g8 +g1021 +tp9638 +a(g8 +g1033 +tp9639 +a(g8 +g958 +tp9640 +a(g8 +g1506 +tp9641 +a(g8 +g971 +tp9642 +a(g8 +g973 +tp9643 +a(g8 +g1021 +tp9644 +a(g8 +g1062 +tp9645 +a(g8 +g1026 +tp9646 +a(g8 +g958 +tp9647 +a(g8 +g964 +tp9648 +a(g8 +g998 +tp9649 +a(g8 +g966 +tp9650 +a(g8 +g962 +tp9651 +a(g8 +g958 +tp9652 +a(g8 +g1033 +tp9653 +a(g8 +g1024 +tp9654 +a(g8 +g962 +tp9655 +a(g8 +g993 +tp9656 +a(g8 +g968 +tp9657 +a(g8 +g968 +tp9658 +a(g8 +g971 +tp9659 +a(g8 +g978 +tp9660 +a(g8 +g1098 +tp9661 +a(g8 +g1040 +tp9662 +a(g8 +g1021 +tp9663 +a(g8 +g1062 +tp9664 +a(g8 +g1110 +tp9665 +a(g8 +g958 +tp9666 +a(g8 +g1119 +tp9667 +a(g8 +g958 +tp9668 +a(g8 +g1029 +tp9669 +a(g8 +g1000 +tp9670 +a(g8 +g962 +tp9671 +a(g8 +g1452 +tp9672 +a(g8 +g966 +tp9673 +a(g8 +g1016 +tp9674 +a(g8 +g958 +tp9675 +a(g8 +g1779 +tp9676 +a(g8 +g958 +tp9677 +a(g8 +V*) +p9678 +tp9679 +a(g827 +V\u000a\u000a +p9680 +tp9681 +a(g794 +Vfun +p9682 +tp9683 +a(g827 +g958 +tp9684 +a(g574 +VsgnClean +p9685 +tp9686 +a(g827 +g958 +tp9687 +a(g706 +g1098 +tp9688 +a(g436 +g1021 +tp9689 +a(g706 +g1110 +tp9690 +a(g827 +g958 +tp9691 +a(g706 +g1119 +tp9692 +a(g827 +g958 +tp9693 +a(g794 +Vif +p9694 +tp9695 +a(g827 +g958 +tp9696 +a(g436 +g1021 +tp9697 +a(g827 +g958 +tp9698 +a(g436 +V>= +p9699 +tp9700 +a(g827 +g958 +tp9701 +a(g436 +g7231 +tp9702 +a(g436 +VnextCid +p9703 +tp9704 +a(g827 +g958 +tp9705 +a(g794 +Vthen +p9706 +tp9707 +a(g827 +g958 +tp9708 +a(g706 +g1098 +tp9709 +a(g706 +g1110 +tp9710 +a(g827 +V\u000a +p9711 +tp9712 +a(g794 +Velse +p9713 +tp9714 +a(g827 +g958 +tp9715 +a(g706 +g1098 +tp9716 +a(g621 +VArray +p9717 +tp9718 +a(g706 +g1392 +tp9719 +a(g436 +Vupdate +p9720 +tp9721 +a(g827 +g958 +tp9722 +a(g706 +g1098 +tp9723 +a(g436 +VsgnArray +p9724 +tp9725 +a(g706 +g1026 +tp9726 +a(g827 +g958 +tp9727 +a(g436 +g1021 +tp9728 +a(g706 +g1026 +tp9729 +a(g827 +g958 +tp9730 +a(g436 +VdummyEntry +p9731 +tp9732 +a(g706 +g1110 +tp9733 +a(g706 +g1893 +tp9734 +a(g827 +V\u000a +p9735 +tp9736 +a(g436 +VsgnClean +p9737 +tp9738 +a(g827 +g958 +tp9739 +a(g706 +g1098 +tp9740 +a(g436 +g1021 +tp9741 +a(g436 +g2113 +tp9742 +a(g37 +g1687 +tp9743 +a(g706 +g1110 +tp9744 +a(g706 +g1110 +tp9745 +a(g827 +V\u000a\u000a +p9746 +tp9747 +a(g794 +Vfun +p9748 +tp9749 +a(g827 +g958 +tp9750 +a(g574 +VsgnReset +p9751 +tp9752 +a(g827 +g958 +tp9753 +a(g706 +g1098 +tp9754 +a(g706 +g1110 +tp9755 +a(g827 +g958 +tp9756 +a(g706 +g1119 +tp9757 +a(g827 +g958 +tp9758 +a(g706 +g1098 +tp9759 +a(g8 +V(* +p9760 +tp9761 +a(g8 +g958 +tp9762 +a(g8 +g1006 +tp9763 +a(g8 +g968 +tp9764 +a(g8 +g1021 +tp9765 +a(g8 +g958 +tp9766 +a(g8 +g1452 +tp9767 +a(g8 +g966 +tp9768 +a(g8 +g1040 +tp9769 +a(g8 +g958 +tp9770 +a(g8 +g5046 +tp9771 +a(g8 +g2130 +tp9772 +a(g8 +g958 +tp9773 +a(g8 +g1687 +tp9774 +a(g8 +g5046 +tp9775 +a(g8 +g1003 +tp9776 +a(g8 +g2130 +tp9777 +a(g8 +V4 +p9778 +tp9779 +a(g8 +g1003 +tp9780 +a(g8 +g5046 +tp9781 +a(g8 +g9778 +tp9782 +a(g8 +g958 +tp9783 +a(g8 +g5046 +tp9784 +a(g8 +g2130 +tp9785 +a(g8 +g2130 +tp9786 +a(g8 +g5046 +tp9787 +a(g8 +g958 +tp9788 +a(g8 +g1800 +tp9789 +a(g8 +g1016 +tp9790 +a(g8 +g1483 +tp9791 +a(g8 +g958 +tp9792 +a(g8 +V*) +p9793 +tp9794 +a(g827 +V\u000a +p9795 +tp9796 +a(g8 +V(* +p9797 +tp9798 +a(g8 +g958 +tp9799 +a(g8 +g964 +tp9800 +a(g8 +g998 +tp9801 +a(g8 +g1021 +tp9802 +a(g8 +g1033 +tp9803 +a(g8 +g958 +tp9804 +a(g8 +g1040 +tp9805 +a(g8 +g1021 +tp9806 +a(g8 +g968 +tp9807 +a(g8 +g1040 +tp9808 +a(g8 +g995 +tp9809 +a(g8 +g1046 +tp9810 +a(g8 +g1506 +tp9811 +a(g8 +g966 +tp9812 +a(g8 +g962 +tp9813 +a(g8 +g964 +tp9814 +a(g8 +g1033 +tp9815 +a(g8 +g958 +tp9816 +a(g8 +g971 +tp9817 +a(g8 +g958 +tp9818 +a(g8 +g1033 +tp9819 +a(g8 +g1483 +tp9820 +a(g8 +g971 +tp9821 +a(g8 +g1040 +tp9822 +a(g8 +g966 +tp9823 +a(g8 +g958 +tp9824 +a(g8 +g973 +tp9825 +a(g8 +g966 +tp9826 +a(g8 +g971 +tp9827 +a(g8 +g1011 +tp9828 +a(g8 +g958 +tp9829 +a(g8 +V*) +p9830 +tp9831 +a(g827 +V\u000a +p9832 +tp9833 +a(g436 +VsgnClean +p9834 +tp9835 +a(g827 +g958 +tp9836 +a(g706 +g1098 +tp9837 +a(g37 +g2130 +tp9838 +a(g706 +g1110 +tp9839 +a(g706 +g1893 +tp9840 +a(g827 +V\u000a +p9841 +tp9842 +a(g436 +VnextCid +p9843 +tp9844 +a(g827 +g958 +tp9845 +a(g436 +V:= +p9846 +tp9847 +a(g827 +g958 +tp9848 +a(g37 +g2130 +tp9849 +a(g706 +g1893 +tp9850 +a(g827 +g958 +tp9851 +a(g436 +VnextMid +p9852 +tp9853 +a(g827 +g958 +tp9854 +a(g436 +V:= +p9855 +tp9856 +a(g827 +g958 +tp9857 +a(g37 +g2130 +tp9858 +a(g706 +g1110 +tp9859 +a(g827 +V\u000a +p9860 +tp9861 +a(g794 +Vfun +p9862 +tp9863 +a(g827 +g958 +tp9864 +a(g574 +VsgnSize +p9865 +tp9866 +a(g827 +g958 +tp9867 +a(g706 +g1098 +tp9868 +a(g706 +g1110 +tp9869 +a(g827 +g958 +tp9870 +a(g706 +g1119 +tp9871 +a(g827 +g958 +tp9872 +a(g706 +g1098 +tp9873 +a(g436 +g7231 +tp9874 +a(g436 +VnextCid +p9875 +tp9876 +a(g706 +g1026 +tp9877 +a(g827 +g958 +tp9878 +a(g436 +g7231 +tp9879 +a(g436 +VnextMid +p9880 +tp9881 +a(g706 +g1110 +tp9882 +a(g827 +V\u000a\u000a +p9883 +tp9884 +a(g794 +Vfun +p9885 +tp9886 +a(g827 +g958 +tp9887 +a(g574 +VsgnAdd +p9888 +tp9889 +a(g827 +g958 +tp9890 +a(g706 +g1098 +tp9891 +a(g436 +VconDec +p9892 +tp9893 +a(g706 +g1110 +tp9894 +a(g827 +g958 +tp9895 +a(g706 +g1119 +tp9896 +a(g827 +V \u000a +p9897 +tp9898 +a(g794 +Vlet +p9899 +tp9900 +a(g827 +V\u000a +p9901 +tp9902 +a(g794 +Vval +p9903 +tp9904 +a(g827 +g958 +tp9905 +a(g441 +Vcid +p9906 +tp9907 +a(g827 +g958 +tp9908 +a(g706 +g1119 +tp9909 +a(g827 +g958 +tp9910 +a(g436 +g7231 +tp9911 +a(g436 +VnextCid +p9912 +tp9913 +a(g827 +V\u000a +p9914 +tp9915 +a(g794 +Vin +p9916 +tp9917 +a(g827 +V\u000a +p9918 +tp9919 +a(g794 +Vif +p9920 +tp9921 +a(g827 +g958 +tp9922 +a(g436 +Vcid +p9923 +tp9924 +a(g827 +g958 +tp9925 +a(g436 +g1491 +tp9926 +a(g827 +g958 +tp9927 +a(g436 +VmaxCid +p9928 +tp9929 +a(g827 +V\u000a +p9930 +tp9931 +a(g794 +Vthen +p9932 +tp9933 +a(g827 +g958 +tp9934 +a(g794 +Vraise +p9935 +tp9936 +a(g827 +g958 +tp9937 +a(g436 +VError +p9938 +tp9939 +a(g827 +g958 +tp9940 +a(g706 +g1098 +tp9941 +a(g157 +g1846 +tp9942 +a(g157 +g1385 +tp9943 +a(g157 +g973 +tp9944 +a(g157 +g1000 +tp9945 +a(g157 +g1074 +tp9946 +a(g157 +g971 +tp9947 +a(g157 +g973 +tp9948 +a(g157 +g958 +tp9949 +a(g157 +g1033 +tp9950 +a(g157 +g1021 +tp9951 +a(g157 +g1024 +tp9952 +a(g157 +g962 +tp9953 +a(g157 +g971 +tp9954 +a(g157 +g964 +tp9955 +a(g157 +g995 +tp9956 +a(g157 +g968 +tp9957 +a(g157 +g966 +tp9958 +a(g157 +g958 +tp9959 +a(g157 +g1033 +tp9960 +a(g157 +g1021 +tp9961 +a(g157 +Vz +p9962 +tp9963 +a(g157 +g966 +tp9964 +a(g157 +g958 +tp9965 +a(g157 +g1846 +tp9966 +a(g827 +g958 +tp9967 +a(g436 +g1866 +tp9968 +a(g827 +g958 +tp9969 +a(g621 +VInt +p9970 +tp9971 +a(g706 +g1392 +tp9972 +a(g436 +VtoString +p9973 +tp9974 +a(g827 +g958 +tp9975 +a(g706 +g1098 +tp9976 +a(g436 +VmaxCid +p9977 +tp9978 +a(g436 +g2113 +tp9979 +a(g37 +g1687 +tp9980 +a(g706 +g1110 +tp9981 +a(g827 +g958 +tp9982 +a(g436 +g1866 +tp9983 +a(g827 +g958 +tp9984 +a(g157 +g1846 +tp9985 +a(g157 +g958 +tp9986 +a(g157 +g966 +tp9987 +a(g157 +g983 +tp9988 +a(g157 +g1040 +tp9989 +a(g157 +g966 +tp9990 +a(g157 +g966 +tp9991 +a(g157 +g1062 +tp9992 +a(g157 +g966 +tp9993 +a(g157 +g1062 +tp9994 +a(g157 +g1846 +tp9995 +a(g706 +g1110 +tp9996 +a(g827 +V\u000a +p9997 +tp9998 +a(g794 +Velse +p9999 +tp10000 +a(g827 +g958 +tp10001 +a(g706 +g1098 +tp10002 +a(g621 +VArray +p10003 +tp10004 +a(g706 +g1392 +tp10005 +a(g436 +Vupdate +p10006 +tp10007 +a(g827 +g958 +tp10008 +a(g706 +g1098 +tp10009 +a(g436 +VsgnArray +p10010 +tp10011 +a(g706 +g1026 +tp10012 +a(g827 +g958 +tp10013 +a(g436 +Vcid +p10014 +tp10015 +a(g706 +g1026 +tp10016 +a(g827 +g958 +tp10017 +a(g436 +VconDec +p10018 +tp10019 +a(g706 +g1110 +tp10020 +a(g827 +g958 +tp10021 +a(g706 +g1893 +tp10022 +a(g827 +V\u000a +p10023 +tp10024 +a(g436 +VnextCid +p10025 +tp10026 +a(g827 +g958 +tp10027 +a(g436 +V:= +p10028 +tp10029 +a(g827 +g958 +tp10030 +a(g436 +Vcid +p10031 +tp10032 +a(g827 +g958 +tp10033 +a(g436 +g2113 +tp10034 +a(g827 +g958 +tp10035 +a(g37 +g1687 +tp10036 +a(g706 +g1893 +tp10037 +a(g827 +V\u000a +p10038 +tp10039 +a(g436 +Vcid +p10040 +tp10041 +a(g706 +g1110 +tp10042 +a(g827 +V\u000a +p10043 +tp10044 +a(g794 +Vend +p10045 +tp10046 +a(g827 +V\u000a\u000a +p10047 +tp10048 +a(g8 +V(* +p10049 +tp10050 +a(g8 +g958 +tp10051 +a(g8 +g2130 +tp10052 +a(g8 +g958 +tp10053 +a(g8 +g1690 +tp10054 +a(g8 +g1119 +tp10055 +a(g8 +g958 +tp10056 +a(g8 +g1040 +tp10057 +a(g8 +g1021 +tp10058 +a(g8 +g1062 +tp10059 +a(g8 +g958 +tp10060 +a(g8 +g1690 +tp10061 +a(g8 +g958 +tp10062 +a(g8 +g7231 +tp10063 +a(g8 +g962 +tp10064 +a(g8 +g966 +tp10065 +a(g8 +g983 +tp10066 +a(g8 +g964 +tp10067 +a(g8 +g1029 +tp10068 +a(g8 +g1021 +tp10069 +a(g8 +g1062 +tp10070 +a(g8 +g958 +tp10071 +a(g8 +V*) +p10072 +tp10073 +a(g827 +V\u000a +p10074 +tp10075 +a(g794 +Vfun +p10076 +tp10077 +a(g827 +g958 +tp10078 +a(g574 +VsgnLookup +p10079 +tp10080 +a(g827 +g958 +tp10081 +a(g706 +g1098 +tp10082 +a(g436 +Vcid +p10083 +tp10084 +a(g706 +g1110 +tp10085 +a(g827 +g958 +tp10086 +a(g706 +g1119 +tp10087 +a(g827 +g958 +tp10088 +a(g621 +VArray +p10089 +tp10090 +a(g706 +g1392 +tp10091 +a(g436 +Vsub +p10092 +tp10093 +a(g827 +g958 +tp10094 +a(g706 +g1098 +tp10095 +a(g436 +VsgnArray +p10096 +tp10097 +a(g706 +g1026 +tp10098 +a(g827 +g958 +tp10099 +a(g436 +Vcid +p10100 +tp10101 +a(g706 +g1110 +tp10102 +a(g827 +V\u000a\u000a +p10103 +tp10104 +a(g794 +Vfun +p10105 +tp10106 +a(g827 +g958 +tp10107 +a(g574 +VsgnApp +p10108 +tp10109 +a(g827 +g958 +tp10110 +a(g706 +g1098 +tp10111 +a(g436 +g1016 +tp10112 +a(g706 +g1110 +tp10113 +a(g827 +g958 +tp10114 +a(g706 +g1119 +tp10115 +a(g827 +V\u000a +p10116 +tp10117 +a(g794 +Vlet +p10118 +tp10119 +a(g827 +V\u000a +p10120 +tp10121 +a(g794 +Vfun +p10122 +tp10123 +a(g827 +g958 +tp10124 +a(g574 +VsgnApp' +p10125 +tp10126 +a(g827 +g958 +tp10127 +a(g706 +g1098 +tp10128 +a(g436 +Vcid +p10129 +tp10130 +a(g706 +g1110 +tp10131 +a(g827 +g958 +tp10132 +a(g706 +g1119 +tp10133 +a(g827 +V \u000a +p10134 +tp10135 +a(g794 +Vif +p10136 +tp10137 +a(g827 +g958 +tp10138 +a(g436 +Vcid +p10139 +tp10140 +a(g827 +g958 +tp10141 +a(g706 +g1119 +tp10142 +a(g827 +g958 +tp10143 +a(g436 +g7231 +tp10144 +a(g436 +VnextCid +p10145 +tp10146 +a(g827 +g958 +tp10147 +a(g794 +Vthen +p10148 +tp10149 +a(g827 +g958 +tp10150 +a(g706 +g1098 +tp10151 +a(g706 +g1110 +tp10152 +a(g827 +g958 +tp10153 +a(g794 +Velse +p10154 +tp10155 +a(g827 +g958 +tp10156 +a(g706 +g1098 +tp10157 +a(g436 +g1016 +tp10158 +a(g827 +g958 +tp10159 +a(g436 +Vcid +p10160 +tp10161 +a(g706 +g1893 +tp10162 +a(g827 +g958 +tp10163 +a(g436 +VsgnApp' +p10164 +tp10165 +a(g827 +g958 +tp10166 +a(g706 +g1098 +tp10167 +a(g436 +Vcid +p10168 +tp10169 +a(g436 +g2113 +tp10170 +a(g37 +g1687 +tp10171 +a(g706 +g1110 +tp10172 +a(g706 +g1110 +tp10173 +a(g827 +V \u000a +p10174 +tp10175 +a(g794 +Vin +p10176 +tp10177 +a(g827 +V\u000a +p10178 +tp10179 +a(g436 +VsgnApp' +p10180 +tp10181 +a(g827 +g958 +tp10182 +a(g706 +g1098 +tp10183 +a(g37 +g2130 +tp10184 +a(g706 +g1110 +tp10185 +a(g827 +V\u000a +p10186 +tp10187 +a(g794 +Vend +p10188 +tp10189 +a(g827 +V\u000a\u000a +p10190 +tp10191 +a(g794 +Vfun +p10192 +tp10193 +a(g827 +g958 +tp10194 +a(g574 +VsgnStructAdd +p10195 +tp10196 +a(g827 +g958 +tp10197 +a(g706 +g1098 +tp10198 +a(g436 +VstrDec +p10199 +tp10200 +a(g706 +g1110 +tp10201 +a(g827 +g958 +tp10202 +a(g706 +g1119 +tp10203 +a(g827 +V \u000a +p10204 +tp10205 +a(g794 +Vlet +p10206 +tp10207 +a(g827 +V\u000a +p10208 +tp10209 +a(g794 +Vval +p10210 +tp10211 +a(g827 +g958 +tp10212 +a(g441 +Vmid +p10213 +tp10214 +a(g827 +g958 +tp10215 +a(g706 +g1119 +tp10216 +a(g827 +g958 +tp10217 +a(g436 +g7231 +tp10218 +a(g436 +VnextMid +p10219 +tp10220 +a(g827 +V\u000a +p10221 +tp10222 +a(g794 +Vin +p10223 +tp10224 +a(g827 +V\u000a +p10225 +tp10226 +a(g794 +Vif +p10227 +tp10228 +a(g827 +g958 +tp10229 +a(g436 +Vmid +p10230 +tp10231 +a(g827 +g958 +tp10232 +a(g436 +g1491 +tp10233 +a(g827 +g958 +tp10234 +a(g436 +VmaxMid +p10235 +tp10236 +a(g827 +V\u000a +p10237 +tp10238 +a(g794 +Vthen +p10239 +tp10240 +a(g827 +g958 +tp10241 +a(g794 +Vraise +p10242 +tp10243 +a(g827 +g958 +tp10244 +a(g436 +VError +p10245 +tp10246 +a(g827 +g958 +tp10247 +a(g706 +g1098 +tp10248 +a(g157 +g1846 +tp10249 +a(g157 +g1385 +tp10250 +a(g157 +g973 +tp10251 +a(g157 +g1000 +tp10252 +a(g157 +g1074 +tp10253 +a(g157 +g971 +tp10254 +a(g157 +g973 +tp10255 +a(g157 +g958 +tp10256 +a(g157 +g1033 +tp10257 +a(g157 +g1021 +tp10258 +a(g157 +g1024 +tp10259 +a(g157 +g962 +tp10260 +a(g157 +g971 +tp10261 +a(g157 +g964 +tp10262 +a(g157 +g995 +tp10263 +a(g157 +g968 +tp10264 +a(g157 +g966 +tp10265 +a(g157 +g958 +tp10266 +a(g157 +g1033 +tp10267 +a(g157 +g1021 +tp10268 +a(g157 +g9962 +tp10269 +a(g157 +g966 +tp10270 +a(g157 +g958 +tp10271 +a(g157 +g1846 +tp10272 +a(g827 +g958 +tp10273 +a(g436 +g1866 +tp10274 +a(g827 +g958 +tp10275 +a(g621 +VInt +p10276 +tp10277 +a(g706 +g1392 +tp10278 +a(g436 +VtoString +p10279 +tp10280 +a(g827 +g958 +tp10281 +a(g706 +g1098 +tp10282 +a(g436 +VmaxMid +p10283 +tp10284 +a(g436 +g2113 +tp10285 +a(g37 +g1687 +tp10286 +a(g706 +g1110 +tp10287 +a(g827 +g958 +tp10288 +a(g436 +g1866 +tp10289 +a(g827 +g958 +tp10290 +a(g157 +g1846 +tp10291 +a(g157 +g958 +tp10292 +a(g157 +g966 +tp10293 +a(g157 +g983 +tp10294 +a(g157 +g1040 +tp10295 +a(g157 +g966 +tp10296 +a(g157 +g966 +tp10297 +a(g157 +g1062 +tp10298 +a(g157 +g966 +tp10299 +a(g157 +g1062 +tp10300 +a(g157 +g1846 +tp10301 +a(g706 +g1110 +tp10302 +a(g827 +V\u000a +p10303 +tp10304 +a(g794 +Velse +p10305 +tp10306 +a(g827 +g958 +tp10307 +a(g706 +g1098 +tp10308 +a(g621 +VArray +p10309 +tp10310 +a(g706 +g1392 +tp10311 +a(g436 +Vupdate +p10312 +tp10313 +a(g827 +g958 +tp10314 +a(g706 +g1098 +tp10315 +a(g436 +VsgnStructArray +p10316 +tp10317 +a(g706 +g1026 +tp10318 +a(g827 +g958 +tp10319 +a(g436 +Vmid +p10320 +tp10321 +a(g706 +g1026 +tp10322 +a(g827 +g958 +tp10323 +a(g436 +VstrDec +p10324 +tp10325 +a(g706 +g1110 +tp10326 +a(g827 +g958 +tp10327 +a(g706 +g1893 +tp10328 +a(g827 +V\u000a +p10329 +tp10330 +a(g436 +VnextMid +p10331 +tp10332 +a(g827 +g958 +tp10333 +a(g436 +V:= +p10334 +tp10335 +a(g827 +g958 +tp10336 +a(g436 +Vmid +p10337 +tp10338 +a(g827 +g958 +tp10339 +a(g436 +g2113 +tp10340 +a(g827 +g958 +tp10341 +a(g37 +g1687 +tp10342 +a(g706 +g1893 +tp10343 +a(g827 +V\u000a +p10344 +tp10345 +a(g436 +Vmid +p10346 +tp10347 +a(g706 +g1110 +tp10348 +a(g827 +V\u000a +p10349 +tp10350 +a(g794 +Vend +p10351 +tp10352 +a(g827 +V\u000a\u000a +p10353 +tp10354 +a(g8 +V(* +p10355 +tp10356 +a(g8 +g958 +tp10357 +a(g8 +g2130 +tp10358 +a(g8 +g958 +tp10359 +a(g8 +g1690 +tp10360 +a(g8 +g1119 +tp10361 +a(g8 +g958 +tp10362 +a(g8 +g1046 +tp10363 +a(g8 +g1021 +tp10364 +a(g8 +g1062 +tp10365 +a(g8 +g958 +tp10366 +a(g8 +g1690 +tp10367 +a(g8 +g958 +tp10368 +a(g8 +g7231 +tp10369 +a(g8 +g962 +tp10370 +a(g8 +g966 +tp10371 +a(g8 +g983 +tp10372 +a(g8 +g964 +tp10373 +a(g8 +g1059 +tp10374 +a(g8 +g1021 +tp10375 +a(g8 +g1062 +tp10376 +a(g8 +g958 +tp10377 +a(g8 +V*) +p10378 +tp10379 +a(g827 +V\u000a +p10380 +tp10381 +a(g794 +Vfun +p10382 +tp10383 +a(g827 +g958 +tp10384 +a(g574 +VsgnStructLookup +p10385 +tp10386 +a(g827 +g958 +tp10387 +a(g706 +g1098 +tp10388 +a(g436 +Vmid +p10389 +tp10390 +a(g706 +g1110 +tp10391 +a(g827 +g958 +tp10392 +a(g706 +g1119 +tp10393 +a(g827 +g958 +tp10394 +a(g621 +VArray +p10395 +tp10396 +a(g706 +g1392 +tp10397 +a(g436 +Vsub +p10398 +tp10399 +a(g827 +g958 +tp10400 +a(g706 +g1098 +tp10401 +a(g436 +VsgnStructArray +p10402 +tp10403 +a(g706 +g1026 +tp10404 +a(g827 +g958 +tp10405 +a(g436 +Vmid +p10406 +tp10407 +a(g706 +g1110 +tp10408 +a(g827 +V\u000a\u000a +p10409 +tp10410 +a(g8 +V(* +p10411 +tp10412 +a(g8 +g958 +tp10413 +a(g8 +g993 +tp10414 +a(g8 +g958 +tp10415 +a(g8 +g998 +tp10416 +a(g8 +g971 +tp10417 +a(g8 +g1040 +tp10418 +a(g8 +g1011 +tp10419 +a(g8 +g958 +tp10420 +a(g8 +g995 +tp10421 +a(g8 +g1033 +tp10422 +a(g8 +g966 +tp10423 +a(g8 +g1062 +tp10424 +a(g8 +g958 +tp10425 +a(g8 +g1021 +tp10426 +a(g8 +g962 +tp10427 +a(g8 +g958 +tp10428 +a(g8 +g1006 +tp10429 +a(g8 +g973 +tp10430 +a(g8 +g1021 +tp10431 +a(g8 +g964 +tp10432 +a(g8 +g958 +tp10433 +a(g8 +g1800 +tp10434 +a(g8 +g958 +tp10435 +a(g8 +Vj +p10436 +tp10437 +a(g8 +g1040 +tp10438 +a(g8 +g968 +tp10439 +a(g8 +g966 +tp10440 +a(g8 +g966 +tp10441 +a(g8 +g1062 +tp10442 +a(g8 +g958 +tp10443 +a(g8 +V6 +p10444 +tp10445 +a(g8 +V/ +p10446 +tp10447 +a(g8 +g2130 +tp10448 +a(g8 +V5 +p10449 +tp10450 +a(g8 +g958 +tp10451 +a(g8 +V*) +p10452 +tp10453 +a(g827 +V\u000a +p10454 +tp10455 +a(g794 +Vfun +p10456 +tp10457 +a(g827 +g958 +tp10458 +a(g574 +Vrename +p10459 +tp10460 +a(g827 +g958 +tp10461 +a(g706 +g1098 +tp10462 +a(g436 +Vcid +p10463 +tp10464 +a(g706 +g1026 +tp10465 +a(g827 +g958 +tp10466 +a(g436 +Vnew +p10467 +tp10468 +a(g706 +g1110 +tp10469 +a(g827 +g958 +tp10470 +a(g706 +g1119 +tp10471 +a(g827 +V\u000a +p10472 +tp10473 +a(g794 +Vlet +p10474 +tp10475 +a(g827 +V\u000a +p10476 +tp10477 +a(g794 +Vval +p10478 +tp10479 +a(g827 +g958 +tp10480 +a(g441 +VnewConDec +p10481 +tp10482 +a(g827 +g958 +tp10483 +a(g706 +g1119 +tp10484 +a(g827 +g958 +tp10485 +a(g794 +Vcase +p10486 +tp10487 +a(g827 +g958 +tp10488 +a(g436 +VsgnLookup +p10489 +tp10490 +a(g827 +g958 +tp10491 +a(g436 +Vcid +p10492 +tp10493 +a(g827 +g958 +tp10494 +a(g794 +Vof +p10495 +tp10496 +a(g827 +V \u000a +p10497 +tp10498 +a(g436 +VConDec +p10499 +tp10500 +a(g827 +g958 +tp10501 +a(g706 +g1098 +tp10502 +a(g436 +g962 +tp10503 +a(g706 +g1026 +tp10504 +a(g436 +g1046 +tp10505 +a(g706 +g1026 +tp10506 +a(g436 +g1021 +tp10507 +a(g706 +g1026 +tp10508 +a(g436 +g1033 +tp10509 +a(g706 +g1026 +tp10510 +a(g436 +g966 +tp10511 +a(g706 +g1026 +tp10512 +a(g436 +g995 +tp10513 +a(g706 +g1110 +tp10514 +a(g827 +g958 +tp10515 +a(g706 +V=> +p10516 +tp10517 +a(g827 +g958 +tp10518 +a(g436 +VConDec +p10519 +tp10520 +a(g706 +g1098 +tp10521 +a(g436 +Vnew +p10522 +tp10523 +a(g706 +g1026 +tp10524 +a(g436 +g1046 +tp10525 +a(g706 +g1026 +tp10526 +a(g436 +g1021 +tp10527 +a(g706 +g1026 +tp10528 +a(g436 +g1033 +tp10529 +a(g706 +g1026 +tp10530 +a(g436 +g966 +tp10531 +a(g706 +g1026 +tp10532 +a(g436 +g995 +tp10533 +a(g706 +g1110 +tp10534 +a(g827 +V\u000a +p10535 +tp10536 +a(g436 +g1418 +tp10537 +a(g827 +g958 +tp10538 +a(g436 +VConDef +p10539 +tp10540 +a(g827 +g958 +tp10541 +a(g706 +g1098 +tp10542 +a(g436 +g962 +tp10543 +a(g706 +g1026 +tp10544 +a(g436 +g1046 +tp10545 +a(g706 +g1026 +tp10546 +a(g436 +g1021 +tp10547 +a(g706 +g1026 +tp10548 +a(g436 +g966 +tp10549 +a(g706 +g1026 +tp10550 +a(g436 +Ve' +p10551 +tp10552 +a(g706 +g1026 +tp10553 +a(g436 +g995 +tp10554 +a(g706 +g1026 +tp10555 +a(g436 +g971 +tp10556 +a(g706 +g1110 +tp10557 +a(g827 +g958 +tp10558 +a(g706 +V=> +p10559 +tp10560 +a(g827 +g958 +tp10561 +a(g436 +VConDef +p10562 +tp10563 +a(g706 +g1098 +tp10564 +a(g436 +Vnew +p10565 +tp10566 +a(g706 +g1026 +tp10567 +a(g436 +g1046 +tp10568 +a(g706 +g1026 +tp10569 +a(g436 +g1021 +tp10570 +a(g706 +g1026 +tp10571 +a(g436 +g966 +tp10572 +a(g706 +g1026 +tp10573 +a(g436 +Ve' +p10574 +tp10575 +a(g706 +g1026 +tp10576 +a(g436 +g995 +tp10577 +a(g706 +g1026 +tp10578 +a(g436 +g971 +tp10579 +a(g706 +g1110 +tp10580 +a(g827 +V\u000a +p10581 +tp10582 +a(g436 +g1418 +tp10583 +a(g827 +g958 +tp10584 +a(g436 +VAbbrevDef +p10585 +tp10586 +a(g827 +g958 +tp10587 +a(g706 +g1098 +tp10588 +a(g436 +g962 +tp10589 +a(g706 +g1026 +tp10590 +a(g436 +g1046 +tp10591 +a(g706 +g1026 +tp10592 +a(g436 +g1021 +tp10593 +a(g706 +g1026 +tp10594 +a(g436 +g966 +tp10595 +a(g706 +g1026 +tp10596 +a(g436 +Ve' +p10597 +tp10598 +a(g706 +g1026 +tp10599 +a(g436 +g995 +tp10600 +a(g706 +g1110 +tp10601 +a(g827 +g958 +tp10602 +a(g706 +V=> +p10603 +tp10604 +a(g827 +g958 +tp10605 +a(g436 +VAbbrevDef +p10606 +tp10607 +a(g827 +g958 +tp10608 +a(g706 +g1098 +tp10609 +a(g436 +Vnew +p10610 +tp10611 +a(g706 +g1026 +tp10612 +a(g436 +g1046 +tp10613 +a(g706 +g1026 +tp10614 +a(g436 +g1021 +tp10615 +a(g706 +g1026 +tp10616 +a(g436 +g966 +tp10617 +a(g706 +g1026 +tp10618 +a(g436 +Ve' +p10619 +tp10620 +a(g706 +g1026 +tp10621 +a(g436 +g995 +tp10622 +a(g706 +g1110 +tp10623 +a(g827 +V\u000a +p10624 +tp10625 +a(g436 +g1418 +tp10626 +a(g827 +g958 +tp10627 +a(g436 +VBlockDec +p10628 +tp10629 +a(g827 +g958 +tp10630 +a(g706 +g1098 +tp10631 +a(g436 +g962 +tp10632 +a(g706 +g1026 +tp10633 +a(g436 +g1046 +tp10634 +a(g706 +g1026 +tp10635 +a(g436 +g1062 +tp10636 +a(g706 +g1026 +tp10637 +a(g436 +Vd' +p10638 +tp10639 +a(g706 +g1110 +tp10640 +a(g827 +g958 +tp10641 +a(g706 +V=> +p10642 +tp10643 +a(g827 +g958 +tp10644 +a(g436 +VBlockDec +p10645 +tp10646 +a(g827 +g958 +tp10647 +a(g706 +g1098 +tp10648 +a(g436 +Vnew +p10649 +tp10650 +a(g706 +g1026 +tp10651 +a(g436 +g1046 +tp10652 +a(g706 +g1026 +tp10653 +a(g436 +g1062 +tp10654 +a(g706 +g1026 +tp10655 +a(g436 +Vd' +p10656 +tp10657 +a(g706 +g1110 +tp10658 +a(g827 +V\u000a +p10659 +tp10660 +a(g436 +g1418 +tp10661 +a(g827 +g958 +tp10662 +a(g436 +VSkoDec +p10663 +tp10664 +a(g827 +g958 +tp10665 +a(g706 +g1098 +tp10666 +a(g436 +g962 +tp10667 +a(g706 +g1026 +tp10668 +a(g436 +g1046 +tp10669 +a(g706 +g1026 +tp10670 +a(g436 +g1021 +tp10671 +a(g706 +g1026 +tp10672 +a(g436 +g966 +tp10673 +a(g706 +g1026 +tp10674 +a(g436 +g995 +tp10675 +a(g706 +g1110 +tp10676 +a(g827 +g958 +tp10677 +a(g706 +V=> +p10678 +tp10679 +a(g827 +g958 +tp10680 +a(g436 +VSkoDec +p10681 +tp10682 +a(g827 +g958 +tp10683 +a(g706 +g1098 +tp10684 +a(g436 +Vnew +p10685 +tp10686 +a(g706 +g1026 +tp10687 +a(g436 +g1046 +tp10688 +a(g706 +g1026 +tp10689 +a(g436 +g1021 +tp10690 +a(g706 +g1026 +tp10691 +a(g436 +g966 +tp10692 +a(g706 +g1026 +tp10693 +a(g436 +g995 +tp10694 +a(g706 +g1110 +tp10695 +a(g827 +V\u000a +p10696 +tp10697 +a(g794 +Vin +p10698 +tp10699 +a(g827 +V\u000a +p10700 +tp10701 +a(g621 +VArray +p10702 +tp10703 +a(g706 +g1392 +tp10704 +a(g436 +Vupdate +p10705 +tp10706 +a(g827 +g958 +tp10707 +a(g706 +g1098 +tp10708 +a(g436 +VsgnArray +p10709 +tp10710 +a(g706 +g1026 +tp10711 +a(g827 +g958 +tp10712 +a(g436 +Vcid +p10713 +tp10714 +a(g706 +g1026 +tp10715 +a(g827 +g958 +tp10716 +a(g436 +VnewConDec +p10717 +tp10718 +a(g706 +g1110 +tp10719 +a(g827 +V\u000a +p10720 +tp10721 +a(g794 +Vend +p10722 +tp10723 +a(g827 +V\u000a\u000a +p10724 +tp10725 +a(g794 +Vend +p10726 +tp10727 +a(g827 +V\u000a\u000a +p10728 +tp10729 +a(g794 +Vfun +p10730 +tp10731 +a(g827 +g958 +tp10732 +a(g574 +VconstDef +p10733 +tp10734 +a(g827 +g958 +tp10735 +a(g706 +g1098 +tp10736 +a(g436 +g1062 +tp10737 +a(g706 +g1110 +tp10738 +a(g827 +g958 +tp10739 +a(g706 +g1119 +tp10740 +a(g827 +V\u000a +p10741 +tp10742 +a(g706 +g1098 +tp10743 +a(g794 +Vcase +p10744 +tp10745 +a(g827 +g958 +tp10746 +a(g436 +VsgnLookup +p10747 +tp10748 +a(g827 +g958 +tp10749 +a(g706 +g1098 +tp10750 +a(g436 +g1062 +tp10751 +a(g706 +g1110 +tp10752 +a(g827 +V\u000a +p10753 +tp10754 +a(g794 +Vof +p10755 +tp10756 +a(g827 +g958 +tp10757 +a(g436 +VConDef +p10758 +tp10759 +a(g706 +g1098 +tp10760 +a(g706 +g1779 +tp10761 +a(g706 +g1026 +tp10762 +a(g827 +g958 +tp10763 +a(g706 +g1779 +tp10764 +a(g706 +g1026 +tp10765 +a(g827 +g958 +tp10766 +a(g706 +g1779 +tp10767 +a(g706 +g1026 +tp10768 +a(g827 +g958 +tp10769 +a(g436 +g2708 +tp10770 +a(g706 +g1026 +tp10771 +a(g706 +g1779 +tp10772 +a(g706 +g1026 +tp10773 +a(g827 +g958 +tp10774 +a(g706 +g1779 +tp10775 +a(g706 +g1026 +tp10776 +a(g827 +g958 +tp10777 +a(g706 +g1779 +tp10778 +a(g706 +g1110 +tp10779 +a(g827 +g958 +tp10780 +a(g706 +V=> +p10781 +tp10782 +a(g827 +g958 +tp10783 +a(g436 +g2708 +tp10784 +a(g827 +V\u000a +p10785 +tp10786 +a(g436 +g1418 +tp10787 +a(g827 +g958 +tp10788 +a(g436 +VAbbrevDef +p10789 +tp10790 +a(g827 +g958 +tp10791 +a(g706 +g1098 +tp10792 +a(g706 +g1779 +tp10793 +a(g706 +g1026 +tp10794 +a(g827 +g958 +tp10795 +a(g706 +g1779 +tp10796 +a(g706 +g1026 +tp10797 +a(g827 +g958 +tp10798 +a(g706 +g1779 +tp10799 +a(g706 +g1026 +tp10800 +a(g827 +g958 +tp10801 +a(g436 +g2708 +tp10802 +a(g706 +g1026 +tp10803 +a(g706 +g1779 +tp10804 +a(g706 +g1026 +tp10805 +a(g827 +g958 +tp10806 +a(g706 +g1779 +tp10807 +a(g706 +g1110 +tp10808 +a(g827 +g958 +tp10809 +a(g706 +V=> +p10810 +tp10811 +a(g827 +g958 +tp10812 +a(g436 +g2708 +tp10813 +a(g706 +g1110 +tp10814 +a(g827 +V\u000a\u000a +p10815 +tp10816 +a(g794 +Vfun +p10817 +tp10818 +a(g827 +g958 +tp10819 +a(g574 +VconstType +p10820 +tp10821 +a(g827 +g958 +tp10822 +a(g706 +g1098 +tp10823 +a(g436 +g1040 +tp10824 +a(g706 +g1110 +tp10825 +a(g827 +g958 +tp10826 +a(g706 +g1119 +tp10827 +a(g827 +g958 +tp10828 +a(g436 +VconDecType +p10829 +tp10830 +a(g827 +g958 +tp10831 +a(g706 +g1098 +tp10832 +a(g436 +VsgnLookup +p10833 +tp10834 +a(g827 +g958 +tp10835 +a(g436 +g1040 +tp10836 +a(g706 +g1110 +tp10837 +a(g827 +V\u000a +p10838 +tp10839 +a(g794 +Vfun +p10840 +tp10841 +a(g827 +g958 +tp10842 +a(g574 +VconstImp +p10843 +tp10844 +a(g827 +g958 +tp10845 +a(g706 +g1098 +tp10846 +a(g436 +g1040 +tp10847 +a(g706 +g1110 +tp10848 +a(g827 +g958 +tp10849 +a(g706 +g1119 +tp10850 +a(g827 +g958 +tp10851 +a(g436 +VconDecImp +p10852 +tp10853 +a(g827 +g958 +tp10854 +a(g706 +g1098 +tp10855 +a(g436 +VsgnLookup +p10856 +tp10857 +a(g827 +g958 +tp10858 +a(g436 +g1040 +tp10859 +a(g706 +g1110 +tp10860 +a(g827 +V\u000a +p10861 +tp10862 +a(g794 +Vfun +p10863 +tp10864 +a(g827 +g958 +tp10865 +a(g574 +VconstUni +p10866 +tp10867 +a(g827 +g958 +tp10868 +a(g706 +g1098 +tp10869 +a(g436 +g1040 +tp10870 +a(g706 +g1110 +tp10871 +a(g827 +g958 +tp10872 +a(g706 +g1119 +tp10873 +a(g827 +g958 +tp10874 +a(g436 +VconDecUni +p10875 +tp10876 +a(g827 +g958 +tp10877 +a(g706 +g1098 +tp10878 +a(g436 +VsgnLookup +p10879 +tp10880 +a(g827 +g958 +tp10881 +a(g436 +g1040 +tp10882 +a(g706 +g1110 +tp10883 +a(g827 +V\u000a +p10884 +tp10885 +a(g794 +Vfun +p10886 +tp10887 +a(g827 +g958 +tp10888 +a(g574 +VconstBlock +p10889 +tp10890 +a(g827 +g958 +tp10891 +a(g706 +g1098 +tp10892 +a(g436 +g1040 +tp10893 +a(g706 +g1110 +tp10894 +a(g827 +g958 +tp10895 +a(g706 +g1119 +tp10896 +a(g827 +g958 +tp10897 +a(g436 +VconDecBlock +p10898 +tp10899 +a(g827 +g958 +tp10900 +a(g706 +g1098 +tp10901 +a(g436 +VsgnLookup +p10902 +tp10903 +a(g827 +g958 +tp10904 +a(g436 +g1040 +tp10905 +a(g706 +g1110 +tp10906 +a(g827 +V\u000a\u000a +p10907 +tp10908 +a(g794 +Vfun +p10909 +tp10910 +a(g827 +g958 +tp10911 +a(g574 +VconstStatus +p10912 +tp10913 +a(g827 +g958 +tp10914 +a(g706 +g1098 +tp10915 +a(g436 +g1040 +tp10916 +a(g706 +g1110 +tp10917 +a(g827 +g958 +tp10918 +a(g706 +g1119 +tp10919 +a(g827 +V\u000a +p10920 +tp10921 +a(g706 +g1098 +tp10922 +a(g794 +Vcase +p10923 +tp10924 +a(g827 +g958 +tp10925 +a(g436 +VsgnLookup +p10926 +tp10927 +a(g827 +g958 +tp10928 +a(g706 +g1098 +tp10929 +a(g436 +g1040 +tp10930 +a(g706 +g1110 +tp10931 +a(g827 +V\u000a +p10932 +tp10933 +a(g794 +Vof +p10934 +tp10935 +a(g827 +g958 +tp10936 +a(g436 +VConDec +p10937 +tp10938 +a(g827 +g958 +tp10939 +a(g706 +g1098 +tp10940 +a(g706 +g1779 +tp10941 +a(g706 +g1026 +tp10942 +a(g827 +g958 +tp10943 +a(g706 +g1779 +tp10944 +a(g706 +g1026 +tp10945 +a(g827 +g958 +tp10946 +a(g706 +g1779 +tp10947 +a(g706 +g1026 +tp10948 +a(g827 +g958 +tp10949 +a(g436 +Vstatus +p10950 +tp10951 +a(g706 +g1026 +tp10952 +a(g827 +g958 +tp10953 +a(g706 +g1779 +tp10954 +a(g706 +g1026 +tp10955 +a(g827 +g958 +tp10956 +a(g706 +g1779 +tp10957 +a(g706 +g1110 +tp10958 +a(g827 +g958 +tp10959 +a(g706 +V=> +p10960 +tp10961 +a(g827 +g958 +tp10962 +a(g436 +Vstatus +p10963 +tp10964 +a(g827 +V\u000a +p10965 +tp10966 +a(g436 +g1418 +tp10967 +a(g827 +g958 +tp10968 +a(g706 +g1779 +tp10969 +a(g827 +g958 +tp10970 +a(g706 +V=> +p10971 +tp10972 +a(g827 +g958 +tp10973 +a(g436 +VNormal +p10974 +tp10975 +a(g706 +g1110 +tp10976 +a(g827 +V\u000a\u000a\u000a +p10977 +tp10978 +a(g8 +V(* +p10979 +tp10980 +a(g8 +g958 +tp10981 +a(g8 +g1902 +tp10982 +a(g8 +g983 +tp10983 +a(g8 +g1483 +tp10984 +a(g8 +g973 +tp10985 +a(g8 +g1021 +tp10986 +a(g8 +g1040 +tp10987 +a(g8 +g1021 +tp10988 +a(g8 +g964 +tp10989 +a(g8 +g958 +tp10990 +a(g8 +g976 +tp10991 +a(g8 +g995 +tp10992 +a(g8 +g1074 +tp10993 +a(g8 +g1033 +tp10994 +a(g8 +g964 +tp10995 +a(g8 +g1021 +tp10996 +a(g8 +g964 +tp10997 +a(g8 +g995 +tp10998 +a(g8 +g964 +tp10999 +a(g8 +g1021 +tp11000 +a(g8 +g1000 +tp11001 +a(g8 +g962 +tp11002 +a(g8 +g1033 +tp11003 +a(g8 +g958 +tp11004 +a(g8 +V*) +p11005 +tp11006 +a(g827 +V\u000a\u000a +p11007 +tp11008 +a(g8 +V(* +p11009 +tp11010 +a(g8 +g958 +tp11011 +a(g8 +g1021 +tp11012 +a(g8 +g1062 +tp11013 +a(g8 +g958 +tp11014 +a(g8 +g1119 +tp11015 +a(g8 +g958 +tp11016 +a(g8 +g1866 +tp11017 +a(g8 +g2130 +tp11018 +a(g8 +g958 +tp11019 +a(g8 +V\u000a +p11020 +tp11021 +a(g8 +g958 +tp11022 +a(g8 +g958 +tp11023 +a(g8 +V\u000a +p11024 +tp11025 +a(g8 +g958 +tp11026 +a(g8 +g958 +tp11027 +a(g8 +g958 +tp11028 +a(g8 +g958 +tp11029 +a(g8 +g958 +tp11030 +a(g8 +g960 +tp11031 +a(g8 +g962 +tp11032 +a(g8 +g1506 +tp11033 +a(g8 +g971 +tp11034 +a(g8 +g968 +tp11035 +a(g8 +g1021 +tp11036 +a(g8 +g971 +tp11037 +a(g8 +g962 +tp11038 +a(g8 +g964 +tp11039 +a(g8 +g1003 +tp11040 +a(g8 +V\u000a +p11041 +tp11042 +a(g8 +g958 +tp11043 +a(g8 +g958 +tp11044 +a(g8 +g958 +tp11045 +a(g8 +g958 +tp11046 +a(g8 +g958 +tp11047 +a(g8 +g1385 +tp11048 +a(g8 +g958 +tp11049 +a(g8 +g1418 +tp11050 +a(g8 +g1800 +tp11051 +a(g8 +g958 +tp11052 +a(g8 +g1021 +tp11053 +a(g8 +g1062 +tp11054 +a(g8 +g958 +tp11055 +a(g8 +g1003 +tp11056 +a(g8 +g958 +tp11057 +a(g8 +g1385 +tp11058 +a(g8 +g958 +tp11059 +a(g8 +g958 +tp11060 +a(g8 +g958 +tp11061 +a(g8 +g958 +tp11062 +a(g8 +g958 +tp11063 +a(g8 +g958 +tp11064 +a(g8 +g958 +tp11065 +a(g8 +g958 +tp11066 +a(g8 +g1021 +tp11067 +a(g8 +g1062 +tp11068 +a(g8 +g958 +tp11069 +a(g8 +g1021 +tp11070 +a(g8 +g1033 +tp11071 +a(g8 +g958 +tp11072 +a(g8 +g1483 +tp11073 +a(g8 +g971 +tp11074 +a(g8 +g964 +tp11075 +a(g8 +g1033 +tp11076 +a(g8 +g995 +tp11077 +a(g8 +g1074 +tp11078 +a(g8 +V\u000a +p11079 +tp11080 +a(g8 +g958 +tp11081 +a(g8 +g958 +tp11082 +a(g8 +V*) +p11083 +tp11084 +a(g827 +V\u000a +p11085 +tp11086 +a(g794 +Vval +p11087 +tp11088 +a(g827 +g958 +tp11089 +a(g441 +Vid +p11090 +tp11091 +a(g827 +g958 +tp11092 +a(g706 +g1119 +tp11093 +a(g827 +g958 +tp11094 +a(g436 +VShift +p11095 +tp11096 +a(g706 +g1098 +tp11097 +a(g37 +g2130 +tp11098 +a(g706 +g1110 +tp11099 +a(g827 +V\u000a\u000a +p11100 +tp11101 +a(g8 +V(* +p11102 +tp11103 +a(g8 +g958 +tp11104 +a(g8 +g1033 +tp11105 +a(g8 +g998 +tp11106 +a(g8 +g1021 +tp11107 +a(g8 +g1016 +tp11108 +a(g8 +g964 +tp11109 +a(g8 +g958 +tp11110 +a(g8 +g1119 +tp11111 +a(g8 +g958 +tp11112 +a(g8 +g1866 +tp11113 +a(g8 +g1687 +tp11114 +a(g8 +V\u000a +p11115 +tp11116 +a(g8 +g958 +tp11117 +a(g8 +g958 +tp11118 +a(g8 +V\u000a +p11119 +tp11120 +a(g8 +g958 +tp11121 +a(g8 +g958 +tp11122 +a(g8 +g958 +tp11123 +a(g8 +g958 +tp11124 +a(g8 +g958 +tp11125 +a(g8 +g960 +tp11126 +a(g8 +g962 +tp11127 +a(g8 +g1506 +tp11128 +a(g8 +g971 +tp11129 +a(g8 +g968 +tp11130 +a(g8 +g1021 +tp11131 +a(g8 +g971 +tp11132 +a(g8 +g962 +tp11133 +a(g8 +g964 +tp11134 +a(g8 +g1003 +tp11135 +a(g8 +V\u000a +p11136 +tp11137 +a(g8 +g958 +tp11138 +a(g8 +g958 +tp11139 +a(g8 +g958 +tp11140 +a(g8 +g958 +tp11141 +a(g8 +g958 +tp11142 +a(g8 +g1385 +tp11143 +a(g8 +g1026 +tp11144 +a(g8 +g958 +tp11145 +a(g8 +g1081 +tp11146 +a(g8 +g958 +tp11147 +a(g8 +g1418 +tp11148 +a(g8 +g1800 +tp11149 +a(g8 +g958 +tp11150 +a(g8 +g1866 +tp11151 +a(g8 +g958 +tp11152 +a(g8 +g1003 +tp11153 +a(g8 +g958 +tp11154 +a(g8 +g1385 +tp11155 +a(g8 +g958 +tp11156 +a(g8 +g958 +tp11157 +a(g8 +g958 +tp11158 +a(g8 +g958 +tp11159 +a(g8 +g958 +tp11160 +a(g8 +g958 +tp11161 +a(g8 +g958 +tp11162 +a(g8 +g1866 +tp11163 +a(g8 +g958 +tp11164 +a(g8 +g1021 +tp11165 +a(g8 +g1033 +tp11166 +a(g8 +g958 +tp11167 +a(g8 +g1483 +tp11168 +a(g8 +g971 +tp11169 +a(g8 +g964 +tp11170 +a(g8 +g1033 +tp11171 +a(g8 +g995 +tp11172 +a(g8 +g1074 +tp11173 +a(g8 +V\u000a +p11174 +tp11175 +a(g8 +g958 +tp11176 +a(g8 +g958 +tp11177 +a(g8 +V*) +p11178 +tp11179 +a(g827 +V\u000a +p11180 +tp11181 +a(g794 +Vval +p11182 +tp11183 +a(g827 +g958 +tp11184 +a(g441 +Vshift +p11185 +tp11186 +a(g827 +g958 +tp11187 +a(g706 +g1119 +tp11188 +a(g827 +g958 +tp11189 +a(g436 +VShift +p11190 +tp11191 +a(g706 +g1098 +tp11192 +a(g37 +g1687 +tp11193 +a(g706 +g1110 +tp11194 +a(g827 +V\u000a\u000a +p11195 +tp11196 +a(g8 +V(* +p11197 +tp11198 +a(g8 +g958 +tp11199 +a(g8 +g1021 +tp11200 +a(g8 +g962 +tp11201 +a(g8 +g1506 +tp11202 +a(g8 +g976 +tp11203 +a(g8 +g998 +tp11204 +a(g8 +g1021 +tp11205 +a(g8 +g1016 +tp11206 +a(g8 +g964 +tp11207 +a(g8 +g958 +tp11208 +a(g8 +g1119 +tp11209 +a(g8 +g958 +tp11210 +a(g8 +g1866 +tp11211 +a(g8 +g1800 +tp11212 +a(g8 +g1687 +tp11213 +a(g8 +g958 +tp11214 +a(g8 +g1119 +tp11215 +a(g8 +g958 +tp11216 +a(g8 +g1779 +tp11217 +a(g8 +g1392 +tp11218 +a(g8 +g1866 +tp11219 +a(g8 +g2130 +tp11220 +a(g8 +V\u000a +p11221 +tp11222 +a(g8 +g958 +tp11223 +a(g8 +g958 +tp11224 +a(g8 +g958 +tp11225 +a(g8 +g958 +tp11226 +a(g8 +g958 +tp11227 +a(g8 +g960 +tp11228 +a(g8 +g962 +tp11229 +a(g8 +g1506 +tp11230 +a(g8 +g971 +tp11231 +a(g8 +g968 +tp11232 +a(g8 +g1021 +tp11233 +a(g8 +g971 +tp11234 +a(g8 +g962 +tp11235 +a(g8 +g964 +tp11236 +a(g8 +g1003 +tp11237 +a(g8 +V\u000a +p11238 +tp11239 +a(g8 +g958 +tp11240 +a(g8 +g958 +tp11241 +a(g8 +g958 +tp11242 +a(g8 +g958 +tp11243 +a(g8 +g958 +tp11244 +a(g8 +g1385 +tp11245 +a(g8 +g958 +tp11246 +a(g8 +g1418 +tp11247 +a(g8 +g1800 +tp11248 +a(g8 +g958 +tp11249 +a(g8 +g1866 +tp11250 +a(g8 +g1800 +tp11251 +a(g8 +g1687 +tp11252 +a(g8 +g958 +tp11253 +a(g8 +g1003 +tp11254 +a(g8 +g958 +tp11255 +a(g8 +g1385 +tp11256 +a(g8 +g1026 +tp11257 +a(g8 +g958 +tp11258 +a(g8 +g1081 +tp11259 +a(g8 +g958 +tp11260 +a(g8 +g958 +tp11261 +a(g8 +g958 +tp11262 +a(g8 +g958 +tp11263 +a(g8 +g958 +tp11264 +a(g8 +g1866 +tp11265 +a(g8 +g1800 +tp11266 +a(g8 +g1687 +tp11267 +a(g8 +g958 +tp11268 +a(g8 +g1021 +tp11269 +a(g8 +g1033 +tp11270 +a(g8 +g958 +tp11271 +a(g8 +g1483 +tp11272 +a(g8 +g971 +tp11273 +a(g8 +g964 +tp11274 +a(g8 +g1033 +tp11275 +a(g8 +g995 +tp11276 +a(g8 +g1074 +tp11277 +a(g8 +V\u000a +p11278 +tp11279 +a(g8 +g958 +tp11280 +a(g8 +g958 +tp11281 +a(g8 +V*) +p11282 +tp11283 +a(g827 +V\u000a +p11284 +tp11285 +a(g794 +Vval +p11286 +tp11287 +a(g827 +g958 +tp11288 +a(g441 +VinvShift +p11289 +tp11290 +a(g827 +g958 +tp11291 +a(g706 +g1119 +tp11292 +a(g827 +g958 +tp11293 +a(g436 +VDot +p11294 +tp11295 +a(g706 +g1098 +tp11296 +a(g436 +VUndef +p11297 +tp11298 +a(g706 +g1026 +tp11299 +a(g827 +g958 +tp11300 +a(g436 +Vid +p11301 +tp11302 +a(g706 +g1110 +tp11303 +a(g827 +V\u000a\u000a\u000a +p11304 +tp11305 +a(g8 +V(* +p11306 +tp11307 +a(g8 +g958 +tp11308 +a(g8 +g1040 +tp11309 +a(g8 +g1000 +tp11310 +a(g8 +g1046 +tp11311 +a(g8 +g1483 +tp11312 +a(g8 +g958 +tp11313 +a(g8 +g1098 +tp11314 +a(g8 +g1033 +tp11315 +a(g8 +g1687 +tp11316 +a(g8 +g1026 +tp11317 +a(g8 +g958 +tp11318 +a(g8 +g1033 +tp11319 +a(g8 +g5046 +tp11320 +a(g8 +g1110 +tp11321 +a(g8 +g958 +tp11322 +a(g8 +g1119 +tp11323 +a(g8 +g958 +tp11324 +a(g8 +g1033 +tp11325 +a(g8 +g1495 +tp11326 +a(g8 +V\u000a +p11327 +tp11328 +a(g8 +V\u000a +p11329 +tp11330 +a(g8 +g958 +tp11331 +a(g8 +g958 +tp11332 +a(g8 +g958 +tp11333 +a(g8 +g958 +tp11334 +a(g8 +g958 +tp11335 +a(g8 +g960 +tp11336 +a(g8 +g962 +tp11337 +a(g8 +g1506 +tp11338 +a(g8 +g971 +tp11339 +a(g8 +g968 +tp11340 +a(g8 +g1021 +tp11341 +a(g8 +g971 +tp11342 +a(g8 +g962 +tp11343 +a(g8 +g964 +tp11344 +a(g8 +g1003 +tp11345 +a(g8 +V\u000a +p11346 +tp11347 +a(g8 +g958 +tp11348 +a(g8 +g958 +tp11349 +a(g8 +g958 +tp11350 +a(g8 +g958 +tp11351 +a(g8 +g958 +tp11352 +a(g8 +g960 +tp11353 +a(g8 +g1016 +tp11354 +a(g8 +g958 +tp11355 +a(g8 +g958 +tp11356 +a(g8 +g958 +tp11357 +a(g8 +g1385 +tp11358 +a(g8 +g1495 +tp11359 +a(g8 +g958 +tp11360 +a(g8 +g958 +tp11361 +a(g8 +g1418 +tp11362 +a(g8 +g1800 +tp11363 +a(g8 +g958 +tp11364 +a(g8 +g1033 +tp11365 +a(g8 +g1687 +tp11366 +a(g8 +g958 +tp11367 +a(g8 +g1003 +tp11368 +a(g8 +g958 +tp11369 +a(g8 +g1385 +tp11370 +a(g8 +g958 +tp11371 +a(g8 +V\u000a +p11372 +tp11373 +a(g8 +g958 +tp11374 +a(g8 +g958 +tp11375 +a(g8 +g958 +tp11376 +a(g8 +g958 +tp11377 +a(g8 +g958 +tp11378 +a(g8 +g971 +tp11379 +a(g8 +g962 +tp11380 +a(g8 +g1062 +tp11381 +a(g8 +g958 +tp11382 +a(g8 +g958 +tp11383 +a(g8 +g1385 +tp11384 +a(g8 +g1495 +tp11385 +a(g8 +g1495 +tp11386 +a(g8 +g958 +tp11387 +a(g8 +g1418 +tp11388 +a(g8 +g1800 +tp11389 +a(g8 +g958 +tp11390 +a(g8 +g1033 +tp11391 +a(g8 +g5046 +tp11392 +a(g8 +g958 +tp11393 +a(g8 +g1003 +tp11394 +a(g8 +g958 +tp11395 +a(g8 +g1385 +tp11396 +a(g8 +g1495 +tp11397 +a(g8 +V\u000a +p11398 +tp11399 +a(g8 +g958 +tp11400 +a(g8 +g958 +tp11401 +a(g8 +g958 +tp11402 +a(g8 +g958 +tp11403 +a(g8 +g958 +tp11404 +a(g8 +g964 +tp11405 +a(g8 +g998 +tp11406 +a(g8 +g966 +tp11407 +a(g8 +g962 +tp11408 +a(g8 +g958 +tp11409 +a(g8 +g1033 +tp11410 +a(g8 +g1495 +tp11411 +a(g8 +g958 +tp11412 +a(g8 +g958 +tp11413 +a(g8 +g1119 +tp11414 +a(g8 +g958 +tp11415 +a(g8 +g1033 +tp11416 +a(g8 +g1687 +tp11417 +a(g8 +g958 +tp11418 +a(g8 +g1000 +tp11419 +a(g8 +g958 +tp11420 +a(g8 +g1033 +tp11421 +a(g8 +g5046 +tp11422 +a(g8 +V\u000a +p11423 +tp11424 +a(g8 +g958 +tp11425 +a(g8 +g958 +tp11426 +a(g8 +g958 +tp11427 +a(g8 +g958 +tp11428 +a(g8 +g958 +tp11429 +a(g8 +g971 +tp11430 +a(g8 +g962 +tp11431 +a(g8 +g1062 +tp11432 +a(g8 +g958 +tp11433 +a(g8 +g958 +tp11434 +a(g8 +g1385 +tp11435 +a(g8 +g1495 +tp11436 +a(g8 +g1495 +tp11437 +a(g8 +g958 +tp11438 +a(g8 +g1418 +tp11439 +a(g8 +g1800 +tp11440 +a(g8 +g958 +tp11441 +a(g8 +g1033 +tp11442 +a(g8 +g1687 +tp11443 +a(g8 +g958 +tp11444 +a(g8 +g1000 +tp11445 +a(g8 +g958 +tp11446 +a(g8 +g1033 +tp11447 +a(g8 +g5046 +tp11448 +a(g8 +g958 +tp11449 +a(g8 +g1003 +tp11450 +a(g8 +g958 +tp11451 +a(g8 +g1385 +tp11452 +a(g8 +V\u000a +p11453 +tp11454 +a(g8 +V\u000a +p11455 +tp11456 +a(g8 +g958 +tp11457 +a(g8 +g958 +tp11458 +a(g8 +g958 +tp11459 +a(g8 +g958 +tp11460 +a(g8 +g958 +tp11461 +a(g8 +g960 +tp11462 +a(g8 +g1016 +tp11463 +a(g8 +g958 +tp11464 +a(g8 +g958 +tp11465 +a(g8 +g1033 +tp11466 +a(g8 +g1687 +tp11467 +a(g8 +g1026 +tp11468 +a(g8 +g958 +tp11469 +a(g8 +g1033 +tp11470 +a(g8 +g5046 +tp11471 +a(g8 +g958 +tp11472 +a(g8 +g1483 +tp11473 +a(g8 +g971 +tp11474 +a(g8 +g964 +tp11475 +a(g8 +g1033 +tp11476 +a(g8 +g995 +tp11477 +a(g8 +g1074 +tp11478 +a(g8 +V\u000a +p11479 +tp11480 +a(g8 +g958 +tp11481 +a(g8 +g958 +tp11482 +a(g8 +g958 +tp11483 +a(g8 +g958 +tp11484 +a(g8 +g958 +tp11485 +a(g8 +g964 +tp11486 +a(g8 +g998 +tp11487 +a(g8 +g966 +tp11488 +a(g8 +g962 +tp11489 +a(g8 +g958 +tp11490 +a(g8 +g1033 +tp11491 +a(g8 +g1495 +tp11492 +a(g8 +g958 +tp11493 +a(g8 +g1483 +tp11494 +a(g8 +g971 +tp11495 +a(g8 +g964 +tp11496 +a(g8 +g1033 +tp11497 +a(g8 +g995 +tp11498 +a(g8 +g1074 +tp11499 +a(g8 +V\u000a +p11500 +tp11501 +a(g8 +g958 +tp11502 +a(g8 +g958 +tp11503 +a(g8 +g958 +tp11504 +a(g8 +V*) +p11505 +tp11506 +a(g827 +V\u000a +p11507 +tp11508 +a(g794 +Vfun +p11509 +tp11510 +a(g827 +g958 +tp11511 +a(g574 +Vcomp +p11512 +tp11513 +a(g827 +g958 +tp11514 +a(g706 +g1098 +tp11515 +a(g436 +VShift +p11516 +tp11517 +a(g827 +g958 +tp11518 +a(g706 +g1098 +tp11519 +a(g37 +g2130 +tp11520 +a(g706 +g1110 +tp11521 +a(g706 +g1026 +tp11522 +a(g827 +g958 +tp11523 +a(g436 +g1033 +tp11524 +a(g706 +g1110 +tp11525 +a(g827 +g958 +tp11526 +a(g706 +g1119 +tp11527 +a(g827 +g958 +tp11528 +a(g436 +g1033 +tp11529 +a(g827 +V\u000a +p11530 +tp11531 +a(g8 +V(* +p11532 +tp11533 +a(g8 +g958 +tp11534 +a(g8 +g962 +tp11535 +a(g8 +g966 +tp11536 +a(g8 +g983 +tp11537 +a(g8 +g964 +tp11538 +a(g8 +g958 +tp11539 +a(g8 +g973 +tp11540 +a(g8 +g1021 +tp11541 +a(g8 +g962 +tp11542 +a(g8 +g966 +tp11543 +a(g8 +g958 +tp11544 +a(g8 +g1021 +tp11545 +a(g8 +g1033 +tp11546 +a(g8 +g958 +tp11547 +a(g8 +g971 +tp11548 +a(g8 +g962 +tp11549 +a(g8 +g958 +tp11550 +a(g8 +g1000 +tp11551 +a(g8 +g1483 +tp11552 +a(g8 +g964 +tp11553 +a(g8 +g1021 +tp11554 +a(g8 +g1046 +tp11555 +a(g8 +g1021 +tp11556 +a(g8 +g9962 +tp11557 +a(g8 +g971 +tp11558 +a(g8 +g964 +tp11559 +a(g8 +g1021 +tp11560 +a(g8 +g1000 +tp11561 +a(g8 +g962 +tp11562 +a(g8 +g958 +tp11563 +a(g8 +V*) +p11564 +tp11565 +a(g827 +V\u000a +p11566 +tp11567 +a(g8 +V(* +p11568 +tp11569 +a(g8 +g958 +tp11570 +a(g8 +g968 +tp11571 +a(g8 +g1000 +tp11572 +a(g8 +g995 +tp11573 +a(g8 +g1024 +tp11574 +a(g8 +g998 +tp11575 +a(g8 +g973 +tp11576 +a(g8 +g978 +tp11577 +a(g8 +g958 +tp11578 +a(g8 +g1687 +tp11579 +a(g8 +g10449 +tp11580 +a(g8 +g6092 +tp11581 +a(g8 +g958 +tp11582 +a(g8 +g1000 +tp11583 +a(g8 +g962 +tp11584 +a(g8 +g958 +tp11585 +a(g8 +g1033 +tp11586 +a(g8 +g964 +tp11587 +a(g8 +g971 +tp11588 +a(g8 +g962 +tp11589 +a(g8 +g1062 +tp11590 +a(g8 +g971 +tp11591 +a(g8 +g968 +tp11592 +a(g8 +g1062 +tp11593 +a(g8 +g958 +tp11594 +a(g8 +g1033 +tp11595 +a(g8 +g995 +tp11596 +a(g8 +g1021 +tp11597 +a(g8 +g964 +tp11598 +a(g8 +g966 +tp11599 +a(g8 +g958 +tp11600 +a(g8 +g1016 +tp11601 +a(g8 +g1000 +tp11602 +a(g8 +g968 +tp11603 +a(g8 +g958 +tp11604 +a(g8 +g2794 +tp11605 +a(g8 +g1704 +tp11606 +a(g8 +g966 +tp11607 +a(g8 +g973 +tp11608 +a(g8 +g1016 +tp11609 +a(g8 +g958 +tp11610 +a(g8 +g1687 +tp11611 +a(g8 +g1392 +tp11612 +a(g8 +g1687 +tp11613 +a(g8 +g958 +tp11614 +a(g8 +V*) +p11615 +tp11616 +a(g827 +V\u000a +p11617 +tp11618 +a(g8 +V(* +p11619 +tp11620 +a(g8 +g958 +tp11621 +a(g8 +g976 +tp11622 +a(g8 +g971 +tp11623 +a(g8 +g964 +tp11624 +a(g8 +g958 +tp11625 +a(g8 +g1006 +tp11626 +a(g8 +g966 +tp11627 +a(g8 +g1074 +tp11628 +a(g8 +g958 +tp11629 +a(g8 +g1687 +tp11630 +a(g8 +g9778 +tp11631 +a(g8 +g958 +tp11632 +a(g8 +g1687 +tp11633 +a(g8 +g2130 +tp11634 +a(g8 +g1003 +tp11635 +a(g8 +g1687 +tp11636 +a(g8 +g10449 +tp11637 +a(g8 +g1003 +tp11638 +a(g8 +g1687 +tp11639 +a(g8 +g10444 +tp11640 +a(g8 +g958 +tp11641 +a(g8 +g1687 +tp11642 +a(g8 +V9 +p11643 +tp11644 +a(g8 +g11643 +tp11645 +a(g8 +V8 +p11646 +tp11647 +a(g8 +g958 +tp11648 +a(g8 +g1800 +tp11649 +a(g8 +g1016 +tp11650 +a(g8 +g1483 +tp11651 +a(g8 +g958 +tp11652 +a(g8 +V*) +p11653 +tp11654 +a(g827 +V\u000a +p11655 +tp11656 +a(g706 +g1418 +tp11657 +a(g827 +g958 +tp11658 +a(g574 +Vcomp +p11659 +tp11660 +a(g827 +g958 +tp11661 +a(g706 +g1098 +tp11662 +a(g436 +g1033 +tp11663 +a(g706 +g1026 +tp11664 +a(g827 +g958 +tp11665 +a(g436 +VShift +p11666 +tp11667 +a(g827 +g958 +tp11668 +a(g706 +g1098 +tp11669 +a(g37 +g2130 +tp11670 +a(g706 +g1110 +tp11671 +a(g706 +g1110 +tp11672 +a(g827 +g958 +tp11673 +a(g706 +g1119 +tp11674 +a(g827 +g958 +tp11675 +a(g436 +g1033 +tp11676 +a(g827 +V\u000a +p11677 +tp11678 +a(g706 +g1418 +tp11679 +a(g827 +g958 +tp11680 +a(g574 +Vcomp +p11681 +tp11682 +a(g827 +g958 +tp11683 +a(g706 +g1098 +tp11684 +a(g436 +VShift +p11685 +tp11686 +a(g827 +g958 +tp11687 +a(g706 +g1098 +tp11688 +a(g436 +g962 +tp11689 +a(g706 +g1110 +tp11690 +a(g706 +g1026 +tp11691 +a(g827 +g958 +tp11692 +a(g436 +VDot +p11693 +tp11694 +a(g827 +g958 +tp11695 +a(g706 +g1098 +tp11696 +a(g436 +VFt +p11697 +tp11698 +a(g706 +g1026 +tp11699 +a(g827 +g958 +tp11700 +a(g436 +g1033 +tp11701 +a(g706 +g1110 +tp11702 +a(g706 +g1110 +tp11703 +a(g827 +g958 +tp11704 +a(g706 +g1119 +tp11705 +a(g827 +g958 +tp11706 +a(g436 +Vcomp +p11707 +tp11708 +a(g827 +g958 +tp11709 +a(g706 +g1098 +tp11710 +a(g436 +VShift +p11711 +tp11712 +a(g827 +g958 +tp11713 +a(g706 +g1098 +tp11714 +a(g436 +g962 +tp11715 +a(g436 +g1800 +tp11716 +a(g37 +g1687 +tp11717 +a(g706 +g1110 +tp11718 +a(g706 +g1026 +tp11719 +a(g827 +g958 +tp11720 +a(g436 +g1033 +tp11721 +a(g706 +g1110 +tp11722 +a(g827 +V\u000a +p11723 +tp11724 +a(g706 +g1418 +tp11725 +a(g827 +g958 +tp11726 +a(g574 +Vcomp +p11727 +tp11728 +a(g827 +g958 +tp11729 +a(g706 +g1098 +tp11730 +a(g436 +VShift +p11731 +tp11732 +a(g827 +g958 +tp11733 +a(g706 +g1098 +tp11734 +a(g436 +g962 +tp11735 +a(g706 +g1110 +tp11736 +a(g706 +g1026 +tp11737 +a(g827 +g958 +tp11738 +a(g436 +VShift +p11739 +tp11740 +a(g827 +g958 +tp11741 +a(g706 +g1098 +tp11742 +a(g436 +g1046 +tp11743 +a(g706 +g1110 +tp11744 +a(g706 +g1110 +tp11745 +a(g827 +g958 +tp11746 +a(g706 +g1119 +tp11747 +a(g827 +g958 +tp11748 +a(g436 +VShift +p11749 +tp11750 +a(g827 +g958 +tp11751 +a(g706 +g1098 +tp11752 +a(g436 +g962 +tp11753 +a(g436 +g2113 +tp11754 +a(g436 +g1046 +tp11755 +a(g706 +g1110 +tp11756 +a(g827 +V\u000a +p11757 +tp11758 +a(g706 +g1418 +tp11759 +a(g827 +g958 +tp11760 +a(g574 +Vcomp +p11761 +tp11762 +a(g827 +g958 +tp11763 +a(g706 +g1098 +tp11764 +a(g436 +VDot +p11765 +tp11766 +a(g827 +g958 +tp11767 +a(g706 +g1098 +tp11768 +a(g436 +VFt +p11769 +tp11770 +a(g706 +g1026 +tp11771 +a(g827 +g958 +tp11772 +a(g436 +g1033 +tp11773 +a(g706 +g1110 +tp11774 +a(g706 +g1026 +tp11775 +a(g827 +g958 +tp11776 +a(g436 +Vs' +p11777 +tp11778 +a(g706 +g1110 +tp11779 +a(g827 +g958 +tp11780 +a(g706 +g1119 +tp11781 +a(g827 +g958 +tp11782 +a(g436 +VDot +p11783 +tp11784 +a(g827 +g958 +tp11785 +a(g706 +g1098 +tp11786 +a(g436 +VfrontSub +p11787 +tp11788 +a(g827 +g958 +tp11789 +a(g706 +g1098 +tp11790 +a(g436 +VFt +p11791 +tp11792 +a(g706 +g1026 +tp11793 +a(g827 +g958 +tp11794 +a(g436 +Vs' +p11795 +tp11796 +a(g706 +g1110 +tp11797 +a(g706 +g1026 +tp11798 +a(g827 +g958 +tp11799 +a(g436 +Vcomp +p11800 +tp11801 +a(g827 +g958 +tp11802 +a(g706 +g1098 +tp11803 +a(g436 +g1033 +tp11804 +a(g706 +g1026 +tp11805 +a(g827 +g958 +tp11806 +a(g436 +Vs' +p11807 +tp11808 +a(g706 +g1110 +tp11809 +a(g706 +g1110 +tp11810 +a(g827 +V\u000a\u000a +p11811 +tp11812 +a(g8 +V(* +p11813 +tp11814 +a(g8 +g958 +tp11815 +a(g8 +g1074 +tp11816 +a(g8 +g1506 +tp11817 +a(g8 +g971 +tp11818 +a(g8 +g968 +tp11819 +a(g8 +g976 +tp11820 +a(g8 +g995 +tp11821 +a(g8 +g1074 +tp11822 +a(g8 +g958 +tp11823 +a(g8 +g1098 +tp11824 +a(g8 +g962 +tp11825 +a(g8 +g1026 +tp11826 +a(g8 +g958 +tp11827 +a(g8 +g1033 +tp11828 +a(g8 +g1110 +tp11829 +a(g8 +g958 +tp11830 +a(g8 +g1119 +tp11831 +a(g8 +g958 +tp11832 +a(g8 +g1006 +tp11833 +a(g8 +g964 +tp11834 +a(g8 +g1495 +tp11835 +a(g8 +V\u000a +p11836 +tp11837 +a(g8 +g958 +tp11838 +a(g8 +g958 +tp11839 +a(g8 +g958 +tp11840 +a(g8 +V\u000a +p11841 +tp11842 +a(g8 +g958 +tp11843 +a(g8 +g958 +tp11844 +a(g8 +g958 +tp11845 +a(g8 +g958 +tp11846 +a(g8 +g958 +tp11847 +a(g8 +g958 +tp11848 +a(g8 +g960 +tp11849 +a(g8 +g962 +tp11850 +a(g8 +g1506 +tp11851 +a(g8 +g971 +tp11852 +a(g8 +g968 +tp11853 +a(g8 +g1021 +tp11854 +a(g8 +g971 +tp11855 +a(g8 +g962 +tp11856 +a(g8 +g964 +tp11857 +a(g8 +g1003 +tp11858 +a(g8 +g958 +tp11859 +a(g8 +V\u000a +p11860 +tp11861 +a(g8 +g958 +tp11862 +a(g8 +g958 +tp11863 +a(g8 +g958 +tp11864 +a(g8 +g958 +tp11865 +a(g8 +g958 +tp11866 +a(g8 +g960 +tp11867 +a(g8 +g1016 +tp11868 +a(g8 +g958 +tp11869 +a(g8 +g958 +tp11870 +a(g8 +g958 +tp11871 +a(g8 +g958 +tp11872 +a(g8 +g1385 +tp11873 +a(g8 +g958 +tp11874 +a(g8 +g1418 +tp11875 +a(g8 +g1800 +tp11876 +a(g8 +g958 +tp11877 +a(g8 +g1033 +tp11878 +a(g8 +g958 +tp11879 +a(g8 +g1003 +tp11880 +a(g8 +g958 +tp11881 +a(g8 +g1385 +tp11882 +a(g8 +g1495 +tp11883 +a(g8 +g958 +tp11884 +a(g8 +g958 +tp11885 +a(g8 +g958 +tp11886 +a(g8 +g958 +tp11887 +a(g8 +g1385 +tp11888 +a(g8 +g1495 +tp11889 +a(g8 +g958 +tp11890 +a(g8 +g1418 +tp11891 +a(g8 +g1800 +tp11892 +a(g8 +g958 +tp11893 +a(g8 +g962 +tp11894 +a(g8 +g958 +tp11895 +a(g8 +g1003 +tp11896 +a(g8 +g958 +tp11897 +a(g8 +g1081 +tp11898 +a(g8 +V\u000a +p11899 +tp11900 +a(g8 +g958 +tp11901 +a(g8 +g958 +tp11902 +a(g8 +g958 +tp11903 +a(g8 +g958 +tp11904 +a(g8 +g958 +tp11905 +a(g8 +g964 +tp11906 +a(g8 +g998 +tp11907 +a(g8 +g966 +tp11908 +a(g8 +g962 +tp11909 +a(g8 +g958 +tp11910 +a(g8 +g958 +tp11911 +a(g8 +g1006 +tp11912 +a(g8 +g964 +tp11913 +a(g8 +g1495 +tp11914 +a(g8 +g958 +tp11915 +a(g8 +g1119 +tp11916 +a(g8 +g958 +tp11917 +a(g8 +g1006 +tp11918 +a(g8 +g964 +tp11919 +a(g8 +g962 +tp11920 +a(g8 +g958 +tp11921 +a(g8 +g958 +tp11922 +a(g8 +g958 +tp11923 +a(g8 +g958 +tp11924 +a(g8 +g958 +tp11925 +a(g8 +g958 +tp11926 +a(g8 +g958 +tp11927 +a(g8 +g958 +tp11928 +a(g8 +g958 +tp11929 +a(g8 +g1021 +tp11930 +a(g8 +g1016 +tp11931 +a(g8 +g958 +tp11932 +a(g8 +g958 +tp11933 +a(g8 +g1033 +tp11934 +a(g8 +g958 +tp11935 +a(g8 +g1119 +tp11936 +a(g8 +g958 +tp11937 +a(g8 +g1006 +tp11938 +a(g8 +g964 +tp11939 +a(g8 +g1687 +tp11940 +a(g8 +g958 +tp11941 +a(g8 +g1392 +tp11942 +a(g8 +g1392 +tp11943 +a(g8 +g958 +tp11944 +a(g8 +g1006 +tp11945 +a(g8 +g964 +tp11946 +a(g8 +g962 +tp11947 +a(g8 +g958 +tp11948 +a(g8 +g1392 +tp11949 +a(g8 +g1392 +tp11950 +a(g8 +g958 +tp11951 +a(g8 +g1866 +tp11952 +a(g8 +g1011 +tp11953 +a(g8 +V\u000a +p11954 +tp11955 +a(g8 +g958 +tp11956 +a(g8 +g958 +tp11957 +a(g8 +g958 +tp11958 +a(g8 +g958 +tp11959 +a(g8 +g958 +tp11960 +a(g8 +g958 +tp11961 +a(g8 +g958 +tp11962 +a(g8 +g1000 +tp11963 +a(g8 +g968 +tp11964 +a(g8 +g958 +tp11965 +a(g8 +g958 +tp11966 +a(g8 +g1006 +tp11967 +a(g8 +g964 +tp11968 +a(g8 +g1495 +tp11969 +a(g8 +g958 +tp11970 +a(g8 +g1119 +tp11971 +a(g8 +g958 +tp11972 +a(g8 +g1866 +tp11973 +a(g8 +g1098 +tp11974 +a(g8 +g962 +tp11975 +a(g8 +g2113 +tp11976 +a(g8 +g1011 +tp11977 +a(g8 +g1110 +tp11978 +a(g8 +g958 +tp11979 +a(g8 +g958 +tp11980 +a(g8 +g958 +tp11981 +a(g8 +g958 +tp11982 +a(g8 +g958 +tp11983 +a(g8 +g1021 +tp11984 +a(g8 +g1016 +tp11985 +a(g8 +g958 +tp11986 +a(g8 +g958 +tp11987 +a(g8 +g1033 +tp11988 +a(g8 +g958 +tp11989 +a(g8 +g1119 +tp11990 +a(g8 +g958 +tp11991 +a(g8 +g1006 +tp11992 +a(g8 +g964 +tp11993 +a(g8 +g1687 +tp11994 +a(g8 +g958 +tp11995 +a(g8 +g1392 +tp11996 +a(g8 +g1392 +tp11997 +a(g8 +g958 +tp11998 +a(g8 +g1006 +tp11999 +a(g8 +g964 +tp12000 +a(g8 +g1046 +tp12001 +a(g8 +g958 +tp12002 +a(g8 +g1866 +tp12003 +a(g8 +g1011 +tp12004 +a(g8 +g958 +tp12005 +a(g8 +g958 +tp12006 +a(g8 +g958 +tp12007 +a(g8 +g971 +tp12008 +a(g8 +g962 +tp12009 +a(g8 +g1062 +tp12010 +a(g8 +g958 +tp12011 +a(g8 +g1046 +tp12012 +a(g8 +g1690 +tp12013 +a(g8 +g962 +tp12014 +a(g8 +V\u000a +p12015 +tp12016 +a(g8 +g958 +tp12017 +a(g8 +g958 +tp12018 +a(g8 +g958 +tp12019 +a(g8 +g958 +tp12020 +a(g8 +g958 +tp12021 +a(g8 +g971 +tp12022 +a(g8 +g962 +tp12023 +a(g8 +g1062 +tp12024 +a(g8 +g958 +tp12025 +a(g8 +g958 +tp12026 +a(g8 +g958 +tp12027 +a(g8 +g1385 +tp12028 +a(g8 +g958 +tp12029 +a(g8 +g1418 +tp12030 +a(g8 +g1800 +tp12031 +a(g8 +g958 +tp12032 +a(g8 +g1006 +tp12033 +a(g8 +g964 +tp12034 +a(g8 +g1495 +tp12035 +a(g8 +g958 +tp12036 +a(g8 +g1003 +tp12037 +a(g8 +g958 +tp12038 +a(g8 +g1081 +tp12039 +a(g8 +g958 +tp12040 +a(g8 +g3236 +tp12041 +a(g8 +g1033 +tp12042 +a(g8 +g3239 +tp12043 +a(g8 +V\u000a +p12044 +tp12045 +a(g8 +g958 +tp12046 +a(g8 +g958 +tp12047 +a(g8 +V*) +p12048 +tp12049 +a(g827 +V\u000a +p12050 +tp12051 +a(g794 +Vand +p12052 +tp12053 +a(g827 +g958 +tp12054 +a(g574 +VbvarSub +p12055 +tp12056 +a(g827 +g958 +tp12057 +a(g706 +g1098 +tp12058 +a(g37 +g1687 +tp12059 +a(g706 +g1026 +tp12060 +a(g827 +g958 +tp12061 +a(g436 +VDot +p12062 +tp12063 +a(g706 +g1098 +tp12064 +a(g436 +VFt +p12065 +tp12066 +a(g706 +g1026 +tp12067 +a(g827 +g958 +tp12068 +a(g436 +g1033 +tp12069 +a(g706 +g1110 +tp12070 +a(g706 +g1110 +tp12071 +a(g827 +g958 +tp12072 +a(g706 +g1119 +tp12073 +a(g827 +g958 +tp12074 +a(g436 +VFt +p12075 +tp12076 +a(g827 +V\u000a +p12077 +tp12078 +a(g706 +g1418 +tp12079 +a(g827 +g958 +tp12080 +a(g574 +VbvarSub +p12081 +tp12082 +a(g827 +g958 +tp12083 +a(g706 +g1098 +tp12084 +a(g436 +g962 +tp12085 +a(g706 +g1026 +tp12086 +a(g827 +g958 +tp12087 +a(g436 +VDot +p12088 +tp12089 +a(g706 +g1098 +tp12090 +a(g436 +VFt +p12091 +tp12092 +a(g706 +g1026 +tp12093 +a(g827 +g958 +tp12094 +a(g436 +g1033 +tp12095 +a(g706 +g1110 +tp12096 +a(g706 +g1110 +tp12097 +a(g827 +g958 +tp12098 +a(g706 +g1119 +tp12099 +a(g827 +g958 +tp12100 +a(g436 +VbvarSub +p12101 +tp12102 +a(g827 +g958 +tp12103 +a(g706 +g1098 +tp12104 +a(g436 +g962 +tp12105 +a(g436 +g1800 +tp12106 +a(g37 +g1687 +tp12107 +a(g706 +g1026 +tp12108 +a(g827 +g958 +tp12109 +a(g436 +g1033 +tp12110 +a(g706 +g1110 +tp12111 +a(g827 +V\u000a +p12112 +tp12113 +a(g706 +g1418 +tp12114 +a(g827 +g958 +tp12115 +a(g574 +VbvarSub +p12116 +tp12117 +a(g827 +g958 +tp12118 +a(g706 +g1098 +tp12119 +a(g436 +g962 +tp12120 +a(g706 +g1026 +tp12121 +a(g827 +g958 +tp12122 +a(g436 +VShift +p12123 +tp12124 +a(g706 +g1098 +tp12125 +a(g436 +g1011 +tp12126 +a(g706 +g1110 +tp12127 +a(g706 +g1110 +tp12128 +a(g827 +V +p12129 +tp12130 +a(g706 +g1119 +tp12131 +a(g827 +g958 +tp12132 +a(g436 +VIdx +p12133 +tp12134 +a(g827 +g958 +tp12135 +a(g706 +g1098 +tp12136 +a(g436 +g962 +tp12137 +a(g436 +g2113 +tp12138 +a(g436 +g1011 +tp12139 +a(g706 +g1110 +tp12140 +a(g827 +V\u000a\u000a +p12141 +tp12142 +a(g8 +V(* +p12143 +tp12144 +a(g8 +g958 +tp12145 +a(g8 +g1074 +tp12146 +a(g8 +g973 +tp12147 +a(g8 +g1000 +tp12148 +a(g8 +g1040 +tp12149 +a(g8 +g1011 +tp12150 +a(g8 +g976 +tp12151 +a(g8 +g995 +tp12152 +a(g8 +g1074 +tp12153 +a(g8 +g958 +tp12154 +a(g8 +g1098 +tp12155 +a(g8 +g1918 +tp12156 +a(g8 +g1026 +tp12157 +a(g8 +g958 +tp12158 +a(g8 +g1033 +tp12159 +a(g8 +g1110 +tp12160 +a(g8 +g958 +tp12161 +a(g8 +g1119 +tp12162 +a(g8 +g958 +tp12163 +a(g8 +g1918 +tp12164 +a(g8 +g1495 +tp12165 +a(g8 +g958 +tp12166 +a(g8 +V\u000a +p12167 +tp12168 +a(g8 +g958 +tp12169 +a(g8 +g958 +tp12170 +a(g8 +g958 +tp12171 +a(g8 +g958 +tp12172 +a(g8 +V\u000a +p12173 +tp12174 +a(g8 +g958 +tp12175 +a(g8 +g958 +tp12176 +a(g8 +g958 +tp12177 +a(g8 +g958 +tp12178 +a(g8 +g958 +tp12179 +a(g8 +g960 +tp12180 +a(g8 +g962 +tp12181 +a(g8 +g1506 +tp12182 +a(g8 +g971 +tp12183 +a(g8 +g968 +tp12184 +a(g8 +g1021 +tp12185 +a(g8 +g971 +tp12186 +a(g8 +g962 +tp12187 +a(g8 +g964 +tp12188 +a(g8 +g1003 +tp12189 +a(g8 +V\u000a +p12190 +tp12191 +a(g8 +g958 +tp12192 +a(g8 +g958 +tp12193 +a(g8 +g958 +tp12194 +a(g8 +g958 +tp12195 +a(g8 +g958 +tp12196 +a(g8 +g960 +tp12197 +a(g8 +g1016 +tp12198 +a(g8 +g958 +tp12199 +a(g8 +g958 +tp12200 +a(g8 +g958 +tp12201 +a(g8 +g1385 +tp12202 +a(g8 +g958 +tp12203 +a(g8 +g1418 +tp12204 +a(g8 +g1800 +tp12205 +a(g8 +g958 +tp12206 +a(g8 +g1033 +tp12207 +a(g8 +g958 +tp12208 +a(g8 +g1003 +tp12209 +a(g8 +g958 +tp12210 +a(g8 +g1385 +tp12211 +a(g8 +g1495 +tp12212 +a(g8 +g958 +tp12213 +a(g8 +g958 +tp12214 +a(g8 +g958 +tp12215 +a(g8 +V\u000a +p12216 +tp12217 +a(g8 +g958 +tp12218 +a(g8 +g958 +tp12219 +a(g8 +g958 +tp12220 +a(g8 +g958 +tp12221 +a(g8 +g958 +tp12222 +a(g8 +g971 +tp12223 +a(g8 +g962 +tp12224 +a(g8 +g1062 +tp12225 +a(g8 +g958 +tp12226 +a(g8 +g958 +tp12227 +a(g8 +g1385 +tp12228 +a(g8 +g1495 +tp12229 +a(g8 +g958 +tp12230 +a(g8 +g1418 +tp12231 +a(g8 +g1800 +tp12232 +a(g8 +g958 +tp12233 +a(g8 +g1918 +tp12234 +a(g8 +g958 +tp12235 +a(g8 +g1074 +tp12236 +a(g8 +g973 +tp12237 +a(g8 +g1000 +tp12238 +a(g8 +g1040 +tp12239 +a(g8 +g1011 +tp12240 +a(g8 +V\u000a +p12241 +tp12242 +a(g8 +g958 +tp12243 +a(g8 +g958 +tp12244 +a(g8 +g958 +tp12245 +a(g8 +g958 +tp12246 +a(g8 +g958 +tp12247 +a(g8 +g964 +tp12248 +a(g8 +g998 +tp12249 +a(g8 +g966 +tp12250 +a(g8 +g962 +tp12251 +a(g8 +g958 +tp12252 +a(g8 +g1385 +tp12253 +a(g8 +g958 +tp12254 +a(g8 +g1418 +tp12255 +a(g8 +g1800 +tp12256 +a(g8 +g958 +tp12257 +a(g8 +g1918 +tp12258 +a(g8 +g1495 +tp12259 +a(g8 +g958 +tp12260 +a(g8 +g1074 +tp12261 +a(g8 +g973 +tp12262 +a(g8 +g1000 +tp12263 +a(g8 +g1040 +tp12264 +a(g8 +g1011 +tp12265 +a(g8 +V\u000a +p12266 +tp12267 +a(g8 +g958 +tp12268 +a(g8 +g958 +tp12269 +a(g8 +g958 +tp12270 +a(g8 +g958 +tp12271 +a(g8 +g958 +tp12272 +a(g8 +g971 +tp12273 +a(g8 +g962 +tp12274 +a(g8 +g1062 +tp12275 +a(g8 +g958 +tp12276 +a(g8 +g958 +tp12277 +a(g8 +g1918 +tp12278 +a(g8 +g958 +tp12279 +a(g8 +g3236 +tp12280 +a(g8 +g1033 +tp12281 +a(g8 +g3239 +tp12282 +a(g8 +g958 +tp12283 +a(g8 +g1119 +tp12284 +a(g8 +g1119 +tp12285 +a(g8 +g958 +tp12286 +a(g8 +g1918 +tp12287 +a(g8 +g1495 +tp12288 +a(g8 +g958 +tp12289 +a(g8 +V\u000a +p12290 +tp12291 +a(g8 +g958 +tp12292 +a(g8 +g958 +tp12293 +a(g8 +V*) +p12294 +tp12295 +a(g827 +V\u000a +p12296 +tp12297 +a(g8 +V(* +p12298 +tp12299 +a(g8 +g958 +tp12300 +a(g8 +g1021 +tp12301 +a(g8 +g962 +tp12302 +a(g8 +g958 +tp12303 +a(g8 +g1016 +tp12304 +a(g8 +g968 +tp12305 +a(g8 +g1000 +tp12306 +a(g8 +g962 +tp12307 +a(g8 +g964 +tp12308 +a(g8 +g958 +tp12309 +a(g8 +g1000 +tp12310 +a(g8 +g1016 +tp12311 +a(g8 +g958 +tp12312 +a(g8 +g1033 +tp12313 +a(g8 +g995 +tp12314 +a(g8 +g1074 +tp12315 +a(g8 +g1033 +tp12316 +a(g8 +g964 +tp12317 +a(g8 +g1021 +tp12318 +a(g8 +g964 +tp12319 +a(g8 +g995 +tp12320 +a(g8 +g964 +tp12321 +a(g8 +g1021 +tp12322 +a(g8 +g1000 +tp12323 +a(g8 +g962 +tp12324 +a(g8 +g1033 +tp12325 +a(g8 +g1026 +tp12326 +a(g8 +g958 +tp12327 +a(g8 +g1016 +tp12328 +a(g8 +g1021 +tp12329 +a(g8 +g968 +tp12330 +a(g8 +g1033 +tp12331 +a(g8 +g964 +tp12332 +a(g8 +g958 +tp12333 +a(g8 +g1040 +tp12334 +a(g8 +g971 +tp12335 +a(g8 +g1033 +tp12336 +a(g8 +g966 +tp12337 +a(g8 +g958 +tp12338 +a(g8 +g1021 +tp12339 +a(g8 +g1033 +tp12340 +a(g8 +g958 +tp12341 +a(g8 +g1021 +tp12342 +a(g8 +g968 +tp12343 +a(g8 +g968 +tp12344 +a(g8 +g966 +tp12345 +a(g8 +g973 +tp12346 +a(g8 +g966 +tp12347 +a(g8 +g1506 +tp12348 +a(g8 +g971 +tp12349 +a(g8 +g962 +tp12350 +a(g8 +g964 +tp12351 +a(g8 +g958 +tp12352 +a(g8 +V*) +p12353 +tp12354 +a(g827 +V\u000a +p12355 +tp12356 +a(g8 +V(* +p12357 +tp12358 +a(g8 +g958 +tp12359 +a(g8 +g976 +tp12360 +a(g8 +g995 +tp12361 +a(g8 +g962 +tp12362 +a(g8 +g958 +tp12363 +a(g8 +g1452 +tp12364 +a(g8 +g966 +tp12365 +a(g8 +g1040 +tp12366 +a(g8 +g958 +tp12367 +a(g8 +g958 +tp12368 +a(g8 +g5046 +tp12369 +a(g8 +g958 +tp12370 +a(g8 +g1687 +tp12371 +a(g8 +g1687 +tp12372 +a(g8 +g1003 +tp12373 +a(g8 +g10449 +tp12374 +a(g8 +g10444 +tp12375 +a(g8 +g1003 +tp12376 +a(g8 +g9778 +tp12377 +a(g8 +g1687 +tp12378 +a(g8 +g958 +tp12379 +a(g8 +g5046 +tp12380 +a(g8 +g2130 +tp12381 +a(g8 +g2130 +tp12382 +a(g8 +g1687 +tp12383 +a(g8 +g958 +tp12384 +a(g8 +g1800 +tp12385 +a(g8 +g1016 +tp12386 +a(g8 +g1483 +tp12387 +a(g8 +g958 +tp12388 +a(g8 +V*) +p12389 +tp12390 +a(g827 +V\u000a +p12391 +tp12392 +a(g794 +Vand +p12393 +tp12394 +a(g827 +g958 +tp12395 +a(g574 +VblockSub +p12396 +tp12397 +a(g827 +g958 +tp12398 +a(g706 +g1098 +tp12399 +a(g436 +VBidx +p12400 +tp12401 +a(g827 +g958 +tp12402 +a(g436 +g1011 +tp12403 +a(g706 +g1026 +tp12404 +a(g827 +g958 +tp12405 +a(g436 +g1033 +tp12406 +a(g706 +g1110 +tp12407 +a(g827 +g958 +tp12408 +a(g706 +g1119 +tp12409 +a(g827 +V\u000a +p12410 +tp12411 +a(g706 +g1098 +tp12412 +a(g794 +Vcase +p12413 +tp12414 +a(g827 +g958 +tp12415 +a(g436 +VbvarSub +p12416 +tp12417 +a(g827 +g958 +tp12418 +a(g706 +g1098 +tp12419 +a(g436 +g1011 +tp12420 +a(g706 +g1026 +tp12421 +a(g827 +g958 +tp12422 +a(g436 +g1033 +tp12423 +a(g706 +g1110 +tp12424 +a(g827 +V\u000a +p12425 +tp12426 +a(g794 +Vof +p12427 +tp12428 +a(g827 +g958 +tp12429 +a(g436 +VIdx +p12430 +tp12431 +a(g827 +g958 +tp12432 +a(g436 +Vk' +p12433 +tp12434 +a(g827 +g958 +tp12435 +a(g706 +V=> +p12436 +tp12437 +a(g827 +g958 +tp12438 +a(g436 +VBidx +p12439 +tp12440 +a(g827 +g958 +tp12441 +a(g436 +Vk' +p12442 +tp12443 +a(g827 +V\u000a +p12444 +tp12445 +a(g436 +g1418 +tp12446 +a(g827 +g958 +tp12447 +a(g436 +VBlock +p12448 +tp12449 +a(g827 +g958 +tp12450 +a(g436 +g1918 +tp12451 +a(g827 +g958 +tp12452 +a(g706 +V=> +p12453 +tp12454 +a(g827 +g958 +tp12455 +a(g436 +g1918 +tp12456 +a(g706 +g1110 +tp12457 +a(g827 +V\u000a +p12458 +tp12459 +a(g706 +g1418 +tp12460 +a(g827 +g958 +tp12461 +a(g574 +VblockSub +p12462 +tp12463 +a(g827 +g958 +tp12464 +a(g706 +g1098 +tp12465 +a(g436 +VLVar +p12466 +tp12467 +a(g827 +g958 +tp12468 +a(g706 +g1098 +tp12469 +a(g436 +Vref +p12470 +tp12471 +a(g827 +g958 +tp12472 +a(g706 +g1098 +tp12473 +a(g436 +VSOME +p12474 +tp12475 +a(g827 +g958 +tp12476 +a(g436 +g1918 +tp12477 +a(g706 +g1110 +tp12478 +a(g706 +g1026 +tp12479 +a(g827 +g958 +tp12480 +a(g436 +Vsk +p12481 +tp12482 +a(g706 +g1026 +tp12483 +a(g827 +g958 +tp12484 +a(g706 +g1779 +tp12485 +a(g706 +g1110 +tp12486 +a(g706 +g1026 +tp12487 +a(g827 +g958 +tp12488 +a(g436 +g1033 +tp12489 +a(g706 +g1110 +tp12490 +a(g827 +g958 +tp12491 +a(g706 +g1119 +tp12492 +a(g827 +V\u000a +p12493 +tp12494 +a(g436 +VblockSub +p12495 +tp12496 +a(g827 +g958 +tp12497 +a(g706 +g1098 +tp12498 +a(g436 +g1918 +tp12499 +a(g706 +g1026 +tp12500 +a(g827 +g958 +tp12501 +a(g436 +Vcomp +p12502 +tp12503 +a(g827 +g958 +tp12504 +a(g706 +g1098 +tp12505 +a(g436 +Vsk +p12506 +tp12507 +a(g706 +g1026 +tp12508 +a(g827 +g958 +tp12509 +a(g436 +g1033 +tp12510 +a(g706 +g1110 +tp12511 +a(g706 +g1110 +tp12512 +a(g827 +V\u000a +p12513 +tp12514 +a(g8 +V(* +p12515 +tp12516 +a(g8 +g958 +tp12517 +a(g8 +g1800 +tp12518 +a(g8 +g1016 +tp12519 +a(g8 +g1483 +tp12520 +a(g8 +g958 +tp12521 +a(g8 +g976 +tp12522 +a(g8 +g995 +tp12523 +a(g8 +g962 +tp12524 +a(g8 +g958 +tp12525 +a(g8 +g1452 +tp12526 +a(g8 +g966 +tp12527 +a(g8 +g1040 +tp12528 +a(g8 +g958 +tp12529 +a(g8 +g958 +tp12530 +a(g8 +g1687 +tp12531 +a(g8 +g958 +tp12532 +a(g8 +g5046 +tp12533 +a(g8 +g1687 +tp12534 +a(g8 +g1003 +tp12535 +a(g8 +g1687 +tp12536 +a(g8 +g11646 +tp12537 +a(g8 +g1003 +tp12538 +a(g8 +V3 +p12539 +tp12540 +a(g8 +g2130 +tp12541 +a(g8 +g958 +tp12542 +a(g8 +g5046 +tp12543 +a(g8 +g2130 +tp12544 +a(g8 +g2130 +tp12545 +a(g8 +g5046 +tp12546 +a(g8 +g958 +tp12547 +a(g8 +V*) +p12548 +tp12549 +a(g827 +V\u000a +p12550 +tp12551 +a(g8 +V(* +p12552 +tp12553 +a(g8 +g958 +tp12554 +a(g8 +g1800 +tp12555 +a(g8 +g1800 +tp12556 +a(g8 +g1040 +tp12557 +a(g8 +g1033 +tp12558 +a(g8 +g958 +tp12559 +a(g8 +g976 +tp12560 +a(g8 +g995 +tp12561 +a(g8 +g962 +tp12562 +a(g8 +g958 +tp12563 +a(g8 +g1452 +tp12564 +a(g8 +g966 +tp12565 +a(g8 +g1040 +tp12566 +a(g8 +g958 +tp12567 +a(g8 +g958 +tp12568 +a(g8 +g1687 +tp12569 +a(g8 +g958 +tp12570 +a(g8 +g1687 +tp12571 +a(g8 +g1687 +tp12572 +a(g8 +g1003 +tp12573 +a(g8 +g5046 +tp12574 +a(g8 +g10449 +tp12575 +a(g8 +g1003 +tp12576 +a(g8 +g9778 +tp12577 +a(g8 +g1687 +tp12578 +a(g8 +g958 +tp12579 +a(g8 +g5046 +tp12580 +a(g8 +g2130 +tp12581 +a(g8 +g2130 +tp12582 +a(g8 +g5046 +tp12583 +a(g8 +g958 +tp12584 +a(g8 +V*) +p12585 +tp12586 +a(g827 +V\u000a +p12587 +tp12588 +a(g8 +V(* +p12589 +tp12590 +a(g8 +g958 +tp12591 +a(g8 +g976 +tp12592 +a(g8 +g1021 +tp12593 +a(g8 +g962 +tp12594 +a(g8 +g1040 +tp12595 +a(g8 +g966 +tp12596 +a(g8 +g958 +tp12597 +a(g8 +g971 +tp12598 +a(g8 +g973 +tp12599 +a(g8 +g1704 +tp12600 +a(g8 +g971 +tp12601 +a(g8 +g978 +tp12602 +a(g8 +g1033 +tp12603 +a(g8 +g958 +tp12604 +a(g8 +g1392 +tp12605 +a(g8 +g958 +tp12606 +a(g8 +g1418 +tp12607 +a(g8 +g1800 +tp12608 +a(g8 +g958 +tp12609 +a(g8 +g964 +tp12610 +a(g8 +g958 +tp12611 +a(g8 +g1003 +tp12612 +a(g8 +g958 +tp12613 +a(g8 +g1385 +tp12614 +a(g8 +g1033 +tp12615 +a(g8 +g1000 +tp12616 +a(g8 +g1046 +tp12617 +a(g8 +g966 +tp12618 +a(g8 +g1026 +tp12619 +a(g8 +g958 +tp12620 +a(g8 +g1062 +tp12621 +a(g8 +g1021 +tp12622 +a(g8 +g1033 +tp12623 +a(g8 +g1040 +tp12624 +a(g8 +g971 +tp12625 +a(g8 +g968 +tp12626 +a(g8 +g1062 +tp12627 +a(g8 +g958 +tp12628 +a(g8 +g1033 +tp12629 +a(g8 +g958 +tp12630 +a(g8 +V*) +p12631 +tp12632 +a(g827 +V\u000a +p12633 +tp12634 +a(g8 +V(* +p12635 +tp12636 +a(g8 +g958 +tp12637 +a(g8 +g1704 +tp12638 +a(g8 +g998 +tp12639 +a(g8 +g966 +tp12640 +a(g8 +g968 +tp12641 +a(g8 +g966 +tp12642 +a(g8 +g958 +tp12643 +a(g8 +g1021 +tp12644 +a(g8 +g1033 +tp12645 +a(g8 +g958 +tp12646 +a(g8 +g964 +tp12647 +a(g8 +g998 +tp12648 +a(g8 +g1021 +tp12649 +a(g8 +g1033 +tp12650 +a(g8 +g958 +tp12651 +a(g8 +g962 +tp12652 +a(g8 +g966 +tp12653 +a(g8 +g966 +tp12654 +a(g8 +g1062 +tp12655 +a(g8 +g966 +tp12656 +a(g8 +g1062 +tp12657 +a(g8 +V? +p12658 +tp12659 +a(g8 +g958 +tp12660 +a(g8 +V*) +p12661 +tp12662 +a(g827 +V\u000a +p12663 +tp12664 +a(g8 +V(* +p12665 +tp12666 +a(g8 +g958 +tp12667 +a(g8 +g2794 +tp12668 +a(g8 +g998 +tp12669 +a(g8 +g995 +tp12670 +a(g8 +g958 +tp12671 +a(g8 +g1452 +tp12672 +a(g8 +g966 +tp12673 +a(g8 +g1040 +tp12674 +a(g8 +g958 +tp12675 +a(g8 +g958 +tp12676 +a(g8 +g10444 +tp12677 +a(g8 +g958 +tp12678 +a(g8 +g5046 +tp12679 +a(g8 +g2130 +tp12680 +a(g8 +g1003 +tp12681 +a(g8 +g12539 +tp12682 +a(g8 +g2130 +tp12683 +a(g8 +g1003 +tp12684 +a(g8 +g5046 +tp12685 +a(g8 +g10444 +tp12686 +a(g8 +g958 +tp12687 +a(g8 +g5046 +tp12688 +a(g8 +g2130 +tp12689 +a(g8 +g2130 +tp12690 +a(g8 +g1687 +tp12691 +a(g8 +g958 +tp12692 +a(g8 +g1800 +tp12693 +a(g8 +g1016 +tp12694 +a(g8 +g1483 +tp12695 +a(g8 +g958 +tp12696 +a(g8 +g7231 +tp12697 +a(g8 +g7231 +tp12698 +a(g8 +g7231 +tp12699 +a(g8 +g958 +tp12700 +a(g8 +V*) +p12701 +tp12702 +a(g827 +V\u000a +p12703 +tp12704 +a(g706 +g1418 +tp12705 +a(g827 +g958 +tp12706 +a(g574 +VblockSub +p12707 +tp12708 +a(g827 +g958 +tp12709 +a(g706 +g1098 +tp12710 +a(g436 +VLVar +p12711 +tp12712 +a(g827 +g958 +tp12713 +a(g706 +g1098 +tp12714 +a(g436 +g968 +tp12715 +a(g827 +g958 +tp12716 +a(g794 +Vas +p12717 +tp12718 +a(g827 +g958 +tp12719 +a(g436 +Vref +p12720 +tp12721 +a(g827 +g958 +tp12722 +a(g436 +VNONE +p12723 +tp12724 +a(g706 +g1026 +tp12725 +a(g827 +g958 +tp12726 +a(g436 +Vsk +p12727 +tp12728 +a(g706 +g1026 +tp12729 +a(g827 +g958 +tp12730 +a(g706 +g1098 +tp12731 +a(g436 +g973 +tp12732 +a(g706 +g1026 +tp12733 +a(g827 +g958 +tp12734 +a(g436 +g964 +tp12735 +a(g706 +g1110 +tp12736 +a(g706 +g1110 +tp12737 +a(g706 +g1026 +tp12738 +a(g827 +g958 +tp12739 +a(g436 +g1033 +tp12740 +a(g706 +g1110 +tp12741 +a(g827 +g958 +tp12742 +a(g706 +g1119 +tp12743 +a(g827 +V \u000a +p12744 +tp12745 +a(g436 +VLVar +p12746 +tp12747 +a(g706 +g1098 +tp12748 +a(g436 +g968 +tp12749 +a(g706 +g1026 +tp12750 +a(g827 +g958 +tp12751 +a(g436 +Vcomp +p12752 +tp12753 +a(g706 +g1098 +tp12754 +a(g436 +Vsk +p12755 +tp12756 +a(g706 +g1026 +tp12757 +a(g827 +g958 +tp12758 +a(g436 +g1033 +tp12759 +a(g706 +g1110 +tp12760 +a(g706 +g1026 +tp12761 +a(g827 +g958 +tp12762 +a(g706 +g1098 +tp12763 +a(g436 +g973 +tp12764 +a(g706 +g1026 +tp12765 +a(g827 +g958 +tp12766 +a(g436 +g964 +tp12767 +a(g706 +g1110 +tp12768 +a(g706 +g1110 +tp12769 +a(g827 +V\u000a +p12770 +tp12771 +a(g8 +V(* +p12772 +tp12773 +a(g8 +g958 +tp12774 +a(g8 +g1704 +tp12775 +a(g8 +g971 +tp12776 +a(g8 +g1033 +tp12777 +a(g8 +g1003 +tp12778 +a(g8 +V\u000a +p12779 +tp12780 +a(g8 +g2241 +tp12781 +a(g8 +g1610 +tp12782 +a(g8 +g1081 +tp12783 +a(g8 +g971 +tp12784 +a(g8 +g968 +tp12785 +a(g8 +g958 +tp12786 +a(g8 +g1098 +tp12787 +a(g8 +g968 +tp12788 +a(g8 +g1026 +tp12789 +a(g8 +g958 +tp12790 +a(g8 +g1040 +tp12791 +a(g8 +g1000 +tp12792 +a(g8 +g1046 +tp12793 +a(g8 +g1483 +tp12794 +a(g8 +g1098 +tp12795 +a(g8 +g1033 +tp12796 +a(g8 +g1011 +tp12797 +a(g8 +g1026 +tp12798 +a(g8 +g958 +tp12799 +a(g8 +g1033 +tp12800 +a(g8 +g1110 +tp12801 +a(g8 +g1026 +tp12802 +a(g8 +g958 +tp12803 +a(g8 +g1098 +tp12804 +a(g8 +g973 +tp12805 +a(g8 +g1026 +tp12806 +a(g8 +g958 +tp12807 +a(g8 +g1040 +tp12808 +a(g8 +g1000 +tp12809 +a(g8 +g1046 +tp12810 +a(g8 +g1483 +tp12811 +a(g8 +g958 +tp12812 +a(g8 +g1098 +tp12813 +a(g8 +g964 +tp12814 +a(g8 +g1026 +tp12815 +a(g8 +g958 +tp12816 +a(g8 +g1033 +tp12817 +a(g8 +g1110 +tp12818 +a(g8 +g1110 +tp12819 +a(g8 +g1110 +tp12820 +a(g8 +V\u000a +p12821 +tp12822 +a(g8 +g2241 +tp12823 +a(g8 +VJ +p12824 +tp12825 +a(g8 +g995 +tp12826 +a(g8 +g973 +tp12827 +a(g8 +g978 +tp12828 +a(g8 +g958 +tp12829 +a(g8 +g5046 +tp12830 +a(g8 +g5046 +tp12831 +a(g8 +g1026 +tp12832 +a(g8 +g958 +tp12833 +a(g8 +g5046 +tp12834 +a(g8 +g2130 +tp12835 +a(g8 +g1687 +tp12836 +a(g8 +g2130 +tp12837 +a(g8 +g958 +tp12838 +a(g8 +g1800 +tp12839 +a(g8 +g1016 +tp12840 +a(g8 +g1483 +tp12841 +a(g8 +g958 +tp12842 +a(g8 +g1800 +tp12843 +a(g8 +g1040 +tp12844 +a(g8 +g1033 +tp12845 +a(g8 +V\u000a +p12846 +tp12847 +a(g8 +g958 +tp12848 +a(g8 +g958 +tp12849 +a(g8 +g958 +tp12850 +a(g8 +g958 +tp12851 +a(g8 +g958 +tp12852 +a(g8 +g958 +tp12853 +a(g8 +g958 +tp12854 +a(g8 +V*) +p12855 +tp12856 +a(g827 +V\u000a +p12857 +tp12858 +a(g8 +V(* +p12859 +tp12860 +a(g8 +g958 +tp12861 +a(g8 +g1040 +tp12862 +a(g8 +g1000 +tp12863 +a(g8 +g1046 +tp12864 +a(g8 +g1483 +tp12865 +a(g8 +g1098 +tp12866 +a(g8 +g1866 +tp12867 +a(g8 +g1011 +tp12868 +a(g8 +g1026 +tp12869 +a(g8 +g958 +tp12870 +a(g8 +g1033 +tp12871 +a(g8 +g1110 +tp12872 +a(g8 +g958 +tp12873 +a(g8 +g1119 +tp12874 +a(g8 +g958 +tp12875 +a(g8 +g1866 +tp12876 +a(g8 +g1011 +tp12877 +a(g8 +g1495 +tp12878 +a(g8 +g958 +tp12879 +a(g8 +g1016 +tp12880 +a(g8 +g1000 +tp12881 +a(g8 +g968 +tp12882 +a(g8 +g958 +tp12883 +a(g8 +g1033 +tp12884 +a(g8 +g1000 +tp12885 +a(g8 +g1046 +tp12886 +a(g8 +g966 +tp12887 +a(g8 +g958 +tp12888 +a(g8 +g1011 +tp12889 +a(g8 +g1495 +tp12890 +a(g8 +g958 +tp12891 +a(g8 +g1074 +tp12892 +a(g8 +g978 +tp12893 +a(g8 +g958 +tp12894 +a(g8 +g1021 +tp12895 +a(g8 +g962 +tp12896 +a(g8 +g1506 +tp12897 +a(g8 +g971 +tp12898 +a(g8 +g968 +tp12899 +a(g8 +g1021 +tp12900 +a(g8 +g971 +tp12901 +a(g8 +g962 +tp12902 +a(g8 +g964 +tp12903 +a(g8 +g958 +tp12904 +a(g8 +V*) +p12905 +tp12906 +a(g827 +V\u000a +p12907 +tp12908 +a(g706 +g1418 +tp12909 +a(g827 +g958 +tp12910 +a(g574 +VblockSub +p12911 +tp12912 +a(g827 +g958 +tp12913 +a(g706 +g1098 +tp12914 +a(g436 +g1610 +tp12915 +a(g827 +g958 +tp12916 +a(g794 +Vas +p12917 +tp12918 +a(g827 +g958 +tp12919 +a(g436 +VInst +p12920 +tp12921 +a(g827 +g958 +tp12922 +a(g436 +VULs +p12923 +tp12924 +a(g706 +g1026 +tp12925 +a(g827 +g958 +tp12926 +a(g436 +Vs' +p12927 +tp12928 +a(g706 +g1110 +tp12929 +a(g827 +g958 +tp12930 +a(g706 +g1119 +tp12931 +a(g827 +g958 +tp12932 +a(g436 +VInst +p12933 +tp12934 +a(g827 +g958 +tp12935 +a(g706 +g1098 +tp12936 +a(g436 +Vmap +p12937 +tp12938 +a(g827 +g958 +tp12939 +a(g706 +g1098 +tp12940 +a(g794 +Vfn +p12941 +tp12942 +a(g827 +g958 +tp12943 +a(g436 +g2708 +tp12944 +a(g827 +g958 +tp12945 +a(g706 +V=> +p12946 +tp12947 +a(g827 +g958 +tp12948 +a(g436 +VEClo +p12949 +tp12950 +a(g827 +g958 +tp12951 +a(g706 +g1098 +tp12952 +a(g436 +g2708 +tp12953 +a(g706 +g1026 +tp12954 +a(g827 +g958 +tp12955 +a(g436 +Vs' +p12956 +tp12957 +a(g706 +g1110 +tp12958 +a(g706 +g1110 +tp12959 +a(g827 +g958 +tp12960 +a(g436 +VULs +p12961 +tp12962 +a(g706 +g1110 +tp12963 +a(g827 +V\u000a +p12964 +tp12965 +a(g8 +V(* +p12966 +tp12967 +a(g8 +g958 +tp12968 +a(g8 +g964 +tp12969 +a(g8 +g998 +tp12970 +a(g8 +g1021 +tp12971 +a(g8 +g1033 +tp12972 +a(g8 +g958 +tp12973 +a(g8 +g1033 +tp12974 +a(g8 +g998 +tp12975 +a(g8 +g1000 +tp12976 +a(g8 +g995 +tp12977 +a(g8 +g973 +tp12978 +a(g8 +g1062 +tp12979 +a(g8 +g958 +tp12980 +a(g8 +g1074 +tp12981 +a(g8 +g966 +tp12982 +a(g8 +g958 +tp12983 +a(g8 +g968 +tp12984 +a(g8 +g1021 +tp12985 +a(g8 +g1024 +tp12986 +a(g8 +g998 +tp12987 +a(g8 +g964 +tp12988 +a(g8 +g958 +tp12989 +a(g8 +g1074 +tp12990 +a(g8 +g995 +tp12991 +a(g8 +g964 +tp12992 +a(g8 +g958 +tp12993 +a(g8 +g1033 +tp12994 +a(g8 +g1000 +tp12995 +a(g8 +g1046 +tp12996 +a(g8 +g966 +tp12997 +a(g8 +g1074 +tp12998 +a(g8 +g1000 +tp12999 +a(g8 +g1062 +tp13000 +a(g8 +g978 +tp13001 +a(g8 +g958 +tp13002 +a(g8 +g1033 +tp13003 +a(g8 +g998 +tp13004 +a(g8 +g1000 +tp13005 +a(g8 +g995 +tp13006 +a(g8 +g973 +tp13007 +a(g8 +g1062 +tp13008 +a(g8 +g958 +tp13009 +a(g8 +g1506 +tp13010 +a(g8 +g966 +tp13011 +a(g8 +g968 +tp13012 +a(g8 +g1021 +tp13013 +a(g8 +g1016 +tp13014 +a(g8 +g978 +tp13015 +a(g8 +g958 +tp13016 +a(g8 +V*) +p13017 +tp13018 +a(g827 +V \u000a\u000a +p13019 +tp13020 +a(g8 +V(* +p13021 +tp13022 +a(g8 +g958 +tp13023 +a(g8 +g1016 +tp13024 +a(g8 +g968 +tp13025 +a(g8 +g1000 +tp13026 +a(g8 +g962 +tp13027 +a(g8 +g964 +tp13028 +a(g8 +g976 +tp13029 +a(g8 +g995 +tp13030 +a(g8 +g1074 +tp13031 +a(g8 +g958 +tp13032 +a(g8 +g1098 +tp13033 +a(g8 +g1006 +tp13034 +a(g8 +g964 +tp13035 +a(g8 +g1026 +tp13036 +a(g8 +g958 +tp13037 +a(g8 +g1033 +tp13038 +a(g8 +g1110 +tp13039 +a(g8 +g958 +tp13040 +a(g8 +g1119 +tp13041 +a(g8 +g958 +tp13042 +a(g8 +g1006 +tp13043 +a(g8 +g964 +tp13044 +a(g8 +g1495 +tp13045 +a(g8 +V\u000a +p13046 +tp13047 +a(g8 +V\u000a +p13048 +tp13049 +a(g8 +g958 +tp13050 +a(g8 +g958 +tp13051 +a(g8 +g958 +tp13052 +a(g8 +g958 +tp13053 +a(g8 +g958 +tp13054 +a(g8 +g960 +tp13055 +a(g8 +g962 +tp13056 +a(g8 +g1506 +tp13057 +a(g8 +g971 +tp13058 +a(g8 +g968 +tp13059 +a(g8 +g1021 +tp13060 +a(g8 +g971 +tp13061 +a(g8 +g962 +tp13062 +a(g8 +g964 +tp13063 +a(g8 +g1003 +tp13064 +a(g8 +V\u000a +p13065 +tp13066 +a(g8 +g958 +tp13067 +a(g8 +g958 +tp13068 +a(g8 +g958 +tp13069 +a(g8 +g958 +tp13070 +a(g8 +g958 +tp13071 +a(g8 +g960 +tp13072 +a(g8 +g1016 +tp13073 +a(g8 +g958 +tp13074 +a(g8 +g958 +tp13075 +a(g8 +g958 +tp13076 +a(g8 +g1385 +tp13077 +a(g8 +g958 +tp13078 +a(g8 +g1418 +tp13079 +a(g8 +g1800 +tp13080 +a(g8 +g958 +tp13081 +a(g8 +g1033 +tp13082 +a(g8 +g958 +tp13083 +a(g8 +g1003 +tp13084 +a(g8 +g958 +tp13085 +a(g8 +g1385 +tp13086 +a(g8 +g1495 +tp13087 +a(g8 +g958 +tp13088 +a(g8 +g958 +tp13089 +a(g8 +g958 +tp13090 +a(g8 +g958 +tp13091 +a(g8 +g958 +tp13092 +a(g8 +g1385 +tp13093 +a(g8 +g1495 +tp13094 +a(g8 +g958 +tp13095 +a(g8 +g1418 +tp13096 +a(g8 +g1800 +tp13097 +a(g8 +g958 +tp13098 +a(g8 +g1006 +tp13099 +a(g8 +g964 +tp13100 +a(g8 +g958 +tp13101 +a(g8 +g1003 +tp13102 +a(g8 +g958 +tp13103 +a(g8 +g1081 +tp13104 +a(g8 +V\u000a +p13105 +tp13106 +a(g8 +g958 +tp13107 +a(g8 +g958 +tp13108 +a(g8 +g958 +tp13109 +a(g8 +g958 +tp13110 +a(g8 +g958 +tp13111 +a(g8 +g964 +tp13112 +a(g8 +g998 +tp13113 +a(g8 +g966 +tp13114 +a(g8 +g962 +tp13115 +a(g8 +g958 +tp13116 +a(g8 +g1006 +tp13117 +a(g8 +g964 +tp13118 +a(g8 +g1495 +tp13119 +a(g8 +g958 +tp13120 +a(g8 +g1119 +tp13121 +a(g8 +g958 +tp13122 +a(g8 +g1006 +tp13123 +a(g8 +g964 +tp13124 +a(g8 +g958 +tp13125 +a(g8 +g3236 +tp13126 +a(g8 +g1033 +tp13127 +a(g8 +g3239 +tp13128 +a(g8 +V\u000a +p13129 +tp13130 +a(g8 +g958 +tp13131 +a(g8 +g958 +tp13132 +a(g8 +g958 +tp13133 +a(g8 +g958 +tp13134 +a(g8 +g958 +tp13135 +a(g8 +g971 +tp13136 +a(g8 +g962 +tp13137 +a(g8 +g1062 +tp13138 +a(g8 +g958 +tp13139 +a(g8 +g958 +tp13140 +a(g8 +g1385 +tp13141 +a(g8 +g958 +tp13142 +a(g8 +g1418 +tp13143 +a(g8 +g1800 +tp13144 +a(g8 +g958 +tp13145 +a(g8 +g1006 +tp13146 +a(g8 +g964 +tp13147 +a(g8 +g1495 +tp13148 +a(g8 +g958 +tp13149 +a(g8 +g1003 +tp13150 +a(g8 +g958 +tp13151 +a(g8 +g1081 +tp13152 +a(g8 +g958 +tp13153 +a(g8 +g3236 +tp13154 +a(g8 +g1033 +tp13155 +a(g8 +g3239 +tp13156 +a(g8 +V\u000a +p13157 +tp13158 +a(g8 +V\u000a +p13159 +tp13160 +a(g8 +g958 +tp13161 +a(g8 +g958 +tp13162 +a(g8 +g958 +tp13163 +a(g8 +g958 +tp13164 +a(g8 +g958 +tp13165 +a(g8 +g1825 +tp13166 +a(g8 +g1910 +tp13167 +a(g8 +g2794 +tp13168 +a(g8 +g1902 +tp13169 +a(g8 +g1003 +tp13170 +a(g8 +g958 +tp13171 +a(g8 +g1902 +tp13172 +a(g8 +g1029 +tp13173 +a(g8 +g973 +tp13174 +a(g8 +g1000 +tp13175 +a(g8 +g958 +tp13176 +a(g8 +g1098 +tp13177 +a(g8 +g2708 +tp13178 +a(g8 +g1026 +tp13179 +a(g8 +g958 +tp13180 +a(g8 +g1033 +tp13181 +a(g8 +g1110 +tp13182 +a(g8 +g958 +tp13183 +a(g8 +g1046 +tp13184 +a(g8 +g1021 +tp13185 +a(g8 +g1024 +tp13186 +a(g8 +g998 +tp13187 +a(g8 +g964 +tp13188 +a(g8 +g958 +tp13189 +a(g8 +g1074 +tp13190 +a(g8 +g966 +tp13191 +a(g8 +g958 +tp13192 +a(g8 +g995 +tp13193 +a(g8 +g962 +tp13194 +a(g8 +g1062 +tp13195 +a(g8 +g966 +tp13196 +a(g8 +g1016 +tp13197 +a(g8 +g1021 +tp13198 +a(g8 +g962 +tp13199 +a(g8 +g966 +tp13200 +a(g8 +g1062 +tp13201 +a(g8 +g1026 +tp13202 +a(g8 +g958 +tp13203 +a(g8 +g1033 +tp13204 +a(g8 +g1000 +tp13205 +a(g8 +g958 +tp13206 +a(g8 +g1021 +tp13207 +a(g8 +g1016 +tp13208 +a(g8 +g958 +tp13209 +a(g8 +g964 +tp13210 +a(g8 +g998 +tp13211 +a(g8 +g1021 +tp13212 +a(g8 +g1033 +tp13213 +a(g8 +g958 +tp13214 +a(g8 +g1021 +tp13215 +a(g8 +g1033 +tp13216 +a(g8 +g958 +tp13217 +a(g8 +g966 +tp13218 +a(g8 +g1506 +tp13219 +a(g8 +g966 +tp13220 +a(g8 +g968 +tp13221 +a(g8 +V\u000a +p13222 +tp13223 +a(g8 +g958 +tp13224 +a(g8 +g958 +tp13225 +a(g8 +g958 +tp13226 +a(g8 +g958 +tp13227 +a(g8 +g958 +tp13228 +a(g8 +g1040 +tp13229 +a(g8 +g1000 +tp13230 +a(g8 +g1046 +tp13231 +a(g8 +g1483 +tp13232 +a(g8 +g995 +tp13233 +a(g8 +g964 +tp13234 +a(g8 +g966 +tp13235 +a(g8 +g1062 +tp13236 +a(g8 +g958 +tp13237 +a(g8 +g966 +tp13238 +a(g8 +g971 +tp13239 +a(g8 +g1024 +tp13240 +a(g8 +g966 +tp13241 +a(g8 +g968 +tp13242 +a(g8 +g973 +tp13243 +a(g8 +g978 +tp13244 +a(g8 +g1026 +tp13245 +a(g8 +g958 +tp13246 +a(g8 +g1704 +tp13247 +a(g8 +g966 +tp13248 +a(g8 +g958 +tp13249 +a(g8 +g1046 +tp13250 +a(g8 +g995 +tp13251 +a(g8 +g1033 +tp13252 +a(g8 +g964 +tp13253 +a(g8 +g958 +tp13254 +a(g8 +g1021 +tp13255 +a(g8 +g962 +tp13256 +a(g8 +g964 +tp13257 +a(g8 +g968 +tp13258 +a(g8 +g1000 +tp13259 +a(g8 +g1062 +tp13260 +a(g8 +g995 +tp13261 +a(g8 +g1040 +tp13262 +a(g8 +g966 +tp13263 +a(g8 +g958 +tp13264 +a(g8 +g971 +tp13265 +a(g8 +g962 +tp13266 +a(g8 +g958 +tp13267 +a(g8 +g1846 +tp13268 +a(g8 +g2708 +tp13269 +a(g8 +g962 +tp13270 +a(g8 +g1062 +tp13271 +a(g8 +g966 +tp13272 +a(g8 +g1016 +tp13273 +a(g8 +g1021 +tp13274 +a(g8 +g962 +tp13275 +a(g8 +g966 +tp13276 +a(g8 +g1062 +tp13277 +a(g8 +g1846 +tp13278 +a(g8 +g958 +tp13279 +a(g8 +g966 +tp13280 +a(g8 +g983 +tp13281 +a(g8 +g1040 +tp13282 +a(g8 +g966 +tp13283 +a(g8 +g1483 +tp13284 +a(g8 +g964 +tp13285 +a(g8 +g1021 +tp13286 +a(g8 +g1000 +tp13287 +a(g8 +g962 +tp13288 +a(g8 +g1026 +tp13289 +a(g8 +V\u000a +p13290 +tp13291 +a(g8 +g958 +tp13292 +a(g8 +g958 +tp13293 +a(g8 +g958 +tp13294 +a(g8 +g958 +tp13295 +a(g8 +g958 +tp13296 +a(g8 +g968 +tp13297 +a(g8 +g971 +tp13298 +a(g8 +g1021 +tp13299 +a(g8 +g1033 +tp13300 +a(g8 +g966 +tp13301 +a(g8 +g958 +tp13302 +a(g8 +g1021 +tp13303 +a(g8 +g964 +tp13304 +a(g8 +g958 +tp13305 +a(g8 +g1021 +tp13306 +a(g8 +g962 +tp13307 +a(g8 +g958 +tp13308 +a(g8 +g1704 +tp13309 +a(g8 +g998 +tp13310 +a(g8 +g962 +tp13311 +a(g8 +g1016 +tp13312 +a(g8 +g958 +tp13313 +a(g8 +g971 +tp13314 +a(g8 +g962 +tp13315 +a(g8 +g1062 +tp13316 +a(g8 +g958 +tp13317 +a(g8 +g998 +tp13318 +a(g8 +g971 +tp13319 +a(g8 +g962 +tp13320 +a(g8 +g1062 +tp13321 +a(g8 +g973 +tp13322 +a(g8 +g966 +tp13323 +a(g8 +g958 +tp13324 +a(g8 +g1021 +tp13325 +a(g8 +g964 +tp13326 +a(g8 +g958 +tp13327 +a(g8 +g998 +tp13328 +a(g8 +g966 +tp13329 +a(g8 +g968 +tp13330 +a(g8 +g966 +tp13331 +a(g8 +g958 +tp13332 +a(g8 +g1033 +tp13333 +a(g8 +g1000 +tp13334 +a(g8 +g958 +tp13335 +a(g8 +g1902 +tp13336 +a(g8 +g983 +tp13337 +a(g8 +g1483 +tp13338 +a(g8 +g958 +tp13339 +a(g8 +g1098 +tp13340 +a(g8 +g1902 +tp13341 +a(g8 +g1029 +tp13342 +a(g8 +g973 +tp13343 +a(g8 +g1000 +tp13344 +a(g8 +g958 +tp13345 +a(g8 +g1098 +tp13346 +a(g8 +g2708 +tp13347 +a(g8 +g1026 +tp13348 +a(g8 +g958 +tp13349 +a(g8 +g1033 +tp13350 +a(g8 +g1110 +tp13351 +a(g8 +g1110 +tp13352 +a(g8 +g958 +tp13353 +a(g8 +g1119 +tp13354 +a(g8 +g1491 +tp13355 +a(g8 +g958 +tp13356 +a(g8 +g2708 +tp13357 +a(g8 +g962 +tp13358 +a(g8 +g1062 +tp13359 +a(g8 +g966 +tp13360 +a(g8 +g1016 +tp13361 +a(g8 +V\u000a +p13362 +tp13363 +a(g8 +g958 +tp13364 +a(g8 +g958 +tp13365 +a(g8 +V*) +p13366 +tp13367 +a(g827 +V\u000a +p13368 +tp13369 +a(g794 +Vand +p13370 +tp13371 +a(g827 +g958 +tp13372 +a(g574 +VfrontSub +p13373 +tp13374 +a(g827 +g958 +tp13375 +a(g706 +g1098 +tp13376 +a(g436 +VIdx +p13377 +tp13378 +a(g827 +g958 +tp13379 +a(g706 +g1098 +tp13380 +a(g436 +g962 +tp13381 +a(g706 +g1110 +tp13382 +a(g706 +g1026 +tp13383 +a(g827 +g958 +tp13384 +a(g436 +g1033 +tp13385 +a(g706 +g1110 +tp13386 +a(g827 +g958 +tp13387 +a(g706 +g1119 +tp13388 +a(g827 +g958 +tp13389 +a(g436 +VbvarSub +p13390 +tp13391 +a(g827 +g958 +tp13392 +a(g706 +g1098 +tp13393 +a(g436 +g962 +tp13394 +a(g706 +g1026 +tp13395 +a(g827 +g958 +tp13396 +a(g436 +g1033 +tp13397 +a(g706 +g1110 +tp13398 +a(g827 +V\u000a +p13399 +tp13400 +a(g706 +g1418 +tp13401 +a(g827 +g958 +tp13402 +a(g574 +VfrontSub +p13403 +tp13404 +a(g827 +g958 +tp13405 +a(g706 +g1098 +tp13406 +a(g436 +VExp +p13407 +tp13408 +a(g827 +g958 +tp13409 +a(g706 +g1098 +tp13410 +a(g436 +g2708 +tp13411 +a(g706 +g1110 +tp13412 +a(g706 +g1026 +tp13413 +a(g827 +g958 +tp13414 +a(g436 +g1033 +tp13415 +a(g706 +g1110 +tp13416 +a(g827 +g958 +tp13417 +a(g706 +g1119 +tp13418 +a(g827 +g958 +tp13419 +a(g436 +VExp +p13420 +tp13421 +a(g827 +g958 +tp13422 +a(g706 +g1098 +tp13423 +a(g436 +VEClo +p13424 +tp13425 +a(g827 +g958 +tp13426 +a(g706 +g1098 +tp13427 +a(g436 +g2708 +tp13428 +a(g706 +g1026 +tp13429 +a(g827 +g958 +tp13430 +a(g436 +g1033 +tp13431 +a(g706 +g1110 +tp13432 +a(g706 +g1110 +tp13433 +a(g827 +V\u000a +p13434 +tp13435 +a(g706 +g1418 +tp13436 +a(g827 +g958 +tp13437 +a(g574 +VfrontSub +p13438 +tp13439 +a(g827 +g958 +tp13440 +a(g706 +g1098 +tp13441 +a(g436 +VUndef +p13442 +tp13443 +a(g706 +g1026 +tp13444 +a(g827 +g958 +tp13445 +a(g436 +g1033 +tp13446 +a(g706 +g1110 +tp13447 +a(g827 +g958 +tp13448 +a(g706 +g1119 +tp13449 +a(g827 +g958 +tp13450 +a(g436 +VUndef +p13451 +tp13452 +a(g827 +V\u000a +p13453 +tp13454 +a(g706 +g1418 +tp13455 +a(g827 +g958 +tp13456 +a(g574 +VfrontSub +p13457 +tp13458 +a(g827 +g958 +tp13459 +a(g706 +g1098 +tp13460 +a(g436 +VBlock +p13461 +tp13462 +a(g827 +g958 +tp13463 +a(g706 +g1098 +tp13464 +a(g436 +g1918 +tp13465 +a(g706 +g1110 +tp13466 +a(g706 +g1026 +tp13467 +a(g827 +g958 +tp13468 +a(g436 +g1033 +tp13469 +a(g706 +g1110 +tp13470 +a(g827 +g958 +tp13471 +a(g706 +g1119 +tp13472 +a(g827 +g958 +tp13473 +a(g436 +VBlock +p13474 +tp13475 +a(g827 +g958 +tp13476 +a(g706 +g1098 +tp13477 +a(g436 +VblockSub +p13478 +tp13479 +a(g827 +g958 +tp13480 +a(g706 +g1098 +tp13481 +a(g436 +g1918 +tp13482 +a(g706 +g1026 +tp13483 +a(g827 +g958 +tp13484 +a(g436 +g1033 +tp13485 +a(g706 +g1110 +tp13486 +a(g706 +g1110 +tp13487 +a(g827 +V\u000a\u000a +p13488 +tp13489 +a(g8 +V(* +p13490 +tp13491 +a(g8 +g958 +tp13492 +a(g8 +g1062 +tp13493 +a(g8 +g966 +tp13494 +a(g8 +g1040 +tp13495 +a(g8 +g976 +tp13496 +a(g8 +g995 +tp13497 +a(g8 +g1074 +tp13498 +a(g8 +g958 +tp13499 +a(g8 +g1098 +tp13500 +a(g8 +g983 +tp13501 +a(g8 +g1003 +tp13502 +a(g8 +g1081 +tp13503 +a(g8 +g1026 +tp13504 +a(g8 +g958 +tp13505 +a(g8 +g1033 +tp13506 +a(g8 +g1110 +tp13507 +a(g8 +g958 +tp13508 +a(g8 +g1119 +tp13509 +a(g8 +g958 +tp13510 +a(g8 +g1452 +tp13511 +a(g8 +g1495 +tp13512 +a(g8 +V\u000a +p13513 +tp13514 +a(g8 +V\u000a +p13515 +tp13516 +a(g8 +g958 +tp13517 +a(g8 +g958 +tp13518 +a(g8 +g958 +tp13519 +a(g8 +g958 +tp13520 +a(g8 +g958 +tp13521 +a(g8 +g960 +tp13522 +a(g8 +g962 +tp13523 +a(g8 +g1506 +tp13524 +a(g8 +g971 +tp13525 +a(g8 +g968 +tp13526 +a(g8 +g1021 +tp13527 +a(g8 +g971 +tp13528 +a(g8 +g962 +tp13529 +a(g8 +g964 +tp13530 +a(g8 +g1003 +tp13531 +a(g8 +V\u000a +p13532 +tp13533 +a(g8 +g958 +tp13534 +a(g8 +g958 +tp13535 +a(g8 +g958 +tp13536 +a(g8 +g958 +tp13537 +a(g8 +g958 +tp13538 +a(g8 +g960 +tp13539 +a(g8 +g1016 +tp13540 +a(g8 +g958 +tp13541 +a(g8 +g958 +tp13542 +a(g8 +g958 +tp13543 +a(g8 +g1385 +tp13544 +a(g8 +g958 +tp13545 +a(g8 +g958 +tp13546 +a(g8 +g1418 +tp13547 +a(g8 +g1800 +tp13548 +a(g8 +g958 +tp13549 +a(g8 +g1033 +tp13550 +a(g8 +g958 +tp13551 +a(g8 +g1003 +tp13552 +a(g8 +g958 +tp13553 +a(g8 +g1385 +tp13554 +a(g8 +g1495 +tp13555 +a(g8 +g958 +tp13556 +a(g8 +g958 +tp13557 +a(g8 +g958 +tp13558 +a(g8 +g958 +tp13559 +a(g8 +g1385 +tp13560 +a(g8 +g1495 +tp13561 +a(g8 +g958 +tp13562 +a(g8 +g1418 +tp13563 +a(g8 +g1800 +tp13564 +a(g8 +g958 +tp13565 +a(g8 +g1081 +tp13566 +a(g8 +g958 +tp13567 +a(g8 +g1003 +tp13568 +a(g8 +g958 +tp13569 +a(g8 +g1610 +tp13570 +a(g8 +V\u000a +p13571 +tp13572 +a(g8 +g958 +tp13573 +a(g8 +g958 +tp13574 +a(g8 +g958 +tp13575 +a(g8 +g958 +tp13576 +a(g8 +g958 +tp13577 +a(g8 +g964 +tp13578 +a(g8 +g998 +tp13579 +a(g8 +g966 +tp13580 +a(g8 +g962 +tp13581 +a(g8 +g958 +tp13582 +a(g8 +g1452 +tp13583 +a(g8 +g1495 +tp13584 +a(g8 +g958 +tp13585 +a(g8 +g1119 +tp13586 +a(g8 +g958 +tp13587 +a(g8 +g983 +tp13588 +a(g8 +g1003 +tp13589 +a(g8 +g1081 +tp13590 +a(g8 +g3236 +tp13591 +a(g8 +g1033 +tp13592 +a(g8 +g3239 +tp13593 +a(g8 +V\u000a +p13594 +tp13595 +a(g8 +g958 +tp13596 +a(g8 +g958 +tp13597 +a(g8 +g958 +tp13598 +a(g8 +g958 +tp13599 +a(g8 +g958 +tp13600 +a(g8 +g971 +tp13601 +a(g8 +g962 +tp13602 +a(g8 +g1062 +tp13603 +a(g8 +g958 +tp13604 +a(g8 +g958 +tp13605 +a(g8 +g1385 +tp13606 +a(g8 +g958 +tp13607 +a(g8 +g958 +tp13608 +a(g8 +g1418 +tp13609 +a(g8 +g1800 +tp13610 +a(g8 +g958 +tp13611 +a(g8 +g1081 +tp13612 +a(g8 +g3236 +tp13613 +a(g8 +g1033 +tp13614 +a(g8 +g3239 +tp13615 +a(g8 +g958 +tp13616 +a(g8 +g1003 +tp13617 +a(g8 +g958 +tp13618 +a(g8 +g1610 +tp13619 +a(g8 +V\u000a +p13620 +tp13621 +a(g8 +g958 +tp13622 +a(g8 +g958 +tp13623 +a(g8 +V*) +p13624 +tp13625 +a(g827 +V\u000a +p13626 +tp13627 +a(g8 +V(* +p13628 +tp13629 +a(g8 +g958 +tp13630 +a(g8 +g1006 +tp13631 +a(g8 +g1021 +tp13632 +a(g8 +g968 +tp13633 +a(g8 +g1033 +tp13634 +a(g8 +g964 +tp13635 +a(g8 +g958 +tp13636 +a(g8 +g973 +tp13637 +a(g8 +g1021 +tp13638 +a(g8 +g962 +tp13639 +a(g8 +g966 +tp13640 +a(g8 +g958 +tp13641 +a(g8 +g1021 +tp13642 +a(g8 +g1033 +tp13643 +a(g8 +g958 +tp13644 +a(g8 +g971 +tp13645 +a(g8 +g962 +tp13646 +a(g8 +g958 +tp13647 +a(g8 +g1000 +tp13648 +a(g8 +g1483 +tp13649 +a(g8 +g964 +tp13650 +a(g8 +g1021 +tp13651 +a(g8 +g1046 +tp13652 +a(g8 +g1021 +tp13653 +a(g8 +g9962 +tp13654 +a(g8 +g971 +tp13655 +a(g8 +g964 +tp13656 +a(g8 +g1021 +tp13657 +a(g8 +g1000 +tp13658 +a(g8 +g962 +tp13659 +a(g8 +g958 +tp13660 +a(g8 +g1033 +tp13661 +a(g8 +g995 +tp13662 +a(g8 +g1024 +tp13663 +a(g8 +g1024 +tp13664 +a(g8 +g966 +tp13665 +a(g8 +g1033 +tp13666 +a(g8 +g964 +tp13667 +a(g8 +g966 +tp13668 +a(g8 +g1062 +tp13669 +a(g8 +g958 +tp13670 +a(g8 +g1074 +tp13671 +a(g8 +g978 +tp13672 +a(g8 +g958 +tp13673 +a(g8 +g1040 +tp13674 +a(g8 +g1033 +tp13675 +a(g8 +g958 +tp13676 +a(g8 +V*) +p13677 +tp13678 +a(g827 +V\u000a +p13679 +tp13680 +a(g8 +V(* +p13681 +tp13682 +a(g8 +g958 +tp13683 +a(g8 +g1452 +tp13684 +a(g8 +g3236 +tp13685 +a(g8 +g1021 +tp13686 +a(g8 +g1062 +tp13687 +a(g8 +g3239 +tp13688 +a(g8 +g958 +tp13689 +a(g8 +g1119 +tp13690 +a(g8 +g958 +tp13691 +a(g8 +g1452 +tp13692 +a(g8 +g958 +tp13693 +a(g8 +V*) +p13694 +tp13695 +a(g827 +V\u000a +p13696 +tp13697 +a(g8 +V(* +p13698 +tp13699 +a(g8 +g958 +tp13700 +a(g8 +g976 +tp13701 +a(g8 +g971 +tp13702 +a(g8 +g964 +tp13703 +a(g8 +g958 +tp13704 +a(g8 +g1006 +tp13705 +a(g8 +g966 +tp13706 +a(g8 +g1074 +tp13707 +a(g8 +g958 +tp13708 +a(g8 +g1687 +tp13709 +a(g8 +g9778 +tp13710 +a(g8 +g958 +tp13711 +a(g8 +g1687 +tp13712 +a(g8 +g11646 +tp13713 +a(g8 +g1003 +tp13714 +a(g8 +g12539 +tp13715 +a(g8 +V7 +p13716 +tp13717 +a(g8 +g1003 +tp13718 +a(g8 +g9778 +tp13719 +a(g8 +g9778 +tp13720 +a(g8 +g958 +tp13721 +a(g8 +g1687 +tp13722 +a(g8 +g11643 +tp13723 +a(g8 +g11643 +tp13724 +a(g8 +g11646 +tp13725 +a(g8 +g958 +tp13726 +a(g8 +g1800 +tp13727 +a(g8 +g1016 +tp13728 +a(g8 +g1483 +tp13729 +a(g8 +g958 +tp13730 +a(g8 +V*) +p13731 +tp13732 +a(g827 +V\u000a +p13733 +tp13734 +a(g8 +V(* +p13735 +tp13736 +a(g8 +g958 +tp13737 +a(g8 +g1033 +tp13738 +a(g8 +g966 +tp13739 +a(g8 +g966 +tp13740 +a(g8 +g1046 +tp13741 +a(g8 +g1033 +tp13742 +a(g8 +g958 +tp13743 +a(g8 +g964 +tp13744 +a(g8 +g1000 +tp13745 +a(g8 +g958 +tp13746 +a(g8 +g998 +tp13747 +a(g8 +g971 +tp13748 +a(g8 +g1506 +tp13749 +a(g8 +g966 +tp13750 +a(g8 +g958 +tp13751 +a(g8 +g962 +tp13752 +a(g8 +g1000 +tp13753 +a(g8 +g958 +tp13754 +a(g8 +g1033 +tp13755 +a(g8 +g964 +tp13756 +a(g8 +g971 +tp13757 +a(g8 +g964 +tp13758 +a(g8 +g1021 +tp13759 +a(g8 +g1033 +tp13760 +a(g8 +g964 +tp13761 +a(g8 +g1021 +tp13762 +a(g8 +g1040 +tp13763 +a(g8 +g971 +tp13764 +a(g8 +g973 +tp13765 +a(g8 +g973 +tp13766 +a(g8 +g978 +tp13767 +a(g8 +g958 +tp13768 +a(g8 +g1033 +tp13769 +a(g8 +g1021 +tp13770 +a(g8 +g1024 +tp13771 +a(g8 +g962 +tp13772 +a(g8 +g1021 +tp13773 +a(g8 +g1016 +tp13774 +a(g8 +g1021 +tp13775 +a(g8 +g1040 +tp13776 +a(g8 +g971 +tp13777 +a(g8 +g962 +tp13778 +a(g8 +g964 +tp13779 +a(g8 +g958 +tp13780 +a(g8 +g966 +tp13781 +a(g8 +g1016 +tp13782 +a(g8 +g1016 +tp13783 +a(g8 +g966 +tp13784 +a(g8 +g1040 +tp13785 +a(g8 +g964 +tp13786 +a(g8 +g958 +tp13787 +a(g8 +V*) +p13788 +tp13789 +a(g827 +V\u000a +p13790 +tp13791 +a(g8 +V(* +p13792 +tp13793 +a(g8 +g958 +tp13794 +a(g8 +g995 +tp13795 +a(g8 +g962 +tp13796 +a(g8 +g1062 +tp13797 +a(g8 +g1000 +tp13798 +a(g8 +g958 +tp13799 +a(g8 +g1016 +tp13800 +a(g8 +g1000 +tp13801 +a(g8 +g968 +tp13802 +a(g8 +g958 +tp13803 +a(g8 +g962 +tp13804 +a(g8 +g1000 +tp13805 +a(g8 +g1704 +tp13806 +a(g8 +g958 +tp13807 +a(g8 +g976 +tp13808 +a(g8 +g971 +tp13809 +a(g8 +g964 +tp13810 +a(g8 +g958 +tp13811 +a(g8 +g1006 +tp13812 +a(g8 +g966 +tp13813 +a(g8 +g1074 +tp13814 +a(g8 +g958 +tp13815 +a(g8 +g1687 +tp13816 +a(g8 +g9778 +tp13817 +a(g8 +g958 +tp13818 +a(g8 +g5046 +tp13819 +a(g8 +g2130 +tp13820 +a(g8 +g1003 +tp13821 +a(g8 +g5046 +tp13822 +a(g8 +g5046 +tp13823 +a(g8 +g1003 +tp13824 +a(g8 +g5046 +tp13825 +a(g8 +g11643 +tp13826 +a(g8 +g958 +tp13827 +a(g8 +g1687 +tp13828 +a(g8 +g11643 +tp13829 +a(g8 +g11643 +tp13830 +a(g8 +g11646 +tp13831 +a(g8 +g958 +tp13832 +a(g8 +g1800 +tp13833 +a(g8 +g1016 +tp13834 +a(g8 +g1483 +tp13835 +a(g8 +g958 +tp13836 +a(g8 +V*) +p13837 +tp13838 +a(g827 +V\u000a +p13839 +tp13840 +a(g8 +V(* +p13841 +tp13842 +a(g8 +V\u000a +p13843 +tp13844 +a(g8 +g958 +tp13845 +a(g8 +g958 +tp13846 +a(g8 +g1016 +tp13847 +a(g8 +g995 +tp13848 +a(g8 +g962 +tp13849 +a(g8 +g958 +tp13850 +a(g8 +g1062 +tp13851 +a(g8 +g966 +tp13852 +a(g8 +g1040 +tp13853 +a(g8 +g976 +tp13854 +a(g8 +g995 +tp13855 +a(g8 +g1074 +tp13856 +a(g8 +g958 +tp13857 +a(g8 +g1098 +tp13858 +a(g8 +g1452 +tp13859 +a(g8 +g1026 +tp13860 +a(g8 +g958 +tp13861 +a(g8 +g976 +tp13862 +a(g8 +g998 +tp13863 +a(g8 +g1021 +tp13864 +a(g8 +g1016 +tp13865 +a(g8 +g964 +tp13866 +a(g8 +g1098 +tp13867 +a(g8 +g2130 +tp13868 +a(g8 +g1110 +tp13869 +a(g8 +g1110 +tp13870 +a(g8 +g958 +tp13871 +a(g8 +g1119 +tp13872 +a(g8 +g958 +tp13873 +a(g8 +g1452 +tp13874 +a(g8 +V\u000a +p13875 +tp13876 +a(g8 +g958 +tp13877 +a(g8 +g958 +tp13878 +a(g8 +g958 +tp13879 +a(g8 +g958 +tp13880 +a(g8 +g1418 +tp13881 +a(g8 +g958 +tp13882 +a(g8 +g1062 +tp13883 +a(g8 +g966 +tp13884 +a(g8 +g1040 +tp13885 +a(g8 +g976 +tp13886 +a(g8 +g995 +tp13887 +a(g8 +g1074 +tp13888 +a(g8 +g958 +tp13889 +a(g8 +g1098 +tp13890 +a(g8 +g1452 +tp13891 +a(g8 +g966 +tp13892 +a(g8 +g1040 +tp13893 +a(g8 +g958 +tp13894 +a(g8 +g1098 +tp13895 +a(g8 +g983 +tp13896 +a(g8 +g1026 +tp13897 +a(g8 +g958 +tp13898 +a(g8 +g1081 +tp13899 +a(g8 +g1110 +tp13900 +a(g8 +g1026 +tp13901 +a(g8 +g958 +tp13902 +a(g8 +g1033 +tp13903 +a(g8 +g1110 +tp13904 +a(g8 +g958 +tp13905 +a(g8 +g1119 +tp13906 +a(g8 +g958 +tp13907 +a(g8 +g1452 +tp13908 +a(g8 +g966 +tp13909 +a(g8 +g1040 +tp13910 +a(g8 +g958 +tp13911 +a(g8 +g1098 +tp13912 +a(g8 +g983 +tp13913 +a(g8 +g1026 +tp13914 +a(g8 +g958 +tp13915 +a(g8 +g1902 +tp13916 +a(g8 +g1029 +tp13917 +a(g8 +g973 +tp13918 +a(g8 +g1000 +tp13919 +a(g8 +g958 +tp13920 +a(g8 +g1098 +tp13921 +a(g8 +g1081 +tp13922 +a(g8 +g1026 +tp13923 +a(g8 +g958 +tp13924 +a(g8 +g1033 +tp13925 +a(g8 +g1110 +tp13926 +a(g8 +g1110 +tp13927 +a(g8 +V\u000a +p13928 +tp13929 +a(g8 +g958 +tp13930 +a(g8 +g958 +tp13931 +a(g8 +V*) +p13932 +tp13933 +a(g827 +V\u000a +p13934 +tp13935 +a(g794 +Vfun +p13936 +tp13937 +a(g827 +g958 +tp13938 +a(g574 +VdecSub +p13939 +tp13940 +a(g827 +g958 +tp13941 +a(g706 +g1098 +tp13942 +a(g436 +VDec +p13943 +tp13944 +a(g827 +g958 +tp13945 +a(g706 +g1098 +tp13946 +a(g436 +g983 +tp13947 +a(g706 +g1026 +tp13948 +a(g827 +g958 +tp13949 +a(g436 +g1081 +tp13950 +a(g706 +g1110 +tp13951 +a(g706 +g1026 +tp13952 +a(g827 +g958 +tp13953 +a(g436 +g1033 +tp13954 +a(g706 +g1110 +tp13955 +a(g827 +g958 +tp13956 +a(g706 +g1119 +tp13957 +a(g827 +g958 +tp13958 +a(g436 +VDec +p13959 +tp13960 +a(g827 +g958 +tp13961 +a(g706 +g1098 +tp13962 +a(g436 +g983 +tp13963 +a(g706 +g1026 +tp13964 +a(g827 +g958 +tp13965 +a(g436 +VEClo +p13966 +tp13967 +a(g827 +g958 +tp13968 +a(g706 +g1098 +tp13969 +a(g436 +g1081 +tp13970 +a(g706 +g1026 +tp13971 +a(g827 +g958 +tp13972 +a(g436 +g1033 +tp13973 +a(g706 +g1110 +tp13974 +a(g706 +g1110 +tp13975 +a(g827 +V\u000a +p13976 +tp13977 +a(g706 +g1418 +tp13978 +a(g827 +g958 +tp13979 +a(g574 +VdecSub +p13980 +tp13981 +a(g827 +g958 +tp13982 +a(g706 +g1098 +tp13983 +a(g436 +VNDec +p13984 +tp13985 +a(g827 +g958 +tp13986 +a(g436 +g983 +tp13987 +a(g706 +g1026 +tp13988 +a(g827 +g958 +tp13989 +a(g436 +g1033 +tp13990 +a(g706 +g1110 +tp13991 +a(g827 +g958 +tp13992 +a(g706 +g1119 +tp13993 +a(g827 +g958 +tp13994 +a(g436 +VNDec +p13995 +tp13996 +a(g827 +g958 +tp13997 +a(g436 +g983 +tp13998 +a(g827 +V\u000a +p13999 +tp14000 +a(g706 +g1418 +tp14001 +a(g827 +g958 +tp14002 +a(g574 +VdecSub +p14003 +tp14004 +a(g827 +g958 +tp14005 +a(g706 +g1098 +tp14006 +a(g436 +VBDec +p14007 +tp14008 +a(g827 +g958 +tp14009 +a(g706 +g1098 +tp14010 +a(g436 +g962 +tp14011 +a(g706 +g1026 +tp14012 +a(g827 +g958 +tp14013 +a(g706 +g1098 +tp14014 +a(g436 +g973 +tp14015 +a(g706 +g1026 +tp14016 +a(g827 +g958 +tp14017 +a(g436 +g964 +tp14018 +a(g706 +g1110 +tp14019 +a(g706 +g1110 +tp14020 +a(g706 +g1026 +tp14021 +a(g827 +g958 +tp14022 +a(g436 +g1033 +tp14023 +a(g706 +g1110 +tp14024 +a(g827 +g958 +tp14025 +a(g706 +g1119 +tp14026 +a(g827 +g958 +tp14027 +a(g436 +VBDec +p14028 +tp14029 +a(g827 +g958 +tp14030 +a(g706 +g1098 +tp14031 +a(g436 +g962 +tp14032 +a(g706 +g1026 +tp14033 +a(g827 +g958 +tp14034 +a(g706 +g1098 +tp14035 +a(g436 +g973 +tp14036 +a(g706 +g1026 +tp14037 +a(g827 +g958 +tp14038 +a(g436 +Vcomp +p14039 +tp14040 +a(g827 +g958 +tp14041 +a(g706 +g1098 +tp14042 +a(g436 +g964 +tp14043 +a(g706 +g1026 +tp14044 +a(g827 +g958 +tp14045 +a(g436 +g1033 +tp14046 +a(g706 +g1110 +tp14047 +a(g706 +g1110 +tp14048 +a(g706 +g1110 +tp14049 +a(g827 +V\u000a\u000a +p14050 +tp14051 +a(g8 +V(* +p14052 +tp14053 +a(g8 +g958 +tp14054 +a(g8 +g1062 +tp14055 +a(g8 +g1000 +tp14056 +a(g8 +g964 +tp14057 +a(g8 +g1687 +tp14058 +a(g8 +g958 +tp14059 +a(g8 +g1098 +tp14060 +a(g8 +g1033 +tp14061 +a(g8 +g1110 +tp14062 +a(g8 +g958 +tp14063 +a(g8 +g1119 +tp14064 +a(g8 +g958 +tp14065 +a(g8 +g1033 +tp14066 +a(g8 +g1495 +tp14067 +a(g8 +V\u000a +p14068 +tp14069 +a(g8 +V\u000a +p14070 +tp14071 +a(g8 +g958 +tp14072 +a(g8 +g958 +tp14073 +a(g8 +g958 +tp14074 +a(g8 +g958 +tp14075 +a(g8 +g958 +tp14076 +a(g8 +g960 +tp14077 +a(g8 +g962 +tp14078 +a(g8 +g1506 +tp14079 +a(g8 +g971 +tp14080 +a(g8 +g968 +tp14081 +a(g8 +g1021 +tp14082 +a(g8 +g971 +tp14083 +a(g8 +g962 +tp14084 +a(g8 +g964 +tp14085 +a(g8 +g1003 +tp14086 +a(g8 +V\u000a +p14087 +tp14088 +a(g8 +g958 +tp14089 +a(g8 +g958 +tp14090 +a(g8 +g958 +tp14091 +a(g8 +g958 +tp14092 +a(g8 +g958 +tp14093 +a(g8 +g960 +tp14094 +a(g8 +g1016 +tp14095 +a(g8 +g958 +tp14096 +a(g8 +g958 +tp14097 +a(g8 +g958 +tp14098 +a(g8 +g1385 +tp14099 +a(g8 +g958 +tp14100 +a(g8 +g1418 +tp14101 +a(g8 +g1800 +tp14102 +a(g8 +g958 +tp14103 +a(g8 +g1033 +tp14104 +a(g8 +g958 +tp14105 +a(g8 +g1003 +tp14106 +a(g8 +g958 +tp14107 +a(g8 +g1385 +tp14108 +a(g8 +g1495 +tp14109 +a(g8 +V\u000a +p14110 +tp14111 +a(g8 +g958 +tp14112 +a(g8 +g958 +tp14113 +a(g8 +g958 +tp14114 +a(g8 +g958 +tp14115 +a(g8 +g958 +tp14116 +a(g8 +g964 +tp14117 +a(g8 +g998 +tp14118 +a(g8 +g966 +tp14119 +a(g8 +g962 +tp14120 +a(g8 +g958 +tp14121 +a(g8 +g1033 +tp14122 +a(g8 +g1495 +tp14123 +a(g8 +g958 +tp14124 +a(g8 +g1119 +tp14125 +a(g8 +g958 +tp14126 +a(g8 +g1687 +tp14127 +a(g8 +g1392 +tp14128 +a(g8 +g958 +tp14129 +a(g8 +g1098 +tp14130 +a(g8 +g1033 +tp14131 +a(g8 +g958 +tp14132 +a(g8 +g1000 +tp14133 +a(g8 +g958 +tp14134 +a(g8 +g1866 +tp14135 +a(g8 +g1110 +tp14136 +a(g8 +V\u000a +p14137 +tp14138 +a(g8 +g958 +tp14139 +a(g8 +g958 +tp14140 +a(g8 +g958 +tp14141 +a(g8 +g958 +tp14142 +a(g8 +g958 +tp14143 +a(g8 +g971 +tp14144 +a(g8 +g962 +tp14145 +a(g8 +g1062 +tp14146 +a(g8 +g958 +tp14147 +a(g8 +g958 +tp14148 +a(g8 +g1016 +tp14149 +a(g8 +g1000 +tp14150 +a(g8 +g968 +tp14151 +a(g8 +g958 +tp14152 +a(g8 +g971 +tp14153 +a(g8 +g973 +tp14154 +a(g8 +g973 +tp14155 +a(g8 +g958 +tp14156 +a(g8 +g1081 +tp14157 +a(g8 +g958 +tp14158 +a(g8 +g1033 +tp14159 +a(g8 +g1392 +tp14160 +a(g8 +g964 +tp14161 +a(g8 +g1392 +tp14162 +a(g8 +g958 +tp14163 +a(g8 +g958 +tp14164 +a(g8 +g1385 +tp14165 +a(g8 +g1495 +tp14166 +a(g8 +g958 +tp14167 +a(g8 +g1418 +tp14168 +a(g8 +g1800 +tp14169 +a(g8 +g958 +tp14170 +a(g8 +g1081 +tp14171 +a(g8 +g958 +tp14172 +a(g8 +g1003 +tp14173 +a(g8 +g958 +tp14174 +a(g8 +g1610 +tp14175 +a(g8 +V\u000a +p14176 +tp14177 +a(g8 +g958 +tp14178 +a(g8 +g958 +tp14179 +a(g8 +g958 +tp14180 +a(g8 +g958 +tp14181 +a(g8 +g958 +tp14182 +a(g8 +g958 +tp14183 +a(g8 +g958 +tp14184 +a(g8 +g958 +tp14185 +a(g8 +g958 +tp14186 +a(g8 +g958 +tp14187 +a(g8 +g1385 +tp14188 +a(g8 +g1026 +tp14189 +a(g8 +g958 +tp14190 +a(g8 +g1081 +tp14191 +a(g8 +g3236 +tp14192 +a(g8 +g1033 +tp14193 +a(g8 +g3239 +tp14194 +a(g8 +g958 +tp14195 +a(g8 +g1418 +tp14196 +a(g8 +g1800 +tp14197 +a(g8 +g958 +tp14198 +a(g8 +g1033 +tp14199 +a(g8 +g1495 +tp14200 +a(g8 +g958 +tp14201 +a(g8 +g1003 +tp14202 +a(g8 +g958 +tp14203 +a(g8 +g1385 +tp14204 +a(g8 +g1495 +tp14205 +a(g8 +g1026 +tp14206 +a(g8 +g958 +tp14207 +a(g8 +g1081 +tp14208 +a(g8 +g958 +tp14209 +a(g8 +V\u000a +p14210 +tp14211 +a(g8 +V\u000a +p14212 +tp14213 +a(g8 +g958 +tp14214 +a(g8 +g958 +tp14215 +a(g8 +g958 +tp14216 +a(g8 +g958 +tp14217 +a(g8 +g958 +tp14218 +a(g8 +g960 +tp14219 +a(g8 +g1016 +tp14220 +a(g8 +g958 +tp14221 +a(g8 +g1033 +tp14222 +a(g8 +g958 +tp14223 +a(g8 +g1483 +tp14224 +a(g8 +g971 +tp14225 +a(g8 +g964 +tp14226 +a(g8 +g1033 +tp14227 +a(g8 +g995 +tp14228 +a(g8 +g1074 +tp14229 +a(g8 +g958 +tp14230 +a(g8 +g964 +tp14231 +a(g8 +g998 +tp14232 +a(g8 +g966 +tp14233 +a(g8 +g962 +tp14234 +a(g8 +g958 +tp14235 +a(g8 +g1033 +tp14236 +a(g8 +g1495 +tp14237 +a(g8 +g958 +tp14238 +a(g8 +g1483 +tp14239 +a(g8 +g971 +tp14240 +a(g8 +g964 +tp14241 +a(g8 +g1033 +tp14242 +a(g8 +g995 +tp14243 +a(g8 +g1074 +tp14244 +a(g8 +V\u000a +p14245 +tp14246 +a(g8 +g958 +tp14247 +a(g8 +g958 +tp14248 +a(g8 +V*) +p14249 +tp14250 +a(g827 +V\u000a +p14251 +tp14252 +a(g8 +V(* +p14253 +tp14254 +a(g8 +g958 +tp14255 +a(g8 +g1016 +tp14256 +a(g8 +g1021 +tp14257 +a(g8 +g968 +tp14258 +a(g8 +g1033 +tp14259 +a(g8 +g964 +tp14260 +a(g8 +g958 +tp14261 +a(g8 +g973 +tp14262 +a(g8 +g1021 +tp14263 +a(g8 +g962 +tp14264 +a(g8 +g966 +tp14265 +a(g8 +g958 +tp14266 +a(g8 +g1021 +tp14267 +a(g8 +g1033 +tp14268 +a(g8 +g958 +tp14269 +a(g8 +g971 +tp14270 +a(g8 +g962 +tp14271 +a(g8 +g958 +tp14272 +a(g8 +g1000 +tp14273 +a(g8 +g1483 +tp14274 +a(g8 +g964 +tp14275 +a(g8 +g1021 +tp14276 +a(g8 +g1046 +tp14277 +a(g8 +g1021 +tp14278 +a(g8 +g9962 +tp14279 +a(g8 +g971 +tp14280 +a(g8 +g964 +tp14281 +a(g8 +g1021 +tp14282 +a(g8 +g1000 +tp14283 +a(g8 +g962 +tp14284 +a(g8 +g958 +tp14285 +a(g8 +V*) +p14286 +tp14287 +a(g827 +V\u000a +p14288 +tp14289 +a(g8 +V(* +p14290 +tp14291 +a(g8 +g958 +tp14292 +a(g8 +g968 +tp14293 +a(g8 +g1000 +tp14294 +a(g8 +g995 +tp14295 +a(g8 +g1024 +tp14296 +a(g8 +g998 +tp14297 +a(g8 +g973 +tp14298 +a(g8 +g978 +tp14299 +a(g8 +g958 +tp14300 +a(g8 +g1687 +tp14301 +a(g8 +g10449 +tp14302 +a(g8 +g6092 +tp14303 +a(g8 +g958 +tp14304 +a(g8 +g1000 +tp14305 +a(g8 +g962 +tp14306 +a(g8 +g958 +tp14307 +a(g8 +g1033 +tp14308 +a(g8 +g964 +tp14309 +a(g8 +g971 +tp14310 +a(g8 +g962 +tp14311 +a(g8 +g1062 +tp14312 +a(g8 +g971 +tp14313 +a(g8 +g968 +tp14314 +a(g8 +g1062 +tp14315 +a(g8 +g958 +tp14316 +a(g8 +g1033 +tp14317 +a(g8 +g995 +tp14318 +a(g8 +g1021 +tp14319 +a(g8 +g964 +tp14320 +a(g8 +g966 +tp14321 +a(g8 +g958 +tp14322 +a(g8 +g1016 +tp14323 +a(g8 +g1000 +tp14324 +a(g8 +g968 +tp14325 +a(g8 +g958 +tp14326 +a(g8 +g2794 +tp14327 +a(g8 +g1704 +tp14328 +a(g8 +g966 +tp14329 +a(g8 +g973 +tp14330 +a(g8 +g1016 +tp14331 +a(g8 +g958 +tp14332 +a(g8 +g1687 +tp14333 +a(g8 +g1392 +tp14334 +a(g8 +g1687 +tp14335 +a(g8 +g958 +tp14336 +a(g8 +V*) +p14337 +tp14338 +a(g827 +V\u000a +p14339 +tp14340 +a(g8 +V(* +p14341 +tp14342 +a(g8 +g958 +tp14343 +a(g8 +g976 +tp14344 +a(g8 +g971 +tp14345 +a(g8 +g964 +tp14346 +a(g8 +g958 +tp14347 +a(g8 +g1006 +tp14348 +a(g8 +g966 +tp14349 +a(g8 +g1074 +tp14350 +a(g8 +g958 +tp14351 +a(g8 +g1687 +tp14352 +a(g8 +g9778 +tp14353 +a(g8 +g958 +tp14354 +a(g8 +g1687 +tp14355 +a(g8 +g2130 +tp14356 +a(g8 +g1003 +tp14357 +a(g8 +g1687 +tp14358 +a(g8 +g10444 +tp14359 +a(g8 +g1003 +tp14360 +a(g8 +g1687 +tp14361 +a(g8 +g10444 +tp14362 +a(g8 +g958 +tp14363 +a(g8 +g1687 +tp14364 +a(g8 +g11643 +tp14365 +a(g8 +g11643 +tp14366 +a(g8 +g11646 +tp14367 +a(g8 +g958 +tp14368 +a(g8 +g1800 +tp14369 +a(g8 +g1016 +tp14370 +a(g8 +g1483 +tp14371 +a(g8 +g958 +tp14372 +a(g8 +V*) +p14373 +tp14374 +a(g827 +V\u000a +p14375 +tp14376 +a(g794 +Vfun +p14377 +tp14378 +a(g827 +g958 +tp14379 +a(g574 +Vdot1 +p14380 +tp14381 +a(g827 +g958 +tp14382 +a(g706 +g1098 +tp14383 +a(g436 +g1033 +tp14384 +a(g827 +g958 +tp14385 +a(g794 +Vas +p14386 +tp14387 +a(g827 +g958 +tp14388 +a(g436 +VShift +p14389 +tp14390 +a(g827 +g958 +tp14391 +a(g706 +g1098 +tp14392 +a(g37 +g2130 +tp14393 +a(g706 +g1110 +tp14394 +a(g706 +g1110 +tp14395 +a(g827 +g958 +tp14396 +a(g706 +g1119 +tp14397 +a(g827 +g958 +tp14398 +a(g436 +g1033 +tp14399 +a(g827 +V\u000a +p14400 +tp14401 +a(g706 +g1418 +tp14402 +a(g827 +g958 +tp14403 +a(g574 +Vdot1 +p14404 +tp14405 +a(g827 +g958 +tp14406 +a(g436 +g1033 +tp14407 +a(g827 +g958 +tp14408 +a(g706 +g1119 +tp14409 +a(g827 +g958 +tp14410 +a(g436 +VDot +p14411 +tp14412 +a(g827 +g958 +tp14413 +a(g706 +g1098 +tp14414 +a(g436 +VIdx +p14415 +tp14416 +a(g706 +g1098 +tp14417 +a(g37 +g1687 +tp14418 +a(g706 +g1110 +tp14419 +a(g706 +g1026 +tp14420 +a(g827 +g958 +tp14421 +a(g436 +Vcomp +p14422 +tp14423 +a(g706 +g1098 +tp14424 +a(g436 +g1033 +tp14425 +a(g706 +g1026 +tp14426 +a(g827 +g958 +tp14427 +a(g436 +Vshift +p14428 +tp14429 +a(g706 +g1110 +tp14430 +a(g706 +g1110 +tp14431 +a(g827 +V\u000a\u000a +p14432 +tp14433 +a(g8 +V(* +p14434 +tp14435 +a(g8 +g958 +tp14436 +a(g8 +g1021 +tp14437 +a(g8 +g962 +tp14438 +a(g8 +g1506 +tp14439 +a(g8 +g1452 +tp14440 +a(g8 +g1000 +tp14441 +a(g8 +g964 +tp14442 +a(g8 +g1687 +tp14443 +a(g8 +g958 +tp14444 +a(g8 +g1098 +tp14445 +a(g8 +g1033 +tp14446 +a(g8 +g1110 +tp14447 +a(g8 +g958 +tp14448 +a(g8 +g1119 +tp14449 +a(g8 +g958 +tp14450 +a(g8 +g1033 +tp14451 +a(g8 +g1495 +tp14452 +a(g8 +V\u000a +p14453 +tp14454 +a(g8 +g958 +tp14455 +a(g8 +g958 +tp14456 +a(g8 +g958 +tp14457 +a(g8 +g958 +tp14458 +a(g8 +g958 +tp14459 +a(g8 +g1021 +tp14460 +a(g8 +g962 +tp14461 +a(g8 +g1506 +tp14462 +a(g8 +g1452 +tp14463 +a(g8 +g1000 +tp14464 +a(g8 +g964 +tp14465 +a(g8 +g1687 +tp14466 +a(g8 +g958 +tp14467 +a(g8 +g1098 +tp14468 +a(g8 +g1687 +tp14469 +a(g8 +g1392 +tp14470 +a(g8 +g958 +tp14471 +a(g8 +g1033 +tp14472 +a(g8 +g1495 +tp14473 +a(g8 +g958 +tp14474 +a(g8 +g1000 +tp14475 +a(g8 +g958 +tp14476 +a(g8 +g1866 +tp14477 +a(g8 +g1110 +tp14478 +a(g8 +g958 +tp14479 +a(g8 +g1119 +tp14480 +a(g8 +g958 +tp14481 +a(g8 +g1033 +tp14482 +a(g8 +g1495 +tp14483 +a(g8 +V\u000a +p14484 +tp14485 +a(g8 +V\u000a +p14486 +tp14487 +a(g8 +g958 +tp14488 +a(g8 +g958 +tp14489 +a(g8 +g958 +tp14490 +a(g8 +g958 +tp14491 +a(g8 +g958 +tp14492 +a(g8 +g960 +tp14493 +a(g8 +g962 +tp14494 +a(g8 +g1506 +tp14495 +a(g8 +g971 +tp14496 +a(g8 +g968 +tp14497 +a(g8 +g1021 +tp14498 +a(g8 +g971 +tp14499 +a(g8 +g962 +tp14500 +a(g8 +g964 +tp14501 +a(g8 +g1003 +tp14502 +a(g8 +V\u000a +p14503 +tp14504 +a(g8 +g958 +tp14505 +a(g8 +g958 +tp14506 +a(g8 +g958 +tp14507 +a(g8 +g958 +tp14508 +a(g8 +g958 +tp14509 +a(g8 +g1033 +tp14510 +a(g8 +g958 +tp14511 +a(g8 +g1119 +tp14512 +a(g8 +g958 +tp14513 +a(g8 +g1687 +tp14514 +a(g8 +g958 +tp14515 +a(g8 +g1392 +tp14516 +a(g8 +g958 +tp14517 +a(g8 +g1033 +tp14518 +a(g8 +g1495 +tp14519 +a(g8 +g958 +tp14520 +a(g8 +g1000 +tp14521 +a(g8 +g958 +tp14522 +a(g8 +g1866 +tp14523 +a(g8 +V\u000a +p14524 +tp14525 +a(g8 +g958 +tp14526 +a(g8 +g958 +tp14527 +a(g8 +g958 +tp14528 +a(g8 +g958 +tp14529 +a(g8 +g958 +tp14530 +a(g8 +g960 +tp14531 +a(g8 +g1016 +tp14532 +a(g8 +g958 +tp14533 +a(g8 +g1385 +tp14534 +a(g8 +g1495 +tp14535 +a(g8 +g958 +tp14536 +a(g8 +g1418 +tp14537 +a(g8 +g1800 +tp14538 +a(g8 +g958 +tp14539 +a(g8 +g1033 +tp14540 +a(g8 +g1495 +tp14541 +a(g8 +g958 +tp14542 +a(g8 +g1003 +tp14543 +a(g8 +g958 +tp14544 +a(g8 +g1385 +tp14545 +a(g8 +V\u000a +p14546 +tp14547 +a(g8 +g958 +tp14548 +a(g8 +g958 +tp14549 +a(g8 +g958 +tp14550 +a(g8 +g958 +tp14551 +a(g8 +g958 +tp14552 +a(g8 +g1098 +tp14553 +a(g8 +g1033 +tp14554 +a(g8 +g1000 +tp14555 +a(g8 +g958 +tp14556 +a(g8 +g1385 +tp14557 +a(g8 +g1495 +tp14558 +a(g8 +g1026 +tp14559 +a(g8 +g1081 +tp14560 +a(g8 +g3236 +tp14561 +a(g8 +g1033 +tp14562 +a(g8 +g3239 +tp14563 +a(g8 +g958 +tp14564 +a(g8 +g1418 +tp14565 +a(g8 +g1800 +tp14566 +a(g8 +g958 +tp14567 +a(g8 +g1033 +tp14568 +a(g8 +g958 +tp14569 +a(g8 +g1003 +tp14570 +a(g8 +g958 +tp14571 +a(g8 +g1385 +tp14572 +a(g8 +g1026 +tp14573 +a(g8 +g1081 +tp14574 +a(g8 +g1110 +tp14575 +a(g8 +V\u000a +p14576 +tp14577 +a(g8 +g958 +tp14578 +a(g8 +g958 +tp14579 +a(g8 +V*) +p14580 +tp14581 +a(g827 +V\u000a +p14582 +tp14583 +a(g794 +Vfun +p14584 +tp14585 +a(g827 +g958 +tp14586 +a(g574 +VinvDot1 +p14587 +tp14588 +a(g827 +g958 +tp14589 +a(g706 +g1098 +tp14590 +a(g436 +g1033 +tp14591 +a(g706 +g1110 +tp14592 +a(g827 +g958 +tp14593 +a(g706 +g1119 +tp14594 +a(g827 +g958 +tp14595 +a(g436 +Vcomp +p14596 +tp14597 +a(g827 +g958 +tp14598 +a(g706 +g1098 +tp14599 +a(g436 +Vcomp +p14600 +tp14601 +a(g706 +g1098 +tp14602 +a(g436 +Vshift +p14603 +tp14604 +a(g706 +g1026 +tp14605 +a(g827 +g958 +tp14606 +a(g436 +g1033 +tp14607 +a(g706 +g1110 +tp14608 +a(g706 +g1026 +tp14609 +a(g827 +g958 +tp14610 +a(g436 +VinvShift +p14611 +tp14612 +a(g706 +g1110 +tp14613 +a(g827 +V\u000a\u000a\u000a +p14614 +tp14615 +a(g8 +V(* +p14616 +tp14617 +a(g8 +g958 +tp14618 +a(g8 +g1452 +tp14619 +a(g8 +g966 +tp14620 +a(g8 +g1040 +tp14621 +a(g8 +g973 +tp14622 +a(g8 +g971 +tp14623 +a(g8 +g968 +tp14624 +a(g8 +g971 +tp14625 +a(g8 +g964 +tp14626 +a(g8 +g1021 +tp14627 +a(g8 +g1000 +tp14628 +a(g8 +g962 +tp14629 +a(g8 +g958 +tp14630 +a(g8 +g1029 +tp14631 +a(g8 +g1000 +tp14632 +a(g8 +g962 +tp14633 +a(g8 +g964 +tp14634 +a(g8 +g966 +tp14635 +a(g8 +g983 +tp14636 +a(g8 +g964 +tp14637 +a(g8 +g1033 +tp14638 +a(g8 +g958 +tp14639 +a(g8 +V*) +p14640 +tp14641 +a(g827 +V\u000a\u000a +p14642 +tp14643 +a(g8 +V(* +p14644 +tp14645 +a(g8 +g958 +tp14646 +a(g8 +g1040 +tp14647 +a(g8 +g964 +tp14648 +a(g8 +g983 +tp14649 +a(g8 +g1452 +tp14650 +a(g8 +g966 +tp14651 +a(g8 +g1040 +tp14652 +a(g8 +g958 +tp14653 +a(g8 +g1098 +tp14654 +a(g8 +g1385 +tp14655 +a(g8 +g1026 +tp14656 +a(g8 +g958 +tp14657 +a(g8 +g1011 +tp14658 +a(g8 +g1110 +tp14659 +a(g8 +g958 +tp14660 +a(g8 +g1119 +tp14661 +a(g8 +g958 +tp14662 +a(g8 +g983 +tp14663 +a(g8 +g1003 +tp14664 +a(g8 +g1081 +tp14665 +a(g8 +V\u000a +p14666 +tp14667 +a(g8 +g958 +tp14668 +a(g8 +g958 +tp14669 +a(g8 +g958 +tp14670 +a(g8 +g958 +tp14671 +a(g8 +g958 +tp14672 +a(g8 +g960 +tp14673 +a(g8 +g962 +tp14674 +a(g8 +g1506 +tp14675 +a(g8 +g971 +tp14676 +a(g8 +g968 +tp14677 +a(g8 +g1021 +tp14678 +a(g8 +g971 +tp14679 +a(g8 +g962 +tp14680 +a(g8 +g964 +tp14681 +a(g8 +g1003 +tp14682 +a(g8 +g958 +tp14683 +a(g8 +V\u000a +p14684 +tp14685 +a(g8 +g958 +tp14686 +a(g8 +g958 +tp14687 +a(g8 +g958 +tp14688 +a(g8 +g958 +tp14689 +a(g8 +g958 +tp14690 +a(g8 +g960 +tp14691 +a(g8 +g1016 +tp14692 +a(g8 +g958 +tp14693 +a(g8 +g958 +tp14694 +a(g8 +g958 +tp14695 +a(g8 +g958 +tp14696 +a(g8 +g958 +tp14697 +a(g8 +g958 +tp14698 +a(g8 +g1418 +tp14699 +a(g8 +g1385 +tp14700 +a(g8 +g1418 +tp14701 +a(g8 +g958 +tp14702 +a(g8 +g1491 +tp14703 +a(g8 +g1119 +tp14704 +a(g8 +g958 +tp14705 +a(g8 +g1011 +tp14706 +a(g8 +g1026 +tp14707 +a(g8 +g958 +tp14708 +a(g8 +g1704 +tp14709 +a(g8 +g998 +tp14710 +a(g8 +g966 +tp14711 +a(g8 +g968 +tp14712 +a(g8 +g966 +tp14713 +a(g8 +g958 +tp14714 +a(g8 +g1418 +tp14715 +a(g8 +g1385 +tp14716 +a(g8 +g1418 +tp14717 +a(g8 +g958 +tp14718 +a(g8 +g1021 +tp14719 +a(g8 +g1033 +tp14720 +a(g8 +g958 +tp14721 +a(g8 +g1033 +tp14722 +a(g8 +g1021 +tp14723 +a(g8 +g9962 +tp14724 +a(g8 +g966 +tp14725 +a(g8 +g958 +tp14726 +a(g8 +g1000 +tp14727 +a(g8 +g1016 +tp14728 +a(g8 +g958 +tp14729 +a(g8 +g1385 +tp14730 +a(g8 +g1026 +tp14731 +a(g8 +V\u000a +p14732 +tp14733 +a(g8 +g958 +tp14734 +a(g8 +g958 +tp14735 +a(g8 +g958 +tp14736 +a(g8 +g958 +tp14737 +a(g8 +g958 +tp14738 +a(g8 +g964 +tp14739 +a(g8 +g998 +tp14740 +a(g8 +g966 +tp14741 +a(g8 +g962 +tp14742 +a(g8 +g958 +tp14743 +a(g8 +g958 +tp14744 +a(g8 +g958 +tp14745 +a(g8 +g958 +tp14746 +a(g8 +g1385 +tp14747 +a(g8 +g958 +tp14748 +a(g8 +g1418 +tp14749 +a(g8 +g1800 +tp14750 +a(g8 +g958 +tp14751 +a(g8 +g1011 +tp14752 +a(g8 +g958 +tp14753 +a(g8 +g1003 +tp14754 +a(g8 +g958 +tp14755 +a(g8 +g1081 +tp14756 +a(g8 +g958 +tp14757 +a(g8 +g958 +tp14758 +a(g8 +g971 +tp14759 +a(g8 +g962 +tp14760 +a(g8 +g1062 +tp14761 +a(g8 +g958 +tp14762 +a(g8 +g958 +tp14763 +a(g8 +g1385 +tp14764 +a(g8 +g958 +tp14765 +a(g8 +g1418 +tp14766 +a(g8 +g1800 +tp14767 +a(g8 +g958 +tp14768 +a(g8 +g1081 +tp14769 +a(g8 +g958 +tp14770 +a(g8 +g1003 +tp14771 +a(g8 +g958 +tp14772 +a(g8 +g1610 +tp14773 +a(g8 +V\u000a +p14774 +tp14775 +a(g8 +g958 +tp14776 +a(g8 +g958 +tp14777 +a(g8 +V*) +p14778 +tp14779 +a(g827 +V\u000a +p14780 +tp14781 +a(g794 +Vfun +p14782 +tp14783 +a(g827 +g958 +tp14784 +a(g574 +VctxDec +p14785 +tp14786 +a(g827 +g958 +tp14787 +a(g706 +g1098 +tp14788 +a(g436 +g1385 +tp14789 +a(g706 +g1026 +tp14790 +a(g827 +g958 +tp14791 +a(g436 +g1011 +tp14792 +a(g706 +g1110 +tp14793 +a(g827 +g958 +tp14794 +a(g706 +g1119 +tp14795 +a(g827 +V\u000a +p14796 +tp14797 +a(g794 +Vlet +p14798 +tp14799 +a(g827 +g958 +tp14800 +a(g8 +V(* +p14801 +tp14802 +a(g8 +g958 +tp14803 +a(g8 +g1040 +tp14804 +a(g8 +g964 +tp14805 +a(g8 +g983 +tp14806 +a(g8 +g1452 +tp14807 +a(g8 +g966 +tp14808 +a(g8 +g1040 +tp14809 +a(g8 +g1495 +tp14810 +a(g8 +g958 +tp14811 +a(g8 +g1098 +tp14812 +a(g8 +g1385 +tp14813 +a(g8 +g1495 +tp14814 +a(g8 +g1495 +tp14815 +a(g8 +g1026 +tp14816 +a(g8 +g958 +tp14817 +a(g8 +g1011 +tp14818 +a(g8 +g1495 +tp14819 +a(g8 +g1110 +tp14820 +a(g8 +g958 +tp14821 +a(g8 +g1119 +tp14822 +a(g8 +g958 +tp14823 +a(g8 +g983 +tp14824 +a(g8 +g1003 +tp14825 +a(g8 +g1081 +tp14826 +a(g8 +V\u000a +p14827 +tp14828 +a(g8 +g2241 +tp14829 +a(g8 +g958 +tp14830 +a(g8 +g958 +tp14831 +a(g8 +g958 +tp14832 +a(g8 +g958 +tp14833 +a(g8 +g958 +tp14834 +a(g8 +g1704 +tp14835 +a(g8 +g998 +tp14836 +a(g8 +g966 +tp14837 +a(g8 +g968 +tp14838 +a(g8 +g966 +tp14839 +a(g8 +g958 +tp14840 +a(g8 +g1385 +tp14841 +a(g8 +g958 +tp14842 +a(g8 +g1418 +tp14843 +a(g8 +g1800 +tp14844 +a(g8 +g958 +tp14845 +a(g8 +g1866 +tp14846 +a(g8 +g1098 +tp14847 +a(g8 +g1011 +tp14848 +a(g8 +g1800 +tp14849 +a(g8 +g1011 +tp14850 +a(g8 +g1495 +tp14851 +a(g8 +g1110 +tp14852 +a(g8 +g958 +tp14853 +a(g8 +g1003 +tp14854 +a(g8 +g958 +tp14855 +a(g8 +g1385 +tp14856 +a(g8 +g1495 +tp14857 +a(g8 +g1495 +tp14858 +a(g8 +g1026 +tp14859 +a(g8 +g958 +tp14860 +a(g8 +g1687 +tp14861 +a(g8 +g958 +tp14862 +a(g8 +g1690 +tp14863 +a(g8 +g1119 +tp14864 +a(g8 +g958 +tp14865 +a(g8 +g1011 +tp14866 +a(g8 +g1495 +tp14867 +a(g8 +g958 +tp14868 +a(g8 +g1690 +tp14869 +a(g8 +g1119 +tp14870 +a(g8 +g958 +tp14871 +a(g8 +g1011 +tp14872 +a(g8 +V\u000a +p14873 +tp14874 +a(g8 +g958 +tp14875 +a(g8 +g958 +tp14876 +a(g8 +g958 +tp14877 +a(g8 +g958 +tp14878 +a(g8 +g958 +tp14879 +a(g8 +g958 +tp14880 +a(g8 +g958 +tp14881 +a(g8 +g958 +tp14882 +a(g8 +g958 +tp14883 +a(g8 +g958 +tp14884 +a(g8 +g958 +tp14885 +a(g8 +V*) +p14886 +tp14887 +a(g827 +V\u000a +p14888 +tp14889 +a(g794 +Vfun +p14890 +tp14891 +a(g827 +g958 +tp14892 +a(g574 +VctxDec' +p14893 +tp14894 +a(g827 +g958 +tp14895 +a(g706 +g1098 +tp14896 +a(g436 +VDecl +p14897 +tp14898 +a(g827 +g958 +tp14899 +a(g706 +g1098 +tp14900 +a(g436 +VG' +p14901 +tp14902 +a(g706 +g1026 +tp14903 +a(g827 +g958 +tp14904 +a(g436 +VDec +p14905 +tp14906 +a(g827 +g958 +tp14907 +a(g706 +g1098 +tp14908 +a(g436 +g983 +tp14909 +a(g706 +g1026 +tp14910 +a(g827 +g958 +tp14911 +a(g436 +VV' +p14912 +tp14913 +a(g706 +g1110 +tp14914 +a(g706 +g1110 +tp14915 +a(g706 +g1026 +tp14916 +a(g827 +g958 +tp14917 +a(g37 +g1687 +tp14918 +a(g706 +g1110 +tp14919 +a(g827 +g958 +tp14920 +a(g706 +g1119 +tp14921 +a(g827 +g958 +tp14922 +a(g436 +VDec +p14923 +tp14924 +a(g827 +g958 +tp14925 +a(g706 +g1098 +tp14926 +a(g436 +g983 +tp14927 +a(g706 +g1026 +tp14928 +a(g827 +g958 +tp14929 +a(g436 +VEClo +p14930 +tp14931 +a(g827 +g958 +tp14932 +a(g706 +g1098 +tp14933 +a(g436 +VV' +p14934 +tp14935 +a(g706 +g1026 +tp14936 +a(g827 +g958 +tp14937 +a(g436 +VShift +p14938 +tp14939 +a(g827 +g958 +tp14940 +a(g706 +g1098 +tp14941 +a(g436 +g1011 +tp14942 +a(g706 +g1110 +tp14943 +a(g706 +g1110 +tp14944 +a(g706 +g1110 +tp14945 +a(g827 +V\u000a +p14946 +tp14947 +a(g706 +g1418 +tp14948 +a(g827 +g958 +tp14949 +a(g574 +VctxDec' +p14950 +tp14951 +a(g827 +g958 +tp14952 +a(g706 +g1098 +tp14953 +a(g436 +VDecl +p14954 +tp14955 +a(g827 +g958 +tp14956 +a(g706 +g1098 +tp14957 +a(g436 +VG' +p14958 +tp14959 +a(g706 +g1026 +tp14960 +a(g827 +g958 +tp14961 +a(g436 +VBDec +p14962 +tp14963 +a(g827 +g958 +tp14964 +a(g706 +g1098 +tp14965 +a(g436 +g962 +tp14966 +a(g706 +g1026 +tp14967 +a(g827 +g958 +tp14968 +a(g706 +g1098 +tp14969 +a(g436 +g973 +tp14970 +a(g706 +g1026 +tp14971 +a(g827 +g958 +tp14972 +a(g436 +g1033 +tp14973 +a(g706 +g1110 +tp14974 +a(g706 +g1110 +tp14975 +a(g706 +g1110 +tp14976 +a(g706 +g1026 +tp14977 +a(g827 +g958 +tp14978 +a(g37 +g1687 +tp14979 +a(g706 +g1110 +tp14980 +a(g827 +g958 +tp14981 +a(g706 +g1119 +tp14982 +a(g827 +g958 +tp14983 +a(g436 +VBDec +p14984 +tp14985 +a(g827 +g958 +tp14986 +a(g706 +g1098 +tp14987 +a(g436 +g962 +tp14988 +a(g706 +g1026 +tp14989 +a(g827 +g958 +tp14990 +a(g706 +g1098 +tp14991 +a(g436 +g973 +tp14992 +a(g706 +g1026 +tp14993 +a(g827 +g958 +tp14994 +a(g436 +Vcomp +p14995 +tp14996 +a(g827 +g958 +tp14997 +a(g706 +g1098 +tp14998 +a(g436 +g1033 +tp14999 +a(g706 +g1026 +tp15000 +a(g827 +g958 +tp15001 +a(g436 +VShift +p15002 +tp15003 +a(g827 +g958 +tp15004 +a(g706 +g1098 +tp15005 +a(g436 +g1011 +tp15006 +a(g706 +g1110 +tp15007 +a(g706 +g1110 +tp15008 +a(g706 +g1110 +tp15009 +a(g706 +g1110 +tp15010 +a(g827 +V\u000a +p15011 +tp15012 +a(g706 +g1418 +tp15013 +a(g827 +g958 +tp15014 +a(g574 +VctxDec' +p15015 +tp15016 +a(g827 +g958 +tp15017 +a(g706 +g1098 +tp15018 +a(g436 +VDecl +p15019 +tp15020 +a(g827 +g958 +tp15021 +a(g706 +g1098 +tp15022 +a(g436 +VG' +p15023 +tp15024 +a(g706 +g1026 +tp15025 +a(g827 +g958 +tp15026 +a(g706 +g1779 +tp15027 +a(g706 +g1110 +tp15028 +a(g706 +g1026 +tp15029 +a(g827 +g958 +tp15030 +a(g436 +Vk' +p15031 +tp15032 +a(g706 +g1110 +tp15033 +a(g827 +g958 +tp15034 +a(g706 +g1119 +tp15035 +a(g827 +g958 +tp15036 +a(g436 +VctxDec' +p15037 +tp15038 +a(g827 +g958 +tp15039 +a(g706 +g1098 +tp15040 +a(g436 +VG' +p15041 +tp15042 +a(g706 +g1026 +tp15043 +a(g827 +g958 +tp15044 +a(g436 +Vk' +p15045 +tp15046 +a(g436 +g1800 +tp15047 +a(g37 +g1687 +tp15048 +a(g706 +g1110 +tp15049 +a(g827 +V\u000a +p15050 +tp15051 +a(g8 +V(* +p15052 +tp15053 +a(g8 +g958 +tp15054 +a(g8 +g1040 +tp15055 +a(g8 +g964 +tp15056 +a(g8 +g983 +tp15057 +a(g8 +g1452 +tp15058 +a(g8 +g966 +tp15059 +a(g8 +g1040 +tp15060 +a(g8 +g1495 +tp15061 +a(g8 +g958 +tp15062 +a(g8 +g1098 +tp15063 +a(g8 +g1825 +tp15064 +a(g8 +g995 +tp15065 +a(g8 +g973 +tp15066 +a(g8 +g973 +tp15067 +a(g8 +g1026 +tp15068 +a(g8 +g958 +tp15069 +a(g8 +g1011 +tp15070 +a(g8 +g1495 +tp15071 +a(g8 +g1110 +tp15072 +a(g8 +g958 +tp15073 +a(g8 +g958 +tp15074 +a(g8 +g1033 +tp15075 +a(g8 +g998 +tp15076 +a(g8 +g1000 +tp15077 +a(g8 +g995 +tp15078 +a(g8 +g973 +tp15079 +a(g8 +g1062 +tp15080 +a(g8 +g958 +tp15081 +a(g8 +g962 +tp15082 +a(g8 +g1000 +tp15083 +a(g8 +g964 +tp15084 +a(g8 +g958 +tp15085 +a(g8 +g1000 +tp15086 +a(g8 +g1040 +tp15087 +a(g8 +g1040 +tp15088 +a(g8 +g995 +tp15089 +a(g8 +g968 +tp15090 +a(g8 +g958 +tp15091 +a(g8 +g1074 +tp15092 +a(g8 +g978 +tp15093 +a(g8 +g958 +tp15094 +a(g8 +g1021 +tp15095 +a(g8 +g962 +tp15096 +a(g8 +g1506 +tp15097 +a(g8 +g971 +tp15098 +a(g8 +g968 +tp15099 +a(g8 +g1021 +tp15100 +a(g8 +g971 +tp15101 +a(g8 +g962 +tp15102 +a(g8 +g964 +tp15103 +a(g8 +g958 +tp15104 +a(g8 +V*) +p15105 +tp15106 +a(g827 +V\u000a +p15107 +tp15108 +a(g794 +Vin +p15109 +tp15110 +a(g827 +V\u000a +p15111 +tp15112 +a(g436 +VctxDec' +p15113 +tp15114 +a(g827 +g958 +tp15115 +a(g706 +g1098 +tp15116 +a(g436 +g1385 +tp15117 +a(g706 +g1026 +tp15118 +a(g827 +g958 +tp15119 +a(g436 +g1011 +tp15120 +a(g706 +g1110 +tp15121 +a(g827 +V\u000a +p15122 +tp15123 +a(g794 +Vend +p15124 +tp15125 +a(g827 +V\u000a\u000a +p15126 +tp15127 +a(g8 +V(* +p15128 +tp15129 +a(g8 +g958 +tp15130 +a(g8 +g1074 +tp15131 +a(g8 +g973 +tp15132 +a(g8 +g1000 +tp15133 +a(g8 +g1040 +tp15134 +a(g8 +g1011 +tp15135 +a(g8 +g1452 +tp15136 +a(g8 +g966 +tp15137 +a(g8 +g1040 +tp15138 +a(g8 +g958 +tp15139 +a(g8 +g1098 +tp15140 +a(g8 +g1385 +tp15141 +a(g8 +g1026 +tp15142 +a(g8 +g958 +tp15143 +a(g8 +g1506 +tp15144 +a(g8 +g1026 +tp15145 +a(g8 +g958 +tp15146 +a(g8 +g1021 +tp15147 +a(g8 +g1110 +tp15148 +a(g8 +g958 +tp15149 +a(g8 +g1119 +tp15150 +a(g8 +g958 +tp15151 +a(g8 +g1081 +tp15152 +a(g8 +V\u000a +p15153 +tp15154 +a(g8 +g958 +tp15155 +a(g8 +g958 +tp15156 +a(g8 +g958 +tp15157 +a(g8 +g958 +tp15158 +a(g8 +g958 +tp15159 +a(g8 +V\u000a +p15160 +tp15161 +a(g8 +g958 +tp15162 +a(g8 +g958 +tp15163 +a(g8 +g958 +tp15164 +a(g8 +g958 +tp15165 +a(g8 +g958 +tp15166 +a(g8 +g960 +tp15167 +a(g8 +g962 +tp15168 +a(g8 +g1506 +tp15169 +a(g8 +g971 +tp15170 +a(g8 +g968 +tp15171 +a(g8 +g1021 +tp15172 +a(g8 +g971 +tp15173 +a(g8 +g962 +tp15174 +a(g8 +g964 +tp15175 +a(g8 +g1003 +tp15176 +a(g8 +V\u000a +p15177 +tp15178 +a(g8 +g958 +tp15179 +a(g8 +g958 +tp15180 +a(g8 +g958 +tp15181 +a(g8 +g958 +tp15182 +a(g8 +g958 +tp15183 +a(g8 +g960 +tp15184 +a(g8 +g1016 +tp15185 +a(g8 +g958 +tp15186 +a(g8 +g958 +tp15187 +a(g8 +g958 +tp15188 +a(g8 +g1385 +tp15189 +a(g8 +g958 +tp15190 +a(g8 +g1098 +tp15191 +a(g8 +g1506 +tp15192 +a(g8 +g1110 +tp15193 +a(g8 +g958 +tp15194 +a(g8 +g1119 +tp15195 +a(g8 +g958 +tp15196 +a(g8 +g973 +tp15197 +a(g8 +g3236 +tp15198 +a(g8 +g1033 +tp15199 +a(g8 +g3239 +tp15200 +a(g8 +V\u000a +p15201 +tp15202 +a(g8 +g958 +tp15203 +a(g8 +g958 +tp15204 +a(g8 +g958 +tp15205 +a(g8 +g958 +tp15206 +a(g8 +g958 +tp15207 +a(g8 +g971 +tp15208 +a(g8 +g962 +tp15209 +a(g8 +g1062 +tp15210 +a(g8 +g958 +tp15211 +a(g8 +g958 +tp15212 +a(g8 +g976 +tp15213 +a(g8 +g1021 +tp15214 +a(g8 +g1024 +tp15215 +a(g8 +g1046 +tp15216 +a(g8 +g971 +tp15217 +a(g8 +g958 +tp15218 +a(g8 +g1098 +tp15219 +a(g8 +g973 +tp15220 +a(g8 +g1110 +tp15221 +a(g8 +g958 +tp15222 +a(g8 +g1119 +tp15223 +a(g8 +g958 +tp15224 +a(g8 +g976 +tp15225 +a(g8 +g1910 +tp15226 +a(g8 +g1059 +tp15227 +a(g8 +g1902 +tp15228 +a(g8 +g958 +tp15229 +a(g8 +g1385 +tp15230 +a(g8 +g1033 +tp15231 +a(g8 +g1000 +tp15232 +a(g8 +g1046 +tp15233 +a(g8 +g966 +tp15234 +a(g8 +g958 +tp15235 +a(g8 +g1918 +tp15236 +a(g8 +g1610 +tp15237 +a(g8 +g1910 +tp15238 +a(g8 +g1029 +tp15239 +a(g8 +g2753 +tp15240 +a(g8 +g958 +tp15241 +a(g8 +g1610 +tp15242 +a(g8 +g1074 +tp15243 +a(g8 +g973 +tp15244 +a(g8 +g1000 +tp15245 +a(g8 +g1040 +tp15246 +a(g8 +g1011 +tp15247 +a(g8 +V\u000a +p15248 +tp15249 +a(g8 +g958 +tp15250 +a(g8 +g958 +tp15251 +a(g8 +g958 +tp15252 +a(g8 +g958 +tp15253 +a(g8 +g958 +tp15254 +a(g8 +g971 +tp15255 +a(g8 +g962 +tp15256 +a(g8 +g1062 +tp15257 +a(g8 +g958 +tp15258 +a(g8 +g958 +tp15259 +a(g8 +g1385 +tp15260 +a(g8 +g958 +tp15261 +a(g8 +g1418 +tp15262 +a(g8 +g1800 +tp15263 +a(g8 +g958 +tp15264 +a(g8 +g1033 +tp15265 +a(g8 +g958 +tp15266 +a(g8 +g1003 +tp15267 +a(g8 +g958 +tp15268 +a(g8 +g1385 +tp15269 +a(g8 +g1033 +tp15270 +a(g8 +g1000 +tp15271 +a(g8 +g1046 +tp15272 +a(g8 +g966 +tp15273 +a(g8 +V\u000a +p15274 +tp15275 +a(g8 +g958 +tp15276 +a(g8 +g958 +tp15277 +a(g8 +g958 +tp15278 +a(g8 +g958 +tp15279 +a(g8 +g958 +tp15280 +a(g8 +g964 +tp15281 +a(g8 +g998 +tp15282 +a(g8 +g966 +tp15283 +a(g8 +g962 +tp15284 +a(g8 +g958 +tp15285 +a(g8 +g1385 +tp15286 +a(g8 +g958 +tp15287 +a(g8 +g1418 +tp15288 +a(g8 +g1800 +tp15289 +a(g8 +g958 +tp15290 +a(g8 +g1483 +tp15291 +a(g8 +g1021 +tp15292 +a(g8 +g958 +tp15293 +a(g8 +g1098 +tp15294 +a(g8 +g1506 +tp15295 +a(g8 +g1026 +tp15296 +a(g8 +g958 +tp15297 +a(g8 +g1021 +tp15298 +a(g8 +g1110 +tp15299 +a(g8 +g958 +tp15300 +a(g8 +g1003 +tp15301 +a(g8 +g958 +tp15302 +a(g8 +g1081 +tp15303 +a(g8 +V\u000a +p15304 +tp15305 +a(g8 +g958 +tp15306 +a(g8 +g958 +tp15307 +a(g8 +V*) +p15308 +tp15309 +a(g827 +V\u000a\u000a +p15310 +tp15311 +a(g794 +Vfun +p15312 +tp15313 +a(g827 +g958 +tp15314 +a(g574 +VblockDec +p15315 +tp15316 +a(g827 +g958 +tp15317 +a(g706 +g1098 +tp15318 +a(g436 +g1385 +tp15319 +a(g706 +g1026 +tp15320 +a(g827 +g958 +tp15321 +a(g436 +g1506 +tp15322 +a(g827 +g958 +tp15323 +a(g794 +Vas +p15324 +tp15325 +a(g827 +g958 +tp15326 +a(g706 +g1098 +tp15327 +a(g436 +VBidx +p15328 +tp15329 +a(g827 +g958 +tp15330 +a(g436 +g1011 +tp15331 +a(g706 +g1110 +tp15332 +a(g706 +g1026 +tp15333 +a(g827 +g958 +tp15334 +a(g436 +g1021 +tp15335 +a(g706 +g1110 +tp15336 +a(g827 +g958 +tp15337 +a(g706 +g1119 +tp15338 +a(g827 +V\u000a +p15339 +tp15340 +a(g794 +Vlet +p15341 +tp15342 +a(g827 +V \u000a +p15343 +tp15344 +a(g794 +Vval +p15345 +tp15346 +a(g827 +g958 +tp15347 +a(g441 +VBDec +p15348 +tp15349 +a(g827 +g958 +tp15350 +a(g706 +g1098 +tp15351 +a(g706 +g1779 +tp15352 +a(g706 +g1026 +tp15353 +a(g827 +g958 +tp15354 +a(g706 +g1098 +tp15355 +a(g436 +g973 +tp15356 +a(g706 +g1026 +tp15357 +a(g827 +g958 +tp15358 +a(g436 +g1033 +tp15359 +a(g706 +g1110 +tp15360 +a(g706 +g1110 +tp15361 +a(g827 +g958 +tp15362 +a(g706 +g1119 +tp15363 +a(g827 +g958 +tp15364 +a(g436 +VctxDec +p15365 +tp15366 +a(g827 +g958 +tp15367 +a(g706 +g1098 +tp15368 +a(g436 +g1385 +tp15369 +a(g706 +g1026 +tp15370 +a(g827 +g958 +tp15371 +a(g436 +g1011 +tp15372 +a(g706 +g1110 +tp15373 +a(g827 +V \u000a +p15374 +tp15375 +a(g8 +V(* +p15376 +tp15377 +a(g8 +g958 +tp15378 +a(g8 +g1385 +tp15379 +a(g8 +g958 +tp15380 +a(g8 +g1418 +tp15381 +a(g8 +g1800 +tp15382 +a(g8 +g958 +tp15383 +a(g8 +g1033 +tp15384 +a(g8 +g958 +tp15385 +a(g8 +g1003 +tp15386 +a(g8 +g958 +tp15387 +a(g8 +g1385 +tp15388 +a(g8 +g1033 +tp15389 +a(g8 +g1000 +tp15390 +a(g8 +g1046 +tp15391 +a(g8 +g966 +tp15392 +a(g8 +g958 +tp15393 +a(g8 +V*) +p15394 +tp15395 +a(g827 +V\u000a +p15396 +tp15397 +a(g794 +Vval +p15398 +tp15399 +a(g827 +g958 +tp15400 +a(g706 +g1098 +tp15401 +a(g436 +VGsome +p15402 +tp15403 +a(g706 +g1026 +tp15404 +a(g827 +g958 +tp15405 +a(g436 +VLblock +p15406 +tp15407 +a(g706 +g1110 +tp15408 +a(g827 +g958 +tp15409 +a(g441 +g1119 +tp15410 +a(g827 +g958 +tp15411 +a(g436 +VconDecBlock +p15412 +tp15413 +a(g827 +g958 +tp15414 +a(g706 +g1098 +tp15415 +a(g436 +VsgnLookup +p15416 +tp15417 +a(g827 +g958 +tp15418 +a(g436 +g973 +tp15419 +a(g706 +g1110 +tp15420 +a(g827 +V\u000a +p15421 +tp15422 +a(g794 +Vfun +p15423 +tp15424 +a(g827 +g958 +tp15425 +a(g574 +VblockDec' +p15426 +tp15427 +a(g827 +g958 +tp15428 +a(g706 +g1098 +tp15429 +a(g436 +g964 +tp15430 +a(g706 +g1026 +tp15431 +a(g827 +g958 +tp15432 +a(g436 +g1452 +tp15433 +a(g827 +g958 +tp15434 +a(g436 +V:: +p15435 +tp15436 +a(g827 +g958 +tp15437 +a(g436 +g1610 +tp15438 +a(g706 +g1026 +tp15439 +a(g827 +g958 +tp15440 +a(g37 +g1687 +tp15441 +a(g706 +g1026 +tp15442 +a(g827 +g958 +tp15443 +a(g436 +g10436 +tp15444 +a(g706 +g1110 +tp15445 +a(g827 +g958 +tp15446 +a(g706 +g1119 +tp15447 +a(g827 +g958 +tp15448 +a(g436 +VdecSub +p15449 +tp15450 +a(g827 +g958 +tp15451 +a(g706 +g1098 +tp15452 +a(g436 +g1452 +tp15453 +a(g706 +g1026 +tp15454 +a(g827 +g958 +tp15455 +a(g436 +g964 +tp15456 +a(g706 +g1110 +tp15457 +a(g827 +V\u000a +p15458 +tp15459 +a(g706 +g1418 +tp15460 +a(g827 +g958 +tp15461 +a(g574 +VblockDec' +p15462 +tp15463 +a(g827 +g958 +tp15464 +a(g706 +g1098 +tp15465 +a(g436 +g964 +tp15466 +a(g706 +g1026 +tp15467 +a(g827 +g958 +tp15468 +a(g706 +g1779 +tp15469 +a(g827 +g958 +tp15470 +a(g436 +V:: +p15471 +tp15472 +a(g827 +g958 +tp15473 +a(g436 +g1610 +tp15474 +a(g706 +g1026 +tp15475 +a(g827 +g958 +tp15476 +a(g436 +g962 +tp15477 +a(g706 +g1026 +tp15478 +a(g827 +g958 +tp15479 +a(g436 +g10436 +tp15480 +a(g706 +g1110 +tp15481 +a(g827 +g958 +tp15482 +a(g706 +g1119 +tp15483 +a(g827 +V\u000a +p15484 +tp15485 +a(g436 +VblockDec' +p15486 +tp15487 +a(g827 +g958 +tp15488 +a(g706 +g1098 +tp15489 +a(g436 +VDot +p15490 +tp15491 +a(g827 +g958 +tp15492 +a(g706 +g1098 +tp15493 +a(g436 +VExp +p15494 +tp15495 +a(g827 +g958 +tp15496 +a(g706 +g1098 +tp15497 +a(g436 +VRoot +p15498 +tp15499 +a(g827 +g958 +tp15500 +a(g706 +g1098 +tp15501 +a(g436 +VProj +p15502 +tp15503 +a(g827 +g958 +tp15504 +a(g706 +g1098 +tp15505 +a(g436 +g1506 +tp15506 +a(g706 +g1026 +tp15507 +a(g827 +g958 +tp15508 +a(g436 +g10436 +tp15509 +a(g706 +g1110 +tp15510 +a(g706 +g1026 +tp15511 +a(g827 +g958 +tp15512 +a(g436 +VNil +p15513 +tp15514 +a(g706 +g1110 +tp15515 +a(g706 +g1110 +tp15516 +a(g706 +g1026 +tp15517 +a(g827 +g958 +tp15518 +a(g436 +g964 +tp15519 +a(g706 +g1110 +tp15520 +a(g706 +g1026 +tp15521 +a(g827 +V\u000a +p15522 +tp15523 +a(g436 +g1610 +tp15524 +a(g706 +g1026 +tp15525 +a(g827 +g958 +tp15526 +a(g436 +g962 +tp15527 +a(g436 +g1800 +tp15528 +a(g37 +g1687 +tp15529 +a(g706 +g1026 +tp15530 +a(g827 +g958 +tp15531 +a(g436 +g10436 +tp15532 +a(g436 +g2113 +tp15533 +a(g37 +g1687 +tp15534 +a(g706 +g1110 +tp15535 +a(g827 +V\u000a +p15536 +tp15537 +a(g794 +Vin +p15538 +tp15539 +a(g827 +V\u000a +p15540 +tp15541 +a(g436 +VblockDec' +p15542 +tp15543 +a(g827 +g958 +tp15544 +a(g706 +g1098 +tp15545 +a(g436 +g1033 +tp15546 +a(g706 +g1026 +tp15547 +a(g827 +g958 +tp15548 +a(g436 +VLblock +p15549 +tp15550 +a(g706 +g1026 +tp15551 +a(g827 +g958 +tp15552 +a(g436 +g1021 +tp15553 +a(g706 +g1026 +tp15554 +a(g827 +g958 +tp15555 +a(g37 +g1687 +tp15556 +a(g706 +g1110 +tp15557 +a(g827 +V\u000a +p15558 +tp15559 +a(g794 +Vend +p15560 +tp15561 +a(g827 +V\u000a\u000a\u000a +p15562 +tp15563 +a(g8 +V(* +p15564 +tp15565 +a(g8 +g958 +tp15566 +a(g8 +g1902 +tp15567 +a(g8 +g1081 +tp15568 +a(g8 +g971 +tp15569 +a(g8 +g968 +tp15570 +a(g8 +g958 +tp15571 +a(g8 +g968 +tp15572 +a(g8 +g966 +tp15573 +a(g8 +g973 +tp15574 +a(g8 +g971 +tp15575 +a(g8 +g964 +tp15576 +a(g8 +g966 +tp15577 +a(g8 +g1062 +tp15578 +a(g8 +g958 +tp15579 +a(g8 +g1016 +tp15580 +a(g8 +g995 +tp15581 +a(g8 +g962 +tp15582 +a(g8 +g1040 +tp15583 +a(g8 +g964 +tp15584 +a(g8 +g1021 +tp15585 +a(g8 +g1000 +tp15586 +a(g8 +g962 +tp15587 +a(g8 +g1033 +tp15588 +a(g8 +g958 +tp15589 +a(g8 +V*) +p15590 +tp15591 +a(g827 +V\u000a\u000a +p15592 +tp15593 +a(g8 +V(* +p15594 +tp15595 +a(g8 +g958 +tp15596 +a(g8 +g962 +tp15597 +a(g8 +g966 +tp15598 +a(g8 +g1704 +tp15599 +a(g8 +g1902 +tp15600 +a(g8 +g1081 +tp15601 +a(g8 +g971 +tp15602 +a(g8 +g968 +tp15603 +a(g8 +g958 +tp15604 +a(g8 +g1098 +tp15605 +a(g8 +g1385 +tp15606 +a(g8 +g1026 +tp15607 +a(g8 +g958 +tp15608 +a(g8 +g1081 +tp15609 +a(g8 +g1110 +tp15610 +a(g8 +g958 +tp15611 +a(g8 +g1119 +tp15612 +a(g8 +g958 +tp15613 +a(g8 +g962 +tp15614 +a(g8 +g966 +tp15615 +a(g8 +g1704 +tp15616 +a(g8 +g1902 +tp15617 +a(g8 +g1081 +tp15618 +a(g8 +g971 +tp15619 +a(g8 +g968 +tp15620 +a(g8 +g1029 +tp15621 +a(g8 +g962 +tp15622 +a(g8 +g1033 +tp15623 +a(g8 +g964 +tp15624 +a(g8 +g968 +tp15625 +a(g8 +g958 +tp15626 +a(g8 +g1098 +tp15627 +a(g8 +g1385 +tp15628 +a(g8 +g1026 +tp15629 +a(g8 +g958 +tp15630 +a(g8 +g1081 +tp15631 +a(g8 +g1026 +tp15632 +a(g8 +g958 +tp15633 +a(g8 +g962 +tp15634 +a(g8 +g1021 +tp15635 +a(g8 +g973 +tp15636 +a(g8 +g1110 +tp15637 +a(g8 +g958 +tp15638 +a(g8 +V*) +p15639 +tp15640 +a(g827 +V\u000a +p15641 +tp15642 +a(g794 +Vfun +p15643 +tp15644 +a(g827 +g958 +tp15645 +a(g574 +VnewEVar +p15646 +tp15647 +a(g827 +g958 +tp15648 +a(g706 +g1098 +tp15649 +a(g436 +g1385 +tp15650 +a(g706 +g1026 +tp15651 +a(g827 +g958 +tp15652 +a(g436 +g1081 +tp15653 +a(g706 +g1110 +tp15654 +a(g827 +g958 +tp15655 +a(g706 +g1119 +tp15656 +a(g827 +g958 +tp15657 +a(g436 +VEVar +p15658 +tp15659 +a(g706 +g1098 +tp15660 +a(g436 +Vref +p15661 +tp15662 +a(g827 +g958 +tp15663 +a(g436 +VNONE +p15664 +tp15665 +a(g706 +g1026 +tp15666 +a(g827 +g958 +tp15667 +a(g436 +g1385 +tp15668 +a(g706 +g1026 +tp15669 +a(g827 +g958 +tp15670 +a(g436 +g1081 +tp15671 +a(g706 +g1026 +tp15672 +a(g827 +g958 +tp15673 +a(g436 +Vref +p15674 +tp15675 +a(g827 +g958 +tp15676 +a(g436 +Vnil +p15677 +tp15678 +a(g706 +g1110 +tp15679 +a(g827 +V\u000a\u000a +p15680 +tp15681 +a(g8 +V(* +p15682 +tp15683 +a(g8 +g958 +tp15684 +a(g8 +g962 +tp15685 +a(g8 +g966 +tp15686 +a(g8 +g1704 +tp15687 +a(g8 +g993 +tp15688 +a(g8 +g1081 +tp15689 +a(g8 +g971 +tp15690 +a(g8 +g968 +tp15691 +a(g8 +g958 +tp15692 +a(g8 +g1385 +tp15693 +a(g8 +g958 +tp15694 +a(g8 +g1119 +tp15695 +a(g8 +g958 +tp15696 +a(g8 +g962 +tp15697 +a(g8 +g966 +tp15698 +a(g8 +g1704 +tp15699 +a(g8 +g958 +tp15700 +a(g8 +g993 +tp15701 +a(g8 +g1081 +tp15702 +a(g8 +g971 +tp15703 +a(g8 +g968 +tp15704 +a(g8 +g958 +tp15705 +a(g8 +g1098 +tp15706 +a(g8 +g971 +tp15707 +a(g8 +g1033 +tp15708 +a(g8 +g1033 +tp15709 +a(g8 +g1021 +tp15710 +a(g8 +g1024 +tp15711 +a(g8 +g962 +tp15712 +a(g8 +g971 +tp15713 +a(g8 +g1074 +tp15714 +a(g8 +g973 +tp15715 +a(g8 +g966 +tp15716 +a(g8 +g958 +tp15717 +a(g8 +g1506 +tp15718 +a(g8 +g971 +tp15719 +a(g8 +g968 +tp15720 +a(g8 +g1021 +tp15721 +a(g8 +g971 +tp15722 +a(g8 +g1074 +tp15723 +a(g8 +g973 +tp15724 +a(g8 +g966 +tp15725 +a(g8 +g1110 +tp15726 +a(g8 +g958 +tp15727 +a(g8 +V*) +p15728 +tp15729 +a(g827 +V\u000a +p15730 +tp15731 +a(g8 +V(* +p15732 +tp15733 +a(g8 +g958 +tp15734 +a(g8 +g993 +tp15735 +a(g8 +g1081 +tp15736 +a(g8 +g971 +tp15737 +a(g8 +g968 +tp15738 +a(g8 +g1033 +tp15739 +a(g8 +g958 +tp15740 +a(g8 +g1040 +tp15741 +a(g8 +g971 +tp15742 +a(g8 +g968 +tp15743 +a(g8 +g968 +tp15744 +a(g8 +g978 +tp15745 +a(g8 +g958 +tp15746 +a(g8 +g962 +tp15747 +a(g8 +g1000 +tp15748 +a(g8 +g958 +tp15749 +a(g8 +g964 +tp15750 +a(g8 +g978 +tp15751 +a(g8 +g1483 +tp15752 +a(g8 +g966 +tp15753 +a(g8 +g1026 +tp15754 +a(g8 +g958 +tp15755 +a(g8 +g1040 +tp15756 +a(g8 +g964 +tp15757 +a(g8 +g983 +tp15758 +a(g8 +g1026 +tp15759 +a(g8 +g958 +tp15760 +a(g8 +g1000 +tp15761 +a(g8 +g968 +tp15762 +a(g8 +g958 +tp15763 +a(g8 +g1040 +tp15764 +a(g8 +g962 +tp15765 +a(g8 +g1033 +tp15766 +a(g8 +g964 +tp15767 +a(g8 +g968 +tp15768 +a(g8 +g958 +tp15769 +a(g8 +V*) +p15770 +tp15771 +a(g827 +V\u000a +p15772 +tp15773 +a(g794 +Vfun +p15774 +tp15775 +a(g827 +g958 +tp15776 +a(g574 +VnewAVar +p15777 +tp15778 +a(g827 +g958 +tp15779 +a(g706 +g1098 +tp15780 +a(g706 +g1110 +tp15781 +a(g827 +g958 +tp15782 +a(g706 +g1119 +tp15783 +a(g827 +g958 +tp15784 +a(g436 +VAVar +p15785 +tp15786 +a(g706 +g1098 +tp15787 +a(g436 +Vref +p15788 +tp15789 +a(g827 +g958 +tp15790 +a(g436 +VNONE +p15791 +tp15792 +a(g706 +g1110 +tp15793 +a(g827 +V\u000a\u000a +p15794 +tp15795 +a(g8 +V(* +p15796 +tp15797 +a(g8 +g958 +tp15798 +a(g8 +g962 +tp15799 +a(g8 +g966 +tp15800 +a(g8 +g1704 +tp15801 +a(g8 +g2794 +tp15802 +a(g8 +g978 +tp15803 +a(g8 +g1483 +tp15804 +a(g8 +g966 +tp15805 +a(g8 +g1081 +tp15806 +a(g8 +g971 +tp15807 +a(g8 +g968 +tp15808 +a(g8 +g958 +tp15809 +a(g8 +g1098 +tp15810 +a(g8 +g1385 +tp15811 +a(g8 +g1110 +tp15812 +a(g8 +g958 +tp15813 +a(g8 +g1119 +tp15814 +a(g8 +g958 +tp15815 +a(g8 +g3182 +tp15816 +a(g8 +g1026 +tp15817 +a(g8 +g958 +tp15818 +a(g8 +g3182 +tp15819 +a(g8 +g958 +tp15820 +a(g8 +g962 +tp15821 +a(g8 +g966 +tp15822 +a(g8 +g1704 +tp15823 +a(g8 +V\u000a +p15824 +tp15825 +a(g8 +g958 +tp15826 +a(g8 +g958 +tp15827 +a(g8 +g958 +tp15828 +a(g8 +g958 +tp15829 +a(g8 +g958 +tp15830 +a(g8 +g1704 +tp15831 +a(g8 +g998 +tp15832 +a(g8 +g966 +tp15833 +a(g8 +g968 +tp15834 +a(g8 +g966 +tp15835 +a(g8 +g958 +tp15836 +a(g8 +g1385 +tp15837 +a(g8 +g958 +tp15838 +a(g8 +g1418 +tp15839 +a(g8 +g1800 +tp15840 +a(g8 +g958 +tp15841 +a(g8 +g3182 +tp15842 +a(g8 +g958 +tp15843 +a(g8 +g1003 +tp15844 +a(g8 +g958 +tp15845 +a(g8 +g964 +tp15846 +a(g8 +g978 +tp15847 +a(g8 +g1483 +tp15848 +a(g8 +g966 +tp15849 +a(g8 +V\u000a +p15850 +tp15851 +a(g8 +g958 +tp15852 +a(g8 +g958 +tp15853 +a(g8 +V*) +p15854 +tp15855 +a(g827 +V\u000a +p15856 +tp15857 +a(g794 +Vfun +p15858 +tp15859 +a(g827 +g958 +tp15860 +a(g574 +VnewTypeVar +p15861 +tp15862 +a(g827 +g958 +tp15863 +a(g706 +g1098 +tp15864 +a(g436 +g1385 +tp15865 +a(g706 +g1110 +tp15866 +a(g827 +g958 +tp15867 +a(g706 +g1119 +tp15868 +a(g827 +g958 +tp15869 +a(g436 +VEVar +p15870 +tp15871 +a(g706 +g1098 +tp15872 +a(g436 +Vref +p15873 +tp15874 +a(g827 +g958 +tp15875 +a(g436 +VNONE +p15876 +tp15877 +a(g706 +g1026 +tp15878 +a(g827 +g958 +tp15879 +a(g436 +g1385 +tp15880 +a(g706 +g1026 +tp15881 +a(g827 +g958 +tp15882 +a(g436 +VUni +p15883 +tp15884 +a(g706 +g1098 +tp15885 +a(g436 +VType +p15886 +tp15887 +a(g706 +g1110 +tp15888 +a(g706 +g1026 +tp15889 +a(g827 +g958 +tp15890 +a(g436 +Vref +p15891 +tp15892 +a(g827 +g958 +tp15893 +a(g436 +Vnil +p15894 +tp15895 +a(g706 +g1110 +tp15896 +a(g827 +V\u000a\u000a +p15897 +tp15898 +a(g8 +V(* +p15899 +tp15900 +a(g8 +g958 +tp15901 +a(g8 +g962 +tp15902 +a(g8 +g966 +tp15903 +a(g8 +g1704 +tp15904 +a(g8 +g1610 +tp15905 +a(g8 +g1081 +tp15906 +a(g8 +g971 +tp15907 +a(g8 +g968 +tp15908 +a(g8 +g958 +tp15909 +a(g8 +g1098 +tp15910 +a(g8 +g973 +tp15911 +a(g8 +g1026 +tp15912 +a(g8 +g958 +tp15913 +a(g8 +g1033 +tp15914 +a(g8 +g1110 +tp15915 +a(g8 +g958 +tp15916 +a(g8 +g1119 +tp15917 +a(g8 +g958 +tp15918 +a(g8 +g1098 +tp15919 +a(g8 +g973 +tp15920 +a(g8 +g3236 +tp15921 +a(g8 +g1033 +tp15922 +a(g8 +g3239 +tp15923 +a(g8 +g1110 +tp15924 +a(g8 +g958 +tp15925 +a(g8 +V*) +p15926 +tp15927 +a(g827 +V\u000a +p15928 +tp15929 +a(g794 +Vfun +p15930 +tp15931 +a(g827 +g958 +tp15932 +a(g574 +VnewLVar +p15933 +tp15934 +a(g827 +g958 +tp15935 +a(g706 +g1098 +tp15936 +a(g436 +Vsk +p15937 +tp15938 +a(g706 +g1026 +tp15939 +a(g827 +g958 +tp15940 +a(g706 +g1098 +tp15941 +a(g436 +Vcid +p15942 +tp15943 +a(g706 +g1026 +tp15944 +a(g827 +g958 +tp15945 +a(g436 +g964 +tp15946 +a(g706 +g1110 +tp15947 +a(g706 +g1110 +tp15948 +a(g827 +g958 +tp15949 +a(g706 +g1119 +tp15950 +a(g827 +g958 +tp15951 +a(g436 +VLVar +p15952 +tp15953 +a(g827 +g958 +tp15954 +a(g706 +g1098 +tp15955 +a(g436 +Vref +p15956 +tp15957 +a(g827 +g958 +tp15958 +a(g436 +VNONE +p15959 +tp15960 +a(g706 +g1026 +tp15961 +a(g827 +g958 +tp15962 +a(g436 +Vsk +p15963 +tp15964 +a(g706 +g1026 +tp15965 +a(g827 +g958 +tp15966 +a(g706 +g1098 +tp15967 +a(g436 +Vcid +p15968 +tp15969 +a(g706 +g1026 +tp15970 +a(g827 +g958 +tp15971 +a(g436 +g964 +tp15972 +a(g706 +g1110 +tp15973 +a(g706 +g1110 +tp15974 +a(g827 +V\u000a\u000a +p15975 +tp15976 +a(g8 +V(* +p15977 +tp15978 +a(g8 +g958 +tp15979 +a(g8 +g1452 +tp15980 +a(g8 +g966 +tp15981 +a(g8 +g1016 +tp15982 +a(g8 +g1021 +tp15983 +a(g8 +g962 +tp15984 +a(g8 +g1021 +tp15985 +a(g8 +g964 +tp15986 +a(g8 +g1021 +tp15987 +a(g8 +g1000 +tp15988 +a(g8 +g962 +tp15989 +a(g8 +g958 +tp15990 +a(g8 +g968 +tp15991 +a(g8 +g966 +tp15992 +a(g8 +g973 +tp15993 +a(g8 +g971 +tp15994 +a(g8 +g964 +tp15995 +a(g8 +g966 +tp15996 +a(g8 +g1062 +tp15997 +a(g8 +g958 +tp15998 +a(g8 +g1016 +tp15999 +a(g8 +g995 +tp16000 +a(g8 +g962 +tp16001 +a(g8 +g1040 +tp16002 +a(g8 +g964 +tp16003 +a(g8 +g1021 +tp16004 +a(g8 +g1000 +tp16005 +a(g8 +g962 +tp16006 +a(g8 +g1033 +tp16007 +a(g8 +g958 +tp16008 +a(g8 +V*) +p16009 +tp16010 +a(g827 +V\u000a +p16011 +tp16012 +a(g8 +V(* +p16013 +tp16014 +a(g8 +g958 +tp16015 +a(g8 +g998 +tp16016 +a(g8 +g966 +tp16017 +a(g8 +g971 +tp16018 +a(g8 +g1062 +tp16019 +a(g8 +g1910 +tp16020 +a(g8 +g1483 +tp16021 +a(g8 +g964 +tp16022 +a(g8 +g958 +tp16023 +a(g8 +g1098 +tp16024 +a(g8 +g2708 +tp16025 +a(g8 +g1110 +tp16026 +a(g8 +g958 +tp16027 +a(g8 +g1119 +tp16028 +a(g8 +g958 +tp16029 +a(g8 +g976 +tp16030 +a(g8 +g1910 +tp16031 +a(g8 +g1059 +tp16032 +a(g8 +g1902 +tp16033 +a(g8 +g1098 +tp16034 +a(g8 +g3455 +tp16035 +a(g8 +g1110 +tp16036 +a(g8 +g958 +tp16037 +a(g8 +g1000 +tp16038 +a(g8 +g968 +tp16039 +a(g8 +g958 +tp16040 +a(g8 +g1825 +tp16041 +a(g8 +g1910 +tp16042 +a(g8 +g1825 +tp16043 +a(g8 +g1902 +tp16044 +a(g8 +g1026 +tp16045 +a(g8 +g958 +tp16046 +a(g8 +g2708 +tp16047 +a(g8 +g958 +tp16048 +a(g8 +g1033 +tp16049 +a(g8 +g998 +tp16050 +a(g8 +g1000 +tp16051 +a(g8 +g995 +tp16052 +a(g8 +g973 +tp16053 +a(g8 +g1062 +tp16054 +a(g8 +g958 +tp16055 +a(g8 +g1074 +tp16056 +a(g8 +g966 +tp16057 +a(g8 +g958 +tp16058 +a(g8 +g1033 +tp16059 +a(g8 +g964 +tp16060 +a(g8 +g968 +tp16061 +a(g8 +g1021 +tp16062 +a(g8 +g1040 +tp16063 +a(g8 +g964 +tp16064 +a(g8 +g1026 +tp16065 +a(g8 +g958 +tp16066 +a(g8 +g962 +tp16067 +a(g8 +g1000 +tp16068 +a(g8 +g968 +tp16069 +a(g8 +g1046 +tp16070 +a(g8 +g971 +tp16071 +a(g8 +g973 +tp16072 +a(g8 +g958 +tp16073 +a(g8 +V*) +p16074 +tp16075 +a(g827 +V\u000a +p16076 +tp16077 +a(g794 +Vfun +p16078 +tp16079 +a(g827 +g958 +tp16080 +a(g574 +VheadOpt +p16081 +tp16082 +a(g827 +g958 +tp16083 +a(g706 +g1098 +tp16084 +a(g436 +VRoot +p16085 +tp16086 +a(g827 +g958 +tp16087 +a(g706 +g1098 +tp16088 +a(g436 +g3455 +tp16089 +a(g706 +g1026 +tp16090 +a(g827 +g958 +tp16091 +a(g706 +g1779 +tp16092 +a(g706 +g1110 +tp16093 +a(g706 +g1110 +tp16094 +a(g827 +g958 +tp16095 +a(g706 +g1119 +tp16096 +a(g827 +g958 +tp16097 +a(g436 +VSOME +p16098 +tp16099 +a(g706 +g1098 +tp16100 +a(g436 +g3455 +tp16101 +a(g706 +g1110 +tp16102 +a(g827 +V\u000a +p16103 +tp16104 +a(g706 +g1418 +tp16105 +a(g827 +g958 +tp16106 +a(g574 +VheadOpt +p16107 +tp16108 +a(g827 +g958 +tp16109 +a(g706 +g1098 +tp16110 +a(g436 +VLam +p16111 +tp16112 +a(g827 +g958 +tp16113 +a(g706 +g1098 +tp16114 +a(g706 +g1779 +tp16115 +a(g706 +g1026 +tp16116 +a(g827 +g958 +tp16117 +a(g436 +g2708 +tp16118 +a(g706 +g1110 +tp16119 +a(g706 +g1110 +tp16120 +a(g827 +g958 +tp16121 +a(g706 +g1119 +tp16122 +a(g827 +g958 +tp16123 +a(g436 +VheadOpt +p16124 +tp16125 +a(g827 +g958 +tp16126 +a(g436 +g2708 +tp16127 +a(g827 +V\u000a +p16128 +tp16129 +a(g706 +g1418 +tp16130 +a(g827 +g958 +tp16131 +a(g574 +VheadOpt +p16132 +tp16133 +a(g827 +g958 +tp16134 +a(g706 +g1779 +tp16135 +a(g827 +g958 +tp16136 +a(g706 +g1119 +tp16137 +a(g827 +g958 +tp16138 +a(g436 +VNONE +p16139 +tp16140 +a(g827 +V\u000a\u000a +p16141 +tp16142 +a(g794 +Vfun +p16143 +tp16144 +a(g827 +g958 +tp16145 +a(g574 +Vancestor' +p16146 +tp16147 +a(g827 +g958 +tp16148 +a(g706 +g1098 +tp16149 +a(g436 +VNONE +p16150 +tp16151 +a(g706 +g1110 +tp16152 +a(g827 +g958 +tp16153 +a(g706 +g1119 +tp16154 +a(g827 +g958 +tp16155 +a(g436 +VAnc +p16156 +tp16157 +a(g706 +g1098 +tp16158 +a(g436 +VNONE +p16159 +tp16160 +a(g706 +g1026 +tp16161 +a(g827 +g958 +tp16162 +a(g37 +g2130 +tp16163 +a(g706 +g1026 +tp16164 +a(g827 +g958 +tp16165 +a(g436 +VNONE +p16166 +tp16167 +a(g706 +g1110 +tp16168 +a(g827 +V\u000a +p16169 +tp16170 +a(g706 +g1418 +tp16171 +a(g827 +g958 +tp16172 +a(g574 +Vancestor' +p16173 +tp16174 +a(g827 +g958 +tp16175 +a(g706 +g1098 +tp16176 +a(g436 +VSOME +p16177 +tp16178 +a(g706 +g1098 +tp16179 +a(g436 +VConst +p16180 +tp16181 +a(g706 +g1098 +tp16182 +a(g436 +g1040 +tp16183 +a(g706 +g1110 +tp16184 +a(g706 +g1110 +tp16185 +a(g706 +g1110 +tp16186 +a(g827 +g958 +tp16187 +a(g706 +g1119 +tp16188 +a(g827 +g958 +tp16189 +a(g436 +VAnc +p16190 +tp16191 +a(g706 +g1098 +tp16192 +a(g436 +VSOME +p16193 +tp16194 +a(g706 +g1098 +tp16195 +a(g436 +g1040 +tp16196 +a(g706 +g1110 +tp16197 +a(g706 +g1026 +tp16198 +a(g827 +g958 +tp16199 +a(g37 +g1687 +tp16200 +a(g706 +g1026 +tp16201 +a(g827 +g958 +tp16202 +a(g436 +VSOME +p16203 +tp16204 +a(g706 +g1098 +tp16205 +a(g436 +g1040 +tp16206 +a(g706 +g1110 +tp16207 +a(g706 +g1110 +tp16208 +a(g827 +V\u000a +p16209 +tp16210 +a(g706 +g1418 +tp16211 +a(g827 +g958 +tp16212 +a(g574 +Vancestor' +p16213 +tp16214 +a(g827 +g958 +tp16215 +a(g706 +g1098 +tp16216 +a(g436 +VSOME +p16217 +tp16218 +a(g706 +g1098 +tp16219 +a(g436 +VDef +p16220 +tp16221 +a(g706 +g1098 +tp16222 +a(g436 +g1062 +tp16223 +a(g706 +g1110 +tp16224 +a(g706 +g1110 +tp16225 +a(g706 +g1110 +tp16226 +a(g827 +g958 +tp16227 +a(g706 +g1119 +tp16228 +a(g827 +V\u000a +p16229 +tp16230 +a(g706 +g1098 +tp16231 +a(g794 +Vcase +p16232 +tp16233 +a(g827 +g958 +tp16234 +a(g436 +VsgnLookup +p16235 +tp16236 +a(g706 +g1098 +tp16237 +a(g436 +g1062 +tp16238 +a(g706 +g1110 +tp16239 +a(g827 +V\u000a +p16240 +tp16241 +a(g794 +Vof +p16242 +tp16243 +a(g827 +g958 +tp16244 +a(g436 +VConDef +p16245 +tp16246 +a(g706 +g1098 +tp16247 +a(g706 +g1779 +tp16248 +a(g706 +g1026 +tp16249 +a(g827 +g958 +tp16250 +a(g706 +g1779 +tp16251 +a(g706 +g1026 +tp16252 +a(g827 +g958 +tp16253 +a(g706 +g1779 +tp16254 +a(g706 +g1026 +tp16255 +a(g827 +g958 +tp16256 +a(g706 +g1779 +tp16257 +a(g706 +g1026 +tp16258 +a(g827 +g958 +tp16259 +a(g706 +g1779 +tp16260 +a(g706 +g1026 +tp16261 +a(g827 +g958 +tp16262 +a(g706 +g1779 +tp16263 +a(g706 +g1026 +tp16264 +a(g827 +g958 +tp16265 +a(g436 +VAnc +p16266 +tp16267 +a(g706 +g1098 +tp16268 +a(g706 +g1779 +tp16269 +a(g706 +g1026 +tp16270 +a(g827 +g958 +tp16271 +a(g436 +Vheight +p16272 +tp16273 +a(g706 +g1026 +tp16274 +a(g827 +g958 +tp16275 +a(g436 +VcOpt +p16276 +tp16277 +a(g706 +g1110 +tp16278 +a(g706 +g1110 +tp16279 +a(g827 +V\u000a +p16280 +tp16281 +a(g706 +V=> +p16282 +tp16283 +a(g827 +g958 +tp16284 +a(g436 +VAnc +p16285 +tp16286 +a(g706 +g1098 +tp16287 +a(g436 +VSOME +p16288 +tp16289 +a(g706 +g1098 +tp16290 +a(g436 +g1062 +tp16291 +a(g706 +g1110 +tp16292 +a(g706 +g1026 +tp16293 +a(g827 +g958 +tp16294 +a(g436 +Vheight +p16295 +tp16296 +a(g436 +g2113 +tp16297 +a(g37 +g1687 +tp16298 +a(g706 +g1026 +tp16299 +a(g827 +g958 +tp16300 +a(g436 +VcOpt +p16301 +tp16302 +a(g706 +g1110 +tp16303 +a(g706 +g1110 +tp16304 +a(g827 +V\u000a +p16305 +tp16306 +a(g706 +g1418 +tp16307 +a(g827 +g958 +tp16308 +a(g574 +Vancestor' +p16309 +tp16310 +a(g827 +g958 +tp16311 +a(g706 +g1098 +tp16312 +a(g436 +VSOME +p16313 +tp16314 +a(g827 +g958 +tp16315 +a(g706 +g1779 +tp16316 +a(g706 +g1110 +tp16317 +a(g827 +g958 +tp16318 +a(g706 +g1119 +tp16319 +a(g827 +g958 +tp16320 +a(g8 +V(* +p16321 +tp16322 +a(g8 +g958 +tp16323 +a(g8 +g1006 +tp16324 +a(g8 +g1024 +tp16325 +a(g8 +g962 +tp16326 +a(g8 +g1029 +tp16327 +a(g8 +g1000 +tp16328 +a(g8 +g962 +tp16329 +a(g8 +g1033 +tp16330 +a(g8 +g964 +tp16331 +a(g8 +g958 +tp16332 +a(g8 +g1483 +tp16333 +a(g8 +g1000 +tp16334 +a(g8 +g1033 +tp16335 +a(g8 +g1033 +tp16336 +a(g8 +g1021 +tp16337 +a(g8 +g1074 +tp16338 +a(g8 +g973 +tp16339 +a(g8 +g966 +tp16340 +a(g8 +g1026 +tp16341 +a(g8 +g958 +tp16342 +a(g8 +g1918 +tp16343 +a(g8 +g1081 +tp16344 +a(g8 +g971 +tp16345 +a(g8 +g968 +tp16346 +a(g8 +g958 +tp16347 +a(g8 +g1021 +tp16348 +a(g8 +g1046 +tp16349 +a(g8 +g1483 +tp16350 +a(g8 +g1000 +tp16351 +a(g8 +g1033 +tp16352 +a(g8 +g1033 +tp16353 +a(g8 +g1021 +tp16354 +a(g8 +g1074 +tp16355 +a(g8 +g973 +tp16356 +a(g8 +g966 +tp16357 +a(g8 +g958 +tp16358 +a(g8 +g1074 +tp16359 +a(g8 +g978 +tp16360 +a(g8 +g958 +tp16361 +a(g8 +g1033 +tp16362 +a(g8 +g964 +tp16363 +a(g8 +g968 +tp16364 +a(g8 +g1021 +tp16365 +a(g8 +g1040 +tp16366 +a(g8 +g964 +tp16367 +a(g8 +g962 +tp16368 +a(g8 +g966 +tp16369 +a(g8 +g1033 +tp16370 +a(g8 +g1033 +tp16371 +a(g8 +g958 +tp16372 +a(g8 +V*) +p16373 +tp16374 +a(g827 +V\u000a +p16375 +tp16376 +a(g436 +VAnc +p16377 +tp16378 +a(g706 +g1098 +tp16379 +a(g436 +VNONE +p16380 +tp16381 +a(g706 +g1026 +tp16382 +a(g827 +g958 +tp16383 +a(g37 +g2130 +tp16384 +a(g706 +g1026 +tp16385 +a(g827 +g958 +tp16386 +a(g436 +VNONE +p16387 +tp16388 +a(g706 +g1110 +tp16389 +a(g827 +V\u000a +p16390 +tp16391 +a(g8 +V(* +p16392 +tp16393 +a(g8 +g958 +tp16394 +a(g8 +g971 +tp16395 +a(g8 +g962 +tp16396 +a(g8 +g1040 +tp16397 +a(g8 +g966 +tp16398 +a(g8 +g1033 +tp16399 +a(g8 +g964 +tp16400 +a(g8 +g1000 +tp16401 +a(g8 +g968 +tp16402 +a(g8 +g1098 +tp16403 +a(g8 +g2708 +tp16404 +a(g8 +g1110 +tp16405 +a(g8 +g958 +tp16406 +a(g8 +g1119 +tp16407 +a(g8 +g958 +tp16408 +a(g8 +g971 +tp16409 +a(g8 +g962 +tp16410 +a(g8 +g1040 +tp16411 +a(g8 +g966 +tp16412 +a(g8 +g1033 +tp16413 +a(g8 +g964 +tp16414 +a(g8 +g1000 +tp16415 +a(g8 +g968 +tp16416 +a(g8 +g958 +tp16417 +a(g8 +g1021 +tp16418 +a(g8 +g962 +tp16419 +a(g8 +g1016 +tp16420 +a(g8 +g1000 +tp16421 +a(g8 +g958 +tp16422 +a(g8 +g1016 +tp16423 +a(g8 +g1000 +tp16424 +a(g8 +g968 +tp16425 +a(g8 +g958 +tp16426 +a(g8 +g1062 +tp16427 +a(g8 +g958 +tp16428 +a(g8 +g1119 +tp16429 +a(g8 +g958 +tp16430 +a(g8 +g2708 +tp16431 +a(g8 +g958 +tp16432 +a(g8 +V*) +p16433 +tp16434 +a(g827 +V\u000a +p16435 +tp16436 +a(g794 +Vfun +p16437 +tp16438 +a(g827 +g958 +tp16439 +a(g574 +Vancestor +p16440 +tp16441 +a(g827 +g958 +tp16442 +a(g706 +g1098 +tp16443 +a(g436 +g2708 +tp16444 +a(g706 +g1110 +tp16445 +a(g827 +g958 +tp16446 +a(g706 +g1119 +tp16447 +a(g827 +g958 +tp16448 +a(g436 +Vancestor' +p16449 +tp16450 +a(g827 +g958 +tp16451 +a(g706 +g1098 +tp16452 +a(g436 +VheadOpt +p16453 +tp16454 +a(g827 +g958 +tp16455 +a(g436 +g2708 +tp16456 +a(g706 +g1110 +tp16457 +a(g827 +V\u000a\u000a +p16458 +tp16459 +a(g8 +V(* +p16460 +tp16461 +a(g8 +g958 +tp16462 +a(g8 +g1062 +tp16463 +a(g8 +g966 +tp16464 +a(g8 +g1016 +tp16465 +a(g8 +g993 +tp16466 +a(g8 +g962 +tp16467 +a(g8 +g1040 +tp16468 +a(g8 +g966 +tp16469 +a(g8 +g1033 +tp16470 +a(g8 +g964 +tp16471 +a(g8 +g1000 +tp16472 +a(g8 +g968 +tp16473 +a(g8 +g1098 +tp16474 +a(g8 +g1062 +tp16475 +a(g8 +g1110 +tp16476 +a(g8 +g958 +tp16477 +a(g8 +g1119 +tp16478 +a(g8 +g958 +tp16479 +a(g8 +g971 +tp16480 +a(g8 +g962 +tp16481 +a(g8 +g1040 +tp16482 +a(g8 +g966 +tp16483 +a(g8 +g1033 +tp16484 +a(g8 +g964 +tp16485 +a(g8 +g1000 +tp16486 +a(g8 +g968 +tp16487 +a(g8 +g958 +tp16488 +a(g8 +g1000 +tp16489 +a(g8 +g1016 +tp16490 +a(g8 +g958 +tp16491 +a(g8 +g1062 +tp16492 +a(g8 +g1026 +tp16493 +a(g8 +g958 +tp16494 +a(g8 +g1062 +tp16495 +a(g8 +g958 +tp16496 +a(g8 +g1046 +tp16497 +a(g8 +g995 +tp16498 +a(g8 +g1033 +tp16499 +a(g8 +g964 +tp16500 +a(g8 +g958 +tp16501 +a(g8 +g1074 +tp16502 +a(g8 +g966 +tp16503 +a(g8 +g958 +tp16504 +a(g8 +g1062 +tp16505 +a(g8 +g966 +tp16506 +a(g8 +g1016 +tp16507 +a(g8 +g1021 +tp16508 +a(g8 +g962 +tp16509 +a(g8 +g966 +tp16510 +a(g8 +g1062 +tp16511 +a(g8 +g958 +tp16512 +a(g8 +V*) +p16513 +tp16514 +a(g827 +V\u000a +p16515 +tp16516 +a(g794 +Vfun +p16517 +tp16518 +a(g827 +g958 +tp16519 +a(g574 +VdefAncestor +p16520 +tp16521 +a(g827 +g958 +tp16522 +a(g706 +g1098 +tp16523 +a(g436 +g1062 +tp16524 +a(g706 +g1110 +tp16525 +a(g827 +g958 +tp16526 +a(g706 +g1119 +tp16527 +a(g827 +V\u000a +p16528 +tp16529 +a(g706 +g1098 +tp16530 +a(g794 +Vcase +p16531 +tp16532 +a(g827 +g958 +tp16533 +a(g436 +VsgnLookup +p16534 +tp16535 +a(g706 +g1098 +tp16536 +a(g436 +g1062 +tp16537 +a(g706 +g1110 +tp16538 +a(g827 +V\u000a +p16539 +tp16540 +a(g794 +Vof +p16541 +tp16542 +a(g827 +g958 +tp16543 +a(g436 +VConDef +p16544 +tp16545 +a(g706 +g1098 +tp16546 +a(g706 +g1779 +tp16547 +a(g706 +g1026 +tp16548 +a(g827 +g958 +tp16549 +a(g706 +g1779 +tp16550 +a(g706 +g1026 +tp16551 +a(g827 +g958 +tp16552 +a(g706 +g1779 +tp16553 +a(g706 +g1026 +tp16554 +a(g827 +g958 +tp16555 +a(g706 +g1779 +tp16556 +a(g706 +g1026 +tp16557 +a(g827 +g958 +tp16558 +a(g706 +g1779 +tp16559 +a(g706 +g1026 +tp16560 +a(g827 +g958 +tp16561 +a(g706 +g1779 +tp16562 +a(g706 +g1026 +tp16563 +a(g827 +g958 +tp16564 +a(g436 +Vanc +p16565 +tp16566 +a(g706 +g1110 +tp16567 +a(g827 +g958 +tp16568 +a(g706 +V=> +p16569 +tp16570 +a(g827 +g958 +tp16571 +a(g436 +Vanc +p16572 +tp16573 +a(g706 +g1110 +tp16574 +a(g827 +V\u000a\u000a +p16575 +tp16576 +a(g8 +V(* +p16577 +tp16578 +a(g8 +g958 +tp16579 +a(g8 +g2794 +tp16580 +a(g8 +g978 +tp16581 +a(g8 +g1483 +tp16582 +a(g8 +g966 +tp16583 +a(g8 +g958 +tp16584 +a(g8 +g968 +tp16585 +a(g8 +g966 +tp16586 +a(g8 +g973 +tp16587 +a(g8 +g971 +tp16588 +a(g8 +g964 +tp16589 +a(g8 +g966 +tp16590 +a(g8 +g1062 +tp16591 +a(g8 +g958 +tp16592 +a(g8 +g1016 +tp16593 +a(g8 +g995 +tp16594 +a(g8 +g962 +tp16595 +a(g8 +g1040 +tp16596 +a(g8 +g964 +tp16597 +a(g8 +g1021 +tp16598 +a(g8 +g1000 +tp16599 +a(g8 +g962 +tp16600 +a(g8 +g1033 +tp16601 +a(g8 +g958 +tp16602 +a(g8 +V*) +p16603 +tp16604 +a(g827 +V\u000a\u000a +p16605 +tp16606 +a(g8 +V(* +p16607 +tp16608 +a(g8 +g958 +tp16609 +a(g8 +g964 +tp16610 +a(g8 +g971 +tp16611 +a(g8 +g968 +tp16612 +a(g8 +g1024 +tp16613 +a(g8 +g966 +tp16614 +a(g8 +g964 +tp16615 +a(g8 +g3455 +tp16616 +a(g8 +g966 +tp16617 +a(g8 +g971 +tp16618 +a(g8 +g1062 +tp16619 +a(g8 +g1910 +tp16620 +a(g8 +g1483 +tp16621 +a(g8 +g964 +tp16622 +a(g8 +g958 +tp16623 +a(g8 +g1098 +tp16624 +a(g8 +g1081 +tp16625 +a(g8 +g1110 +tp16626 +a(g8 +g958 +tp16627 +a(g8 +g1119 +tp16628 +a(g8 +g958 +tp16629 +a(g8 +g976 +tp16630 +a(g8 +g1910 +tp16631 +a(g8 +g1059 +tp16632 +a(g8 +g1902 +tp16633 +a(g8 +g1098 +tp16634 +a(g8 +g3455 +tp16635 +a(g8 +g1110 +tp16636 +a(g8 +g958 +tp16637 +a(g8 +g1000 +tp16638 +a(g8 +g968 +tp16639 +a(g8 +g958 +tp16640 +a(g8 +g1825 +tp16641 +a(g8 +g1910 +tp16642 +a(g8 +g1825 +tp16643 +a(g8 +g1902 +tp16644 +a(g8 +V\u000a +p16645 +tp16646 +a(g8 +g958 +tp16647 +a(g8 +g958 +tp16648 +a(g8 +g958 +tp16649 +a(g8 +g958 +tp16650 +a(g8 +g958 +tp16651 +a(g8 +g1704 +tp16652 +a(g8 +g998 +tp16653 +a(g8 +g966 +tp16654 +a(g8 +g968 +tp16655 +a(g8 +g966 +tp16656 +a(g8 +g958 +tp16657 +a(g8 +g3455 +tp16658 +a(g8 +g958 +tp16659 +a(g8 +g1021 +tp16660 +a(g8 +g1033 +tp16661 +a(g8 +g958 +tp16662 +a(g8 +g964 +tp16663 +a(g8 +g998 +tp16664 +a(g8 +g966 +tp16665 +a(g8 +g958 +tp16666 +a(g8 +g998 +tp16667 +a(g8 +g966 +tp16668 +a(g8 +g971 +tp16669 +a(g8 +g1062 +tp16670 +a(g8 +g958 +tp16671 +a(g8 +g1000 +tp16672 +a(g8 +g1016 +tp16673 +a(g8 +g958 +tp16674 +a(g8 +g964 +tp16675 +a(g8 +g998 +tp16676 +a(g8 +g966 +tp16677 +a(g8 +g958 +tp16678 +a(g8 +g971 +tp16679 +a(g8 +g964 +tp16680 +a(g8 +g1000 +tp16681 +a(g8 +g1046 +tp16682 +a(g8 +g1021 +tp16683 +a(g8 +g1040 +tp16684 +a(g8 +g958 +tp16685 +a(g8 +g964 +tp16686 +a(g8 +g971 +tp16687 +a(g8 +g968 +tp16688 +a(g8 +g1024 +tp16689 +a(g8 +g966 +tp16690 +a(g8 +g964 +tp16691 +a(g8 +g958 +tp16692 +a(g8 +g964 +tp16693 +a(g8 +g978 +tp16694 +a(g8 +g1483 +tp16695 +a(g8 +g966 +tp16696 +a(g8 +g958 +tp16697 +a(g8 +g1000 +tp16698 +a(g8 +g1016 +tp16699 +a(g8 +g958 +tp16700 +a(g8 +g1081 +tp16701 +a(g8 +g1026 +tp16702 +a(g8 +V\u000a +p16703 +tp16704 +a(g8 +g958 +tp16705 +a(g8 +g958 +tp16706 +a(g8 +g958 +tp16707 +a(g8 +g958 +tp16708 +a(g8 +g958 +tp16709 +a(g8 +g1825 +tp16710 +a(g8 +g1910 +tp16711 +a(g8 +g1825 +tp16712 +a(g8 +g1902 +tp16713 +a(g8 +g958 +tp16714 +a(g8 +g1021 +tp16715 +a(g8 +g1016 +tp16716 +a(g8 +g958 +tp16717 +a(g8 +g1081 +tp16718 +a(g8 +g958 +tp16719 +a(g8 +g1021 +tp16720 +a(g8 +g1033 +tp16721 +a(g8 +g958 +tp16722 +a(g8 +g971 +tp16723 +a(g8 +g958 +tp16724 +a(g8 +g1011 +tp16725 +a(g8 +g1021 +tp16726 +a(g8 +g962 +tp16727 +a(g8 +g1062 +tp16728 +a(g8 +g958 +tp16729 +a(g8 +g1000 +tp16730 +a(g8 +g968 +tp16731 +a(g8 +g958 +tp16732 +a(g8 +g1000 +tp16733 +a(g8 +g1074 +tp16734 +a(g8 +g10436 +tp16735 +a(g8 +g966 +tp16736 +a(g8 +g1040 +tp16737 +a(g8 +g964 +tp16738 +a(g8 +g958 +tp16739 +a(g8 +g1000 +tp16740 +a(g8 +g968 +tp16741 +a(g8 +g958 +tp16742 +a(g8 +g998 +tp16743 +a(g8 +g971 +tp16744 +a(g8 +g1506 +tp16745 +a(g8 +g966 +tp16746 +a(g8 +g958 +tp16747 +a(g8 +g1506 +tp16748 +a(g8 +g971 +tp16749 +a(g8 +g968 +tp16750 +a(g8 +g1021 +tp16751 +a(g8 +g971 +tp16752 +a(g8 +g1074 +tp16753 +a(g8 +g973 +tp16754 +a(g8 +g966 +tp16755 +a(g8 +g958 +tp16756 +a(g8 +g964 +tp16757 +a(g8 +g978 +tp16758 +a(g8 +g1483 +tp16759 +a(g8 +g966 +tp16760 +a(g8 +g1392 +tp16761 +a(g8 +V\u000a +p16762 +tp16763 +a(g8 +g958 +tp16764 +a(g8 +g958 +tp16765 +a(g8 +g958 +tp16766 +a(g8 +g958 +tp16767 +a(g8 +g958 +tp16768 +a(g8 +g1452 +tp16769 +a(g8 +g1000 +tp16770 +a(g8 +g966 +tp16771 +a(g8 +g1033 +tp16772 +a(g8 +g958 +tp16773 +a(g8 +g962 +tp16774 +a(g8 +g1000 +tp16775 +a(g8 +g964 +tp16776 +a(g8 +g958 +tp16777 +a(g8 +g966 +tp16778 +a(g8 +g983 +tp16779 +a(g8 +g1483 +tp16780 +a(g8 +g971 +tp16781 +a(g8 +g962 +tp16782 +a(g8 +g1062 +tp16783 +a(g8 +g958 +tp16784 +a(g8 +g964 +tp16785 +a(g8 +g978 +tp16786 +a(g8 +g1483 +tp16787 +a(g8 +g966 +tp16788 +a(g8 +g958 +tp16789 +a(g8 +g1062 +tp16790 +a(g8 +g966 +tp16791 +a(g8 +g1016 +tp16792 +a(g8 +g1021 +tp16793 +a(g8 +g962 +tp16794 +a(g8 +g1021 +tp16795 +a(g8 +g964 +tp16796 +a(g8 +g1021 +tp16797 +a(g8 +g1000 +tp16798 +a(g8 +g962 +tp16799 +a(g8 +g1033 +tp16800 +a(g8 +g1392 +tp16801 +a(g8 +V\u000a +p16802 +tp16803 +a(g8 +g958 +tp16804 +a(g8 +g958 +tp16805 +a(g8 +V*) +p16806 +tp16807 +a(g827 +V\u000a +p16808 +tp16809 +a(g8 +V(* +p16810 +tp16811 +a(g8 +g958 +tp16812 +a(g8 +g1033 +tp16813 +a(g8 +g998 +tp16814 +a(g8 +g1000 +tp16815 +a(g8 +g995 +tp16816 +a(g8 +g973 +tp16817 +a(g8 +g1062 +tp16818 +a(g8 +g958 +tp16819 +a(g8 +g964 +tp16820 +a(g8 +g998 +tp16821 +a(g8 +g966 +tp16822 +a(g8 +g968 +tp16823 +a(g8 +g966 +tp16824 +a(g8 +g958 +tp16825 +a(g8 +g1483 +tp16826 +a(g8 +g1000 +tp16827 +a(g8 +g1033 +tp16828 +a(g8 +g1033 +tp16829 +a(g8 +g1021 +tp16830 +a(g8 +g1074 +tp16831 +a(g8 +g973 +tp16832 +a(g8 +g978 +tp16833 +a(g8 +g958 +tp16834 +a(g8 +g1074 +tp16835 +a(g8 +g966 +tp16836 +a(g8 +g958 +tp16837 +a(g8 +g971 +tp16838 +a(g8 +g958 +tp16839 +a(g8 +g1006 +tp16840 +a(g8 +g1024 +tp16841 +a(g8 +g962 +tp16842 +a(g8 +g1029 +tp16843 +a(g8 +g1000 +tp16844 +a(g8 +g962 +tp16845 +a(g8 +g1033 +tp16846 +a(g8 +g964 +tp16847 +a(g8 +g958 +tp16848 +a(g8 +g1040 +tp16849 +a(g8 +g971 +tp16850 +a(g8 +g1033 +tp16851 +a(g8 +g966 +tp16852 +a(g8 +g12658 +tp16853 +a(g8 +g958 +tp16854 +a(g8 +g971 +tp16855 +a(g8 +g973 +tp16856 +a(g8 +g1033 +tp16857 +a(g8 +g1000 +tp16858 +a(g8 +g958 +tp16859 +a(g8 +g964 +tp16860 +a(g8 +g971 +tp16861 +a(g8 +g968 +tp16862 +a(g8 +g1024 +tp16863 +a(g8 +g966 +tp16864 +a(g8 +g964 +tp16865 +a(g8 +g1006 +tp16866 +a(g8 +g971 +tp16867 +a(g8 +g1046 +tp16868 +a(g8 +g1910 +tp16869 +a(g8 +g1483 +tp16870 +a(g8 +g964 +tp16871 +a(g8 +g958 +tp16872 +a(g8 +g1800 +tp16873 +a(g8 +g1011 +tp16874 +a(g8 +g1704 +tp16875 +a(g8 +g958 +tp16876 +a(g8 +V*) +p16877 +tp16878 +a(g827 +V\u000a +p16879 +tp16880 +a(g794 +Vfun +p16881 +tp16882 +a(g827 +g958 +tp16883 +a(g574 +VtargetHeadOpt +p16884 +tp16885 +a(g827 +g958 +tp16886 +a(g706 +g1098 +tp16887 +a(g436 +VRoot +p16888 +tp16889 +a(g827 +g958 +tp16890 +a(g706 +g1098 +tp16891 +a(g436 +g3455 +tp16892 +a(g706 +g1026 +tp16893 +a(g827 +g958 +tp16894 +a(g706 +g1779 +tp16895 +a(g706 +g1110 +tp16896 +a(g706 +g1110 +tp16897 +a(g827 +g958 +tp16898 +a(g706 +g1119 +tp16899 +a(g827 +g958 +tp16900 +a(g436 +VSOME +p16901 +tp16902 +a(g706 +g1098 +tp16903 +a(g436 +g3455 +tp16904 +a(g706 +g1110 +tp16905 +a(g827 +V\u000a +p16906 +tp16907 +a(g706 +g1418 +tp16908 +a(g827 +g958 +tp16909 +a(g574 +VtargetHeadOpt +p16910 +tp16911 +a(g827 +g958 +tp16912 +a(g706 +g1098 +tp16913 +a(g436 +VPi +p16914 +tp16915 +a(g706 +g1098 +tp16916 +a(g706 +g1779 +tp16917 +a(g706 +g1026 +tp16918 +a(g827 +g958 +tp16919 +a(g436 +g1081 +tp16920 +a(g706 +g1110 +tp16921 +a(g706 +g1110 +tp16922 +a(g827 +g958 +tp16923 +a(g706 +g1119 +tp16924 +a(g827 +g958 +tp16925 +a(g436 +VtargetHeadOpt +p16926 +tp16927 +a(g827 +g958 +tp16928 +a(g436 +g1081 +tp16929 +a(g827 +V\u000a +p16930 +tp16931 +a(g706 +g1418 +tp16932 +a(g827 +g958 +tp16933 +a(g574 +VtargetHeadOpt +p16934 +tp16935 +a(g827 +g958 +tp16936 +a(g706 +g1098 +tp16937 +a(g436 +VRedex +p16938 +tp16939 +a(g827 +g958 +tp16940 +a(g706 +g1098 +tp16941 +a(g436 +g1081 +tp16942 +a(g706 +g1026 +tp16943 +a(g827 +g958 +tp16944 +a(g436 +g976 +tp16945 +a(g706 +g1110 +tp16946 +a(g706 +g1110 +tp16947 +a(g827 +g958 +tp16948 +a(g706 +g1119 +tp16949 +a(g827 +g958 +tp16950 +a(g436 +VtargetHeadOpt +p16951 +tp16952 +a(g827 +g958 +tp16953 +a(g436 +g1081 +tp16954 +a(g827 +V\u000a +p16955 +tp16956 +a(g706 +g1418 +tp16957 +a(g827 +g958 +tp16958 +a(g574 +VtargetHeadOpt +p16959 +tp16960 +a(g827 +g958 +tp16961 +a(g706 +g1098 +tp16962 +a(g436 +VLam +p16963 +tp16964 +a(g827 +g958 +tp16965 +a(g706 +g1098 +tp16966 +a(g706 +g1779 +tp16967 +a(g706 +g1026 +tp16968 +a(g827 +g958 +tp16969 +a(g436 +g1081 +tp16970 +a(g706 +g1110 +tp16971 +a(g706 +g1110 +tp16972 +a(g827 +g958 +tp16973 +a(g706 +g1119 +tp16974 +a(g827 +g958 +tp16975 +a(g436 +VtargetHeadOpt +p16976 +tp16977 +a(g827 +g958 +tp16978 +a(g436 +g1081 +tp16979 +a(g827 +V\u000a +p16980 +tp16981 +a(g706 +g1418 +tp16982 +a(g827 +g958 +tp16983 +a(g574 +VtargetHeadOpt +p16984 +tp16985 +a(g827 +g958 +tp16986 +a(g706 +g1098 +tp16987 +a(g436 +VEVar +p16988 +tp16989 +a(g827 +g958 +tp16990 +a(g706 +g1098 +tp16991 +a(g436 +Vref +p16992 +tp16993 +a(g827 +g958 +tp16994 +a(g706 +g1098 +tp16995 +a(g436 +VSOME +p16996 +tp16997 +a(g706 +g1098 +tp16998 +a(g436 +g1081 +tp16999 +a(g706 +g1110 +tp17000 +a(g706 +g1110 +tp17001 +a(g706 +g1026 +tp17002 +a(g706 +g1779 +tp17003 +a(g706 +g1026 +tp17004 +a(g706 +g1779 +tp17005 +a(g706 +g1026 +tp17006 +a(g706 +g1779 +tp17007 +a(g706 +g1110 +tp17008 +a(g706 +g1110 +tp17009 +a(g827 +g958 +tp17010 +a(g706 +g1119 +tp17011 +a(g827 +g958 +tp17012 +a(g436 +VtargetHeadOpt +p17013 +tp17014 +a(g827 +g958 +tp17015 +a(g436 +g1081 +tp17016 +a(g827 +V\u000a +p17017 +tp17018 +a(g706 +g1418 +tp17019 +a(g827 +g958 +tp17020 +a(g574 +VtargetHeadOpt +p17021 +tp17022 +a(g827 +g958 +tp17023 +a(g706 +g1098 +tp17024 +a(g436 +VEClo +p17025 +tp17026 +a(g827 +g958 +tp17027 +a(g706 +g1098 +tp17028 +a(g436 +g1081 +tp17029 +a(g706 +g1026 +tp17030 +a(g827 +g958 +tp17031 +a(g436 +g1033 +tp17032 +a(g706 +g1110 +tp17033 +a(g706 +g1110 +tp17034 +a(g827 +g958 +tp17035 +a(g706 +g1119 +tp17036 +a(g827 +g958 +tp17037 +a(g436 +VtargetHeadOpt +p17038 +tp17039 +a(g827 +g958 +tp17040 +a(g436 +g1081 +tp17041 +a(g827 +V\u000a +p17042 +tp17043 +a(g706 +g1418 +tp17044 +a(g827 +g958 +tp17045 +a(g574 +VtargetHeadOpt +p17046 +tp17047 +a(g827 +g958 +tp17048 +a(g706 +g1779 +tp17049 +a(g827 +g958 +tp17050 +a(g706 +g1119 +tp17051 +a(g827 +g958 +tp17052 +a(g436 +VNONE +p17053 +tp17054 +a(g827 +V\u000a +p17055 +tp17056 +a(g8 +V(* +p17057 +tp17058 +a(g8 +g958 +tp17059 +a(g8 +g1071 +tp17060 +a(g8 +g1000 +tp17061 +a(g8 +g1000 +tp17062 +a(g8 +g964 +tp17063 +a(g8 +g1098 +tp17064 +a(g8 +g1918 +tp17065 +a(g8 +g1506 +tp17066 +a(g8 +g971 +tp17067 +a(g8 +g968 +tp17068 +a(g8 +g958 +tp17069 +a(g8 +g1779 +tp17070 +a(g8 +g1026 +tp17071 +a(g8 +g958 +tp17072 +a(g8 +g1779 +tp17073 +a(g8 +g1110 +tp17074 +a(g8 +g1026 +tp17075 +a(g8 +g958 +tp17076 +a(g8 +g1071 +tp17077 +a(g8 +g1000 +tp17078 +a(g8 +g1000 +tp17079 +a(g8 +g964 +tp17080 +a(g8 +g1098 +tp17081 +a(g8 +g1006 +tp17082 +a(g8 +g1081 +tp17083 +a(g8 +g971 +tp17084 +a(g8 +g968 +tp17085 +a(g8 +g958 +tp17086 +a(g8 +g1779 +tp17087 +a(g8 +g1026 +tp17088 +a(g8 +g958 +tp17089 +a(g8 +g1779 +tp17090 +a(g8 +g1110 +tp17091 +a(g8 +g1026 +tp17092 +a(g8 +g958 +tp17093 +a(g8 +g1071 +tp17094 +a(g8 +g1000 +tp17095 +a(g8 +g1000 +tp17096 +a(g8 +g964 +tp17097 +a(g8 +g1098 +tp17098 +a(g8 +g1006 +tp17099 +a(g8 +g1024 +tp17100 +a(g8 +g962 +tp17101 +a(g8 +g1029 +tp17102 +a(g8 +g1000 +tp17103 +a(g8 +g962 +tp17104 +a(g8 +g1033 +tp17105 +a(g8 +g964 +tp17106 +a(g8 +g958 +tp17107 +a(g8 +g1779 +tp17108 +a(g8 +g1026 +tp17109 +a(g8 +g958 +tp17110 +a(g8 +g1779 +tp17111 +a(g8 +g1110 +tp17112 +a(g8 +g1026 +tp17113 +a(g8 +V\u000a +p17114 +tp17115 +a(g8 +g958 +tp17116 +a(g8 +g958 +tp17117 +a(g8 +g958 +tp17118 +a(g8 +g958 +tp17119 +a(g8 +g958 +tp17120 +a(g8 +g958 +tp17121 +a(g8 +g958 +tp17122 +a(g8 +g958 +tp17123 +a(g8 +g958 +tp17124 +a(g8 +g1902 +tp17125 +a(g8 +g1081 +tp17126 +a(g8 +g971 +tp17127 +a(g8 +g968 +tp17128 +a(g8 +g1098 +tp17129 +a(g8 +g968 +tp17130 +a(g8 +g966 +tp17131 +a(g8 +g1016 +tp17132 +a(g8 +g958 +tp17133 +a(g8 +g1825 +tp17134 +a(g8 +g1910 +tp17135 +a(g8 +g1825 +tp17136 +a(g8 +g1902 +tp17137 +a(g8 +g1026 +tp17138 +a(g8 +g1392 +tp17139 +a(g8 +g1392 +tp17140 +a(g8 +g1110 +tp17141 +a(g8 +g1026 +tp17142 +a(g8 +g958 +tp17143 +a(g8 +g2708 +tp17144 +a(g8 +g962 +tp17145 +a(g8 +g1021 +tp17146 +a(g8 +g1026 +tp17147 +a(g8 +g958 +tp17148 +a(g8 +g1006 +tp17149 +a(g8 +g1024 +tp17150 +a(g8 +g962 +tp17151 +a(g8 +g1902 +tp17152 +a(g8 +g983 +tp17153 +a(g8 +g1483 +tp17154 +a(g8 +g958 +tp17155 +a(g8 +g1779 +tp17156 +a(g8 +V\u000a +p17157 +tp17158 +a(g8 +g958 +tp17159 +a(g8 +g958 +tp17160 +a(g8 +g958 +tp17161 +a(g8 +g958 +tp17162 +a(g8 +g958 +tp17163 +a(g8 +g958 +tp17164 +a(g8 +V*) +p17165 +tp17166 +a(g827 +V\u000a +p17167 +tp17168 +a(g8 +V(* +p17169 +tp17170 +a(g8 +g958 +tp17171 +a(g8 +g1071 +tp17172 +a(g8 +g1000 +tp17173 +a(g8 +g1000 +tp17174 +a(g8 +g964 +tp17175 +a(g8 +g1098 +tp17176 +a(g8 +g976 +tp17177 +a(g8 +g1011 +tp17178 +a(g8 +g1000 +tp17179 +a(g8 +g962 +tp17180 +a(g8 +g1033 +tp17181 +a(g8 +g964 +tp17182 +a(g8 +g958 +tp17183 +a(g8 +g1779 +tp17184 +a(g8 +g1026 +tp17185 +a(g8 +g958 +tp17186 +a(g8 +g1779 +tp17187 +a(g8 +g1110 +tp17188 +a(g8 +g958 +tp17189 +a(g8 +g1040 +tp17190 +a(g8 +g971 +tp17191 +a(g8 +g962 +tp17192 +a(g8 +g1495 +tp17193 +a(g8 +g964 +tp17194 +a(g8 +g958 +tp17195 +a(g8 +g1000 +tp17196 +a(g8 +g1040 +tp17197 +a(g8 +g1040 +tp17198 +a(g8 +g995 +tp17199 +a(g8 +g968 +tp17200 +a(g8 +g958 +tp17201 +a(g8 +V*) +p17202 +tp17203 +a(g827 +V\u000a +p17204 +tp17205 +a(g8 +V(* +p17206 +tp17207 +a(g8 +g958 +tp17208 +a(g8 +g964 +tp17209 +a(g8 +g971 +tp17210 +a(g8 +g968 +tp17211 +a(g8 +g1024 +tp17212 +a(g8 +g966 +tp17213 +a(g8 +g964 +tp17214 +a(g8 +g3455 +tp17215 +a(g8 +g966 +tp17216 +a(g8 +g971 +tp17217 +a(g8 +g1062 +tp17218 +a(g8 +g958 +tp17219 +a(g8 +g1098 +tp17220 +a(g8 +g993 +tp17221 +a(g8 +g1110 +tp17222 +a(g8 +g958 +tp17223 +a(g8 +g1119 +tp17224 +a(g8 +g958 +tp17225 +a(g8 +g971 +tp17226 +a(g8 +V\u000a +p17227 +tp17228 +a(g8 +g958 +tp17229 +a(g8 +g958 +tp17230 +a(g8 +g958 +tp17231 +a(g8 +g958 +tp17232 +a(g8 +g958 +tp17233 +a(g8 +g971 +tp17234 +a(g8 +g1033 +tp17235 +a(g8 +g958 +tp17236 +a(g8 +g1021 +tp17237 +a(g8 +g962 +tp17238 +a(g8 +g958 +tp17239 +a(g8 +g964 +tp17240 +a(g8 +g971 +tp17241 +a(g8 +g968 +tp17242 +a(g8 +g1024 +tp17243 +a(g8 +g966 +tp17244 +a(g8 +g964 +tp17245 +a(g8 +g3455 +tp17246 +a(g8 +g966 +tp17247 +a(g8 +g971 +tp17248 +a(g8 +g1062 +tp17249 +a(g8 +g1910 +tp17250 +a(g8 +g1483 +tp17251 +a(g8 +g964 +tp17252 +a(g8 +g1026 +tp17253 +a(g8 +g958 +tp17254 +a(g8 +g966 +tp17255 +a(g8 +g983 +tp17256 +a(g8 +g1040 +tp17257 +a(g8 +g966 +tp17258 +a(g8 +g1483 +tp17259 +a(g8 +g964 +tp17260 +a(g8 +g958 +tp17261 +a(g8 +g1081 +tp17262 +a(g8 +g958 +tp17263 +a(g8 +g1046 +tp17264 +a(g8 +g995 +tp17265 +a(g8 +g1033 +tp17266 +a(g8 +g964 +tp17267 +a(g8 +g958 +tp17268 +a(g8 +g1074 +tp17269 +a(g8 +g966 +tp17270 +a(g8 +g958 +tp17271 +a(g8 +g971 +tp17272 +a(g8 +g958 +tp17273 +a(g8 +g1506 +tp17274 +a(g8 +g971 +tp17275 +a(g8 +g973 +tp17276 +a(g8 +g1021 +tp17277 +a(g8 +g1062 +tp17278 +a(g8 +g958 +tp17279 +a(g8 +g964 +tp17280 +a(g8 +g978 +tp17281 +a(g8 +g1483 +tp17282 +a(g8 +g966 +tp17283 +a(g8 +V\u000a +p17284 +tp17285 +a(g8 +g958 +tp17286 +a(g8 +g958 +tp17287 +a(g8 +V*) +p17288 +tp17289 +a(g827 +V\u000a +p17290 +tp17291 +a(g794 +Vfun +p17292 +tp17293 +a(g827 +g958 +tp17294 +a(g574 +VtargetHead +p17295 +tp17296 +a(g827 +g958 +tp17297 +a(g706 +g1098 +tp17298 +a(g436 +g993 +tp17299 +a(g706 +g1110 +tp17300 +a(g827 +g958 +tp17301 +a(g706 +g1119 +tp17302 +a(g827 +g958 +tp17303 +a(g436 +VvalOf +p17304 +tp17305 +a(g827 +g958 +tp17306 +a(g706 +g1098 +tp17307 +a(g436 +VtargetHeadOpt +p17308 +tp17309 +a(g827 +g958 +tp17310 +a(g436 +g993 +tp17311 +a(g706 +g1110 +tp17312 +a(g827 +V\u000a \u000a +p17313 +tp17314 +a(g8 +V(* +p17315 +tp17316 +a(g8 +g958 +tp17317 +a(g8 +g964 +tp17318 +a(g8 +g971 +tp17319 +a(g8 +g968 +tp17320 +a(g8 +g1024 +tp17321 +a(g8 +g966 +tp17322 +a(g8 +g964 +tp17323 +a(g8 +g1006 +tp17324 +a(g8 +g971 +tp17325 +a(g8 +g1046 +tp17326 +a(g8 +g1910 +tp17327 +a(g8 +g1483 +tp17328 +a(g8 +g964 +tp17329 +a(g8 +g958 +tp17330 +a(g8 +g1098 +tp17331 +a(g8 +g1081 +tp17332 +a(g8 +g1110 +tp17333 +a(g8 +g958 +tp17334 +a(g8 +g1119 +tp17335 +a(g8 +g958 +tp17336 +a(g8 +g976 +tp17337 +a(g8 +g1910 +tp17338 +a(g8 +g1059 +tp17339 +a(g8 +g1902 +tp17340 +a(g8 +g1098 +tp17341 +a(g8 +g1040 +tp17342 +a(g8 +g1021 +tp17343 +a(g8 +g1062 +tp17344 +a(g8 +g1110 +tp17345 +a(g8 +g958 +tp17346 +a(g8 +g1000 +tp17347 +a(g8 +g968 +tp17348 +a(g8 +g958 +tp17349 +a(g8 +g1825 +tp17350 +a(g8 +g1910 +tp17351 +a(g8 +g1825 +tp17352 +a(g8 +g1902 +tp17353 +a(g8 +V\u000a +p17354 +tp17355 +a(g8 +g958 +tp17356 +a(g8 +g958 +tp17357 +a(g8 +g958 +tp17358 +a(g8 +g958 +tp17359 +a(g8 +g958 +tp17360 +a(g8 +g1704 +tp17361 +a(g8 +g998 +tp17362 +a(g8 +g966 +tp17363 +a(g8 +g968 +tp17364 +a(g8 +g966 +tp17365 +a(g8 +g958 +tp17366 +a(g8 +g1040 +tp17367 +a(g8 +g1021 +tp17368 +a(g8 +g1062 +tp17369 +a(g8 +g958 +tp17370 +a(g8 +g1021 +tp17371 +a(g8 +g1033 +tp17372 +a(g8 +g958 +tp17373 +a(g8 +g964 +tp17374 +a(g8 +g998 +tp17375 +a(g8 +g966 +tp17376 +a(g8 +g958 +tp17377 +a(g8 +g964 +tp17378 +a(g8 +g978 +tp17379 +a(g8 +g1483 +tp17380 +a(g8 +g966 +tp17381 +a(g8 +g958 +tp17382 +a(g8 +g1016 +tp17383 +a(g8 +g971 +tp17384 +a(g8 +g1046 +tp17385 +a(g8 +g1021 +tp17386 +a(g8 +g973 +tp17387 +a(g8 +g978 +tp17388 +a(g8 +g958 +tp17389 +a(g8 +g1000 +tp17390 +a(g8 +g1016 +tp17391 +a(g8 +g958 +tp17392 +a(g8 +g964 +tp17393 +a(g8 +g998 +tp17394 +a(g8 +g966 +tp17395 +a(g8 +g958 +tp17396 +a(g8 +g971 +tp17397 +a(g8 +g964 +tp17398 +a(g8 +g1000 +tp17399 +a(g8 +g1046 +tp17400 +a(g8 +g1021 +tp17401 +a(g8 +g1040 +tp17402 +a(g8 +g958 +tp17403 +a(g8 +g964 +tp17404 +a(g8 +g971 +tp17405 +a(g8 +g968 +tp17406 +a(g8 +g1024 +tp17407 +a(g8 +g966 +tp17408 +a(g8 +g964 +tp17409 +a(g8 +g958 +tp17410 +a(g8 +g964 +tp17411 +a(g8 +g978 +tp17412 +a(g8 +g1483 +tp17413 +a(g8 +g966 +tp17414 +a(g8 +g958 +tp17415 +a(g8 +g1000 +tp17416 +a(g8 +g1016 +tp17417 +a(g8 +g958 +tp17418 +a(g8 +g1081 +tp17419 +a(g8 +g1026 +tp17420 +a(g8 +V\u000a +p17421 +tp17422 +a(g8 +g958 +tp17423 +a(g8 +g958 +tp17424 +a(g8 +g958 +tp17425 +a(g8 +g958 +tp17426 +a(g8 +g958 +tp17427 +a(g8 +g1825 +tp17428 +a(g8 +g1910 +tp17429 +a(g8 +g1825 +tp17430 +a(g8 +g1902 +tp17431 +a(g8 +g958 +tp17432 +a(g8 +g1021 +tp17433 +a(g8 +g1016 +tp17434 +a(g8 +g958 +tp17435 +a(g8 +g1081 +tp17436 +a(g8 +g958 +tp17437 +a(g8 +g1021 +tp17438 +a(g8 +g1033 +tp17439 +a(g8 +g958 +tp17440 +a(g8 +g971 +tp17441 +a(g8 +g958 +tp17442 +a(g8 +g1011 +tp17443 +a(g8 +g1021 +tp17444 +a(g8 +g962 +tp17445 +a(g8 +g1062 +tp17446 +a(g8 +g958 +tp17447 +a(g8 +g1000 +tp17448 +a(g8 +g968 +tp17449 +a(g8 +g958 +tp17450 +a(g8 +g1000 +tp17451 +a(g8 +g1074 +tp17452 +a(g8 +g10436 +tp17453 +a(g8 +g966 +tp17454 +a(g8 +g1040 +tp17455 +a(g8 +g964 +tp17456 +a(g8 +g958 +tp17457 +a(g8 +g1000 +tp17458 +a(g8 +g968 +tp17459 +a(g8 +g958 +tp17460 +a(g8 +g998 +tp17461 +a(g8 +g971 +tp17462 +a(g8 +g1506 +tp17463 +a(g8 +g966 +tp17464 +a(g8 +g958 +tp17465 +a(g8 +g1506 +tp17466 +a(g8 +g971 +tp17467 +a(g8 +g968 +tp17468 +a(g8 +g1021 +tp17469 +a(g8 +g971 +tp17470 +a(g8 +g1074 +tp17471 +a(g8 +g973 +tp17472 +a(g8 +g966 +tp17473 +a(g8 +g958 +tp17474 +a(g8 +g964 +tp17475 +a(g8 +g978 +tp17476 +a(g8 +g1483 +tp17477 +a(g8 +g966 +tp17478 +a(g8 +g1392 +tp17479 +a(g8 +V\u000a +p17480 +tp17481 +a(g8 +g958 +tp17482 +a(g8 +g958 +tp17483 +a(g8 +g958 +tp17484 +a(g8 +g958 +tp17485 +a(g8 +g958 +tp17486 +a(g8 +g1452 +tp17487 +a(g8 +g1000 +tp17488 +a(g8 +g966 +tp17489 +a(g8 +g1033 +tp17490 +a(g8 +g958 +tp17491 +a(g8 +g966 +tp17492 +a(g8 +g983 +tp17493 +a(g8 +g1483 +tp17494 +a(g8 +g971 +tp17495 +a(g8 +g962 +tp17496 +a(g8 +g1062 +tp17497 +a(g8 +g958 +tp17498 +a(g8 +g964 +tp17499 +a(g8 +g978 +tp17500 +a(g8 +g1483 +tp17501 +a(g8 +g966 +tp17502 +a(g8 +g958 +tp17503 +a(g8 +g1062 +tp17504 +a(g8 +g966 +tp17505 +a(g8 +g1016 +tp17506 +a(g8 +g1021 +tp17507 +a(g8 +g962 +tp17508 +a(g8 +g1021 +tp17509 +a(g8 +g964 +tp17510 +a(g8 +g1021 +tp17511 +a(g8 +g1000 +tp17512 +a(g8 +g962 +tp17513 +a(g8 +g1033 +tp17514 +a(g8 +g1392 +tp17515 +a(g8 +V\u000a +p17516 +tp17517 +a(g8 +g958 +tp17518 +a(g8 +g958 +tp17519 +a(g8 +V*) +p17520 +tp17521 +a(g827 +V\u000a +p17522 +tp17523 +a(g794 +Vfun +p17524 +tp17525 +a(g827 +g958 +tp17526 +a(g574 +VtargetFamOpt +p17527 +tp17528 +a(g827 +g958 +tp17529 +a(g706 +g1098 +tp17530 +a(g436 +VRoot +p17531 +tp17532 +a(g827 +g958 +tp17533 +a(g706 +g1098 +tp17534 +a(g436 +VConst +p17535 +tp17536 +a(g706 +g1098 +tp17537 +a(g436 +Vcid +p17538 +tp17539 +a(g706 +g1110 +tp17540 +a(g706 +g1026 +tp17541 +a(g827 +g958 +tp17542 +a(g706 +g1779 +tp17543 +a(g706 +g1110 +tp17544 +a(g706 +g1110 +tp17545 +a(g827 +g958 +tp17546 +a(g706 +g1119 +tp17547 +a(g827 +g958 +tp17548 +a(g436 +VSOME +p17549 +tp17550 +a(g706 +g1098 +tp17551 +a(g436 +Vcid +p17552 +tp17553 +a(g706 +g1110 +tp17554 +a(g827 +V\u000a +p17555 +tp17556 +a(g706 +g1418 +tp17557 +a(g827 +g958 +tp17558 +a(g574 +VtargetFamOpt +p17559 +tp17560 +a(g827 +g958 +tp17561 +a(g706 +g1098 +tp17562 +a(g436 +VPi +p17563 +tp17564 +a(g706 +g1098 +tp17565 +a(g706 +g1779 +tp17566 +a(g706 +g1026 +tp17567 +a(g827 +g958 +tp17568 +a(g436 +g1081 +tp17569 +a(g706 +g1110 +tp17570 +a(g706 +g1110 +tp17571 +a(g827 +g958 +tp17572 +a(g706 +g1119 +tp17573 +a(g827 +g958 +tp17574 +a(g436 +VtargetFamOpt +p17575 +tp17576 +a(g827 +g958 +tp17577 +a(g436 +g1081 +tp17578 +a(g827 +V\u000a +p17579 +tp17580 +a(g706 +g1418 +tp17581 +a(g827 +g958 +tp17582 +a(g574 +VtargetFamOpt +p17583 +tp17584 +a(g827 +g958 +tp17585 +a(g706 +g1098 +tp17586 +a(g436 +VRoot +p17587 +tp17588 +a(g827 +g958 +tp17589 +a(g706 +g1098 +tp17590 +a(g436 +VDef +p17591 +tp17592 +a(g706 +g1098 +tp17593 +a(g436 +Vcid +p17594 +tp17595 +a(g706 +g1110 +tp17596 +a(g706 +g1026 +tp17597 +a(g827 +g958 +tp17598 +a(g706 +g1779 +tp17599 +a(g706 +g1110 +tp17600 +a(g706 +g1110 +tp17601 +a(g827 +g958 +tp17602 +a(g706 +g1119 +tp17603 +a(g827 +g958 +tp17604 +a(g436 +VtargetFamOpt +p17605 +tp17606 +a(g827 +g958 +tp17607 +a(g706 +g1098 +tp17608 +a(g436 +VconstDef +p17609 +tp17610 +a(g827 +g958 +tp17611 +a(g436 +Vcid +p17612 +tp17613 +a(g706 +g1110 +tp17614 +a(g827 +V\u000a +p17615 +tp17616 +a(g706 +g1418 +tp17617 +a(g827 +g958 +tp17618 +a(g574 +VtargetFamOpt +p17619 +tp17620 +a(g827 +g958 +tp17621 +a(g706 +g1098 +tp17622 +a(g436 +VRedex +p17623 +tp17624 +a(g827 +g958 +tp17625 +a(g706 +g1098 +tp17626 +a(g436 +g1081 +tp17627 +a(g706 +g1026 +tp17628 +a(g827 +g958 +tp17629 +a(g436 +g976 +tp17630 +a(g706 +g1110 +tp17631 +a(g706 +g1110 +tp17632 +a(g827 +g958 +tp17633 +a(g706 +g1119 +tp17634 +a(g827 +g958 +tp17635 +a(g436 +VtargetFamOpt +p17636 +tp17637 +a(g827 +g958 +tp17638 +a(g436 +g1081 +tp17639 +a(g827 +V\u000a +p17640 +tp17641 +a(g706 +g1418 +tp17642 +a(g827 +g958 +tp17643 +a(g574 +VtargetFamOpt +p17644 +tp17645 +a(g827 +g958 +tp17646 +a(g706 +g1098 +tp17647 +a(g436 +VLam +p17648 +tp17649 +a(g827 +g958 +tp17650 +a(g706 +g1098 +tp17651 +a(g706 +g1779 +tp17652 +a(g706 +g1026 +tp17653 +a(g827 +g958 +tp17654 +a(g436 +g1081 +tp17655 +a(g706 +g1110 +tp17656 +a(g706 +g1110 +tp17657 +a(g827 +g958 +tp17658 +a(g706 +g1119 +tp17659 +a(g827 +g958 +tp17660 +a(g436 +VtargetFamOpt +p17661 +tp17662 +a(g827 +g958 +tp17663 +a(g436 +g1081 +tp17664 +a(g827 +V\u000a +p17665 +tp17666 +a(g706 +g1418 +tp17667 +a(g827 +g958 +tp17668 +a(g574 +VtargetFamOpt +p17669 +tp17670 +a(g827 +g958 +tp17671 +a(g706 +g1098 +tp17672 +a(g436 +VEVar +p17673 +tp17674 +a(g827 +g958 +tp17675 +a(g706 +g1098 +tp17676 +a(g436 +Vref +p17677 +tp17678 +a(g827 +g958 +tp17679 +a(g706 +g1098 +tp17680 +a(g436 +VSOME +p17681 +tp17682 +a(g706 +g1098 +tp17683 +a(g436 +g1081 +tp17684 +a(g706 +g1110 +tp17685 +a(g706 +g1110 +tp17686 +a(g706 +g1026 +tp17687 +a(g706 +g1779 +tp17688 +a(g706 +g1026 +tp17689 +a(g706 +g1779 +tp17690 +a(g706 +g1026 +tp17691 +a(g706 +g1779 +tp17692 +a(g706 +g1110 +tp17693 +a(g706 +g1110 +tp17694 +a(g827 +g958 +tp17695 +a(g706 +g1119 +tp17696 +a(g827 +g958 +tp17697 +a(g436 +VtargetFamOpt +p17698 +tp17699 +a(g827 +g958 +tp17700 +a(g436 +g1081 +tp17701 +a(g827 +V\u000a +p17702 +tp17703 +a(g706 +g1418 +tp17704 +a(g827 +g958 +tp17705 +a(g574 +VtargetFamOpt +p17706 +tp17707 +a(g827 +g958 +tp17708 +a(g706 +g1098 +tp17709 +a(g436 +VEClo +p17710 +tp17711 +a(g827 +g958 +tp17712 +a(g706 +g1098 +tp17713 +a(g436 +g1081 +tp17714 +a(g706 +g1026 +tp17715 +a(g827 +g958 +tp17716 +a(g436 +g1033 +tp17717 +a(g706 +g1110 +tp17718 +a(g706 +g1110 +tp17719 +a(g827 +g958 +tp17720 +a(g706 +g1119 +tp17721 +a(g827 +g958 +tp17722 +a(g436 +VtargetFamOpt +p17723 +tp17724 +a(g827 +g958 +tp17725 +a(g436 +g1081 +tp17726 +a(g827 +V\u000a +p17727 +tp17728 +a(g706 +g1418 +tp17729 +a(g827 +g958 +tp17730 +a(g574 +VtargetFamOpt +p17731 +tp17732 +a(g827 +g958 +tp17733 +a(g706 +g1779 +tp17734 +a(g827 +g958 +tp17735 +a(g706 +g1119 +tp17736 +a(g827 +g958 +tp17737 +a(g436 +VNONE +p17738 +tp17739 +a(g827 +V\u000a +p17740 +tp17741 +a(g8 +V(* +p17742 +tp17743 +a(g8 +g958 +tp17744 +a(g8 +g1071 +tp17745 +a(g8 +g1000 +tp17746 +a(g8 +g1000 +tp17747 +a(g8 +g964 +tp17748 +a(g8 +g1098 +tp17749 +a(g8 +g1918 +tp17750 +a(g8 +g1506 +tp17751 +a(g8 +g971 +tp17752 +a(g8 +g968 +tp17753 +a(g8 +g958 +tp17754 +a(g8 +g1779 +tp17755 +a(g8 +g1026 +tp17756 +a(g8 +g958 +tp17757 +a(g8 +g1779 +tp17758 +a(g8 +g1110 +tp17759 +a(g8 +g1026 +tp17760 +a(g8 +g958 +tp17761 +a(g8 +g1071 +tp17762 +a(g8 +g1000 +tp17763 +a(g8 +g1000 +tp17764 +a(g8 +g964 +tp17765 +a(g8 +g1098 +tp17766 +a(g8 +g1006 +tp17767 +a(g8 +g1081 +tp17768 +a(g8 +g971 +tp17769 +a(g8 +g968 +tp17770 +a(g8 +g958 +tp17771 +a(g8 +g1779 +tp17772 +a(g8 +g1026 +tp17773 +a(g8 +g958 +tp17774 +a(g8 +g1779 +tp17775 +a(g8 +g1110 +tp17776 +a(g8 +g1026 +tp17777 +a(g8 +g958 +tp17778 +a(g8 +g1071 +tp17779 +a(g8 +g1000 +tp17780 +a(g8 +g1000 +tp17781 +a(g8 +g964 +tp17782 +a(g8 +g1098 +tp17783 +a(g8 +g1006 +tp17784 +a(g8 +g1024 +tp17785 +a(g8 +g962 +tp17786 +a(g8 +g1029 +tp17787 +a(g8 +g1000 +tp17788 +a(g8 +g962 +tp17789 +a(g8 +g1033 +tp17790 +a(g8 +g964 +tp17791 +a(g8 +g958 +tp17792 +a(g8 +g1779 +tp17793 +a(g8 +g1026 +tp17794 +a(g8 +g958 +tp17795 +a(g8 +g1779 +tp17796 +a(g8 +g1110 +tp17797 +a(g8 +g1026 +tp17798 +a(g8 +V\u000a +p17799 +tp17800 +a(g8 +g958 +tp17801 +a(g8 +g958 +tp17802 +a(g8 +g958 +tp17803 +a(g8 +g958 +tp17804 +a(g8 +g958 +tp17805 +a(g8 +g958 +tp17806 +a(g8 +g958 +tp17807 +a(g8 +g958 +tp17808 +a(g8 +g958 +tp17809 +a(g8 +g1902 +tp17810 +a(g8 +g1081 +tp17811 +a(g8 +g971 +tp17812 +a(g8 +g968 +tp17813 +a(g8 +g1098 +tp17814 +a(g8 +g968 +tp17815 +a(g8 +g966 +tp17816 +a(g8 +g1016 +tp17817 +a(g8 +g958 +tp17818 +a(g8 +g1825 +tp17819 +a(g8 +g1910 +tp17820 +a(g8 +g1825 +tp17821 +a(g8 +g1902 +tp17822 +a(g8 +g1026 +tp17823 +a(g8 +g1392 +tp17824 +a(g8 +g1392 +tp17825 +a(g8 +g1110 +tp17826 +a(g8 +g1026 +tp17827 +a(g8 +g958 +tp17828 +a(g8 +g2708 +tp17829 +a(g8 +g962 +tp17830 +a(g8 +g1021 +tp17831 +a(g8 +g1026 +tp17832 +a(g8 +g958 +tp17833 +a(g8 +g1006 +tp17834 +a(g8 +g1024 +tp17835 +a(g8 +g962 +tp17836 +a(g8 +g1902 +tp17837 +a(g8 +g983 +tp17838 +a(g8 +g1483 +tp17839 +a(g8 +g958 +tp17840 +a(g8 +g1779 +tp17841 +a(g8 +V\u000a +p17842 +tp17843 +a(g8 +g958 +tp17844 +a(g8 +g958 +tp17845 +a(g8 +g958 +tp17846 +a(g8 +g958 +tp17847 +a(g8 +g958 +tp17848 +a(g8 +g958 +tp17849 +a(g8 +V*) +p17850 +tp17851 +a(g827 +V\u000a +p17852 +tp17853 +a(g8 +V(* +p17854 +tp17855 +a(g8 +g958 +tp17856 +a(g8 +g1071 +tp17857 +a(g8 +g1000 +tp17858 +a(g8 +g1000 +tp17859 +a(g8 +g964 +tp17860 +a(g8 +g1098 +tp17861 +a(g8 +g976 +tp17862 +a(g8 +g1011 +tp17863 +a(g8 +g1000 +tp17864 +a(g8 +g962 +tp17865 +a(g8 +g1033 +tp17866 +a(g8 +g964 +tp17867 +a(g8 +g958 +tp17868 +a(g8 +g1779 +tp17869 +a(g8 +g1026 +tp17870 +a(g8 +g958 +tp17871 +a(g8 +g1779 +tp17872 +a(g8 +g1110 +tp17873 +a(g8 +g958 +tp17874 +a(g8 +g1040 +tp17875 +a(g8 +g971 +tp17876 +a(g8 +g962 +tp17877 +a(g8 +g1495 +tp17878 +a(g8 +g964 +tp17879 +a(g8 +g958 +tp17880 +a(g8 +g1000 +tp17881 +a(g8 +g1040 +tp17882 +a(g8 +g1040 +tp17883 +a(g8 +g995 +tp17884 +a(g8 +g968 +tp17885 +a(g8 +g958 +tp17886 +a(g8 +V*) +p17887 +tp17888 +a(g827 +V\u000a +p17889 +tp17890 +a(g8 +V(* +p17891 +tp17892 +a(g8 +g958 +tp17893 +a(g8 +g964 +tp17894 +a(g8 +g971 +tp17895 +a(g8 +g968 +tp17896 +a(g8 +g1024 +tp17897 +a(g8 +g966 +tp17898 +a(g8 +g964 +tp17899 +a(g8 +g1006 +tp17900 +a(g8 +g971 +tp17901 +a(g8 +g1046 +tp17902 +a(g8 +g958 +tp17903 +a(g8 +g1098 +tp17904 +a(g8 +g993 +tp17905 +a(g8 +g1110 +tp17906 +a(g8 +g958 +tp17907 +a(g8 +g1119 +tp17908 +a(g8 +g958 +tp17909 +a(g8 +g971 +tp17910 +a(g8 +V\u000a +p17911 +tp17912 +a(g8 +g958 +tp17913 +a(g8 +g958 +tp17914 +a(g8 +g958 +tp17915 +a(g8 +g958 +tp17916 +a(g8 +g958 +tp17917 +a(g8 +g971 +tp17918 +a(g8 +g1033 +tp17919 +a(g8 +g958 +tp17920 +a(g8 +g1021 +tp17921 +a(g8 +g962 +tp17922 +a(g8 +g958 +tp17923 +a(g8 +g964 +tp17924 +a(g8 +g971 +tp17925 +a(g8 +g968 +tp17926 +a(g8 +g1024 +tp17927 +a(g8 +g966 +tp17928 +a(g8 +g964 +tp17929 +a(g8 +g1006 +tp17930 +a(g8 +g971 +tp17931 +a(g8 +g1046 +tp17932 +a(g8 +g1910 +tp17933 +a(g8 +g1483 +tp17934 +a(g8 +g964 +tp17935 +a(g8 +g1026 +tp17936 +a(g8 +g958 +tp17937 +a(g8 +g966 +tp17938 +a(g8 +g983 +tp17939 +a(g8 +g1040 +tp17940 +a(g8 +g966 +tp17941 +a(g8 +g1483 +tp17942 +a(g8 +g964 +tp17943 +a(g8 +g958 +tp17944 +a(g8 +g1081 +tp17945 +a(g8 +g958 +tp17946 +a(g8 +g1046 +tp17947 +a(g8 +g995 +tp17948 +a(g8 +g1033 +tp17949 +a(g8 +g964 +tp17950 +a(g8 +g958 +tp17951 +a(g8 +g1074 +tp17952 +a(g8 +g966 +tp17953 +a(g8 +g958 +tp17954 +a(g8 +g971 +tp17955 +a(g8 +g958 +tp17956 +a(g8 +g1506 +tp17957 +a(g8 +g971 +tp17958 +a(g8 +g973 +tp17959 +a(g8 +g1021 +tp17960 +a(g8 +g1062 +tp17961 +a(g8 +g958 +tp17962 +a(g8 +g964 +tp17963 +a(g8 +g978 +tp17964 +a(g8 +g1483 +tp17965 +a(g8 +g966 +tp17966 +a(g8 +V\u000a +p17967 +tp17968 +a(g8 +g958 +tp17969 +a(g8 +g958 +tp17970 +a(g8 +V*) +p17971 +tp17972 +a(g827 +V\u000a +p17973 +tp17974 +a(g794 +Vfun +p17975 +tp17976 +a(g827 +g958 +tp17977 +a(g574 +VtargetFam +p17978 +tp17979 +a(g827 +g958 +tp17980 +a(g706 +g1098 +tp17981 +a(g436 +g993 +tp17982 +a(g706 +g1110 +tp17983 +a(g827 +g958 +tp17984 +a(g706 +g1119 +tp17985 +a(g827 +g958 +tp17986 +a(g436 +VvalOf +p17987 +tp17988 +a(g827 +g958 +tp17989 +a(g706 +g1098 +tp17990 +a(g436 +VtargetFamOpt +p17991 +tp17992 +a(g827 +g958 +tp17993 +a(g436 +g993 +tp17994 +a(g706 +g1110 +tp17995 +a(g827 +V\u000a \u000a +p17996 +tp17997 +a(g794 +Vend +p17998 +tp17999 +a(g706 +g1893 +tp18000 +a(g827 +V +p18001 +tp18002 +a(g8 +V(* +p18003 +tp18004 +a(g8 +g958 +tp18005 +a(g8 +g1016 +tp18006 +a(g8 +g995 +tp18007 +a(g8 +g962 +tp18008 +a(g8 +g1040 +tp18009 +a(g8 +g964 +tp18010 +a(g8 +g1000 +tp18011 +a(g8 +g968 +tp18012 +a(g8 +g958 +tp18013 +a(g8 +g960 +tp18014 +a(g8 +g962 +tp18015 +a(g8 +g964 +tp18016 +a(g8 +g976 +tp18017 +a(g8 +g978 +tp18018 +a(g8 +g962 +tp18019 +a(g8 +g958 +tp18020 +a(g8 +V*) +p18021 +tp18022 +a(g827 +V\u000a\u000a +p18023 +tp18024 +a(g794 +Vstructure +p18025 +tp18026 +a(g827 +g958 +tp18027 +a(g621 +VIntSyn +p18028 +tp18029 +a(g827 +g958 +tp18030 +a(g706 +V:> +p18031 +tp18032 +a(g827 +g958 +tp18033 +a(g436 +VINTSYN +p18034 +tp18035 +a(g827 +g958 +tp18036 +a(g706 +g1119 +tp18037 +a(g827 +V\u000a +p18038 +tp18039 +a(g436 +VIntSyn +p18040 +tp18041 +a(g827 +g958 +tp18042 +a(g706 +g1098 +tp18043 +a(g794 +Vstructure +p18044 +tp18045 +a(g827 +g958 +tp18046 +a(g621 +VGlobal +p18047 +tp18048 +a(g827 +g958 +tp18049 +a(g706 +g1119 +tp18050 +a(g827 +g958 +tp18051 +a(g436 +VGlobal +p18052 +tp18053 +a(g706 +g1110 +tp18054 +a(g706 +g1893 +tp18055 +a(g827 +V\u000a +p18056 +tp18057 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/intsyn.sig b/tests/examplefiles/output/intsyn.sig new file mode 100644 index 0000000..16915b6 --- /dev/null +++ b/tests/examplefiles/output/intsyn.sig @@ -0,0 +1,24734 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV(* +p956 +tp957 +a(g8 +V +p958 +tp959 +a(g8 +VI +p960 +tp961 +a(g8 +Vn +p962 +tp963 +a(g8 +Vt +p964 +tp965 +a(g8 +Ve +p966 +tp967 +a(g8 +Vr +p968 +tp969 +a(g8 +g962 +tp970 +a(g8 +Va +p971 +tp972 +a(g8 +Vl +p973 +tp974 +a(g8 +g958 +tp975 +a(g8 +VS +p976 +tp977 +a(g8 +Vy +p978 +tp979 +a(g8 +g962 +tp980 +a(g8 +g964 +tp981 +a(g8 +g971 +tp982 +a(g8 +Vx +p983 +tp984 +a(g8 +g958 +tp985 +a(g8 +V*) +p986 +tp987 +a(g827 +V \u000a +p988 +tp989 +a(g8 +V(* +p990 +tp991 +a(g8 +g958 +tp992 +a(g8 +VA +p993 +tp994 +a(g8 +Vu +p995 +tp996 +a(g8 +g964 +tp997 +a(g8 +Vh +p998 +tp999 +a(g8 +Vo +p1000 +tp1001 +a(g8 +g968 +tp1002 +a(g8 +V: +p1003 +tp1004 +a(g8 +g958 +tp1005 +a(g8 +VF +p1006 +tp1007 +a(g8 +g968 +tp1008 +a(g8 +g971 +tp1009 +a(g8 +g962 +tp1010 +a(g8 +Vk +p1011 +tp1012 +a(g8 +g958 +tp1013 +a(g8 +VP +p1014 +tp1015 +a(g8 +Vf +p1016 +tp1017 +a(g8 +g966 +tp1018 +a(g8 +g962 +tp1019 +a(g8 +g962 +tp1020 +a(g8 +Vi +p1021 +tp1022 +a(g8 +g962 +tp1023 +a(g8 +Vg +p1024 +tp1025 +a(g8 +V, +p1026 +tp1027 +a(g8 +g958 +tp1028 +a(g8 +VC +p1029 +tp1030 +a(g8 +g971 +tp1031 +a(g8 +g968 +tp1032 +a(g8 +Vs +p1033 +tp1034 +a(g8 +g964 +tp1035 +a(g8 +g966 +tp1036 +a(g8 +g962 +tp1037 +a(g8 +g958 +tp1038 +a(g8 +g976 +tp1039 +a(g8 +Vc +p1040 +tp1041 +a(g8 +g998 +tp1042 +a(g8 +g995 +tp1043 +a(g8 +g966 +tp1044 +a(g8 +g968 +tp1045 +a(g8 +Vm +p1046 +tp1047 +a(g8 +g971 +tp1048 +a(g8 +g962 +tp1049 +a(g8 +g962 +tp1050 +a(g8 +g958 +tp1051 +a(g8 +V*) +p1052 +tp1053 +a(g827 +V\u000a +p1054 +tp1055 +a(g8 +V(* +p1056 +tp1057 +a(g8 +g958 +tp1058 +a(g8 +VM +p1059 +tp1060 +a(g8 +g1000 +tp1061 +a(g8 +Vd +p1062 +tp1063 +a(g8 +g1021 +tp1064 +a(g8 +g1016 +tp1065 +a(g8 +g1021 +tp1066 +a(g8 +g966 +tp1067 +a(g8 +g1062 +tp1068 +a(g8 +g1003 +tp1069 +a(g8 +g958 +tp1070 +a(g8 +VR +p1071 +tp1072 +a(g8 +g1000 +tp1073 +a(g8 +Vb +p1074 +tp1075 +a(g8 +g966 +tp1076 +a(g8 +g968 +tp1077 +a(g8 +g964 +tp1078 +a(g8 +g1000 +tp1079 +a(g8 +g958 +tp1080 +a(g8 +VV +p1081 +tp1082 +a(g8 +g1021 +tp1083 +a(g8 +g968 +tp1084 +a(g8 +g1024 +tp1085 +a(g8 +g971 +tp1086 +a(g8 +g958 +tp1087 +a(g8 +V*) +p1088 +tp1089 +a(g827 +V\u000a\u000a +p1090 +tp1091 +a(g794 +Vsignature +p1092 +tp1093 +a(g827 +g958 +tp1094 +a(g621 +VINTSYN +p1095 +tp1096 +a(g827 +g958 +tp1097 +a(g706 +V= +p1098 +tp1099 +a(g827 +V\u000a +p1100 +tp1101 +a(g794 +Vsig +p1102 +tp1103 +a(g827 +V\u000a\u000a +p1104 +tp1105 +a(g794 +Vtype +p1106 +tp1107 +a(g827 +g958 +tp1108 +a(g749 +Vcid +p1109 +tp1110 +a(g827 +g958 +tp1111 +a(g706 +g1098 +tp1112 +a(g827 +g958 +tp1113 +a(g436 +Vint +p1114 +tp1115 +a(g827 +V +p1116 +tp1117 +a(g8 +V(* +p1118 +tp1119 +a(g8 +g958 +tp1120 +a(g8 +g1029 +tp1121 +a(g8 +g1000 +tp1122 +a(g8 +g962 +tp1123 +a(g8 +g1033 +tp1124 +a(g8 +g964 +tp1125 +a(g8 +g971 +tp1126 +a(g8 +g962 +tp1127 +a(g8 +g964 +tp1128 +a(g8 +g958 +tp1129 +a(g8 +g1021 +tp1130 +a(g8 +g1062 +tp1131 +a(g8 +g966 +tp1132 +a(g8 +g962 +tp1133 +a(g8 +g964 +tp1134 +a(g8 +g1021 +tp1135 +a(g8 +g1016 +tp1136 +a(g8 +g1021 +tp1137 +a(g8 +g966 +tp1138 +a(g8 +g968 +tp1139 +a(g8 +g958 +tp1140 +a(g8 +g958 +tp1141 +a(g8 +g958 +tp1142 +a(g8 +g958 +tp1143 +a(g8 +g958 +tp1144 +a(g8 +g958 +tp1145 +a(g8 +g958 +tp1146 +a(g8 +g958 +tp1147 +a(g8 +V*) +p1148 +tp1149 +a(g827 +V\u000a +p1150 +tp1151 +a(g827 +V +p1152 +tp1153 +a(g794 +Vtype +p1154 +tp1155 +a(g827 +g958 +tp1156 +a(g749 +Vmid +p1157 +tp1158 +a(g827 +g958 +tp1159 +a(g706 +g1098 +tp1160 +a(g827 +g958 +tp1161 +a(g436 +Vint +p1162 +tp1163 +a(g827 +V +p1164 +tp1165 +a(g8 +V(* +p1166 +tp1167 +a(g8 +g958 +tp1168 +a(g8 +g976 +tp1169 +a(g8 +g964 +tp1170 +a(g8 +g968 +tp1171 +a(g8 +g995 +tp1172 +a(g8 +g1040 +tp1173 +a(g8 +g964 +tp1174 +a(g8 +g995 +tp1175 +a(g8 +g968 +tp1176 +a(g8 +g966 +tp1177 +a(g8 +g958 +tp1178 +a(g8 +g1021 +tp1179 +a(g8 +g1062 +tp1180 +a(g8 +g966 +tp1181 +a(g8 +g962 +tp1182 +a(g8 +g964 +tp1183 +a(g8 +g1021 +tp1184 +a(g8 +g1016 +tp1185 +a(g8 +g1021 +tp1186 +a(g8 +g966 +tp1187 +a(g8 +g968 +tp1188 +a(g8 +g958 +tp1189 +a(g8 +g958 +tp1190 +a(g8 +g958 +tp1191 +a(g8 +g958 +tp1192 +a(g8 +g958 +tp1193 +a(g8 +g958 +tp1194 +a(g8 +g958 +tp1195 +a(g8 +V*) +p1196 +tp1197 +a(g827 +V\u000a +p1198 +tp1199 +a(g827 +g1152 +tp1200 +a(g794 +Vtype +p1201 +tp1202 +a(g827 +g958 +tp1203 +a(g749 +Vcsid +p1204 +tp1205 +a(g827 +g958 +tp1206 +a(g706 +g1098 +tp1207 +a(g827 +g958 +tp1208 +a(g436 +Vint +p1209 +tp1210 +a(g827 +V +p1211 +tp1212 +a(g8 +V(* +p1213 +tp1214 +a(g8 +g958 +tp1215 +a(g8 +g1029 +tp1216 +a(g8 +g976 +tp1217 +a(g8 +g958 +tp1218 +a(g8 +g1046 +tp1219 +a(g8 +g1000 +tp1220 +a(g8 +g1062 +tp1221 +a(g8 +g995 +tp1222 +a(g8 +g973 +tp1223 +a(g8 +g966 +tp1224 +a(g8 +g958 +tp1225 +a(g8 +g1021 +tp1226 +a(g8 +g1062 +tp1227 +a(g8 +g966 +tp1228 +a(g8 +g962 +tp1229 +a(g8 +g964 +tp1230 +a(g8 +g1021 +tp1231 +a(g8 +g1016 +tp1232 +a(g8 +g1021 +tp1233 +a(g8 +g966 +tp1234 +a(g8 +g968 +tp1235 +a(g8 +g958 +tp1236 +a(g8 +g958 +tp1237 +a(g8 +g958 +tp1238 +a(g8 +g958 +tp1239 +a(g8 +g958 +tp1240 +a(g8 +g958 +tp1241 +a(g8 +g958 +tp1242 +a(g8 +V*) +p1243 +tp1244 +a(g827 +V\u000a\u000a\u000a +p1245 +tp1246 +a(g827 +g1152 +tp1247 +a(g794 +Vtype +p1248 +tp1249 +a(g827 +g958 +tp1250 +a(g749 +VFgnExp +p1251 +tp1252 +a(g827 +g958 +tp1253 +a(g706 +g1098 +tp1254 +a(g827 +g958 +tp1255 +a(g436 +Vexn +p1256 +tp1257 +a(g827 +V +p1258 +tp1259 +a(g8 +V(* +p1260 +tp1261 +a(g8 +g958 +tp1262 +a(g8 +g1016 +tp1263 +a(g8 +g1000 +tp1264 +a(g8 +g968 +tp1265 +a(g8 +g966 +tp1266 +a(g8 +g1021 +tp1267 +a(g8 +g1024 +tp1268 +a(g8 +g962 +tp1269 +a(g8 +g958 +tp1270 +a(g8 +g966 +tp1271 +a(g8 +g983 +tp1272 +a(g8 +Vp +p1273 +tp1274 +a(g8 +g968 +tp1275 +a(g8 +g966 +tp1276 +a(g8 +g1033 +tp1277 +a(g8 +g1033 +tp1278 +a(g8 +g1021 +tp1279 +a(g8 +g1000 +tp1280 +a(g8 +g962 +tp1281 +a(g8 +g958 +tp1282 +a(g8 +g968 +tp1283 +a(g8 +g966 +tp1284 +a(g8 +g1273 +tp1285 +a(g8 +g968 +tp1286 +a(g8 +g966 +tp1287 +a(g8 +g1033 +tp1288 +a(g8 +g966 +tp1289 +a(g8 +g962 +tp1290 +a(g8 +g964 +tp1291 +a(g8 +g971 +tp1292 +a(g8 +g964 +tp1293 +a(g8 +g1021 +tp1294 +a(g8 +g1000 +tp1295 +a(g8 +g962 +tp1296 +a(g8 +g958 +tp1297 +a(g8 +V*) +p1298 +tp1299 +a(g827 +V\u000a +p1300 +tp1301 +a(g827 +g1152 +tp1302 +a(g827 +g1152 +tp1303 +a(g794 +Vexception +p1304 +tp1305 +a(g827 +g958 +tp1306 +a(g629 +VUnexpectedFgnExp +p1307 +tp1308 +a(g827 +g958 +tp1309 +a(g794 +Vof +p1310 +tp1311 +a(g827 +g958 +tp1312 +a(g436 +VFgnExp +p1313 +tp1314 +a(g827 +V\u000a +p1315 +tp1316 +a(g8 +V(* +p1317 +tp1318 +a(g8 +g958 +tp1319 +a(g8 +g968 +tp1320 +a(g8 +g971 +tp1321 +a(g8 +g1021 +tp1322 +a(g8 +g1033 +tp1323 +a(g8 +g966 +tp1324 +a(g8 +g1062 +tp1325 +a(g8 +g958 +tp1326 +a(g8 +g1074 +tp1327 +a(g8 +g978 +tp1328 +a(g8 +g958 +tp1329 +a(g8 +g971 +tp1330 +a(g8 +g958 +tp1331 +a(g8 +g1040 +tp1332 +a(g8 +g1000 +tp1333 +a(g8 +g962 +tp1334 +a(g8 +g1033 +tp1335 +a(g8 +g964 +tp1336 +a(g8 +g968 +tp1337 +a(g8 +g971 +tp1338 +a(g8 +g1021 +tp1339 +a(g8 +g962 +tp1340 +a(g8 +g964 +tp1341 +a(g8 +g958 +tp1342 +a(g8 +g1033 +tp1343 +a(g8 +g1000 +tp1344 +a(g8 +g973 +tp1345 +a(g8 +Vv +p1346 +tp1347 +a(g8 +g966 +tp1348 +a(g8 +g968 +tp1349 +a(g8 +V\u000a +p1350 +tp1351 +a(g8 +V +p1352 +tp1353 +a(g8 +g1352 +tp1354 +a(g8 +g1352 +tp1355 +a(g8 +g1352 +tp1356 +a(g8 +g1352 +tp1357 +a(g8 +g958 +tp1358 +a(g8 +g958 +tp1359 +a(g8 +g958 +tp1360 +a(g8 +g1021 +tp1361 +a(g8 +g1016 +tp1362 +a(g8 +g958 +tp1363 +a(g8 +g1273 +tp1364 +a(g8 +g971 +tp1365 +a(g8 +g1033 +tp1366 +a(g8 +g1033 +tp1367 +a(g8 +g966 +tp1368 +a(g8 +g1062 +tp1369 +a(g8 +g958 +tp1370 +a(g8 +g971 +tp1371 +a(g8 +g962 +tp1372 +a(g8 +g958 +tp1373 +a(g8 +g1021 +tp1374 +a(g8 +g962 +tp1375 +a(g8 +g1040 +tp1376 +a(g8 +g1000 +tp1377 +a(g8 +g968 +tp1378 +a(g8 +g968 +tp1379 +a(g8 +g966 +tp1380 +a(g8 +g1040 +tp1381 +a(g8 +g964 +tp1382 +a(g8 +g958 +tp1383 +a(g8 +g971 +tp1384 +a(g8 +g968 +tp1385 +a(g8 +g1024 +tp1386 +a(g8 +g958 +tp1387 +a(g8 +V*) +p1388 +tp1389 +a(g827 +V\u000a +p1390 +tp1391 +a(g827 +g1152 +tp1392 +a(g794 +Vtype +p1393 +tp1394 +a(g827 +g958 +tp1395 +a(g749 +VFgnCnstr +p1396 +tp1397 +a(g827 +g958 +tp1398 +a(g706 +g1098 +tp1399 +a(g827 +g958 +tp1400 +a(g436 +Vexn +p1401 +tp1402 +a(g827 +V +p1403 +tp1404 +a(g8 +V(* +p1405 +tp1406 +a(g8 +g958 +tp1407 +a(g8 +g1016 +tp1408 +a(g8 +g1000 +tp1409 +a(g8 +g968 +tp1410 +a(g8 +g966 +tp1411 +a(g8 +g1021 +tp1412 +a(g8 +g1024 +tp1413 +a(g8 +g962 +tp1414 +a(g8 +g958 +tp1415 +a(g8 +g1040 +tp1416 +a(g8 +g1000 +tp1417 +a(g8 +g962 +tp1418 +a(g8 +g1033 +tp1419 +a(g8 +g964 +tp1420 +a(g8 +g968 +tp1421 +a(g8 +g971 +tp1422 +a(g8 +g1021 +tp1423 +a(g8 +g962 +tp1424 +a(g8 +g964 +tp1425 +a(g8 +g958 +tp1426 +a(g8 +g968 +tp1427 +a(g8 +g966 +tp1428 +a(g8 +g1273 +tp1429 +a(g8 +g968 +tp1430 +a(g8 +g966 +tp1431 +a(g8 +g1033 +tp1432 +a(g8 +g966 +tp1433 +a(g8 +g962 +tp1434 +a(g8 +g964 +tp1435 +a(g8 +g971 +tp1436 +a(g8 +g964 +tp1437 +a(g8 +g1021 +tp1438 +a(g8 +g1000 +tp1439 +a(g8 +g962 +tp1440 +a(g8 +g958 +tp1441 +a(g8 +V*) +p1442 +tp1443 +a(g827 +V\u000a +p1444 +tp1445 +a(g827 +g1152 +tp1446 +a(g827 +g1152 +tp1447 +a(g794 +Vexception +p1448 +tp1449 +a(g827 +g958 +tp1450 +a(g629 +VUnexpectedFgnCnstr +p1451 +tp1452 +a(g827 +g958 +tp1453 +a(g794 +Vof +p1454 +tp1455 +a(g827 +g958 +tp1456 +a(g436 +VFgnCnstr +p1457 +tp1458 +a(g827 +V\u000a +p1459 +tp1460 +a(g8 +V(* +p1461 +tp1462 +a(g8 +g958 +tp1463 +a(g8 +g968 +tp1464 +a(g8 +g971 +tp1465 +a(g8 +g1021 +tp1466 +a(g8 +g1033 +tp1467 +a(g8 +g966 +tp1468 +a(g8 +g1062 +tp1469 +a(g8 +g958 +tp1470 +a(g8 +g1074 +tp1471 +a(g8 +g978 +tp1472 +a(g8 +g958 +tp1473 +a(g8 +g971 +tp1474 +a(g8 +g958 +tp1475 +a(g8 +g1040 +tp1476 +a(g8 +g1000 +tp1477 +a(g8 +g962 +tp1478 +a(g8 +g1033 +tp1479 +a(g8 +g964 +tp1480 +a(g8 +g968 +tp1481 +a(g8 +g971 +tp1482 +a(g8 +g1021 +tp1483 +a(g8 +g962 +tp1484 +a(g8 +g964 +tp1485 +a(g8 +g958 +tp1486 +a(g8 +g1033 +tp1487 +a(g8 +g1000 +tp1488 +a(g8 +g973 +tp1489 +a(g8 +g1346 +tp1490 +a(g8 +g966 +tp1491 +a(g8 +g968 +tp1492 +a(g8 +V\u000a +p1493 +tp1494 +a(g8 +g958 +tp1495 +a(g8 +g958 +tp1496 +a(g8 +g958 +tp1497 +a(g8 +g958 +tp1498 +a(g8 +g958 +tp1499 +a(g8 +g958 +tp1500 +a(g8 +g958 +tp1501 +a(g8 +g958 +tp1502 +a(g8 +g958 +tp1503 +a(g8 +g958 +tp1504 +a(g8 +g958 +tp1505 +a(g8 +g958 +tp1506 +a(g8 +g958 +tp1507 +a(g8 +g958 +tp1508 +a(g8 +g958 +tp1509 +a(g8 +g958 +tp1510 +a(g8 +g958 +tp1511 +a(g8 +g958 +tp1512 +a(g8 +g958 +tp1513 +a(g8 +g958 +tp1514 +a(g8 +g958 +tp1515 +a(g8 +g958 +tp1516 +a(g8 +g958 +tp1517 +a(g8 +g958 +tp1518 +a(g8 +g958 +tp1519 +a(g8 +g958 +tp1520 +a(g8 +g958 +tp1521 +a(g8 +g958 +tp1522 +a(g8 +g958 +tp1523 +a(g8 +g958 +tp1524 +a(g8 +g958 +tp1525 +a(g8 +g958 +tp1526 +a(g8 +g958 +tp1527 +a(g8 +g958 +tp1528 +a(g8 +g958 +tp1529 +a(g8 +g958 +tp1530 +a(g8 +g958 +tp1531 +a(g8 +g958 +tp1532 +a(g8 +g958 +tp1533 +a(g8 +g958 +tp1534 +a(g8 +g958 +tp1535 +a(g8 +g958 +tp1536 +a(g8 +g958 +tp1537 +a(g8 +g1021 +tp1538 +a(g8 +g1016 +tp1539 +a(g8 +g958 +tp1540 +a(g8 +g1273 +tp1541 +a(g8 +g971 +tp1542 +a(g8 +g1033 +tp1543 +a(g8 +g1033 +tp1544 +a(g8 +g966 +tp1545 +a(g8 +g1062 +tp1546 +a(g8 +g958 +tp1547 +a(g8 +g971 +tp1548 +a(g8 +g962 +tp1549 +a(g8 +g958 +tp1550 +a(g8 +g1021 +tp1551 +a(g8 +g962 +tp1552 +a(g8 +g1040 +tp1553 +a(g8 +g1000 +tp1554 +a(g8 +g968 +tp1555 +a(g8 +g968 +tp1556 +a(g8 +g966 +tp1557 +a(g8 +g1040 +tp1558 +a(g8 +g964 +tp1559 +a(g8 +g958 +tp1560 +a(g8 +g971 +tp1561 +a(g8 +g968 +tp1562 +a(g8 +g1024 +tp1563 +a(g8 +g958 +tp1564 +a(g8 +V*) +p1565 +tp1566 +a(g827 +V\u000a\u000a +p1567 +tp1568 +a(g8 +V(* +p1569 +tp1570 +a(g8 +g958 +tp1571 +a(g8 +g1029 +tp1572 +a(g8 +g1000 +tp1573 +a(g8 +g962 +tp1574 +a(g8 +g964 +tp1575 +a(g8 +g966 +tp1576 +a(g8 +g983 +tp1577 +a(g8 +g964 +tp1578 +a(g8 +g1033 +tp1579 +a(g8 +g958 +tp1580 +a(g8 +V*) +p1581 +tp1582 +a(g827 +V\u000a\u000a +p1583 +tp1584 +a(g827 +g1152 +tp1585 +a(g794 +Vdatatype +p1586 +tp1587 +a(g827 +g958 +tp1588 +a(g496 +V'a +p1589 +tp1590 +a(g827 +g958 +tp1591 +a(g749 +VCtx +p1592 +tp1593 +a(g827 +g958 +tp1594 +a(g706 +g1098 +tp1595 +a(g827 +V +p1596 +tp1597 +a(g8 +V(* +p1598 +tp1599 +a(g8 +g958 +tp1600 +a(g8 +g1029 +tp1601 +a(g8 +g1000 +tp1602 +a(g8 +g962 +tp1603 +a(g8 +g964 +tp1604 +a(g8 +g966 +tp1605 +a(g8 +g983 +tp1606 +a(g8 +g964 +tp1607 +a(g8 +g1033 +tp1608 +a(g8 +g958 +tp1609 +a(g8 +g958 +tp1610 +a(g8 +g958 +tp1611 +a(g8 +g958 +tp1612 +a(g8 +g958 +tp1613 +a(g8 +g958 +tp1614 +a(g8 +g958 +tp1615 +a(g8 +g958 +tp1616 +a(g8 +g958 +tp1617 +a(g8 +g958 +tp1618 +a(g8 +g958 +tp1619 +a(g8 +g958 +tp1620 +a(g8 +g958 +tp1621 +a(g8 +g958 +tp1622 +a(g8 +g958 +tp1623 +a(g8 +g958 +tp1624 +a(g8 +g958 +tp1625 +a(g8 +g958 +tp1626 +a(g8 +g958 +tp1627 +a(g8 +V*) +p1628 +tp1629 +a(g827 +V\u000a +p1630 +tp1631 +a(g629 +VNull +p1632 +tp1633 +a(g827 +V +p1634 +tp1635 +a(g8 +V(* +p1636 +tp1637 +a(g8 +g958 +tp1638 +a(g8 +VG +p1639 +tp1640 +a(g8 +g958 +tp1641 +a(g8 +g1003 +tp1642 +a(g8 +g1003 +tp1643 +a(g8 +g1098 +tp1644 +a(g8 +g958 +tp1645 +a(g8 +V. +p1646 +tp1647 +a(g8 +g958 +tp1648 +a(g8 +g958 +tp1649 +a(g8 +g958 +tp1650 +a(g8 +g958 +tp1651 +a(g8 +g958 +tp1652 +a(g8 +g958 +tp1653 +a(g8 +g958 +tp1654 +a(g8 +g958 +tp1655 +a(g8 +g958 +tp1656 +a(g8 +g958 +tp1657 +a(g8 +g958 +tp1658 +a(g8 +g958 +tp1659 +a(g8 +g958 +tp1660 +a(g8 +g958 +tp1661 +a(g8 +g958 +tp1662 +a(g8 +g958 +tp1663 +a(g8 +g958 +tp1664 +a(g8 +g958 +tp1665 +a(g8 +g958 +tp1666 +a(g8 +g958 +tp1667 +a(g8 +V*) +p1668 +tp1669 +a(g827 +V\u000a +p1670 +tp1671 +a(g706 +V| +p1672 +tp1673 +a(g827 +g958 +tp1674 +a(g629 +VDecl +p1675 +tp1676 +a(g827 +g958 +tp1677 +a(g794 +Vof +p1678 +tp1679 +a(g827 +g958 +tp1680 +a(g496 +V'a +p1681 +tp1682 +a(g827 +g958 +tp1683 +a(g436 +VCtx +p1684 +tp1685 +a(g827 +g958 +tp1686 +a(g436 +V* +p1687 +tp1688 +a(g827 +g958 +tp1689 +a(g496 +V'a +p1690 +tp1691 +a(g827 +V +p1692 +tp1693 +a(g8 +V(* +p1694 +tp1695 +a(g8 +g958 +tp1696 +a(g8 +g958 +tp1697 +a(g8 +g958 +tp1698 +a(g8 +g958 +tp1699 +a(g8 +g958 +tp1700 +a(g8 +g1672 +tp1701 +a(g8 +g958 +tp1702 +a(g8 +g1639 +tp1703 +a(g8 +g1026 +tp1704 +a(g8 +g958 +tp1705 +a(g8 +VD +p1706 +tp1707 +a(g8 +g958 +tp1708 +a(g8 +g958 +tp1709 +a(g8 +g958 +tp1710 +a(g8 +g958 +tp1711 +a(g8 +g958 +tp1712 +a(g8 +g958 +tp1713 +a(g8 +g958 +tp1714 +a(g8 +g958 +tp1715 +a(g8 +g958 +tp1716 +a(g8 +g958 +tp1717 +a(g8 +g958 +tp1718 +a(g8 +g958 +tp1719 +a(g8 +g958 +tp1720 +a(g8 +g958 +tp1721 +a(g8 +g958 +tp1722 +a(g8 +g958 +tp1723 +a(g8 +g958 +tp1724 +a(g8 +V*) +p1725 +tp1726 +a(g827 +V\u000a \u000a +p1727 +tp1728 +a(g827 +g1152 +tp1729 +a(g794 +Vval +p1730 +tp1731 +a(g827 +g958 +tp1732 +a(g441 +VctxPop +p1733 +tp1734 +a(g827 +g958 +tp1735 +a(g706 +g1003 +tp1736 +a(g827 +g958 +tp1737 +a(g496 +V'a +p1738 +tp1739 +a(g827 +g958 +tp1740 +a(g436 +VCtx +p1741 +tp1742 +a(g827 +g958 +tp1743 +a(g706 +V-> +p1744 +tp1745 +a(g827 +g958 +tp1746 +a(g496 +V'a +p1747 +tp1748 +a(g827 +g958 +tp1749 +a(g436 +VCtx +p1750 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g794 +Vval +p1754 +tp1755 +a(g827 +g958 +tp1756 +a(g441 +VctxLookup +p1757 +tp1758 +a(g706 +g1003 +tp1759 +a(g827 +g958 +tp1760 +a(g496 +V'a +p1761 +tp1762 +a(g827 +g958 +tp1763 +a(g436 +VCtx +p1764 +tp1765 +a(g827 +g958 +tp1766 +a(g436 +g1687 +tp1767 +a(g827 +g958 +tp1768 +a(g436 +Vint +p1769 +tp1770 +a(g827 +g958 +tp1771 +a(g706 +V-> +p1772 +tp1773 +a(g827 +g958 +tp1774 +a(g496 +V'a +p1775 +tp1776 +a(g827 +V\u000a +p1777 +tp1778 +a(g794 +Vval +p1779 +tp1780 +a(g827 +g958 +tp1781 +a(g441 +VctxLength +p1782 +tp1783 +a(g706 +g1003 +tp1784 +a(g827 +g958 +tp1785 +a(g496 +V'a +p1786 +tp1787 +a(g827 +g958 +tp1788 +a(g436 +VCtx +p1789 +tp1790 +a(g827 +g958 +tp1791 +a(g706 +V-> +p1792 +tp1793 +a(g827 +g958 +tp1794 +a(g436 +Vint +p1795 +tp1796 +a(g827 +V\u000a\u000a +p1797 +tp1798 +a(g794 +Vdatatype +p1799 +tp1800 +a(g827 +g958 +tp1801 +a(g749 +VDepend +p1802 +tp1803 +a(g827 +g958 +tp1804 +a(g706 +g1098 +tp1805 +a(g827 +V +p1806 +tp1807 +a(g8 +V(* +p1808 +tp1809 +a(g8 +g958 +tp1810 +a(g8 +g1706 +tp1811 +a(g8 +g966 +tp1812 +a(g8 +g1273 +tp1813 +a(g8 +g966 +tp1814 +a(g8 +g962 +tp1815 +a(g8 +g1062 +tp1816 +a(g8 +g966 +tp1817 +a(g8 +g962 +tp1818 +a(g8 +g1040 +tp1819 +a(g8 +g978 +tp1820 +a(g8 +g958 +tp1821 +a(g8 +g1021 +tp1822 +a(g8 +g962 +tp1823 +a(g8 +g1016 +tp1824 +a(g8 +g1000 +tp1825 +a(g8 +g968 +tp1826 +a(g8 +g1046 +tp1827 +a(g8 +g971 +tp1828 +a(g8 +g964 +tp1829 +a(g8 +g1021 +tp1830 +a(g8 +g1000 +tp1831 +a(g8 +g962 +tp1832 +a(g8 +g958 +tp1833 +a(g8 +g958 +tp1834 +a(g8 +g958 +tp1835 +a(g8 +g958 +tp1836 +a(g8 +g958 +tp1837 +a(g8 +V*) +p1838 +tp1839 +a(g827 +V\u000a +p1840 +tp1841 +a(g629 +VNo +p1842 +tp1843 +a(g827 +V +p1844 +tp1845 +a(g8 +V(* +p1846 +tp1847 +a(g8 +g958 +tp1848 +a(g8 +g1014 +tp1849 +a(g8 +g958 +tp1850 +a(g8 +g1003 +tp1851 +a(g8 +g1003 +tp1852 +a(g8 +g1098 +tp1853 +a(g8 +g958 +tp1854 +a(g8 +VN +p1855 +tp1856 +a(g8 +g1000 +tp1857 +a(g8 +g958 +tp1858 +a(g8 +g958 +tp1859 +a(g8 +g958 +tp1860 +a(g8 +g958 +tp1861 +a(g8 +g958 +tp1862 +a(g8 +g958 +tp1863 +a(g8 +g958 +tp1864 +a(g8 +g958 +tp1865 +a(g8 +g958 +tp1866 +a(g8 +g958 +tp1867 +a(g8 +g958 +tp1868 +a(g8 +g958 +tp1869 +a(g8 +g958 +tp1870 +a(g8 +g958 +tp1871 +a(g8 +g958 +tp1872 +a(g8 +g958 +tp1873 +a(g8 +g958 +tp1874 +a(g8 +g958 +tp1875 +a(g8 +g958 +tp1876 +a(g8 +V*) +p1877 +tp1878 +a(g827 +V\u000a +p1879 +tp1880 +a(g706 +g1672 +tp1881 +a(g827 +g958 +tp1882 +a(g629 +VMaybe +p1883 +tp1884 +a(g827 +V +p1885 +tp1886 +a(g8 +V(* +p1887 +tp1888 +a(g8 +g958 +tp1889 +a(g8 +g958 +tp1890 +a(g8 +g958 +tp1891 +a(g8 +g958 +tp1892 +a(g8 +g958 +tp1893 +a(g8 +g1672 +tp1894 +a(g8 +g958 +tp1895 +a(g8 +g1059 +tp1896 +a(g8 +g971 +tp1897 +a(g8 +g978 +tp1898 +a(g8 +g1074 +tp1899 +a(g8 +g966 +tp1900 +a(g8 +g958 +tp1901 +a(g8 +g958 +tp1902 +a(g8 +g958 +tp1903 +a(g8 +g958 +tp1904 +a(g8 +g958 +tp1905 +a(g8 +g958 +tp1906 +a(g8 +g958 +tp1907 +a(g8 +g958 +tp1908 +a(g8 +g958 +tp1909 +a(g8 +g958 +tp1910 +a(g8 +g958 +tp1911 +a(g8 +g958 +tp1912 +a(g8 +g958 +tp1913 +a(g8 +g958 +tp1914 +a(g8 +g958 +tp1915 +a(g8 +g958 +tp1916 +a(g8 +V*) +p1917 +tp1918 +a(g827 +V\u000a +p1919 +tp1920 +a(g706 +g1672 +tp1921 +a(g827 +g958 +tp1922 +a(g629 +VMeta +p1923 +tp1924 +a(g827 +V +p1925 +tp1926 +a(g8 +V(* +p1927 +tp1928 +a(g8 +g958 +tp1929 +a(g8 +g958 +tp1930 +a(g8 +g958 +tp1931 +a(g8 +g958 +tp1932 +a(g8 +g958 +tp1933 +a(g8 +g1672 +tp1934 +a(g8 +g958 +tp1935 +a(g8 +g1059 +tp1936 +a(g8 +g966 +tp1937 +a(g8 +g964 +tp1938 +a(g8 +g971 +tp1939 +a(g8 +g958 +tp1940 +a(g8 +g958 +tp1941 +a(g8 +g958 +tp1942 +a(g8 +g958 +tp1943 +a(g8 +g958 +tp1944 +a(g8 +g958 +tp1945 +a(g8 +g958 +tp1946 +a(g8 +g958 +tp1947 +a(g8 +g958 +tp1948 +a(g8 +g958 +tp1949 +a(g8 +g958 +tp1950 +a(g8 +g958 +tp1951 +a(g8 +g958 +tp1952 +a(g8 +g958 +tp1953 +a(g8 +g958 +tp1954 +a(g8 +g958 +tp1955 +a(g8 +g958 +tp1956 +a(g8 +V*) +p1957 +tp1958 +a(g827 +V\u000a\u000a +p1959 +tp1960 +a(g8 +V(* +p1961 +tp1962 +a(g8 +g958 +tp1963 +a(g8 +g966 +tp1964 +a(g8 +g983 +tp1965 +a(g8 +g1273 +tp1966 +a(g8 +g968 +tp1967 +a(g8 +g966 +tp1968 +a(g8 +g1033 +tp1969 +a(g8 +g1033 +tp1970 +a(g8 +g1021 +tp1971 +a(g8 +g1000 +tp1972 +a(g8 +g962 +tp1973 +a(g8 +g1033 +tp1974 +a(g8 +g958 +tp1975 +a(g8 +V*) +p1976 +tp1977 +a(g827 +V\u000a\u000a +p1978 +tp1979 +a(g827 +g1152 +tp1980 +a(g794 +Vdatatype +p1981 +tp1982 +a(g827 +g958 +tp1983 +a(g749 +VUni +p1984 +tp1985 +a(g827 +g958 +tp1986 +a(g706 +g1098 +tp1987 +a(g827 +V +p1988 +tp1989 +a(g8 +V(* +p1990 +tp1991 +a(g8 +g958 +tp1992 +a(g8 +VU +p1993 +tp1994 +a(g8 +g962 +tp1995 +a(g8 +g1021 +tp1996 +a(g8 +g1346 +tp1997 +a(g8 +g966 +tp1998 +a(g8 +g968 +tp1999 +a(g8 +g1033 +tp2000 +a(g8 +g966 +tp2001 +a(g8 +g1033 +tp2002 +a(g8 +g1003 +tp2003 +a(g8 +g958 +tp2004 +a(g8 +g958 +tp2005 +a(g8 +g958 +tp2006 +a(g8 +g958 +tp2007 +a(g8 +g958 +tp2008 +a(g8 +g958 +tp2009 +a(g8 +g958 +tp2010 +a(g8 +g958 +tp2011 +a(g8 +g958 +tp2012 +a(g8 +g958 +tp2013 +a(g8 +g958 +tp2014 +a(g8 +g958 +tp2015 +a(g8 +g958 +tp2016 +a(g8 +g958 +tp2017 +a(g8 +g958 +tp2018 +a(g8 +g958 +tp2019 +a(g8 +g958 +tp2020 +a(g8 +V*) +p2021 +tp2022 +a(g827 +V\u000a +p2023 +tp2024 +a(g629 +VKind +p2025 +tp2026 +a(g827 +V +p2027 +tp2028 +a(g8 +V(* +p2029 +tp2030 +a(g8 +g958 +tp2031 +a(g8 +VL +p2032 +tp2033 +a(g8 +g958 +tp2034 +a(g8 +g1003 +tp2035 +a(g8 +g1003 +tp2036 +a(g8 +g1098 +tp2037 +a(g8 +g958 +tp2038 +a(g8 +VK +p2039 +tp2040 +a(g8 +g1021 +tp2041 +a(g8 +g962 +tp2042 +a(g8 +g1062 +tp2043 +a(g8 +g958 +tp2044 +a(g8 +g958 +tp2045 +a(g8 +g958 +tp2046 +a(g8 +g958 +tp2047 +a(g8 +g958 +tp2048 +a(g8 +g958 +tp2049 +a(g8 +g958 +tp2050 +a(g8 +g958 +tp2051 +a(g8 +g958 +tp2052 +a(g8 +g958 +tp2053 +a(g8 +g958 +tp2054 +a(g8 +g958 +tp2055 +a(g8 +g958 +tp2056 +a(g8 +g958 +tp2057 +a(g8 +g958 +tp2058 +a(g8 +g958 +tp2059 +a(g8 +g958 +tp2060 +a(g8 +V*) +p2061 +tp2062 +a(g827 +V\u000a +p2063 +tp2064 +a(g706 +g1672 +tp2065 +a(g827 +g958 +tp2066 +a(g629 +VType +p2067 +tp2068 +a(g827 +V +p2069 +tp2070 +a(g8 +V(* +p2071 +tp2072 +a(g8 +g958 +tp2073 +a(g8 +g958 +tp2074 +a(g8 +g958 +tp2075 +a(g8 +g958 +tp2076 +a(g8 +g958 +tp2077 +a(g8 +g1672 +tp2078 +a(g8 +g958 +tp2079 +a(g8 +VT +p2080 +tp2081 +a(g8 +g978 +tp2082 +a(g8 +g1273 +tp2083 +a(g8 +g966 +tp2084 +a(g8 +g958 +tp2085 +a(g8 +g958 +tp2086 +a(g8 +g958 +tp2087 +a(g8 +g958 +tp2088 +a(g8 +g958 +tp2089 +a(g8 +g958 +tp2090 +a(g8 +g958 +tp2091 +a(g8 +g958 +tp2092 +a(g8 +g958 +tp2093 +a(g8 +g958 +tp2094 +a(g8 +g958 +tp2095 +a(g8 +g958 +tp2096 +a(g8 +g958 +tp2097 +a(g8 +g958 +tp2098 +a(g8 +g958 +tp2099 +a(g8 +g958 +tp2100 +a(g8 +g958 +tp2101 +a(g8 +V*) +p2102 +tp2103 +a(g827 +V\u000a\u000a +p2104 +tp2105 +a(g827 +g1152 +tp2106 +a(g794 +Vdatatype +p2107 +tp2108 +a(g827 +g958 +tp2109 +a(g749 +VExp +p2110 +tp2111 +a(g827 +g958 +tp2112 +a(g706 +g1098 +tp2113 +a(g827 +V +p2114 +tp2115 +a(g8 +V(* +p2116 +tp2117 +a(g8 +g958 +tp2118 +a(g8 +VE +p2119 +tp2120 +a(g8 +g983 +tp2121 +a(g8 +g1273 +tp2122 +a(g8 +g968 +tp2123 +a(g8 +g966 +tp2124 +a(g8 +g1033 +tp2125 +a(g8 +g1033 +tp2126 +a(g8 +g1021 +tp2127 +a(g8 +g1000 +tp2128 +a(g8 +g962 +tp2129 +a(g8 +g1033 +tp2130 +a(g8 +g1003 +tp2131 +a(g8 +g958 +tp2132 +a(g8 +g958 +tp2133 +a(g8 +g958 +tp2134 +a(g8 +g958 +tp2135 +a(g8 +g958 +tp2136 +a(g8 +g958 +tp2137 +a(g8 +g958 +tp2138 +a(g8 +g958 +tp2139 +a(g8 +g958 +tp2140 +a(g8 +g958 +tp2141 +a(g8 +g958 +tp2142 +a(g8 +g958 +tp2143 +a(g8 +g958 +tp2144 +a(g8 +g958 +tp2145 +a(g8 +g958 +tp2146 +a(g8 +V*) +p2147 +tp2148 +a(g827 +V\u000a +p2149 +tp2150 +a(g629 +VUni +p2151 +tp2152 +a(g827 +V +p2153 +tp2154 +a(g794 +Vof +p2155 +tp2156 +a(g827 +g958 +tp2157 +a(g436 +VUni +p2158 +tp2159 +a(g827 +V +p2160 +tp2161 +a(g8 +V(* +p2162 +tp2163 +a(g8 +g958 +tp2164 +a(g8 +g1993 +tp2165 +a(g8 +g958 +tp2166 +a(g8 +g1003 +tp2167 +a(g8 +g1003 +tp2168 +a(g8 +g1098 +tp2169 +a(g8 +g958 +tp2170 +a(g8 +g2032 +tp2171 +a(g8 +g958 +tp2172 +a(g8 +g958 +tp2173 +a(g8 +g958 +tp2174 +a(g8 +g958 +tp2175 +a(g8 +g958 +tp2176 +a(g8 +g958 +tp2177 +a(g8 +g958 +tp2178 +a(g8 +g958 +tp2179 +a(g8 +g958 +tp2180 +a(g8 +g958 +tp2181 +a(g8 +g958 +tp2182 +a(g8 +g958 +tp2183 +a(g8 +g958 +tp2184 +a(g8 +g958 +tp2185 +a(g8 +g958 +tp2186 +a(g8 +g958 +tp2187 +a(g8 +g958 +tp2188 +a(g8 +g958 +tp2189 +a(g8 +g958 +tp2190 +a(g8 +g958 +tp2191 +a(g8 +V*) +p2192 +tp2193 +a(g827 +V\u000a +p2194 +tp2195 +a(g706 +g1672 +tp2196 +a(g827 +g958 +tp2197 +a(g629 +VPi +p2198 +tp2199 +a(g827 +V +p2200 +tp2201 +a(g794 +Vof +p2202 +tp2203 +a(g827 +g958 +tp2204 +a(g706 +V( +p2205 +tp2206 +a(g436 +VDec +p2207 +tp2208 +a(g827 +g958 +tp2209 +a(g436 +g1687 +tp2210 +a(g827 +g958 +tp2211 +a(g436 +VDepend +p2212 +tp2213 +a(g706 +V) +p2214 +tp2215 +a(g827 +g958 +tp2216 +a(g436 +g1687 +tp2217 +a(g827 +g958 +tp2218 +a(g436 +VExp +p2219 +tp2220 +a(g827 +g1352 +tp2221 +a(g8 +V(* +p2222 +tp2223 +a(g8 +g958 +tp2224 +a(g8 +g958 +tp2225 +a(g8 +g958 +tp2226 +a(g8 +g958 +tp2227 +a(g8 +g958 +tp2228 +a(g8 +g1672 +tp2229 +a(g8 +g958 +tp2230 +a(g8 +g1014 +tp2231 +a(g8 +g1021 +tp2232 +a(g8 +g958 +tp2233 +a(g8 +g2205 +tp2234 +a(g8 +g1706 +tp2235 +a(g8 +g1026 +tp2236 +a(g8 +g958 +tp2237 +a(g8 +g1014 +tp2238 +a(g8 +g2214 +tp2239 +a(g8 +g1646 +tp2240 +a(g8 +g958 +tp2241 +a(g8 +g1081 +tp2242 +a(g8 +g958 +tp2243 +a(g8 +g958 +tp2244 +a(g8 +g958 +tp2245 +a(g8 +g958 +tp2246 +a(g8 +g958 +tp2247 +a(g8 +g958 +tp2248 +a(g8 +g958 +tp2249 +a(g8 +g958 +tp2250 +a(g8 +g958 +tp2251 +a(g8 +V*) +p2252 +tp2253 +a(g827 +V\u000a +p2254 +tp2255 +a(g706 +g1672 +tp2256 +a(g827 +g958 +tp2257 +a(g629 +VRoot +p2258 +tp2259 +a(g827 +V +p2260 +tp2261 +a(g794 +Vof +p2262 +tp2263 +a(g827 +g958 +tp2264 +a(g436 +VHead +p2265 +tp2266 +a(g827 +g958 +tp2267 +a(g436 +g1687 +tp2268 +a(g827 +g958 +tp2269 +a(g436 +VSpine +p2270 +tp2271 +a(g827 +V +p2272 +tp2273 +a(g8 +V(* +p2274 +tp2275 +a(g8 +g958 +tp2276 +a(g8 +g958 +tp2277 +a(g8 +g958 +tp2278 +a(g8 +g958 +tp2279 +a(g8 +g958 +tp2280 +a(g8 +g1672 +tp2281 +a(g8 +g958 +tp2282 +a(g8 +VH +p2283 +tp2284 +a(g8 +g958 +tp2285 +a(g8 +V@ +p2286 +tp2287 +a(g8 +g958 +tp2288 +a(g8 +g976 +tp2289 +a(g8 +g958 +tp2290 +a(g8 +g958 +tp2291 +a(g8 +g958 +tp2292 +a(g8 +g958 +tp2293 +a(g8 +g958 +tp2294 +a(g8 +g958 +tp2295 +a(g8 +g958 +tp2296 +a(g8 +g958 +tp2297 +a(g8 +g958 +tp2298 +a(g8 +g958 +tp2299 +a(g8 +g958 +tp2300 +a(g8 +g958 +tp2301 +a(g8 +g958 +tp2302 +a(g8 +g958 +tp2303 +a(g8 +g958 +tp2304 +a(g8 +g958 +tp2305 +a(g8 +V*) +p2306 +tp2307 +a(g827 +V\u000a +p2308 +tp2309 +a(g706 +g1672 +tp2310 +a(g827 +g958 +tp2311 +a(g629 +VRedex +p2312 +tp2313 +a(g827 +g958 +tp2314 +a(g794 +Vof +p2315 +tp2316 +a(g827 +g958 +tp2317 +a(g436 +VExp +p2318 +tp2319 +a(g827 +g958 +tp2320 +a(g436 +g1687 +tp2321 +a(g827 +g958 +tp2322 +a(g436 +VSpine +p2323 +tp2324 +a(g827 +V +p2325 +tp2326 +a(g8 +V(* +p2327 +tp2328 +a(g8 +g958 +tp2329 +a(g8 +g958 +tp2330 +a(g8 +g958 +tp2331 +a(g8 +g958 +tp2332 +a(g8 +g958 +tp2333 +a(g8 +g1672 +tp2334 +a(g8 +g958 +tp2335 +a(g8 +g1993 +tp2336 +a(g8 +g958 +tp2337 +a(g8 +g2286 +tp2338 +a(g8 +g958 +tp2339 +a(g8 +g976 +tp2340 +a(g8 +g958 +tp2341 +a(g8 +g958 +tp2342 +a(g8 +g958 +tp2343 +a(g8 +g958 +tp2344 +a(g8 +g958 +tp2345 +a(g8 +g958 +tp2346 +a(g8 +g958 +tp2347 +a(g8 +g958 +tp2348 +a(g8 +g958 +tp2349 +a(g8 +g958 +tp2350 +a(g8 +g958 +tp2351 +a(g8 +g958 +tp2352 +a(g8 +g958 +tp2353 +a(g8 +g958 +tp2354 +a(g8 +g958 +tp2355 +a(g8 +g958 +tp2356 +a(g8 +V*) +p2357 +tp2358 +a(g827 +V\u000a +p2359 +tp2360 +a(g706 +g1672 +tp2361 +a(g827 +g958 +tp2362 +a(g629 +VLam +p2363 +tp2364 +a(g827 +V +p2365 +tp2366 +a(g794 +Vof +p2367 +tp2368 +a(g827 +g958 +tp2369 +a(g436 +VDec +p2370 +tp2371 +a(g827 +g958 +tp2372 +a(g436 +g1687 +tp2373 +a(g827 +g958 +tp2374 +a(g436 +VExp +p2375 +tp2376 +a(g827 +V +p2377 +tp2378 +a(g8 +V(* +p2379 +tp2380 +a(g8 +g958 +tp2381 +a(g8 +g958 +tp2382 +a(g8 +g958 +tp2383 +a(g8 +g958 +tp2384 +a(g8 +g958 +tp2385 +a(g8 +g1672 +tp2386 +a(g8 +g958 +tp2387 +a(g8 +g973 +tp2388 +a(g8 +g971 +tp2389 +a(g8 +g1046 +tp2390 +a(g8 +g958 +tp2391 +a(g8 +g1706 +tp2392 +a(g8 +g1646 +tp2393 +a(g8 +g958 +tp2394 +a(g8 +g1993 +tp2395 +a(g8 +g958 +tp2396 +a(g8 +g958 +tp2397 +a(g8 +g958 +tp2398 +a(g8 +g958 +tp2399 +a(g8 +g958 +tp2400 +a(g8 +g958 +tp2401 +a(g8 +g958 +tp2402 +a(g8 +g958 +tp2403 +a(g8 +g958 +tp2404 +a(g8 +g958 +tp2405 +a(g8 +g958 +tp2406 +a(g8 +g958 +tp2407 +a(g8 +g958 +tp2408 +a(g8 +V*) +p2409 +tp2410 +a(g827 +V\u000a +p2411 +tp2412 +a(g706 +g1672 +tp2413 +a(g827 +g958 +tp2414 +a(g629 +VEVar +p2415 +tp2416 +a(g827 +V +p2417 +tp2418 +a(g794 +Vof +p2419 +tp2420 +a(g827 +g958 +tp2421 +a(g436 +VExp +p2422 +tp2423 +a(g827 +g958 +tp2424 +a(g436 +Voption +p2425 +tp2426 +a(g827 +g958 +tp2427 +a(g436 +Vref +p2428 +tp2429 +a(g827 +g958 +tp2430 +a(g436 +g1687 +tp2431 +a(g827 +g958 +tp2432 +a(g436 +VDec +p2433 +tp2434 +a(g827 +g958 +tp2435 +a(g436 +VCtx +p2436 +tp2437 +a(g827 +g958 +tp2438 +a(g436 +g1687 +tp2439 +a(g827 +g958 +tp2440 +a(g436 +VExp +p2441 +tp2442 +a(g827 +g958 +tp2443 +a(g436 +g1687 +tp2444 +a(g827 +g958 +tp2445 +a(g706 +g2205 +tp2446 +a(g436 +VCnstr +p2447 +tp2448 +a(g827 +g958 +tp2449 +a(g436 +Vref +p2450 +tp2451 +a(g706 +g2214 +tp2452 +a(g827 +g958 +tp2453 +a(g436 +Vlist +p2454 +tp2455 +a(g827 +g958 +tp2456 +a(g436 +Vref +p2457 +tp2458 +a(g827 +V\u000a +p2459 +tp2460 +a(g8 +V(* +p2461 +tp2462 +a(g8 +g958 +tp2463 +a(g8 +g958 +tp2464 +a(g8 +g958 +tp2465 +a(g8 +g958 +tp2466 +a(g8 +g958 +tp2467 +a(g8 +g1672 +tp2468 +a(g8 +g958 +tp2469 +a(g8 +VX +p2470 +tp2471 +a(g8 +V< +p2472 +tp2473 +a(g8 +g960 +tp2474 +a(g8 +V> +p2475 +tp2476 +a(g8 +g958 +tp2477 +a(g8 +g1003 +tp2478 +a(g8 +g958 +tp2479 +a(g8 +g1639 +tp2480 +a(g8 +g1672 +tp2481 +a(g8 +V- +p2482 +tp2483 +a(g8 +g1081 +tp2484 +a(g8 +g1026 +tp2485 +a(g8 +g958 +tp2486 +a(g8 +g1029 +tp2487 +a(g8 +g962 +tp2488 +a(g8 +g1033 +tp2489 +a(g8 +g964 +tp2490 +a(g8 +g968 +tp2491 +a(g8 +g958 +tp2492 +a(g8 +g958 +tp2493 +a(g8 +g958 +tp2494 +a(g8 +V*) +p2495 +tp2496 +a(g827 +V\u000a +p2497 +tp2498 +a(g706 +g1672 +tp2499 +a(g827 +g958 +tp2500 +a(g629 +VEClo +p2501 +tp2502 +a(g827 +V +p2503 +tp2504 +a(g794 +Vof +p2505 +tp2506 +a(g827 +g958 +tp2507 +a(g436 +VExp +p2508 +tp2509 +a(g827 +g958 +tp2510 +a(g436 +g1687 +tp2511 +a(g827 +g958 +tp2512 +a(g436 +VSub +p2513 +tp2514 +a(g827 +V +p2515 +tp2516 +a(g8 +V(* +p2517 +tp2518 +a(g8 +g958 +tp2519 +a(g8 +g958 +tp2520 +a(g8 +g958 +tp2521 +a(g8 +g958 +tp2522 +a(g8 +g958 +tp2523 +a(g8 +g1672 +tp2524 +a(g8 +g958 +tp2525 +a(g8 +g1993 +tp2526 +a(g8 +V[ +p2527 +tp2528 +a(g8 +g1033 +tp2529 +a(g8 +V] +p2530 +tp2531 +a(g8 +g958 +tp2532 +a(g8 +g958 +tp2533 +a(g8 +g958 +tp2534 +a(g8 +g958 +tp2535 +a(g8 +g958 +tp2536 +a(g8 +g958 +tp2537 +a(g8 +g958 +tp2538 +a(g8 +g958 +tp2539 +a(g8 +g958 +tp2540 +a(g8 +g958 +tp2541 +a(g8 +g958 +tp2542 +a(g8 +g958 +tp2543 +a(g8 +g958 +tp2544 +a(g8 +g958 +tp2545 +a(g8 +g958 +tp2546 +a(g8 +g958 +tp2547 +a(g8 +g958 +tp2548 +a(g8 +V*) +p2549 +tp2550 +a(g827 +V\u000a +p2551 +tp2552 +a(g706 +g1672 +tp2553 +a(g827 +g958 +tp2554 +a(g629 +VAVar +p2555 +tp2556 +a(g827 +V +p2557 +tp2558 +a(g794 +Vof +p2559 +tp2560 +a(g827 +g958 +tp2561 +a(g436 +VExp +p2562 +tp2563 +a(g827 +g958 +tp2564 +a(g436 +Voption +p2565 +tp2566 +a(g827 +g958 +tp2567 +a(g436 +Vref +p2568 +tp2569 +a(g827 +V +p2570 +tp2571 +a(g8 +V(* +p2572 +tp2573 +a(g8 +g958 +tp2574 +a(g8 +g958 +tp2575 +a(g8 +g958 +tp2576 +a(g8 +g958 +tp2577 +a(g8 +g958 +tp2578 +a(g8 +g1672 +tp2579 +a(g8 +g958 +tp2580 +a(g8 +g993 +tp2581 +a(g8 +g2472 +tp2582 +a(g8 +g960 +tp2583 +a(g8 +g2475 +tp2584 +a(g8 +g958 +tp2585 +a(g8 +g958 +tp2586 +a(g8 +g958 +tp2587 +a(g8 +g958 +tp2588 +a(g8 +g958 +tp2589 +a(g8 +g958 +tp2590 +a(g8 +g958 +tp2591 +a(g8 +g958 +tp2592 +a(g8 +g958 +tp2593 +a(g8 +g958 +tp2594 +a(g8 +g958 +tp2595 +a(g8 +g958 +tp2596 +a(g8 +g958 +tp2597 +a(g8 +g958 +tp2598 +a(g8 +g958 +tp2599 +a(g8 +g958 +tp2600 +a(g8 +g958 +tp2601 +a(g8 +V*) +p2602 +tp2603 +a(g827 +V\u000a\u000a +p2604 +tp2605 +a(g706 +g1672 +tp2606 +a(g827 +g958 +tp2607 +a(g629 +VFgnExp +p2608 +tp2609 +a(g827 +g958 +tp2610 +a(g794 +Vof +p2611 +tp2612 +a(g827 +g958 +tp2613 +a(g436 +Vcsid +p2614 +tp2615 +a(g827 +g958 +tp2616 +a(g436 +g1687 +tp2617 +a(g827 +g958 +tp2618 +a(g436 +VFgnExp +p2619 +tp2620 +a(g827 +V +p2621 +tp2622 +a(g8 +V(* +p2623 +tp2624 +a(g8 +g958 +tp2625 +a(g8 +g958 +tp2626 +a(g8 +g958 +tp2627 +a(g8 +g958 +tp2628 +a(g8 +g958 +tp2629 +a(g8 +g1672 +tp2630 +a(g8 +g958 +tp2631 +a(g8 +g2205 +tp2632 +a(g8 +g1016 +tp2633 +a(g8 +g1000 +tp2634 +a(g8 +g968 +tp2635 +a(g8 +g966 +tp2636 +a(g8 +g1021 +tp2637 +a(g8 +g1024 +tp2638 +a(g8 +g962 +tp2639 +a(g8 +g958 +tp2640 +a(g8 +g966 +tp2641 +a(g8 +g983 +tp2642 +a(g8 +g1273 +tp2643 +a(g8 +g968 +tp2644 +a(g8 +g966 +tp2645 +a(g8 +g1033 +tp2646 +a(g8 +g1033 +tp2647 +a(g8 +g1021 +tp2648 +a(g8 +g1000 +tp2649 +a(g8 +g962 +tp2650 +a(g8 +g2214 +tp2651 +a(g8 +g958 +tp2652 +a(g8 +V*) +p2653 +tp2654 +a(g827 +V\u000a\u000a +p2655 +tp2656 +a(g706 +g1672 +tp2657 +a(g827 +g958 +tp2658 +a(g629 +VNVar +p2659 +tp2660 +a(g827 +V +p2661 +tp2662 +a(g794 +Vof +p2663 +tp2664 +a(g827 +g958 +tp2665 +a(g436 +Vint +p2666 +tp2667 +a(g827 +V +p2668 +tp2669 +a(g8 +V(* +p2670 +tp2671 +a(g8 +g958 +tp2672 +a(g8 +g958 +tp2673 +a(g8 +g958 +tp2674 +a(g8 +g958 +tp2675 +a(g8 +g958 +tp2676 +a(g8 +g1672 +tp2677 +a(g8 +g958 +tp2678 +a(g8 +g962 +tp2679 +a(g8 +g958 +tp2680 +a(g8 +g2205 +tp2681 +a(g8 +g973 +tp2682 +a(g8 +g1021 +tp2683 +a(g8 +g962 +tp2684 +a(g8 +g966 +tp2685 +a(g8 +g971 +tp2686 +a(g8 +g968 +tp2687 +a(g8 +g1026 +tp2688 +a(g8 +g958 +tp2689 +a(g8 +V\u000a +p2690 +tp2691 +a(g8 +g958 +tp2692 +a(g8 +g958 +tp2693 +a(g8 +g958 +tp2694 +a(g8 +g958 +tp2695 +a(g8 +g958 +tp2696 +a(g8 +g958 +tp2697 +a(g8 +g958 +tp2698 +a(g8 +g958 +tp2699 +a(g8 +g958 +tp2700 +a(g8 +g958 +tp2701 +a(g8 +g958 +tp2702 +a(g8 +g958 +tp2703 +a(g8 +g958 +tp2704 +a(g8 +g958 +tp2705 +a(g8 +g958 +tp2706 +a(g8 +g958 +tp2707 +a(g8 +g958 +tp2708 +a(g8 +g958 +tp2709 +a(g8 +g958 +tp2710 +a(g8 +g958 +tp2711 +a(g8 +g958 +tp2712 +a(g8 +g958 +tp2713 +a(g8 +g958 +tp2714 +a(g8 +g958 +tp2715 +a(g8 +g958 +tp2716 +a(g8 +g958 +tp2717 +a(g8 +g958 +tp2718 +a(g8 +g958 +tp2719 +a(g8 +g958 +tp2720 +a(g8 +g958 +tp2721 +a(g8 +g958 +tp2722 +a(g8 +g958 +tp2723 +a(g8 +g958 +tp2724 +a(g8 +g958 +tp2725 +a(g8 +g958 +tp2726 +a(g8 +g958 +tp2727 +a(g8 +g958 +tp2728 +a(g8 +g958 +tp2729 +a(g8 +g958 +tp2730 +a(g8 +g958 +tp2731 +a(g8 +g958 +tp2732 +a(g8 +g958 +tp2733 +a(g8 +g958 +tp2734 +a(g8 +g958 +tp2735 +a(g8 +g958 +tp2736 +a(g8 +g958 +tp2737 +a(g8 +g958 +tp2738 +a(g8 +g1016 +tp2739 +a(g8 +g995 +tp2740 +a(g8 +g973 +tp2741 +a(g8 +g973 +tp2742 +a(g8 +g978 +tp2743 +a(g8 +g958 +tp2744 +a(g8 +g971 +tp2745 +a(g8 +g1273 +tp2746 +a(g8 +g1273 +tp2747 +a(g8 +g973 +tp2748 +a(g8 +g1021 +tp2749 +a(g8 +g966 +tp2750 +a(g8 +g1062 +tp2751 +a(g8 +g958 +tp2752 +a(g8 +g1346 +tp2753 +a(g8 +g971 +tp2754 +a(g8 +g968 +tp2755 +a(g8 +g1021 +tp2756 +a(g8 +g971 +tp2757 +a(g8 +g1074 +tp2758 +a(g8 +g973 +tp2759 +a(g8 +g966 +tp2760 +a(g8 +V\u000a +p2761 +tp2762 +a(g8 +g958 +tp2763 +a(g8 +g958 +tp2764 +a(g8 +g958 +tp2765 +a(g8 +g958 +tp2766 +a(g8 +g958 +tp2767 +a(g8 +g958 +tp2768 +a(g8 +g958 +tp2769 +a(g8 +g958 +tp2770 +a(g8 +g958 +tp2771 +a(g8 +g958 +tp2772 +a(g8 +g958 +tp2773 +a(g8 +g958 +tp2774 +a(g8 +g958 +tp2775 +a(g8 +g958 +tp2776 +a(g8 +g958 +tp2777 +a(g8 +g958 +tp2778 +a(g8 +g958 +tp2779 +a(g8 +g958 +tp2780 +a(g8 +g958 +tp2781 +a(g8 +g958 +tp2782 +a(g8 +g958 +tp2783 +a(g8 +g958 +tp2784 +a(g8 +g958 +tp2785 +a(g8 +g958 +tp2786 +a(g8 +g958 +tp2787 +a(g8 +g958 +tp2788 +a(g8 +g958 +tp2789 +a(g8 +g958 +tp2790 +a(g8 +g958 +tp2791 +a(g8 +g958 +tp2792 +a(g8 +g958 +tp2793 +a(g8 +g958 +tp2794 +a(g8 +g958 +tp2795 +a(g8 +g958 +tp2796 +a(g8 +g958 +tp2797 +a(g8 +g958 +tp2798 +a(g8 +g958 +tp2799 +a(g8 +g958 +tp2800 +a(g8 +g958 +tp2801 +a(g8 +g958 +tp2802 +a(g8 +g958 +tp2803 +a(g8 +g958 +tp2804 +a(g8 +g958 +tp2805 +a(g8 +g958 +tp2806 +a(g8 +g958 +tp2807 +a(g8 +g958 +tp2808 +a(g8 +g958 +tp2809 +a(g8 +g995 +tp2810 +a(g8 +g1033 +tp2811 +a(g8 +g966 +tp2812 +a(g8 +g1062 +tp2813 +a(g8 +g958 +tp2814 +a(g8 +g1021 +tp2815 +a(g8 +g962 +tp2816 +a(g8 +g958 +tp2817 +a(g8 +g1021 +tp2818 +a(g8 +g962 +tp2819 +a(g8 +g1062 +tp2820 +a(g8 +g966 +tp2821 +a(g8 +g983 +tp2822 +a(g8 +g1021 +tp2823 +a(g8 +g962 +tp2824 +a(g8 +g1024 +tp2825 +a(g8 +g958 +tp2826 +a(g8 +g958 +tp2827 +a(g8 +g958 +tp2828 +a(g8 +g958 +tp2829 +a(g8 +g958 +tp2830 +a(g8 +g958 +tp2831 +a(g8 +g958 +tp2832 +a(g8 +V*) +p2833 +tp2834 +a(g827 +V\u000a\u000a +p2835 +tp2836 +a(g794 +Vand +p2837 +tp2838 +a(g827 +g958 +tp2839 +a(g749 +VHead +p2840 +tp2841 +a(g827 +g958 +tp2842 +a(g706 +g1098 +tp2843 +a(g827 +V +p2844 +tp2845 +a(g8 +V(* +p2846 +tp2847 +a(g8 +g958 +tp2848 +a(g8 +g2283 +tp2849 +a(g8 +g966 +tp2850 +a(g8 +g971 +tp2851 +a(g8 +g1062 +tp2852 +a(g8 +g1003 +tp2853 +a(g8 +g958 +tp2854 +a(g8 +g958 +tp2855 +a(g8 +g958 +tp2856 +a(g8 +g958 +tp2857 +a(g8 +g958 +tp2858 +a(g8 +g958 +tp2859 +a(g8 +g958 +tp2860 +a(g8 +g958 +tp2861 +a(g8 +g958 +tp2862 +a(g8 +g958 +tp2863 +a(g8 +g958 +tp2864 +a(g8 +g958 +tp2865 +a(g8 +g958 +tp2866 +a(g8 +g958 +tp2867 +a(g8 +g958 +tp2868 +a(g8 +g958 +tp2869 +a(g8 +g958 +tp2870 +a(g8 +g958 +tp2871 +a(g8 +g958 +tp2872 +a(g8 +g958 +tp2873 +a(g8 +g958 +tp2874 +a(g8 +g958 +tp2875 +a(g8 +V*) +p2876 +tp2877 +a(g827 +V\u000a +p2878 +tp2879 +a(g629 +VBVar +p2880 +tp2881 +a(g827 +V +p2882 +tp2883 +a(g794 +Vof +p2884 +tp2885 +a(g827 +g958 +tp2886 +a(g436 +Vint +p2887 +tp2888 +a(g827 +V +p2889 +tp2890 +a(g8 +V(* +p2891 +tp2892 +a(g8 +g958 +tp2893 +a(g8 +g2283 +tp2894 +a(g8 +g958 +tp2895 +a(g8 +g1003 +tp2896 +a(g8 +g1003 +tp2897 +a(g8 +g1098 +tp2898 +a(g8 +g958 +tp2899 +a(g8 +g1011 +tp2900 +a(g8 +g958 +tp2901 +a(g8 +g958 +tp2902 +a(g8 +g958 +tp2903 +a(g8 +g958 +tp2904 +a(g8 +g958 +tp2905 +a(g8 +g958 +tp2906 +a(g8 +g958 +tp2907 +a(g8 +g958 +tp2908 +a(g8 +g958 +tp2909 +a(g8 +g958 +tp2910 +a(g8 +g958 +tp2911 +a(g8 +g958 +tp2912 +a(g8 +g958 +tp2913 +a(g8 +g958 +tp2914 +a(g8 +g958 +tp2915 +a(g8 +g958 +tp2916 +a(g8 +g958 +tp2917 +a(g8 +g958 +tp2918 +a(g8 +g958 +tp2919 +a(g8 +g958 +tp2920 +a(g8 +V*) +p2921 +tp2922 +a(g827 +V\u000a +p2923 +tp2924 +a(g706 +g1672 +tp2925 +a(g827 +g958 +tp2926 +a(g629 +VConst +p2927 +tp2928 +a(g827 +g958 +tp2929 +a(g794 +Vof +p2930 +tp2931 +a(g827 +g958 +tp2932 +a(g436 +Vcid +p2933 +tp2934 +a(g827 +V +p2935 +tp2936 +a(g8 +V(* +p2937 +tp2938 +a(g8 +g958 +tp2939 +a(g8 +g958 +tp2940 +a(g8 +g958 +tp2941 +a(g8 +g958 +tp2942 +a(g8 +g958 +tp2943 +a(g8 +g1672 +tp2944 +a(g8 +g958 +tp2945 +a(g8 +g1040 +tp2946 +a(g8 +g958 +tp2947 +a(g8 +g958 +tp2948 +a(g8 +g958 +tp2949 +a(g8 +g958 +tp2950 +a(g8 +g958 +tp2951 +a(g8 +g958 +tp2952 +a(g8 +g958 +tp2953 +a(g8 +g958 +tp2954 +a(g8 +g958 +tp2955 +a(g8 +g958 +tp2956 +a(g8 +g958 +tp2957 +a(g8 +g958 +tp2958 +a(g8 +g958 +tp2959 +a(g8 +g958 +tp2960 +a(g8 +g958 +tp2961 +a(g8 +g958 +tp2962 +a(g8 +g958 +tp2963 +a(g8 +g958 +tp2964 +a(g8 +g958 +tp2965 +a(g8 +g958 +tp2966 +a(g8 +V*) +p2967 +tp2968 +a(g827 +V\u000a +p2969 +tp2970 +a(g706 +g1672 +tp2971 +a(g827 +g958 +tp2972 +a(g629 +VProj +p2973 +tp2974 +a(g827 +V +p2975 +tp2976 +a(g794 +Vof +p2977 +tp2978 +a(g827 +g958 +tp2979 +a(g436 +VBlock +p2980 +tp2981 +a(g827 +g958 +tp2982 +a(g436 +g1687 +tp2983 +a(g827 +g958 +tp2984 +a(g436 +Vint +p2985 +tp2986 +a(g827 +V +p2987 +tp2988 +a(g8 +V(* +p2989 +tp2990 +a(g8 +g958 +tp2991 +a(g8 +g958 +tp2992 +a(g8 +g958 +tp2993 +a(g8 +g958 +tp2994 +a(g8 +g958 +tp2995 +a(g8 +g1672 +tp2996 +a(g8 +g958 +tp2997 +a(g8 +V# +p2998 +tp2999 +a(g8 +g1011 +tp3000 +a(g8 +g2205 +tp3001 +a(g8 +g1074 +tp3002 +a(g8 +g2214 +tp3003 +a(g8 +g958 +tp3004 +a(g8 +g958 +tp3005 +a(g8 +g958 +tp3006 +a(g8 +g958 +tp3007 +a(g8 +g958 +tp3008 +a(g8 +g958 +tp3009 +a(g8 +g958 +tp3010 +a(g8 +g958 +tp3011 +a(g8 +g958 +tp3012 +a(g8 +g958 +tp3013 +a(g8 +g958 +tp3014 +a(g8 +g958 +tp3015 +a(g8 +g958 +tp3016 +a(g8 +g958 +tp3017 +a(g8 +g958 +tp3018 +a(g8 +g958 +tp3019 +a(g8 +V*) +p3020 +tp3021 +a(g827 +V\u000a +p3022 +tp3023 +a(g706 +g1672 +tp3024 +a(g827 +g958 +tp3025 +a(g629 +VSkonst +p3026 +tp3027 +a(g827 +g958 +tp3028 +a(g794 +Vof +p3029 +tp3030 +a(g827 +g958 +tp3031 +a(g436 +Vcid +p3032 +tp3033 +a(g827 +V +p3034 +tp3035 +a(g8 +V(* +p3036 +tp3037 +a(g8 +g958 +tp3038 +a(g8 +g958 +tp3039 +a(g8 +g958 +tp3040 +a(g8 +g958 +tp3041 +a(g8 +g958 +tp3042 +a(g8 +g1672 +tp3043 +a(g8 +g958 +tp3044 +a(g8 +g1040 +tp3045 +a(g8 +g2998 +tp3046 +a(g8 +g958 +tp3047 +a(g8 +g958 +tp3048 +a(g8 +g958 +tp3049 +a(g8 +g958 +tp3050 +a(g8 +g958 +tp3051 +a(g8 +g958 +tp3052 +a(g8 +g958 +tp3053 +a(g8 +g958 +tp3054 +a(g8 +g958 +tp3055 +a(g8 +g958 +tp3056 +a(g8 +g958 +tp3057 +a(g8 +g958 +tp3058 +a(g8 +g958 +tp3059 +a(g8 +g958 +tp3060 +a(g8 +g958 +tp3061 +a(g8 +g958 +tp3062 +a(g8 +g958 +tp3063 +a(g8 +g958 +tp3064 +a(g8 +g958 +tp3065 +a(g8 +V*) +p3066 +tp3067 +a(g827 +V\u000a +p3068 +tp3069 +a(g706 +g1672 +tp3070 +a(g827 +g958 +tp3071 +a(g629 +VDef +p3072 +tp3073 +a(g827 +V +p3074 +tp3075 +a(g794 +Vof +p3076 +tp3077 +a(g827 +g958 +tp3078 +a(g436 +Vcid +p3079 +tp3080 +a(g827 +V +p3081 +tp3082 +a(g8 +V(* +p3083 +tp3084 +a(g8 +g958 +tp3085 +a(g8 +g958 +tp3086 +a(g8 +g958 +tp3087 +a(g8 +g958 +tp3088 +a(g8 +g958 +tp3089 +a(g8 +g1672 +tp3090 +a(g8 +g958 +tp3091 +a(g8 +g1062 +tp3092 +a(g8 +g958 +tp3093 +a(g8 +g2205 +tp3094 +a(g8 +g1033 +tp3095 +a(g8 +g964 +tp3096 +a(g8 +g968 +tp3097 +a(g8 +g1021 +tp3098 +a(g8 +g1040 +tp3099 +a(g8 +g964 +tp3100 +a(g8 +g2214 +tp3101 +a(g8 +g958 +tp3102 +a(g8 +g958 +tp3103 +a(g8 +g958 +tp3104 +a(g8 +g958 +tp3105 +a(g8 +g958 +tp3106 +a(g8 +g958 +tp3107 +a(g8 +g958 +tp3108 +a(g8 +g958 +tp3109 +a(g8 +g958 +tp3110 +a(g8 +g958 +tp3111 +a(g8 +g958 +tp3112 +a(g8 +V*) +p3113 +tp3114 +a(g827 +V\u000a +p3115 +tp3116 +a(g706 +g1672 +tp3117 +a(g827 +g958 +tp3118 +a(g629 +VNSDef +p3119 +tp3120 +a(g827 +g958 +tp3121 +a(g794 +Vof +p3122 +tp3123 +a(g827 +g958 +tp3124 +a(g436 +Vcid +p3125 +tp3126 +a(g827 +V +p3127 +tp3128 +a(g8 +V(* +p3129 +tp3130 +a(g8 +g958 +tp3131 +a(g8 +g958 +tp3132 +a(g8 +g958 +tp3133 +a(g8 +g958 +tp3134 +a(g8 +g958 +tp3135 +a(g8 +g1672 +tp3136 +a(g8 +g958 +tp3137 +a(g8 +g1062 +tp3138 +a(g8 +g958 +tp3139 +a(g8 +g2205 +tp3140 +a(g8 +g962 +tp3141 +a(g8 +g1000 +tp3142 +a(g8 +g962 +tp3143 +a(g8 +g958 +tp3144 +a(g8 +g1033 +tp3145 +a(g8 +g964 +tp3146 +a(g8 +g968 +tp3147 +a(g8 +g1021 +tp3148 +a(g8 +g1040 +tp3149 +a(g8 +g964 +tp3150 +a(g8 +g2214 +tp3151 +a(g8 +g958 +tp3152 +a(g8 +g958 +tp3153 +a(g8 +g958 +tp3154 +a(g8 +g958 +tp3155 +a(g8 +g958 +tp3156 +a(g8 +g958 +tp3157 +a(g8 +g958 +tp3158 +a(g8 +V*) +p3159 +tp3160 +a(g827 +V\u000a +p3161 +tp3162 +a(g706 +g1672 +tp3163 +a(g827 +g958 +tp3164 +a(g629 +VFVar +p3165 +tp3166 +a(g827 +V +p3167 +tp3168 +a(g794 +Vof +p3169 +tp3170 +a(g827 +g958 +tp3171 +a(g436 +Vstring +p3172 +tp3173 +a(g827 +g958 +tp3174 +a(g436 +g1687 +tp3175 +a(g827 +g958 +tp3176 +a(g436 +VExp +p3177 +tp3178 +a(g827 +g958 +tp3179 +a(g436 +g1687 +tp3180 +a(g827 +g958 +tp3181 +a(g436 +VSub +p3182 +tp3183 +a(g827 +V +p3184 +tp3185 +a(g8 +V(* +p3186 +tp3187 +a(g8 +g958 +tp3188 +a(g8 +g958 +tp3189 +a(g8 +g958 +tp3190 +a(g8 +g958 +tp3191 +a(g8 +g958 +tp3192 +a(g8 +g1672 +tp3193 +a(g8 +g958 +tp3194 +a(g8 +g1006 +tp3195 +a(g8 +g2527 +tp3196 +a(g8 +g1033 +tp3197 +a(g8 +g2530 +tp3198 +a(g8 +g958 +tp3199 +a(g8 +g958 +tp3200 +a(g8 +g958 +tp3201 +a(g8 +g958 +tp3202 +a(g8 +g958 +tp3203 +a(g8 +g958 +tp3204 +a(g8 +g958 +tp3205 +a(g8 +g958 +tp3206 +a(g8 +g958 +tp3207 +a(g8 +g958 +tp3208 +a(g8 +g958 +tp3209 +a(g8 +g958 +tp3210 +a(g8 +g958 +tp3211 +a(g8 +g958 +tp3212 +a(g8 +g958 +tp3213 +a(g8 +g958 +tp3214 +a(g8 +g958 +tp3215 +a(g8 +V*) +p3216 +tp3217 +a(g827 +V\u000a +p3218 +tp3219 +a(g706 +g1672 +tp3220 +a(g827 +g958 +tp3221 +a(g629 +VFgnConst +p3222 +tp3223 +a(g827 +g958 +tp3224 +a(g794 +Vof +p3225 +tp3226 +a(g827 +g958 +tp3227 +a(g436 +Vcsid +p3228 +tp3229 +a(g827 +g958 +tp3230 +a(g436 +g1687 +tp3231 +a(g827 +g958 +tp3232 +a(g436 +VConDec +p3233 +tp3234 +a(g827 +V +p3235 +tp3236 +a(g8 +V(* +p3237 +tp3238 +a(g8 +g958 +tp3239 +a(g8 +g958 +tp3240 +a(g8 +g958 +tp3241 +a(g8 +g958 +tp3242 +a(g8 +g958 +tp3243 +a(g8 +g1672 +tp3244 +a(g8 +g958 +tp3245 +a(g8 +g2205 +tp3246 +a(g8 +g1016 +tp3247 +a(g8 +g1000 +tp3248 +a(g8 +g968 +tp3249 +a(g8 +g966 +tp3250 +a(g8 +g1021 +tp3251 +a(g8 +g1024 +tp3252 +a(g8 +g962 +tp3253 +a(g8 +g958 +tp3254 +a(g8 +g1040 +tp3255 +a(g8 +g1000 +tp3256 +a(g8 +g962 +tp3257 +a(g8 +g1033 +tp3258 +a(g8 +g964 +tp3259 +a(g8 +g971 +tp3260 +a(g8 +g962 +tp3261 +a(g8 +g964 +tp3262 +a(g8 +g2214 +tp3263 +a(g8 +g958 +tp3264 +a(g8 +g958 +tp3265 +a(g8 +g958 +tp3266 +a(g8 +V*) +p3267 +tp3268 +a(g827 +V\u000a\u000a +p3269 +tp3270 +a(g794 +Vand +p3271 +tp3272 +a(g827 +g958 +tp3273 +a(g749 +VSpine +p3274 +tp3275 +a(g827 +g958 +tp3276 +a(g706 +g1098 +tp3277 +a(g827 +V +p3278 +tp3279 +a(g8 +V(* +p3280 +tp3281 +a(g8 +g958 +tp3282 +a(g8 +g976 +tp3283 +a(g8 +g1273 +tp3284 +a(g8 +g1021 +tp3285 +a(g8 +g962 +tp3286 +a(g8 +g966 +tp3287 +a(g8 +g1033 +tp3288 +a(g8 +g1003 +tp3289 +a(g8 +g958 +tp3290 +a(g8 +g958 +tp3291 +a(g8 +g958 +tp3292 +a(g8 +g958 +tp3293 +a(g8 +g958 +tp3294 +a(g8 +g958 +tp3295 +a(g8 +g958 +tp3296 +a(g8 +g958 +tp3297 +a(g8 +g958 +tp3298 +a(g8 +g958 +tp3299 +a(g8 +g958 +tp3300 +a(g8 +g958 +tp3301 +a(g8 +g958 +tp3302 +a(g8 +g958 +tp3303 +a(g8 +g958 +tp3304 +a(g8 +g958 +tp3305 +a(g8 +g958 +tp3306 +a(g8 +g958 +tp3307 +a(g8 +g958 +tp3308 +a(g8 +g958 +tp3309 +a(g8 +V*) +p3310 +tp3311 +a(g827 +V\u000a +p3312 +tp3313 +a(g629 +VNil +p3314 +tp3315 +a(g827 +V +p3316 +tp3317 +a(g8 +V(* +p3318 +tp3319 +a(g8 +g958 +tp3320 +a(g8 +g976 +tp3321 +a(g8 +g958 +tp3322 +a(g8 +g1003 +tp3323 +a(g8 +g1003 +tp3324 +a(g8 +g1098 +tp3325 +a(g8 +g958 +tp3326 +a(g8 +g1855 +tp3327 +a(g8 +g1021 +tp3328 +a(g8 +g973 +tp3329 +a(g8 +g958 +tp3330 +a(g8 +g958 +tp3331 +a(g8 +g958 +tp3332 +a(g8 +g958 +tp3333 +a(g8 +g958 +tp3334 +a(g8 +g958 +tp3335 +a(g8 +g958 +tp3336 +a(g8 +g958 +tp3337 +a(g8 +g958 +tp3338 +a(g8 +g958 +tp3339 +a(g8 +g958 +tp3340 +a(g8 +g958 +tp3341 +a(g8 +g958 +tp3342 +a(g8 +g958 +tp3343 +a(g8 +g958 +tp3344 +a(g8 +g958 +tp3345 +a(g8 +g958 +tp3346 +a(g8 +g958 +tp3347 +a(g8 +V*) +p3348 +tp3349 +a(g827 +V\u000a +p3350 +tp3351 +a(g706 +g1672 +tp3352 +a(g827 +g958 +tp3353 +a(g629 +VApp +p3354 +tp3355 +a(g827 +V +p3356 +tp3357 +a(g794 +Vof +p3358 +tp3359 +a(g827 +g958 +tp3360 +a(g436 +VExp +p3361 +tp3362 +a(g827 +g958 +tp3363 +a(g436 +g1687 +tp3364 +a(g827 +g958 +tp3365 +a(g436 +VSpine +p3366 +tp3367 +a(g827 +V +p3368 +tp3369 +a(g8 +V(* +p3370 +tp3371 +a(g8 +g958 +tp3372 +a(g8 +g958 +tp3373 +a(g8 +g958 +tp3374 +a(g8 +g958 +tp3375 +a(g8 +g958 +tp3376 +a(g8 +g1672 +tp3377 +a(g8 +g958 +tp3378 +a(g8 +g1993 +tp3379 +a(g8 +g958 +tp3380 +a(g8 +V; +p3381 +tp3382 +a(g8 +g958 +tp3383 +a(g8 +g976 +tp3384 +a(g8 +g958 +tp3385 +a(g8 +g958 +tp3386 +a(g8 +g958 +tp3387 +a(g8 +g958 +tp3388 +a(g8 +g958 +tp3389 +a(g8 +g958 +tp3390 +a(g8 +g958 +tp3391 +a(g8 +g958 +tp3392 +a(g8 +g958 +tp3393 +a(g8 +g958 +tp3394 +a(g8 +g958 +tp3395 +a(g8 +g958 +tp3396 +a(g8 +g958 +tp3397 +a(g8 +g958 +tp3398 +a(g8 +g958 +tp3399 +a(g8 +g958 +tp3400 +a(g8 +V*) +p3401 +tp3402 +a(g827 +V\u000a +p3403 +tp3404 +a(g706 +g1672 +tp3405 +a(g827 +g958 +tp3406 +a(g629 +VSClo +p3407 +tp3408 +a(g827 +V +p3409 +tp3410 +a(g794 +Vof +p3411 +tp3412 +a(g827 +g958 +tp3413 +a(g436 +VSpine +p3414 +tp3415 +a(g827 +g958 +tp3416 +a(g436 +g1687 +tp3417 +a(g827 +g958 +tp3418 +a(g436 +VSub +p3419 +tp3420 +a(g827 +V +p3421 +tp3422 +a(g8 +V(* +p3423 +tp3424 +a(g8 +g958 +tp3425 +a(g8 +g958 +tp3426 +a(g8 +g958 +tp3427 +a(g8 +g958 +tp3428 +a(g8 +g958 +tp3429 +a(g8 +g1672 +tp3430 +a(g8 +g958 +tp3431 +a(g8 +g976 +tp3432 +a(g8 +g2527 +tp3433 +a(g8 +g1033 +tp3434 +a(g8 +g2530 +tp3435 +a(g8 +g958 +tp3436 +a(g8 +g958 +tp3437 +a(g8 +g958 +tp3438 +a(g8 +g958 +tp3439 +a(g8 +g958 +tp3440 +a(g8 +g958 +tp3441 +a(g8 +g958 +tp3442 +a(g8 +g958 +tp3443 +a(g8 +g958 +tp3444 +a(g8 +g958 +tp3445 +a(g8 +g958 +tp3446 +a(g8 +g958 +tp3447 +a(g8 +g958 +tp3448 +a(g8 +g958 +tp3449 +a(g8 +g958 +tp3450 +a(g8 +g958 +tp3451 +a(g8 +g958 +tp3452 +a(g8 +V*) +p3453 +tp3454 +a(g827 +V\u000a\u000a +p3455 +tp3456 +a(g794 +Vand +p3457 +tp3458 +a(g827 +g958 +tp3459 +a(g749 +VSub +p3460 +tp3461 +a(g827 +g958 +tp3462 +a(g706 +g1098 +tp3463 +a(g827 +V +p3464 +tp3465 +a(g8 +V(* +p3466 +tp3467 +a(g8 +g958 +tp3468 +a(g8 +g2119 +tp3469 +a(g8 +g983 +tp3470 +a(g8 +g1273 +tp3471 +a(g8 +g973 +tp3472 +a(g8 +g1021 +tp3473 +a(g8 +g1040 +tp3474 +a(g8 +g1021 +tp3475 +a(g8 +g964 +tp3476 +a(g8 +g958 +tp3477 +a(g8 +g1033 +tp3478 +a(g8 +g995 +tp3479 +a(g8 +g1074 +tp3480 +a(g8 +g1033 +tp3481 +a(g8 +g964 +tp3482 +a(g8 +g1021 +tp3483 +a(g8 +g964 +tp3484 +a(g8 +g995 +tp3485 +a(g8 +g964 +tp3486 +a(g8 +g1021 +tp3487 +a(g8 +g1000 +tp3488 +a(g8 +g962 +tp3489 +a(g8 +g1033 +tp3490 +a(g8 +g1003 +tp3491 +a(g8 +g958 +tp3492 +a(g8 +g958 +tp3493 +a(g8 +g958 +tp3494 +a(g8 +g958 +tp3495 +a(g8 +V*) +p3496 +tp3497 +a(g827 +V\u000a +p3498 +tp3499 +a(g629 +VShift +p3500 +tp3501 +a(g827 +g958 +tp3502 +a(g794 +Vof +p3503 +tp3504 +a(g827 +g958 +tp3505 +a(g436 +Vint +p3506 +tp3507 +a(g827 +V +p3508 +tp3509 +a(g8 +V(* +p3510 +tp3511 +a(g8 +g958 +tp3512 +a(g8 +g1033 +tp3513 +a(g8 +g958 +tp3514 +a(g8 +g1003 +tp3515 +a(g8 +g1003 +tp3516 +a(g8 +g1098 +tp3517 +a(g8 +g958 +tp3518 +a(g8 +V^ +p3519 +tp3520 +a(g8 +g962 +tp3521 +a(g8 +g958 +tp3522 +a(g8 +g958 +tp3523 +a(g8 +g958 +tp3524 +a(g8 +g958 +tp3525 +a(g8 +g958 +tp3526 +a(g8 +g958 +tp3527 +a(g8 +g958 +tp3528 +a(g8 +g958 +tp3529 +a(g8 +g958 +tp3530 +a(g8 +g958 +tp3531 +a(g8 +g958 +tp3532 +a(g8 +g958 +tp3533 +a(g8 +g958 +tp3534 +a(g8 +g958 +tp3535 +a(g8 +g958 +tp3536 +a(g8 +g958 +tp3537 +a(g8 +g958 +tp3538 +a(g8 +g958 +tp3539 +a(g8 +g958 +tp3540 +a(g8 +V*) +p3541 +tp3542 +a(g827 +V\u000a +p3543 +tp3544 +a(g706 +g1672 +tp3545 +a(g827 +g958 +tp3546 +a(g629 +VDot +p3547 +tp3548 +a(g827 +V +p3549 +tp3550 +a(g794 +Vof +p3551 +tp3552 +a(g827 +g958 +tp3553 +a(g436 +VFront +p3554 +tp3555 +a(g827 +g958 +tp3556 +a(g436 +g1687 +tp3557 +a(g827 +g958 +tp3558 +a(g436 +VSub +p3559 +tp3560 +a(g827 +V +p3561 +tp3562 +a(g8 +V(* +p3563 +tp3564 +a(g8 +g958 +tp3565 +a(g8 +g958 +tp3566 +a(g8 +g958 +tp3567 +a(g8 +g958 +tp3568 +a(g8 +g958 +tp3569 +a(g8 +g1672 +tp3570 +a(g8 +g958 +tp3571 +a(g8 +g1006 +tp3572 +a(g8 +g964 +tp3573 +a(g8 +g1646 +tp3574 +a(g8 +g1033 +tp3575 +a(g8 +g958 +tp3576 +a(g8 +g958 +tp3577 +a(g8 +g958 +tp3578 +a(g8 +g958 +tp3579 +a(g8 +g958 +tp3580 +a(g8 +g958 +tp3581 +a(g8 +g958 +tp3582 +a(g8 +g958 +tp3583 +a(g8 +g958 +tp3584 +a(g8 +g958 +tp3585 +a(g8 +g958 +tp3586 +a(g8 +g958 +tp3587 +a(g8 +g958 +tp3588 +a(g8 +g958 +tp3589 +a(g8 +g958 +tp3590 +a(g8 +g958 +tp3591 +a(g8 +g958 +tp3592 +a(g8 +V*) +p3593 +tp3594 +a(g827 +V\u000a\u000a +p3595 +tp3596 +a(g794 +Vand +p3597 +tp3598 +a(g827 +g958 +tp3599 +a(g749 +VFront +p3600 +tp3601 +a(g827 +g958 +tp3602 +a(g706 +g1098 +tp3603 +a(g827 +V +p3604 +tp3605 +a(g8 +V(* +p3606 +tp3607 +a(g8 +g958 +tp3608 +a(g8 +g1006 +tp3609 +a(g8 +g968 +tp3610 +a(g8 +g1000 +tp3611 +a(g8 +g962 +tp3612 +a(g8 +g964 +tp3613 +a(g8 +g1033 +tp3614 +a(g8 +g1003 +tp3615 +a(g8 +g958 +tp3616 +a(g8 +g958 +tp3617 +a(g8 +g958 +tp3618 +a(g8 +g958 +tp3619 +a(g8 +g958 +tp3620 +a(g8 +g958 +tp3621 +a(g8 +g958 +tp3622 +a(g8 +g958 +tp3623 +a(g8 +g958 +tp3624 +a(g8 +g958 +tp3625 +a(g8 +g958 +tp3626 +a(g8 +g958 +tp3627 +a(g8 +g958 +tp3628 +a(g8 +g958 +tp3629 +a(g8 +g958 +tp3630 +a(g8 +g958 +tp3631 +a(g8 +g958 +tp3632 +a(g8 +g958 +tp3633 +a(g8 +g958 +tp3634 +a(g8 +g958 +tp3635 +a(g8 +V*) +p3636 +tp3637 +a(g827 +V\u000a +p3638 +tp3639 +a(g629 +VIdx +p3640 +tp3641 +a(g827 +g958 +tp3642 +a(g794 +Vof +p3643 +tp3644 +a(g827 +g958 +tp3645 +a(g436 +Vint +p3646 +tp3647 +a(g827 +V +p3648 +tp3649 +a(g8 +V(* +p3650 +tp3651 +a(g8 +g958 +tp3652 +a(g8 +g1006 +tp3653 +a(g8 +g964 +tp3654 +a(g8 +g958 +tp3655 +a(g8 +g1003 +tp3656 +a(g8 +g1003 +tp3657 +a(g8 +g1098 +tp3658 +a(g8 +g958 +tp3659 +a(g8 +g1011 +tp3660 +a(g8 +g958 +tp3661 +a(g8 +g958 +tp3662 +a(g8 +g958 +tp3663 +a(g8 +g958 +tp3664 +a(g8 +g958 +tp3665 +a(g8 +g958 +tp3666 +a(g8 +g958 +tp3667 +a(g8 +g958 +tp3668 +a(g8 +g958 +tp3669 +a(g8 +g958 +tp3670 +a(g8 +g958 +tp3671 +a(g8 +g958 +tp3672 +a(g8 +g958 +tp3673 +a(g8 +g958 +tp3674 +a(g8 +g958 +tp3675 +a(g8 +g958 +tp3676 +a(g8 +g958 +tp3677 +a(g8 +g958 +tp3678 +a(g8 +g958 +tp3679 +a(g8 +V*) +p3680 +tp3681 +a(g827 +V\u000a +p3682 +tp3683 +a(g706 +g1672 +tp3684 +a(g827 +g958 +tp3685 +a(g629 +VExp +p3686 +tp3687 +a(g827 +g958 +tp3688 +a(g794 +Vof +p3689 +tp3690 +a(g827 +g958 +tp3691 +a(g436 +VExp +p3692 +tp3693 +a(g827 +V +p3694 +tp3695 +a(g8 +V(* +p3696 +tp3697 +a(g8 +g958 +tp3698 +a(g8 +g958 +tp3699 +a(g8 +g958 +tp3700 +a(g8 +g958 +tp3701 +a(g8 +g958 +tp3702 +a(g8 +g1672 +tp3703 +a(g8 +g958 +tp3704 +a(g8 +g1993 +tp3705 +a(g8 +g958 +tp3706 +a(g8 +g958 +tp3707 +a(g8 +g958 +tp3708 +a(g8 +g958 +tp3709 +a(g8 +g958 +tp3710 +a(g8 +g958 +tp3711 +a(g8 +g958 +tp3712 +a(g8 +g958 +tp3713 +a(g8 +g958 +tp3714 +a(g8 +g958 +tp3715 +a(g8 +g958 +tp3716 +a(g8 +g958 +tp3717 +a(g8 +g958 +tp3718 +a(g8 +g958 +tp3719 +a(g8 +g958 +tp3720 +a(g8 +g958 +tp3721 +a(g8 +g958 +tp3722 +a(g8 +g958 +tp3723 +a(g8 +g958 +tp3724 +a(g8 +g958 +tp3725 +a(g8 +V*) +p3726 +tp3727 +a(g827 +V\u000a +p3728 +tp3729 +a(g706 +g1672 +tp3730 +a(g827 +g958 +tp3731 +a(g629 +VAxp +p3732 +tp3733 +a(g827 +g958 +tp3734 +a(g794 +Vof +p3735 +tp3736 +a(g827 +g958 +tp3737 +a(g436 +VExp +p3738 +tp3739 +a(g827 +V +p3740 +tp3741 +a(g8 +V(* +p3742 +tp3743 +a(g8 +g958 +tp3744 +a(g8 +g958 +tp3745 +a(g8 +g958 +tp3746 +a(g8 +g958 +tp3747 +a(g8 +g958 +tp3748 +a(g8 +g1672 +tp3749 +a(g8 +g958 +tp3750 +a(g8 +g1993 +tp3751 +a(g8 +g958 +tp3752 +a(g8 +g958 +tp3753 +a(g8 +g958 +tp3754 +a(g8 +g958 +tp3755 +a(g8 +g958 +tp3756 +a(g8 +g958 +tp3757 +a(g8 +g958 +tp3758 +a(g8 +g958 +tp3759 +a(g8 +g958 +tp3760 +a(g8 +g958 +tp3761 +a(g8 +g958 +tp3762 +a(g8 +g958 +tp3763 +a(g8 +g958 +tp3764 +a(g8 +g958 +tp3765 +a(g8 +g958 +tp3766 +a(g8 +g958 +tp3767 +a(g8 +g958 +tp3768 +a(g8 +g958 +tp3769 +a(g8 +g958 +tp3770 +a(g8 +g958 +tp3771 +a(g8 +V*) +p3772 +tp3773 +a(g827 +V\u000a +p3774 +tp3775 +a(g706 +g1672 +tp3776 +a(g827 +g958 +tp3777 +a(g629 +VBlock +p3778 +tp3779 +a(g827 +g958 +tp3780 +a(g794 +Vof +p3781 +tp3782 +a(g827 +g958 +tp3783 +a(g436 +VBlock +p3784 +tp3785 +a(g827 +V +p3786 +tp3787 +a(g8 +V(* +p3788 +tp3789 +a(g8 +g958 +tp3790 +a(g8 +g958 +tp3791 +a(g8 +g958 +tp3792 +a(g8 +g958 +tp3793 +a(g8 +g958 +tp3794 +a(g8 +g1672 +tp3795 +a(g8 +g958 +tp3796 +a(g8 +V_ +p3797 +tp3798 +a(g8 +g983 +tp3799 +a(g8 +g958 +tp3800 +a(g8 +g958 +tp3801 +a(g8 +g958 +tp3802 +a(g8 +g958 +tp3803 +a(g8 +g958 +tp3804 +a(g8 +g958 +tp3805 +a(g8 +g958 +tp3806 +a(g8 +g958 +tp3807 +a(g8 +g958 +tp3808 +a(g8 +g958 +tp3809 +a(g8 +g958 +tp3810 +a(g8 +g958 +tp3811 +a(g8 +g958 +tp3812 +a(g8 +g958 +tp3813 +a(g8 +g958 +tp3814 +a(g8 +g958 +tp3815 +a(g8 +g958 +tp3816 +a(g8 +g958 +tp3817 +a(g8 +g958 +tp3818 +a(g8 +V*) +p3819 +tp3820 +a(g827 +V\u000a +p3821 +tp3822 +a(g706 +g1672 +tp3823 +a(g827 +g958 +tp3824 +a(g629 +VUndef +p3825 +tp3826 +a(g827 +V +p3827 +tp3828 +a(g8 +V(* +p3829 +tp3830 +a(g8 +g958 +tp3831 +a(g8 +g958 +tp3832 +a(g8 +g958 +tp3833 +a(g8 +g958 +tp3834 +a(g8 +g958 +tp3835 +a(g8 +g1672 +tp3836 +a(g8 +g958 +tp3837 +a(g8 +g3797 +tp3838 +a(g8 +g958 +tp3839 +a(g8 +g958 +tp3840 +a(g8 +g958 +tp3841 +a(g8 +g958 +tp3842 +a(g8 +g958 +tp3843 +a(g8 +g958 +tp3844 +a(g8 +g958 +tp3845 +a(g8 +g958 +tp3846 +a(g8 +g958 +tp3847 +a(g8 +g958 +tp3848 +a(g8 +g958 +tp3849 +a(g8 +g958 +tp3850 +a(g8 +g958 +tp3851 +a(g8 +g958 +tp3852 +a(g8 +g958 +tp3853 +a(g8 +g958 +tp3854 +a(g8 +g958 +tp3855 +a(g8 +g958 +tp3856 +a(g8 +g958 +tp3857 +a(g8 +g958 +tp3858 +a(g8 +V*) +p3859 +tp3860 +a(g827 +V\u000a\u000a +p3861 +tp3862 +a(g794 +Vand +p3863 +tp3864 +a(g827 +g958 +tp3865 +a(g749 +VDec +p3866 +tp3867 +a(g827 +g958 +tp3868 +a(g706 +g1098 +tp3869 +a(g827 +V +p3870 +tp3871 +a(g8 +V(* +p3872 +tp3873 +a(g8 +g958 +tp3874 +a(g8 +g1706 +tp3875 +a(g8 +g966 +tp3876 +a(g8 +g1040 +tp3877 +a(g8 +g973 +tp3878 +a(g8 +g971 +tp3879 +a(g8 +g968 +tp3880 +a(g8 +g971 +tp3881 +a(g8 +g964 +tp3882 +a(g8 +g1021 +tp3883 +a(g8 +g1000 +tp3884 +a(g8 +g962 +tp3885 +a(g8 +g1033 +tp3886 +a(g8 +g1003 +tp3887 +a(g8 +g958 +tp3888 +a(g8 +g958 +tp3889 +a(g8 +g958 +tp3890 +a(g8 +g958 +tp3891 +a(g8 +g958 +tp3892 +a(g8 +g958 +tp3893 +a(g8 +g958 +tp3894 +a(g8 +g958 +tp3895 +a(g8 +g958 +tp3896 +a(g8 +g958 +tp3897 +a(g8 +g958 +tp3898 +a(g8 +g958 +tp3899 +a(g8 +g958 +tp3900 +a(g8 +g958 +tp3901 +a(g8 +V*) +p3902 +tp3903 +a(g827 +V\u000a +p3904 +tp3905 +a(g629 +VDec +p3906 +tp3907 +a(g827 +g958 +tp3908 +a(g794 +Vof +p3909 +tp3910 +a(g827 +g958 +tp3911 +a(g436 +Vstring +p3912 +tp3913 +a(g827 +g958 +tp3914 +a(g436 +Voption +p3915 +tp3916 +a(g827 +g958 +tp3917 +a(g436 +g1687 +tp3918 +a(g827 +g958 +tp3919 +a(g436 +VExp +p3920 +tp3921 +a(g827 +V +p3922 +tp3923 +a(g8 +V(* +p3924 +tp3925 +a(g8 +g958 +tp3926 +a(g8 +g1706 +tp3927 +a(g8 +g958 +tp3928 +a(g8 +g1003 +tp3929 +a(g8 +g1003 +tp3930 +a(g8 +g1098 +tp3931 +a(g8 +g958 +tp3932 +a(g8 +g983 +tp3933 +a(g8 +g1003 +tp3934 +a(g8 +g1081 +tp3935 +a(g8 +g958 +tp3936 +a(g8 +g958 +tp3937 +a(g8 +g958 +tp3938 +a(g8 +g958 +tp3939 +a(g8 +g958 +tp3940 +a(g8 +g958 +tp3941 +a(g8 +g958 +tp3942 +a(g8 +g958 +tp3943 +a(g8 +g958 +tp3944 +a(g8 +g958 +tp3945 +a(g8 +g958 +tp3946 +a(g8 +g958 +tp3947 +a(g8 +g958 +tp3948 +a(g8 +g958 +tp3949 +a(g8 +g958 +tp3950 +a(g8 +g958 +tp3951 +a(g8 +g958 +tp3952 +a(g8 +g958 +tp3953 +a(g8 +V*) +p3954 +tp3955 +a(g827 +V\u000a +p3956 +tp3957 +a(g706 +g1672 +tp3958 +a(g827 +g958 +tp3959 +a(g629 +VBDec +p3960 +tp3961 +a(g827 +g958 +tp3962 +a(g794 +Vof +p3963 +tp3964 +a(g827 +g958 +tp3965 +a(g436 +Vstring +p3966 +tp3967 +a(g827 +g958 +tp3968 +a(g436 +Voption +p3969 +tp3970 +a(g827 +g958 +tp3971 +a(g436 +g1687 +tp3972 +a(g827 +g958 +tp3973 +a(g706 +g2205 +tp3974 +a(g436 +Vcid +p3975 +tp3976 +a(g827 +g958 +tp3977 +a(g436 +g1687 +tp3978 +a(g827 +g958 +tp3979 +a(g436 +VSub +p3980 +tp3981 +a(g706 +g2214 +tp3982 +a(g827 +g1352 +tp3983 +a(g8 +V(* +p3984 +tp3985 +a(g8 +g958 +tp3986 +a(g8 +g958 +tp3987 +a(g8 +g958 +tp3988 +a(g8 +g958 +tp3989 +a(g8 +g958 +tp3990 +a(g8 +g1672 +tp3991 +a(g8 +g958 +tp3992 +a(g8 +g1346 +tp3993 +a(g8 +g1003 +tp3994 +a(g8 +g973 +tp3995 +a(g8 +g2527 +tp3996 +a(g8 +g1033 +tp3997 +a(g8 +g2530 +tp3998 +a(g8 +g958 +tp3999 +a(g8 +g958 +tp4000 +a(g8 +g958 +tp4001 +a(g8 +g958 +tp4002 +a(g8 +g958 +tp4003 +a(g8 +g958 +tp4004 +a(g8 +g958 +tp4005 +a(g8 +g958 +tp4006 +a(g8 +g958 +tp4007 +a(g8 +g958 +tp4008 +a(g8 +g958 +tp4009 +a(g8 +g958 +tp4010 +a(g8 +g958 +tp4011 +a(g8 +g958 +tp4012 +a(g8 +g958 +tp4013 +a(g8 +V*) +p4014 +tp4015 +a(g827 +V\u000a +p4016 +tp4017 +a(g706 +g1672 +tp4018 +a(g827 +g958 +tp4019 +a(g629 +VADec +p4020 +tp4021 +a(g827 +g958 +tp4022 +a(g794 +Vof +p4023 +tp4024 +a(g827 +g958 +tp4025 +a(g436 +Vstring +p4026 +tp4027 +a(g827 +g958 +tp4028 +a(g436 +Voption +p4029 +tp4030 +a(g827 +g958 +tp4031 +a(g436 +g1687 +tp4032 +a(g827 +g958 +tp4033 +a(g436 +Vint +p4034 +tp4035 +a(g827 +V +p4036 +tp4037 +a(g8 +V(* +p4038 +tp4039 +a(g8 +g958 +tp4040 +a(g8 +g958 +tp4041 +a(g8 +g958 +tp4042 +a(g8 +g958 +tp4043 +a(g8 +g958 +tp4044 +a(g8 +g1672 +tp4045 +a(g8 +g958 +tp4046 +a(g8 +g1346 +tp4047 +a(g8 +g2527 +tp4048 +a(g8 +g3519 +tp4049 +a(g8 +g2482 +tp4050 +a(g8 +g1062 +tp4051 +a(g8 +g2530 +tp4052 +a(g8 +g958 +tp4053 +a(g8 +g958 +tp4054 +a(g8 +g958 +tp4055 +a(g8 +g958 +tp4056 +a(g8 +g958 +tp4057 +a(g8 +g958 +tp4058 +a(g8 +g958 +tp4059 +a(g8 +g958 +tp4060 +a(g8 +g958 +tp4061 +a(g8 +g958 +tp4062 +a(g8 +g958 +tp4063 +a(g8 +g958 +tp4064 +a(g8 +g958 +tp4065 +a(g8 +g958 +tp4066 +a(g8 +g958 +tp4067 +a(g8 +V*) +p4068 +tp4069 +a(g827 +V\u000a +p4070 +tp4071 +a(g706 +g1672 +tp4072 +a(g827 +g958 +tp4073 +a(g629 +VNDec +p4074 +tp4075 +a(g827 +g958 +tp4076 +a(g794 +Vof +p4077 +tp4078 +a(g827 +g958 +tp4079 +a(g436 +Vstring +p4080 +tp4081 +a(g827 +g958 +tp4082 +a(g436 +Voption +p4083 +tp4084 +a(g827 +V \u000a\u000a +p4085 +tp4086 +a(g794 +Vand +p4087 +tp4088 +a(g827 +g958 +tp4089 +a(g749 +VBlock +p4090 +tp4091 +a(g827 +g958 +tp4092 +a(g706 +g1098 +tp4093 +a(g827 +V +p4094 +tp4095 +a(g8 +V(* +p4096 +tp4097 +a(g8 +g958 +tp4098 +a(g8 +VB +p4099 +tp4100 +a(g8 +g973 +tp4101 +a(g8 +g1000 +tp4102 +a(g8 +g1040 +tp4103 +a(g8 +g1011 +tp4104 +a(g8 +g1033 +tp4105 +a(g8 +g1003 +tp4106 +a(g8 +g958 +tp4107 +a(g8 +g958 +tp4108 +a(g8 +g958 +tp4109 +a(g8 +g958 +tp4110 +a(g8 +g958 +tp4111 +a(g8 +g958 +tp4112 +a(g8 +g958 +tp4113 +a(g8 +g958 +tp4114 +a(g8 +g958 +tp4115 +a(g8 +g958 +tp4116 +a(g8 +g958 +tp4117 +a(g8 +g958 +tp4118 +a(g8 +g958 +tp4119 +a(g8 +g958 +tp4120 +a(g8 +g958 +tp4121 +a(g8 +g958 +tp4122 +a(g8 +g958 +tp4123 +a(g8 +g958 +tp4124 +a(g8 +g958 +tp4125 +a(g8 +g958 +tp4126 +a(g8 +V*) +p4127 +tp4128 +a(g827 +V\u000a +p4129 +tp4130 +a(g629 +VBidx +p4131 +tp4132 +a(g827 +g958 +tp4133 +a(g794 +Vof +p4134 +tp4135 +a(g827 +g958 +tp4136 +a(g436 +Vint +p4137 +tp4138 +a(g827 +V +p4139 +tp4140 +a(g8 +V(* +p4141 +tp4142 +a(g8 +g958 +tp4143 +a(g8 +g1074 +tp4144 +a(g8 +g958 +tp4145 +a(g8 +g1003 +tp4146 +a(g8 +g1003 +tp4147 +a(g8 +g1098 +tp4148 +a(g8 +g958 +tp4149 +a(g8 +g1346 +tp4150 +a(g8 +g958 +tp4151 +a(g8 +g958 +tp4152 +a(g8 +g958 +tp4153 +a(g8 +g958 +tp4154 +a(g8 +g958 +tp4155 +a(g8 +g958 +tp4156 +a(g8 +g958 +tp4157 +a(g8 +g958 +tp4158 +a(g8 +g958 +tp4159 +a(g8 +g958 +tp4160 +a(g8 +g958 +tp4161 +a(g8 +g958 +tp4162 +a(g8 +g958 +tp4163 +a(g8 +g958 +tp4164 +a(g8 +g958 +tp4165 +a(g8 +g958 +tp4166 +a(g8 +g958 +tp4167 +a(g8 +g958 +tp4168 +a(g8 +g958 +tp4169 +a(g8 +g958 +tp4170 +a(g8 +V*) +p4171 +tp4172 +a(g827 +V\u000a +p4173 +tp4174 +a(g706 +g1672 +tp4175 +a(g827 +g958 +tp4176 +a(g629 +VLVar +p4177 +tp4178 +a(g827 +g958 +tp4179 +a(g794 +Vof +p4180 +tp4181 +a(g827 +g958 +tp4182 +a(g436 +VBlock +p4183 +tp4184 +a(g827 +g958 +tp4185 +a(g436 +Voption +p4186 +tp4187 +a(g827 +g958 +tp4188 +a(g436 +Vref +p4189 +tp4190 +a(g827 +g958 +tp4191 +a(g436 +g1687 +tp4192 +a(g827 +g958 +tp4193 +a(g436 +VSub +p4194 +tp4195 +a(g827 +g958 +tp4196 +a(g436 +g1687 +tp4197 +a(g827 +g958 +tp4198 +a(g706 +g2205 +tp4199 +a(g436 +Vcid +p4200 +tp4201 +a(g827 +g958 +tp4202 +a(g436 +g1687 +tp4203 +a(g827 +g958 +tp4204 +a(g436 +VSub +p4205 +tp4206 +a(g706 +g2214 +tp4207 +a(g827 +V\u000a +p4208 +tp4209 +a(g8 +V(* +p4210 +tp4211 +a(g8 +g958 +tp4212 +a(g8 +g958 +tp4213 +a(g8 +g958 +tp4214 +a(g8 +g958 +tp4215 +a(g8 +g958 +tp4216 +a(g8 +g1672 +tp4217 +a(g8 +g958 +tp4218 +a(g8 +g2032 +tp4219 +a(g8 +g2205 +tp4220 +a(g8 +g973 +tp4221 +a(g8 +g2527 +tp4222 +a(g8 +g3519 +tp4223 +a(g8 +g1011 +tp4224 +a(g8 +g2530 +tp4225 +a(g8 +g1026 +tp4226 +a(g8 +g964 +tp4227 +a(g8 +g2214 +tp4228 +a(g8 +g958 +tp4229 +a(g8 +g958 +tp4230 +a(g8 +g958 +tp4231 +a(g8 +g958 +tp4232 +a(g8 +g958 +tp4233 +a(g8 +g958 +tp4234 +a(g8 +g958 +tp4235 +a(g8 +g958 +tp4236 +a(g8 +g958 +tp4237 +a(g8 +g958 +tp4238 +a(g8 +g958 +tp4239 +a(g8 +V*) +p4240 +tp4241 +a(g827 +V\u000a +p4242 +tp4243 +a(g706 +g1672 +tp4244 +a(g827 +g958 +tp4245 +a(g629 +VInst +p4246 +tp4247 +a(g827 +g958 +tp4248 +a(g794 +Vof +p4249 +tp4250 +a(g827 +g958 +tp4251 +a(g436 +VExp +p4252 +tp4253 +a(g827 +g958 +tp4254 +a(g436 +Vlist +p4255 +tp4256 +a(g827 +V +p4257 +tp4258 +a(g8 +V(* +p4259 +tp4260 +a(g8 +g958 +tp4261 +a(g8 +g958 +tp4262 +a(g8 +g958 +tp4263 +a(g8 +g958 +tp4264 +a(g8 +g958 +tp4265 +a(g8 +g1672 +tp4266 +a(g8 +g958 +tp4267 +a(g8 +g1993 +tp4268 +a(g8 +V1 +p4269 +tp4270 +a(g8 +g1026 +tp4271 +a(g8 +g958 +tp4272 +a(g8 +g1646 +tp4273 +a(g8 +g1646 +tp4274 +a(g8 +g1646 +tp4275 +a(g8 +g1026 +tp4276 +a(g8 +g958 +tp4277 +a(g8 +g1993 +tp4278 +a(g8 +g962 +tp4279 +a(g8 +g958 +tp4280 +a(g8 +g958 +tp4281 +a(g8 +g958 +tp4282 +a(g8 +g958 +tp4283 +a(g8 +g958 +tp4284 +a(g8 +g958 +tp4285 +a(g8 +g958 +tp4286 +a(g8 +g958 +tp4287 +a(g8 +g958 +tp4288 +a(g8 +g958 +tp4289 +a(g8 +V*) +p4290 +tp4291 +a(g827 +V\u000a +p4292 +tp4293 +a(g8 +V(* +p4294 +tp4295 +a(g8 +g958 +tp4296 +a(g8 +g960 +tp4297 +a(g8 +g964 +tp4298 +a(g8 +g958 +tp4299 +a(g8 +Vw +p4300 +tp4301 +a(g8 +g1000 +tp4302 +a(g8 +g995 +tp4303 +a(g8 +g973 +tp4304 +a(g8 +g1062 +tp4305 +a(g8 +g958 +tp4306 +a(g8 +g1074 +tp4307 +a(g8 +g966 +tp4308 +a(g8 +g958 +tp4309 +a(g8 +g1074 +tp4310 +a(g8 +g966 +tp4311 +a(g8 +g964 +tp4312 +a(g8 +g964 +tp4313 +a(g8 +g966 +tp4314 +a(g8 +g968 +tp4315 +a(g8 +g958 +tp4316 +a(g8 +g964 +tp4317 +a(g8 +g1000 +tp4318 +a(g8 +g958 +tp4319 +a(g8 +g1040 +tp4320 +a(g8 +g1000 +tp4321 +a(g8 +g962 +tp4322 +a(g8 +g1033 +tp4323 +a(g8 +g1021 +tp4324 +a(g8 +g1062 +tp4325 +a(g8 +g966 +tp4326 +a(g8 +g968 +tp4327 +a(g8 +g958 +tp4328 +a(g8 +g998 +tp4329 +a(g8 +g971 +tp4330 +a(g8 +g1346 +tp4331 +a(g8 +g1021 +tp4332 +a(g8 +g962 +tp4333 +a(g8 +g1024 +tp4334 +a(g8 +g958 +tp4335 +a(g8 +g1273 +tp4336 +a(g8 +g968 +tp4337 +a(g8 +g1000 +tp4338 +a(g8 +Vj +p4339 +tp4340 +a(g8 +g966 +tp4341 +a(g8 +g1040 +tp4342 +a(g8 +g964 +tp4343 +a(g8 +g1021 +tp4344 +a(g8 +g1000 +tp4345 +a(g8 +g962 +tp4346 +a(g8 +g1033 +tp4347 +a(g8 +g958 +tp4348 +a(g8 +g1040 +tp4349 +a(g8 +g1000 +tp4350 +a(g8 +g995 +tp4351 +a(g8 +g962 +tp4352 +a(g8 +g964 +tp4353 +a(g8 +V\u000a +p4354 +tp4355 +a(g8 +g958 +tp4356 +a(g8 +g958 +tp4357 +a(g8 +g958 +tp4358 +a(g8 +g958 +tp4359 +a(g8 +g958 +tp4360 +a(g8 +g973 +tp4361 +a(g8 +g1021 +tp4362 +a(g8 +g1011 +tp4363 +a(g8 +g966 +tp4364 +a(g8 +g958 +tp4365 +a(g8 +g1033 +tp4366 +a(g8 +g995 +tp4367 +a(g8 +g1074 +tp4368 +a(g8 +g1033 +tp4369 +a(g8 +g964 +tp4370 +a(g8 +g1021 +tp4371 +a(g8 +g964 +tp4372 +a(g8 +g995 +tp4373 +a(g8 +g964 +tp4374 +a(g8 +g1021 +tp4375 +a(g8 +g1000 +tp4376 +a(g8 +g962 +tp4377 +a(g8 +g1033 +tp4378 +a(g8 +g1026 +tp4379 +a(g8 +g958 +tp4380 +a(g8 +g964 +tp4381 +a(g8 +g998 +tp4382 +a(g8 +g966 +tp4383 +a(g8 +g962 +tp4384 +a(g8 +g958 +tp4385 +a(g8 +g4300 +tp4386 +a(g8 +g966 +tp4387 +a(g8 +g958 +tp4388 +a(g8 +g1040 +tp4389 +a(g8 +g1000 +tp4390 +a(g8 +g995 +tp4391 +a(g8 +g973 +tp4392 +a(g8 +g1062 +tp4393 +a(g8 +g958 +tp4394 +a(g8 +g998 +tp4395 +a(g8 +g971 +tp4396 +a(g8 +g1346 +tp4397 +a(g8 +g966 +tp4398 +a(g8 +g958 +tp4399 +a(g8 +g960 +tp4400 +a(g8 +g962 +tp4401 +a(g8 +g1033 +tp4402 +a(g8 +g964 +tp4403 +a(g8 +g958 +tp4404 +a(g8 +g1000 +tp4405 +a(g8 +g1016 +tp4406 +a(g8 +g958 +tp4407 +a(g8 +g976 +tp4408 +a(g8 +g995 +tp4409 +a(g8 +g1074 +tp4410 +a(g8 +g958 +tp4411 +a(g8 +g998 +tp4412 +a(g8 +g966 +tp4413 +a(g8 +g968 +tp4414 +a(g8 +g966 +tp4415 +a(g8 +g1026 +tp4416 +a(g8 +g958 +tp4417 +a(g8 +V\u000a +p4418 +tp4419 +a(g8 +g958 +tp4420 +a(g8 +g958 +tp4421 +a(g8 +g958 +tp4422 +a(g8 +g958 +tp4423 +a(g8 +g958 +tp4424 +a(g8 +g4300 +tp4425 +a(g8 +g998 +tp4426 +a(g8 +g1021 +tp4427 +a(g8 +g1040 +tp4428 +a(g8 +g998 +tp4429 +a(g8 +g958 +tp4430 +a(g8 +g4300 +tp4431 +a(g8 +g1000 +tp4432 +a(g8 +g995 +tp4433 +a(g8 +g973 +tp4434 +a(g8 +g1062 +tp4435 +a(g8 +g958 +tp4436 +a(g8 +g1033 +tp4437 +a(g8 +g1021 +tp4438 +a(g8 +g1046 +tp4439 +a(g8 +g1273 +tp4440 +a(g8 +g973 +tp4441 +a(g8 +g1021 +tp4442 +a(g8 +g1016 +tp4443 +a(g8 +g978 +tp4444 +a(g8 +g958 +tp4445 +a(g8 +g971 +tp4446 +a(g8 +g958 +tp4447 +a(g8 +g973 +tp4448 +a(g8 +g1000 +tp4449 +a(g8 +g964 +tp4450 +a(g8 +g958 +tp4451 +a(g8 +g1000 +tp4452 +a(g8 +g1016 +tp4453 +a(g8 +g958 +tp4454 +a(g8 +g964 +tp4455 +a(g8 +g998 +tp4456 +a(g8 +g1021 +tp4457 +a(g8 +g962 +tp4458 +a(g8 +g1024 +tp4459 +a(g8 +g1033 +tp4460 +a(g8 +g1646 +tp4461 +a(g8 +g958 +tp4462 +a(g8 +g958 +tp4463 +a(g8 +V\u000a +p4464 +tp4465 +a(g8 +V\u000a +p4466 +tp4467 +a(g8 +g958 +tp4468 +a(g8 +g958 +tp4469 +a(g8 +g958 +tp4470 +a(g8 +g958 +tp4471 +a(g8 +g958 +tp4472 +a(g8 +g960 +tp4473 +a(g8 +g958 +tp4474 +a(g8 +g1033 +tp4475 +a(g8 +g995 +tp4476 +a(g8 +g1024 +tp4477 +a(g8 +g1024 +tp4478 +a(g8 +g966 +tp4479 +a(g8 +g1033 +tp4480 +a(g8 +g964 +tp4481 +a(g8 +g958 +tp4482 +a(g8 +g998 +tp4483 +a(g8 +g1000 +tp4484 +a(g8 +g4300 +tp4485 +a(g8 +g966 +tp4486 +a(g8 +g1346 +tp4487 +a(g8 +g966 +tp4488 +a(g8 +g968 +tp4489 +a(g8 +g958 +tp4490 +a(g8 +g964 +tp4491 +a(g8 +g1000 +tp4492 +a(g8 +g958 +tp4493 +a(g8 +g4300 +tp4494 +a(g8 +g971 +tp4495 +a(g8 +g1021 +tp4496 +a(g8 +g964 +tp4497 +a(g8 +g958 +tp4498 +a(g8 +g995 +tp4499 +a(g8 +g962 +tp4500 +a(g8 +g964 +tp4501 +a(g8 +g1021 +tp4502 +a(g8 +g973 +tp4503 +a(g8 +g958 +tp4504 +a(g8 +g964 +tp4505 +a(g8 +g998 +tp4506 +a(g8 +g966 +tp4507 +a(g8 +g958 +tp4508 +a(g8 +g962 +tp4509 +a(g8 +g966 +tp4510 +a(g8 +g983 +tp4511 +a(g8 +g964 +tp4512 +a(g8 +g958 +tp4513 +a(g8 +g1074 +tp4514 +a(g8 +g1021 +tp4515 +a(g8 +g1024 +tp4516 +a(g8 +g958 +tp4517 +a(g8 +g1000 +tp4518 +a(g8 +g1346 +tp4519 +a(g8 +g966 +tp4520 +a(g8 +g968 +tp4521 +a(g8 +g998 +tp4522 +a(g8 +g971 +tp4523 +a(g8 +g995 +tp4524 +a(g8 +g973 +tp4525 +a(g8 +g958 +tp4526 +a(g8 +V\u000a +p4527 +tp4528 +a(g8 +g958 +tp4529 +a(g8 +g958 +tp4530 +a(g8 +g958 +tp4531 +a(g8 +g958 +tp4532 +a(g8 +g958 +tp4533 +a(g8 +g1000 +tp4534 +a(g8 +g1016 +tp4535 +a(g8 +g958 +tp4536 +a(g8 +g964 +tp4537 +a(g8 +g998 +tp4538 +a(g8 +g966 +tp4539 +a(g8 +g958 +tp4540 +a(g8 +g1033 +tp4541 +a(g8 +g978 +tp4542 +a(g8 +g1033 +tp4543 +a(g8 +g964 +tp4544 +a(g8 +g966 +tp4545 +a(g8 +g1046 +tp4546 +a(g8 +g958 +tp4547 +a(g8 +g2482 +tp4548 +a(g8 +g2482 +tp4549 +a(g8 +g958 +tp4550 +a(g8 +g1040 +tp4551 +a(g8 +g1033 +tp4552 +a(g8 +g958 +tp4553 +a(g8 +V*) +p4554 +tp4555 +a(g827 +V\u000a\u000a\u000a +p4556 +tp4557 +a(g8 +V(* +p4558 +tp4559 +a(g8 +g958 +tp4560 +a(g8 +g958 +tp4561 +a(g8 +g1672 +tp4562 +a(g8 +g958 +tp4563 +a(g8 +g4099 +tp4564 +a(g8 +g1029 +tp4565 +a(g8 +g973 +tp4566 +a(g8 +g1000 +tp4567 +a(g8 +g958 +tp4568 +a(g8 +g1000 +tp4569 +a(g8 +g1016 +tp4570 +a(g8 +g958 +tp4571 +a(g8 +g4099 +tp4572 +a(g8 +g973 +tp4573 +a(g8 +g1000 +tp4574 +a(g8 +g1040 +tp4575 +a(g8 +g1011 +tp4576 +a(g8 +g958 +tp4577 +a(g8 +g1687 +tp4578 +a(g8 +g958 +tp4579 +a(g8 +g976 +tp4580 +a(g8 +g995 +tp4581 +a(g8 +g1074 +tp4582 +a(g8 +g958 +tp4583 +a(g8 +g958 +tp4584 +a(g8 +g958 +tp4585 +a(g8 +g958 +tp4586 +a(g8 +g958 +tp4587 +a(g8 +g958 +tp4588 +a(g8 +g958 +tp4589 +a(g8 +g958 +tp4590 +a(g8 +g958 +tp4591 +a(g8 +g958 +tp4592 +a(g8 +g958 +tp4593 +a(g8 +g958 +tp4594 +a(g8 +g958 +tp4595 +a(g8 +g958 +tp4596 +a(g8 +g958 +tp4597 +a(g8 +g958 +tp4598 +a(g8 +g958 +tp4599 +a(g8 +V(* +p4600 +tp4601 +a(g8 +g958 +tp4602 +a(g8 +g958 +tp4603 +a(g8 +g958 +tp4604 +a(g8 +g958 +tp4605 +a(g8 +g958 +tp4606 +a(g8 +g1672 +tp4607 +a(g8 +g958 +tp4608 +a(g8 +g1074 +tp4609 +a(g8 +g2527 +tp4610 +a(g8 +g1033 +tp4611 +a(g8 +g2530 +tp4612 +a(g8 +g958 +tp4613 +a(g8 +g958 +tp4614 +a(g8 +g958 +tp4615 +a(g8 +g958 +tp4616 +a(g8 +g958 +tp4617 +a(g8 +g958 +tp4618 +a(g8 +g958 +tp4619 +a(g8 +g958 +tp4620 +a(g8 +g958 +tp4621 +a(g8 +g958 +tp4622 +a(g8 +g958 +tp4623 +a(g8 +g958 +tp4624 +a(g8 +g958 +tp4625 +a(g8 +g958 +tp4626 +a(g8 +g958 +tp4627 +a(g8 +g958 +tp4628 +a(g8 +g958 +tp4629 +a(g8 +V*) +p4630 +tp4631 +a(g8 +g958 +tp4632 +a(g8 +V*) +p4633 +tp4634 +a(g827 +V\u000a\u000a +p4635 +tp4636 +a(g8 +V(* +p4637 +tp4638 +a(g8 +g958 +tp4639 +a(g8 +g1040 +tp4640 +a(g8 +g1000 +tp4641 +a(g8 +g962 +tp4642 +a(g8 +g1033 +tp4643 +a(g8 +g964 +tp4644 +a(g8 +g968 +tp4645 +a(g8 +g971 +tp4646 +a(g8 +g1021 +tp4647 +a(g8 +g962 +tp4648 +a(g8 +g964 +tp4649 +a(g8 +g1033 +tp4650 +a(g8 +g958 +tp4651 +a(g8 +V*) +p4652 +tp4653 +a(g827 +V\u000a\u000a +p4654 +tp4655 +a(g794 +Vand +p4656 +tp4657 +a(g827 +g958 +tp4658 +a(g749 +VCnstr +p4659 +tp4660 +a(g827 +g958 +tp4661 +a(g706 +g1098 +tp4662 +a(g827 +V +p4663 +tp4664 +a(g8 +V(* +p4665 +tp4666 +a(g8 +g958 +tp4667 +a(g8 +g1029 +tp4668 +a(g8 +g1000 +tp4669 +a(g8 +g962 +tp4670 +a(g8 +g1033 +tp4671 +a(g8 +g964 +tp4672 +a(g8 +g968 +tp4673 +a(g8 +g971 +tp4674 +a(g8 +g1021 +tp4675 +a(g8 +g962 +tp4676 +a(g8 +g964 +tp4677 +a(g8 +g1003 +tp4678 +a(g8 +g958 +tp4679 +a(g8 +g958 +tp4680 +a(g8 +g958 +tp4681 +a(g8 +g958 +tp4682 +a(g8 +g958 +tp4683 +a(g8 +g958 +tp4684 +a(g8 +g958 +tp4685 +a(g8 +g958 +tp4686 +a(g8 +g958 +tp4687 +a(g8 +g958 +tp4688 +a(g8 +g958 +tp4689 +a(g8 +g958 +tp4690 +a(g8 +g958 +tp4691 +a(g8 +g958 +tp4692 +a(g8 +g958 +tp4693 +a(g8 +g958 +tp4694 +a(g8 +V*) +p4695 +tp4696 +a(g827 +V\u000a +p4697 +tp4698 +a(g629 +VSolved +p4699 +tp4700 +a(g827 +V +p4701 +tp4702 +a(g8 +V(* +p4703 +tp4704 +a(g8 +g958 +tp4705 +a(g8 +g1029 +tp4706 +a(g8 +g962 +tp4707 +a(g8 +g1033 +tp4708 +a(g8 +g964 +tp4709 +a(g8 +g968 +tp4710 +a(g8 +g958 +tp4711 +a(g8 +g1003 +tp4712 +a(g8 +g1003 +tp4713 +a(g8 +g1098 +tp4714 +a(g8 +g958 +tp4715 +a(g8 +g1033 +tp4716 +a(g8 +g1000 +tp4717 +a(g8 +g973 +tp4718 +a(g8 +g1346 +tp4719 +a(g8 +g966 +tp4720 +a(g8 +g1062 +tp4721 +a(g8 +g958 +tp4722 +a(g8 +g958 +tp4723 +a(g8 +g958 +tp4724 +a(g8 +g958 +tp4725 +a(g8 +g958 +tp4726 +a(g8 +g958 +tp4727 +a(g8 +g958 +tp4728 +a(g8 +g958 +tp4729 +a(g8 +g958 +tp4730 +a(g8 +g958 +tp4731 +a(g8 +g958 +tp4732 +a(g8 +V*) +p4733 +tp4734 +a(g827 +V\u000a +p4735 +tp4736 +a(g706 +g1672 +tp4737 +a(g827 +g958 +tp4738 +a(g629 +VEqn +p4739 +tp4740 +a(g827 +V +p4741 +tp4742 +a(g794 +Vof +p4743 +tp4744 +a(g827 +g958 +tp4745 +a(g436 +VDec +p4746 +tp4747 +a(g827 +g958 +tp4748 +a(g436 +VCtx +p4749 +tp4750 +a(g827 +g958 +tp4751 +a(g436 +g1687 +tp4752 +a(g827 +g958 +tp4753 +a(g436 +VExp +p4754 +tp4755 +a(g827 +g958 +tp4756 +a(g436 +g1687 +tp4757 +a(g827 +g958 +tp4758 +a(g436 +VExp +p4759 +tp4760 +a(g827 +V +p4761 +tp4762 +a(g8 +V(* +p4763 +tp4764 +a(g8 +g958 +tp4765 +a(g8 +g958 +tp4766 +a(g8 +g958 +tp4767 +a(g8 +g958 +tp4768 +a(g8 +g958 +tp4769 +a(g8 +g958 +tp4770 +a(g8 +g958 +tp4771 +a(g8 +g958 +tp4772 +a(g8 +g958 +tp4773 +a(g8 +g1672 +tp4774 +a(g8 +g958 +tp4775 +a(g8 +g1639 +tp4776 +a(g8 +g1672 +tp4777 +a(g8 +g2482 +tp4778 +a(g8 +g2205 +tp4779 +a(g8 +g1993 +tp4780 +a(g8 +g4269 +tp4781 +a(g8 +g958 +tp4782 +a(g8 +g1098 +tp4783 +a(g8 +g1098 +tp4784 +a(g8 +g958 +tp4785 +a(g8 +g1993 +tp4786 +a(g8 +V2 +p4787 +tp4788 +a(g8 +g2214 +tp4789 +a(g8 +g958 +tp4790 +a(g8 +g958 +tp4791 +a(g8 +g958 +tp4792 +a(g8 +g958 +tp4793 +a(g8 +V*) +p4794 +tp4795 +a(g827 +V\u000a +p4796 +tp4797 +a(g706 +g1672 +tp4798 +a(g827 +g958 +tp4799 +a(g629 +VFgnCnstr +p4800 +tp4801 +a(g827 +g958 +tp4802 +a(g794 +Vof +p4803 +tp4804 +a(g827 +g958 +tp4805 +a(g436 +Vcsid +p4806 +tp4807 +a(g827 +g958 +tp4808 +a(g436 +g1687 +tp4809 +a(g827 +g958 +tp4810 +a(g436 +VFgnCnstr +p4811 +tp4812 +a(g827 +V +p4813 +tp4814 +a(g8 +V(* +p4815 +tp4816 +a(g8 +g958 +tp4817 +a(g8 +g958 +tp4818 +a(g8 +g958 +tp4819 +a(g8 +g958 +tp4820 +a(g8 +g958 +tp4821 +a(g8 +g958 +tp4822 +a(g8 +g958 +tp4823 +a(g8 +g958 +tp4824 +a(g8 +g958 +tp4825 +a(g8 +g1672 +tp4826 +a(g8 +g958 +tp4827 +a(g8 +g2205 +tp4828 +a(g8 +g1016 +tp4829 +a(g8 +g1000 +tp4830 +a(g8 +g968 +tp4831 +a(g8 +g966 +tp4832 +a(g8 +g1021 +tp4833 +a(g8 +g1024 +tp4834 +a(g8 +g962 +tp4835 +a(g8 +g2214 +tp4836 +a(g8 +g958 +tp4837 +a(g8 +g958 +tp4838 +a(g8 +g958 +tp4839 +a(g8 +g958 +tp4840 +a(g8 +g958 +tp4841 +a(g8 +g958 +tp4842 +a(g8 +g958 +tp4843 +a(g8 +g958 +tp4844 +a(g8 +V*) +p4845 +tp4846 +a(g827 +V\u000a\u000a +p4847 +tp4848 +a(g794 +Vand +p4849 +tp4850 +a(g827 +g958 +tp4851 +a(g749 +VStatus +p4852 +tp4853 +a(g827 +g958 +tp4854 +a(g706 +g1098 +tp4855 +a(g827 +V +p4856 +tp4857 +a(g8 +V(* +p4858 +tp4859 +a(g8 +g958 +tp4860 +a(g8 +g976 +tp4861 +a(g8 +g964 +tp4862 +a(g8 +g971 +tp4863 +a(g8 +g964 +tp4864 +a(g8 +g995 +tp4865 +a(g8 +g1033 +tp4866 +a(g8 +g958 +tp4867 +a(g8 +g1000 +tp4868 +a(g8 +g1016 +tp4869 +a(g8 +g958 +tp4870 +a(g8 +g971 +tp4871 +a(g8 +g958 +tp4872 +a(g8 +g1040 +tp4873 +a(g8 +g1000 +tp4874 +a(g8 +g962 +tp4875 +a(g8 +g1033 +tp4876 +a(g8 +g964 +tp4877 +a(g8 +g971 +tp4878 +a(g8 +g962 +tp4879 +a(g8 +g964 +tp4880 +a(g8 +g1003 +tp4881 +a(g8 +g958 +tp4882 +a(g8 +g958 +tp4883 +a(g8 +g958 +tp4884 +a(g8 +g958 +tp4885 +a(g8 +g958 +tp4886 +a(g8 +g958 +tp4887 +a(g8 +V*) +p4888 +tp4889 +a(g827 +V\u000a +p4890 +tp4891 +a(g629 +VNormal +p4892 +tp4893 +a(g827 +V +p4894 +tp4895 +a(g8 +V(* +p4896 +tp4897 +a(g8 +g958 +tp4898 +a(g8 +g958 +tp4899 +a(g8 +g958 +tp4900 +a(g8 +g1021 +tp4901 +a(g8 +g962 +tp4902 +a(g8 +g966 +tp4903 +a(g8 +g968 +tp4904 +a(g8 +g964 +tp4905 +a(g8 +g958 +tp4906 +a(g8 +g958 +tp4907 +a(g8 +g958 +tp4908 +a(g8 +g958 +tp4909 +a(g8 +g958 +tp4910 +a(g8 +g958 +tp4911 +a(g8 +g958 +tp4912 +a(g8 +g958 +tp4913 +a(g8 +g958 +tp4914 +a(g8 +g958 +tp4915 +a(g8 +g958 +tp4916 +a(g8 +g958 +tp4917 +a(g8 +g958 +tp4918 +a(g8 +g958 +tp4919 +a(g8 +g958 +tp4920 +a(g8 +g958 +tp4921 +a(g8 +g958 +tp4922 +a(g8 +g958 +tp4923 +a(g8 +g958 +tp4924 +a(g8 +g958 +tp4925 +a(g8 +V*) +p4926 +tp4927 +a(g827 +V\u000a +p4928 +tp4929 +a(g706 +g1672 +tp4930 +a(g827 +g958 +tp4931 +a(g629 +VConstraint +p4932 +tp4933 +a(g827 +g958 +tp4934 +a(g794 +Vof +p4935 +tp4936 +a(g827 +g958 +tp4937 +a(g436 +Vcsid +p4938 +tp4939 +a(g827 +g958 +tp4940 +a(g436 +g1687 +tp4941 +a(g827 +g958 +tp4942 +a(g706 +g2205 +tp4943 +a(g436 +VDec +p4944 +tp4945 +a(g827 +g958 +tp4946 +a(g436 +VCtx +p4947 +tp4948 +a(g827 +g958 +tp4949 +a(g436 +g1687 +tp4950 +a(g827 +g958 +tp4951 +a(g436 +VSpine +p4952 +tp4953 +a(g827 +g958 +tp4954 +a(g436 +g1687 +tp4955 +a(g827 +g958 +tp4956 +a(g436 +Vint +p4957 +tp4958 +a(g827 +g958 +tp4959 +a(g706 +V-> +p4960 +tp4961 +a(g827 +g958 +tp4962 +a(g436 +VExp +p4963 +tp4964 +a(g827 +g958 +tp4965 +a(g436 +Voption +p4966 +tp4967 +a(g706 +g2214 +tp4968 +a(g827 +V\u000a +p4969 +tp4970 +a(g8 +V(* +p4971 +tp4972 +a(g8 +g958 +tp4973 +a(g8 +g958 +tp4974 +a(g8 +g958 +tp4975 +a(g8 +g971 +tp4976 +a(g8 +g1040 +tp4977 +a(g8 +g964 +tp4978 +a(g8 +g1033 +tp4979 +a(g8 +g958 +tp4980 +a(g8 +g971 +tp4981 +a(g8 +g1033 +tp4982 +a(g8 +g958 +tp4983 +a(g8 +g1040 +tp4984 +a(g8 +g1000 +tp4985 +a(g8 +g962 +tp4986 +a(g8 +g1033 +tp4987 +a(g8 +g964 +tp4988 +a(g8 +g968 +tp4989 +a(g8 +g971 +tp4990 +a(g8 +g1021 +tp4991 +a(g8 +g962 +tp4992 +a(g8 +g964 +tp4993 +a(g8 +g958 +tp4994 +a(g8 +g958 +tp4995 +a(g8 +g958 +tp4996 +a(g8 +g958 +tp4997 +a(g8 +g958 +tp4998 +a(g8 +g958 +tp4999 +a(g8 +g958 +tp5000 +a(g8 +V*) +p5001 +tp5002 +a(g827 +V\u000a +p5003 +tp5004 +a(g706 +g1672 +tp5005 +a(g827 +g958 +tp5006 +a(g629 +VForeign +p5007 +tp5008 +a(g827 +g958 +tp5009 +a(g794 +Vof +p5010 +tp5011 +a(g827 +g958 +tp5012 +a(g436 +Vcsid +p5013 +tp5014 +a(g827 +g958 +tp5015 +a(g436 +g1687 +tp5016 +a(g827 +g958 +tp5017 +a(g706 +g2205 +tp5018 +a(g436 +VSpine +p5019 +tp5020 +a(g827 +g958 +tp5021 +a(g706 +V-> +p5022 +tp5023 +a(g827 +g958 +tp5024 +a(g436 +VExp +p5025 +tp5026 +a(g706 +g2214 +tp5027 +a(g827 +V +p5028 +tp5029 +a(g8 +V(* +p5030 +tp5031 +a(g8 +g958 +tp5032 +a(g8 +g958 +tp5033 +a(g8 +g958 +tp5034 +a(g8 +g1021 +tp5035 +a(g8 +g1033 +tp5036 +a(g8 +g958 +tp5037 +a(g8 +g1040 +tp5038 +a(g8 +g1000 +tp5039 +a(g8 +g962 +tp5040 +a(g8 +g1346 +tp5041 +a(g8 +g966 +tp5042 +a(g8 +g968 +tp5043 +a(g8 +g964 +tp5044 +a(g8 +g966 +tp5045 +a(g8 +g1062 +tp5046 +a(g8 +g958 +tp5047 +a(g8 +g964 +tp5048 +a(g8 +g1000 +tp5049 +a(g8 +g958 +tp5050 +a(g8 +g1016 +tp5051 +a(g8 +g1000 +tp5052 +a(g8 +g968 +tp5053 +a(g8 +g966 +tp5054 +a(g8 +g1021 +tp5055 +a(g8 +g1024 +tp5056 +a(g8 +g962 +tp5057 +a(g8 +g958 +tp5058 +a(g8 +g958 +tp5059 +a(g8 +V*) +p5060 +tp5061 +a(g827 +V\u000a\u000a +p5062 +tp5063 +a(g794 +Vand +p5064 +tp5065 +a(g827 +g958 +tp5066 +a(g749 +VFgnUnify +p5067 +tp5068 +a(g827 +g958 +tp5069 +a(g706 +g1098 +tp5070 +a(g827 +V +p5071 +tp5072 +a(g8 +V(* +p5073 +tp5074 +a(g8 +g958 +tp5075 +a(g8 +g1071 +tp5076 +a(g8 +g966 +tp5077 +a(g8 +g1033 +tp5078 +a(g8 +g995 +tp5079 +a(g8 +g973 +tp5080 +a(g8 +g964 +tp5081 +a(g8 +g958 +tp5082 +a(g8 +g1000 +tp5083 +a(g8 +g1016 +tp5084 +a(g8 +g958 +tp5085 +a(g8 +g1016 +tp5086 +a(g8 +g1000 +tp5087 +a(g8 +g968 +tp5088 +a(g8 +g966 +tp5089 +a(g8 +g1021 +tp5090 +a(g8 +g1024 +tp5091 +a(g8 +g962 +tp5092 +a(g8 +g958 +tp5093 +a(g8 +g995 +tp5094 +a(g8 +g962 +tp5095 +a(g8 +g1021 +tp5096 +a(g8 +g1016 +tp5097 +a(g8 +g978 +tp5098 +a(g8 +g958 +tp5099 +a(g8 +g958 +tp5100 +a(g8 +g958 +tp5101 +a(g8 +g958 +tp5102 +a(g8 +V*) +p5103 +tp5104 +a(g827 +V\u000a +p5105 +tp5106 +a(g629 +VSucceed +p5107 +tp5108 +a(g827 +g958 +tp5109 +a(g794 +Vof +p5110 +tp5111 +a(g827 +g958 +tp5112 +a(g436 +VFgnUnifyResidual +p5113 +tp5114 +a(g827 +g958 +tp5115 +a(g436 +Vlist +p5116 +tp5117 +a(g827 +V\u000a +p5118 +tp5119 +a(g8 +V(* +p5120 +tp5121 +a(g8 +g958 +tp5122 +a(g8 +g1033 +tp5123 +a(g8 +g995 +tp5124 +a(g8 +g1040 +tp5125 +a(g8 +g1040 +tp5126 +a(g8 +g966 +tp5127 +a(g8 +g966 +tp5128 +a(g8 +g1062 +tp5129 +a(g8 +g958 +tp5130 +a(g8 +g4300 +tp5131 +a(g8 +g1021 +tp5132 +a(g8 +g964 +tp5133 +a(g8 +g998 +tp5134 +a(g8 +g958 +tp5135 +a(g8 +g971 +tp5136 +a(g8 +g958 +tp5137 +a(g8 +g973 +tp5138 +a(g8 +g1021 +tp5139 +a(g8 +g1033 +tp5140 +a(g8 +g964 +tp5141 +a(g8 +g958 +tp5142 +a(g8 +g1000 +tp5143 +a(g8 +g1016 +tp5144 +a(g8 +g958 +tp5145 +a(g8 +g968 +tp5146 +a(g8 +g966 +tp5147 +a(g8 +g1033 +tp5148 +a(g8 +g1021 +tp5149 +a(g8 +g1062 +tp5150 +a(g8 +g995 +tp5151 +a(g8 +g971 +tp5152 +a(g8 +g973 +tp5153 +a(g8 +g958 +tp5154 +a(g8 +g1000 +tp5155 +a(g8 +g1273 +tp5156 +a(g8 +g966 +tp5157 +a(g8 +g968 +tp5158 +a(g8 +g971 +tp5159 +a(g8 +g964 +tp5160 +a(g8 +g1021 +tp5161 +a(g8 +g1000 +tp5162 +a(g8 +g962 +tp5163 +a(g8 +g1033 +tp5164 +a(g8 +g958 +tp5165 +a(g8 +V*) +p5166 +tp5167 +a(g827 +V\u000a +p5168 +tp5169 +a(g706 +g1672 +tp5170 +a(g827 +g958 +tp5171 +a(g629 +VFail +p5172 +tp5173 +a(g827 +V\u000a\u000a +p5174 +tp5175 +a(g794 +Vand +p5176 +tp5177 +a(g827 +g958 +tp5178 +a(g749 +VFgnUnifyResidual +p5179 +tp5180 +a(g827 +g958 +tp5181 +a(g706 +g1098 +tp5182 +a(g827 +V\u000a +p5183 +tp5184 +a(g629 +VAssign +p5185 +tp5186 +a(g827 +g958 +tp5187 +a(g794 +Vof +p5188 +tp5189 +a(g827 +g958 +tp5190 +a(g436 +VDec +p5191 +tp5192 +a(g827 +g958 +tp5193 +a(g436 +VCtx +p5194 +tp5195 +a(g827 +g958 +tp5196 +a(g436 +g1687 +tp5197 +a(g827 +g958 +tp5198 +a(g436 +VExp +p5199 +tp5200 +a(g827 +g958 +tp5201 +a(g436 +g1687 +tp5202 +a(g827 +g958 +tp5203 +a(g436 +VExp +p5204 +tp5205 +a(g827 +g958 +tp5206 +a(g436 +g1687 +tp5207 +a(g827 +g958 +tp5208 +a(g436 +VSub +p5209 +tp5210 +a(g827 +V\u000a +p5211 +tp5212 +a(g8 +V(* +p5213 +tp5214 +a(g8 +g958 +tp5215 +a(g8 +g1273 +tp5216 +a(g8 +g966 +tp5217 +a(g8 +g968 +tp5218 +a(g8 +g1016 +tp5219 +a(g8 +g1000 +tp5220 +a(g8 +g968 +tp5221 +a(g8 +g1046 +tp5222 +a(g8 +g958 +tp5223 +a(g8 +g964 +tp5224 +a(g8 +g998 +tp5225 +a(g8 +g966 +tp5226 +a(g8 +g958 +tp5227 +a(g8 +g971 +tp5228 +a(g8 +g1033 +tp5229 +a(g8 +g1033 +tp5230 +a(g8 +g1021 +tp5231 +a(g8 +g1024 +tp5232 +a(g8 +g962 +tp5233 +a(g8 +g1046 +tp5234 +a(g8 +g966 +tp5235 +a(g8 +g962 +tp5236 +a(g8 +g964 +tp5237 +a(g8 +g958 +tp5238 +a(g8 +g1639 +tp5239 +a(g8 +g958 +tp5240 +a(g8 +g1672 +tp5241 +a(g8 +g2482 +tp5242 +a(g8 +g958 +tp5243 +a(g8 +g2470 +tp5244 +a(g8 +g958 +tp5245 +a(g8 +g1098 +tp5246 +a(g8 +g958 +tp5247 +a(g8 +g1993 +tp5248 +a(g8 +g958 +tp5249 +a(g8 +g2527 +tp5250 +a(g8 +g1033 +tp5251 +a(g8 +g1033 +tp5252 +a(g8 +g2530 +tp5253 +a(g8 +g958 +tp5254 +a(g8 +V*) +p5255 +tp5256 +a(g827 +V\u000a +p5257 +tp5258 +a(g706 +g1672 +tp5259 +a(g827 +g958 +tp5260 +a(g629 +VDelay +p5261 +tp5262 +a(g827 +g958 +tp5263 +a(g794 +Vof +p5264 +tp5265 +a(g827 +g958 +tp5266 +a(g436 +VExp +p5267 +tp5268 +a(g827 +g958 +tp5269 +a(g436 +g1687 +tp5270 +a(g827 +g958 +tp5271 +a(g436 +VCnstr +p5272 +tp5273 +a(g827 +g958 +tp5274 +a(g436 +Vref +p5275 +tp5276 +a(g827 +V\u000a +p5277 +tp5278 +a(g8 +V(* +p5279 +tp5280 +a(g8 +g958 +tp5281 +a(g8 +g1062 +tp5282 +a(g8 +g966 +tp5283 +a(g8 +g973 +tp5284 +a(g8 +g971 +tp5285 +a(g8 +g978 +tp5286 +a(g8 +g958 +tp5287 +a(g8 +g1040 +tp5288 +a(g8 +g962 +tp5289 +a(g8 +g1033 +tp5290 +a(g8 +g964 +tp5291 +a(g8 +g968 +tp5292 +a(g8 +g1026 +tp5293 +a(g8 +g958 +tp5294 +a(g8 +g971 +tp5295 +a(g8 +g1033 +tp5296 +a(g8 +g1033 +tp5297 +a(g8 +g1000 +tp5298 +a(g8 +g1040 +tp5299 +a(g8 +g1021 +tp5300 +a(g8 +g971 +tp5301 +a(g8 +g964 +tp5302 +a(g8 +g1021 +tp5303 +a(g8 +g962 +tp5304 +a(g8 +g1024 +tp5305 +a(g8 +g958 +tp5306 +a(g8 +g1021 +tp5307 +a(g8 +g964 +tp5308 +a(g8 +g958 +tp5309 +a(g8 +g4300 +tp5310 +a(g8 +g1021 +tp5311 +a(g8 +g964 +tp5312 +a(g8 +g998 +tp5313 +a(g8 +g958 +tp5314 +a(g8 +g971 +tp5315 +a(g8 +g973 +tp5316 +a(g8 +g973 +tp5317 +a(g8 +g958 +tp5318 +a(g8 +g964 +tp5319 +a(g8 +g998 +tp5320 +a(g8 +g966 +tp5321 +a(g8 +g958 +tp5322 +a(g8 +g968 +tp5323 +a(g8 +g1021 +tp5324 +a(g8 +g1024 +tp5325 +a(g8 +g1021 +tp5326 +a(g8 +g1062 +tp5327 +a(g8 +g958 +tp5328 +a(g8 +g2119 +tp5329 +a(g8 +g1081 +tp5330 +a(g8 +g971 +tp5331 +a(g8 +g968 +tp5332 +a(g8 +g1033 +tp5333 +a(g8 +g958 +tp5334 +a(g8 +g1021 +tp5335 +a(g8 +g962 +tp5336 +a(g8 +g958 +tp5337 +a(g8 +g1993 +tp5338 +a(g8 +g958 +tp5339 +a(g8 +g958 +tp5340 +a(g8 +V*) +p5341 +tp5342 +a(g827 +V\u000a\u000a +p5343 +tp5344 +a(g8 +V(* +p5345 +tp5346 +a(g8 +g958 +tp5347 +a(g8 +g1639 +tp5348 +a(g8 +g973 +tp5349 +a(g8 +g1000 +tp5350 +a(g8 +g1074 +tp5351 +a(g8 +g971 +tp5352 +a(g8 +g973 +tp5353 +a(g8 +g958 +tp5354 +a(g8 +g1033 +tp5355 +a(g8 +g1021 +tp5356 +a(g8 +g1024 +tp5357 +a(g8 +g962 +tp5358 +a(g8 +g971 +tp5359 +a(g8 +g964 +tp5360 +a(g8 +g995 +tp5361 +a(g8 +g968 +tp5362 +a(g8 +g966 +tp5363 +a(g8 +g958 +tp5364 +a(g8 +V*) +p5365 +tp5366 +a(g827 +V\u000a\u000a +p5367 +tp5368 +a(g794 +Vand +p5369 +tp5370 +a(g827 +g958 +tp5371 +a(g749 +VConDec +p5372 +tp5373 +a(g827 +g958 +tp5374 +a(g706 +g1098 +tp5375 +a(g827 +V +p5376 +tp5377 +a(g8 +V(* +p5378 +tp5379 +a(g8 +g958 +tp5380 +a(g8 +g1029 +tp5381 +a(g8 +g1000 +tp5382 +a(g8 +g962 +tp5383 +a(g8 +g1033 +tp5384 +a(g8 +g964 +tp5385 +a(g8 +g971 +tp5386 +a(g8 +g962 +tp5387 +a(g8 +g964 +tp5388 +a(g8 +g958 +tp5389 +a(g8 +g1062 +tp5390 +a(g8 +g966 +tp5391 +a(g8 +g1040 +tp5392 +a(g8 +g973 +tp5393 +a(g8 +g971 +tp5394 +a(g8 +g968 +tp5395 +a(g8 +g971 +tp5396 +a(g8 +g964 +tp5397 +a(g8 +g1021 +tp5398 +a(g8 +g1000 +tp5399 +a(g8 +g962 +tp5400 +a(g8 +g958 +tp5401 +a(g8 +g958 +tp5402 +a(g8 +g958 +tp5403 +a(g8 +g958 +tp5404 +a(g8 +g958 +tp5405 +a(g8 +g958 +tp5406 +a(g8 +g958 +tp5407 +a(g8 +V*) +p5408 +tp5409 +a(g827 +V\u000a +p5410 +tp5411 +a(g629 +VConDec +p5412 +tp5413 +a(g827 +g958 +tp5414 +a(g794 +Vof +p5415 +tp5416 +a(g827 +g958 +tp5417 +a(g436 +Vstring +p5418 +tp5419 +a(g827 +g958 +tp5420 +a(g436 +g1687 +tp5421 +a(g827 +g958 +tp5422 +a(g436 +Vmid +p5423 +tp5424 +a(g827 +g958 +tp5425 +a(g436 +Voption +p5426 +tp5427 +a(g827 +g958 +tp5428 +a(g436 +g1687 +tp5429 +a(g827 +g958 +tp5430 +a(g436 +Vint +p5431 +tp5432 +a(g827 +g958 +tp5433 +a(g436 +g1687 +tp5434 +a(g827 +g958 +tp5435 +a(g436 +VStatus +p5436 +tp5437 +a(g827 +V\u000a +p5438 +tp5439 +a(g8 +V(* +p5440 +tp5441 +a(g8 +g958 +tp5442 +a(g8 +g971 +tp5443 +a(g8 +g958 +tp5444 +a(g8 +g1003 +tp5445 +a(g8 +g958 +tp5446 +a(g8 +g2039 +tp5447 +a(g8 +g958 +tp5448 +a(g8 +g1003 +tp5449 +a(g8 +g958 +tp5450 +a(g8 +g1011 +tp5451 +a(g8 +g1021 +tp5452 +a(g8 +g962 +tp5453 +a(g8 +g1062 +tp5454 +a(g8 +g958 +tp5455 +a(g8 +g958 +tp5456 +a(g8 +g1000 +tp5457 +a(g8 +g968 +tp5458 +a(g8 +g958 +tp5459 +a(g8 +g958 +tp5460 +a(g8 +g958 +tp5461 +a(g8 +g958 +tp5462 +a(g8 +g958 +tp5463 +a(g8 +g958 +tp5464 +a(g8 +g958 +tp5465 +a(g8 +g958 +tp5466 +a(g8 +g958 +tp5467 +a(g8 +g958 +tp5468 +a(g8 +g958 +tp5469 +a(g8 +V*) +p5470 +tp5471 +a(g827 +V\u000a +p5472 +tp5473 +a(g436 +g1687 +tp5474 +a(g827 +g958 +tp5475 +a(g436 +VExp +p5476 +tp5477 +a(g827 +g958 +tp5478 +a(g436 +g1687 +tp5479 +a(g827 +g958 +tp5480 +a(g436 +VUni +p5481 +tp5482 +a(g827 +V +p5483 +tp5484 +a(g8 +V(* +p5485 +tp5486 +a(g8 +g958 +tp5487 +a(g8 +g1040 +tp5488 +a(g8 +g958 +tp5489 +a(g8 +g1003 +tp5490 +a(g8 +g958 +tp5491 +a(g8 +g993 +tp5492 +a(g8 +g958 +tp5493 +a(g8 +g1003 +tp5494 +a(g8 +g958 +tp5495 +a(g8 +g964 +tp5496 +a(g8 +g978 +tp5497 +a(g8 +g1273 +tp5498 +a(g8 +g966 +tp5499 +a(g8 +g958 +tp5500 +a(g8 +g958 +tp5501 +a(g8 +g958 +tp5502 +a(g8 +g958 +tp5503 +a(g8 +g958 +tp5504 +a(g8 +g958 +tp5505 +a(g8 +g958 +tp5506 +a(g8 +g958 +tp5507 +a(g8 +g958 +tp5508 +a(g8 +g958 +tp5509 +a(g8 +g958 +tp5510 +a(g8 +g958 +tp5511 +a(g8 +g958 +tp5512 +a(g8 +g958 +tp5513 +a(g8 +g958 +tp5514 +a(g8 +V*) +p5515 +tp5516 +a(g827 +V\u000a +p5517 +tp5518 +a(g706 +g1672 +tp5519 +a(g827 +g958 +tp5520 +a(g629 +VConDef +p5521 +tp5522 +a(g827 +g958 +tp5523 +a(g794 +Vof +p5524 +tp5525 +a(g827 +g958 +tp5526 +a(g436 +Vstring +p5527 +tp5528 +a(g827 +g958 +tp5529 +a(g436 +g1687 +tp5530 +a(g827 +g958 +tp5531 +a(g436 +Vmid +p5532 +tp5533 +a(g827 +g958 +tp5534 +a(g436 +Voption +p5535 +tp5536 +a(g827 +g958 +tp5537 +a(g436 +g1687 +tp5538 +a(g827 +g958 +tp5539 +a(g436 +Vint +p5540 +tp5541 +a(g827 +g1352 +tp5542 +a(g8 +V(* +p5543 +tp5544 +a(g8 +g958 +tp5545 +a(g8 +g971 +tp5546 +a(g8 +g958 +tp5547 +a(g8 +g1098 +tp5548 +a(g8 +g958 +tp5549 +a(g8 +g993 +tp5550 +a(g8 +g958 +tp5551 +a(g8 +g1003 +tp5552 +a(g8 +g958 +tp5553 +a(g8 +g2039 +tp5554 +a(g8 +g958 +tp5555 +a(g8 +g1003 +tp5556 +a(g8 +g958 +tp5557 +a(g8 +g1011 +tp5558 +a(g8 +g1021 +tp5559 +a(g8 +g962 +tp5560 +a(g8 +g1062 +tp5561 +a(g8 +g958 +tp5562 +a(g8 +g958 +tp5563 +a(g8 +g1000 +tp5564 +a(g8 +g968 +tp5565 +a(g8 +g958 +tp5566 +a(g8 +g958 +tp5567 +a(g8 +g958 +tp5568 +a(g8 +g958 +tp5569 +a(g8 +g958 +tp5570 +a(g8 +g958 +tp5571 +a(g8 +g958 +tp5572 +a(g8 +V*) +p5573 +tp5574 +a(g827 +V\u000a +p5575 +tp5576 +a(g436 +g1687 +tp5577 +a(g827 +g958 +tp5578 +a(g436 +VExp +p5579 +tp5580 +a(g827 +g958 +tp5581 +a(g436 +g1687 +tp5582 +a(g827 +g958 +tp5583 +a(g436 +VExp +p5584 +tp5585 +a(g827 +g958 +tp5586 +a(g436 +g1687 +tp5587 +a(g827 +g958 +tp5588 +a(g436 +VUni +p5589 +tp5590 +a(g827 +V +p5591 +tp5592 +a(g8 +V(* +p5593 +tp5594 +a(g8 +g958 +tp5595 +a(g8 +g1062 +tp5596 +a(g8 +g958 +tp5597 +a(g8 +g1098 +tp5598 +a(g8 +g958 +tp5599 +a(g8 +g1059 +tp5600 +a(g8 +g958 +tp5601 +a(g8 +g1003 +tp5602 +a(g8 +g958 +tp5603 +a(g8 +g993 +tp5604 +a(g8 +g958 +tp5605 +a(g8 +g1003 +tp5606 +a(g8 +g958 +tp5607 +a(g8 +g964 +tp5608 +a(g8 +g978 +tp5609 +a(g8 +g1273 +tp5610 +a(g8 +g966 +tp5611 +a(g8 +g958 +tp5612 +a(g8 +g958 +tp5613 +a(g8 +g958 +tp5614 +a(g8 +g958 +tp5615 +a(g8 +g958 +tp5616 +a(g8 +g958 +tp5617 +a(g8 +g958 +tp5618 +a(g8 +g958 +tp5619 +a(g8 +g958 +tp5620 +a(g8 +g958 +tp5621 +a(g8 +g958 +tp5622 +a(g8 +V*) +p5623 +tp5624 +a(g827 +V\u000a +p5625 +tp5626 +a(g436 +g1687 +tp5627 +a(g827 +g958 +tp5628 +a(g436 +VAncestor +p5629 +tp5630 +a(g827 +V +p5631 +tp5632 +a(g8 +V(* +p5633 +tp5634 +a(g8 +g958 +tp5635 +a(g8 +g993 +tp5636 +a(g8 +g962 +tp5637 +a(g8 +g1040 +tp5638 +a(g8 +g966 +tp5639 +a(g8 +g1033 +tp5640 +a(g8 +g964 +tp5641 +a(g8 +g1000 +tp5642 +a(g8 +g968 +tp5643 +a(g8 +g958 +tp5644 +a(g8 +g1021 +tp5645 +a(g8 +g962 +tp5646 +a(g8 +g1016 +tp5647 +a(g8 +g1000 +tp5648 +a(g8 +g958 +tp5649 +a(g8 +g1016 +tp5650 +a(g8 +g1000 +tp5651 +a(g8 +g968 +tp5652 +a(g8 +g958 +tp5653 +a(g8 +g1062 +tp5654 +a(g8 +g958 +tp5655 +a(g8 +g1000 +tp5656 +a(g8 +g968 +tp5657 +a(g8 +g958 +tp5658 +a(g8 +g971 +tp5659 +a(g8 +g958 +tp5660 +a(g8 +g958 +tp5661 +a(g8 +g958 +tp5662 +a(g8 +V*) +p5663 +tp5664 +a(g827 +V\u000a +p5665 +tp5666 +a(g706 +g1672 +tp5667 +a(g827 +g958 +tp5668 +a(g629 +VAbbrevDef +p5669 +tp5670 +a(g827 +g958 +tp5671 +a(g794 +Vof +p5672 +tp5673 +a(g827 +g958 +tp5674 +a(g436 +Vstring +p5675 +tp5676 +a(g827 +g958 +tp5677 +a(g436 +g1687 +tp5678 +a(g827 +g958 +tp5679 +a(g436 +Vmid +p5680 +tp5681 +a(g827 +g958 +tp5682 +a(g436 +Voption +p5683 +tp5684 +a(g827 +g958 +tp5685 +a(g436 +g1687 +tp5686 +a(g827 +g958 +tp5687 +a(g436 +Vint +p5688 +tp5689 +a(g827 +V\u000a +p5690 +tp5691 +a(g8 +V(* +p5692 +tp5693 +a(g8 +g958 +tp5694 +a(g8 +g971 +tp5695 +a(g8 +g958 +tp5696 +a(g8 +g1098 +tp5697 +a(g8 +g958 +tp5698 +a(g8 +g993 +tp5699 +a(g8 +g958 +tp5700 +a(g8 +g1003 +tp5701 +a(g8 +g958 +tp5702 +a(g8 +g2039 +tp5703 +a(g8 +g958 +tp5704 +a(g8 +g1003 +tp5705 +a(g8 +g958 +tp5706 +a(g8 +g1011 +tp5707 +a(g8 +g1021 +tp5708 +a(g8 +g962 +tp5709 +a(g8 +g1062 +tp5710 +a(g8 +g958 +tp5711 +a(g8 +g958 +tp5712 +a(g8 +g1000 +tp5713 +a(g8 +g968 +tp5714 +a(g8 +g958 +tp5715 +a(g8 +g958 +tp5716 +a(g8 +g958 +tp5717 +a(g8 +g958 +tp5718 +a(g8 +g958 +tp5719 +a(g8 +g958 +tp5720 +a(g8 +g958 +tp5721 +a(g8 +V*) +p5722 +tp5723 +a(g827 +V\u000a +p5724 +tp5725 +a(g436 +g1687 +tp5726 +a(g827 +g958 +tp5727 +a(g436 +VExp +p5728 +tp5729 +a(g827 +g958 +tp5730 +a(g436 +g1687 +tp5731 +a(g827 +g958 +tp5732 +a(g436 +VExp +p5733 +tp5734 +a(g827 +g958 +tp5735 +a(g436 +g1687 +tp5736 +a(g827 +g958 +tp5737 +a(g436 +VUni +p5738 +tp5739 +a(g827 +V +p5740 +tp5741 +a(g8 +V(* +p5742 +tp5743 +a(g8 +g958 +tp5744 +a(g8 +g1062 +tp5745 +a(g8 +g958 +tp5746 +a(g8 +g1098 +tp5747 +a(g8 +g958 +tp5748 +a(g8 +g1059 +tp5749 +a(g8 +g958 +tp5750 +a(g8 +g1003 +tp5751 +a(g8 +g958 +tp5752 +a(g8 +g993 +tp5753 +a(g8 +g958 +tp5754 +a(g8 +g1003 +tp5755 +a(g8 +g958 +tp5756 +a(g8 +g964 +tp5757 +a(g8 +g978 +tp5758 +a(g8 +g1273 +tp5759 +a(g8 +g966 +tp5760 +a(g8 +g958 +tp5761 +a(g8 +g958 +tp5762 +a(g8 +g958 +tp5763 +a(g8 +g958 +tp5764 +a(g8 +g958 +tp5765 +a(g8 +g958 +tp5766 +a(g8 +g958 +tp5767 +a(g8 +g958 +tp5768 +a(g8 +g958 +tp5769 +a(g8 +g958 +tp5770 +a(g8 +g958 +tp5771 +a(g8 +V*) +p5772 +tp5773 +a(g827 +V\u000a +p5774 +tp5775 +a(g706 +g1672 +tp5776 +a(g827 +g958 +tp5777 +a(g629 +VBlockDec +p5778 +tp5779 +a(g827 +g958 +tp5780 +a(g794 +Vof +p5781 +tp5782 +a(g827 +g958 +tp5783 +a(g436 +Vstring +p5784 +tp5785 +a(g827 +g958 +tp5786 +a(g436 +g1687 +tp5787 +a(g827 +g958 +tp5788 +a(g436 +Vmid +p5789 +tp5790 +a(g827 +g958 +tp5791 +a(g436 +Voption +p5792 +tp5793 +a(g827 +V +p5794 +tp5795 +a(g8 +V(* +p5796 +tp5797 +a(g8 +g958 +tp5798 +a(g8 +V% +p5799 +tp5800 +a(g8 +g1074 +tp5801 +a(g8 +g973 +tp5802 +a(g8 +g1000 +tp5803 +a(g8 +g1040 +tp5804 +a(g8 +g1011 +tp5805 +a(g8 +g958 +tp5806 +a(g8 +g973 +tp5807 +a(g8 +g958 +tp5808 +a(g8 +g1003 +tp5809 +a(g8 +g958 +tp5810 +a(g8 +g976 +tp5811 +a(g8 +VO +p5812 +tp5813 +a(g8 +g1059 +tp5814 +a(g8 +g2119 +tp5815 +a(g8 +g958 +tp5816 +a(g8 +g1639 +tp5817 +a(g8 +g4269 +tp5818 +a(g8 +g958 +tp5819 +a(g8 +g1014 +tp5820 +a(g8 +g960 +tp5821 +a(g8 +g958 +tp5822 +a(g8 +g1639 +tp5823 +a(g8 +g4787 +tp5824 +a(g8 +g958 +tp5825 +a(g8 +g958 +tp5826 +a(g8 +g958 +tp5827 +a(g8 +V*) +p5828 +tp5829 +a(g827 +V\u000a +p5830 +tp5831 +a(g436 +g1687 +tp5832 +a(g827 +g958 +tp5833 +a(g436 +VDec +p5834 +tp5835 +a(g827 +g958 +tp5836 +a(g436 +VCtx +p5837 +tp5838 +a(g827 +g958 +tp5839 +a(g436 +g1687 +tp5840 +a(g827 +g958 +tp5841 +a(g436 +VDec +p5842 +tp5843 +a(g827 +g958 +tp5844 +a(g436 +Vlist +p5845 +tp5846 +a(g827 +V\u000a +p5847 +tp5848 +a(g706 +g1672 +tp5849 +a(g827 +g958 +tp5850 +a(g629 +VBlockDef +p5851 +tp5852 +a(g827 +g958 +tp5853 +a(g794 +Vof +p5854 +tp5855 +a(g827 +g958 +tp5856 +a(g436 +Vstring +p5857 +tp5858 +a(g827 +g958 +tp5859 +a(g436 +g1687 +tp5860 +a(g827 +g958 +tp5861 +a(g436 +Vmid +p5862 +tp5863 +a(g827 +g958 +tp5864 +a(g436 +Voption +p5865 +tp5866 +a(g827 +g958 +tp5867 +a(g436 +g1687 +tp5868 +a(g827 +g958 +tp5869 +a(g436 +Vcid +p5870 +tp5871 +a(g827 +g958 +tp5872 +a(g436 +Vlist +p5873 +tp5874 +a(g827 +V\u000a +p5875 +tp5876 +a(g8 +V(* +p5877 +tp5878 +a(g8 +g958 +tp5879 +a(g8 +g5799 +tp5880 +a(g8 +g1074 +tp5881 +a(g8 +g973 +tp5882 +a(g8 +g1000 +tp5883 +a(g8 +g1040 +tp5884 +a(g8 +g1011 +tp5885 +a(g8 +g958 +tp5886 +a(g8 +g973 +tp5887 +a(g8 +g958 +tp5888 +a(g8 +g1098 +tp5889 +a(g8 +g958 +tp5890 +a(g8 +g2205 +tp5891 +a(g8 +g973 +tp5892 +a(g8 +g4269 +tp5893 +a(g8 +g958 +tp5894 +a(g8 +g1672 +tp5895 +a(g8 +g958 +tp5896 +a(g8 +g1646 +tp5897 +a(g8 +g1646 +tp5898 +a(g8 +g1646 +tp5899 +a(g8 +g958 +tp5900 +a(g8 +g1672 +tp5901 +a(g8 +g958 +tp5902 +a(g8 +g973 +tp5903 +a(g8 +g962 +tp5904 +a(g8 +g2214 +tp5905 +a(g8 +g958 +tp5906 +a(g8 +V*) +p5907 +tp5908 +a(g827 +V\u000a +p5909 +tp5910 +a(g706 +g1672 +tp5911 +a(g827 +g958 +tp5912 +a(g629 +VSkoDec +p5913 +tp5914 +a(g827 +g958 +tp5915 +a(g794 +Vof +p5916 +tp5917 +a(g827 +g958 +tp5918 +a(g436 +Vstring +p5919 +tp5920 +a(g827 +g958 +tp5921 +a(g436 +g1687 +tp5922 +a(g827 +g958 +tp5923 +a(g436 +Vmid +p5924 +tp5925 +a(g827 +g958 +tp5926 +a(g436 +Voption +p5927 +tp5928 +a(g827 +g958 +tp5929 +a(g436 +g1687 +tp5930 +a(g827 +g958 +tp5931 +a(g436 +Vint +p5932 +tp5933 +a(g827 +g1352 +tp5934 +a(g8 +V(* +p5935 +tp5936 +a(g8 +g958 +tp5937 +a(g8 +g1033 +tp5938 +a(g8 +g971 +tp5939 +a(g8 +g1003 +tp5940 +a(g8 +g958 +tp5941 +a(g8 +g2039 +tp5942 +a(g8 +g958 +tp5943 +a(g8 +g1003 +tp5944 +a(g8 +g958 +tp5945 +a(g8 +g1011 +tp5946 +a(g8 +g1021 +tp5947 +a(g8 +g962 +tp5948 +a(g8 +g1062 +tp5949 +a(g8 +g958 +tp5950 +a(g8 +g958 +tp5951 +a(g8 +g1000 +tp5952 +a(g8 +g968 +tp5953 +a(g8 +g958 +tp5954 +a(g8 +g958 +tp5955 +a(g8 +g958 +tp5956 +a(g8 +g958 +tp5957 +a(g8 +g958 +tp5958 +a(g8 +g958 +tp5959 +a(g8 +g958 +tp5960 +a(g8 +g958 +tp5961 +a(g8 +g958 +tp5962 +a(g8 +g958 +tp5963 +a(g8 +g958 +tp5964 +a(g8 +V*) +p5965 +tp5966 +a(g827 +V\u000a +p5967 +tp5968 +a(g436 +g1687 +tp5969 +a(g827 +g958 +tp5970 +a(g436 +VExp +p5971 +tp5972 +a(g827 +g958 +tp5973 +a(g436 +g1687 +tp5974 +a(g827 +g958 +tp5975 +a(g436 +VUni +p5976 +tp5977 +a(g827 +V +p5978 +tp5979 +a(g8 +V(* +p5980 +tp5981 +a(g8 +g958 +tp5982 +a(g8 +g1033 +tp5983 +a(g8 +g1040 +tp5984 +a(g8 +g1003 +tp5985 +a(g8 +g958 +tp5986 +a(g8 +g993 +tp5987 +a(g8 +g958 +tp5988 +a(g8 +g1003 +tp5989 +a(g8 +g958 +tp5990 +a(g8 +g964 +tp5991 +a(g8 +g978 +tp5992 +a(g8 +g1273 +tp5993 +a(g8 +g966 +tp5994 +a(g8 +g958 +tp5995 +a(g8 +g958 +tp5996 +a(g8 +g958 +tp5997 +a(g8 +g958 +tp5998 +a(g8 +g958 +tp5999 +a(g8 +g958 +tp6000 +a(g8 +g958 +tp6001 +a(g8 +g958 +tp6002 +a(g8 +g958 +tp6003 +a(g8 +g958 +tp6004 +a(g8 +g958 +tp6005 +a(g8 +g958 +tp6006 +a(g8 +g958 +tp6007 +a(g8 +g958 +tp6008 +a(g8 +g958 +tp6009 +a(g8 +V*) +p6010 +tp6011 +a(g827 +V\u000a\u000a +p6012 +tp6013 +a(g794 +Vand +p6014 +tp6015 +a(g827 +g958 +tp6016 +a(g749 +VAncestor +p6017 +tp6018 +a(g827 +g958 +tp6019 +a(g706 +g1098 +tp6020 +a(g827 +V +p6021 +tp6022 +a(g8 +V(* +p6023 +tp6024 +a(g8 +g958 +tp6025 +a(g8 +g993 +tp6026 +a(g8 +g962 +tp6027 +a(g8 +g1040 +tp6028 +a(g8 +g966 +tp6029 +a(g8 +g1033 +tp6030 +a(g8 +g964 +tp6031 +a(g8 +g1000 +tp6032 +a(g8 +g968 +tp6033 +a(g8 +g958 +tp6034 +a(g8 +g1000 +tp6035 +a(g8 +g1016 +tp6036 +a(g8 +g958 +tp6037 +a(g8 +g1062 +tp6038 +a(g8 +g958 +tp6039 +a(g8 +g1000 +tp6040 +a(g8 +g968 +tp6041 +a(g8 +g958 +tp6042 +a(g8 +g971 +tp6043 +a(g8 +g958 +tp6044 +a(g8 +g958 +tp6045 +a(g8 +g958 +tp6046 +a(g8 +g958 +tp6047 +a(g8 +g958 +tp6048 +a(g8 +g958 +tp6049 +a(g8 +g958 +tp6050 +a(g8 +g958 +tp6051 +a(g8 +g958 +tp6052 +a(g8 +V*) +p6053 +tp6054 +a(g827 +V\u000a +p6055 +tp6056 +a(g629 +VAnc +p6057 +tp6058 +a(g827 +g958 +tp6059 +a(g794 +Vof +p6060 +tp6061 +a(g827 +g958 +tp6062 +a(g436 +Vcid +p6063 +tp6064 +a(g827 +g958 +tp6065 +a(g436 +Voption +p6066 +tp6067 +a(g827 +g958 +tp6068 +a(g436 +g1687 +tp6069 +a(g827 +g958 +tp6070 +a(g436 +Vint +p6071 +tp6072 +a(g827 +g958 +tp6073 +a(g436 +g1687 +tp6074 +a(g827 +g958 +tp6075 +a(g436 +Vcid +p6076 +tp6077 +a(g827 +g958 +tp6078 +a(g436 +Voption +p6079 +tp6080 +a(g827 +g958 +tp6081 +a(g8 +V(* +p6082 +tp6083 +a(g8 +g958 +tp6084 +a(g8 +g998 +tp6085 +a(g8 +g966 +tp6086 +a(g8 +g971 +tp6087 +a(g8 +g1062 +tp6088 +a(g8 +g2205 +tp6089 +a(g8 +g966 +tp6090 +a(g8 +g983 +tp6091 +a(g8 +g1273 +tp6092 +a(g8 +g971 +tp6093 +a(g8 +g962 +tp6094 +a(g8 +g1062 +tp6095 +a(g8 +g2205 +tp6096 +a(g8 +g1062 +tp6097 +a(g8 +g2214 +tp6098 +a(g8 +g2214 +tp6099 +a(g8 +g1026 +tp6100 +a(g8 +g958 +tp6101 +a(g8 +g998 +tp6102 +a(g8 +g966 +tp6103 +a(g8 +g1021 +tp6104 +a(g8 +g1024 +tp6105 +a(g8 +g998 +tp6106 +a(g8 +g964 +tp6107 +a(g8 +g1026 +tp6108 +a(g8 +g958 +tp6109 +a(g8 +g998 +tp6110 +a(g8 +g966 +tp6111 +a(g8 +g971 +tp6112 +a(g8 +g1062 +tp6113 +a(g8 +g2205 +tp6114 +a(g8 +g966 +tp6115 +a(g8 +g983 +tp6116 +a(g8 +g1273 +tp6117 +a(g8 +g971 +tp6118 +a(g8 +g962 +tp6119 +a(g8 +g1062 +tp6120 +a(g8 +g2527 +tp6121 +a(g8 +g998 +tp6122 +a(g8 +g966 +tp6123 +a(g8 +g1021 +tp6124 +a(g8 +g1024 +tp6125 +a(g8 +g998 +tp6126 +a(g8 +g964 +tp6127 +a(g8 +g2530 +tp6128 +a(g8 +g2205 +tp6129 +a(g8 +g1062 +tp6130 +a(g8 +g2214 +tp6131 +a(g8 +g2214 +tp6132 +a(g8 +g958 +tp6133 +a(g8 +V*) +p6134 +tp6135 +a(g827 +V\u000a +p6136 +tp6137 +a(g8 +V(* +p6138 +tp6139 +a(g8 +g958 +tp6140 +a(g8 +g1855 +tp6141 +a(g8 +g5812 +tp6142 +a(g8 +g1855 +tp6143 +a(g8 +g2119 +tp6144 +a(g8 +g958 +tp6145 +a(g8 +g1046 +tp6146 +a(g8 +g966 +tp6147 +a(g8 +g971 +tp6148 +a(g8 +g962 +tp6149 +a(g8 +g1033 +tp6150 +a(g8 +g958 +tp6151 +a(g8 +g966 +tp6152 +a(g8 +g983 +tp6153 +a(g8 +g1273 +tp6154 +a(g8 +g971 +tp6155 +a(g8 +g962 +tp6156 +a(g8 +g1062 +tp6157 +a(g8 +g1033 +tp6158 +a(g8 +g958 +tp6159 +a(g8 +g964 +tp6160 +a(g8 +g1000 +tp6161 +a(g8 +g958 +tp6162 +a(g8 +V{ +p6163 +tp6164 +a(g8 +g983 +tp6165 +a(g8 +g1003 +tp6166 +a(g8 +g993 +tp6167 +a(g8 +V} +p6168 +tp6169 +a(g8 +g4099 +tp6170 +a(g8 +g958 +tp6171 +a(g8 +V*) +p6172 +tp6173 +a(g827 +V\u000a\u000a +p6174 +tp6175 +a(g827 +g1152 +tp6176 +a(g794 +Vdatatype +p6177 +tp6178 +a(g827 +g958 +tp6179 +a(g749 +VStrDec +p6180 +tp6181 +a(g827 +g958 +tp6182 +a(g706 +g1098 +tp6183 +a(g827 +V +p6184 +tp6185 +a(g8 +V(* +p6186 +tp6187 +a(g8 +g958 +tp6188 +a(g8 +g976 +tp6189 +a(g8 +g964 +tp6190 +a(g8 +g968 +tp6191 +a(g8 +g995 +tp6192 +a(g8 +g1040 +tp6193 +a(g8 +g964 +tp6194 +a(g8 +g995 +tp6195 +a(g8 +g968 +tp6196 +a(g8 +g966 +tp6197 +a(g8 +g958 +tp6198 +a(g8 +g1062 +tp6199 +a(g8 +g966 +tp6200 +a(g8 +g1040 +tp6201 +a(g8 +g973 +tp6202 +a(g8 +g971 +tp6203 +a(g8 +g968 +tp6204 +a(g8 +g971 +tp6205 +a(g8 +g964 +tp6206 +a(g8 +g1021 +tp6207 +a(g8 +g1000 +tp6208 +a(g8 +g962 +tp6209 +a(g8 +g958 +tp6210 +a(g8 +g958 +tp6211 +a(g8 +g958 +tp6212 +a(g8 +g958 +tp6213 +a(g8 +g958 +tp6214 +a(g8 +g958 +tp6215 +a(g8 +V*) +p6216 +tp6217 +a(g827 +V\u000a +p6218 +tp6219 +a(g629 +VStrDec +p6220 +tp6221 +a(g827 +g958 +tp6222 +a(g794 +Vof +p6223 +tp6224 +a(g827 +g958 +tp6225 +a(g436 +Vstring +p6226 +tp6227 +a(g827 +g958 +tp6228 +a(g436 +g1687 +tp6229 +a(g827 +g958 +tp6230 +a(g436 +Vmid +p6231 +tp6232 +a(g827 +g958 +tp6233 +a(g436 +Voption +p6234 +tp6235 +a(g827 +V\u000a\u000a +p6236 +tp6237 +a(g8 +V(* +p6238 +tp6239 +a(g8 +g958 +tp6240 +a(g8 +g1006 +tp6241 +a(g8 +g1000 +tp6242 +a(g8 +g968 +tp6243 +a(g8 +g1046 +tp6244 +a(g8 +g958 +tp6245 +a(g8 +g1000 +tp6246 +a(g8 +g1016 +tp6247 +a(g8 +g958 +tp6248 +a(g8 +g1040 +tp6249 +a(g8 +g1000 +tp6250 +a(g8 +g962 +tp6251 +a(g8 +g1033 +tp6252 +a(g8 +g964 +tp6253 +a(g8 +g971 +tp6254 +a(g8 +g962 +tp6255 +a(g8 +g964 +tp6256 +a(g8 +g958 +tp6257 +a(g8 +g1062 +tp6258 +a(g8 +g966 +tp6259 +a(g8 +g1040 +tp6260 +a(g8 +g973 +tp6261 +a(g8 +g971 +tp6262 +a(g8 +g968 +tp6263 +a(g8 +g971 +tp6264 +a(g8 +g964 +tp6265 +a(g8 +g1021 +tp6266 +a(g8 +g1000 +tp6267 +a(g8 +g962 +tp6268 +a(g8 +g958 +tp6269 +a(g8 +V*) +p6270 +tp6271 +a(g827 +V\u000a +p6272 +tp6273 +a(g827 +g1152 +tp6274 +a(g794 +Vdatatype +p6275 +tp6276 +a(g827 +g958 +tp6277 +a(g749 +VConDecForm +p6278 +tp6279 +a(g827 +g958 +tp6280 +a(g706 +g1098 +tp6281 +a(g827 +V\u000a +p6282 +tp6283 +a(g629 +VFromCS +p6284 +tp6285 +a(g827 +V +p6286 +tp6287 +a(g8 +V(* +p6288 +tp6289 +a(g8 +g958 +tp6290 +a(g8 +g1016 +tp6291 +a(g8 +g968 +tp6292 +a(g8 +g1000 +tp6293 +a(g8 +g1046 +tp6294 +a(g8 +g958 +tp6295 +a(g8 +g1040 +tp6296 +a(g8 +g1000 +tp6297 +a(g8 +g962 +tp6298 +a(g8 +g1033 +tp6299 +a(g8 +g964 +tp6300 +a(g8 +g968 +tp6301 +a(g8 +g971 +tp6302 +a(g8 +g1021 +tp6303 +a(g8 +g962 +tp6304 +a(g8 +g964 +tp6305 +a(g8 +g958 +tp6306 +a(g8 +g1062 +tp6307 +a(g8 +g1000 +tp6308 +a(g8 +g1046 +tp6309 +a(g8 +g971 +tp6310 +a(g8 +g1021 +tp6311 +a(g8 +g962 +tp6312 +a(g8 +g958 +tp6313 +a(g8 +V*) +p6314 +tp6315 +a(g827 +V\u000a +p6316 +tp6317 +a(g706 +g1672 +tp6318 +a(g827 +g958 +tp6319 +a(g629 +VOrdinary +p6320 +tp6321 +a(g827 +V +p6322 +tp6323 +a(g8 +V(* +p6324 +tp6325 +a(g8 +g958 +tp6326 +a(g8 +g1000 +tp6327 +a(g8 +g968 +tp6328 +a(g8 +g1062 +tp6329 +a(g8 +g1021 +tp6330 +a(g8 +g962 +tp6331 +a(g8 +g971 +tp6332 +a(g8 +g968 +tp6333 +a(g8 +g978 +tp6334 +a(g8 +g958 +tp6335 +a(g8 +g1062 +tp6336 +a(g8 +g966 +tp6337 +a(g8 +g1040 +tp6338 +a(g8 +g973 +tp6339 +a(g8 +g971 +tp6340 +a(g8 +g968 +tp6341 +a(g8 +g971 +tp6342 +a(g8 +g964 +tp6343 +a(g8 +g1021 +tp6344 +a(g8 +g1000 +tp6345 +a(g8 +g962 +tp6346 +a(g8 +g958 +tp6347 +a(g8 +V*) +p6348 +tp6349 +a(g827 +V\u000a +p6350 +tp6351 +a(g706 +g1672 +tp6352 +a(g827 +g958 +tp6353 +a(g629 +VClause +p6354 +tp6355 +a(g827 +V +p6356 +tp6357 +a(g8 +V(* +p6358 +tp6359 +a(g8 +g958 +tp6360 +a(g8 +g5799 +tp6361 +a(g8 +g1040 +tp6362 +a(g8 +g973 +tp6363 +a(g8 +g971 +tp6364 +a(g8 +g995 +tp6365 +a(g8 +g1033 +tp6366 +a(g8 +g966 +tp6367 +a(g8 +g958 +tp6368 +a(g8 +g1062 +tp6369 +a(g8 +g966 +tp6370 +a(g8 +g1040 +tp6371 +a(g8 +g973 +tp6372 +a(g8 +g971 +tp6373 +a(g8 +g968 +tp6374 +a(g8 +g971 +tp6375 +a(g8 +g964 +tp6376 +a(g8 +g1021 +tp6377 +a(g8 +g1000 +tp6378 +a(g8 +g962 +tp6379 +a(g8 +g958 +tp6380 +a(g8 +V*) +p6381 +tp6382 +a(g827 +V\u000a\u000a +p6383 +tp6384 +a(g8 +V(* +p6385 +tp6386 +a(g8 +g958 +tp6387 +a(g8 +g2080 +tp6388 +a(g8 +g978 +tp6389 +a(g8 +g1273 +tp6390 +a(g8 +g966 +tp6391 +a(g8 +g958 +tp6392 +a(g8 +g971 +tp6393 +a(g8 +g1074 +tp6394 +a(g8 +g1074 +tp6395 +a(g8 +g968 +tp6396 +a(g8 +g966 +tp6397 +a(g8 +g1346 +tp6398 +a(g8 +g1021 +tp6399 +a(g8 +g971 +tp6400 +a(g8 +g964 +tp6401 +a(g8 +g1021 +tp6402 +a(g8 +g1000 +tp6403 +a(g8 +g962 +tp6404 +a(g8 +g1033 +tp6405 +a(g8 +g958 +tp6406 +a(g8 +V*) +p6407 +tp6408 +a(g827 +V\u000a +p6409 +tp6410 +a(g827 +g1152 +tp6411 +a(g794 +Vtype +p6412 +tp6413 +a(g827 +g958 +tp6414 +a(g749 +Vdctx +p6415 +tp6416 +a(g827 +g958 +tp6417 +a(g706 +g1098 +tp6418 +a(g827 +g958 +tp6419 +a(g436 +VDec +p6420 +tp6421 +a(g827 +g958 +tp6422 +a(g436 +VCtx +p6423 +tp6424 +a(g827 +V +p6425 +tp6426 +a(g8 +V(* +p6427 +tp6428 +a(g8 +g958 +tp6429 +a(g8 +g1639 +tp6430 +a(g8 +g958 +tp6431 +a(g8 +g1098 +tp6432 +a(g8 +g958 +tp6433 +a(g8 +g1646 +tp6434 +a(g8 +g958 +tp6435 +a(g8 +g1672 +tp6436 +a(g8 +g958 +tp6437 +a(g8 +g1639 +tp6438 +a(g8 +g1026 +tp6439 +a(g8 +g1706 +tp6440 +a(g8 +g958 +tp6441 +a(g8 +g958 +tp6442 +a(g8 +g958 +tp6443 +a(g8 +g958 +tp6444 +a(g8 +g958 +tp6445 +a(g8 +g958 +tp6446 +a(g8 +g958 +tp6447 +a(g8 +g958 +tp6448 +a(g8 +g958 +tp6449 +a(g8 +g958 +tp6450 +a(g8 +g958 +tp6451 +a(g8 +g958 +tp6452 +a(g8 +g958 +tp6453 +a(g8 +g958 +tp6454 +a(g8 +g958 +tp6455 +a(g8 +g958 +tp6456 +a(g8 +V*) +p6457 +tp6458 +a(g827 +V\u000a +p6459 +tp6460 +a(g827 +g1152 +tp6461 +a(g794 +Vtype +p6462 +tp6463 +a(g827 +g958 +tp6464 +a(g749 +Veclo +p6465 +tp6466 +a(g827 +g958 +tp6467 +a(g706 +g1098 +tp6468 +a(g827 +g958 +tp6469 +a(g436 +VExp +p6470 +tp6471 +a(g827 +g958 +tp6472 +a(g436 +g1687 +tp6473 +a(g827 +g958 +tp6474 +a(g436 +VSub +p6475 +tp6476 +a(g827 +V +p6477 +tp6478 +a(g8 +V(* +p6479 +tp6480 +a(g8 +g958 +tp6481 +a(g8 +g1993 +tp6482 +a(g8 +g1033 +tp6483 +a(g8 +g958 +tp6484 +a(g8 +g1098 +tp6485 +a(g8 +g958 +tp6486 +a(g8 +g1993 +tp6487 +a(g8 +g2527 +tp6488 +a(g8 +g1033 +tp6489 +a(g8 +g2530 +tp6490 +a(g8 +g958 +tp6491 +a(g8 +g958 +tp6492 +a(g8 +g958 +tp6493 +a(g8 +g958 +tp6494 +a(g8 +g958 +tp6495 +a(g8 +g958 +tp6496 +a(g8 +g958 +tp6497 +a(g8 +g958 +tp6498 +a(g8 +g958 +tp6499 +a(g8 +g958 +tp6500 +a(g8 +g958 +tp6501 +a(g8 +g958 +tp6502 +a(g8 +g958 +tp6503 +a(g8 +g958 +tp6504 +a(g8 +g958 +tp6505 +a(g8 +g958 +tp6506 +a(g8 +g958 +tp6507 +a(g8 +g958 +tp6508 +a(g8 +V*) +p6509 +tp6510 +a(g827 +V\u000a +p6511 +tp6512 +a(g827 +g1152 +tp6513 +a(g794 +Vtype +p6514 +tp6515 +a(g827 +g958 +tp6516 +a(g749 +Vbclo +p6517 +tp6518 +a(g827 +g958 +tp6519 +a(g706 +g1098 +tp6520 +a(g827 +g958 +tp6521 +a(g436 +VBlock +p6522 +tp6523 +a(g827 +g958 +tp6524 +a(g436 +g1687 +tp6525 +a(g827 +g958 +tp6526 +a(g436 +VSub +p6527 +tp6528 +a(g827 +V +p6529 +tp6530 +a(g8 +V(* +p6531 +tp6532 +a(g8 +g958 +tp6533 +a(g8 +g4099 +tp6534 +a(g8 +g1033 +tp6535 +a(g8 +g958 +tp6536 +a(g8 +g1098 +tp6537 +a(g8 +g958 +tp6538 +a(g8 +g4099 +tp6539 +a(g8 +g2527 +tp6540 +a(g8 +g1033 +tp6541 +a(g8 +g2530 +tp6542 +a(g8 +g958 +tp6543 +a(g8 +g958 +tp6544 +a(g8 +g958 +tp6545 +a(g8 +g958 +tp6546 +a(g8 +g958 +tp6547 +a(g8 +g958 +tp6548 +a(g8 +g958 +tp6549 +a(g8 +g958 +tp6550 +a(g8 +g958 +tp6551 +a(g8 +g958 +tp6552 +a(g8 +g958 +tp6553 +a(g8 +g958 +tp6554 +a(g8 +g958 +tp6555 +a(g8 +g958 +tp6556 +a(g8 +g958 +tp6557 +a(g8 +g958 +tp6558 +a(g8 +g958 +tp6559 +a(g8 +g958 +tp6560 +a(g8 +V*) +p6561 +tp6562 +a(g827 +V\u000a +p6563 +tp6564 +a(g827 +g1152 +tp6565 +a(g794 +Vtype +p6566 +tp6567 +a(g827 +g958 +tp6568 +a(g749 +Vcnstr +p6569 +tp6570 +a(g827 +g958 +tp6571 +a(g706 +g1098 +tp6572 +a(g827 +g958 +tp6573 +a(g436 +VCnstr +p6574 +tp6575 +a(g827 +g958 +tp6576 +a(g436 +Vref +p6577 +tp6578 +a(g827 +V\u000a\u000a +p6579 +tp6580 +a(g827 +g1152 +tp6581 +a(g827 +g1152 +tp6582 +a(g794 +Vexception +p6583 +tp6584 +a(g827 +g958 +tp6585 +a(g629 +VError +p6586 +tp6587 +a(g827 +g958 +tp6588 +a(g794 +Vof +p6589 +tp6590 +a(g827 +g958 +tp6591 +a(g436 +Vstring +p6592 +tp6593 +a(g827 +V +p6594 +tp6595 +a(g8 +V(* +p6596 +tp6597 +a(g8 +g958 +tp6598 +a(g8 +g968 +tp6599 +a(g8 +g971 +tp6600 +a(g8 +g1021 +tp6601 +a(g8 +g1033 +tp6602 +a(g8 +g966 +tp6603 +a(g8 +g1062 +tp6604 +a(g8 +g958 +tp6605 +a(g8 +g1021 +tp6606 +a(g8 +g1016 +tp6607 +a(g8 +g958 +tp6608 +a(g8 +g1000 +tp6609 +a(g8 +g995 +tp6610 +a(g8 +g964 +tp6611 +a(g8 +g958 +tp6612 +a(g8 +g1000 +tp6613 +a(g8 +g1016 +tp6614 +a(g8 +g958 +tp6615 +a(g8 +g1033 +tp6616 +a(g8 +g1273 +tp6617 +a(g8 +g971 +tp6618 +a(g8 +g1040 +tp6619 +a(g8 +g966 +tp6620 +a(g8 +g958 +tp6621 +a(g8 +g958 +tp6622 +a(g8 +g958 +tp6623 +a(g8 +g958 +tp6624 +a(g8 +g958 +tp6625 +a(g8 +V*) +p6626 +tp6627 +a(g827 +V\u000a\u000a +p6628 +tp6629 +a(g8 +V(* +p6630 +tp6631 +a(g8 +g958 +tp6632 +a(g8 +g1033 +tp6633 +a(g8 +g964 +tp6634 +a(g8 +g971 +tp6635 +a(g8 +g962 +tp6636 +a(g8 +g1062 +tp6637 +a(g8 +g971 +tp6638 +a(g8 +g968 +tp6639 +a(g8 +g1062 +tp6640 +a(g8 +g958 +tp6641 +a(g8 +g1000 +tp6642 +a(g8 +g1273 +tp6643 +a(g8 +g966 +tp6644 +a(g8 +g968 +tp6645 +a(g8 +g971 +tp6646 +a(g8 +g964 +tp6647 +a(g8 +g1021 +tp6648 +a(g8 +g1000 +tp6649 +a(g8 +g962 +tp6650 +a(g8 +g1033 +tp6651 +a(g8 +g958 +tp6652 +a(g8 +g1000 +tp6653 +a(g8 +g962 +tp6654 +a(g8 +g958 +tp6655 +a(g8 +g1016 +tp6656 +a(g8 +g1000 +tp6657 +a(g8 +g968 +tp6658 +a(g8 +g966 +tp6659 +a(g8 +g1021 +tp6660 +a(g8 +g1024 +tp6661 +a(g8 +g962 +tp6662 +a(g8 +g958 +tp6663 +a(g8 +g966 +tp6664 +a(g8 +g983 +tp6665 +a(g8 +g1273 +tp6666 +a(g8 +g968 +tp6667 +a(g8 +g966 +tp6668 +a(g8 +g1033 +tp6669 +a(g8 +g1033 +tp6670 +a(g8 +g1021 +tp6671 +a(g8 +g1000 +tp6672 +a(g8 +g962 +tp6673 +a(g8 +g1033 +tp6674 +a(g8 +g958 +tp6675 +a(g8 +V*) +p6676 +tp6677 +a(g827 +V\u000a +p6678 +tp6679 +a(g827 +g1152 +tp6680 +a(g794 +Vstructure +p6681 +tp6682 +a(g827 +g958 +tp6683 +a(g621 +VFgnExpStd +p6684 +tp6685 +a(g827 +g958 +tp6686 +a(g706 +g1003 +tp6687 +a(g827 +g958 +tp6688 +a(g794 +Vsig +p6689 +tp6690 +a(g827 +V\u000a +p6691 +tp6692 +a(g8 +V(* +p6693 +tp6694 +a(g8 +g958 +tp6695 +a(g8 +g1040 +tp6696 +a(g8 +g1000 +tp6697 +a(g8 +g962 +tp6698 +a(g8 +g1346 +tp6699 +a(g8 +g966 +tp6700 +a(g8 +g968 +tp6701 +a(g8 +g964 +tp6702 +a(g8 +g958 +tp6703 +a(g8 +g964 +tp6704 +a(g8 +g1000 +tp6705 +a(g8 +g958 +tp6706 +a(g8 +g1021 +tp6707 +a(g8 +g962 +tp6708 +a(g8 +g964 +tp6709 +a(g8 +g966 +tp6710 +a(g8 +g968 +tp6711 +a(g8 +g962 +tp6712 +a(g8 +g971 +tp6713 +a(g8 +g973 +tp6714 +a(g8 +g958 +tp6715 +a(g8 +g1033 +tp6716 +a(g8 +g978 +tp6717 +a(g8 +g962 +tp6718 +a(g8 +g964 +tp6719 +a(g8 +g971 +tp6720 +a(g8 +g983 +tp6721 +a(g8 +g958 +tp6722 +a(g8 +V*) +p6723 +tp6724 +a(g827 +V\u000a +p6725 +tp6726 +a(g794 +Vstructure +p6727 +tp6728 +a(g827 +g958 +tp6729 +a(g621 +VToInternal +p6730 +tp6731 +a(g827 +g958 +tp6732 +a(g706 +g1003 +tp6733 +a(g827 +g958 +tp6734 +a(g436 +VFGN_OPN +p6735 +tp6736 +a(g827 +g958 +tp6737 +a(g794 +Vwhere +p6738 +tp6739 +a(g827 +g958 +tp6740 +a(g794 +Vtype +p6741 +tp6742 +a(g827 +g958 +tp6743 +a(g749 +Varg +p6744 +tp6745 +a(g827 +g958 +tp6746 +a(g706 +g1098 +tp6747 +a(g827 +g958 +tp6748 +a(g436 +Vunit +p6749 +tp6750 +a(g827 +V\u000a +p6751 +tp6752 +a(g827 +g1152 +tp6753 +a(g794 +Vwhere +p6754 +tp6755 +a(g827 +g958 +tp6756 +a(g794 +Vtype +p6757 +tp6758 +a(g827 +g958 +tp6759 +a(g749 +Vresult +p6760 +tp6761 +a(g827 +g958 +tp6762 +a(g706 +g1098 +tp6763 +a(g827 +g958 +tp6764 +a(g436 +VExp +p6765 +tp6766 +a(g827 +V\u000a\u000a +p6767 +tp6768 +a(g8 +V(* +p6769 +tp6770 +a(g8 +g958 +tp6771 +a(g8 +g971 +tp6772 +a(g8 +g1273 +tp6773 +a(g8 +g1273 +tp6774 +a(g8 +g973 +tp6775 +a(g8 +g978 +tp6776 +a(g8 +g958 +tp6777 +a(g8 +g1016 +tp6778 +a(g8 +g995 +tp6779 +a(g8 +g962 +tp6780 +a(g8 +g1040 +tp6781 +a(g8 +g964 +tp6782 +a(g8 +g1021 +tp6783 +a(g8 +g1000 +tp6784 +a(g8 +g962 +tp6785 +a(g8 +g958 +tp6786 +a(g8 +g964 +tp6787 +a(g8 +g1000 +tp6788 +a(g8 +g958 +tp6789 +a(g8 +g1033 +tp6790 +a(g8 +g995 +tp6791 +a(g8 +g1074 +tp6792 +a(g8 +g964 +tp6793 +a(g8 +g966 +tp6794 +a(g8 +g968 +tp6795 +a(g8 +g1046 +tp6796 +a(g8 +g1033 +tp6797 +a(g8 +g958 +tp6798 +a(g8 +V*) +p6799 +tp6800 +a(g827 +V\u000a +p6801 +tp6802 +a(g827 +g1152 +tp6803 +a(g794 +Vstructure +p6804 +tp6805 +a(g827 +g958 +tp6806 +a(g621 +VMap +p6807 +tp6808 +a(g827 +g958 +tp6809 +a(g706 +g1003 +tp6810 +a(g827 +g958 +tp6811 +a(g436 +VFGN_OPN +p6812 +tp6813 +a(g827 +g958 +tp6814 +a(g794 +Vwhere +p6815 +tp6816 +a(g827 +g958 +tp6817 +a(g794 +Vtype +p6818 +tp6819 +a(g827 +g958 +tp6820 +a(g749 +Varg +p6821 +tp6822 +a(g827 +g958 +tp6823 +a(g706 +g1098 +tp6824 +a(g827 +g958 +tp6825 +a(g436 +VExp +p6826 +tp6827 +a(g827 +g958 +tp6828 +a(g706 +V-> +p6829 +tp6830 +a(g827 +g958 +tp6831 +a(g436 +VExp +p6832 +tp6833 +a(g827 +V\u000a +p6834 +tp6835 +a(g827 +g1152 +tp6836 +a(g794 +Vwhere +p6837 +tp6838 +a(g827 +g958 +tp6839 +a(g794 +Vtype +p6840 +tp6841 +a(g827 +g958 +tp6842 +a(g749 +Vresult +p6843 +tp6844 +a(g827 +g958 +tp6845 +a(g706 +g1098 +tp6846 +a(g827 +g958 +tp6847 +a(g436 +VExp +p6848 +tp6849 +a(g827 +V\u000a\u000a +p6850 +tp6851 +a(g8 +V(* +p6852 +tp6853 +a(g8 +g958 +tp6854 +a(g8 +g971 +tp6855 +a(g8 +g1273 +tp6856 +a(g8 +g1273 +tp6857 +a(g8 +g973 +tp6858 +a(g8 +g978 +tp6859 +a(g8 +g958 +tp6860 +a(g8 +g1016 +tp6861 +a(g8 +g995 +tp6862 +a(g8 +g962 +tp6863 +a(g8 +g1040 +tp6864 +a(g8 +g964 +tp6865 +a(g8 +g1021 +tp6866 +a(g8 +g1000 +tp6867 +a(g8 +g962 +tp6868 +a(g8 +g958 +tp6869 +a(g8 +g964 +tp6870 +a(g8 +g1000 +tp6871 +a(g8 +g958 +tp6872 +a(g8 +g1033 +tp6873 +a(g8 +g995 +tp6874 +a(g8 +g1074 +tp6875 +a(g8 +g964 +tp6876 +a(g8 +g966 +tp6877 +a(g8 +g968 +tp6878 +a(g8 +g1046 +tp6879 +a(g8 +g1033 +tp6880 +a(g8 +g1026 +tp6881 +a(g8 +g958 +tp6882 +a(g8 +g1016 +tp6883 +a(g8 +g1000 +tp6884 +a(g8 +g968 +tp6885 +a(g8 +g958 +tp6886 +a(g8 +g966 +tp6887 +a(g8 +g1016 +tp6888 +a(g8 +g1016 +tp6889 +a(g8 +g966 +tp6890 +a(g8 +g1040 +tp6891 +a(g8 +g964 +tp6892 +a(g8 +g958 +tp6893 +a(g8 +V*) +p6894 +tp6895 +a(g827 +V\u000a +p6896 +tp6897 +a(g827 +g1152 +tp6898 +a(g794 +Vstructure +p6899 +tp6900 +a(g827 +g958 +tp6901 +a(g621 +VApp +p6902 +tp6903 +a(g827 +g958 +tp6904 +a(g706 +g1003 +tp6905 +a(g827 +g958 +tp6906 +a(g436 +VFGN_OPN +p6907 +tp6908 +a(g827 +g958 +tp6909 +a(g794 +Vwhere +p6910 +tp6911 +a(g827 +g958 +tp6912 +a(g794 +Vtype +p6913 +tp6914 +a(g827 +g958 +tp6915 +a(g749 +Varg +p6916 +tp6917 +a(g827 +g958 +tp6918 +a(g706 +g1098 +tp6919 +a(g827 +g958 +tp6920 +a(g436 +VExp +p6921 +tp6922 +a(g827 +g958 +tp6923 +a(g706 +V-> +p6924 +tp6925 +a(g827 +g958 +tp6926 +a(g436 +Vunit +p6927 +tp6928 +a(g827 +V\u000a +p6929 +tp6930 +a(g827 +g1152 +tp6931 +a(g794 +Vwhere +p6932 +tp6933 +a(g827 +g958 +tp6934 +a(g794 +Vtype +p6935 +tp6936 +a(g827 +g958 +tp6937 +a(g749 +Vresult +p6938 +tp6939 +a(g827 +g958 +tp6940 +a(g706 +g1098 +tp6941 +a(g827 +g958 +tp6942 +a(g436 +Vunit +p6943 +tp6944 +a(g827 +V\u000a\u000a +p6945 +tp6946 +a(g8 +V(* +p6947 +tp6948 +a(g8 +g958 +tp6949 +a(g8 +g964 +tp6950 +a(g8 +g966 +tp6951 +a(g8 +g1033 +tp6952 +a(g8 +g964 +tp6953 +a(g8 +g958 +tp6954 +a(g8 +g1016 +tp6955 +a(g8 +g1000 +tp6956 +a(g8 +g968 +tp6957 +a(g8 +g958 +tp6958 +a(g8 +g966 +tp6959 +a(g8 +Vq +p6960 +tp6961 +a(g8 +g995 +tp6962 +a(g8 +g971 +tp6963 +a(g8 +g973 +tp6964 +a(g8 +g1021 +tp6965 +a(g8 +g964 +tp6966 +a(g8 +g978 +tp6967 +a(g8 +g958 +tp6968 +a(g8 +V*) +p6969 +tp6970 +a(g827 +V\u000a +p6971 +tp6972 +a(g827 +g1152 +tp6973 +a(g794 +Vstructure +p6974 +tp6975 +a(g827 +g958 +tp6976 +a(g621 +VEqualTo +p6977 +tp6978 +a(g827 +g958 +tp6979 +a(g706 +g1003 +tp6980 +a(g827 +g958 +tp6981 +a(g436 +VFGN_OPN +p6982 +tp6983 +a(g827 +g958 +tp6984 +a(g794 +Vwhere +p6985 +tp6986 +a(g827 +g958 +tp6987 +a(g794 +Vtype +p6988 +tp6989 +a(g827 +g958 +tp6990 +a(g749 +Varg +p6991 +tp6992 +a(g827 +g958 +tp6993 +a(g706 +g1098 +tp6994 +a(g827 +g958 +tp6995 +a(g436 +VExp +p6996 +tp6997 +a(g827 +V\u000a +p6998 +tp6999 +a(g827 +g1152 +tp7000 +a(g794 +Vwhere +p7001 +tp7002 +a(g827 +g958 +tp7003 +a(g794 +Vtype +p7004 +tp7005 +a(g827 +g958 +tp7006 +a(g749 +Vresult +p7007 +tp7008 +a(g827 +g958 +tp7009 +a(g706 +g1098 +tp7010 +a(g827 +g958 +tp7011 +a(g436 +Vbool +p7012 +tp7013 +a(g827 +V\u000a\u000a +p7014 +tp7015 +a(g8 +V(* +p7016 +tp7017 +a(g8 +g958 +tp7018 +a(g8 +g995 +tp7019 +a(g8 +g962 +tp7020 +a(g8 +g1021 +tp7021 +a(g8 +g1016 +tp7022 +a(g8 +g978 +tp7023 +a(g8 +g958 +tp7024 +a(g8 +g4300 +tp7025 +a(g8 +g1021 +tp7026 +a(g8 +g964 +tp7027 +a(g8 +g998 +tp7028 +a(g8 +g958 +tp7029 +a(g8 +g971 +tp7030 +a(g8 +g962 +tp7031 +a(g8 +g1000 +tp7032 +a(g8 +g964 +tp7033 +a(g8 +g998 +tp7034 +a(g8 +g966 +tp7035 +a(g8 +g968 +tp7036 +a(g8 +g958 +tp7037 +a(g8 +g964 +tp7038 +a(g8 +g966 +tp7039 +a(g8 +g968 +tp7040 +a(g8 +g1046 +tp7041 +a(g8 +g958 +tp7042 +a(g8 +V*) +p7043 +tp7044 +a(g827 +V\u000a +p7045 +tp7046 +a(g827 +g1152 +tp7047 +a(g794 +Vstructure +p7048 +tp7049 +a(g827 +g958 +tp7050 +a(g621 +VUnifyWith +p7051 +tp7052 +a(g827 +g958 +tp7053 +a(g706 +g1003 +tp7054 +a(g827 +g958 +tp7055 +a(g436 +VFGN_OPN +p7056 +tp7057 +a(g827 +g958 +tp7058 +a(g794 +Vwhere +p7059 +tp7060 +a(g827 +g958 +tp7061 +a(g794 +Vtype +p7062 +tp7063 +a(g827 +g958 +tp7064 +a(g749 +Varg +p7065 +tp7066 +a(g827 +g958 +tp7067 +a(g706 +g1098 +tp7068 +a(g827 +g958 +tp7069 +a(g436 +VDec +p7070 +tp7071 +a(g827 +g958 +tp7072 +a(g436 +VCtx +p7073 +tp7074 +a(g827 +g958 +tp7075 +a(g436 +g1687 +tp7076 +a(g827 +g958 +tp7077 +a(g436 +VExp +p7078 +tp7079 +a(g827 +V\u000a +p7080 +tp7081 +a(g827 +g1152 +tp7082 +a(g794 +Vwhere +p7083 +tp7084 +a(g827 +g958 +tp7085 +a(g794 +Vtype +p7086 +tp7087 +a(g827 +g958 +tp7088 +a(g749 +Vresult +p7089 +tp7090 +a(g827 +g958 +tp7091 +a(g706 +g1098 +tp7092 +a(g827 +g958 +tp7093 +a(g436 +VFgnUnify +p7094 +tp7095 +a(g827 +V\u000a\u000a +p7096 +tp7097 +a(g8 +V(* +p7098 +tp7099 +a(g8 +g958 +tp7100 +a(g8 +g1016 +tp7101 +a(g8 +g1000 +tp7102 +a(g8 +g973 +tp7103 +a(g8 +g1062 +tp7104 +a(g8 +g958 +tp7105 +a(g8 +g971 +tp7106 +a(g8 +g958 +tp7107 +a(g8 +g1016 +tp7108 +a(g8 +g995 +tp7109 +a(g8 +g962 +tp7110 +a(g8 +g1040 +tp7111 +a(g8 +g964 +tp7112 +a(g8 +g1021 +tp7113 +a(g8 +g1000 +tp7114 +a(g8 +g962 +tp7115 +a(g8 +g958 +tp7116 +a(g8 +g1000 +tp7117 +a(g8 +g1346 +tp7118 +a(g8 +g966 +tp7119 +a(g8 +g968 +tp7120 +a(g8 +g958 +tp7121 +a(g8 +g964 +tp7122 +a(g8 +g998 +tp7123 +a(g8 +g966 +tp7124 +a(g8 +g958 +tp7125 +a(g8 +g1033 +tp7126 +a(g8 +g995 +tp7127 +a(g8 +g1074 +tp7128 +a(g8 +g964 +tp7129 +a(g8 +g966 +tp7130 +a(g8 +g968 +tp7131 +a(g8 +g1046 +tp7132 +a(g8 +g1033 +tp7133 +a(g8 +g958 +tp7134 +a(g8 +V*) +p7135 +tp7136 +a(g827 +V\u000a +p7137 +tp7138 +a(g827 +g1152 +tp7139 +a(g794 +Vval +p7140 +tp7141 +a(g827 +g958 +tp7142 +a(g441 +Vfold +p7143 +tp7144 +a(g827 +g958 +tp7145 +a(g706 +g1003 +tp7146 +a(g827 +g958 +tp7147 +a(g706 +g2205 +tp7148 +a(g436 +Vcsid +p7149 +tp7150 +a(g827 +g958 +tp7151 +a(g436 +g1687 +tp7152 +a(g827 +g958 +tp7153 +a(g436 +VFgnExp +p7154 +tp7155 +a(g706 +g2214 +tp7156 +a(g827 +g958 +tp7157 +a(g706 +V-> +p7158 +tp7159 +a(g827 +g958 +tp7160 +a(g706 +g2205 +tp7161 +a(g436 +VExp +p7162 +tp7163 +a(g827 +g958 +tp7164 +a(g436 +g1687 +tp7165 +a(g827 +g958 +tp7166 +a(g496 +V'a +p7167 +tp7168 +a(g827 +g958 +tp7169 +a(g706 +V-> +p7170 +tp7171 +a(g827 +g958 +tp7172 +a(g496 +V'a +p7173 +tp7174 +a(g706 +g2214 +tp7175 +a(g827 +g958 +tp7176 +a(g706 +V-> +p7177 +tp7178 +a(g827 +g958 +tp7179 +a(g496 +V'a +p7180 +tp7181 +a(g827 +g958 +tp7182 +a(g706 +V-> +p7183 +tp7184 +a(g827 +g958 +tp7185 +a(g496 +V'a +p7186 +tp7187 +a(g827 +V\u000a +p7188 +tp7189 +a(g794 +Vend +p7190 +tp7191 +a(g827 +V\u000a\u000a +p7192 +tp7193 +a(g8 +V(* +p7194 +tp7195 +a(g8 +g958 +tp7196 +a(g8 +g1033 +tp7197 +a(g8 +g964 +tp7198 +a(g8 +g971 +tp7199 +a(g8 +g962 +tp7200 +a(g8 +g1062 +tp7201 +a(g8 +g971 +tp7202 +a(g8 +g968 +tp7203 +a(g8 +g1062 +tp7204 +a(g8 +g958 +tp7205 +a(g8 +g1000 +tp7206 +a(g8 +g1273 +tp7207 +a(g8 +g966 +tp7208 +a(g8 +g968 +tp7209 +a(g8 +g971 +tp7210 +a(g8 +g964 +tp7211 +a(g8 +g1021 +tp7212 +a(g8 +g1000 +tp7213 +a(g8 +g962 +tp7214 +a(g8 +g1033 +tp7215 +a(g8 +g958 +tp7216 +a(g8 +g1000 +tp7217 +a(g8 +g962 +tp7218 +a(g8 +g958 +tp7219 +a(g8 +g1016 +tp7220 +a(g8 +g1000 +tp7221 +a(g8 +g968 +tp7222 +a(g8 +g966 +tp7223 +a(g8 +g1021 +tp7224 +a(g8 +g1024 +tp7225 +a(g8 +g962 +tp7226 +a(g8 +g958 +tp7227 +a(g8 +g1040 +tp7228 +a(g8 +g1000 +tp7229 +a(g8 +g962 +tp7230 +a(g8 +g1033 +tp7231 +a(g8 +g964 +tp7232 +a(g8 +g968 +tp7233 +a(g8 +g971 +tp7234 +a(g8 +g1021 +tp7235 +a(g8 +g962 +tp7236 +a(g8 +g964 +tp7237 +a(g8 +g1033 +tp7238 +a(g8 +g958 +tp7239 +a(g8 +V*) +p7240 +tp7241 +a(g827 +V\u000a +p7242 +tp7243 +a(g794 +Vstructure +p7244 +tp7245 +a(g827 +g958 +tp7246 +a(g621 +VFgnCnstrStd +p7247 +tp7248 +a(g827 +g958 +tp7249 +a(g706 +g1003 +tp7250 +a(g827 +g958 +tp7251 +a(g794 +Vsig +p7252 +tp7253 +a(g827 +V\u000a +p7254 +tp7255 +a(g8 +V(* +p7256 +tp7257 +a(g8 +g958 +tp7258 +a(g8 +g1040 +tp7259 +a(g8 +g1000 +tp7260 +a(g8 +g962 +tp7261 +a(g8 +g1346 +tp7262 +a(g8 +g966 +tp7263 +a(g8 +g968 +tp7264 +a(g8 +g964 +tp7265 +a(g8 +g958 +tp7266 +a(g8 +g964 +tp7267 +a(g8 +g1000 +tp7268 +a(g8 +g958 +tp7269 +a(g8 +g1021 +tp7270 +a(g8 +g962 +tp7271 +a(g8 +g964 +tp7272 +a(g8 +g966 +tp7273 +a(g8 +g968 +tp7274 +a(g8 +g962 +tp7275 +a(g8 +g971 +tp7276 +a(g8 +g973 +tp7277 +a(g8 +g958 +tp7278 +a(g8 +g1033 +tp7279 +a(g8 +g978 +tp7280 +a(g8 +g962 +tp7281 +a(g8 +g964 +tp7282 +a(g8 +g971 +tp7283 +a(g8 +g983 +tp7284 +a(g8 +g958 +tp7285 +a(g8 +V*) +p7286 +tp7287 +a(g827 +V\u000a +p7288 +tp7289 +a(g794 +Vstructure +p7290 +tp7291 +a(g827 +g958 +tp7292 +a(g621 +VToInternal +p7293 +tp7294 +a(g827 +g958 +tp7295 +a(g706 +g1003 +tp7296 +a(g827 +g958 +tp7297 +a(g436 +VFGN_OPN +p7298 +tp7299 +a(g827 +g958 +tp7300 +a(g794 +Vwhere +p7301 +tp7302 +a(g827 +g958 +tp7303 +a(g794 +Vtype +p7304 +tp7305 +a(g827 +g958 +tp7306 +a(g749 +Varg +p7307 +tp7308 +a(g827 +g958 +tp7309 +a(g706 +g1098 +tp7310 +a(g827 +g958 +tp7311 +a(g436 +Vunit +p7312 +tp7313 +a(g827 +V\u000a +p7314 +tp7315 +a(g827 +g1152 +tp7316 +a(g794 +Vwhere +p7317 +tp7318 +a(g827 +g958 +tp7319 +a(g794 +Vtype +p7320 +tp7321 +a(g827 +g958 +tp7322 +a(g749 +Vresult +p7323 +tp7324 +a(g827 +g958 +tp7325 +a(g706 +g1098 +tp7326 +a(g827 +g958 +tp7327 +a(g706 +g2205 +tp7328 +a(g436 +VDec +p7329 +tp7330 +a(g827 +g958 +tp7331 +a(g436 +VCtx +p7332 +tp7333 +a(g827 +g958 +tp7334 +a(g436 +g1687 +tp7335 +a(g827 +g958 +tp7336 +a(g436 +VExp +p7337 +tp7338 +a(g706 +g2214 +tp7339 +a(g827 +g958 +tp7340 +a(g436 +Vlist +p7341 +tp7342 +a(g827 +V\u000a\u000a +p7343 +tp7344 +a(g8 +V(* +p7345 +tp7346 +a(g8 +g958 +tp7347 +a(g8 +g971 +tp7348 +a(g8 +g4300 +tp7349 +a(g8 +g971 +tp7350 +a(g8 +g1011 +tp7351 +a(g8 +g966 +tp7352 +a(g8 +g958 +tp7353 +a(g8 +V*) +p7354 +tp7355 +a(g827 +V\u000a +p7356 +tp7357 +a(g827 +g1152 +tp7358 +a(g794 +Vstructure +p7359 +tp7360 +a(g827 +g958 +tp7361 +a(g621 +VAwake +p7362 +tp7363 +a(g827 +g958 +tp7364 +a(g706 +g1003 +tp7365 +a(g827 +g958 +tp7366 +a(g436 +VFGN_OPN +p7367 +tp7368 +a(g827 +g958 +tp7369 +a(g794 +Vwhere +p7370 +tp7371 +a(g827 +g958 +tp7372 +a(g794 +Vtype +p7373 +tp7374 +a(g827 +g958 +tp7375 +a(g749 +Varg +p7376 +tp7377 +a(g827 +g958 +tp7378 +a(g706 +g1098 +tp7379 +a(g827 +g958 +tp7380 +a(g436 +Vunit +p7381 +tp7382 +a(g827 +V\u000a +p7383 +tp7384 +a(g827 +g1152 +tp7385 +a(g794 +Vwhere +p7386 +tp7387 +a(g827 +g958 +tp7388 +a(g794 +Vtype +p7389 +tp7390 +a(g827 +g958 +tp7391 +a(g749 +Vresult +p7392 +tp7393 +a(g827 +g958 +tp7394 +a(g706 +g1098 +tp7395 +a(g827 +g958 +tp7396 +a(g436 +Vbool +p7397 +tp7398 +a(g827 +V\u000a\u000a +p7399 +tp7400 +a(g8 +V(* +p7401 +tp7402 +a(g8 +g958 +tp7403 +a(g8 +g1033 +tp7404 +a(g8 +g1021 +tp7405 +a(g8 +g1046 +tp7406 +a(g8 +g1273 +tp7407 +a(g8 +g973 +tp7408 +a(g8 +g1021 +tp7409 +a(g8 +g1016 +tp7410 +a(g8 +g978 +tp7411 +a(g8 +g958 +tp7412 +a(g8 +V*) +p7413 +tp7414 +a(g827 +V\u000a +p7415 +tp7416 +a(g827 +g1152 +tp7417 +a(g794 +Vstructure +p7418 +tp7419 +a(g827 +g958 +tp7420 +a(g621 +VSimplify +p7421 +tp7422 +a(g827 +g958 +tp7423 +a(g706 +g1003 +tp7424 +a(g827 +g958 +tp7425 +a(g436 +VFGN_OPN +p7426 +tp7427 +a(g827 +g958 +tp7428 +a(g794 +Vwhere +p7429 +tp7430 +a(g827 +g958 +tp7431 +a(g794 +Vtype +p7432 +tp7433 +a(g827 +g958 +tp7434 +a(g749 +Varg +p7435 +tp7436 +a(g827 +g958 +tp7437 +a(g706 +g1098 +tp7438 +a(g827 +g958 +tp7439 +a(g436 +Vunit +p7440 +tp7441 +a(g827 +V\u000a +p7442 +tp7443 +a(g827 +g1152 +tp7444 +a(g794 +Vwhere +p7445 +tp7446 +a(g827 +g958 +tp7447 +a(g794 +Vtype +p7448 +tp7449 +a(g827 +g958 +tp7450 +a(g749 +Vresult +p7451 +tp7452 +a(g827 +g958 +tp7453 +a(g706 +g1098 +tp7454 +a(g827 +g958 +tp7455 +a(g436 +Vbool +p7456 +tp7457 +a(g827 +V\u000a +p7458 +tp7459 +a(g827 +g1152 +tp7460 +a(g794 +Vend +p7461 +tp7462 +a(g827 +V\u000a \u000a +p7463 +tp7464 +a(g794 +Vval +p7465 +tp7466 +a(g827 +g958 +tp7467 +a(g441 +VconDecName +p7468 +tp7469 +a(g827 +V +p7470 +tp7471 +a(g706 +g1003 +tp7472 +a(g827 +g958 +tp7473 +a(g436 +VConDec +p7474 +tp7475 +a(g827 +g958 +tp7476 +a(g706 +V-> +p7477 +tp7478 +a(g827 +g958 +tp7479 +a(g436 +Vstring +p7480 +tp7481 +a(g827 +V\u000a +p7482 +tp7483 +a(g794 +Vval +p7484 +tp7485 +a(g827 +g958 +tp7486 +a(g441 +VconDecParent +p7487 +tp7488 +a(g827 +g958 +tp7489 +a(g706 +g1003 +tp7490 +a(g827 +g958 +tp7491 +a(g436 +VConDec +p7492 +tp7493 +a(g827 +g958 +tp7494 +a(g706 +V-> +p7495 +tp7496 +a(g827 +g958 +tp7497 +a(g436 +Vmid +p7498 +tp7499 +a(g827 +g958 +tp7500 +a(g436 +Voption +p7501 +tp7502 +a(g827 +V\u000a +p7503 +tp7504 +a(g794 +Vval +p7505 +tp7506 +a(g827 +g958 +tp7507 +a(g441 +VconDecImp +p7508 +tp7509 +a(g827 +V +p7510 +tp7511 +a(g706 +g1003 +tp7512 +a(g827 +g958 +tp7513 +a(g436 +VConDec +p7514 +tp7515 +a(g827 +g958 +tp7516 +a(g706 +V-> +p7517 +tp7518 +a(g827 +g958 +tp7519 +a(g436 +Vint +p7520 +tp7521 +a(g827 +V\u000a +p7522 +tp7523 +a(g794 +Vval +p7524 +tp7525 +a(g827 +g958 +tp7526 +a(g441 +VconDecStatus +p7527 +tp7528 +a(g827 +g958 +tp7529 +a(g706 +g1003 +tp7530 +a(g827 +g958 +tp7531 +a(g436 +VConDec +p7532 +tp7533 +a(g827 +g958 +tp7534 +a(g706 +V-> +p7535 +tp7536 +a(g827 +g958 +tp7537 +a(g436 +VStatus +p7538 +tp7539 +a(g827 +V\u000a +p7540 +tp7541 +a(g794 +Vval +p7542 +tp7543 +a(g827 +g958 +tp7544 +a(g441 +VconDecType +p7545 +tp7546 +a(g827 +V +p7547 +tp7548 +a(g706 +g1003 +tp7549 +a(g827 +g958 +tp7550 +a(g436 +VConDec +p7551 +tp7552 +a(g827 +g958 +tp7553 +a(g706 +V-> +p7554 +tp7555 +a(g827 +g958 +tp7556 +a(g436 +VExp +p7557 +tp7558 +a(g827 +V\u000a +p7559 +tp7560 +a(g794 +Vval +p7561 +tp7562 +a(g827 +g958 +tp7563 +a(g441 +VconDecBlock +p7564 +tp7565 +a(g827 +V +p7566 +tp7567 +a(g706 +g1003 +tp7568 +a(g827 +g958 +tp7569 +a(g436 +VConDec +p7570 +tp7571 +a(g827 +g958 +tp7572 +a(g706 +V-> +p7573 +tp7574 +a(g827 +g958 +tp7575 +a(g436 +Vdctx +p7576 +tp7577 +a(g827 +g958 +tp7578 +a(g436 +g1687 +tp7579 +a(g827 +g958 +tp7580 +a(g436 +VDec +p7581 +tp7582 +a(g827 +g958 +tp7583 +a(g436 +Vlist +p7584 +tp7585 +a(g827 +V\u000a +p7586 +tp7587 +a(g794 +Vval +p7588 +tp7589 +a(g827 +g958 +tp7590 +a(g441 +VconDecUni +p7591 +tp7592 +a(g827 +V +p7593 +tp7594 +a(g706 +g1003 +tp7595 +a(g827 +g958 +tp7596 +a(g436 +VConDec +p7597 +tp7598 +a(g827 +g958 +tp7599 +a(g706 +V-> +p7600 +tp7601 +a(g827 +g958 +tp7602 +a(g436 +VUni +p7603 +tp7604 +a(g827 +V\u000a\u000a +p7605 +tp7606 +a(g794 +Vval +p7607 +tp7608 +a(g827 +g958 +tp7609 +a(g441 +VstrDecName +p7610 +tp7611 +a(g827 +V +p7612 +tp7613 +a(g706 +g1003 +tp7614 +a(g827 +g958 +tp7615 +a(g436 +VStrDec +p7616 +tp7617 +a(g827 +g958 +tp7618 +a(g706 +V-> +p7619 +tp7620 +a(g827 +g958 +tp7621 +a(g436 +Vstring +p7622 +tp7623 +a(g827 +V\u000a +p7624 +tp7625 +a(g794 +Vval +p7626 +tp7627 +a(g827 +g958 +tp7628 +a(g441 +VstrDecParent +p7629 +tp7630 +a(g827 +g958 +tp7631 +a(g706 +g1003 +tp7632 +a(g827 +g958 +tp7633 +a(g436 +VStrDec +p7634 +tp7635 +a(g827 +g958 +tp7636 +a(g706 +V-> +p7637 +tp7638 +a(g827 +g958 +tp7639 +a(g436 +Vmid +p7640 +tp7641 +a(g827 +g958 +tp7642 +a(g436 +Voption +p7643 +tp7644 +a(g827 +V\u000a\u000a +p7645 +tp7646 +a(g794 +Vval +p7647 +tp7648 +a(g827 +g958 +tp7649 +a(g441 +VsgnReset +p7650 +tp7651 +a(g827 +V +p7652 +tp7653 +a(g706 +g1003 +tp7654 +a(g827 +g958 +tp7655 +a(g436 +Vunit +p7656 +tp7657 +a(g827 +g958 +tp7658 +a(g706 +V-> +p7659 +tp7660 +a(g827 +g958 +tp7661 +a(g436 +Vunit +p7662 +tp7663 +a(g827 +V\u000a +p7664 +tp7665 +a(g794 +Vval +p7666 +tp7667 +a(g827 +g958 +tp7668 +a(g441 +VsgnSize +p7669 +tp7670 +a(g827 +V +p7671 +tp7672 +a(g706 +g1003 +tp7673 +a(g827 +g958 +tp7674 +a(g436 +Vunit +p7675 +tp7676 +a(g827 +g958 +tp7677 +a(g706 +V-> +p7678 +tp7679 +a(g827 +g958 +tp7680 +a(g436 +Vcid +p7681 +tp7682 +a(g827 +g958 +tp7683 +a(g436 +g1687 +tp7684 +a(g827 +g958 +tp7685 +a(g436 +Vmid +p7686 +tp7687 +a(g827 +V\u000a\u000a +p7688 +tp7689 +a(g794 +Vval +p7690 +tp7691 +a(g827 +g958 +tp7692 +a(g441 +VsgnAdd +p7693 +tp7694 +a(g827 +V +p7695 +tp7696 +a(g706 +g1003 +tp7697 +a(g827 +g958 +tp7698 +a(g436 +VConDec +p7699 +tp7700 +a(g827 +g958 +tp7701 +a(g706 +V-> +p7702 +tp7703 +a(g827 +g958 +tp7704 +a(g436 +Vcid +p7705 +tp7706 +a(g827 +V\u000a +p7707 +tp7708 +a(g794 +Vval +p7709 +tp7710 +a(g827 +g958 +tp7711 +a(g441 +VsgnLookup +p7712 +tp7713 +a(g706 +g1003 +tp7714 +a(g827 +g958 +tp7715 +a(g436 +Vcid +p7716 +tp7717 +a(g827 +g958 +tp7718 +a(g706 +V-> +p7719 +tp7720 +a(g827 +g958 +tp7721 +a(g436 +VConDec +p7722 +tp7723 +a(g827 +V\u000a +p7724 +tp7725 +a(g794 +Vval +p7726 +tp7727 +a(g827 +g958 +tp7728 +a(g441 +VsgnApp +p7729 +tp7730 +a(g827 +V +p7731 +tp7732 +a(g706 +g1003 +tp7733 +a(g827 +g958 +tp7734 +a(g706 +g2205 +tp7735 +a(g436 +Vcid +p7736 +tp7737 +a(g827 +g958 +tp7738 +a(g706 +V-> +p7739 +tp7740 +a(g827 +g958 +tp7741 +a(g436 +Vunit +p7742 +tp7743 +a(g706 +g2214 +tp7744 +a(g827 +g958 +tp7745 +a(g706 +V-> +p7746 +tp7747 +a(g827 +g958 +tp7748 +a(g436 +Vunit +p7749 +tp7750 +a(g827 +V\u000a\u000a +p7751 +tp7752 +a(g794 +Vval +p7753 +tp7754 +a(g827 +g958 +tp7755 +a(g441 +VsgnStructAdd +p7756 +tp7757 +a(g827 +V +p7758 +tp7759 +a(g706 +g1003 +tp7760 +a(g827 +g958 +tp7761 +a(g436 +VStrDec +p7762 +tp7763 +a(g827 +g958 +tp7764 +a(g706 +V-> +p7765 +tp7766 +a(g827 +g958 +tp7767 +a(g436 +Vmid +p7768 +tp7769 +a(g827 +V\u000a +p7770 +tp7771 +a(g794 +Vval +p7772 +tp7773 +a(g827 +g958 +tp7774 +a(g441 +VsgnStructLookup +p7775 +tp7776 +a(g827 +g958 +tp7777 +a(g706 +g1003 +tp7778 +a(g827 +g958 +tp7779 +a(g436 +Vmid +p7780 +tp7781 +a(g827 +g958 +tp7782 +a(g706 +V-> +p7783 +tp7784 +a(g827 +g958 +tp7785 +a(g436 +VStrDec +p7786 +tp7787 +a(g827 +V\u000a\u000a +p7788 +tp7789 +a(g794 +Vval +p7790 +tp7791 +a(g827 +g958 +tp7792 +a(g441 +VconstType +p7793 +tp7794 +a(g827 +V +p7795 +tp7796 +a(g706 +g1003 +tp7797 +a(g827 +g958 +tp7798 +a(g436 +Vcid +p7799 +tp7800 +a(g827 +g958 +tp7801 +a(g706 +V-> +p7802 +tp7803 +a(g827 +g958 +tp7804 +a(g436 +VExp +p7805 +tp7806 +a(g827 +V +p7807 +tp7808 +a(g8 +V(* +p7809 +tp7810 +a(g8 +g958 +tp7811 +a(g8 +g964 +tp7812 +a(g8 +g978 +tp7813 +a(g8 +g1273 +tp7814 +a(g8 +g966 +tp7815 +a(g8 +g958 +tp7816 +a(g8 +g1000 +tp7817 +a(g8 +g1016 +tp7818 +a(g8 +g958 +tp7819 +a(g8 +g1040 +tp7820 +a(g8 +g958 +tp7821 +a(g8 +g1000 +tp7822 +a(g8 +g968 +tp7823 +a(g8 +g958 +tp7824 +a(g8 +g1062 +tp7825 +a(g8 +g958 +tp7826 +a(g8 +g958 +tp7827 +a(g8 +g958 +tp7828 +a(g8 +g958 +tp7829 +a(g8 +g958 +tp7830 +a(g8 +g958 +tp7831 +a(g8 +g958 +tp7832 +a(g8 +g958 +tp7833 +a(g8 +g958 +tp7834 +a(g8 +g958 +tp7835 +a(g8 +g958 +tp7836 +a(g8 +g958 +tp7837 +a(g8 +g958 +tp7838 +a(g8 +V*) +p7839 +tp7840 +a(g827 +V\u000a +p7841 +tp7842 +a(g794 +Vval +p7843 +tp7844 +a(g827 +g958 +tp7845 +a(g441 +VconstDef +p7846 +tp7847 +a(g827 +V +p7848 +tp7849 +a(g706 +g1003 +tp7850 +a(g827 +g958 +tp7851 +a(g436 +Vcid +p7852 +tp7853 +a(g827 +g958 +tp7854 +a(g706 +V-> +p7855 +tp7856 +a(g827 +g958 +tp7857 +a(g436 +VExp +p7858 +tp7859 +a(g827 +V +p7860 +tp7861 +a(g8 +V(* +p7862 +tp7863 +a(g8 +g958 +tp7864 +a(g8 +g1062 +tp7865 +a(g8 +g966 +tp7866 +a(g8 +g1016 +tp7867 +a(g8 +g1021 +tp7868 +a(g8 +g962 +tp7869 +a(g8 +g1021 +tp7870 +a(g8 +g964 +tp7871 +a(g8 +g1021 +tp7872 +a(g8 +g1000 +tp7873 +a(g8 +g962 +tp7874 +a(g8 +g958 +tp7875 +a(g8 +g1000 +tp7876 +a(g8 +g1016 +tp7877 +a(g8 +g958 +tp7878 +a(g8 +g1062 +tp7879 +a(g8 +g958 +tp7880 +a(g8 +g958 +tp7881 +a(g8 +g958 +tp7882 +a(g8 +g958 +tp7883 +a(g8 +g958 +tp7884 +a(g8 +g958 +tp7885 +a(g8 +g958 +tp7886 +a(g8 +g958 +tp7887 +a(g8 +g958 +tp7888 +a(g8 +g958 +tp7889 +a(g8 +g958 +tp7890 +a(g8 +g958 +tp7891 +a(g8 +V*) +p7892 +tp7893 +a(g827 +V\u000a +p7894 +tp7895 +a(g794 +Vval +p7896 +tp7897 +a(g827 +g958 +tp7898 +a(g441 +VconstImp +p7899 +tp7900 +a(g827 +V +p7901 +tp7902 +a(g706 +g1003 +tp7903 +a(g827 +g958 +tp7904 +a(g436 +Vcid +p7905 +tp7906 +a(g827 +g958 +tp7907 +a(g706 +V-> +p7908 +tp7909 +a(g827 +g958 +tp7910 +a(g436 +Vint +p7911 +tp7912 +a(g827 +V\u000a +p7913 +tp7914 +a(g794 +Vval +p7915 +tp7916 +a(g827 +g958 +tp7917 +a(g441 +VconstStatus +p7918 +tp7919 +a(g827 +g958 +tp7920 +a(g706 +g1003 +tp7921 +a(g827 +g958 +tp7922 +a(g436 +Vcid +p7923 +tp7924 +a(g827 +g958 +tp7925 +a(g706 +V-> +p7926 +tp7927 +a(g827 +g958 +tp7928 +a(g436 +VStatus +p7929 +tp7930 +a(g827 +V\u000a +p7931 +tp7932 +a(g794 +Vval +p7933 +tp7934 +a(g827 +g958 +tp7935 +a(g441 +VconstUni +p7936 +tp7937 +a(g827 +V +p7938 +tp7939 +a(g706 +g1003 +tp7940 +a(g827 +g958 +tp7941 +a(g436 +Vcid +p7942 +tp7943 +a(g827 +g958 +tp7944 +a(g706 +V-> +p7945 +tp7946 +a(g827 +g958 +tp7947 +a(g436 +VUni +p7948 +tp7949 +a(g827 +V\u000a +p7950 +tp7951 +a(g794 +Vval +p7952 +tp7953 +a(g827 +g958 +tp7954 +a(g441 +VconstBlock +p7955 +tp7956 +a(g827 +V +p7957 +tp7958 +a(g706 +g1003 +tp7959 +a(g827 +g958 +tp7960 +a(g436 +Vcid +p7961 +tp7962 +a(g827 +g958 +tp7963 +a(g706 +V-> +p7964 +tp7965 +a(g827 +g958 +tp7966 +a(g436 +Vdctx +p7967 +tp7968 +a(g827 +g958 +tp7969 +a(g436 +g1687 +tp7970 +a(g827 +g958 +tp7971 +a(g436 +VDec +p7972 +tp7973 +a(g827 +g958 +tp7974 +a(g436 +Vlist +p7975 +tp7976 +a(g827 +V\u000a\u000a +p7977 +tp7978 +a(g8 +V(* +p7979 +tp7980 +a(g8 +g958 +tp7981 +a(g8 +g1706 +tp7982 +a(g8 +g966 +tp7983 +a(g8 +g1040 +tp7984 +a(g8 +g973 +tp7985 +a(g8 +g971 +tp7986 +a(g8 +g968 +tp7987 +a(g8 +g971 +tp7988 +a(g8 +g964 +tp7989 +a(g8 +g1021 +tp7990 +a(g8 +g1000 +tp7991 +a(g8 +g962 +tp7992 +a(g8 +g958 +tp7993 +a(g8 +g1029 +tp7994 +a(g8 +g1000 +tp7995 +a(g8 +g962 +tp7996 +a(g8 +g964 +tp7997 +a(g8 +g966 +tp7998 +a(g8 +g983 +tp7999 +a(g8 +g964 +tp8000 +a(g8 +g1033 +tp8001 +a(g8 +g958 +tp8002 +a(g8 +V*) +p8003 +tp8004 +a(g827 +V\u000a\u000a +p8005 +tp8006 +a(g794 +Vval +p8007 +tp8008 +a(g827 +g958 +tp8009 +a(g441 +VctxDec +p8010 +tp8011 +a(g827 +V +p8012 +tp8013 +a(g706 +g1003 +tp8014 +a(g827 +g958 +tp8015 +a(g436 +Vdctx +p8016 +tp8017 +a(g827 +g958 +tp8018 +a(g436 +g1687 +tp8019 +a(g827 +g958 +tp8020 +a(g436 +Vint +p8021 +tp8022 +a(g827 +g958 +tp8023 +a(g706 +V-> +p8024 +tp8025 +a(g827 +g958 +tp8026 +a(g436 +VDec +p8027 +tp8028 +a(g827 +g1352 +tp8029 +a(g8 +V(* +p8030 +tp8031 +a(g8 +g958 +tp8032 +a(g8 +g1024 +tp8033 +a(g8 +g966 +tp8034 +a(g8 +g964 +tp8035 +a(g8 +g958 +tp8036 +a(g8 +g1346 +tp8037 +a(g8 +g971 +tp8038 +a(g8 +g968 +tp8039 +a(g8 +g1021 +tp8040 +a(g8 +g971 +tp8041 +a(g8 +g1074 +tp8042 +a(g8 +g973 +tp8043 +a(g8 +g966 +tp8044 +a(g8 +g958 +tp8045 +a(g8 +g1062 +tp8046 +a(g8 +g966 +tp8047 +a(g8 +g1040 +tp8048 +a(g8 +g973 +tp8049 +a(g8 +g971 +tp8050 +a(g8 +g968 +tp8051 +a(g8 +g971 +tp8052 +a(g8 +g964 +tp8053 +a(g8 +g1021 +tp8054 +a(g8 +g1000 +tp8055 +a(g8 +g962 +tp8056 +a(g8 +g958 +tp8057 +a(g8 +g958 +tp8058 +a(g8 +g958 +tp8059 +a(g8 +V*) +p8060 +tp8061 +a(g827 +V\u000a +p8062 +tp8063 +a(g794 +Vval +p8064 +tp8065 +a(g827 +g958 +tp8066 +a(g441 +VblockDec +p8067 +tp8068 +a(g827 +V +p8069 +tp8070 +a(g706 +g1003 +tp8071 +a(g827 +g958 +tp8072 +a(g436 +Vdctx +p8073 +tp8074 +a(g827 +g958 +tp8075 +a(g436 +g1687 +tp8076 +a(g827 +g958 +tp8077 +a(g436 +VBlock +p8078 +tp8079 +a(g827 +g958 +tp8080 +a(g436 +g1687 +tp8081 +a(g827 +g958 +tp8082 +a(g436 +Vint +p8083 +tp8084 +a(g827 +g958 +tp8085 +a(g706 +V-> +p8086 +tp8087 +a(g827 +g958 +tp8088 +a(g436 +VDec +p8089 +tp8090 +a(g827 +V \u000a\u000a +p8091 +tp8092 +a(g8 +V(* +p8093 +tp8094 +a(g8 +g958 +tp8095 +a(g8 +g2119 +tp8096 +a(g8 +g983 +tp8097 +a(g8 +g1273 +tp8098 +a(g8 +g973 +tp8099 +a(g8 +g1021 +tp8100 +a(g8 +g1040 +tp8101 +a(g8 +g1021 +tp8102 +a(g8 +g964 +tp8103 +a(g8 +g958 +tp8104 +a(g8 +g1033 +tp8105 +a(g8 +g995 +tp8106 +a(g8 +g1074 +tp8107 +a(g8 +g1033 +tp8108 +a(g8 +g964 +tp8109 +a(g8 +g1021 +tp8110 +a(g8 +g964 +tp8111 +a(g8 +g995 +tp8112 +a(g8 +g964 +tp8113 +a(g8 +g1021 +tp8114 +a(g8 +g1000 +tp8115 +a(g8 +g962 +tp8116 +a(g8 +g1033 +tp8117 +a(g8 +g958 +tp8118 +a(g8 +V*) +p8119 +tp8120 +a(g827 +V\u000a\u000a +p8121 +tp8122 +a(g794 +Vval +p8123 +tp8124 +a(g827 +g958 +tp8125 +a(g441 +Vid +p8126 +tp8127 +a(g827 +V +p8128 +tp8129 +a(g706 +g1003 +tp8130 +a(g827 +g958 +tp8131 +a(g436 +VSub +p8132 +tp8133 +a(g827 +V +p8134 +tp8135 +a(g8 +V(* +p8136 +tp8137 +a(g8 +g958 +tp8138 +a(g8 +g1021 +tp8139 +a(g8 +g1062 +tp8140 +a(g8 +g958 +tp8141 +a(g8 +g958 +tp8142 +a(g8 +g958 +tp8143 +a(g8 +g958 +tp8144 +a(g8 +g958 +tp8145 +a(g8 +g958 +tp8146 +a(g8 +g958 +tp8147 +a(g8 +g958 +tp8148 +a(g8 +g958 +tp8149 +a(g8 +g958 +tp8150 +a(g8 +g958 +tp8151 +a(g8 +g958 +tp8152 +a(g8 +g958 +tp8153 +a(g8 +g958 +tp8154 +a(g8 +g958 +tp8155 +a(g8 +g958 +tp8156 +a(g8 +g958 +tp8157 +a(g8 +g958 +tp8158 +a(g8 +g958 +tp8159 +a(g8 +g958 +tp8160 +a(g8 +g958 +tp8161 +a(g8 +g958 +tp8162 +a(g8 +g958 +tp8163 +a(g8 +g958 +tp8164 +a(g8 +g958 +tp8165 +a(g8 +V*) +p8166 +tp8167 +a(g827 +V\u000a +p8168 +tp8169 +a(g794 +Vval +p8170 +tp8171 +a(g827 +g958 +tp8172 +a(g441 +Vshift +p8173 +tp8174 +a(g827 +V +p8175 +tp8176 +a(g706 +g1003 +tp8177 +a(g827 +g958 +tp8178 +a(g436 +VSub +p8179 +tp8180 +a(g827 +V +p8181 +tp8182 +a(g8 +V(* +p8183 +tp8184 +a(g8 +g958 +tp8185 +a(g8 +g3519 +tp8186 +a(g8 +g958 +tp8187 +a(g8 +g958 +tp8188 +a(g8 +g958 +tp8189 +a(g8 +g958 +tp8190 +a(g8 +g958 +tp8191 +a(g8 +g958 +tp8192 +a(g8 +g958 +tp8193 +a(g8 +g958 +tp8194 +a(g8 +g958 +tp8195 +a(g8 +g958 +tp8196 +a(g8 +g958 +tp8197 +a(g8 +g958 +tp8198 +a(g8 +g958 +tp8199 +a(g8 +g958 +tp8200 +a(g8 +g958 +tp8201 +a(g8 +g958 +tp8202 +a(g8 +g958 +tp8203 +a(g8 +g958 +tp8204 +a(g8 +g958 +tp8205 +a(g8 +g958 +tp8206 +a(g8 +g958 +tp8207 +a(g8 +g958 +tp8208 +a(g8 +g958 +tp8209 +a(g8 +g958 +tp8210 +a(g8 +g958 +tp8211 +a(g8 +g958 +tp8212 +a(g8 +V*) +p8213 +tp8214 +a(g827 +V\u000a +p8215 +tp8216 +a(g794 +Vval +p8217 +tp8218 +a(g827 +g958 +tp8219 +a(g441 +VinvShift +p8220 +tp8221 +a(g827 +V +p8222 +tp8223 +a(g706 +g1003 +tp8224 +a(g827 +g958 +tp8225 +a(g436 +VSub +p8226 +tp8227 +a(g827 +V +p8228 +tp8229 +a(g8 +V(* +p8230 +tp8231 +a(g8 +g958 +tp8232 +a(g8 +g3519 +tp8233 +a(g8 +g2482 +tp8234 +a(g8 +g4269 +tp8235 +a(g8 +g958 +tp8236 +a(g8 +g958 +tp8237 +a(g8 +g958 +tp8238 +a(g8 +g958 +tp8239 +a(g8 +g958 +tp8240 +a(g8 +g958 +tp8241 +a(g8 +g958 +tp8242 +a(g8 +g958 +tp8243 +a(g8 +g958 +tp8244 +a(g8 +g958 +tp8245 +a(g8 +g958 +tp8246 +a(g8 +g958 +tp8247 +a(g8 +g958 +tp8248 +a(g8 +g958 +tp8249 +a(g8 +g958 +tp8250 +a(g8 +g958 +tp8251 +a(g8 +g958 +tp8252 +a(g8 +g958 +tp8253 +a(g8 +g958 +tp8254 +a(g8 +g958 +tp8255 +a(g8 +g958 +tp8256 +a(g8 +g958 +tp8257 +a(g8 +g958 +tp8258 +a(g8 +g958 +tp8259 +a(g8 +V*) +p8260 +tp8261 +a(g827 +V\u000a\u000a +p8262 +tp8263 +a(g794 +Vval +p8264 +tp8265 +a(g827 +g958 +tp8266 +a(g441 +VbvarSub +p8267 +tp8268 +a(g827 +V +p8269 +tp8270 +a(g706 +g1003 +tp8271 +a(g827 +g958 +tp8272 +a(g436 +Vint +p8273 +tp8274 +a(g827 +g958 +tp8275 +a(g436 +g1687 +tp8276 +a(g827 +g958 +tp8277 +a(g436 +VSub +p8278 +tp8279 +a(g827 +g958 +tp8280 +a(g706 +V-> +p8281 +tp8282 +a(g827 +g958 +tp8283 +a(g436 +VFront +p8284 +tp8285 +a(g827 +V +p8286 +tp8287 +a(g8 +V(* +p8288 +tp8289 +a(g8 +g958 +tp8290 +a(g8 +g1011 +tp8291 +a(g8 +g2527 +tp8292 +a(g8 +g1033 +tp8293 +a(g8 +g2530 +tp8294 +a(g8 +g958 +tp8295 +a(g8 +g958 +tp8296 +a(g8 +g958 +tp8297 +a(g8 +g958 +tp8298 +a(g8 +g958 +tp8299 +a(g8 +g958 +tp8300 +a(g8 +g958 +tp8301 +a(g8 +g958 +tp8302 +a(g8 +g958 +tp8303 +a(g8 +g958 +tp8304 +a(g8 +g958 +tp8305 +a(g8 +g958 +tp8306 +a(g8 +g958 +tp8307 +a(g8 +g958 +tp8308 +a(g8 +g958 +tp8309 +a(g8 +g958 +tp8310 +a(g8 +g958 +tp8311 +a(g8 +g958 +tp8312 +a(g8 +g958 +tp8313 +a(g8 +g958 +tp8314 +a(g8 +g958 +tp8315 +a(g8 +g958 +tp8316 +a(g8 +g958 +tp8317 +a(g8 +V*) +p8318 +tp8319 +a(g827 +V\u000a +p8320 +tp8321 +a(g794 +Vval +p8322 +tp8323 +a(g827 +g958 +tp8324 +a(g441 +VfrontSub +p8325 +tp8326 +a(g827 +V +p8327 +tp8328 +a(g706 +g1003 +tp8329 +a(g827 +g958 +tp8330 +a(g436 +VFront +p8331 +tp8332 +a(g827 +g958 +tp8333 +a(g436 +g1687 +tp8334 +a(g827 +g958 +tp8335 +a(g436 +VSub +p8336 +tp8337 +a(g827 +g958 +tp8338 +a(g706 +V-> +p8339 +tp8340 +a(g827 +g958 +tp8341 +a(g436 +VFront +p8342 +tp8343 +a(g827 +g1352 +tp8344 +a(g8 +V(* +p8345 +tp8346 +a(g8 +g958 +tp8347 +a(g8 +g2283 +tp8348 +a(g8 +g2527 +tp8349 +a(g8 +g1033 +tp8350 +a(g8 +g2530 +tp8351 +a(g8 +g958 +tp8352 +a(g8 +g958 +tp8353 +a(g8 +g958 +tp8354 +a(g8 +g958 +tp8355 +a(g8 +g958 +tp8356 +a(g8 +g958 +tp8357 +a(g8 +g958 +tp8358 +a(g8 +g958 +tp8359 +a(g8 +g958 +tp8360 +a(g8 +g958 +tp8361 +a(g8 +g958 +tp8362 +a(g8 +g958 +tp8363 +a(g8 +g958 +tp8364 +a(g8 +g958 +tp8365 +a(g8 +g958 +tp8366 +a(g8 +g958 +tp8367 +a(g8 +g958 +tp8368 +a(g8 +g958 +tp8369 +a(g8 +g958 +tp8370 +a(g8 +g958 +tp8371 +a(g8 +g958 +tp8372 +a(g8 +g958 +tp8373 +a(g8 +g958 +tp8374 +a(g8 +V*) +p8375 +tp8376 +a(g827 +V\u000a +p8377 +tp8378 +a(g794 +Vval +p8379 +tp8380 +a(g827 +g958 +tp8381 +a(g441 +VdecSub +p8382 +tp8383 +a(g827 +V +p8384 +tp8385 +a(g706 +g1003 +tp8386 +a(g827 +g958 +tp8387 +a(g436 +VDec +p8388 +tp8389 +a(g827 +g958 +tp8390 +a(g436 +g1687 +tp8391 +a(g827 +g958 +tp8392 +a(g436 +VSub +p8393 +tp8394 +a(g827 +g958 +tp8395 +a(g706 +V-> +p8396 +tp8397 +a(g827 +g958 +tp8398 +a(g436 +VDec +p8399 +tp8400 +a(g827 +g1352 +tp8401 +a(g8 +V(* +p8402 +tp8403 +a(g8 +g958 +tp8404 +a(g8 +g983 +tp8405 +a(g8 +g1003 +tp8406 +a(g8 +g1081 +tp8407 +a(g8 +g2527 +tp8408 +a(g8 +g1033 +tp8409 +a(g8 +g2530 +tp8410 +a(g8 +g958 +tp8411 +a(g8 +g958 +tp8412 +a(g8 +g958 +tp8413 +a(g8 +g958 +tp8414 +a(g8 +g958 +tp8415 +a(g8 +g958 +tp8416 +a(g8 +g958 +tp8417 +a(g8 +g958 +tp8418 +a(g8 +g958 +tp8419 +a(g8 +g958 +tp8420 +a(g8 +g958 +tp8421 +a(g8 +g958 +tp8422 +a(g8 +g958 +tp8423 +a(g8 +g958 +tp8424 +a(g8 +g958 +tp8425 +a(g8 +g958 +tp8426 +a(g8 +g958 +tp8427 +a(g8 +g958 +tp8428 +a(g8 +g958 +tp8429 +a(g8 +g958 +tp8430 +a(g8 +g958 +tp8431 +a(g8 +V*) +p8432 +tp8433 +a(g827 +V\u000a +p8434 +tp8435 +a(g794 +Vval +p8436 +tp8437 +a(g827 +g958 +tp8438 +a(g441 +VblockSub +p8439 +tp8440 +a(g827 +V +p8441 +tp8442 +a(g706 +g1003 +tp8443 +a(g827 +g958 +tp8444 +a(g436 +VBlock +p8445 +tp8446 +a(g827 +g958 +tp8447 +a(g436 +g1687 +tp8448 +a(g827 +g958 +tp8449 +a(g436 +VSub +p8450 +tp8451 +a(g827 +g958 +tp8452 +a(g706 +V-> +p8453 +tp8454 +a(g827 +g958 +tp8455 +a(g436 +VBlock +p8456 +tp8457 +a(g827 +V +p8458 +tp8459 +a(g8 +V(* +p8460 +tp8461 +a(g8 +g958 +tp8462 +a(g8 +g4099 +tp8463 +a(g8 +g2527 +tp8464 +a(g8 +g1033 +tp8465 +a(g8 +g2530 +tp8466 +a(g8 +g958 +tp8467 +a(g8 +g958 +tp8468 +a(g8 +g958 +tp8469 +a(g8 +g958 +tp8470 +a(g8 +g958 +tp8471 +a(g8 +g958 +tp8472 +a(g8 +g958 +tp8473 +a(g8 +g958 +tp8474 +a(g8 +g958 +tp8475 +a(g8 +g958 +tp8476 +a(g8 +g958 +tp8477 +a(g8 +g958 +tp8478 +a(g8 +g958 +tp8479 +a(g8 +g958 +tp8480 +a(g8 +g958 +tp8481 +a(g8 +g958 +tp8482 +a(g8 +g958 +tp8483 +a(g8 +g958 +tp8484 +a(g8 +g958 +tp8485 +a(g8 +g958 +tp8486 +a(g8 +g958 +tp8487 +a(g8 +g958 +tp8488 +a(g8 +g958 +tp8489 +a(g8 +V*) +p8490 +tp8491 +a(g827 +V\u000a\u000a +p8492 +tp8493 +a(g794 +Vval +p8494 +tp8495 +a(g827 +g958 +tp8496 +a(g441 +Vcomp +p8497 +tp8498 +a(g827 +V +p8499 +tp8500 +a(g706 +g1003 +tp8501 +a(g827 +g958 +tp8502 +a(g436 +VSub +p8503 +tp8504 +a(g827 +g958 +tp8505 +a(g436 +g1687 +tp8506 +a(g827 +g958 +tp8507 +a(g436 +VSub +p8508 +tp8509 +a(g827 +g958 +tp8510 +a(g706 +V-> +p8511 +tp8512 +a(g827 +g958 +tp8513 +a(g436 +VSub +p8514 +tp8515 +a(g827 +g1352 +tp8516 +a(g8 +V(* +p8517 +tp8518 +a(g8 +g958 +tp8519 +a(g8 +g1033 +tp8520 +a(g8 +g958 +tp8521 +a(g8 +g1000 +tp8522 +a(g8 +g958 +tp8523 +a(g8 +g1033 +tp8524 +a(g8 +V' +p8525 +tp8526 +a(g8 +g958 +tp8527 +a(g8 +g958 +tp8528 +a(g8 +g958 +tp8529 +a(g8 +g958 +tp8530 +a(g8 +g958 +tp8531 +a(g8 +g958 +tp8532 +a(g8 +g958 +tp8533 +a(g8 +g958 +tp8534 +a(g8 +g958 +tp8535 +a(g8 +g958 +tp8536 +a(g8 +g958 +tp8537 +a(g8 +g958 +tp8538 +a(g8 +g958 +tp8539 +a(g8 +g958 +tp8540 +a(g8 +g958 +tp8541 +a(g8 +g958 +tp8542 +a(g8 +g958 +tp8543 +a(g8 +g958 +tp8544 +a(g8 +g958 +tp8545 +a(g8 +g958 +tp8546 +a(g8 +g958 +tp8547 +a(g8 +V*) +p8548 +tp8549 +a(g827 +V\u000a +p8550 +tp8551 +a(g794 +Vval +p8552 +tp8553 +a(g827 +g958 +tp8554 +a(g441 +Vdot1 +p8555 +tp8556 +a(g827 +V +p8557 +tp8558 +a(g706 +g1003 +tp8559 +a(g827 +g958 +tp8560 +a(g436 +VSub +p8561 +tp8562 +a(g827 +g958 +tp8563 +a(g706 +V-> +p8564 +tp8565 +a(g827 +g958 +tp8566 +a(g436 +VSub +p8567 +tp8568 +a(g827 +V +p8569 +tp8570 +a(g8 +V(* +p8571 +tp8572 +a(g8 +g958 +tp8573 +a(g8 +g4269 +tp8574 +a(g8 +g958 +tp8575 +a(g8 +g1646 +tp8576 +a(g8 +g958 +tp8577 +a(g8 +g2205 +tp8578 +a(g8 +g1033 +tp8579 +a(g8 +g958 +tp8580 +a(g8 +g1000 +tp8581 +a(g8 +g958 +tp8582 +a(g8 +g3519 +tp8583 +a(g8 +g2214 +tp8584 +a(g8 +g958 +tp8585 +a(g8 +g958 +tp8586 +a(g8 +g958 +tp8587 +a(g8 +g958 +tp8588 +a(g8 +g958 +tp8589 +a(g8 +g958 +tp8590 +a(g8 +g958 +tp8591 +a(g8 +g958 +tp8592 +a(g8 +g958 +tp8593 +a(g8 +g958 +tp8594 +a(g8 +g958 +tp8595 +a(g8 +g958 +tp8596 +a(g8 +g958 +tp8597 +a(g8 +g958 +tp8598 +a(g8 +g958 +tp8599 +a(g8 +g958 +tp8600 +a(g8 +V*) +p8601 +tp8602 +a(g827 +V\u000a +p8603 +tp8604 +a(g794 +Vval +p8605 +tp8606 +a(g827 +g958 +tp8607 +a(g441 +VinvDot1 +p8608 +tp8609 +a(g827 +V +p8610 +tp8611 +a(g706 +g1003 +tp8612 +a(g827 +g958 +tp8613 +a(g436 +VSub +p8614 +tp8615 +a(g827 +g958 +tp8616 +a(g706 +V-> +p8617 +tp8618 +a(g827 +g958 +tp8619 +a(g436 +VSub +p8620 +tp8621 +a(g827 +V +p8622 +tp8623 +a(g8 +V(* +p8624 +tp8625 +a(g8 +g958 +tp8626 +a(g8 +g2205 +tp8627 +a(g8 +g3519 +tp8628 +a(g8 +g958 +tp8629 +a(g8 +g1000 +tp8630 +a(g8 +g958 +tp8631 +a(g8 +g1033 +tp8632 +a(g8 +g2214 +tp8633 +a(g8 +g958 +tp8634 +a(g8 +g1000 +tp8635 +a(g8 +g958 +tp8636 +a(g8 +g3519 +tp8637 +a(g8 +g2482 +tp8638 +a(g8 +g4269 +tp8639 +a(g8 +g2214 +tp8640 +a(g8 +g958 +tp8641 +a(g8 +g958 +tp8642 +a(g8 +g958 +tp8643 +a(g8 +g958 +tp8644 +a(g8 +g958 +tp8645 +a(g8 +g958 +tp8646 +a(g8 +g958 +tp8647 +a(g8 +g958 +tp8648 +a(g8 +g958 +tp8649 +a(g8 +g958 +tp8650 +a(g8 +g958 +tp8651 +a(g8 +g958 +tp8652 +a(g8 +g958 +tp8653 +a(g8 +V*) +p8654 +tp8655 +a(g827 +V\u000a\u000a +p8656 +tp8657 +a(g8 +V(* +p8658 +tp8659 +a(g8 +g958 +tp8660 +a(g8 +g2119 +tp8661 +a(g8 +g1081 +tp8662 +a(g8 +g971 +tp8663 +a(g8 +g968 +tp8664 +a(g8 +g958 +tp8665 +a(g8 +g968 +tp8666 +a(g8 +g966 +tp8667 +a(g8 +g973 +tp8668 +a(g8 +g971 +tp8669 +a(g8 +g964 +tp8670 +a(g8 +g966 +tp8671 +a(g8 +g1062 +tp8672 +a(g8 +g958 +tp8673 +a(g8 +g1016 +tp8674 +a(g8 +g995 +tp8675 +a(g8 +g962 +tp8676 +a(g8 +g1040 +tp8677 +a(g8 +g964 +tp8678 +a(g8 +g1021 +tp8679 +a(g8 +g1000 +tp8680 +a(g8 +g962 +tp8681 +a(g8 +g1033 +tp8682 +a(g8 +g958 +tp8683 +a(g8 +V*) +p8684 +tp8685 +a(g827 +V\u000a\u000a +p8686 +tp8687 +a(g794 +Vval +p8688 +tp8689 +a(g827 +g958 +tp8690 +a(g441 +VnewEVar +p8691 +tp8692 +a(g827 +V +p8693 +tp8694 +a(g706 +g1003 +tp8695 +a(g827 +g958 +tp8696 +a(g436 +Vdctx +p8697 +tp8698 +a(g827 +g958 +tp8699 +a(g436 +g1687 +tp8700 +a(g827 +g958 +tp8701 +a(g436 +VExp +p8702 +tp8703 +a(g827 +g958 +tp8704 +a(g706 +V-> +p8705 +tp8706 +a(g827 +g958 +tp8707 +a(g436 +VExp +p8708 +tp8709 +a(g827 +g1352 +tp8710 +a(g8 +V(* +p8711 +tp8712 +a(g8 +g958 +tp8713 +a(g8 +g1040 +tp8714 +a(g8 +g968 +tp8715 +a(g8 +g966 +tp8716 +a(g8 +g971 +tp8717 +a(g8 +g964 +tp8718 +a(g8 +g966 +tp8719 +a(g8 +g1033 +tp8720 +a(g8 +g958 +tp8721 +a(g8 +g2470 +tp8722 +a(g8 +g1003 +tp8723 +a(g8 +g1639 +tp8724 +a(g8 +g1672 +tp8725 +a(g8 +g2482 +tp8726 +a(g8 +g1081 +tp8727 +a(g8 +g1026 +tp8728 +a(g8 +g958 +tp8729 +a(g8 +g2527 +tp8730 +a(g8 +g2530 +tp8731 +a(g8 +g958 +tp8732 +a(g8 +g958 +tp8733 +a(g8 +g958 +tp8734 +a(g8 +g958 +tp8735 +a(g8 +g958 +tp8736 +a(g8 +g958 +tp8737 +a(g8 +g958 +tp8738 +a(g8 +g958 +tp8739 +a(g8 +g958 +tp8740 +a(g8 +V*) +p8741 +tp8742 +a(g827 +V \u000a +p8743 +tp8744 +a(g794 +Vval +p8745 +tp8746 +a(g827 +g958 +tp8747 +a(g441 +VnewAVar +p8748 +tp8749 +a(g827 +V +p8750 +tp8751 +a(g706 +g1003 +tp8752 +a(g827 +g958 +tp8753 +a(g436 +Vunit +p8754 +tp8755 +a(g827 +g958 +tp8756 +a(g706 +V-> +p8757 +tp8758 +a(g827 +V +p8759 +tp8760 +a(g436 +VExp +p8761 +tp8762 +a(g827 +V +p8763 +tp8764 +a(g8 +V(* +p8765 +tp8766 +a(g8 +g958 +tp8767 +a(g8 +g1040 +tp8768 +a(g8 +g968 +tp8769 +a(g8 +g966 +tp8770 +a(g8 +g971 +tp8771 +a(g8 +g964 +tp8772 +a(g8 +g966 +tp8773 +a(g8 +g1033 +tp8774 +a(g8 +g958 +tp8775 +a(g8 +g993 +tp8776 +a(g8 +g958 +tp8777 +a(g8 +g2205 +tp8778 +a(g8 +g1074 +tp8779 +a(g8 +g971 +tp8780 +a(g8 +g968 +tp8781 +a(g8 +g966 +tp8782 +a(g8 +g2214 +tp8783 +a(g8 +g958 +tp8784 +a(g8 +g958 +tp8785 +a(g8 +g958 +tp8786 +a(g8 +g958 +tp8787 +a(g8 +g958 +tp8788 +a(g8 +g958 +tp8789 +a(g8 +g958 +tp8790 +a(g8 +g958 +tp8791 +a(g8 +g958 +tp8792 +a(g8 +g958 +tp8793 +a(g8 +g958 +tp8794 +a(g8 +V*) +p8795 +tp8796 +a(g827 +V \u000a +p8797 +tp8798 +a(g794 +Vval +p8799 +tp8800 +a(g827 +g958 +tp8801 +a(g441 +VnewTypeVar +p8802 +tp8803 +a(g827 +g958 +tp8804 +a(g706 +g1003 +tp8805 +a(g827 +g958 +tp8806 +a(g436 +Vdctx +p8807 +tp8808 +a(g827 +g958 +tp8809 +a(g706 +V-> +p8810 +tp8811 +a(g827 +g958 +tp8812 +a(g436 +VExp +p8813 +tp8814 +a(g827 +V +p8815 +tp8816 +a(g8 +V(* +p8817 +tp8818 +a(g8 +g958 +tp8819 +a(g8 +g1040 +tp8820 +a(g8 +g968 +tp8821 +a(g8 +g966 +tp8822 +a(g8 +g971 +tp8823 +a(g8 +g964 +tp8824 +a(g8 +g966 +tp8825 +a(g8 +g1033 +tp8826 +a(g8 +g958 +tp8827 +a(g8 +g2470 +tp8828 +a(g8 +g1003 +tp8829 +a(g8 +g1639 +tp8830 +a(g8 +g1672 +tp8831 +a(g8 +g2482 +tp8832 +a(g8 +g964 +tp8833 +a(g8 +g978 +tp8834 +a(g8 +g1273 +tp8835 +a(g8 +g966 +tp8836 +a(g8 +g1026 +tp8837 +a(g8 +g958 +tp8838 +a(g8 +g2527 +tp8839 +a(g8 +g2530 +tp8840 +a(g8 +g958 +tp8841 +a(g8 +g958 +tp8842 +a(g8 +g958 +tp8843 +a(g8 +g958 +tp8844 +a(g8 +g958 +tp8845 +a(g8 +g958 +tp8846 +a(g8 +V*) +p8847 +tp8848 +a(g827 +V\u000a +p8849 +tp8850 +a(g794 +Vval +p8851 +tp8852 +a(g827 +g958 +tp8853 +a(g441 +VnewLVar +p8854 +tp8855 +a(g827 +V +p8856 +tp8857 +a(g706 +g1003 +tp8858 +a(g827 +g958 +tp8859 +a(g436 +VSub +p8860 +tp8861 +a(g827 +g958 +tp8862 +a(g436 +g1687 +tp8863 +a(g827 +g958 +tp8864 +a(g706 +g2205 +tp8865 +a(g436 +Vcid +p8866 +tp8867 +a(g827 +g958 +tp8868 +a(g436 +g1687 +tp8869 +a(g827 +g958 +tp8870 +a(g436 +VSub +p8871 +tp8872 +a(g706 +g2214 +tp8873 +a(g827 +g958 +tp8874 +a(g706 +V-> +p8875 +tp8876 +a(g827 +g958 +tp8877 +a(g436 +VBlock +p8878 +tp8879 +a(g827 +V \u000a +p8880 +tp8881 +a(g8 +V(* +p8882 +tp8883 +a(g8 +g958 +tp8884 +a(g8 +g1040 +tp8885 +a(g8 +g968 +tp8886 +a(g8 +g966 +tp8887 +a(g8 +g971 +tp8888 +a(g8 +g964 +tp8889 +a(g8 +g966 +tp8890 +a(g8 +g1033 +tp8891 +a(g8 +g958 +tp8892 +a(g8 +g4099 +tp8893 +a(g8 +g1003 +tp8894 +a(g8 +g2205 +tp8895 +a(g8 +g973 +tp8896 +a(g8 +g2527 +tp8897 +a(g8 +g3519 +tp8898 +a(g8 +g1011 +tp8899 +a(g8 +g2530 +tp8900 +a(g8 +g1026 +tp8901 +a(g8 +g964 +tp8902 +a(g8 +g2214 +tp8903 +a(g8 +g958 +tp8904 +a(g8 +g958 +tp8905 +a(g8 +g958 +tp8906 +a(g8 +g958 +tp8907 +a(g8 +g958 +tp8908 +a(g8 +g958 +tp8909 +a(g8 +g958 +tp8910 +a(g8 +g958 +tp8911 +a(g8 +V*) +p8912 +tp8913 +a(g827 +V \u000a\u000a +p8914 +tp8915 +a(g8 +V(* +p8916 +tp8917 +a(g8 +g958 +tp8918 +a(g8 +g1706 +tp8919 +a(g8 +g966 +tp8920 +a(g8 +g1016 +tp8921 +a(g8 +g1021 +tp8922 +a(g8 +g962 +tp8923 +a(g8 +g1021 +tp8924 +a(g8 +g964 +tp8925 +a(g8 +g1021 +tp8926 +a(g8 +g1000 +tp8927 +a(g8 +g962 +tp8928 +a(g8 +g958 +tp8929 +a(g8 +g968 +tp8930 +a(g8 +g966 +tp8931 +a(g8 +g973 +tp8932 +a(g8 +g971 +tp8933 +a(g8 +g964 +tp8934 +a(g8 +g966 +tp8935 +a(g8 +g1062 +tp8936 +a(g8 +g958 +tp8937 +a(g8 +g1016 +tp8938 +a(g8 +g995 +tp8939 +a(g8 +g962 +tp8940 +a(g8 +g1040 +tp8941 +a(g8 +g964 +tp8942 +a(g8 +g1021 +tp8943 +a(g8 +g1000 +tp8944 +a(g8 +g962 +tp8945 +a(g8 +g1033 +tp8946 +a(g8 +g958 +tp8947 +a(g8 +V*) +p8948 +tp8949 +a(g827 +V\u000a +p8950 +tp8951 +a(g794 +Vval +p8952 +tp8953 +a(g827 +g958 +tp8954 +a(g441 +VheadOpt +p8955 +tp8956 +a(g827 +g958 +tp8957 +a(g706 +g1003 +tp8958 +a(g827 +g958 +tp8959 +a(g436 +VExp +p8960 +tp8961 +a(g827 +g958 +tp8962 +a(g706 +V-> +p8963 +tp8964 +a(g827 +g958 +tp8965 +a(g436 +VHead +p8966 +tp8967 +a(g827 +g958 +tp8968 +a(g436 +Voption +p8969 +tp8970 +a(g827 +V\u000a +p8971 +tp8972 +a(g794 +Vval +p8973 +tp8974 +a(g827 +g958 +tp8975 +a(g441 +Vancestor +p8976 +tp8977 +a(g827 +g958 +tp8978 +a(g706 +g1003 +tp8979 +a(g827 +g958 +tp8980 +a(g436 +VExp +p8981 +tp8982 +a(g827 +g958 +tp8983 +a(g706 +V-> +p8984 +tp8985 +a(g827 +g958 +tp8986 +a(g436 +VAncestor +p8987 +tp8988 +a(g827 +V\u000a +p8989 +tp8990 +a(g794 +Vval +p8991 +tp8992 +a(g827 +g958 +tp8993 +a(g441 +VdefAncestor +p8994 +tp8995 +a(g827 +g958 +tp8996 +a(g706 +g1003 +tp8997 +a(g827 +g958 +tp8998 +a(g436 +Vcid +p8999 +tp9000 +a(g827 +g958 +tp9001 +a(g706 +V-> +p9002 +tp9003 +a(g827 +g958 +tp9004 +a(g436 +VAncestor +p9005 +tp9006 +a(g827 +V\u000a\u000a +p9007 +tp9008 +a(g8 +V(* +p9009 +tp9010 +a(g8 +g958 +tp9011 +a(g8 +g2080 +tp9012 +a(g8 +g978 +tp9013 +a(g8 +g1273 +tp9014 +a(g8 +g966 +tp9015 +a(g8 +g958 +tp9016 +a(g8 +g968 +tp9017 +a(g8 +g966 +tp9018 +a(g8 +g973 +tp9019 +a(g8 +g971 +tp9020 +a(g8 +g964 +tp9021 +a(g8 +g966 +tp9022 +a(g8 +g1062 +tp9023 +a(g8 +g958 +tp9024 +a(g8 +g1016 +tp9025 +a(g8 +g995 +tp9026 +a(g8 +g962 +tp9027 +a(g8 +g1040 +tp9028 +a(g8 +g964 +tp9029 +a(g8 +g1021 +tp9030 +a(g8 +g1000 +tp9031 +a(g8 +g962 +tp9032 +a(g8 +g1033 +tp9033 +a(g8 +g958 +tp9034 +a(g8 +V*) +p9035 +tp9036 +a(g827 +V\u000a\u000a +p9037 +tp9038 +a(g8 +V(* +p9039 +tp9040 +a(g8 +g958 +tp9041 +a(g8 +g1855 +tp9042 +a(g8 +g1000 +tp9043 +a(g8 +g964 +tp9044 +a(g8 +g958 +tp9045 +a(g8 +g966 +tp9046 +a(g8 +g983 +tp9047 +a(g8 +g1273 +tp9048 +a(g8 +g971 +tp9049 +a(g8 +g962 +tp9050 +a(g8 +g1062 +tp9051 +a(g8 +g1021 +tp9052 +a(g8 +g962 +tp9053 +a(g8 +g1024 +tp9054 +a(g8 +g958 +tp9055 +a(g8 +g964 +tp9056 +a(g8 +g978 +tp9057 +a(g8 +g1273 +tp9058 +a(g8 +g966 +tp9059 +a(g8 +g958 +tp9060 +a(g8 +g1062 +tp9061 +a(g8 +g966 +tp9062 +a(g8 +g1016 +tp9063 +a(g8 +g1021 +tp9064 +a(g8 +g962 +tp9065 +a(g8 +g1021 +tp9066 +a(g8 +g964 +tp9067 +a(g8 +g1021 +tp9068 +a(g8 +g1000 +tp9069 +a(g8 +g962 +tp9070 +a(g8 +g1033 +tp9071 +a(g8 +g958 +tp9072 +a(g8 +V*) +p9073 +tp9074 +a(g827 +V\u000a +p9075 +tp9076 +a(g794 +Vval +p9077 +tp9078 +a(g827 +g958 +tp9079 +a(g441 +VtargetHeadOpt +p9080 +tp9081 +a(g827 +g958 +tp9082 +a(g706 +g1003 +tp9083 +a(g827 +g958 +tp9084 +a(g436 +VExp +p9085 +tp9086 +a(g827 +g958 +tp9087 +a(g706 +V-> +p9088 +tp9089 +a(g827 +g958 +tp9090 +a(g436 +VHead +p9091 +tp9092 +a(g827 +g958 +tp9093 +a(g436 +Voption +p9094 +tp9095 +a(g827 +g958 +tp9096 +a(g8 +V(* +p9097 +tp9098 +a(g8 +g958 +tp9099 +a(g8 +g964 +tp9100 +a(g8 +g971 +tp9101 +a(g8 +g968 +tp9102 +a(g8 +g1024 +tp9103 +a(g8 +g966 +tp9104 +a(g8 +g964 +tp9105 +a(g8 +g958 +tp9106 +a(g8 +g964 +tp9107 +a(g8 +g978 +tp9108 +a(g8 +g1273 +tp9109 +a(g8 +g966 +tp9110 +a(g8 +g958 +tp9111 +a(g8 +g1016 +tp9112 +a(g8 +g971 +tp9113 +a(g8 +g1046 +tp9114 +a(g8 +g1021 +tp9115 +a(g8 +g973 +tp9116 +a(g8 +g978 +tp9117 +a(g8 +g958 +tp9118 +a(g8 +g1000 +tp9119 +a(g8 +g968 +tp9120 +a(g8 +g958 +tp9121 +a(g8 +g1855 +tp9122 +a(g8 +g5812 +tp9123 +a(g8 +g1855 +tp9124 +a(g8 +g2119 +tp9125 +a(g8 +g958 +tp9126 +a(g8 +V*) +p9127 +tp9128 +a(g827 +V\u000a +p9129 +tp9130 +a(g794 +Vval +p9131 +tp9132 +a(g827 +g958 +tp9133 +a(g441 +VtargetHead +p9134 +tp9135 +a(g827 +g958 +tp9136 +a(g706 +g1003 +tp9137 +a(g827 +g958 +tp9138 +a(g436 +VExp +p9139 +tp9140 +a(g827 +g958 +tp9141 +a(g706 +V-> +p9142 +tp9143 +a(g827 +g958 +tp9144 +a(g436 +VHead +p9145 +tp9146 +a(g827 +V +p9147 +tp9148 +a(g8 +V(* +p9149 +tp9150 +a(g8 +g958 +tp9151 +a(g8 +g964 +tp9152 +a(g8 +g971 +tp9153 +a(g8 +g968 +tp9154 +a(g8 +g1024 +tp9155 +a(g8 +g966 +tp9156 +a(g8 +g964 +tp9157 +a(g8 +g958 +tp9158 +a(g8 +g964 +tp9159 +a(g8 +g978 +tp9160 +a(g8 +g1273 +tp9161 +a(g8 +g966 +tp9162 +a(g8 +g958 +tp9163 +a(g8 +g1016 +tp9164 +a(g8 +g971 +tp9165 +a(g8 +g1046 +tp9166 +a(g8 +g1021 +tp9167 +a(g8 +g973 +tp9168 +a(g8 +g978 +tp9169 +a(g8 +g958 +tp9170 +a(g8 +g958 +tp9171 +a(g8 +g958 +tp9172 +a(g8 +g958 +tp9173 +a(g8 +g958 +tp9174 +a(g8 +g958 +tp9175 +a(g8 +g958 +tp9176 +a(g8 +g958 +tp9177 +a(g8 +g958 +tp9178 +a(g8 +V*) +p9179 +tp9180 +a(g827 +V\u000a\u000a +p9181 +tp9182 +a(g8 +V(* +p9183 +tp9184 +a(g8 +g958 +tp9185 +a(g8 +g2119 +tp9186 +a(g8 +g983 +tp9187 +a(g8 +g1273 +tp9188 +a(g8 +g971 +tp9189 +a(g8 +g962 +tp9190 +a(g8 +g1062 +tp9191 +a(g8 +g1021 +tp9192 +a(g8 +g962 +tp9193 +a(g8 +g1024 +tp9194 +a(g8 +g958 +tp9195 +a(g8 +g964 +tp9196 +a(g8 +g978 +tp9197 +a(g8 +g1273 +tp9198 +a(g8 +g966 +tp9199 +a(g8 +g958 +tp9200 +a(g8 +g1062 +tp9201 +a(g8 +g966 +tp9202 +a(g8 +g1016 +tp9203 +a(g8 +g1021 +tp9204 +a(g8 +g962 +tp9205 +a(g8 +g1021 +tp9206 +a(g8 +g964 +tp9207 +a(g8 +g1021 +tp9208 +a(g8 +g1000 +tp9209 +a(g8 +g962 +tp9210 +a(g8 +g1033 +tp9211 +a(g8 +g958 +tp9212 +a(g8 +V*) +p9213 +tp9214 +a(g827 +V\u000a +p9215 +tp9216 +a(g794 +Vval +p9217 +tp9218 +a(g827 +g958 +tp9219 +a(g441 +VtargetFamOpt +p9220 +tp9221 +a(g827 +g958 +tp9222 +a(g706 +g1003 +tp9223 +a(g827 +g958 +tp9224 +a(g436 +VExp +p9225 +tp9226 +a(g827 +g958 +tp9227 +a(g706 +V-> +p9228 +tp9229 +a(g827 +g958 +tp9230 +a(g436 +Vcid +p9231 +tp9232 +a(g827 +g958 +tp9233 +a(g436 +Voption +p9234 +tp9235 +a(g827 +V +p9236 +tp9237 +a(g8 +V(* +p9238 +tp9239 +a(g8 +g958 +tp9240 +a(g8 +g964 +tp9241 +a(g8 +g971 +tp9242 +a(g8 +g968 +tp9243 +a(g8 +g1024 +tp9244 +a(g8 +g966 +tp9245 +a(g8 +g964 +tp9246 +a(g8 +g958 +tp9247 +a(g8 +g964 +tp9248 +a(g8 +g978 +tp9249 +a(g8 +g1273 +tp9250 +a(g8 +g966 +tp9251 +a(g8 +g958 +tp9252 +a(g8 +g1016 +tp9253 +a(g8 +g971 +tp9254 +a(g8 +g1046 +tp9255 +a(g8 +g1021 +tp9256 +a(g8 +g973 +tp9257 +a(g8 +g978 +tp9258 +a(g8 +g958 +tp9259 +a(g8 +g1000 +tp9260 +a(g8 +g968 +tp9261 +a(g8 +g958 +tp9262 +a(g8 +g1855 +tp9263 +a(g8 +g5812 +tp9264 +a(g8 +g1855 +tp9265 +a(g8 +g2119 +tp9266 +a(g8 +g958 +tp9267 +a(g8 +V*) +p9268 +tp9269 +a(g827 +V\u000a +p9270 +tp9271 +a(g794 +Vval +p9272 +tp9273 +a(g827 +g958 +tp9274 +a(g441 +VtargetFam +p9275 +tp9276 +a(g827 +g958 +tp9277 +a(g706 +g1003 +tp9278 +a(g827 +g958 +tp9279 +a(g436 +VExp +p9280 +tp9281 +a(g827 +g958 +tp9282 +a(g706 +V-> +p9283 +tp9284 +a(g827 +g958 +tp9285 +a(g436 +Vcid +p9286 +tp9287 +a(g827 +V +p9288 +tp9289 +a(g8 +V(* +p9290 +tp9291 +a(g8 +g958 +tp9292 +a(g8 +g964 +tp9293 +a(g8 +g971 +tp9294 +a(g8 +g968 +tp9295 +a(g8 +g1024 +tp9296 +a(g8 +g966 +tp9297 +a(g8 +g964 +tp9298 +a(g8 +g958 +tp9299 +a(g8 +g964 +tp9300 +a(g8 +g978 +tp9301 +a(g8 +g1273 +tp9302 +a(g8 +g966 +tp9303 +a(g8 +g958 +tp9304 +a(g8 +g1016 +tp9305 +a(g8 +g971 +tp9306 +a(g8 +g1046 +tp9307 +a(g8 +g1021 +tp9308 +a(g8 +g973 +tp9309 +a(g8 +g978 +tp9310 +a(g8 +g958 +tp9311 +a(g8 +g958 +tp9312 +a(g8 +g958 +tp9313 +a(g8 +g958 +tp9314 +a(g8 +g958 +tp9315 +a(g8 +g958 +tp9316 +a(g8 +g958 +tp9317 +a(g8 +g958 +tp9318 +a(g8 +g958 +tp9319 +a(g8 +V*) +p9320 +tp9321 +a(g827 +V\u000a\u000a +p9322 +tp9323 +a(g8 +V(* +p9324 +tp9325 +a(g8 +g958 +tp9326 +a(g8 +g1993 +tp9327 +a(g8 +g1033 +tp9328 +a(g8 +g966 +tp9329 +a(g8 +g1062 +tp9330 +a(g8 +g958 +tp9331 +a(g8 +g1021 +tp9332 +a(g8 +g962 +tp9333 +a(g8 +g958 +tp9334 +a(g8 +g1006 +tp9335 +a(g8 +g973 +tp9336 +a(g8 +g1021 +tp9337 +a(g8 +g964 +tp9338 +a(g8 +g958 +tp9339 +a(g8 +V*) +p9340 +tp9341 +a(g827 +V\u000a +p9342 +tp9343 +a(g794 +Vval +p9344 +tp9345 +a(g827 +g958 +tp9346 +a(g441 +Vrename +p9347 +tp9348 +a(g827 +g958 +tp9349 +a(g706 +g1003 +tp9350 +a(g827 +g958 +tp9351 +a(g436 +Vcid +p9352 +tp9353 +a(g827 +g958 +tp9354 +a(g436 +g1687 +tp9355 +a(g827 +g958 +tp9356 +a(g436 +Vstring +p9357 +tp9358 +a(g827 +g958 +tp9359 +a(g706 +V-> +p9360 +tp9361 +a(g827 +g958 +tp9362 +a(g436 +Vunit +p9363 +tp9364 +a(g827 +V\u000a\u000a +p9365 +tp9366 +a(g794 +Vend +p9367 +tp9368 +a(g706 +g3381 +tp9369 +a(g827 +V +p9370 +tp9371 +a(g8 +V(* +p9372 +tp9373 +a(g8 +g958 +tp9374 +a(g8 +g1033 +tp9375 +a(g8 +g1021 +tp9376 +a(g8 +g1024 +tp9377 +a(g8 +g962 +tp9378 +a(g8 +g971 +tp9379 +a(g8 +g964 +tp9380 +a(g8 +g995 +tp9381 +a(g8 +g968 +tp9382 +a(g8 +g966 +tp9383 +a(g8 +g958 +tp9384 +a(g8 +g960 +tp9385 +a(g8 +g1855 +tp9386 +a(g8 +g2080 +tp9387 +a(g8 +g976 +tp9388 +a(g8 +VY +p9389 +tp9390 +a(g8 +g1855 +tp9391 +a(g8 +g958 +tp9392 +a(g8 +V*) +p9393 +tp9394 +a(g827 +V\u000a +p9395 +tp9396 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/irb_heredoc b/tests/examplefiles/output/irb_heredoc new file mode 100644 index 0000000..a6ecdd1 --- /dev/null +++ b/tests/examplefiles/output/irb_heredoc @@ -0,0 +1,2425 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Builtin' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g247 +g15 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g254 +atp260 +Rp261 +sbag148 +ag8 +ag187 +ag124 +ag100 +atp262 +Rp263 +sg10 +g1 +(g2 +g3 +(ttp264 +Rp265 +(dp266 +S'Number' +p267 +g1 +(g2 +g3 +(S'Literal' +p268 +g267 +tp269 +tp270 +Rp271 +(dp272 +S'Integer' +p273 +g1 +(g2 +g3 +(g268 +g267 +g273 +tp274 +tp275 +Rp276 +(dp277 +g10 +g271 +sS'Long' +p278 +g1 +(g2 +g3 +(g268 +g267 +g273 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g276 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g281 +atp287 +Rp288 +sbsg10 +g1 +(g2 +g3 +(g268 +tp289 +tp290 +Rp291 +(dp292 +S'Scalar' +p293 +g1 +(g2 +g3 +(g268 +g293 +tp294 +tp295 +Rp296 +(dp297 +g10 +g291 +sg25 +g26 +((lp298 +g1 +(g2 +g3 +(g268 +g293 +S'Plain' +p299 +tp300 +tp301 +Rp302 +(dp303 +g10 +g296 +sg25 +g26 +((lp304 +tp305 +Rp306 +sbatp307 +Rp308 +sg299 +g302 +sbsg267 +g271 +sg10 +g265 +sg231 +g1 +(g2 +g3 +(g268 +g231 +tp309 +tp310 +Rp311 +(dp312 +g10 +g291 +sg25 +g26 +((lp313 +tp314 +Rp315 +sbsS'Char' +p316 +g1 +(g2 +g3 +(g268 +g316 +tp317 +tp318 +Rp319 +(dp320 +g10 +g291 +sg25 +g26 +((lp321 +tp322 +Rp323 +sbsS'String' +p324 +g1 +(g2 +g3 +(g268 +g324 +tp325 +tp326 +Rp327 +(dp328 +g316 +g1 +(g2 +g3 +(g268 +g324 +g316 +tp329 +tp330 +Rp331 +(dp332 +g10 +g327 +sg25 +g26 +((lp333 +tp334 +Rp335 +sbsS'Backtick' +p336 +g1 +(g2 +g3 +(g268 +g324 +g336 +tp337 +tp338 +Rp339 +(dp340 +g10 +g327 +sg25 +g26 +((lp341 +tp342 +Rp343 +sbsS'Heredoc' +p344 +g1 +(g2 +g3 +(g268 +g324 +g344 +tp345 +tp346 +Rp347 +(dp348 +g10 +g327 +sg25 +g26 +((lp349 +tp350 +Rp351 +sbsg97 +g1 +(g2 +g3 +(g268 +g324 +g97 +tp352 +tp353 +Rp354 +(dp355 +g10 +g327 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsS'Interpol' +p359 +g1 +(g2 +g3 +(g268 +g324 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g327 +sg25 +g26 +((lp364 +tp365 +Rp366 +sbsS'Delimiter' +p367 +g1 +(g2 +g3 +(g268 +g324 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g327 +sg25 +g26 +((lp372 +tp373 +Rp374 +sbsS'Boolean' +p375 +g1 +(g2 +g3 +(g268 +g324 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g327 +sg25 +g26 +((lp380 +tp381 +Rp382 +sbsS'Character' +p383 +g1 +(g2 +g3 +(g268 +g324 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g327 +sg25 +g26 +((lp388 +tp389 +Rp390 +sbsS'Double' +p391 +g1 +(g2 +g3 +(g268 +g324 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g327 +sg25 +g26 +((lp396 +tp397 +Rp398 +sbsS'Delimeter' +p399 +g1 +(g2 +g3 +(g268 +g324 +g399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g327 +sg25 +g26 +((lp404 +tp405 +Rp406 +sbsS'Atom' +p407 +g1 +(g2 +g3 +(g268 +g324 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g327 +sg25 +g26 +((lp412 +tp413 +Rp414 +sbsS'Affix' +p415 +g1 +(g2 +g3 +(g268 +g324 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g327 +sg25 +g26 +((lp420 +tp421 +Rp422 +sbsg4 +g1 +(g2 +g3 +(g268 +g324 +g4 +tp423 +tp424 +Rp425 +(dp426 +g10 +g327 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsS'Regex' +p430 +g1 +(g2 +g3 +(g268 +g324 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g327 +sg25 +g26 +((lp435 +tp436 +Rp437 +sbsS'Interp' +p438 +g1 +(g2 +g3 +(g268 +g324 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g327 +sg25 +g26 +((lp443 +tp444 +Rp445 +sbsS'Escape' +p446 +g1 +(g2 +g3 +(g268 +g324 +g446 +tp447 +tp448 +Rp449 +(dp450 +g10 +g327 +sg25 +g26 +((lp451 +tp452 +Rp453 +sbsg25 +g26 +((lp454 +g370 +ag354 +ag433 +ag1 +(g2 +g3 +(g268 +g324 +S'Doc' +p455 +tp456 +tp457 +Rp458 +(dp459 +g10 +g327 +sg25 +g26 +((lp460 +tp461 +Rp462 +sbag386 +ag378 +ag394 +ag362 +ag410 +ag402 +ag425 +ag449 +ag1 +(g2 +g3 +(g268 +g324 +S'Single' +p463 +tp464 +tp465 +Rp466 +(dp467 +g10 +g327 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbag1 +(g2 +g3 +(g268 +g324 +g231 +tp471 +tp472 +Rp473 +(dp474 +g10 +g327 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag441 +ag339 +ag418 +ag1 +(g2 +g3 +(g268 +g324 +S'Moment' +p478 +tp479 +tp480 +Rp481 +(dp482 +g10 +g327 +sg25 +g26 +((lp483 +tp484 +Rp485 +sbag331 +ag347 +atp486 +Rp487 +sg463 +g466 +sg478 +g481 +sg10 +g291 +sg231 +g473 +sg455 +g458 +sbsg25 +g26 +((lp488 +g327 +ag319 +ag311 +ag1 +(g2 +g3 +(g268 +S'Date' +p489 +tp490 +tp491 +Rp492 +(dp493 +g10 +g291 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbag296 +ag271 +atp497 +Rp498 +sg489 +g492 +sbsS'Bin' +p499 +g1 +(g2 +g3 +(g268 +g267 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g271 +sg25 +g26 +((lp504 +tp505 +Rp506 +sbsS'Radix' +p507 +g1 +(g2 +g3 +(g268 +g267 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g271 +sg25 +g26 +((lp512 +tp513 +Rp514 +sbsS'Oct' +p515 +g1 +(g2 +g3 +(g268 +g267 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g271 +sg25 +g26 +((lp520 +tp521 +Rp522 +sbsS'Dec' +p523 +g1 +(g2 +g3 +(g268 +g267 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g271 +sg25 +g26 +((lp528 +tp529 +Rp530 +sbsS'Hex' +p531 +g1 +(g2 +g3 +(g268 +g267 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g271 +sg25 +g26 +((lp536 +tp537 +Rp538 +sbsg25 +g26 +((lp539 +g276 +ag510 +ag526 +ag1 +(g2 +g3 +(g268 +g267 +S'Decimal' +p540 +tp541 +tp542 +Rp543 +(dp544 +g10 +g271 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbag502 +ag1 +(g2 +g3 +(g268 +g267 +S'Float' +p548 +tp549 +tp550 +Rp551 +(dp552 +g10 +g271 +sg25 +g26 +((lp553 +tp554 +Rp555 +sbag518 +ag534 +atp556 +Rp557 +sg540 +g543 +sg548 +g551 +sbsS'Generic' +p558 +g1 +(g2 +g3 +(g558 +tp559 +tp560 +Rp561 +(dp562 +g10 +g265 +sS'Deleted' +p563 +g1 +(g2 +g3 +(g558 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g561 +sg25 +g26 +((lp568 +tp569 +Rp570 +sbsS'Subheading' +p571 +g1 +(g2 +g3 +(g558 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g561 +sg25 +g26 +((lp576 +tp577 +Rp578 +sbsS'Heading' +p579 +g1 +(g2 +g3 +(g558 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g561 +sg25 +g26 +((lp584 +tp585 +Rp586 +sbsS'Emph' +p587 +g1 +(g2 +g3 +(g558 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g561 +sg25 +g26 +((lp592 +tp593 +Rp594 +sbsS'Prompt' +p595 +g1 +(g2 +g3 +(g558 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g561 +sg25 +g26 +((lp600 +tp601 +Rp602 +sbsS'Inserted' +p603 +g1 +(g2 +g3 +(g558 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g561 +sg25 +g26 +((lp608 +tp609 +Rp610 +sbsS'Strong' +p611 +g1 +(g2 +g3 +(g558 +g611 +tp612 +tp613 +Rp614 +(dp615 +g10 +g561 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsS'Error' +p619 +g1 +(g2 +g3 +(g558 +g619 +tp620 +tp621 +Rp622 +(dp623 +g10 +g561 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Traceback' +p627 +g1 +(g2 +g3 +(g558 +g627 +tp628 +tp629 +Rp630 +(dp631 +g10 +g561 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsg25 +g26 +((lp635 +g582 +ag574 +ag1 +(g2 +g3 +(g558 +S'Output' +p636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g561 +sg25 +g26 +((lp641 +tp642 +Rp643 +sbag614 +ag590 +ag622 +ag630 +ag606 +ag598 +ag566 +atp644 +Rp645 +sg636 +g639 +sbsg65 +g1 +(g2 +g3 +(g65 +tp646 +tp647 +Rp648 +(dp649 +g10 +g265 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp650 +tp651 +Rp652 +(dp653 +g10 +g648 +sg25 +g26 +((lp654 +tp655 +Rp656 +sbsg25 +g26 +((lp657 +g652 +ag1 +(g2 +g3 +(g65 +S'Word' +p658 +tp659 +tp660 +Rp661 +(dp662 +g10 +g648 +sg25 +g26 +((lp663 +tp664 +Rp665 +sbatp666 +Rp667 +sg658 +g661 +sbsg324 +g327 +sg4 +g13 +sS'Punctuation' +p668 +g1 +(g2 +g3 +(g668 +tp669 +tp670 +Rp671 +(dp672 +g10 +g265 +sg25 +g26 +((lp673 +g1 +(g2 +g3 +(g668 +S'Indicator' +p674 +tp675 +tp676 +Rp677 +(dp678 +g10 +g671 +sg25 +g26 +((lp679 +tp680 +Rp681 +sbatp682 +Rp683 +sg674 +g677 +sbsS'Comment' +p684 +g1 +(g2 +g3 +(g684 +tp685 +tp686 +Rp687 +(dp688 +S'Multi' +p689 +g1 +(g2 +g3 +(g684 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g687 +sg25 +g26 +((lp694 +tp695 +Rp696 +sbsg10 +g265 +sS'Special' +p697 +g1 +(g2 +g3 +(g684 +g697 +tp698 +tp699 +Rp700 +(dp701 +g10 +g687 +sg25 +g26 +((lp702 +tp703 +Rp704 +sbsS'Hashbang' +p705 +g1 +(g2 +g3 +(g684 +g705 +tp706 +tp707 +Rp708 +(dp709 +g10 +g687 +sg25 +g26 +((lp710 +tp711 +Rp712 +sbsS'Preproc' +p713 +g1 +(g2 +g3 +(g684 +g713 +tp714 +tp715 +Rp716 +(dp717 +g10 +g687 +sg25 +g26 +((lp718 +tp719 +Rp720 +sbsg463 +g1 +(g2 +g3 +(g684 +g463 +tp721 +tp722 +Rp723 +(dp724 +g10 +g687 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsS'Directive' +p728 +g1 +(g2 +g3 +(g684 +g728 +tp729 +tp730 +Rp731 +(dp732 +g10 +g687 +sg25 +g26 +((lp733 +tp734 +Rp735 +sbsg455 +g1 +(g2 +g3 +(g684 +g455 +tp736 +tp737 +Rp738 +(dp739 +g10 +g687 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsS'Singleline' +p743 +g1 +(g2 +g3 +(g684 +g743 +tp744 +tp745 +Rp746 +(dp747 +g10 +g687 +sg25 +g26 +((lp748 +tp749 +Rp750 +sbsS'Multiline' +p751 +g1 +(g2 +g3 +(g684 +g751 +tp752 +tp753 +Rp754 +(dp755 +g10 +g687 +sg25 +g26 +((lp756 +tp757 +Rp758 +sbsg25 +g26 +((lp759 +g738 +ag731 +ag708 +ag692 +ag746 +ag716 +ag754 +ag723 +ag1 +(g2 +g3 +(g684 +S'PreprocFile' +p760 +tp761 +tp762 +Rp763 +(dp764 +g10 +g687 +sg25 +g26 +((lp765 +tp766 +Rp767 +sbag1 +(g2 +g3 +(g684 +S'SingleLine' +p768 +tp769 +tp770 +Rp771 +(dp772 +g10 +g687 +sg25 +g26 +((lp773 +tp774 +Rp775 +sbag700 +atp776 +Rp777 +sg760 +g763 +sg768 +g771 +sbsg268 +g291 +sg231 +g1 +(g2 +g3 +(g231 +tp778 +tp779 +Rp780 +(dp781 +g10 +g265 +sg25 +g26 +((lp782 +tp783 +Rp784 +sbsg619 +g1 +(g2 +g3 +(g619 +tp785 +tp786 +Rp787 +(dp788 +g10 +g265 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsS'Token' +p792 +g265 +sg446 +g1 +(g2 +g3 +(g446 +tp793 +tp794 +Rp795 +(dp796 +g10 +g265 +sg25 +g26 +((lp797 +tp798 +Rp799 +sbsg25 +g26 +((lp800 +g13 +ag780 +ag1 +(g2 +g3 +(S'Keyword' +p801 +tp802 +tp803 +Rp804 +(dp805 +g10 +g265 +sg121 +g1 +(g2 +g3 +(g801 +g121 +tp806 +tp807 +Rp808 +(dp809 +g10 +g804 +sg25 +g26 +((lp810 +tp811 +Rp812 +sbsS'Control' +p813 +g1 +(g2 +g3 +(g801 +g813 +tp814 +tp815 +Rp816 +(dp817 +g10 +g804 +sg25 +g26 +((lp818 +tp819 +Rp820 +sbsg145 +g1 +(g2 +g3 +(g801 +g145 +tp821 +tp822 +Rp823 +(dp824 +g10 +g804 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg200 +g1 +(g2 +g3 +(g801 +g200 +tp828 +tp829 +Rp830 +(dp831 +g10 +g804 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsS'PreProc' +p835 +g1 +(g2 +g3 +(g801 +g835 +tp836 +tp837 +Rp838 +(dp839 +g10 +g804 +sg25 +g26 +((lp840 +tp841 +Rp842 +sbsg113 +g1 +(g2 +g3 +(g801 +g113 +tp843 +tp844 +Rp845 +(dp846 +g10 +g804 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsS'Reserved' +p850 +g1 +(g2 +g3 +(g801 +g850 +tp851 +tp852 +Rp853 +(dp854 +g10 +g804 +sg25 +g26 +((lp855 +tp856 +Rp857 +sbsg25 +g26 +((lp858 +g830 +ag1 +(g2 +g3 +(g801 +g658 +tp859 +tp860 +Rp861 +(dp862 +g10 +g804 +sg25 +g26 +((lp863 +tp864 +Rp865 +sbag816 +ag1 +(g2 +g3 +(g801 +S'Declaration' +p866 +tp867 +tp868 +Rp869 +(dp870 +g10 +g804 +sg25 +g26 +((lp871 +tp872 +Rp873 +sbag1 +(g2 +g3 +(g801 +g801 +tp874 +tp875 +Rp876 +(dp877 +g10 +g804 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag845 +ag823 +ag808 +ag853 +ag838 +atp881 +Rp882 +sg801 +g876 +sg866 +g869 +sg658 +g861 +sbag561 +ag1 +(g2 +g3 +(S'Text' +p883 +tp884 +tp885 +Rp886 +(dp887 +S'Beer' +p888 +g1 +(g2 +g3 +(g883 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g886 +sg25 +g26 +((lp893 +tp894 +Rp895 +sbsS'Whitespace' +p896 +g1 +(g2 +g3 +(g883 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g886 +sg25 +g26 +((lp901 +tp902 +Rp903 +sbsg10 +g265 +sS'Root' +p904 +g1 +(g2 +g3 +(g883 +g904 +tp905 +tp906 +Rp907 +(dp908 +g10 +g886 +sg25 +g26 +((lp909 +tp910 +Rp911 +sbsg97 +g1 +(g2 +g3 +(g883 +g97 +tp912 +tp913 +Rp914 +(dp915 +g10 +g886 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg668 +g1 +(g2 +g3 +(g883 +g668 +tp919 +tp920 +Rp921 +(dp922 +g10 +g886 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g907 +ag914 +ag921 +ag899 +ag891 +ag1 +(g2 +g3 +(g883 +S'Rag' +p927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g886 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbatp935 +Rp936 +sg927 +g930 +sbag648 +ag795 +ag671 +ag687 +ag787 +ag291 +atp937 +Rp938 +sg801 +g804 +sg883 +g886 +sbsg20 +g211 +sg5 +g8 +sg247 +g250 +sg231 +g234 +sbsg121 +g1 +(g2 +g3 +(g4 +g5 +g121 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg25 +g26 +((lp943 +tp944 +Rp945 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g113 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbag941 +atp954 +Rp955 +sg113 +g949 +sbV +p956 +tp957 +a(g598 +Virb(main):001:0> +p958 +tp959 +a(g8 +Vputs +p960 +tp961 +a(g886 +V +p962 +tp963 +a(g648 +V<< +p964 +tp965 +a(g347 +g956 +tp966 +a(g370 +VXYZ +p967 +tp968 +a(g347 +g956 +tp969 +a(g886 +V\u000a +p970 +tp971 +a(g598 +Virb(main):002:0" +p972 +tp973 +a(g886 +g956 +tp974 +a(g347 +Va\u000a +p975 +tp976 +a(g598 +Virb(main):003:0" +p977 +tp978 +a(g347 +g956 +tp979 +a(g347 +Vb\u000a +p980 +tp981 +a(g598 +Virb(main):004:0" +p982 +tp983 +a(g347 +g956 +tp984 +a(g370 +VXYZ\u000a +p985 +tp986 +a(g639 +Va\u000a +p987 +tp988 +a(g639 +Vb\u000a +p989 +tp990 +a(g639 +V=> nil\u000a +p991 +tp992 +a(g886 +g956 +tp993 +a(g598 +Virb(main):005:0> +p994 +tp995 +a(g886 +V\u000a +p996 +tp997 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/irc.lsp b/tests/examplefiles/output/irc.lsp new file mode 100644 index 0000000..c399034 --- /dev/null +++ b/tests/examplefiles/output/irc.lsp @@ -0,0 +1,10740 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/usr/bin/env newlisp +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g898 +V;; @module IRC +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g898 +V;; @description a basic irc library +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g898 +V;; @version early alpha! 0.1 2011-10-31 14:21:26 +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g898 +V;; @author cormullion +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g898 +V;; Usage: +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g898 +V;; (IRC:init "newlithper") ; a username/nick (not that one obviously :-) +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g898 +V;; (IRC:connect "irc.freenode.net" 6667) ; irc/server +p984 +tp985 +a(g827 +V\u000a +p986 +tp987 +a(g898 +V;; (IRC:join-channel {#newlisp}) ; join a room +p988 +tp989 +a(g827 +V\u000a +p990 +tp991 +a(g898 +V;; either (IRC:read-irc-loop) ; loop - monitor only, no input +p992 +tp993 +a(g827 +V\u000a +p994 +tp995 +a(g898 +V;; or (IRC:session) ; a command-line session, end with /QUIT +p996 +tp997 +a(g827 +V\u000a\u000a +p998 +tp999 +a(g706 +V( +p1000 +tp1001 +a(g745 +Vcontext +p1002 +tp1003 +a(g827 +V +p1004 +tp1005 +a(g413 +V' +p1006 +tp1007 +a(g117 +VIRC +p1008 +tp1009 +a(g706 +V) +p1010 +tp1011 +a(g827 +V\u000a +p1012 +tp1013 +a(g706 +g1000 +tp1014 +a(g745 +Vdefine +p1015 +tp1016 +a(g827 +g1004 +tp1017 +a(g117 +VInickname +p1018 +tp1019 +a(g706 +g1010 +tp1020 +a(g827 +V\u000a +p1021 +tp1022 +a(g706 +g1000 +tp1023 +a(g745 +Vdefine +p1024 +tp1025 +a(g827 +g1004 +tp1026 +a(g117 +VIchannels +p1027 +tp1028 +a(g706 +g1010 +tp1029 +a(g827 +V\u000a +p1030 +tp1031 +a(g706 +g1000 +tp1032 +a(g745 +Vdefine +p1033 +tp1034 +a(g827 +g1004 +tp1035 +a(g117 +VIserver +p1036 +tp1037 +a(g706 +g1010 +tp1038 +a(g827 +V\u000a +p1039 +tp1040 +a(g706 +g1000 +tp1041 +a(g745 +Vdefine +p1042 +tp1043 +a(g827 +g1004 +tp1044 +a(g117 +VIconnected +p1045 +tp1046 +a(g706 +g1010 +tp1047 +a(g827 +V\u000a +p1048 +tp1049 +a(g706 +g1000 +tp1050 +a(g745 +Vdefine +p1051 +tp1052 +a(g827 +g1004 +tp1053 +a(g117 +VIcallbacks +p1054 +tp1055 +a(g827 +g1004 +tp1056 +a(g413 +g1006 +tp1057 +a(g706 +g1000 +tp1058 +a(g706 +g1010 +tp1059 +a(g706 +g1010 +tp1060 +a(g827 +V\u000a +p1061 +tp1062 +a(g706 +g1000 +tp1063 +a(g745 +Vdefine +p1064 +tp1065 +a(g827 +g1004 +tp1066 +a(g117 +VIdle-time +p1067 +tp1068 +a(g827 +g1004 +tp1069 +a(g117 +V400 +p1070 +tp1071 +a(g706 +g1010 +tp1072 +a(g827 +g1004 +tp1073 +a(g898 +V; seconds +p1074 +tp1075 +a(g827 +V\u000a +p1076 +tp1077 +a(g706 +g1000 +tp1078 +a(g745 +Vdefine +p1079 +tp1080 +a(g827 +g1004 +tp1081 +a(g117 +VItime-stamp +p1082 +tp1083 +a(g706 +g1010 +tp1084 +a(g827 +V +p1085 +tp1086 +a(g898 +V; time since last message was processed +p1087 +tp1088 +a(g827 +V\u000a\u000a +p1089 +tp1090 +a(g706 +g1000 +tp1091 +a(g745 +Vdefine +p1092 +tp1093 +a(g827 +g1004 +tp1094 +a(g706 +g1000 +tp1095 +a(g441 +Vregister-callback +p1096 +tp1097 +a(g827 +g1004 +tp1098 +a(g745 +Vcallback +p1099 +tp1100 +a(g745 +V- +p1101 +tp1102 +a(g117 +Vname +p1103 +tp1104 +a(g827 +g1004 +tp1105 +a(g745 +Vcallback +p1106 +tp1107 +a(g745 +g1101 +tp1108 +a(g117 +Vfunction +p1109 +tp1110 +a(g706 +g1010 +tp1111 +a(g827 +V\u000a +p1112 +tp1113 +a(g706 +g1000 +tp1114 +a(g745 +Vprintln +p1115 +tp1116 +a(g827 +g1004 +tp1117 +a(g89 +V{ +p1118 +tp1119 +a(g89 +Vregistering callback for +p1120 +tp1121 +a(g89 +V} +p1122 +tp1123 +a(g827 +g1004 +tp1124 +a(g745 +Vcallback +p1125 +tp1126 +a(g745 +g1101 +tp1127 +a(g117 +Vname +p1128 +tp1129 +a(g827 +g1004 +tp1130 +a(g89 +g1118 +tp1131 +a(g89 +V : +p1132 +tp1133 +a(g89 +g1122 +tp1134 +a(g827 +g1004 +tp1135 +a(g706 +g1000 +tp1136 +a(g745 +Vsym +p1137 +tp1138 +a(g827 +g1004 +tp1139 +a(g706 +g1000 +tp1140 +a(g745 +Vterm +p1141 +tp1142 +a(g827 +g1004 +tp1143 +a(g745 +Vcallback +p1144 +tp1145 +a(g745 +g1101 +tp1146 +a(g117 +Vfunction +p1147 +tp1148 +a(g706 +g1010 +tp1149 +a(g827 +g1004 +tp1150 +a(g706 +g1000 +tp1151 +a(g745 +Vprefix +p1152 +tp1153 +a(g827 +g1004 +tp1154 +a(g745 +Vcallback +p1155 +tp1156 +a(g745 +g1101 +tp1157 +a(g117 +Vfunction +p1158 +tp1159 +a(g706 +g1010 +tp1160 +a(g706 +g1010 +tp1161 +a(g706 +g1010 +tp1162 +a(g827 +V\u000a +p1163 +tp1164 +a(g706 +g1000 +tp1165 +a(g745 +Vpush +p1166 +tp1167 +a(g827 +g1004 +tp1168 +a(g706 +g1000 +tp1169 +a(g745 +Vlist +p1170 +tp1171 +a(g827 +g1004 +tp1172 +a(g745 +Vcallback +p1173 +tp1174 +a(g745 +g1101 +tp1175 +a(g117 +Vname +p1176 +tp1177 +a(g827 +g1004 +tp1178 +a(g706 +g1000 +tp1179 +a(g745 +Vsym +p1180 +tp1181 +a(g827 +g1004 +tp1182 +a(g706 +g1000 +tp1183 +a(g745 +Vterm +p1184 +tp1185 +a(g827 +g1004 +tp1186 +a(g745 +Vcallback +p1187 +tp1188 +a(g745 +g1101 +tp1189 +a(g117 +Vfunction +p1190 +tp1191 +a(g706 +g1010 +tp1192 +a(g827 +g1004 +tp1193 +a(g706 +g1000 +tp1194 +a(g745 +Vprefix +p1195 +tp1196 +a(g827 +g1004 +tp1197 +a(g745 +Vcallback +p1198 +tp1199 +a(g745 +g1101 +tp1200 +a(g117 +Vfunction +p1201 +tp1202 +a(g706 +g1010 +tp1203 +a(g706 +g1010 +tp1204 +a(g706 +g1010 +tp1205 +a(g827 +g1004 +tp1206 +a(g117 +VIcallbacks +p1207 +tp1208 +a(g706 +g1010 +tp1209 +a(g706 +g1010 +tp1210 +a(g827 +V \u000a\u000a +p1211 +tp1212 +a(g706 +g1000 +tp1213 +a(g745 +Vdefine +p1214 +tp1215 +a(g827 +g1004 +tp1216 +a(g706 +g1000 +tp1217 +a(g441 +Vdo-callback +p1218 +tp1219 +a(g827 +g1004 +tp1220 +a(g745 +Vcallback +p1221 +tp1222 +a(g745 +g1101 +tp1223 +a(g117 +Vname +p1224 +tp1225 +a(g827 +g1004 +tp1226 +a(g117 +Vdata +p1227 +tp1228 +a(g706 +g1010 +tp1229 +a(g827 +V\u000a +p1230 +tp1231 +a(g706 +g1000 +tp1232 +a(g745 +Vwhen +p1233 +tp1234 +a(g827 +g1004 +tp1235 +a(g706 +g1000 +tp1236 +a(g745 +Vset +p1237 +tp1238 +a(g827 +g1004 +tp1239 +a(g413 +g1006 +tp1240 +a(g117 +Vfunc +p1241 +tp1242 +a(g827 +g1004 +tp1243 +a(g706 +g1000 +tp1244 +a(g745 +Vlookup +p1245 +tp1246 +a(g827 +g1004 +tp1247 +a(g745 +Vcallback +p1248 +tp1249 +a(g745 +g1101 +tp1250 +a(g117 +Vname +p1251 +tp1252 +a(g827 +g1004 +tp1253 +a(g117 +VIcallbacks +p1254 +tp1255 +a(g706 +g1010 +tp1256 +a(g706 +g1010 +tp1257 +a(g827 +g1004 +tp1258 +a(g898 +V; find first callback +p1259 +tp1260 +a(g827 +V\u000a +p1261 +tp1262 +a(g706 +g1000 +tp1263 +a(g745 +Vif-not +p1264 +tp1265 +a(g827 +g1004 +tp1266 +a(g706 +g1000 +tp1267 +a(g745 +Vcatch +p1268 +tp1269 +a(g827 +g1004 +tp1270 +a(g706 +g1000 +tp1271 +a(g745 +Vapply +p1272 +tp1273 +a(g827 +g1004 +tp1274 +a(g117 +Vfunc +p1275 +tp1276 +a(g827 +g1004 +tp1277 +a(g706 +g1000 +tp1278 +a(g745 +Vlist +p1279 +tp1280 +a(g827 +g1004 +tp1281 +a(g117 +Vdata +p1282 +tp1283 +a(g706 +g1010 +tp1284 +a(g706 +g1010 +tp1285 +a(g827 +g1004 +tp1286 +a(g413 +g1006 +tp1287 +a(g117 +Verror +p1288 +tp1289 +a(g706 +g1010 +tp1290 +a(g827 +V\u000a +p1291 +tp1292 +a(g706 +g1000 +tp1293 +a(g745 +Vprintln +p1294 +tp1295 +a(g827 +g1004 +tp1296 +a(g89 +g1118 +tp1297 +a(g89 +Verror in callback +p1298 +tp1299 +a(g89 +g1122 +tp1300 +a(g827 +g1004 +tp1301 +a(g745 +Vcallback +p1302 +tp1303 +a(g745 +g1101 +tp1304 +a(g117 +Vname +p1305 +tp1306 +a(g827 +g1004 +tp1307 +a(g89 +g1118 +tp1308 +a(g89 +V: +p1309 +tp1310 +a(g89 +g1122 +tp1311 +a(g827 +g1004 +tp1312 +a(g117 +Verror +p1313 +tp1314 +a(g706 +g1010 +tp1315 +a(g706 +g1010 +tp1316 +a(g706 +g1010 +tp1317 +a(g706 +g1010 +tp1318 +a(g827 +V\u000a\u000a +p1319 +tp1320 +a(g706 +g1000 +tp1321 +a(g745 +Vdefine +p1322 +tp1323 +a(g827 +g1004 +tp1324 +a(g706 +g1000 +tp1325 +a(g441 +Vdo-callbacks +p1326 +tp1327 +a(g827 +g1004 +tp1328 +a(g745 +Vcallback +p1329 +tp1330 +a(g745 +g1101 +tp1331 +a(g117 +Vname +p1332 +tp1333 +a(g827 +g1004 +tp1334 +a(g117 +Vdata +p1335 +tp1336 +a(g706 +g1010 +tp1337 +a(g827 +V\u000a +p1338 +tp1339 +a(g706 +g1000 +tp1340 +a(g745 +Vdolist +p1341 +tp1342 +a(g827 +g1004 +tp1343 +a(g706 +g1000 +tp1344 +a(g441 +Vrf +p1345 +tp1346 +a(g827 +g1004 +tp1347 +a(g706 +g1000 +tp1348 +a(g745 +Vref-all +p1349 +tp1350 +a(g827 +g1004 +tp1351 +a(g745 +Vcallback +p1352 +tp1353 +a(g745 +g1101 +tp1354 +a(g117 +Vname +p1355 +tp1356 +a(g827 +g1004 +tp1357 +a(g117 +VIcallbacks +p1358 +tp1359 +a(g706 +g1010 +tp1360 +a(g706 +g1010 +tp1361 +a(g827 +V\u000a +p1362 +tp1363 +a(g706 +g1000 +tp1364 +a(g745 +Vset +p1365 +tp1366 +a(g827 +g1004 +tp1367 +a(g413 +g1006 +tp1368 +a(g745 +Vcallback +p1369 +tp1370 +a(g745 +g1101 +tp1371 +a(g117 +Ventry +p1372 +tp1373 +a(g827 +g1004 +tp1374 +a(g706 +g1000 +tp1375 +a(g441 +VIcallbacks +p1376 +tp1377 +a(g827 +g1004 +tp1378 +a(g706 +g1000 +tp1379 +a(g745 +Vfirst +p1380 +tp1381 +a(g827 +g1004 +tp1382 +a(g117 +Vrf +p1383 +tp1384 +a(g706 +g1010 +tp1385 +a(g706 +g1010 +tp1386 +a(g706 +g1010 +tp1387 +a(g827 +V\u000a +p1388 +tp1389 +a(g706 +g1000 +tp1390 +a(g745 +Vwhen +p1391 +tp1392 +a(g827 +V +p1393 +tp1394 +a(g706 +g1000 +tp1395 +a(g745 +Vset +p1396 +tp1397 +a(g827 +g1004 +tp1398 +a(g413 +g1006 +tp1399 +a(g117 +Vfunc +p1400 +tp1401 +a(g827 +g1004 +tp1402 +a(g706 +g1000 +tp1403 +a(g745 +Vlast +p1404 +tp1405 +a(g827 +g1004 +tp1406 +a(g745 +Vcallback +p1407 +tp1408 +a(g745 +g1101 +tp1409 +a(g117 +Ventry +p1410 +tp1411 +a(g706 +g1010 +tp1412 +a(g706 +g1010 +tp1413 +a(g827 +V\u000a +p1414 +tp1415 +a(g706 +g1000 +tp1416 +a(g745 +Vif-not +p1417 +tp1418 +a(g827 +g1004 +tp1419 +a(g706 +g1000 +tp1420 +a(g745 +Vcatch +p1421 +tp1422 +a(g827 +g1004 +tp1423 +a(g706 +g1000 +tp1424 +a(g745 +Vapply +p1425 +tp1426 +a(g827 +g1004 +tp1427 +a(g117 +Vfunc +p1428 +tp1429 +a(g827 +g1004 +tp1430 +a(g706 +g1000 +tp1431 +a(g745 +Vlist +p1432 +tp1433 +a(g827 +g1004 +tp1434 +a(g117 +Vdata +p1435 +tp1436 +a(g706 +g1010 +tp1437 +a(g706 +g1010 +tp1438 +a(g827 +g1004 +tp1439 +a(g413 +g1006 +tp1440 +a(g117 +Verror +p1441 +tp1442 +a(g706 +g1010 +tp1443 +a(g827 +V\u000a +p1444 +tp1445 +a(g706 +g1000 +tp1446 +a(g745 +Vprintln +p1447 +tp1448 +a(g827 +g1004 +tp1449 +a(g89 +g1118 +tp1450 +a(g89 +Verror in callback +p1451 +tp1452 +a(g89 +g1122 +tp1453 +a(g827 +g1004 +tp1454 +a(g745 +Vcallback +p1455 +tp1456 +a(g745 +g1101 +tp1457 +a(g117 +Vname +p1458 +tp1459 +a(g827 +g1004 +tp1460 +a(g89 +g1118 +tp1461 +a(g89 +V: +p1462 +tp1463 +a(g89 +g1122 +tp1464 +a(g827 +g1004 +tp1465 +a(g117 +Verror +p1466 +tp1467 +a(g706 +g1010 +tp1468 +a(g706 +g1010 +tp1469 +a(g706 +g1010 +tp1470 +a(g706 +g1010 +tp1471 +a(g706 +g1010 +tp1472 +a(g827 +V\u000a\u000a +p1473 +tp1474 +a(g706 +g1000 +tp1475 +a(g745 +Vdefine +p1476 +tp1477 +a(g827 +g1004 +tp1478 +a(g706 +g1000 +tp1479 +a(g441 +Vinit +p1480 +tp1481 +a(g827 +g1004 +tp1482 +a(g117 +Vstr +p1483 +tp1484 +a(g706 +g1010 +tp1485 +a(g827 +V\u000a +p1486 +tp1487 +a(g706 +g1000 +tp1488 +a(g745 +Vset +p1489 +tp1490 +a(g827 +g1004 +tp1491 +a(g413 +g1006 +tp1492 +a(g117 +VInickname +p1493 +tp1494 +a(g827 +g1004 +tp1495 +a(g117 +Vstr +p1496 +tp1497 +a(g706 +g1010 +tp1498 +a(g827 +V\u000a +p1499 +tp1500 +a(g706 +g1000 +tp1501 +a(g745 +Vset +p1502 +tp1503 +a(g827 +g1004 +tp1504 +a(g413 +g1006 +tp1505 +a(g117 +VIconnected +p1506 +tp1507 +a(g827 +g1004 +tp1508 +a(g745 +Vnil +p1509 +tp1510 +a(g706 +g1010 +tp1511 +a(g827 +V\u000a +p1512 +tp1513 +a(g706 +g1000 +tp1514 +a(g745 +Vset +p1515 +tp1516 +a(g827 +g1004 +tp1517 +a(g413 +g1006 +tp1518 +a(g117 +VIchannels +p1519 +tp1520 +a(g827 +g1004 +tp1521 +a(g413 +g1006 +tp1522 +a(g706 +g1000 +tp1523 +a(g706 +g1010 +tp1524 +a(g706 +g1010 +tp1525 +a(g827 +V\u000a +p1526 +tp1527 +a(g706 +g1000 +tp1528 +a(g745 +Vset +p1529 +tp1530 +a(g827 +g1004 +tp1531 +a(g413 +g1006 +tp1532 +a(g117 +VItime-stamp +p1533 +tp1534 +a(g827 +g1004 +tp1535 +a(g706 +g1000 +tp1536 +a(g745 +Vtime-of-day +p1537 +tp1538 +a(g706 +g1010 +tp1539 +a(g706 +g1010 +tp1540 +a(g706 +g1010 +tp1541 +a(g827 +V\u000a\u000a +p1542 +tp1543 +a(g706 +g1000 +tp1544 +a(g745 +Vdefine +p1545 +tp1546 +a(g827 +g1004 +tp1547 +a(g706 +g1000 +tp1548 +a(g441 +Vconnect +p1549 +tp1550 +a(g827 +g1004 +tp1551 +a(g117 +Vserver +p1552 +tp1553 +a(g827 +g1004 +tp1554 +a(g117 +Vport +p1555 +tp1556 +a(g706 +g1010 +tp1557 +a(g827 +V\u000a +p1558 +tp1559 +a(g706 +g1000 +tp1560 +a(g745 +Vset +p1561 +tp1562 +a(g827 +g1004 +tp1563 +a(g413 +g1006 +tp1564 +a(g117 +VIserver +p1565 +tp1566 +a(g827 +V +p1567 +tp1568 +a(g706 +g1000 +tp1569 +a(g745 +Vnet-connect +p1570 +tp1571 +a(g827 +g1004 +tp1572 +a(g117 +Vserver +p1573 +tp1574 +a(g827 +g1004 +tp1575 +a(g117 +Vport +p1576 +tp1577 +a(g706 +g1010 +tp1578 +a(g706 +g1010 +tp1579 +a(g827 +V\u000a +p1580 +tp1581 +a(g706 +g1000 +tp1582 +a(g745 +Vnet-send +p1583 +tp1584 +a(g827 +g1004 +tp1585 +a(g117 +VIserver +p1586 +tp1587 +a(g827 +g1004 +tp1588 +a(g706 +g1000 +tp1589 +a(g745 +Vformat +p1590 +tp1591 +a(g827 +g1004 +tp1592 +a(g89 +V"USER %s %s %s :%s\u005cr\u005cn" +p1593 +tp1594 +a(g827 +g1004 +tp1595 +a(g117 +VInickname +p1596 +tp1597 +a(g827 +g1004 +tp1598 +a(g117 +VInickname +p1599 +tp1600 +a(g827 +g1004 +tp1601 +a(g117 +VInickname +p1602 +tp1603 +a(g827 +g1004 +tp1604 +a(g117 +VInickname +p1605 +tp1606 +a(g706 +g1010 +tp1607 +a(g706 +g1010 +tp1608 +a(g827 +V\u000a +p1609 +tp1610 +a(g706 +g1000 +tp1611 +a(g745 +Vnet-send +p1612 +tp1613 +a(g827 +g1004 +tp1614 +a(g117 +VIserver +p1615 +tp1616 +a(g827 +g1004 +tp1617 +a(g706 +g1000 +tp1618 +a(g745 +Vformat +p1619 +tp1620 +a(g827 +g1004 +tp1621 +a(g89 +V"NICK %s \u005cr\u005cn" +p1622 +tp1623 +a(g827 +g1004 +tp1624 +a(g117 +VInickname +p1625 +tp1626 +a(g706 +g1010 +tp1627 +a(g706 +g1010 +tp1628 +a(g827 +V\u000a +p1629 +tp1630 +a(g706 +g1000 +tp1631 +a(g745 +Vset +p1632 +tp1633 +a(g827 +g1004 +tp1634 +a(g413 +g1006 +tp1635 +a(g117 +VIconnected +p1636 +tp1637 +a(g827 +g1004 +tp1638 +a(g745 +Vtrue +p1639 +tp1640 +a(g706 +g1010 +tp1641 +a(g827 +V\u000a +p1642 +tp1643 +a(g706 +g1000 +tp1644 +a(g441 +Vdo-callbacks +p1645 +tp1646 +a(g827 +g1004 +tp1647 +a(g89 +V"connect" +p1648 +tp1649 +a(g827 +g1004 +tp1650 +a(g706 +g1000 +tp1651 +a(g745 +Vlist +p1652 +tp1653 +a(g827 +g1004 +tp1654 +a(g706 +g1000 +tp1655 +a(g745 +Vlist +p1656 +tp1657 +a(g827 +g1004 +tp1658 +a(g89 +V"server" +p1659 +tp1660 +a(g827 +g1004 +tp1661 +a(g117 +Vserver +p1662 +tp1663 +a(g706 +g1010 +tp1664 +a(g827 +g1004 +tp1665 +a(g706 +g1000 +tp1666 +a(g745 +Vlist +p1667 +tp1668 +a(g827 +g1004 +tp1669 +a(g89 +V"port" +p1670 +tp1671 +a(g827 +g1004 +tp1672 +a(g117 +Vport +p1673 +tp1674 +a(g706 +g1010 +tp1675 +a(g706 +g1010 +tp1676 +a(g706 +g1010 +tp1677 +a(g706 +g1010 +tp1678 +a(g827 +V\u000a\u000a +p1679 +tp1680 +a(g706 +g1000 +tp1681 +a(g745 +Vdefine +p1682 +tp1683 +a(g827 +g1004 +tp1684 +a(g706 +g1000 +tp1685 +a(g441 +Videntify +p1686 +tp1687 +a(g827 +g1004 +tp1688 +a(g117 +Vpassword +p1689 +tp1690 +a(g706 +g1010 +tp1691 +a(g827 +V\u000a +p1692 +tp1693 +a(g706 +g1000 +tp1694 +a(g745 +Vnet-send +p1695 +tp1696 +a(g827 +g1004 +tp1697 +a(g117 +VIserver +p1698 +tp1699 +a(g827 +g1004 +tp1700 +a(g706 +g1000 +tp1701 +a(g745 +Vformat +p1702 +tp1703 +a(g827 +g1004 +tp1704 +a(g89 +V"PRIVMSG nickserv :identify %s\u005cr\u005cn" +p1705 +tp1706 +a(g827 +g1004 +tp1707 +a(g117 +Vpassword +p1708 +tp1709 +a(g706 +g1010 +tp1710 +a(g706 +g1010 +tp1711 +a(g706 +g1010 +tp1712 +a(g827 +V\u000a\u000a +p1713 +tp1714 +a(g706 +g1000 +tp1715 +a(g745 +Vdefine +p1716 +tp1717 +a(g827 +g1004 +tp1718 +a(g706 +g1000 +tp1719 +a(g745 +Vjoin +p1720 +tp1721 +a(g745 +g1101 +tp1722 +a(g117 +Vchannel +p1723 +tp1724 +a(g827 +g1004 +tp1725 +a(g117 +Vchannel +p1726 +tp1727 +a(g706 +g1010 +tp1728 +a(g827 +V\u000a +p1729 +tp1730 +a(g706 +g1000 +tp1731 +a(g745 +Vwhen +p1732 +tp1733 +a(g827 +g1004 +tp1734 +a(g706 +g1000 +tp1735 +a(g745 +Vnet-send +p1736 +tp1737 +a(g827 +g1004 +tp1738 +a(g117 +VIserver +p1739 +tp1740 +a(g827 +g1004 +tp1741 +a(g706 +g1000 +tp1742 +a(g745 +Vformat +p1743 +tp1744 +a(g827 +g1004 +tp1745 +a(g89 +V"JOIN %s \u005cr\u005cn" +p1746 +tp1747 +a(g827 +g1004 +tp1748 +a(g117 +Vchannel +p1749 +tp1750 +a(g706 +g1010 +tp1751 +a(g706 +g1010 +tp1752 +a(g827 +V\u000a +p1753 +tp1754 +a(g706 +g1000 +tp1755 +a(g745 +Vpush +p1756 +tp1757 +a(g827 +g1004 +tp1758 +a(g117 +Vchannel +p1759 +tp1760 +a(g827 +g1004 +tp1761 +a(g117 +VIchannels +p1762 +tp1763 +a(g706 +g1010 +tp1764 +a(g827 +V\u000a +p1765 +tp1766 +a(g706 +g1000 +tp1767 +a(g441 +Vdo-callbacks +p1768 +tp1769 +a(g827 +g1004 +tp1770 +a(g89 +V"join-channel" +p1771 +tp1772 +a(g827 +g1004 +tp1773 +a(g706 +g1000 +tp1774 +a(g745 +Vlist +p1775 +tp1776 +a(g827 +g1004 +tp1777 +a(g706 +g1000 +tp1778 +a(g745 +Vlist +p1779 +tp1780 +a(g827 +g1004 +tp1781 +a(g89 +V"channel" +p1782 +tp1783 +a(g827 +g1004 +tp1784 +a(g117 +Vchannel +p1785 +tp1786 +a(g706 +g1010 +tp1787 +a(g827 +g1004 +tp1788 +a(g706 +g1000 +tp1789 +a(g745 +Vlist +p1790 +tp1791 +a(g827 +g1004 +tp1792 +a(g89 +V"nickname" +p1793 +tp1794 +a(g827 +g1004 +tp1795 +a(g117 +VInickname +p1796 +tp1797 +a(g706 +g1010 +tp1798 +a(g706 +g1010 +tp1799 +a(g706 +g1010 +tp1800 +a(g706 +g1010 +tp1801 +a(g706 +g1010 +tp1802 +a(g827 +V\u000a\u000a +p1803 +tp1804 +a(g706 +g1000 +tp1805 +a(g745 +Vdefine +p1806 +tp1807 +a(g827 +g1004 +tp1808 +a(g706 +g1000 +tp1809 +a(g441 +Vpart +p1810 +tp1811 +a(g827 +g1004 +tp1812 +a(g117 +Vchan +p1813 +tp1814 +a(g706 +g1010 +tp1815 +a(g827 +V\u000a +p1816 +tp1817 +a(g706 +g1000 +tp1818 +a(g745 +Vif-not +p1819 +tp1820 +a(g827 +g1004 +tp1821 +a(g706 +g1000 +tp1822 +a(g441 +Vempty? +p1823 +tp1824 +a(g827 +g1004 +tp1825 +a(g117 +Vchan +p1826 +tp1827 +a(g706 +g1010 +tp1828 +a(g827 +V\u000a +p1829 +tp1830 +a(g898 +V; leave specified +p1831 +tp1832 +a(g827 +V\u000a +p1833 +tp1834 +a(g706 +g1000 +tp1835 +a(g745 +Vbegin +p1836 +tp1837 +a(g827 +V\u000a +p1838 +tp1839 +a(g706 +g1000 +tp1840 +a(g745 +Vnet-send +p1841 +tp1842 +a(g827 +g1004 +tp1843 +a(g117 +VIserver +p1844 +tp1845 +a(g827 +g1004 +tp1846 +a(g706 +g1000 +tp1847 +a(g745 +Vformat +p1848 +tp1849 +a(g827 +g1004 +tp1850 +a(g89 +V"PART %s\u005cr\u005cn" +p1851 +tp1852 +a(g827 +g1004 +tp1853 +a(g117 +Vchan +p1854 +tp1855 +a(g706 +g1010 +tp1856 +a(g706 +g1010 +tp1857 +a(g827 +V\u000a +p1858 +tp1859 +a(g706 +g1000 +tp1860 +a(g745 +Vreplace +p1861 +tp1862 +a(g827 +g1004 +tp1863 +a(g117 +Vchannel +p1864 +tp1865 +a(g827 +g1004 +tp1866 +a(g117 +VIchannels +p1867 +tp1868 +a(g706 +g1010 +tp1869 +a(g827 +V\u000a +p1870 +tp1871 +a(g706 +g1000 +tp1872 +a(g441 +Vdo-callbacks +p1873 +tp1874 +a(g827 +g1004 +tp1875 +a(g89 +V"part" +p1876 +tp1877 +a(g827 +g1004 +tp1878 +a(g706 +g1000 +tp1879 +a(g745 +Vlist +p1880 +tp1881 +a(g827 +g1004 +tp1882 +a(g706 +g1000 +tp1883 +a(g745 +Vlist +p1884 +tp1885 +a(g827 +g1004 +tp1886 +a(g89 +V"channel" +p1887 +tp1888 +a(g827 +g1004 +tp1889 +a(g117 +Vchannel +p1890 +tp1891 +a(g706 +g1010 +tp1892 +a(g706 +g1010 +tp1893 +a(g706 +g1010 +tp1894 +a(g706 +g1010 +tp1895 +a(g827 +V\u000a +p1896 +tp1897 +a(g898 +V; leave all +p1898 +tp1899 +a(g827 +V\u000a +p1900 +tp1901 +a(g706 +g1000 +tp1902 +a(g745 +Vbegin +p1903 +tp1904 +a(g827 +V\u000a +p1905 +tp1906 +a(g706 +g1000 +tp1907 +a(g745 +Vdolist +p1908 +tp1909 +a(g827 +g1004 +tp1910 +a(g706 +g1000 +tp1911 +a(g441 +Vchannel +p1912 +tp1913 +a(g827 +g1004 +tp1914 +a(g117 +VIchannels +p1915 +tp1916 +a(g706 +g1010 +tp1917 +a(g827 +V\u000a +p1918 +tp1919 +a(g706 +g1000 +tp1920 +a(g745 +Vnet-send +p1921 +tp1922 +a(g827 +g1004 +tp1923 +a(g117 +VIserver +p1924 +tp1925 +a(g827 +g1004 +tp1926 +a(g706 +g1000 +tp1927 +a(g745 +Vformat +p1928 +tp1929 +a(g827 +g1004 +tp1930 +a(g89 +V"PART %s\u005cr\u005cn" +p1931 +tp1932 +a(g827 +g1004 +tp1933 +a(g117 +Vchannel +p1934 +tp1935 +a(g706 +g1010 +tp1936 +a(g706 +g1010 +tp1937 +a(g827 +V\u000a +p1938 +tp1939 +a(g706 +g1000 +tp1940 +a(g745 +Vreplace +p1941 +tp1942 +a(g827 +g1004 +tp1943 +a(g117 +Vchannel +p1944 +tp1945 +a(g827 +g1004 +tp1946 +a(g117 +VIchannels +p1947 +tp1948 +a(g706 +g1010 +tp1949 +a(g827 +V\u000a +p1950 +tp1951 +a(g706 +g1000 +tp1952 +a(g441 +Vdo-callbacks +p1953 +tp1954 +a(g827 +g1004 +tp1955 +a(g89 +V"part" +p1956 +tp1957 +a(g827 +g1004 +tp1958 +a(g706 +g1000 +tp1959 +a(g745 +Vlist +p1960 +tp1961 +a(g827 +g1004 +tp1962 +a(g706 +g1000 +tp1963 +a(g745 +Vlist +p1964 +tp1965 +a(g827 +g1004 +tp1966 +a(g89 +V"channel" +p1967 +tp1968 +a(g827 +g1004 +tp1969 +a(g117 +Vchannel +p1970 +tp1971 +a(g706 +g1010 +tp1972 +a(g706 +g1010 +tp1973 +a(g706 +g1010 +tp1974 +a(g706 +g1010 +tp1975 +a(g706 +g1010 +tp1976 +a(g706 +g1010 +tp1977 +a(g706 +g1010 +tp1978 +a(g827 +V\u000a\u000a +p1979 +tp1980 +a(g706 +g1000 +tp1981 +a(g745 +Vdefine +p1982 +tp1983 +a(g827 +g1004 +tp1984 +a(g706 +g1000 +tp1985 +a(g441 +Vdo-quit +p1986 +tp1987 +a(g827 +g1004 +tp1988 +a(g117 +Vmessage +p1989 +tp1990 +a(g706 +g1010 +tp1991 +a(g827 +V\u000a +p1992 +tp1993 +a(g706 +g1000 +tp1994 +a(g441 +Vdo-callbacks +p1995 +tp1996 +a(g827 +g1004 +tp1997 +a(g89 +V"quit" +p1998 +tp1999 +a(g827 +g1004 +tp2000 +a(g413 +g1006 +tp2001 +a(g706 +g1000 +tp2002 +a(g706 +g1010 +tp2003 +a(g706 +g1010 +tp2004 +a(g827 +g1004 +tp2005 +a(g898 +V; chance to do stuff before quit... +p2006 +tp2007 +a(g827 +V\u000a +p2008 +tp2009 +a(g706 +g1000 +tp2010 +a(g745 +Vnet-send +p2011 +tp2012 +a(g827 +g1004 +tp2013 +a(g117 +VIserver +p2014 +tp2015 +a(g827 +g1004 +tp2016 +a(g706 +g1000 +tp2017 +a(g745 +Vformat +p2018 +tp2019 +a(g827 +g1004 +tp2020 +a(g89 +V"QUIT :%s\u005cr\u005cn" +p2021 +tp2022 +a(g827 +g1004 +tp2023 +a(g117 +Vmessage +p2024 +tp2025 +a(g706 +g1010 +tp2026 +a(g706 +g1010 +tp2027 +a(g827 +V\u000a +p2028 +tp2029 +a(g706 +g1000 +tp2030 +a(g745 +Vsleep +p2031 +tp2032 +a(g827 +g1004 +tp2033 +a(g117 +V1000 +p2034 +tp2035 +a(g706 +g1010 +tp2036 +a(g827 +V\u000a +p2037 +tp2038 +a(g706 +g1000 +tp2039 +a(g745 +Vset +p2040 +tp2041 +a(g827 +g1004 +tp2042 +a(g413 +g1006 +tp2043 +a(g117 +VIchannels +p2044 +tp2045 +a(g827 +g1004 +tp2046 +a(g413 +g1006 +tp2047 +a(g706 +g1000 +tp2048 +a(g706 +g1010 +tp2049 +a(g706 +g1010 +tp2050 +a(g827 +V\u000a +p2051 +tp2052 +a(g706 +g1000 +tp2053 +a(g745 +Vclose +p2054 +tp2055 +a(g827 +g1004 +tp2056 +a(g117 +VIserver +p2057 +tp2058 +a(g706 +g1010 +tp2059 +a(g827 +V\u000a +p2060 +tp2061 +a(g706 +g1000 +tp2062 +a(g745 +Vset +p2063 +tp2064 +a(g827 +g1004 +tp2065 +a(g413 +g1006 +tp2066 +a(g117 +VIconnected +p2067 +tp2068 +a(g827 +g1004 +tp2069 +a(g745 +Vnil +p2070 +tp2071 +a(g706 +g1010 +tp2072 +a(g706 +g1010 +tp2073 +a(g827 +V\u000a\u000a +p2074 +tp2075 +a(g706 +g1000 +tp2076 +a(g745 +Vdefine +p2077 +tp2078 +a(g827 +g1004 +tp2079 +a(g706 +g1000 +tp2080 +a(g441 +Vprivmsg +p2081 +tp2082 +a(g827 +g1004 +tp2083 +a(g117 +Vuser +p2084 +tp2085 +a(g827 +g1004 +tp2086 +a(g117 +Vmessage +p2087 +tp2088 +a(g706 +g1010 +tp2089 +a(g827 +V\u000a +p2090 +tp2091 +a(g706 +g1000 +tp2092 +a(g745 +Vnet-send +p2093 +tp2094 +a(g827 +g1004 +tp2095 +a(g117 +VIserver +p2096 +tp2097 +a(g827 +g1004 +tp2098 +a(g706 +g1000 +tp2099 +a(g745 +Vformat +p2100 +tp2101 +a(g827 +g1004 +tp2102 +a(g89 +V"PRIVMSG %s :%s\u005cr\u005cn" +p2103 +tp2104 +a(g827 +g1004 +tp2105 +a(g117 +Vuser +p2106 +tp2107 +a(g827 +g1004 +tp2108 +a(g117 +Vmessage +p2109 +tp2110 +a(g706 +g1010 +tp2111 +a(g706 +g1010 +tp2112 +a(g706 +g1010 +tp2113 +a(g827 +V\u000a\u000a +p2114 +tp2115 +a(g706 +g1000 +tp2116 +a(g745 +Vdefine +p2117 +tp2118 +a(g827 +g1004 +tp2119 +a(g706 +g1000 +tp2120 +a(g441 +Vnotice +p2121 +tp2122 +a(g827 +g1004 +tp2123 +a(g117 +Vuser +p2124 +tp2125 +a(g827 +g1004 +tp2126 +a(g117 +Vmessage +p2127 +tp2128 +a(g706 +g1010 +tp2129 +a(g827 +V\u000a +p2130 +tp2131 +a(g706 +g1000 +tp2132 +a(g745 +Vnet-send +p2133 +tp2134 +a(g827 +g1004 +tp2135 +a(g117 +VIserver +p2136 +tp2137 +a(g827 +g1004 +tp2138 +a(g706 +g1000 +tp2139 +a(g745 +Vformat +p2140 +tp2141 +a(g827 +g1004 +tp2142 +a(g89 +V"NOTICE %s :%s\u005cr\u005cn" +p2143 +tp2144 +a(g827 +g1004 +tp2145 +a(g117 +Vuser +p2146 +tp2147 +a(g827 +g1004 +tp2148 +a(g117 +Vmessage +p2149 +tp2150 +a(g706 +g1010 +tp2151 +a(g706 +g1010 +tp2152 +a(g706 +g1010 +tp2153 +a(g827 +V\u000a\u000a +p2154 +tp2155 +a(g706 +g1000 +tp2156 +a(g745 +Vdefine +p2157 +tp2158 +a(g827 +g1004 +tp2159 +a(g706 +g1000 +tp2160 +a(g745 +Vsend +p2161 +tp2162 +a(g745 +g1101 +tp2163 +a(g117 +Vto-server +p2164 +tp2165 +a(g827 +g1004 +tp2166 +a(g117 +Vmessage +p2167 +tp2168 +a(g827 +g1004 +tp2169 +a(g706 +g1000 +tp2170 +a(g441 +Vchannel +p2171 +tp2172 +a(g827 +g1004 +tp2173 +a(g745 +Vnil +p2174 +tp2175 +a(g706 +g1010 +tp2176 +a(g706 +g1010 +tp2177 +a(g827 +V\u000a +p2178 +tp2179 +a(g706 +g1000 +tp2180 +a(g745 +Vcond +p2181 +tp2182 +a(g827 +V\u000a +p2183 +tp2184 +a(g706 +g1000 +tp2185 +a(g706 +g1000 +tp2186 +a(g745 +Vstarts-with +p2187 +tp2188 +a(g827 +g1004 +tp2189 +a(g117 +Vmessage +p2190 +tp2191 +a(g827 +g1004 +tp2192 +a(g89 +g1118 +tp2193 +a(g89 +V/ +p2194 +tp2195 +a(g89 +g1122 +tp2196 +a(g706 +g1010 +tp2197 +a(g827 +g1004 +tp2198 +a(g898 +V; default command character +p2199 +tp2200 +a(g827 +V\u000a +p2201 +tp2202 +a(g706 +g1000 +tp2203 +a(g745 +Vset +p2204 +tp2205 +a(g827 +g1004 +tp2206 +a(g413 +g1006 +tp2207 +a(g117 +Vthe-message +p2208 +tp2209 +a(g827 +g1004 +tp2210 +a(g706 +g1000 +tp2211 +a(g745 +Vreplace +p2212 +tp2213 +a(g827 +g1004 +tp2214 +a(g89 +V"^/" +p2215 +tp2216 +a(g827 +g1004 +tp2217 +a(g706 +g1000 +tp2218 +a(g745 +Vcopy +p2219 +tp2220 +a(g827 +g1004 +tp2221 +a(g117 +Vmessage +p2222 +tp2223 +a(g706 +g1010 +tp2224 +a(g827 +g1004 +tp2225 +a(g89 +g1118 +tp2226 +a(g89 +g1122 +tp2227 +a(g827 +g1004 +tp2228 +a(g117 +V0 +p2229 +tp2230 +a(g706 +g1010 +tp2231 +a(g706 +g1010 +tp2232 +a(g827 +g1004 +tp2233 +a(g898 +V; keep original +p2234 +tp2235 +a(g827 +V\u000a +p2236 +tp2237 +a(g706 +g1000 +tp2238 +a(g745 +Vnet-send +p2239 +tp2240 +a(g827 +g1004 +tp2241 +a(g117 +VIserver +p2242 +tp2243 +a(g827 +g1004 +tp2244 +a(g706 +g1000 +tp2245 +a(g745 +Vformat +p2246 +tp2247 +a(g827 +g1004 +tp2248 +a(g89 +V"%s \u005cr\u005cn" +p2249 +tp2250 +a(g827 +g1004 +tp2251 +a(g117 +Vthe-message +p2252 +tp2253 +a(g706 +g1010 +tp2254 +a(g706 +g1010 +tp2255 +a(g827 +g1004 +tp2256 +a(g898 +V; send it +p2257 +tp2258 +a(g827 +V\u000a +p2259 +tp2260 +a(g898 +V; do a quit +p2261 +tp2262 +a(g827 +V\u000a +p2263 +tp2264 +a(g706 +g1000 +tp2265 +a(g745 +Vif +p2266 +tp2267 +a(g827 +g1004 +tp2268 +a(g706 +g1000 +tp2269 +a(g745 +Vstarts-with +p2270 +tp2271 +a(g827 +g1004 +tp2272 +a(g706 +g1000 +tp2273 +a(g745 +Vlower-case +p2274 +tp2275 +a(g827 +g1004 +tp2276 +a(g117 +Vthe-message +p2277 +tp2278 +a(g706 +g1010 +tp2279 +a(g827 +g1004 +tp2280 +a(g89 +V"quit" +p2281 +tp2282 +a(g706 +g1010 +tp2283 +a(g827 +V\u000a +p2284 +tp2285 +a(g706 +g1000 +tp2286 +a(g441 +Vdo-quit +p2287 +tp2288 +a(g827 +g1004 +tp2289 +a(g89 +g1118 +tp2290 +a(g89 +V enough +p2291 +tp2292 +a(g89 +g1122 +tp2293 +a(g706 +g1010 +tp2294 +a(g706 +g1010 +tp2295 +a(g706 +g1010 +tp2296 +a(g827 +V\u000a +p2297 +tp2298 +a(g706 +g1000 +tp2299 +a(g745 +Vtrue +p2300 +tp2301 +a(g827 +V \u000a +p2302 +tp2303 +a(g706 +g1000 +tp2304 +a(g745 +Vif +p2305 +tp2306 +a(g827 +g1004 +tp2307 +a(g706 +g1000 +tp2308 +a(g745 +Vnil +p2309 +tp2310 +a(g117 +V? +p2311 +tp2312 +a(g827 +g1004 +tp2313 +a(g117 +Vchannel +p2314 +tp2315 +a(g706 +g1010 +tp2316 +a(g827 +V\u000a +p2317 +tp2318 +a(g898 +V; say to all channels +p2319 +tp2320 +a(g827 +V\u000a +p2321 +tp2322 +a(g706 +g1000 +tp2323 +a(g745 +Vdolist +p2324 +tp2325 +a(g827 +g1004 +tp2326 +a(g706 +g1000 +tp2327 +a(g441 +Vc +p2328 +tp2329 +a(g827 +g1004 +tp2330 +a(g117 +VIchannels +p2331 +tp2332 +a(g706 +g1010 +tp2333 +a(g827 +V\u000a +p2334 +tp2335 +a(g706 +g1000 +tp2336 +a(g745 +Vnet-send +p2337 +tp2338 +a(g827 +g1004 +tp2339 +a(g117 +VIserver +p2340 +tp2341 +a(g827 +g1004 +tp2342 +a(g706 +g1000 +tp2343 +a(g745 +Vformat +p2344 +tp2345 +a(g827 +g1004 +tp2346 +a(g89 +V"PRIVMSG %s :%s\u005cr\u005cn" +p2347 +tp2348 +a(g827 +g1004 +tp2349 +a(g117 +g2328 +tp2350 +a(g827 +g1004 +tp2351 +a(g117 +Vmessage +p2352 +tp2353 +a(g706 +g1010 +tp2354 +a(g706 +g1010 +tp2355 +a(g706 +g1010 +tp2356 +a(g827 +V\u000a +p2357 +tp2358 +a(g898 +V; say to specified channel +p2359 +tp2360 +a(g827 +V\u000a +p2361 +tp2362 +a(g706 +g1000 +tp2363 +a(g745 +Vif +p2364 +tp2365 +a(g827 +g1004 +tp2366 +a(g706 +g1000 +tp2367 +a(g745 +Vfind +p2368 +tp2369 +a(g827 +g1004 +tp2370 +a(g117 +Vchannel +p2371 +tp2372 +a(g827 +g1004 +tp2373 +a(g117 +VIchannels +p2374 +tp2375 +a(g706 +g1010 +tp2376 +a(g827 +V\u000a +p2377 +tp2378 +a(g706 +g1000 +tp2379 +a(g745 +Vnet-send +p2380 +tp2381 +a(g827 +g1004 +tp2382 +a(g117 +VIserver +p2383 +tp2384 +a(g827 +g1004 +tp2385 +a(g706 +g1000 +tp2386 +a(g745 +Vformat +p2387 +tp2388 +a(g827 +g1004 +tp2389 +a(g89 +V"PRIVMSG %s :%s\u005cr\u005cn" +p2390 +tp2391 +a(g827 +g1004 +tp2392 +a(g117 +Vchannel +p2393 +tp2394 +a(g827 +g1004 +tp2395 +a(g117 +Vmessage +p2396 +tp2397 +a(g706 +g1010 +tp2398 +a(g706 +g1010 +tp2399 +a(g706 +g1010 +tp2400 +a(g706 +g1010 +tp2401 +a(g706 +g1010 +tp2402 +a(g706 +g1010 +tp2403 +a(g827 +V\u000a +p2404 +tp2405 +a(g706 +g1000 +tp2406 +a(g441 +Vdo-callbacks +p2407 +tp2408 +a(g827 +g1004 +tp2409 +a(g89 +V"send-to-server" +p2410 +tp2411 +a(g827 +g1004 +tp2412 +a(g706 +g1000 +tp2413 +a(g745 +Vlist +p2414 +tp2415 +a(g827 +g1004 +tp2416 +a(g706 +g1000 +tp2417 +a(g745 +Vlist +p2418 +tp2419 +a(g827 +g1004 +tp2420 +a(g89 +V"channel" +p2421 +tp2422 +a(g827 +g1004 +tp2423 +a(g117 +Vchannel +p2424 +tp2425 +a(g706 +g1010 +tp2426 +a(g827 +g1004 +tp2427 +a(g706 +g1000 +tp2428 +a(g745 +Vlist +p2429 +tp2430 +a(g827 +g1004 +tp2431 +a(g89 +V"message" +p2432 +tp2433 +a(g827 +g1004 +tp2434 +a(g117 +Vmessage +p2435 +tp2436 +a(g706 +g1010 +tp2437 +a(g706 +g1010 +tp2438 +a(g706 +g1010 +tp2439 +a(g706 +g1010 +tp2440 +a(g827 +V\u000a\u000a +p2441 +tp2442 +a(g706 +g1000 +tp2443 +a(g745 +Vdefine +p2444 +tp2445 +a(g827 +g1004 +tp2446 +a(g706 +g1000 +tp2447 +a(g745 +Vprocess +p2448 +tp2449 +a(g745 +g1101 +tp2450 +a(g117 +Vcommand +p2451 +tp2452 +a(g827 +g1004 +tp2453 +a(g117 +Vsender +p2454 +tp2455 +a(g827 +g1004 +tp2456 +a(g117 +Vcommand +p2457 +tp2458 +a(g827 +g1004 +tp2459 +a(g117 +Vtext +p2460 +tp2461 +a(g706 +g1010 +tp2462 +a(g827 +V\u000a +p2463 +tp2464 +a(g706 +g1000 +tp2465 +a(g745 +Vcond +p2466 +tp2467 +a(g827 +V\u000a +p2468 +tp2469 +a(g706 +g1000 +tp2470 +a(g706 +g1000 +tp2471 +a(g441 +V= +p2472 +tp2473 +a(g827 +g1004 +tp2474 +a(g117 +Vsender +p2475 +tp2476 +a(g827 +g1004 +tp2477 +a(g89 +V"PING" +p2478 +tp2479 +a(g706 +g1010 +tp2480 +a(g827 +V\u000a +p2481 +tp2482 +a(g706 +g1000 +tp2483 +a(g745 +Vnet-send +p2484 +tp2485 +a(g827 +g1004 +tp2486 +a(g117 +VIserver +p2487 +tp2488 +a(g827 +g1004 +tp2489 +a(g706 +g1000 +tp2490 +a(g745 +Vformat +p2491 +tp2492 +a(g827 +g1004 +tp2493 +a(g89 +V"PONG %s\u005cr\u005cn" +p2494 +tp2495 +a(g827 +g1004 +tp2496 +a(g117 +Vcommand +p2497 +tp2498 +a(g706 +g1010 +tp2499 +a(g706 +g1010 +tp2500 +a(g706 +g1010 +tp2501 +a(g827 +V\u000a +p2502 +tp2503 +a(g706 +g1000 +tp2504 +a(g706 +g1000 +tp2505 +a(g745 +Vor +p2506 +tp2507 +a(g827 +g1004 +tp2508 +a(g706 +g1000 +tp2509 +a(g441 +g2472 +tp2510 +a(g827 +g1004 +tp2511 +a(g117 +Vcommand +p2512 +tp2513 +a(g827 +g1004 +tp2514 +a(g89 +V"NOTICE" +p2515 +tp2516 +a(g706 +g1010 +tp2517 +a(g827 +g1004 +tp2518 +a(g706 +g1000 +tp2519 +a(g441 +g2472 +tp2520 +a(g827 +g1004 +tp2521 +a(g117 +Vcommand +p2522 +tp2523 +a(g827 +g1004 +tp2524 +a(g89 +V"PRIVMSG" +p2525 +tp2526 +a(g706 +g1010 +tp2527 +a(g706 +g1010 +tp2528 +a(g827 +V\u000a +p2529 +tp2530 +a(g706 +g1000 +tp2531 +a(g745 +Vprocess +p2532 +tp2533 +a(g745 +g1101 +tp2534 +a(g117 +Vmessage +p2535 +tp2536 +a(g827 +g1004 +tp2537 +a(g117 +Vsender +p2538 +tp2539 +a(g827 +g1004 +tp2540 +a(g117 +Vcommand +p2541 +tp2542 +a(g827 +g1004 +tp2543 +a(g117 +Vtext +p2544 +tp2545 +a(g706 +g1010 +tp2546 +a(g706 +g1010 +tp2547 +a(g827 +V\u000a +p2548 +tp2549 +a(g706 +g1000 +tp2550 +a(g706 +g1000 +tp2551 +a(g441 +g2472 +tp2552 +a(g827 +g1004 +tp2553 +a(g117 +Vcommand +p2554 +tp2555 +a(g827 +g1004 +tp2556 +a(g89 +V"JOIN" +p2557 +tp2558 +a(g706 +g1010 +tp2559 +a(g827 +V\u000a +p2560 +tp2561 +a(g706 +g1000 +tp2562 +a(g745 +Vset +p2563 +tp2564 +a(g827 +g1004 +tp2565 +a(g413 +g1006 +tp2566 +a(g117 +Vusername +p2567 +tp2568 +a(g827 +g1004 +tp2569 +a(g706 +g1000 +tp2570 +a(g745 +Vfirst +p2571 +tp2572 +a(g827 +g1004 +tp2573 +a(g706 +g1000 +tp2574 +a(g745 +Vclean +p2575 +tp2576 +a(g827 +g1004 +tp2577 +a(g117 +Vempty? +p2578 +tp2579 +a(g827 +g1004 +tp2580 +a(g706 +g1000 +tp2581 +a(g745 +Vparse +p2582 +tp2583 +a(g827 +g1004 +tp2584 +a(g117 +Vsender +p2585 +tp2586 +a(g827 +g1004 +tp2587 +a(g89 +g1118 +tp2588 +a(g89 +V!|: +p2589 +tp2590 +a(g89 +g1122 +tp2591 +a(g827 +g1004 +tp2592 +a(g117 +g2229 +tp2593 +a(g706 +g1010 +tp2594 +a(g706 +g1010 +tp2595 +a(g706 +g1010 +tp2596 +a(g706 +g1010 +tp2597 +a(g827 +V\u000a +p2598 +tp2599 +a(g706 +g1000 +tp2600 +a(g745 +Vset +p2601 +tp2602 +a(g827 +g1004 +tp2603 +a(g413 +g1006 +tp2604 +a(g117 +Vchannel +p2605 +tp2606 +a(g827 +V +p2607 +tp2608 +a(g706 +g1000 +tp2609 +a(g745 +Vlast +p2610 +tp2611 +a(g827 +V +p2612 +tp2613 +a(g706 +g1000 +tp2614 +a(g745 +Vclean +p2615 +tp2616 +a(g827 +g1004 +tp2617 +a(g117 +Vempty? +p2618 +tp2619 +a(g827 +g1004 +tp2620 +a(g706 +g1000 +tp2621 +a(g745 +Vparse +p2622 +tp2623 +a(g827 +g1004 +tp2624 +a(g117 +Vsender +p2625 +tp2626 +a(g827 +g1004 +tp2627 +a(g89 +g1118 +tp2628 +a(g89 +V!|: +p2629 +tp2630 +a(g89 +g1122 +tp2631 +a(g827 +g1004 +tp2632 +a(g117 +g2229 +tp2633 +a(g706 +g1010 +tp2634 +a(g706 +g1010 +tp2635 +a(g706 +g1010 +tp2636 +a(g706 +g1010 +tp2637 +a(g827 +V\u000a +p2638 +tp2639 +a(g706 +g1000 +tp2640 +a(g745 +Vprintln +p2641 +tp2642 +a(g827 +g1004 +tp2643 +a(g89 +g1118 +tp2644 +a(g89 +Vusername +p2645 +tp2646 +a(g89 +g1122 +tp2647 +a(g827 +g1004 +tp2648 +a(g117 +Vusername +p2649 +tp2650 +a(g827 +g1004 +tp2651 +a(g89 +g1118 +tp2652 +a(g89 +V joined +p2653 +tp2654 +a(g89 +g1122 +tp2655 +a(g827 +g1004 +tp2656 +a(g117 +Vchannel +p2657 +tp2658 +a(g706 +g1010 +tp2659 +a(g827 +V\u000a +p2660 +tp2661 +a(g706 +g1000 +tp2662 +a(g441 +Vdo-callbacks +p2663 +tp2664 +a(g827 +g1004 +tp2665 +a(g89 +V"join" +p2666 +tp2667 +a(g827 +g1004 +tp2668 +a(g706 +g1000 +tp2669 +a(g745 +Vlist +p2670 +tp2671 +a(g827 +g1004 +tp2672 +a(g706 +g1000 +tp2673 +a(g745 +Vlist +p2674 +tp2675 +a(g827 +g1004 +tp2676 +a(g89 +V"channel" +p2677 +tp2678 +a(g827 +g1004 +tp2679 +a(g117 +Vchannel +p2680 +tp2681 +a(g706 +g1010 +tp2682 +a(g827 +g1004 +tp2683 +a(g706 +g1000 +tp2684 +a(g745 +Vlist +p2685 +tp2686 +a(g827 +g1004 +tp2687 +a(g89 +V"username" +p2688 +tp2689 +a(g827 +g1004 +tp2690 +a(g117 +Vusername +p2691 +tp2692 +a(g706 +g1010 +tp2693 +a(g706 +g1010 +tp2694 +a(g706 +g1010 +tp2695 +a(g706 +g1010 +tp2696 +a(g827 +V\u000a +p2697 +tp2698 +a(g706 +g1000 +tp2699 +a(g745 +Vtrue +p2700 +tp2701 +a(g827 +V\u000a +p2702 +tp2703 +a(g745 +Vnil +p2704 +tp2705 +a(g706 +g1010 +tp2706 +a(g706 +g1010 +tp2707 +a(g706 +g1010 +tp2708 +a(g827 +V\u000a\u000a +p2709 +tp2710 +a(g706 +g1000 +tp2711 +a(g745 +Vdefine +p2712 +tp2713 +a(g827 +g1004 +tp2714 +a(g706 +g1000 +tp2715 +a(g745 +Vprocess +p2716 +tp2717 +a(g745 +g1101 +tp2718 +a(g117 +Vmessage +p2719 +tp2720 +a(g827 +g1004 +tp2721 +a(g117 +Vsender +p2722 +tp2723 +a(g827 +g1004 +tp2724 +a(g117 +Vcommand +p2725 +tp2726 +a(g827 +g1004 +tp2727 +a(g117 +Vtext +p2728 +tp2729 +a(g706 +g1010 +tp2730 +a(g827 +V\u000a +p2731 +tp2732 +a(g706 +g1000 +tp2733 +a(g745 +Vlet +p2734 +tp2735 +a(g827 +g1004 +tp2736 +a(g706 +g1000 +tp2737 +a(g706 +g1000 +tp2738 +a(g441 +Vusername +p2739 +tp2740 +a(g827 +g1004 +tp2741 +a(g89 +g1118 +tp2742 +a(g89 +g1122 +tp2743 +a(g827 +g1004 +tp2744 +a(g117 +Vtarget +p2745 +tp2746 +a(g827 +g1004 +tp2747 +a(g89 +g1118 +tp2748 +a(g89 +g1122 +tp2749 +a(g827 +g1004 +tp2750 +a(g117 +Vmessage +p2751 +tp2752 +a(g827 +g1004 +tp2753 +a(g89 +g1118 +tp2754 +a(g89 +g1122 +tp2755 +a(g706 +g1010 +tp2756 +a(g706 +g1010 +tp2757 +a(g827 +V\u000a +p2758 +tp2759 +a(g706 +g1000 +tp2760 +a(g745 +Vset +p2761 +tp2762 +a(g827 +g1004 +tp2763 +a(g413 +g1006 +tp2764 +a(g117 +Vusername +p2765 +tp2766 +a(g827 +g1004 +tp2767 +a(g706 +g1000 +tp2768 +a(g745 +Vfirst +p2769 +tp2770 +a(g827 +g1004 +tp2771 +a(g706 +g1000 +tp2772 +a(g745 +Vclean +p2773 +tp2774 +a(g827 +g1004 +tp2775 +a(g117 +Vempty? +p2776 +tp2777 +a(g827 +g1004 +tp2778 +a(g706 +g1000 +tp2779 +a(g745 +Vparse +p2780 +tp2781 +a(g827 +g1004 +tp2782 +a(g117 +Vsender +p2783 +tp2784 +a(g827 +g1004 +tp2785 +a(g89 +g1118 +tp2786 +a(g89 +V!|: +p2787 +tp2788 +a(g89 +g1122 +tp2789 +a(g827 +g1004 +tp2790 +a(g117 +g2229 +tp2791 +a(g706 +g1010 +tp2792 +a(g706 +g1010 +tp2793 +a(g706 +g1010 +tp2794 +a(g706 +g1010 +tp2795 +a(g827 +V\u000a +p2796 +tp2797 +a(g706 +g1000 +tp2798 +a(g745 +Vset +p2799 +tp2800 +a(g827 +g1004 +tp2801 +a(g413 +g1006 +tp2802 +a(g117 +Vtarget +p2803 +tp2804 +a(g827 +V +p2805 +tp2806 +a(g706 +g1000 +tp2807 +a(g745 +Vtrim +p2808 +tp2809 +a(g827 +V +p2810 +tp2811 +a(g706 +g1000 +tp2812 +a(g745 +Vfirst +p2813 +tp2814 +a(g827 +g1004 +tp2815 +a(g706 +g1000 +tp2816 +a(g745 +Vclean +p2817 +tp2818 +a(g827 +g1004 +tp2819 +a(g117 +Vempty? +p2820 +tp2821 +a(g827 +g1004 +tp2822 +a(g706 +g1000 +tp2823 +a(g745 +Vparse +p2824 +tp2825 +a(g827 +g1004 +tp2826 +a(g117 +Vtext +p2827 +tp2828 +a(g827 +g1004 +tp2829 +a(g89 +g1118 +tp2830 +a(g89 +V!|: +p2831 +tp2832 +a(g89 +g1122 +tp2833 +a(g827 +g1004 +tp2834 +a(g117 +g2229 +tp2835 +a(g706 +g1010 +tp2836 +a(g706 +g1010 +tp2837 +a(g706 +g1010 +tp2838 +a(g706 +g1010 +tp2839 +a(g706 +g1010 +tp2840 +a(g827 +V\u000a +p2841 +tp2842 +a(g706 +g1000 +tp2843 +a(g745 +Vset +p2844 +tp2845 +a(g827 +g1004 +tp2846 +a(g413 +g1006 +tp2847 +a(g117 +Vmessage +p2848 +tp2849 +a(g827 +V +p2850 +tp2851 +a(g706 +g1000 +tp2852 +a(g745 +Vslice +p2853 +tp2854 +a(g827 +g1004 +tp2855 +a(g117 +Vtext +p2856 +tp2857 +a(g827 +g1004 +tp2858 +a(g706 +g1000 +tp2859 +a(g441 +V+ +p2860 +tp2861 +a(g827 +g1004 +tp2862 +a(g706 +g1000 +tp2863 +a(g745 +Vfind +p2864 +tp2865 +a(g827 +g1004 +tp2866 +a(g89 +g1118 +tp2867 +a(g89 +V: +p2868 +tp2869 +a(g89 +g1122 +tp2870 +a(g827 +g1004 +tp2871 +a(g117 +Vtext +p2872 +tp2873 +a(g706 +g1010 +tp2874 +a(g827 +g1004 +tp2875 +a(g117 +V1 +p2876 +tp2877 +a(g706 +g1010 +tp2878 +a(g706 +g1010 +tp2879 +a(g706 +g1010 +tp2880 +a(g827 +V\u000a +p2881 +tp2882 +a(g706 +g1000 +tp2883 +a(g745 +Vcond +p2884 +tp2885 +a(g827 +V \u000a +p2886 +tp2887 +a(g706 +g1000 +tp2888 +a(g706 +g1000 +tp2889 +a(g745 +Vstarts-with +p2890 +tp2891 +a(g827 +g1004 +tp2892 +a(g117 +Vmessage +p2893 +tp2894 +a(g827 +g1004 +tp2895 +a(g89 +V"\u005c001" +p2896 +tp2897 +a(g706 +g1010 +tp2898 +a(g827 +V\u000a +p2899 +tp2900 +a(g706 +g1000 +tp2901 +a(g745 +Vprocess +p2902 +tp2903 +a(g745 +g1101 +tp2904 +a(g117 +Vctcp +p2905 +tp2906 +a(g827 +g1004 +tp2907 +a(g117 +Vusername +p2908 +tp2909 +a(g827 +g1004 +tp2910 +a(g117 +Vtarget +p2911 +tp2912 +a(g827 +g1004 +tp2913 +a(g117 +Vmessage +p2914 +tp2915 +a(g706 +g1010 +tp2916 +a(g706 +g1010 +tp2917 +a(g827 +V\u000a +p2918 +tp2919 +a(g706 +g1000 +tp2920 +a(g706 +g1000 +tp2921 +a(g745 +Vfind +p2922 +tp2923 +a(g827 +g1004 +tp2924 +a(g117 +Vtarget +p2925 +tp2926 +a(g827 +g1004 +tp2927 +a(g117 +VIchannels +p2928 +tp2929 +a(g706 +g1010 +tp2930 +a(g827 +V\u000a +p2931 +tp2932 +a(g706 +g1000 +tp2933 +a(g745 +Vcond +p2934 +tp2935 +a(g827 +V \u000a +p2936 +tp2937 +a(g706 +g1000 +tp2938 +a(g706 +g1000 +tp2939 +a(g441 +g2472 +tp2940 +a(g827 +g1004 +tp2941 +a(g117 +Vcommand +p2942 +tp2943 +a(g827 +g1004 +tp2944 +a(g89 +g1118 +tp2945 +a(g89 +VPRIVMSG +p2946 +tp2947 +a(g89 +g1122 +tp2948 +a(g706 +g1010 +tp2949 +a(g827 +V\u000a +p2950 +tp2951 +a(g706 +g1000 +tp2952 +a(g441 +Vdo-callbacks +p2953 +tp2954 +a(g827 +g1004 +tp2955 +a(g89 +V"channel-message" +p2956 +tp2957 +a(g827 +g1004 +tp2958 +a(g706 +g1000 +tp2959 +a(g745 +Vlist +p2960 +tp2961 +a(g827 +g1004 +tp2962 +a(g706 +g1000 +tp2963 +a(g745 +Vlist +p2964 +tp2965 +a(g827 +g1004 +tp2966 +a(g89 +V"channel" +p2967 +tp2968 +a(g827 +g1004 +tp2969 +a(g117 +Vtarget +p2970 +tp2971 +a(g706 +g1010 +tp2972 +a(g827 +g1004 +tp2973 +a(g706 +g1000 +tp2974 +a(g745 +Vlist +p2975 +tp2976 +a(g827 +g1004 +tp2977 +a(g89 +V"username" +p2978 +tp2979 +a(g827 +g1004 +tp2980 +a(g117 +Vusername +p2981 +tp2982 +a(g706 +g1010 +tp2983 +a(g827 +g1004 +tp2984 +a(g706 +g1000 +tp2985 +a(g745 +Vlist +p2986 +tp2987 +a(g827 +g1004 +tp2988 +a(g89 +V"message" +p2989 +tp2990 +a(g827 +g1004 +tp2991 +a(g117 +Vmessage +p2992 +tp2993 +a(g706 +g1010 +tp2994 +a(g706 +g1010 +tp2995 +a(g706 +g1010 +tp2996 +a(g706 +g1010 +tp2997 +a(g827 +V\u000a +p2998 +tp2999 +a(g706 +g1000 +tp3000 +a(g706 +g1000 +tp3001 +a(g441 +g2472 +tp3002 +a(g827 +g1004 +tp3003 +a(g117 +Vcommand +p3004 +tp3005 +a(g827 +g1004 +tp3006 +a(g89 +g1118 +tp3007 +a(g89 +VNOTICE +p3008 +tp3009 +a(g89 +g1122 +tp3010 +a(g706 +g1010 +tp3011 +a(g827 +V\u000a +p3012 +tp3013 +a(g706 +g1000 +tp3014 +a(g441 +Vdo-callbacks +p3015 +tp3016 +a(g827 +g1004 +tp3017 +a(g89 +V"channel-notice" +p3018 +tp3019 +a(g827 +V +p3020 +tp3021 +a(g706 +g1000 +tp3022 +a(g745 +Vlist +p3023 +tp3024 +a(g827 +g1004 +tp3025 +a(g706 +g1000 +tp3026 +a(g745 +Vlist +p3027 +tp3028 +a(g827 +g1004 +tp3029 +a(g89 +V"channel" +p3030 +tp3031 +a(g827 +g1004 +tp3032 +a(g117 +Vtarget +p3033 +tp3034 +a(g706 +g1010 +tp3035 +a(g827 +g1004 +tp3036 +a(g706 +g1000 +tp3037 +a(g745 +Vlist +p3038 +tp3039 +a(g827 +g1004 +tp3040 +a(g89 +V"username" +p3041 +tp3042 +a(g827 +g1004 +tp3043 +a(g117 +Vusername +p3044 +tp3045 +a(g706 +g1010 +tp3046 +a(g827 +g1004 +tp3047 +a(g706 +g1000 +tp3048 +a(g745 +Vlist +p3049 +tp3050 +a(g827 +g1004 +tp3051 +a(g89 +V"message" +p3052 +tp3053 +a(g827 +g1004 +tp3054 +a(g117 +Vmessage +p3055 +tp3056 +a(g706 +g1010 +tp3057 +a(g706 +g1010 +tp3058 +a(g706 +g1010 +tp3059 +a(g706 +g1010 +tp3060 +a(g706 +g1010 +tp3061 +a(g706 +g1010 +tp3062 +a(g827 +V\u000a +p3063 +tp3064 +a(g706 +g1000 +tp3065 +a(g706 +g1000 +tp3066 +a(g441 +g2472 +tp3067 +a(g827 +g1004 +tp3068 +a(g117 +Vtarget +p3069 +tp3070 +a(g827 +g1004 +tp3071 +a(g117 +VInickname +p3072 +tp3073 +a(g706 +g1010 +tp3074 +a(g827 +V\u000a +p3075 +tp3076 +a(g706 +g1000 +tp3077 +a(g745 +Vcond +p3078 +tp3079 +a(g827 +V \u000a +p3080 +tp3081 +a(g706 +g1000 +tp3082 +a(g706 +g1000 +tp3083 +a(g441 +g2472 +tp3084 +a(g827 +g1004 +tp3085 +a(g117 +Vcommand +p3086 +tp3087 +a(g827 +g1004 +tp3088 +a(g89 +g1118 +tp3089 +a(g89 +VPRIVMSG +p3090 +tp3091 +a(g89 +g1122 +tp3092 +a(g706 +g1010 +tp3093 +a(g827 +V\u000a +p3094 +tp3095 +a(g706 +g1000 +tp3096 +a(g441 +Vdo-callbacks +p3097 +tp3098 +a(g827 +g1004 +tp3099 +a(g89 +V"private-message" +p3100 +tp3101 +a(g827 +g1004 +tp3102 +a(g706 +g1000 +tp3103 +a(g745 +Vlist +p3104 +tp3105 +a(g827 +g1004 +tp3106 +a(g706 +g1000 +tp3107 +a(g745 +Vlist +p3108 +tp3109 +a(g827 +g1004 +tp3110 +a(g89 +V"username" +p3111 +tp3112 +a(g827 +g1004 +tp3113 +a(g117 +Vusername +p3114 +tp3115 +a(g706 +g1010 +tp3116 +a(g827 +g1004 +tp3117 +a(g706 +g1000 +tp3118 +a(g745 +Vlist +p3119 +tp3120 +a(g827 +g1004 +tp3121 +a(g89 +V"message" +p3122 +tp3123 +a(g827 +g1004 +tp3124 +a(g117 +Vmessage +p3125 +tp3126 +a(g706 +g1010 +tp3127 +a(g706 +g1010 +tp3128 +a(g706 +g1010 +tp3129 +a(g706 +g1010 +tp3130 +a(g827 +V\u000a +p3131 +tp3132 +a(g706 +g1000 +tp3133 +a(g706 +g1000 +tp3134 +a(g441 +g2472 +tp3135 +a(g827 +g1004 +tp3136 +a(g117 +Vcommand +p3137 +tp3138 +a(g827 +g1004 +tp3139 +a(g89 +g1118 +tp3140 +a(g89 +VNOTICE +p3141 +tp3142 +a(g89 +g1122 +tp3143 +a(g706 +g1010 +tp3144 +a(g827 +V\u000a +p3145 +tp3146 +a(g706 +g1000 +tp3147 +a(g441 +Vdo-callbacks +p3148 +tp3149 +a(g827 +g1004 +tp3150 +a(g89 +V"private-notice" +p3151 +tp3152 +a(g827 +V +p3153 +tp3154 +a(g706 +g1000 +tp3155 +a(g745 +Vlist +p3156 +tp3157 +a(g827 +g1004 +tp3158 +a(g706 +g1000 +tp3159 +a(g745 +Vlist +p3160 +tp3161 +a(g827 +g1004 +tp3162 +a(g89 +V"username" +p3163 +tp3164 +a(g827 +g1004 +tp3165 +a(g117 +Vusername +p3166 +tp3167 +a(g706 +g1010 +tp3168 +a(g827 +g1004 +tp3169 +a(g706 +g1000 +tp3170 +a(g745 +Vlist +p3171 +tp3172 +a(g827 +g1004 +tp3173 +a(g89 +V"message" +p3174 +tp3175 +a(g827 +g1004 +tp3176 +a(g117 +Vmessage +p3177 +tp3178 +a(g706 +g1010 +tp3179 +a(g706 +g1010 +tp3180 +a(g706 +g1010 +tp3181 +a(g706 +g1010 +tp3182 +a(g706 +g1010 +tp3183 +a(g706 +g1010 +tp3184 +a(g827 +V\u000a +p3185 +tp3186 +a(g706 +g1000 +tp3187 +a(g745 +Vtrue +p3188 +tp3189 +a(g827 +V \u000a +p3190 +tp3191 +a(g745 +Vnil +p3192 +tp3193 +a(g706 +g1010 +tp3194 +a(g706 +g1010 +tp3195 +a(g706 +g1010 +tp3196 +a(g706 +g1010 +tp3197 +a(g827 +V\u000a \u000a +p3198 +tp3199 +a(g706 +g1000 +tp3200 +a(g745 +Vdefine +p3201 +tp3202 +a(g827 +g1004 +tp3203 +a(g706 +g1000 +tp3204 +a(g745 +Vprocess +p3205 +tp3206 +a(g745 +g1101 +tp3207 +a(g117 +Vctcp +p3208 +tp3209 +a(g827 +g1004 +tp3210 +a(g117 +Vusername +p3211 +tp3212 +a(g827 +g1004 +tp3213 +a(g117 +Vtarget +p3214 +tp3215 +a(g827 +g1004 +tp3216 +a(g117 +Vmessage +p3217 +tp3218 +a(g706 +g1010 +tp3219 +a(g827 +V\u000a +p3220 +tp3221 +a(g706 +g1000 +tp3222 +a(g745 +Vcond +p3223 +tp3224 +a(g827 +V\u000a +p3225 +tp3226 +a(g706 +g1000 +tp3227 +a(g706 +g1000 +tp3228 +a(g745 +Vstarts-with +p3229 +tp3230 +a(g827 +g1004 +tp3231 +a(g117 +Vmessage +p3232 +tp3233 +a(g827 +g1004 +tp3234 +a(g89 +V"\u005c001VERSION\u005c001" +p3235 +tp3236 +a(g706 +g1010 +tp3237 +a(g827 +V\u000a +p3238 +tp3239 +a(g706 +g1000 +tp3240 +a(g745 +Vnet-send +p3241 +tp3242 +a(g827 +g1004 +tp3243 +a(g117 +VIserver +p3244 +tp3245 +a(g827 +g1004 +tp3246 +a(g706 +g1000 +tp3247 +a(g745 +Vformat +p3248 +tp3249 +a(g827 +g1004 +tp3250 +a(g89 +V"NOTICE %s :\u005c001VERSION %s\u005c001\u005cr\u005cn" +p3251 +tp3252 +a(g827 +g1004 +tp3253 +a(g117 +Vusername +p3254 +tp3255 +a(g827 +g1004 +tp3256 +a(g117 +Vversion +p3257 +tp3258 +a(g706 +g1010 +tp3259 +a(g706 +g1010 +tp3260 +a(g706 +g1010 +tp3261 +a(g827 +V\u000a +p3262 +tp3263 +a(g706 +g1000 +tp3264 +a(g706 +g1000 +tp3265 +a(g745 +Vstarts-with +p3266 +tp3267 +a(g827 +g1004 +tp3268 +a(g117 +Vmessage +p3269 +tp3270 +a(g827 +g1004 +tp3271 +a(g89 +V"\u005c001PING" +p3272 +tp3273 +a(g706 +g1010 +tp3274 +a(g827 +V\u000a +p3275 +tp3276 +a(g706 +g1000 +tp3277 +a(g745 +Vset +p3278 +tp3279 +a(g827 +g1004 +tp3280 +a(g413 +g1006 +tp3281 +a(g117 +Vdata +p3282 +tp3283 +a(g827 +g1004 +tp3284 +a(g706 +g1000 +tp3285 +a(g745 +Vfirst +p3286 +tp3287 +a(g827 +g1004 +tp3288 +a(g706 +g1000 +tp3289 +a(g745 +Vrest +p3290 +tp3291 +a(g827 +g1004 +tp3292 +a(g706 +g1000 +tp3293 +a(g745 +Vclean +p3294 +tp3295 +a(g827 +g1004 +tp3296 +a(g117 +Vempty? +p3297 +tp3298 +a(g827 +g1004 +tp3299 +a(g706 +g1000 +tp3300 +a(g745 +Vparse +p3301 +tp3302 +a(g827 +g1004 +tp3303 +a(g117 +Vmessage +p3304 +tp3305 +a(g827 +g1004 +tp3306 +a(g89 +g1118 +tp3307 +a(g89 +g1004 +tp3308 +a(g89 +g1122 +tp3309 +a(g827 +g1004 +tp3310 +a(g117 +g2229 +tp3311 +a(g706 +g1010 +tp3312 +a(g706 +g1010 +tp3313 +a(g706 +g1010 +tp3314 +a(g706 +g1010 +tp3315 +a(g706 +g1010 +tp3316 +a(g827 +V\u000a +p3317 +tp3318 +a(g706 +g1000 +tp3319 +a(g745 +Vset +p3320 +tp3321 +a(g827 +g1004 +tp3322 +a(g413 +g1006 +tp3323 +a(g117 +Vdata +p3324 +tp3325 +a(g827 +g1004 +tp3326 +a(g706 +g1000 +tp3327 +a(g745 +Vtrim +p3328 +tp3329 +a(g827 +g1004 +tp3330 +a(g117 +Vdata +p3331 +tp3332 +a(g827 +g1004 +tp3333 +a(g89 +V"\u005c001" +p3334 +tp3335 +a(g827 +g1004 +tp3336 +a(g89 +V"\u005c001" +p3337 +tp3338 +a(g706 +g1010 +tp3339 +a(g706 +g1010 +tp3340 +a(g827 +V\u000a +p3341 +tp3342 +a(g706 +g1000 +tp3343 +a(g745 +Vnet-send +p3344 +tp3345 +a(g827 +g1004 +tp3346 +a(g117 +VIserver +p3347 +tp3348 +a(g827 +V +p3349 +tp3350 +a(g706 +g1000 +tp3351 +a(g745 +Vformat +p3352 +tp3353 +a(g827 +g1004 +tp3354 +a(g89 +V"NOTICE %s :\u005c001PING %s\u005c001\u005cr\u005cn" +p3355 +tp3356 +a(g827 +g1004 +tp3357 +a(g117 +Vusername +p3358 +tp3359 +a(g827 +g1004 +tp3360 +a(g117 +Vdata +p3361 +tp3362 +a(g706 +g1010 +tp3363 +a(g706 +g1010 +tp3364 +a(g706 +g1010 +tp3365 +a(g827 +V\u000a +p3366 +tp3367 +a(g706 +g1000 +tp3368 +a(g706 +g1000 +tp3369 +a(g745 +Vstarts-with +p3370 +tp3371 +a(g827 +g1004 +tp3372 +a(g117 +Vmessage +p3373 +tp3374 +a(g827 +g1004 +tp3375 +a(g89 +V"\u005c001ACTION" +p3376 +tp3377 +a(g706 +g1010 +tp3378 +a(g827 +V\u000a +p3379 +tp3380 +a(g706 +g1000 +tp3381 +a(g745 +Vset +p3382 +tp3383 +a(g827 +g1004 +tp3384 +a(g413 +g1006 +tp3385 +a(g117 +Vdata +p3386 +tp3387 +a(g827 +g1004 +tp3388 +a(g706 +g1000 +tp3389 +a(g745 +Vfirst +p3390 +tp3391 +a(g827 +g1004 +tp3392 +a(g706 +g1000 +tp3393 +a(g745 +Vrest +p3394 +tp3395 +a(g827 +g1004 +tp3396 +a(g706 +g1000 +tp3397 +a(g745 +Vclean +p3398 +tp3399 +a(g827 +g1004 +tp3400 +a(g117 +Vempty? +p3401 +tp3402 +a(g827 +g1004 +tp3403 +a(g706 +g1000 +tp3404 +a(g745 +Vparse +p3405 +tp3406 +a(g827 +g1004 +tp3407 +a(g117 +Vmessage +p3408 +tp3409 +a(g827 +g1004 +tp3410 +a(g89 +g1118 +tp3411 +a(g89 +g1004 +tp3412 +a(g89 +g1122 +tp3413 +a(g827 +g1004 +tp3414 +a(g117 +g2229 +tp3415 +a(g706 +g1010 +tp3416 +a(g706 +g1010 +tp3417 +a(g706 +g1010 +tp3418 +a(g706 +g1010 +tp3419 +a(g706 +g1010 +tp3420 +a(g827 +V\u000a +p3421 +tp3422 +a(g706 +g1000 +tp3423 +a(g745 +Vset +p3424 +tp3425 +a(g827 +g1004 +tp3426 +a(g413 +g1006 +tp3427 +a(g117 +Vdata +p3428 +tp3429 +a(g827 +g1004 +tp3430 +a(g706 +g1000 +tp3431 +a(g745 +Vjoin +p3432 +tp3433 +a(g827 +g1004 +tp3434 +a(g117 +Vdata +p3435 +tp3436 +a(g827 +g1004 +tp3437 +a(g89 +g1118 +tp3438 +a(g89 +g1004 +tp3439 +a(g89 +g1122 +tp3440 +a(g706 +g1010 +tp3441 +a(g706 +g1010 +tp3442 +a(g827 +V\u000a +p3443 +tp3444 +a(g706 +g1000 +tp3445 +a(g745 +Vset +p3446 +tp3447 +a(g827 +g1004 +tp3448 +a(g413 +g1006 +tp3449 +a(g117 +Vdata +p3450 +tp3451 +a(g827 +g1004 +tp3452 +a(g706 +g1000 +tp3453 +a(g745 +Vtrim +p3454 +tp3455 +a(g827 +g1004 +tp3456 +a(g117 +Vdata +p3457 +tp3458 +a(g827 +g1004 +tp3459 +a(g89 +V"\u005c001" +p3460 +tp3461 +a(g827 +g1004 +tp3462 +a(g89 +V"\u005c001" +p3463 +tp3464 +a(g706 +g1010 +tp3465 +a(g706 +g1010 +tp3466 +a(g827 +V\u000a +p3467 +tp3468 +a(g706 +g1000 +tp3469 +a(g745 +Vif +p3470 +tp3471 +a(g827 +g1004 +tp3472 +a(g706 +g1000 +tp3473 +a(g745 +Vfind +p3474 +tp3475 +a(g827 +g1004 +tp3476 +a(g117 +Vtarget +p3477 +tp3478 +a(g827 +g1004 +tp3479 +a(g117 +VIchannels +p3480 +tp3481 +a(g706 +g1010 +tp3482 +a(g827 +V\u000a +p3483 +tp3484 +a(g706 +g1000 +tp3485 +a(g441 +Vdo-callbacks +p3486 +tp3487 +a(g827 +g1004 +tp3488 +a(g89 +V"channel-action" +p3489 +tp3490 +a(g827 +g1004 +tp3491 +a(g706 +g1000 +tp3492 +a(g745 +Vlist +p3493 +tp3494 +a(g827 +g1004 +tp3495 +a(g706 +g1000 +tp3496 +a(g745 +Vlist +p3497 +tp3498 +a(g827 +g1004 +tp3499 +a(g89 +V"username" +p3500 +tp3501 +a(g827 +g1004 +tp3502 +a(g117 +Vusername +p3503 +tp3504 +a(g706 +g1010 +tp3505 +a(g827 +g1004 +tp3506 +a(g706 +g1000 +tp3507 +a(g745 +Vlist +p3508 +tp3509 +a(g827 +g1004 +tp3510 +a(g89 +V"message" +p3511 +tp3512 +a(g827 +g1004 +tp3513 +a(g117 +Vmessage +p3514 +tp3515 +a(g706 +g1010 +tp3516 +a(g706 +g1010 +tp3517 +a(g706 +g1010 +tp3518 +a(g706 +g1010 +tp3519 +a(g827 +V\u000a +p3520 +tp3521 +a(g706 +g1000 +tp3522 +a(g745 +Vif +p3523 +tp3524 +a(g827 +g1004 +tp3525 +a(g706 +g1000 +tp3526 +a(g441 +g2472 +tp3527 +a(g827 +g1004 +tp3528 +a(g117 +Vtarget +p3529 +tp3530 +a(g827 +g1004 +tp3531 +a(g117 +VInickname +p3532 +tp3533 +a(g706 +g1010 +tp3534 +a(g827 +V\u000a +p3535 +tp3536 +a(g706 +g1000 +tp3537 +a(g441 +Vdo-callbacks +p3538 +tp3539 +a(g827 +g1004 +tp3540 +a(g89 +V"private-action" +p3541 +tp3542 +a(g827 +g1004 +tp3543 +a(g706 +g1000 +tp3544 +a(g745 +Vlist +p3545 +tp3546 +a(g827 +g1004 +tp3547 +a(g706 +g1000 +tp3548 +a(g745 +Vlist +p3549 +tp3550 +a(g827 +g1004 +tp3551 +a(g89 +V"username" +p3552 +tp3553 +a(g827 +g1004 +tp3554 +a(g117 +Vusername +p3555 +tp3556 +a(g706 +g1010 +tp3557 +a(g827 +g1004 +tp3558 +a(g706 +g1000 +tp3559 +a(g745 +Vlist +p3560 +tp3561 +a(g827 +g1004 +tp3562 +a(g89 +V"message" +p3563 +tp3564 +a(g827 +g1004 +tp3565 +a(g117 +Vmessage +p3566 +tp3567 +a(g706 +g1010 +tp3568 +a(g706 +g1010 +tp3569 +a(g706 +g1010 +tp3570 +a(g706 +g1010 +tp3571 +a(g706 +g1010 +tp3572 +a(g827 +V\u000a +p3573 +tp3574 +a(g706 +g1000 +tp3575 +a(g706 +g1000 +tp3576 +a(g745 +Vstarts-with +p3577 +tp3578 +a(g827 +g1004 +tp3579 +a(g117 +Vmessage +p3580 +tp3581 +a(g827 +g1004 +tp3582 +a(g89 +V"\u005c001TIME\u005c001" +p3583 +tp3584 +a(g706 +g1010 +tp3585 +a(g827 +V\u000a +p3586 +tp3587 +a(g706 +g1000 +tp3588 +a(g745 +Vnet-send +p3589 +tp3590 +a(g827 +g1004 +tp3591 +a(g117 +VIserver +p3592 +tp3593 +a(g827 +g1004 +tp3594 +a(g706 +g1000 +tp3595 +a(g745 +Vformat +p3596 +tp3597 +a(g827 +g1004 +tp3598 +a(g89 +V"NOTICE %s:\u005c001TIME :%s\u005c001\u005cr\u005cn" +p3599 +tp3600 +a(g827 +g1004 +tp3601 +a(g117 +Vusername +p3602 +tp3603 +a(g827 +g1004 +tp3604 +a(g706 +g1000 +tp3605 +a(g745 +Vdate +p3606 +tp3607 +a(g706 +g1010 +tp3608 +a(g706 +g1010 +tp3609 +a(g706 +g1010 +tp3610 +a(g706 +g1010 +tp3611 +a(g706 +g1010 +tp3612 +a(g706 +g1010 +tp3613 +a(g827 +V\u000a\u000a +p3614 +tp3615 +a(g706 +g1000 +tp3616 +a(g745 +Vdefine +p3617 +tp3618 +a(g827 +g1004 +tp3619 +a(g706 +g1000 +tp3620 +a(g745 +Vparse +p3621 +tp3622 +a(g745 +g1101 +tp3623 +a(g117 +Vbuffer +p3624 +tp3625 +a(g827 +g1004 +tp3626 +a(g117 +Vraw-buffer +p3627 +tp3628 +a(g706 +g1010 +tp3629 +a(g827 +V\u000a +p3630 +tp3631 +a(g706 +g1000 +tp3632 +a(g745 +Vlet +p3633 +tp3634 +a(g827 +g1004 +tp3635 +a(g706 +g1000 +tp3636 +a(g706 +g1000 +tp3637 +a(g441 +Vmessages +p3638 +tp3639 +a(g827 +g1004 +tp3640 +a(g706 +g1000 +tp3641 +a(g745 +Vclean +p3642 +tp3643 +a(g827 +g1004 +tp3644 +a(g117 +Vempty? +p3645 +tp3646 +a(g827 +g1004 +tp3647 +a(g706 +g1000 +tp3648 +a(g745 +Vparse +p3649 +tp3650 +a(g827 +g1004 +tp3651 +a(g117 +Vraw-buffer +p3652 +tp3653 +a(g827 +g1004 +tp3654 +a(g89 +V"\u005cr\u005cn" +p3655 +tp3656 +a(g827 +g1004 +tp3657 +a(g117 +g2229 +tp3658 +a(g706 +g1010 +tp3659 +a(g706 +g1010 +tp3660 +a(g706 +g1010 +tp3661 +a(g827 +V\u000a +p3662 +tp3663 +a(g706 +g1000 +tp3664 +a(g441 +Vsender +p3665 +tp3666 +a(g827 +g1004 +tp3667 +a(g89 +g1118 +tp3668 +a(g89 +g1122 +tp3669 +a(g827 +g1004 +tp3670 +a(g117 +Vcommand +p3671 +tp3672 +a(g827 +g1004 +tp3673 +a(g89 +g1118 +tp3674 +a(g89 +g1122 +tp3675 +a(g827 +g1004 +tp3676 +a(g117 +Vtext +p3677 +tp3678 +a(g827 +g1004 +tp3679 +a(g89 +g1118 +tp3680 +a(g89 +g1122 +tp3681 +a(g706 +g1010 +tp3682 +a(g706 +g1010 +tp3683 +a(g827 +V\u000a +p3684 +tp3685 +a(g898 +V; check for elapsed time since last activity +p3686 +tp3687 +a(g827 +V\u000a +p3688 +tp3689 +a(g706 +g1000 +tp3690 +a(g745 +Vwhen +p3691 +tp3692 +a(g827 +g1004 +tp3693 +a(g706 +g1000 +tp3694 +a(g441 +V> +p3695 +tp3696 +a(g827 +g1004 +tp3697 +a(g706 +g1000 +tp3698 +a(g745 +Vsub +p3699 +tp3700 +a(g827 +g1004 +tp3701 +a(g706 +g1000 +tp3702 +a(g745 +Vtime-of-day +p3703 +tp3704 +a(g706 +g1010 +tp3705 +a(g827 +g1004 +tp3706 +a(g117 +VItime-stamp +p3707 +tp3708 +a(g706 +g1010 +tp3709 +a(g827 +g1004 +tp3710 +a(g706 +g1000 +tp3711 +a(g745 +Vmul +p3712 +tp3713 +a(g827 +g1004 +tp3714 +a(g117 +VIdle-time +p3715 +tp3716 +a(g827 +g1004 +tp3717 +a(g117 +V1000 +p3718 +tp3719 +a(g706 +g1010 +tp3720 +a(g706 +g1010 +tp3721 +a(g827 +V\u000a +p3722 +tp3723 +a(g706 +g1000 +tp3724 +a(g441 +Vdo-callbacks +p3725 +tp3726 +a(g827 +g1004 +tp3727 +a(g89 +V"idle-event" +p3728 +tp3729 +a(g706 +g1010 +tp3730 +a(g827 +V\u000a +p3731 +tp3732 +a(g706 +g1000 +tp3733 +a(g745 +Vset +p3734 +tp3735 +a(g827 +g1004 +tp3736 +a(g413 +g1006 +tp3737 +a(g117 +VItime-stamp +p3738 +tp3739 +a(g827 +g1004 +tp3740 +a(g706 +g1000 +tp3741 +a(g745 +Vtime-of-day +p3742 +tp3743 +a(g706 +g1010 +tp3744 +a(g706 +g1010 +tp3745 +a(g706 +g1010 +tp3746 +a(g827 +V\u000a +p3747 +tp3748 +a(g706 +g1000 +tp3749 +a(g745 +Vdolist +p3750 +tp3751 +a(g827 +g1004 +tp3752 +a(g706 +g1000 +tp3753 +a(g441 +Vmessage +p3754 +tp3755 +a(g827 +g1004 +tp3756 +a(g117 +Vmessages +p3757 +tp3758 +a(g706 +g1010 +tp3759 +a(g827 +V\u000a +p3760 +tp3761 +a(g706 +g1000 +tp3762 +a(g745 +Vset +p3763 +tp3764 +a(g827 +g1004 +tp3765 +a(g413 +g1006 +tp3766 +a(g117 +Vmessage-parts +p3767 +tp3768 +a(g827 +g1004 +tp3769 +a(g706 +g1000 +tp3770 +a(g745 +Vparse +p3771 +tp3772 +a(g827 +g1004 +tp3773 +a(g117 +Vmessage +p3774 +tp3775 +a(g827 +g1004 +tp3776 +a(g89 +g1118 +tp3777 +a(g89 +g1004 +tp3778 +a(g89 +g1122 +tp3779 +a(g706 +g1010 +tp3780 +a(g706 +g1010 +tp3781 +a(g827 +V \u000a +p3782 +tp3783 +a(g706 +g1000 +tp3784 +a(g745 +Vunless +p3785 +tp3786 +a(g827 +g1004 +tp3787 +a(g706 +g1000 +tp3788 +a(g441 +Vempty? +p3789 +tp3790 +a(g827 +g1004 +tp3791 +a(g117 +Vmessage-parts +p3792 +tp3793 +a(g706 +g1010 +tp3794 +a(g827 +V\u000a +p3795 +tp3796 +a(g706 +g1000 +tp3797 +a(g745 +Vset +p3798 +tp3799 +a(g827 +g1004 +tp3800 +a(g413 +g1006 +tp3801 +a(g117 +Vsender +p3802 +tp3803 +a(g827 +g1004 +tp3804 +a(g706 +g1000 +tp3805 +a(g745 +Vfirst +p3806 +tp3807 +a(g827 +g1004 +tp3808 +a(g117 +Vmessage-parts +p3809 +tp3810 +a(g706 +g1010 +tp3811 +a(g706 +g1010 +tp3812 +a(g827 +V\u000a +p3813 +tp3814 +a(g706 +g1000 +tp3815 +a(g745 +Vcatch +p3816 +tp3817 +a(g827 +g1004 +tp3818 +a(g706 +g1000 +tp3819 +a(g745 +Vset +p3820 +tp3821 +a(g827 +g1004 +tp3822 +a(g413 +g1006 +tp3823 +a(g117 +Vcommand +p3824 +tp3825 +a(g827 +g1004 +tp3826 +a(g706 +g1000 +tp3827 +a(g745 +Vfirst +p3828 +tp3829 +a(g827 +g1004 +tp3830 +a(g706 +g1000 +tp3831 +a(g745 +Vrest +p3832 +tp3833 +a(g827 +g1004 +tp3834 +a(g117 +Vmessage-parts +p3835 +tp3836 +a(g706 +g1010 +tp3837 +a(g706 +g1010 +tp3838 +a(g706 +g1010 +tp3839 +a(g827 +g1004 +tp3840 +a(g413 +g1006 +tp3841 +a(g117 +Verror +p3842 +tp3843 +a(g706 +g1010 +tp3844 +a(g827 +V\u000a +p3845 +tp3846 +a(g706 +g1000 +tp3847 +a(g745 +Vcatch +p3848 +tp3849 +a(g827 +g1004 +tp3850 +a(g706 +g1000 +tp3851 +a(g745 +Vset +p3852 +tp3853 +a(g827 +g1004 +tp3854 +a(g413 +g1006 +tp3855 +a(g117 +Vtext +p3856 +tp3857 +a(g827 +g1004 +tp3858 +a(g706 +g1000 +tp3859 +a(g745 +Vjoin +p3860 +tp3861 +a(g827 +g1004 +tp3862 +a(g706 +g1000 +tp3863 +a(g745 +Vrest +p3864 +tp3865 +a(g827 +g1004 +tp3866 +a(g706 +g1000 +tp3867 +a(g745 +Vrest +p3868 +tp3869 +a(g827 +g1004 +tp3870 +a(g117 +Vmessage-parts +p3871 +tp3872 +a(g706 +g1010 +tp3873 +a(g706 +g1010 +tp3874 +a(g827 +g1004 +tp3875 +a(g89 +g1118 +tp3876 +a(g89 +g1004 +tp3877 +a(g89 +g1122 +tp3878 +a(g706 +g1010 +tp3879 +a(g706 +g1010 +tp3880 +a(g827 +g1004 +tp3881 +a(g413 +g1006 +tp3882 +a(g117 +Verror +p3883 +tp3884 +a(g706 +g1010 +tp3885 +a(g706 +g1010 +tp3886 +a(g827 +V\u000a +p3887 +tp3888 +a(g706 +g1000 +tp3889 +a(g745 +Vprocess +p3890 +tp3891 +a(g745 +g1101 +tp3892 +a(g117 +Vcommand +p3893 +tp3894 +a(g827 +g1004 +tp3895 +a(g117 +Vsender +p3896 +tp3897 +a(g827 +g1004 +tp3898 +a(g117 +Vcommand +p3899 +tp3900 +a(g827 +g1004 +tp3901 +a(g117 +Vtext +p3902 +tp3903 +a(g706 +g1010 +tp3904 +a(g706 +g1010 +tp3905 +a(g706 +g1010 +tp3906 +a(g706 +g1010 +tp3907 +a(g827 +V\u000a\u000a +p3908 +tp3909 +a(g706 +g1000 +tp3910 +a(g745 +Vdefine +p3911 +tp3912 +a(g827 +g1004 +tp3913 +a(g706 +g1000 +tp3914 +a(g745 +Vread +p3915 +tp3916 +a(g745 +g1101 +tp3917 +a(g117 +Virc +p3918 +tp3919 +a(g706 +g1010 +tp3920 +a(g827 +V\u000a +p3921 +tp3922 +a(g706 +g1000 +tp3923 +a(g745 +Vlet +p3924 +tp3925 +a(g827 +g1004 +tp3926 +a(g706 +g1000 +tp3927 +a(g706 +g1000 +tp3928 +a(g441 +Vbuffer +p3929 +tp3930 +a(g827 +g1004 +tp3931 +a(g89 +g1118 +tp3932 +a(g89 +g1122 +tp3933 +a(g706 +g1010 +tp3934 +a(g706 +g1010 +tp3935 +a(g827 +V\u000a +p3936 +tp3937 +a(g706 +g1000 +tp3938 +a(g745 +Vwhen +p3939 +tp3940 +a(g827 +g1004 +tp3941 +a(g706 +g1000 +tp3942 +a(g441 +V!= +p3943 +tp3944 +a(g827 +g1004 +tp3945 +a(g706 +g1000 +tp3946 +a(g745 +Vnet-peek +p3947 +tp3948 +a(g827 +g1004 +tp3949 +a(g117 +VIserver +p3950 +tp3951 +a(g706 +g1010 +tp3952 +a(g827 +g1004 +tp3953 +a(g117 +g2229 +tp3954 +a(g706 +g1010 +tp3955 +a(g827 +V \u000a +p3956 +tp3957 +a(g706 +g1000 +tp3958 +a(g745 +Vnet-receive +p3959 +tp3960 +a(g827 +g1004 +tp3961 +a(g117 +VIserver +p3962 +tp3963 +a(g827 +g1004 +tp3964 +a(g117 +Vbuffer +p3965 +tp3966 +a(g827 +g1004 +tp3967 +a(g117 +V8192 +p3968 +tp3969 +a(g827 +g1004 +tp3970 +a(g89 +V"\u005cn" +p3971 +tp3972 +a(g706 +g1010 +tp3973 +a(g827 +V\u000a +p3974 +tp3975 +a(g706 +g1000 +tp3976 +a(g745 +Vunless +p3977 +tp3978 +a(g827 +g1004 +tp3979 +a(g706 +g1000 +tp3980 +a(g441 +Vempty? +p3981 +tp3982 +a(g827 +g1004 +tp3983 +a(g117 +Vbuffer +p3984 +tp3985 +a(g706 +g1010 +tp3986 +a(g827 +V\u000a +p3987 +tp3988 +a(g706 +g1000 +tp3989 +a(g745 +Vparse +p3990 +tp3991 +a(g745 +g1101 +tp3992 +a(g117 +Vbuffer +p3993 +tp3994 +a(g827 +g1004 +tp3995 +a(g117 +Vbuffer +p3996 +tp3997 +a(g706 +g1010 +tp3998 +a(g706 +g1010 +tp3999 +a(g706 +g1010 +tp4000 +a(g706 +g1010 +tp4001 +a(g706 +g1010 +tp4002 +a(g827 +V\u000a\u000a +p4003 +tp4004 +a(g706 +g1000 +tp4005 +a(g745 +Vdefine +p4006 +tp4007 +a(g827 +g1004 +tp4008 +a(g706 +g1000 +tp4009 +a(g745 +Vread +p4010 +tp4011 +a(g745 +g1101 +tp4012 +a(g117 +Virc-loop +p4013 +tp4014 +a(g706 +g1010 +tp4015 +a(g827 +g1004 +tp4016 +a(g898 +V; monitoring +p4017 +tp4018 +a(g827 +V\u000a +p4019 +tp4020 +a(g706 +g1000 +tp4021 +a(g745 +Vlet +p4022 +tp4023 +a(g827 +g1004 +tp4024 +a(g706 +g1000 +tp4025 +a(g706 +g1000 +tp4026 +a(g441 +Vbuffer +p4027 +tp4028 +a(g827 +g1004 +tp4029 +a(g89 +g1118 +tp4030 +a(g89 +g1122 +tp4031 +a(g706 +g1010 +tp4032 +a(g706 +g1010 +tp4033 +a(g827 +V \u000a +p4034 +tp4035 +a(g706 +g1000 +tp4036 +a(g745 +Vwhile +p4037 +tp4038 +a(g827 +g1004 +tp4039 +a(g117 +VIconnected +p4040 +tp4041 +a(g827 +V \u000a +p4042 +tp4043 +a(g706 +g1000 +tp4044 +a(g745 +Vread +p4045 +tp4046 +a(g745 +g1101 +tp4047 +a(g117 +Virc +p4048 +tp4049 +a(g706 +g1010 +tp4050 +a(g827 +V\u000a +p4051 +tp4052 +a(g706 +g1000 +tp4053 +a(g745 +Vsleep +p4054 +tp4055 +a(g827 +g1004 +tp4056 +a(g117 +V1000 +p4057 +tp4058 +a(g706 +g1010 +tp4059 +a(g706 +g1010 +tp4060 +a(g706 +g1010 +tp4061 +a(g706 +g1010 +tp4062 +a(g827 +V\u000a\u000a +p4063 +tp4064 +a(g706 +g1000 +tp4065 +a(g745 +Vdefine +p4066 +tp4067 +a(g827 +g1004 +tp4068 +a(g706 +g1000 +tp4069 +a(g745 +Vprint +p4070 +tp4071 +a(g745 +g1101 +tp4072 +a(g117 +Vraw-message +p4073 +tp4074 +a(g827 +g1004 +tp4075 +a(g117 +Vdata +p4076 +tp4077 +a(g706 +g1010 +tp4078 +a(g827 +g1004 +tp4079 +a(g898 +V; example of using a callback +p4080 +tp4081 +a(g827 +V\u000a +p4082 +tp4083 +a(g706 +g1000 +tp4084 +a(g745 +Vset +p4085 +tp4086 +a(g827 +g1004 +tp4087 +a(g413 +g1006 +tp4088 +a(g117 +Vraw-data +p4089 +tp4090 +a(g827 +g1004 +tp4091 +a(g706 +g1000 +tp4092 +a(g745 +Vlookup +p4093 +tp4094 +a(g827 +g1004 +tp4095 +a(g89 +V"message" +p4096 +tp4097 +a(g827 +g1004 +tp4098 +a(g117 +Vdata +p4099 +tp4100 +a(g706 +g1010 +tp4101 +a(g706 +g1010 +tp4102 +a(g827 +V\u000a +p4103 +tp4104 +a(g706 +g1000 +tp4105 +a(g745 +Vset +p4106 +tp4107 +a(g827 +g1004 +tp4108 +a(g413 +g1006 +tp4109 +a(g117 +Vchannel +p4110 +tp4111 +a(g827 +V +p4112 +tp4113 +a(g706 +g1000 +tp4114 +a(g745 +Vlookup +p4115 +tp4116 +a(g827 +g1004 +tp4117 +a(g89 +V"channel" +p4118 +tp4119 +a(g827 +g1004 +tp4120 +a(g117 +Vdata +p4121 +tp4122 +a(g706 +g1010 +tp4123 +a(g706 +g1010 +tp4124 +a(g827 +V\u000a +p4125 +tp4126 +a(g706 +g1000 +tp4127 +a(g745 +Vset +p4128 +tp4129 +a(g827 +g1004 +tp4130 +a(g413 +g1006 +tp4131 +a(g117 +Vmessage-text +p4132 +tp4133 +a(g827 +g1004 +tp4134 +a(g117 +Vraw-data +p4135 +tp4136 +a(g706 +g1010 +tp4137 +a(g827 +V\u000a +p4138 +tp4139 +a(g706 +g1000 +tp4140 +a(g745 +Vprintln +p4141 +tp4142 +a(g827 +g1004 +tp4143 +a(g706 +g1000 +tp4144 +a(g745 +Vdate +p4145 +tp4146 +a(g827 +g1004 +tp4147 +a(g706 +g1000 +tp4148 +a(g745 +Vdate-value +p4149 +tp4150 +a(g706 +g1010 +tp4151 +a(g827 +g1004 +tp4152 +a(g117 +g2229 +tp4153 +a(g827 +g1004 +tp4154 +a(g89 +g1118 +tp4155 +a(g89 +V%H:%M:%S +p4156 +tp4157 +a(g89 +g1122 +tp4158 +a(g706 +g1010 +tp4159 +a(g827 +g1004 +tp4160 +a(g117 +Vusername +p4161 +tp4162 +a(g827 +g1004 +tp4163 +a(g89 +g1118 +tp4164 +a(g89 +V> +p4165 +tp4166 +a(g89 +g1122 +tp4167 +a(g827 +g1004 +tp4168 +a(g117 +Vmessage-text +p4169 +tp4170 +a(g706 +g1010 +tp4171 +a(g706 +g1010 +tp4172 +a(g827 +V\u000a\u000a +p4173 +tp4174 +a(g706 +g1000 +tp4175 +a(g745 +Vdefine +p4176 +tp4177 +a(g827 +g1004 +tp4178 +a(g706 +g1000 +tp4179 +a(g745 +Vprint +p4180 +tp4181 +a(g745 +g1101 +tp4182 +a(g117 +Voutgoing-message +p4183 +tp4184 +a(g827 +g1004 +tp4185 +a(g117 +Vdata +p4186 +tp4187 +a(g706 +g1010 +tp4188 +a(g827 +V\u000a +p4189 +tp4190 +a(g706 +g1000 +tp4191 +a(g745 +Vset +p4192 +tp4193 +a(g827 +g1004 +tp4194 +a(g413 +g1006 +tp4195 +a(g117 +Vraw-data +p4196 +tp4197 +a(g827 +g1004 +tp4198 +a(g706 +g1000 +tp4199 +a(g745 +Vlookup +p4200 +tp4201 +a(g827 +g1004 +tp4202 +a(g89 +V"message" +p4203 +tp4204 +a(g827 +g1004 +tp4205 +a(g117 +Vdata +p4206 +tp4207 +a(g706 +g1010 +tp4208 +a(g706 +g1010 +tp4209 +a(g827 +V\u000a +p4210 +tp4211 +a(g706 +g1000 +tp4212 +a(g745 +Vset +p4213 +tp4214 +a(g827 +g1004 +tp4215 +a(g413 +g1006 +tp4216 +a(g117 +Vchannel +p4217 +tp4218 +a(g827 +V +p4219 +tp4220 +a(g706 +g1000 +tp4221 +a(g745 +Vlookup +p4222 +tp4223 +a(g827 +g1004 +tp4224 +a(g89 +V"channel" +p4225 +tp4226 +a(g827 +g1004 +tp4227 +a(g117 +Vdata +p4228 +tp4229 +a(g706 +g1010 +tp4230 +a(g706 +g1010 +tp4231 +a(g827 +V\u000a +p4232 +tp4233 +a(g706 +g1000 +tp4234 +a(g745 +Vset +p4235 +tp4236 +a(g827 +g1004 +tp4237 +a(g413 +g1006 +tp4238 +a(g117 +Vmessage-text +p4239 +tp4240 +a(g827 +g1004 +tp4241 +a(g117 +Vraw-data +p4242 +tp4243 +a(g706 +g1010 +tp4244 +a(g827 +V\u000a +p4245 +tp4246 +a(g706 +g1000 +tp4247 +a(g745 +Vprintln +p4248 +tp4249 +a(g827 +g1004 +tp4250 +a(g706 +g1000 +tp4251 +a(g745 +Vdate +p4252 +tp4253 +a(g827 +g1004 +tp4254 +a(g706 +g1000 +tp4255 +a(g745 +Vdate-value +p4256 +tp4257 +a(g706 +g1010 +tp4258 +a(g827 +g1004 +tp4259 +a(g117 +g2229 +tp4260 +a(g827 +g1004 +tp4261 +a(g89 +g1118 +tp4262 +a(g89 +V%H:%M:%S +p4263 +tp4264 +a(g89 +g1122 +tp4265 +a(g706 +g1010 +tp4266 +a(g827 +g1004 +tp4267 +a(g117 +VInickname +p4268 +tp4269 +a(g827 +g1004 +tp4270 +a(g89 +g1118 +tp4271 +a(g89 +V> +p4272 +tp4273 +a(g89 +g1122 +tp4274 +a(g827 +g1004 +tp4275 +a(g117 +Vmessage-text +p4276 +tp4277 +a(g706 +g1010 +tp4278 +a(g706 +g1010 +tp4279 +a(g827 +V\u000a\u000a +p4280 +tp4281 +a(g706 +g1000 +tp4282 +a(g745 +Vdefine +p4283 +tp4284 +a(g827 +g1004 +tp4285 +a(g706 +g1000 +tp4286 +a(g441 +Vsession +p4287 +tp4288 +a(g706 +g1010 +tp4289 +a(g898 +V; interactive terminal +p4290 +tp4291 +a(g827 +V\u000a +p4292 +tp4293 +a(g898 +V; must add callbacks to display messages +p4294 +tp4295 +a(g827 +V\u000a +p4296 +tp4297 +a(g706 +g1000 +tp4298 +a(g441 +Vregister-callback +p4299 +tp4300 +a(g827 +g1004 +tp4301 +a(g89 +V"channel-message" +p4302 +tp4303 +a(g827 +g1004 +tp4304 +a(g413 +g1006 +tp4305 +a(g745 +Vprint +p4306 +tp4307 +a(g745 +g1101 +tp4308 +a(g117 +Vraw-message +p4309 +tp4310 +a(g706 +g1010 +tp4311 +a(g827 +V\u000a +p4312 +tp4313 +a(g706 +g1000 +tp4314 +a(g441 +Vregister-callback +p4315 +tp4316 +a(g827 +g1004 +tp4317 +a(g89 +V"send-to-server" +p4318 +tp4319 +a(g827 +V +p4320 +tp4321 +a(g413 +g1006 +tp4322 +a(g745 +Vprint +p4323 +tp4324 +a(g745 +g1101 +tp4325 +a(g117 +Voutgoing-message +p4326 +tp4327 +a(g706 +g1010 +tp4328 +a(g827 +V\u000a +p4329 +tp4330 +a(g706 +g1000 +tp4331 +a(g745 +Vwhile +p4332 +tp4333 +a(g827 +g1004 +tp4334 +a(g117 +VIconnected +p4335 +tp4336 +a(g827 +V\u000a +p4337 +tp4338 +a(g706 +g1000 +tp4339 +a(g745 +Vwhile +p4340 +tp4341 +a(g827 +g1004 +tp4342 +a(g706 +g1000 +tp4343 +a(g441 +Vzero? +p4344 +tp4345 +a(g827 +g1004 +tp4346 +a(g706 +g1000 +tp4347 +a(g745 +Vpeek +p4348 +tp4349 +a(g827 +g1004 +tp4350 +a(g117 +g2229 +tp4351 +a(g706 +g1010 +tp4352 +a(g706 +g1010 +tp4353 +a(g827 +V\u000a +p4354 +tp4355 +a(g706 +g1000 +tp4356 +a(g745 +Vread +p4357 +tp4358 +a(g745 +g1101 +tp4359 +a(g117 +Virc +p4360 +tp4361 +a(g706 +g1010 +tp4362 +a(g706 +g1010 +tp4363 +a(g827 +V\u000a +p4364 +tp4365 +a(g706 +g1000 +tp4366 +a(g745 +Vsend +p4367 +tp4368 +a(g745 +g1101 +tp4369 +a(g117 +Vto-server +p4370 +tp4371 +a(g827 +g1004 +tp4372 +a(g706 +g1000 +tp4373 +a(g745 +Vstring +p4374 +tp4375 +a(g827 +g1004 +tp4376 +a(g706 +g1000 +tp4377 +a(g745 +Vread-line +p4378 +tp4379 +a(g827 +g1004 +tp4380 +a(g117 +g2229 +tp4381 +a(g706 +g1010 +tp4382 +a(g706 +g1010 +tp4383 +a(g706 +g1010 +tp4384 +a(g706 +g1010 +tp4385 +a(g827 +V\u000a +p4386 +tp4387 +a(g706 +g1000 +tp4388 +a(g745 +Vprintln +p4389 +tp4390 +a(g827 +g1004 +tp4391 +a(g89 +g1118 +tp4392 +a(g89 +Vfinished session +p4393 +tp4394 +a(g89 +g1122 +tp4395 +a(g827 +g1004 +tp4396 +a(g706 +g1000 +tp4397 +a(g745 +Vdate +p4398 +tp4399 +a(g706 +g1010 +tp4400 +a(g706 +g1010 +tp4401 +a(g827 +V\u000a +p4402 +tp4403 +a(g706 +g1000 +tp4404 +a(g745 +Vexit +p4405 +tp4406 +a(g706 +g1010 +tp4407 +a(g706 +g1010 +tp4408 +a(g827 +V\u000a\u000a +p4409 +tp4410 +a(g898 +V; end of IRC code +p4411 +tp4412 +a(g827 +V\u000a +p4413 +tp4414 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/java.properties b/tests/examplefiles/output/java.properties new file mode 100644 index 0000000..1eaaee4 --- /dev/null +++ b/tests/examplefiles/output/java.properties @@ -0,0 +1,2479 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Attribute' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag8 +ag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g247 +g121 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g1 +(g2 +g3 +(g4 +g247 +g113 +tp260 +tp261 +Rp262 +(dp263 +g10 +g250 +sg25 +g26 +((lp264 +tp265 +Rp266 +sbag254 +atp267 +Rp268 +sg113 +g262 +sbag187 +ag124 +ag100 +atp269 +Rp270 +sg10 +g1 +(g2 +g3 +(ttp271 +Rp272 +(dp273 +S'Number' +p274 +g1 +(g2 +g3 +(S'Literal' +p275 +g274 +tp276 +tp277 +Rp278 +(dp279 +S'Integer' +p280 +g1 +(g2 +g3 +(g275 +g274 +g280 +tp281 +tp282 +Rp283 +(dp284 +g10 +g278 +sS'Long' +p285 +g1 +(g2 +g3 +(g275 +g274 +g280 +g285 +tp286 +tp287 +Rp288 +(dp289 +g10 +g283 +sg25 +g26 +((lp290 +tp291 +Rp292 +sbsg25 +g26 +((lp293 +g288 +atp294 +Rp295 +sbsg10 +g1 +(g2 +g3 +(g275 +tp296 +tp297 +Rp298 +(dp299 +S'Scalar' +p300 +g1 +(g2 +g3 +(g275 +g300 +tp301 +tp302 +Rp303 +(dp304 +g10 +g298 +sg25 +g26 +((lp305 +g1 +(g2 +g3 +(g275 +g300 +S'Plain' +p306 +tp307 +tp308 +Rp309 +(dp310 +g10 +g303 +sg25 +g26 +((lp311 +tp312 +Rp313 +sbatp314 +Rp315 +sg306 +g309 +sbsg274 +g278 +sg10 +g272 +sg231 +g1 +(g2 +g3 +(g275 +g231 +tp316 +tp317 +Rp318 +(dp319 +g10 +g298 +sg25 +g26 +((lp320 +tp321 +Rp322 +sbsS'Char' +p323 +g1 +(g2 +g3 +(g275 +g323 +tp324 +tp325 +Rp326 +(dp327 +g10 +g298 +sg25 +g26 +((lp328 +tp329 +Rp330 +sbsS'String' +p331 +g1 +(g2 +g3 +(g275 +g331 +tp332 +tp333 +Rp334 +(dp335 +g323 +g1 +(g2 +g3 +(g275 +g331 +g323 +tp336 +tp337 +Rp338 +(dp339 +g10 +g334 +sg25 +g26 +((lp340 +tp341 +Rp342 +sbsS'Backtick' +p343 +g1 +(g2 +g3 +(g275 +g331 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g334 +sg25 +g26 +((lp348 +tp349 +Rp350 +sbsS'Heredoc' +p351 +g1 +(g2 +g3 +(g275 +g331 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g334 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsg97 +g1 +(g2 +g3 +(g275 +g331 +g97 +tp359 +tp360 +Rp361 +(dp362 +g10 +g334 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsS'Interpol' +p366 +g1 +(g2 +g3 +(g275 +g331 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g334 +sg25 +g26 +((lp371 +tp372 +Rp373 +sbsS'Delimiter' +p374 +g1 +(g2 +g3 +(g275 +g331 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g334 +sg25 +g26 +((lp379 +tp380 +Rp381 +sbsS'Boolean' +p382 +g1 +(g2 +g3 +(g275 +g331 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g334 +sg25 +g26 +((lp387 +tp388 +Rp389 +sbsS'Character' +p390 +g1 +(g2 +g3 +(g275 +g331 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g334 +sg25 +g26 +((lp395 +tp396 +Rp397 +sbsS'Double' +p398 +g1 +(g2 +g3 +(g275 +g331 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g334 +sg25 +g26 +((lp403 +tp404 +Rp405 +sbsS'Delimeter' +p406 +g1 +(g2 +g3 +(g275 +g331 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g334 +sg25 +g26 +((lp411 +tp412 +Rp413 +sbsS'Atom' +p414 +g1 +(g2 +g3 +(g275 +g331 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g334 +sg25 +g26 +((lp419 +tp420 +Rp421 +sbsS'Affix' +p422 +g1 +(g2 +g3 +(g275 +g331 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g334 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsg4 +g1 +(g2 +g3 +(g275 +g331 +g4 +tp430 +tp431 +Rp432 +(dp433 +g10 +g334 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsS'Regex' +p437 +g1 +(g2 +g3 +(g275 +g331 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g334 +sg25 +g26 +((lp442 +tp443 +Rp444 +sbsS'Interp' +p445 +g1 +(g2 +g3 +(g275 +g331 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g334 +sg25 +g26 +((lp450 +tp451 +Rp452 +sbsS'Escape' +p453 +g1 +(g2 +g3 +(g275 +g331 +g453 +tp454 +tp455 +Rp456 +(dp457 +g10 +g334 +sg25 +g26 +((lp458 +tp459 +Rp460 +sbsg25 +g26 +((lp461 +g377 +ag361 +ag440 +ag1 +(g2 +g3 +(g275 +g331 +S'Doc' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g334 +sg25 +g26 +((lp467 +tp468 +Rp469 +sbag393 +ag385 +ag401 +ag369 +ag417 +ag409 +ag432 +ag456 +ag1 +(g2 +g3 +(g275 +g331 +S'Single' +p470 +tp471 +tp472 +Rp473 +(dp474 +g10 +g334 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag1 +(g2 +g3 +(g275 +g331 +g231 +tp478 +tp479 +Rp480 +(dp481 +g10 +g334 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag448 +ag346 +ag425 +ag1 +(g2 +g3 +(g275 +g331 +S'Moment' +p485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g334 +sg25 +g26 +((lp490 +tp491 +Rp492 +sbag338 +ag354 +atp493 +Rp494 +sg470 +g473 +sg485 +g488 +sg10 +g298 +sg231 +g480 +sg462 +g465 +sbsg25 +g26 +((lp495 +g334 +ag326 +ag318 +ag1 +(g2 +g3 +(g275 +S'Date' +p496 +tp497 +tp498 +Rp499 +(dp500 +g10 +g298 +sg25 +g26 +((lp501 +tp502 +Rp503 +sbag303 +ag278 +atp504 +Rp505 +sg496 +g499 +sbsS'Bin' +p506 +g1 +(g2 +g3 +(g275 +g274 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g278 +sg25 +g26 +((lp511 +tp512 +Rp513 +sbsS'Radix' +p514 +g1 +(g2 +g3 +(g275 +g274 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g278 +sg25 +g26 +((lp519 +tp520 +Rp521 +sbsS'Oct' +p522 +g1 +(g2 +g3 +(g275 +g274 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g278 +sg25 +g26 +((lp527 +tp528 +Rp529 +sbsS'Dec' +p530 +g1 +(g2 +g3 +(g275 +g274 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g278 +sg25 +g26 +((lp535 +tp536 +Rp537 +sbsS'Hex' +p538 +g1 +(g2 +g3 +(g275 +g274 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g278 +sg25 +g26 +((lp543 +tp544 +Rp545 +sbsg25 +g26 +((lp546 +g283 +ag517 +ag533 +ag1 +(g2 +g3 +(g275 +g274 +S'Decimal' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g278 +sg25 +g26 +((lp552 +tp553 +Rp554 +sbag509 +ag1 +(g2 +g3 +(g275 +g274 +S'Float' +p555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g278 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbag525 +ag541 +atp563 +Rp564 +sg547 +g550 +sg555 +g558 +sbsS'Generic' +p565 +g1 +(g2 +g3 +(g565 +tp566 +tp567 +Rp568 +(dp569 +g10 +g272 +sS'Deleted' +p570 +g1 +(g2 +g3 +(g565 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g568 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsS'Subheading' +p578 +g1 +(g2 +g3 +(g565 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g568 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbsS'Heading' +p586 +g1 +(g2 +g3 +(g565 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g568 +sg25 +g26 +((lp591 +tp592 +Rp593 +sbsS'Emph' +p594 +g1 +(g2 +g3 +(g565 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g568 +sg25 +g26 +((lp599 +tp600 +Rp601 +sbsS'Prompt' +p602 +g1 +(g2 +g3 +(g565 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g568 +sg25 +g26 +((lp607 +tp608 +Rp609 +sbsS'Inserted' +p610 +g1 +(g2 +g3 +(g565 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g568 +sg25 +g26 +((lp615 +tp616 +Rp617 +sbsS'Strong' +p618 +g1 +(g2 +g3 +(g565 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g568 +sg25 +g26 +((lp623 +tp624 +Rp625 +sbsS'Error' +p626 +g1 +(g2 +g3 +(g565 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g568 +sg25 +g26 +((lp631 +tp632 +Rp633 +sbsS'Traceback' +p634 +g1 +(g2 +g3 +(g565 +g634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g568 +sg25 +g26 +((lp639 +tp640 +Rp641 +sbsg25 +g26 +((lp642 +g589 +ag581 +ag1 +(g2 +g3 +(g565 +S'Output' +p643 +tp644 +tp645 +Rp646 +(dp647 +g10 +g568 +sg25 +g26 +((lp648 +tp649 +Rp650 +sbag621 +ag597 +ag629 +ag637 +ag613 +ag605 +ag573 +atp651 +Rp652 +sg643 +g646 +sbsg65 +g1 +(g2 +g3 +(g65 +tp653 +tp654 +Rp655 +(dp656 +g10 +g272 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp657 +tp658 +Rp659 +(dp660 +g10 +g655 +sg25 +g26 +((lp661 +tp662 +Rp663 +sbsg25 +g26 +((lp664 +g659 +ag1 +(g2 +g3 +(g65 +S'Word' +p665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g655 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbatp673 +Rp674 +sg665 +g668 +sbsg331 +g334 +sg4 +g13 +sS'Punctuation' +p675 +g1 +(g2 +g3 +(g675 +tp676 +tp677 +Rp678 +(dp679 +g10 +g272 +sg25 +g26 +((lp680 +g1 +(g2 +g3 +(g675 +S'Indicator' +p681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g678 +sg25 +g26 +((lp686 +tp687 +Rp688 +sbatp689 +Rp690 +sg681 +g684 +sbsS'Comment' +p691 +g1 +(g2 +g3 +(g691 +tp692 +tp693 +Rp694 +(dp695 +S'Multi' +p696 +g1 +(g2 +g3 +(g691 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g694 +sg25 +g26 +((lp701 +tp702 +Rp703 +sbsg10 +g272 +sS'Special' +p704 +g1 +(g2 +g3 +(g691 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g694 +sg25 +g26 +((lp709 +tp710 +Rp711 +sbsS'Hashbang' +p712 +g1 +(g2 +g3 +(g691 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g694 +sg25 +g26 +((lp717 +tp718 +Rp719 +sbsS'Preproc' +p720 +g1 +(g2 +g3 +(g691 +g720 +tp721 +tp722 +Rp723 +(dp724 +g10 +g694 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsg470 +g1 +(g2 +g3 +(g691 +g470 +tp728 +tp729 +Rp730 +(dp731 +g10 +g694 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Directive' +p735 +g1 +(g2 +g3 +(g691 +g735 +tp736 +tp737 +Rp738 +(dp739 +g10 +g694 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg462 +g1 +(g2 +g3 +(g691 +g462 +tp743 +tp744 +Rp745 +(dp746 +g10 +g694 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsS'Singleline' +p750 +g1 +(g2 +g3 +(g691 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g694 +sg25 +g26 +((lp755 +tp756 +Rp757 +sbsS'Multiline' +p758 +g1 +(g2 +g3 +(g691 +g758 +tp759 +tp760 +Rp761 +(dp762 +g10 +g694 +sg25 +g26 +((lp763 +tp764 +Rp765 +sbsg25 +g26 +((lp766 +g745 +ag738 +ag715 +ag699 +ag753 +ag723 +ag761 +ag730 +ag1 +(g2 +g3 +(g691 +S'PreprocFile' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g694 +sg25 +g26 +((lp772 +tp773 +Rp774 +sbag1 +(g2 +g3 +(g691 +S'SingleLine' +p775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g694 +sg25 +g26 +((lp780 +tp781 +Rp782 +sbag707 +atp783 +Rp784 +sg767 +g770 +sg775 +g778 +sbsg275 +g298 +sg231 +g1 +(g2 +g3 +(g231 +tp785 +tp786 +Rp787 +(dp788 +g10 +g272 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsg626 +g1 +(g2 +g3 +(g626 +tp792 +tp793 +Rp794 +(dp795 +g10 +g272 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsS'Token' +p799 +g272 +sg453 +g1 +(g2 +g3 +(g453 +tp800 +tp801 +Rp802 +(dp803 +g10 +g272 +sg25 +g26 +((lp804 +tp805 +Rp806 +sbsg25 +g26 +((lp807 +g13 +ag787 +ag1 +(g2 +g3 +(S'Keyword' +p808 +tp809 +tp810 +Rp811 +(dp812 +g10 +g272 +sg121 +g1 +(g2 +g3 +(g808 +g121 +tp813 +tp814 +Rp815 +(dp816 +g10 +g811 +sg25 +g26 +((lp817 +tp818 +Rp819 +sbsS'Control' +p820 +g1 +(g2 +g3 +(g808 +g820 +tp821 +tp822 +Rp823 +(dp824 +g10 +g811 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg145 +g1 +(g2 +g3 +(g808 +g145 +tp828 +tp829 +Rp830 +(dp831 +g10 +g811 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg200 +g1 +(g2 +g3 +(g808 +g200 +tp835 +tp836 +Rp837 +(dp838 +g10 +g811 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsS'PreProc' +p842 +g1 +(g2 +g3 +(g808 +g842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g811 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsg113 +g1 +(g2 +g3 +(g808 +g113 +tp850 +tp851 +Rp852 +(dp853 +g10 +g811 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsS'Reserved' +p857 +g1 +(g2 +g3 +(g808 +g857 +tp858 +tp859 +Rp860 +(dp861 +g10 +g811 +sg25 +g26 +((lp862 +tp863 +Rp864 +sbsg25 +g26 +((lp865 +g837 +ag1 +(g2 +g3 +(g808 +g665 +tp866 +tp867 +Rp868 +(dp869 +g10 +g811 +sg25 +g26 +((lp870 +tp871 +Rp872 +sbag823 +ag1 +(g2 +g3 +(g808 +S'Declaration' +p873 +tp874 +tp875 +Rp876 +(dp877 +g10 +g811 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag1 +(g2 +g3 +(g808 +g808 +tp881 +tp882 +Rp883 +(dp884 +g10 +g811 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag852 +ag830 +ag815 +ag860 +ag845 +atp888 +Rp889 +sg808 +g883 +sg873 +g876 +sg665 +g868 +sbag568 +ag1 +(g2 +g3 +(S'Text' +p890 +tp891 +tp892 +Rp893 +(dp894 +S'Beer' +p895 +g1 +(g2 +g3 +(g890 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g893 +sg25 +g26 +((lp900 +tp901 +Rp902 +sbsS'Whitespace' +p903 +g1 +(g2 +g3 +(g890 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g893 +sg25 +g26 +((lp908 +tp909 +Rp910 +sbsg10 +g272 +sS'Root' +p911 +g1 +(g2 +g3 +(g890 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g893 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg97 +g1 +(g2 +g3 +(g890 +g97 +tp919 +tp920 +Rp921 +(dp922 +g10 +g893 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg675 +g1 +(g2 +g3 +(g890 +g675 +tp926 +tp927 +Rp928 +(dp929 +g10 +g893 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg25 +g26 +((lp933 +g914 +ag921 +ag928 +ag906 +ag898 +ag1 +(g2 +g3 +(g890 +S'Rag' +p934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g893 +sg25 +g26 +((lp939 +tp940 +Rp941 +sbatp942 +Rp943 +sg934 +g937 +sbag655 +ag802 +ag678 +ag694 +ag794 +ag298 +atp944 +Rp945 +sg808 +g811 +sg890 +g893 +sbsg20 +g211 +sg247 +g250 +sg5 +g8 +sg231 +g234 +sbsg15 +g1 +(g2 +g3 +(g4 +g5 +g15 +tp946 +tp947 +Rp948 +(dp949 +g10 +g8 +sg25 +g26 +((lp950 +tp951 +Rp952 +sbsg25 +g26 +((lp953 +g948 +atp954 +Rp955 +sbVfoo +p956 +tp957 +a(g893 +V +p958 +tp959 +a(g655 +V= +p960 +tp961 +a(g893 +g958 +tp962 +a(g334 +Vbar +p963 +tp964 +a(g893 +V\u000a +p965 +tp966 +a(g8 +Vfoo +p967 +tp968 +a(g655 +V: +p969 +tp970 +a(g893 +g958 +tp971 +a(g334 +Vbar +p972 +tp973 +a(g893 +V\u000a +p974 +tp975 +a(g8 +Vfoo.oof +p976 +tp977 +a(g655 +g969 +tp978 +a(g893 +g958 +tp979 +a(g334 +V\u005c\u000a bar=baz; \u005c\u000a asdf +p980 +tp981 +a(g893 +V\u000a +p982 +tp983 +a(g893 +V\u000a +p984 +tp985 +a(g694 +V// comment +p986 +tp987 +a(g893 +V\u000a +p988 +tp989 +a(g694 +V# comment +p990 +tp991 +a(g893 +V\u000a +p992 +tp993 +a(g694 +V; comment +p994 +tp995 +a(g893 +V\u000a +p996 +tp997 +a(g893 +V\u000a +p998 +tp999 +a(g8 +Vx +p1000 +tp1001 +a(g655 +g969 +tp1002 +a(g334 +Va\u005c\u000ab +p1003 +tp1004 +a(g893 +V\u000a +p1005 +tp1006 +a(g8 +g1000 +tp1007 +a(g655 +g969 +tp1008 +a(g893 +g958 +tp1009 +a(g334 +Va \u005c\u000a b +p1010 +tp1011 +a(g893 +V\u000a +p1012 +tp1013 +a(g893 +V\u000a +p1014 +tp1015 +a(g8 +g1000 +tp1016 +a(g893 +g958 +tp1017 +a(g655 +g960 +tp1018 +a(g893 +g958 +tp1019 +a(g334 +V\u005c\u000a +p1020 +tp1021 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/jbst_example1.jbst b/tests/examplefiles/output/jbst_example1.jbst new file mode 100644 index 0000000..7b2777f --- /dev/null +++ b/tests/examplefiles/output/jbst_example1.jbst @@ -0,0 +1,3009 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Tag' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsg5 +g8 +sS'Constant' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Function' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +g1 +(g2 +g3 +(g4 +g145 +g55 +tp151 +tp152 +Rp153 +(dp154 +g10 +g148 +sg25 +g26 +((lp155 +tp156 +Rp157 +sbatp158 +Rp159 +sg55 +g153 +sbsS'Blubb' +p160 +g1 +(g2 +g3 +(g4 +g160 +tp161 +tp162 +Rp163 +(dp164 +g10 +g13 +sg25 +g26 +((lp165 +tp166 +Rp167 +sbsS'Label' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag163 +ag116 +ag84 +ag18 +ag187 +ag108 +ag8 +ag148 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag171 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag140 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg137 +g1 +(g2 +g3 +(g815 +g137 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV<%@ +p956 +tp957 +a(g900 +V +p958 +tp959 +a(g900 +V +p960 +tp961 +a(g226 +VControl +p962 +tp963 +a(g900 +g960 +tp964 +a(g226 +VName +p965 +tp966 +a(g662 +V= +p967 +tp968 +a(g408 +V"MyApp.MyJbstControl" +p969 +tp970 +a(g900 +g960 +tp971 +a(g226 +VLanguage +p972 +tp973 +a(g662 +g967 +tp974 +a(g408 +V"JavaScript" +p975 +tp976 +a(g900 +g960 +tp977 +a(g8 +V%> +p978 +tp979 +a(g900 +V\u000a\u000a +p980 +tp981 +a(g685 +V< +p982 +tp983 +a(g8 +Vscript +p984 +tp985 +a(g900 +g960 +tp986 +a(g242 +Vtype +p987 +tp988 +a(g662 +g967 +tp989 +a(g341 +V"text/javascript" +p990 +tp991 +a(g685 +V> +p992 +tp993 +a(g900 +g958 +tp994 +a(g900 +V\u000a\u000a +p995 +tp996 +a(g768 +V/* initialization code block, executed only once as control is loaded */ +p997 +tp998 +a(g900 +V\u000a +p999 +tp1000 +a(g818 +Vthis +p1001 +tp1002 +a(g685 +V. +p1003 +tp1004 +a(g226 +VgenerateValue +p1005 +tp1006 +a(g900 +g960 +tp1007 +a(g662 +g967 +tp1008 +a(g900 +g960 +tp1009 +a(g883 +Vfunction +p1010 +tp1011 +a(g685 +V( +p1012 +tp1013 +a(g685 +V) +p1014 +tp1015 +a(g900 +g960 +tp1016 +a(g685 +V{ +p1017 +tp1018 +a(g900 +V\u000a +p1019 +tp1020 +a(g818 +Vreturn +p1021 +tp1022 +a(g900 +g960 +tp1023 +a(g818 +Vnew +p1024 +tp1025 +a(g900 +g960 +tp1026 +a(g257 +VDate +p1027 +tp1028 +a(g685 +g1012 +tp1029 +a(g685 +g1014 +tp1030 +a(g685 +g1003 +tp1031 +a(g226 +VtoString +p1032 +tp1033 +a(g685 +g1012 +tp1034 +a(g685 +g1014 +tp1035 +a(g685 +V; +p1036 +tp1037 +a(g900 +V\u000a +p1038 +tp1039 +a(g685 +V} +p1040 +tp1041 +a(g685 +g1036 +tp1042 +a(g900 +V\u000a\u000a +p1043 +tp1044 +a(g685 +g982 +tp1045 +a(g685 +V/ +p1046 +tp1047 +a(g8 +Vscript +p1048 +tp1049 +a(g685 +g992 +tp1050 +a(g900 +V\u000a\u000a +p1051 +tp1052 +a(g8 +V<%! +p1053 +tp1054 +a(g900 +g958 +tp1055 +a(g900 +V\u000a +p1056 +tp1057 +a(g768 +V/* initialization code block, executed only once as control is loaded */ +p1058 +tp1059 +a(g900 +V\u000a +p1060 +tp1061 +a(g768 +V/* alternate syntax to script block above */ +p1062 +tp1063 +a(g900 +V\u000a +p1064 +tp1065 +a(g818 +Vthis +p1066 +tp1067 +a(g685 +g1003 +tp1068 +a(g226 +VmyInitTime +p1069 +tp1070 +a(g900 +g960 +tp1071 +a(g662 +g967 +tp1072 +a(g900 +g960 +tp1073 +a(g818 +Vthis +p1074 +tp1075 +a(g685 +g1003 +tp1076 +a(g226 +VgenerateValue +p1077 +tp1078 +a(g685 +g1012 +tp1079 +a(g685 +g1014 +tp1080 +a(g685 +g1036 +tp1081 +a(g900 +V\u000a +p1082 +tp1083 +a(g8 +V%> +p1084 +tp1085 +a(g900 +V\u000a\u000a +p1086 +tp1087 +a(g8 +V<% +p1088 +tp1089 +a(g900 +g958 +tp1090 +a(g900 +V\u000a +p1091 +tp1092 +a(g768 +V/* data binding code block, executed each time as control is data bound */ +p1093 +tp1094 +a(g900 +V\u000a +p1095 +tp1096 +a(g818 +Vthis +p1097 +tp1098 +a(g685 +g1003 +tp1099 +a(g226 +VmyBindTime +p1100 +tp1101 +a(g900 +g960 +tp1102 +a(g662 +g967 +tp1103 +a(g900 +g960 +tp1104 +a(g818 +Vthis +p1105 +tp1106 +a(g685 +g1003 +tp1107 +a(g226 +VgenerateValue +p1108 +tp1109 +a(g685 +g1012 +tp1110 +a(g685 +g1014 +tp1111 +a(g685 +g1036 +tp1112 +a(g900 +V\u000a +p1113 +tp1114 +a(g8 +V%> +p1115 +tp1116 +a(g900 +V\u000a\u000a +p1117 +tp1118 +a(g8 +V<% +p1119 +tp1120 +a(g662 +V-- +p1121 +tp1122 +a(g900 +g960 +tp1123 +a(g226 +VJBST +p1124 +tp1125 +a(g900 +g960 +tp1126 +a(g226 +VComment +p1127 +tp1128 +a(g900 +g960 +tp1129 +a(g662 +V-- +p1130 +tp1131 +a(g8 +V%> +p1132 +tp1133 +a(g900 +V\u000a +p1134 +tp1135 +a(g685 +g982 +tp1136 +a(g8 +Vspan +p1137 +tp1138 +a(g900 +g960 +tp1139 +a(g242 +Vstyle +p1140 +tp1141 +a(g662 +g967 +tp1142 +a(g341 +V"color:red" +p1143 +tp1144 +a(g685 +g992 +tp1145 +a(g8 +V<%= +p1146 +tp1147 +a(g900 +g958 +tp1148 +a(g900 +g960 +tp1149 +a(g818 +Vthis +p1150 +tp1151 +a(g685 +g1003 +tp1152 +a(g226 +VmyBindTime +p1153 +tp1154 +a(g900 +g960 +tp1155 +a(g768 +V/* data binding expression */ +p1156 +tp1157 +a(g900 +g960 +tp1158 +a(g8 +V%> +p1159 +tp1160 +a(g685 +g982 +tp1161 +a(g685 +g1046 +tp1162 +a(g8 +Vspan +p1163 +tp1164 +a(g685 +g992 +tp1165 +a(g900 +V\u000a +p1166 +tp1167 +a(g685 +g982 +tp1168 +a(g8 +Vspan +p1169 +tp1170 +a(g900 +g960 +tp1171 +a(g242 +Vstyle +p1172 +tp1173 +a(g662 +g967 +tp1174 +a(g341 +V"color:green" +p1175 +tp1176 +a(g685 +g992 +tp1177 +a(g8 +V<%= +p1178 +tp1179 +a(g900 +g958 +tp1180 +a(g900 +g960 +tp1181 +a(g818 +Vthis +p1182 +tp1183 +a(g685 +g1003 +tp1184 +a(g226 +VmyInitTime +p1185 +tp1186 +a(g900 +g960 +tp1187 +a(g768 +V/* data binding expression */ +p1188 +tp1189 +a(g900 +g960 +tp1190 +a(g8 +V%> +p1191 +tp1192 +a(g685 +g982 +tp1193 +a(g685 +g1046 +tp1194 +a(g8 +Vspan +p1195 +tp1196 +a(g685 +g992 +tp1197 +a(g900 +V\u000a\u000a +p1198 +tp1199 +a(g701 +V +p1204 +tp1205 +a(g900 +V\u000a +p1206 +tp1207 +a(g685 +g982 +tp1208 +a(g8 +Vspan +p1209 +tp1210 +a(g900 +g960 +tp1211 +a(g242 +Vstyle +p1212 +tp1213 +a(g662 +g967 +tp1214 +a(g341 +V"color:blue" +p1215 +tp1216 +a(g685 +g992 +tp1217 +a(g8 +V<% +p1218 +tp1219 +a(g226 +V$ +p1220 +tp1221 +a(g900 +g960 +tp1222 +a(g226 +VResources +p1223 +tp1224 +a(g662 +V: +p1225 +tp1226 +a(g900 +g960 +tp1227 +a(g226 +VlocalizationKey +p1228 +tp1229 +a(g900 +g960 +tp1230 +a(g8 +V%> +p1231 +tp1232 +a(g8 +V<% +p1233 +tp1234 +a(g662 +V-- +p1235 +tp1236 +a(g900 +g960 +tp1237 +a(g226 +VJBST +p1238 +tp1239 +a(g900 +g960 +tp1240 +a(g226 +Vglobalization +p1241 +tp1242 +a(g662 +V-- +p1243 +tp1244 +a(g8 +V%> +p1245 +tp1246 +a(g685 +g982 +tp1247 +a(g685 +g1046 +tp1248 +a(g8 +Vspan +p1249 +tp1250 +a(g685 +g992 +tp1251 +a(g900 +V\u000a +p1252 +tp1253 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/jbst_example2.jbst b/tests/examplefiles/output/jbst_example2.jbst new file mode 100644 index 0000000..83f992a --- /dev/null +++ b/tests/examplefiles/output/jbst_example2.jbst @@ -0,0 +1,3825 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Tag' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsg5 +g8 +sS'Constant' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Function' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +g1 +(g2 +g3 +(g4 +g145 +g55 +tp151 +tp152 +Rp153 +(dp154 +g10 +g148 +sg25 +g26 +((lp155 +tp156 +Rp157 +sbatp158 +Rp159 +sg55 +g153 +sbsS'Blubb' +p160 +g1 +(g2 +g3 +(g4 +g160 +tp161 +tp162 +Rp163 +(dp164 +g10 +g13 +sg25 +g26 +((lp165 +tp166 +Rp167 +sbsS'Label' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag163 +ag116 +ag84 +ag18 +ag187 +ag108 +ag8 +ag148 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag171 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag140 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg137 +g1 +(g2 +g3 +(g815 +g137 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV<%@ +p956 +tp957 +a(g900 +V +p958 +tp959 +a(g900 +V +p960 +tp961 +a(g226 +VControl +p962 +tp963 +a(g900 +g960 +tp964 +a(g226 +VName +p965 +tp966 +a(g662 +V= +p967 +tp968 +a(g408 +V"Foo.MyZebraList" +p969 +tp970 +a(g900 +g960 +tp971 +a(g226 +VLanguage +p972 +tp973 +a(g662 +g967 +tp974 +a(g408 +V"JavaScript" +p975 +tp976 +a(g900 +g960 +tp977 +a(g8 +V%> +p978 +tp979 +a(g900 +V\u000a\u000a +p980 +tp981 +a(g685 +V< +p982 +tp983 +a(g8 +Vscript +p984 +tp985 +a(g900 +g960 +tp986 +a(g242 +Vtype +p987 +tp988 +a(g662 +g967 +tp989 +a(g341 +V"text/javascript" +p990 +tp991 +a(g685 +V> +p992 +tp993 +a(g900 +g958 +tp994 +a(g900 +V\u000a\u000a +p995 +tp996 +a(g768 +V/* private members ------------------------------------------ */ +p997 +tp998 +a(g900 +V\u000a\u000a +p999 +tp1000 +a(g768 +V/*int*/ +p1001 +tp1002 +a(g900 +g960 +tp1003 +a(g883 +Vfunction +p1004 +tp1005 +a(g900 +g960 +tp1006 +a(g226 +Vdigits +p1007 +tp1008 +a(g685 +V( +p1009 +tp1010 +a(g768 +V/*int*/ +p1011 +tp1012 +a(g900 +g960 +tp1013 +a(g226 +Vn +p1014 +tp1015 +a(g685 +V) +p1016 +tp1017 +a(g900 +g960 +tp1018 +a(g685 +V{ +p1019 +tp1020 +a(g900 +V\u000a +p1021 +tp1022 +a(g818 +Vreturn +p1023 +tp1024 +a(g900 +g960 +tp1025 +a(g685 +g1009 +tp1026 +a(g226 +g1014 +tp1027 +a(g900 +g960 +tp1028 +a(g662 +g982 +tp1029 +a(g900 +g960 +tp1030 +a(g290 +V10 +p1031 +tp1032 +a(g685 +g1016 +tp1033 +a(g900 +g960 +tp1034 +a(g662 +V? +p1035 +tp1036 +a(g900 +g960 +tp1037 +a(g480 +V'0' +p1038 +tp1039 +a(g900 +g960 +tp1040 +a(g662 +V+ +p1041 +tp1042 +a(g900 +g960 +tp1043 +a(g226 +g1014 +tp1044 +a(g900 +g960 +tp1045 +a(g662 +V: +p1046 +tp1047 +a(g900 +g960 +tp1048 +a(g226 +g1014 +tp1049 +a(g685 +V; +p1050 +tp1051 +a(g900 +V\u000a +p1052 +tp1053 +a(g685 +V} +p1054 +tp1055 +a(g900 +V\u000a\u000a +p1056 +tp1057 +a(g768 +V/* public members ------------------------------------------- */ +p1058 +tp1059 +a(g900 +V\u000a\u000a +p1060 +tp1061 +a(g737 +V// use the item index to alternate colors and highlight\u000a +p1062 +tp1063 +a(g900 +g958 +tp1064 +a(g900 +V +p1065 +tp1066 +a(g768 +V/*string*/ +p1067 +tp1068 +a(g900 +g960 +tp1069 +a(g818 +Vthis +p1070 +tp1071 +a(g685 +V. +p1072 +tp1073 +a(g226 +VzebraStripe +p1074 +tp1075 +a(g900 +g960 +tp1076 +a(g662 +g967 +tp1077 +a(g900 +g960 +tp1078 +a(g883 +Vfunction +p1079 +tp1080 +a(g685 +g1009 +tp1081 +a(g768 +V/*bool*/ +p1082 +tp1083 +a(g900 +g960 +tp1084 +a(g226 +Vselected +p1085 +tp1086 +a(g685 +V, +p1087 +tp1088 +a(g900 +g960 +tp1089 +a(g768 +V/*int*/ +p1090 +tp1091 +a(g900 +g960 +tp1092 +a(g226 +Vindex +p1093 +tp1094 +a(g685 +g1087 +tp1095 +a(g900 +g960 +tp1096 +a(g768 +V/*int*/ +p1097 +tp1098 +a(g900 +g960 +tp1099 +a(g226 +Vcount +p1100 +tp1101 +a(g685 +g1016 +tp1102 +a(g900 +g960 +tp1103 +a(g685 +g1019 +tp1104 +a(g900 +V\u000a +p1105 +tp1106 +a(g883 +Vvar +p1107 +tp1108 +a(g900 +g960 +tp1109 +a(g226 +Vcss +p1110 +tp1111 +a(g900 +g960 +tp1112 +a(g662 +g967 +tp1113 +a(g900 +g960 +tp1114 +a(g685 +V[ +p1115 +tp1116 +a(g900 +g960 +tp1117 +a(g408 +V"item" +p1118 +tp1119 +a(g900 +g960 +tp1120 +a(g685 +V] +p1121 +tp1122 +a(g685 +g1050 +tp1123 +a(g900 +V\u000a +p1124 +tp1125 +a(g818 +Vif +p1126 +tp1127 +a(g900 +g960 +tp1128 +a(g685 +g1009 +tp1129 +a(g226 +Vindex +p1130 +tp1131 +a(g900 +g960 +tp1132 +a(g662 +V% +p1133 +tp1134 +a(g900 +g960 +tp1135 +a(g290 +V2 +p1136 +tp1137 +a(g900 +g960 +tp1138 +a(g662 +V=== +p1139 +tp1140 +a(g900 +g960 +tp1141 +a(g290 +V0 +p1142 +tp1143 +a(g685 +g1016 +tp1144 +a(g900 +g960 +tp1145 +a(g685 +g1019 +tp1146 +a(g900 +V\u000a +p1147 +tp1148 +a(g226 +Vcss +p1149 +tp1150 +a(g685 +g1072 +tp1151 +a(g226 +Vpush +p1152 +tp1153 +a(g685 +g1009 +tp1154 +a(g408 +V"item-alt" +p1155 +tp1156 +a(g685 +g1016 +tp1157 +a(g685 +g1050 +tp1158 +a(g900 +V\u000a +p1159 +tp1160 +a(g685 +g1054 +tp1161 +a(g900 +V\u000a +p1162 +tp1163 +a(g818 +Vif +p1164 +tp1165 +a(g900 +g960 +tp1166 +a(g685 +g1009 +tp1167 +a(g226 +Vselected +p1168 +tp1169 +a(g685 +g1016 +tp1170 +a(g900 +g960 +tp1171 +a(g685 +g1019 +tp1172 +a(g900 +V\u000a +p1173 +tp1174 +a(g226 +Vcss +p1175 +tp1176 +a(g685 +g1072 +tp1177 +a(g226 +Vpush +p1178 +tp1179 +a(g685 +g1009 +tp1180 +a(g408 +V"item-selected" +p1181 +tp1182 +a(g685 +g1016 +tp1183 +a(g685 +g1050 +tp1184 +a(g900 +V\u000a +p1185 +tp1186 +a(g685 +g1054 +tp1187 +a(g900 +V\u000a +p1188 +tp1189 +a(g818 +Vreturn +p1190 +tp1191 +a(g900 +g960 +tp1192 +a(g226 +Vcss +p1193 +tp1194 +a(g685 +g1072 +tp1195 +a(g226 +Vjoin +p1196 +tp1197 +a(g685 +g1009 +tp1198 +a(g408 +V" " +p1199 +tp1200 +a(g685 +g1016 +tp1201 +a(g685 +g1050 +tp1202 +a(g900 +V\u000a +p1203 +tp1204 +a(g685 +g1054 +tp1205 +a(g685 +g1050 +tp1206 +a(g900 +V\u000a\u000a +p1207 +tp1208 +a(g768 +V/*string*/ +p1209 +tp1210 +a(g900 +g960 +tp1211 +a(g818 +Vthis +p1212 +tp1213 +a(g685 +g1072 +tp1214 +a(g226 +VformatTime +p1215 +tp1216 +a(g900 +g960 +tp1217 +a(g662 +g967 +tp1218 +a(g900 +g960 +tp1219 +a(g883 +Vfunction +p1220 +tp1221 +a(g685 +g1009 +tp1222 +a(g768 +V/*Date*/ +p1223 +tp1224 +a(g900 +g960 +tp1225 +a(g226 +Vtime +p1226 +tp1227 +a(g685 +g1016 +tp1228 +a(g900 +g960 +tp1229 +a(g685 +g1019 +tp1230 +a(g900 +V\u000a +p1231 +tp1232 +a(g818 +Vreturn +p1233 +tp1234 +a(g900 +g960 +tp1235 +a(g226 +Vtime +p1236 +tp1237 +a(g685 +g1072 +tp1238 +a(g226 +VgetHours +p1239 +tp1240 +a(g685 +g1009 +tp1241 +a(g685 +g1016 +tp1242 +a(g900 +g960 +tp1243 +a(g662 +g1041 +tp1244 +a(g900 +g960 +tp1245 +a(g480 +V':' +p1246 +tp1247 +a(g900 +g960 +tp1248 +a(g662 +g1041 +tp1249 +a(g900 +g960 +tp1250 +a(g226 +Vdigits +p1251 +tp1252 +a(g685 +g1009 +tp1253 +a(g226 +Vtime +p1254 +tp1255 +a(g685 +g1072 +tp1256 +a(g226 +VgetMinutes +p1257 +tp1258 +a(g685 +g1009 +tp1259 +a(g685 +g1016 +tp1260 +a(g685 +g1016 +tp1261 +a(g900 +g960 +tp1262 +a(g662 +g1041 +tp1263 +a(g900 +g960 +tp1264 +a(g480 +V':' +p1265 +tp1266 +a(g900 +g960 +tp1267 +a(g662 +g1041 +tp1268 +a(g900 +g960 +tp1269 +a(g226 +Vdigits +p1270 +tp1271 +a(g685 +g1009 +tp1272 +a(g226 +Vtime +p1273 +tp1274 +a(g685 +g1072 +tp1275 +a(g226 +VgetSeconds +p1276 +tp1277 +a(g685 +g1009 +tp1278 +a(g685 +g1016 +tp1279 +a(g685 +g1016 +tp1280 +a(g685 +g1050 +tp1281 +a(g900 +V\u000a +p1282 +tp1283 +a(g685 +g1054 +tp1284 +a(g685 +g1050 +tp1285 +a(g900 +V\u000a\u000a +p1286 +tp1287 +a(g685 +g982 +tp1288 +a(g685 +V/ +p1289 +tp1290 +a(g8 +Vscript +p1291 +tp1292 +a(g685 +g992 +tp1293 +a(g900 +V\u000a\u000a +p1294 +tp1295 +a(g685 +g982 +tp1296 +a(g8 +Vdiv +p1297 +tp1298 +a(g900 +g960 +tp1299 +a(g242 +Vclass +p1300 +tp1301 +a(g662 +g967 +tp1302 +a(g341 +V"example" +p1303 +tp1304 +a(g685 +g992 +tp1305 +a(g900 +V\u000a +p1306 +tp1307 +a(g685 +g982 +tp1308 +a(g8 +Vh2 +p1309 +tp1310 +a(g685 +g992 +tp1311 +a(g8 +V<%= +p1312 +tp1313 +a(g900 +g958 +tp1314 +a(g900 +g960 +tp1315 +a(g818 +Vthis +p1316 +tp1317 +a(g685 +g1072 +tp1318 +a(g226 +Vdata +p1319 +tp1320 +a(g685 +g1072 +tp1321 +a(g226 +Vtitle +p1322 +tp1323 +a(g900 +g960 +tp1324 +a(g8 +V%> +p1325 +tp1326 +a(g900 +V as of +p1327 +tp1328 +a(g8 +V<%= +p1329 +tp1330 +a(g900 +g958 +tp1331 +a(g900 +g960 +tp1332 +a(g818 +Vthis +p1333 +tp1334 +a(g685 +g1072 +tp1335 +a(g226 +VformatTime +p1336 +tp1337 +a(g685 +g1009 +tp1338 +a(g818 +Vthis +p1339 +tp1340 +a(g685 +g1072 +tp1341 +a(g226 +Vdata +p1342 +tp1343 +a(g685 +g1072 +tp1344 +a(g226 +Vtimestamp +p1345 +tp1346 +a(g685 +g1016 +tp1347 +a(g900 +g960 +tp1348 +a(g8 +V%> +p1349 +tp1350 +a(g900 +V! +p1351 +tp1352 +a(g685 +g982 +tp1353 +a(g685 +g1289 +tp1354 +a(g8 +Vh2 +p1355 +tp1356 +a(g685 +g992 +tp1357 +a(g900 +V\u000a +p1358 +tp1359 +a(g685 +g982 +tp1360 +a(g8 +Vp +p1361 +tp1362 +a(g685 +g992 +tp1363 +a(g8 +V<%= +p1364 +tp1365 +a(g900 +g958 +tp1366 +a(g900 +g960 +tp1367 +a(g818 +Vthis +p1368 +tp1369 +a(g685 +g1072 +tp1370 +a(g226 +Vdata +p1371 +tp1372 +a(g685 +g1072 +tp1373 +a(g226 +Vdescription +p1374 +tp1375 +a(g900 +g960 +tp1376 +a(g8 +V%> +p1377 +tp1378 +a(g685 +g982 +tp1379 +a(g685 +g1289 +tp1380 +a(g8 +g1361 +tp1381 +a(g685 +g992 +tp1382 +a(g900 +V\u000a +p1383 +tp1384 +a(g685 +g982 +tp1385 +a(g8 +Vul +p1386 +tp1387 +a(g900 +g960 +tp1388 +a(g242 +Vclass +p1389 +tp1390 +a(g662 +g967 +tp1391 +a(g341 +V"items" +p1392 +tp1393 +a(g900 +g960 +tp1394 +a(g242 +Vjbst:visible +p1395 +tp1396 +a(g662 +g967 +tp1397 +a(g341 +V" +p1398 +tp1399 +a(g8 +V<%= +p1400 +tp1401 +a(g900 +g958 +tp1402 +a(g900 +g960 +tp1403 +a(g818 +Vthis +p1404 +tp1405 +a(g685 +g1072 +tp1406 +a(g226 +Vdata +p1407 +tp1408 +a(g685 +g1072 +tp1409 +a(g226 +Vchildren +p1410 +tp1411 +a(g685 +g1072 +tp1412 +a(g226 +Vlength +p1413 +tp1414 +a(g900 +g960 +tp1415 +a(g662 +g992 +tp1416 +a(g900 +g960 +tp1417 +a(g290 +g1142 +tp1418 +a(g900 +g960 +tp1419 +a(g8 +V%> +p1420 +tp1421 +a(g900 +V">\u000a\u000a +p1422 +tp1423 +a(g701 +V +p1428 +tp1429 +a(g900 +V\u000a +p1430 +tp1431 +a(g685 +g982 +tp1432 +a(g8 +Vjbst:control +p1433 +tp1434 +a(g900 +g960 +tp1435 +a(g242 +Vdata +p1436 +tp1437 +a(g662 +g967 +tp1438 +a(g341 +g1398 +tp1439 +a(g8 +V<%= +p1440 +tp1441 +a(g900 +g958 +tp1442 +a(g900 +g960 +tp1443 +a(g818 +Vthis +p1444 +tp1445 +a(g685 +g1072 +tp1446 +a(g226 +Vdata +p1447 +tp1448 +a(g685 +g1072 +tp1449 +a(g226 +Vchildren +p1450 +tp1451 +a(g900 +g960 +tp1452 +a(g8 +V%> +p1453 +tp1454 +a(g900 +V">\u000a +p1455 +tp1456 +a(g701 +V +p1461 +tp1462 +a(g900 +V\u000a +p1463 +tp1464 +a(g685 +g982 +tp1465 +a(g8 +Vli +p1466 +tp1467 +a(g900 +g960 +tp1468 +a(g242 +Vclass +p1469 +tp1470 +a(g662 +g967 +tp1471 +a(g341 +g1398 +tp1472 +a(g8 +V<%= +p1473 +tp1474 +a(g900 +g958 +tp1475 +a(g900 +g960 +tp1476 +a(g226 +VFoo +p1477 +tp1478 +a(g685 +g1072 +tp1479 +a(g226 +VMyZebraList +p1480 +tp1481 +a(g685 +g1072 +tp1482 +a(g226 +VzebraStripe +p1483 +tp1484 +a(g685 +g1009 +tp1485 +a(g818 +Vthis +p1486 +tp1487 +a(g685 +g1072 +tp1488 +a(g226 +Vdata +p1489 +tp1490 +a(g685 +g1072 +tp1491 +a(g226 +Vselected +p1492 +tp1493 +a(g685 +g1087 +tp1494 +a(g900 +g960 +tp1495 +a(g818 +Vthis +p1496 +tp1497 +a(g685 +g1072 +tp1498 +a(g226 +Vindex +p1499 +tp1500 +a(g685 +g1087 +tp1501 +a(g900 +g960 +tp1502 +a(g818 +Vthis +p1503 +tp1504 +a(g685 +g1072 +tp1505 +a(g226 +Vcount +p1506 +tp1507 +a(g685 +g1016 +tp1508 +a(g900 +g960 +tp1509 +a(g8 +V%> +p1510 +tp1511 +a(g900 +V">\u000a +p1512 +tp1513 +a(g8 +V<%= +p1514 +tp1515 +a(g900 +g958 +tp1516 +a(g900 +g960 +tp1517 +a(g818 +Vthis +p1518 +tp1519 +a(g685 +g1072 +tp1520 +a(g226 +Vdata +p1521 +tp1522 +a(g685 +g1072 +tp1523 +a(g226 +Vlabel +p1524 +tp1525 +a(g900 +g960 +tp1526 +a(g8 +V%> +p1527 +tp1528 +a(g900 +V ( +p1529 +tp1530 +a(g8 +V<%= +p1531 +tp1532 +a(g900 +g958 +tp1533 +a(g900 +g960 +tp1534 +a(g818 +Vthis +p1535 +tp1536 +a(g685 +g1072 +tp1537 +a(g226 +Vindex +p1538 +tp1539 +a(g662 +g1041 +tp1540 +a(g290 +V1 +p1541 +tp1542 +a(g900 +g960 +tp1543 +a(g8 +V%> +p1544 +tp1545 +a(g900 +V of +p1546 +tp1547 +a(g8 +V<%= +p1548 +tp1549 +a(g900 +g958 +tp1550 +a(g900 +g960 +tp1551 +a(g818 +Vthis +p1552 +tp1553 +a(g685 +g1072 +tp1554 +a(g226 +Vcount +p1555 +tp1556 +a(g900 +g960 +tp1557 +a(g8 +V%> +p1558 +tp1559 +a(g900 +V)\u000a +p1560 +tp1561 +a(g685 +g982 +tp1562 +a(g685 +g1289 +tp1563 +a(g8 +Vli +p1564 +tp1565 +a(g685 +g992 +tp1566 +a(g900 +V\u000a +p1567 +tp1568 +a(g685 +g982 +tp1569 +a(g685 +g1289 +tp1570 +a(g8 +Vjbst:control +p1571 +tp1572 +a(g685 +g992 +tp1573 +a(g900 +V\u000a\u000a +p1574 +tp1575 +a(g685 +g982 +tp1576 +a(g685 +g1289 +tp1577 +a(g8 +Vul +p1578 +tp1579 +a(g685 +g992 +tp1580 +a(g900 +V\u000a +p1581 +tp1582 +a(g685 +g982 +tp1583 +a(g685 +g1289 +tp1584 +a(g8 +Vdiv +p1585 +tp1586 +a(g685 +g992 +tp1587 +a(g900 +V\u000a +p1588 +tp1589 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/jinjadesignerdoc.rst b/tests/examplefiles/output/jinjadesignerdoc.rst new file mode 100644 index 0000000..0fa440f --- /dev/null +++ b/tests/examplefiles/output/jinjadesignerdoc.rst @@ -0,0 +1,14938 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Heading' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsg5 +g8 +sS'Emph' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Prompt' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Inserted' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Strong' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg34 +g35 +((lp931 +tp932 +Rp933 +sbsS'Traceback' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg34 +g35 +((lp942 +g8 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag922 +ag898 +ag929 +ag937 +ag914 +ag906 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV====================== +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +VDesigner Documentation +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V====================== +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g826 +VThis part of the Jinja documentaton is meant for template designers. +p970 +tp971 +a(g826 +V\u000a +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +VBasics +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V====== +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g826 +VThe Jinja template language is designed to strike a balance between content +p986 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g826 +Vand application logic. Nevertheless you can use a python like statement +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g826 +Vlanguage. You don't have to know how Python works to create Jinja templates, +p994 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g826 +Vbut if you know it you can use some additional statements you may know from +p998 +tp999 +a(g826 +V\u000a +p1000 +tp1001 +a(g826 +VPython. +p1002 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g826 +VHere is a small example template +p1008 +tp1009 +a(g826 +V: +p1010 +tp1011 +a(g826 +V\u000a +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g610 +V.. +p1016 +tp1017 +a(g826 +V +p1018 +tp1019 +a(g331 +Vsourcecode +p1020 +tp1021 +a(g610 +V:: +p1022 +tp1023 +a(g826 +g1018 +tp1024 +a(g744 +Vhtml+jinja +p1025 +tp1026 +a(g826 +V\u000a\u000a +p1027 +tp1028 +a(g655 +V +p1029 +tp1030 +a(g826 +V +p1031 +tp1032 +a(g655 +V +p1037 +tp1038 +a(g826 +V\u000a +p1039 +tp1040 +a(g826 +V +p1041 +tp1042 +a(g826 +g1029 +tp1043 +a(g610 +V< +p1044 +tp1045 +a(g462 +Vhtml +p1046 +tp1047 +a(g826 +g1018 +tp1048 +a(g571 +Vxmlns +p1049 +tp1050 +a(g317 +V= +p1051 +tp1052 +a(g81 +V"http://www.w3.org/1999/xhtml" +p1053 +tp1054 +a(g826 +g1018 +tp1055 +a(g571 +Vlang +p1056 +tp1057 +a(g317 +g1051 +tp1058 +a(g81 +V"en" +p1059 +tp1060 +a(g826 +g1018 +tp1061 +a(g571 +Vxml:lang +p1062 +tp1063 +a(g317 +g1051 +tp1064 +a(g81 +V"en" +p1065 +tp1066 +a(g610 +V> +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g826 +V +p1071 +tp1072 +a(g826 +g1029 +tp1073 +a(g610 +g1044 +tp1074 +a(g462 +Vhead +p1075 +tp1076 +a(g610 +g1067 +tp1077 +a(g826 +V\u000a +p1078 +tp1079 +a(g826 +V +p1080 +tp1081 +a(g826 +V +p1082 +tp1083 +a(g610 +g1044 +tp1084 +a(g462 +Vtitle +p1085 +tp1086 +a(g610 +g1067 +tp1087 +a(g826 +VMy Webpage +p1088 +tp1089 +a(g610 +g1044 +tp1090 +a(g610 +V/ +p1091 +tp1092 +a(g462 +Vtitle +p1093 +tp1094 +a(g610 +g1067 +tp1095 +a(g826 +V\u000a +p1096 +tp1097 +a(g826 +V +p1098 +tp1099 +a(g826 +g1029 +tp1100 +a(g610 +g1044 +tp1101 +a(g610 +g1091 +tp1102 +a(g462 +Vhead +p1103 +tp1104 +a(g610 +g1067 +tp1105 +a(g826 +V\u000a +p1106 +tp1107 +a(g826 +V +p1108 +tp1109 +a(g826 +g1029 +tp1110 +a(g610 +g1044 +tp1111 +a(g462 +Vbody +p1112 +tp1113 +a(g610 +g1067 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g826 +V +p1117 +tp1118 +a(g826 +V +p1119 +tp1120 +a(g610 +g1044 +tp1121 +a(g462 +Vul +p1122 +tp1123 +a(g826 +g1018 +tp1124 +a(g571 +Vid +p1125 +tp1126 +a(g317 +g1051 +tp1127 +a(g81 +V"navigation" +p1128 +tp1129 +a(g610 +g1067 +tp1130 +a(g826 +V\u000a +p1131 +tp1132 +a(g826 +V +p1133 +tp1134 +a(g826 +V +p1135 +tp1136 +a(g655 +V{% +p1137 +tp1138 +a(g826 +g1018 +tp1139 +a(g744 +Vfor +p1140 +tp1141 +a(g826 +g1018 +tp1142 +a(g345 +Vitem +p1143 +tp1144 +a(g826 +g1018 +tp1145 +a(g744 +Vin +p1146 +tp1147 +a(g826 +g1018 +tp1148 +a(g345 +Vnavigation +p1149 +tp1150 +a(g826 +g1018 +tp1151 +a(g655 +V%} +p1152 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g826 +V +p1156 +tp1157 +a(g826 +V +p1158 +tp1159 +a(g610 +g1044 +tp1160 +a(g462 +Vli +p1161 +tp1162 +a(g610 +g1067 +tp1163 +a(g610 +g1044 +tp1164 +a(g462 +Va +p1165 +tp1166 +a(g826 +g1018 +tp1167 +a(g571 +Vhref +p1168 +tp1169 +a(g317 +g1051 +tp1170 +a(g81 +V" +p1171 +tp1172 +a(g655 +V{{ +p1173 +tp1174 +a(g826 +g1018 +tp1175 +a(g345 +Vitem +p1176 +tp1177 +a(g345 +V.href +p1178 +tp1179 +a(g317 +V| +p1180 +tp1181 +a(g478 +Ve +p1182 +tp1183 +a(g826 +g1018 +tp1184 +a(g655 +V}} +p1185 +tp1186 +a(g81 +g1171 +tp1187 +a(g610 +g1067 +tp1188 +a(g655 +V{{ +p1189 +tp1190 +a(g826 +g1018 +tp1191 +a(g345 +Vitem +p1192 +tp1193 +a(g345 +V.caption +p1194 +tp1195 +a(g317 +g1180 +tp1196 +a(g478 +g1182 +tp1197 +a(g826 +g1018 +tp1198 +a(g655 +V}} +p1199 +tp1200 +a(g610 +g1029 +tp1201 +a(g610 +g1044 +tp1202 +a(g610 +g1091 +tp1203 +a(g462 +g1165 +tp1204 +a(g610 +g1067 +tp1205 +a(g610 +g1044 +tp1206 +a(g610 +g1091 +tp1207 +a(g462 +Vli +p1208 +tp1209 +a(g610 +g1067 +tp1210 +a(g826 +V\u000a +p1211 +tp1212 +a(g826 +V +p1213 +tp1214 +a(g826 +V +p1215 +tp1216 +a(g655 +V{% +p1217 +tp1218 +a(g826 +g1018 +tp1219 +a(g744 +Vendfor +p1220 +tp1221 +a(g826 +g1018 +tp1222 +a(g655 +V%} +p1223 +tp1224 +a(g826 +V\u000a +p1225 +tp1226 +a(g826 +V +p1227 +tp1228 +a(g826 +V +p1229 +tp1230 +a(g610 +g1044 +tp1231 +a(g610 +g1091 +tp1232 +a(g462 +Vul +p1233 +tp1234 +a(g610 +g1067 +tp1235 +a(g826 +V\u000a\u000a +p1236 +tp1237 +a(g826 +V +p1238 +tp1239 +a(g826 +V +p1240 +tp1241 +a(g610 +g1044 +tp1242 +a(g462 +Vh1 +p1243 +tp1244 +a(g610 +g1067 +tp1245 +a(g826 +VMy Webpage +p1246 +tp1247 +a(g610 +g1044 +tp1248 +a(g610 +g1091 +tp1249 +a(g462 +Vh1 +p1250 +tp1251 +a(g610 +g1067 +tp1252 +a(g826 +V\u000a +p1253 +tp1254 +a(g826 +V +p1255 +tp1256 +a(g826 +V +p1257 +tp1258 +a(g655 +V{{ +p1259 +tp1260 +a(g826 +g1018 +tp1261 +a(g345 +Vvariable +p1262 +tp1263 +a(g826 +g1018 +tp1264 +a(g655 +V}} +p1265 +tp1266 +a(g826 +V\u000a +p1267 +tp1268 +a(g826 +V +p1269 +tp1270 +a(g826 +g1029 +tp1271 +a(g610 +g1044 +tp1272 +a(g610 +g1091 +tp1273 +a(g462 +Vbody +p1274 +tp1275 +a(g610 +g1067 +tp1276 +a(g826 +V\u000a +p1277 +tp1278 +a(g826 +V +p1279 +tp1280 +a(g826 +g1029 +tp1281 +a(g610 +g1044 +tp1282 +a(g610 +g1091 +tp1283 +a(g462 +Vhtml +p1284 +tp1285 +a(g610 +g1067 +tp1286 +a(g826 +V\u000a\u000a +p1287 +tp1288 +a(g826 +VThis covers the default settings. The application developer might have changed +p1289 +tp1290 +a(g826 +V\u000a +p1291 +tp1292 +a(g826 +Vthe syntax from +p1293 +tp1294 +a(g81 +V`` +p1295 +tp1296 +a(g81 +V{% foo %} +p1297 +tp1298 +a(g81 +V`` +p1299 +tp1300 +a(g826 +V to +p1301 +tp1302 +a(g81 +V`` +p1303 +tp1304 +a(g81 +V<% foo %> +p1305 +tp1306 +a(g81 +V`` +p1307 +tp1308 +a(g826 +V or something similar. This +p1309 +tp1310 +a(g826 +V\u000a +p1311 +tp1312 +a(g826 +Vdocumentation just covers the default values. +p1313 +tp1314 +a(g826 +V\u000a +p1315 +tp1316 +a(g826 +V\u000a +p1317 +tp1318 +a(g826 +VA variable looks like +p1319 +tp1320 +a(g81 +V`` +p1321 +tp1322 +a(g81 +V{{ foobar }} +p1323 +tp1324 +a(g81 +V`` +p1325 +tp1326 +a(g826 +V where foobar is the variable name. Inside +p1327 +tp1328 +a(g826 +V\u000a +p1329 +tp1330 +a(g826 +Vof statements ( +p1331 +tp1332 +a(g81 +V`` +p1333 +tp1334 +a(g81 +V{% some content here %} +p1335 +tp1336 +a(g81 +V`` +p1337 +tp1338 +a(g826 +V) variables are just normal names +p1339 +tp1340 +a(g826 +V\u000a +p1341 +tp1342 +a(g826 +Vwithout the braces around it. In fact +p1343 +tp1344 +a(g81 +V`` +p1345 +tp1346 +a(g81 +V{{ foobar }} +p1347 +tp1348 +a(g81 +V`` +p1349 +tp1350 +a(g826 +V is just an alias for +p1351 +tp1352 +a(g826 +V\u000a +p1353 +tp1354 +a(g826 +Vthe statement +p1355 +tp1356 +a(g81 +V`` +p1357 +tp1358 +a(g81 +V{% print foobar %} +p1359 +tp1360 +a(g81 +V`` +p1361 +tp1362 +a(g826 +V. +p1363 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g826 +V\u000a +p1367 +tp1368 +a(g826 +VVariables are coming from the context provided by the application. Normally there +p1369 +tp1370 +a(g826 +V\u000a +p1371 +tp1372 +a(g826 +Vshould be a documentation regarding the context contents but if you want to know +p1373 +tp1374 +a(g826 +V\u000a +p1375 +tp1376 +a(g826 +Vthe content of the current context, you can add this to your template +p1377 +tp1378 +a(g826 +g1010 +tp1379 +a(g826 +V\u000a +p1380 +tp1381 +a(g826 +V\u000a +p1382 +tp1383 +a(g610 +V.. +p1384 +tp1385 +a(g826 +g1018 +tp1386 +a(g331 +Vsourcecode +p1387 +tp1388 +a(g610 +V:: +p1389 +tp1390 +a(g826 +g1018 +tp1391 +a(g744 +Vhtml+jinja +p1392 +tp1393 +a(g826 +V\u000a\u000a +p1394 +tp1395 +a(g610 +g1029 +tp1396 +a(g826 +V +p1397 +tp1398 +a(g610 +g1044 +tp1399 +a(g462 +Vpre +p1400 +tp1401 +a(g610 +g1067 +tp1402 +a(g655 +V{{ +p1403 +tp1404 +a(g826 +g1018 +tp1405 +a(g345 +Vdebug +p1406 +tp1407 +a(g317 +V( +p1408 +tp1409 +a(g317 +V) +p1410 +tp1411 +a(g317 +g1180 +tp1412 +a(g478 +g1182 +tp1413 +a(g826 +g1018 +tp1414 +a(g655 +V}} +p1415 +tp1416 +a(g610 +g1029 +tp1417 +a(g610 +g1044 +tp1418 +a(g610 +g1091 +tp1419 +a(g462 +Vpre +p1420 +tp1421 +a(g610 +g1067 +tp1422 +a(g826 +V\u000a\u000a +p1423 +tp1424 +a(g826 +VA context isn't flat which means that each variable can has subvariables, as long +p1425 +tp1426 +a(g826 +V\u000a +p1427 +tp1428 +a(g826 +Vas it is representable as python data structure. You can access attributes of +p1429 +tp1430 +a(g826 +V\u000a +p1431 +tp1432 +a(g826 +Va variable using the dot and bracket operators. The following examples show +p1433 +tp1434 +a(g826 +V\u000a +p1435 +tp1436 +a(g826 +Vthis +p1437 +tp1438 +a(g826 +g1010 +tp1439 +a(g826 +V\u000a +p1440 +tp1441 +a(g826 +V\u000a +p1442 +tp1443 +a(g610 +V.. +p1444 +tp1445 +a(g826 +g1018 +tp1446 +a(g331 +Vsourcecode +p1447 +tp1448 +a(g610 +V:: +p1449 +tp1450 +a(g826 +g1018 +tp1451 +a(g744 +Vjinja +p1452 +tp1453 +a(g826 +V\u000a\u000a +p1454 +tp1455 +a(g655 +g1029 +tp1456 +a(g826 +V +p1457 +tp1458 +a(g655 +V{{ +p1459 +tp1460 +a(g826 +g1018 +tp1461 +a(g345 +Vuser +p1462 +tp1463 +a(g345 +V.username +p1464 +tp1465 +a(g826 +g1018 +tp1466 +a(g655 +V}} +p1467 +tp1468 +a(g719 +V\u000a +p1469 +tp1470 +a(g826 +V +p1471 +tp1472 +a(g719 +V is the same as\u000a +p1473 +tp1474 +a(g826 +V +p1475 +tp1476 +a(g719 +g1029 +tp1477 +a(g655 +V{{ +p1478 +tp1479 +a(g826 +g1018 +tp1480 +a(g345 +Vuser +p1481 +tp1482 +a(g317 +V[ +p1483 +tp1484 +a(g222 +V'username' +p1485 +tp1486 +a(g317 +V] +p1487 +tp1488 +a(g826 +g1018 +tp1489 +a(g655 +V}} +p1490 +tp1491 +a(g719 +V\u000a +p1492 +tp1493 +a(g826 +V +p1494 +tp1495 +a(g719 +V you can also use a variable to access an attribute:\u000a +p1496 +tp1497 +a(g826 +V +p1498 +tp1499 +a(g719 +g1029 +tp1500 +a(g655 +V{{ +p1501 +tp1502 +a(g826 +g1018 +tp1503 +a(g345 +Vusers +p1504 +tp1505 +a(g317 +g1483 +tp1506 +a(g345 +Vcurrent_user +p1507 +tp1508 +a(g317 +g1487 +tp1509 +a(g345 +V.username +p1510 +tp1511 +a(g826 +g1018 +tp1512 +a(g655 +V}} +p1513 +tp1514 +a(g719 +V\u000a +p1515 +tp1516 +a(g826 +V +p1517 +tp1518 +a(g719 +V If you have numerical indices you have to use the [] syntax:\u000a +p1519 +tp1520 +a(g826 +V +p1521 +tp1522 +a(g719 +g1029 +tp1523 +a(g655 +V{{ +p1524 +tp1525 +a(g826 +g1018 +tp1526 +a(g345 +Vusers +p1527 +tp1528 +a(g317 +g1483 +tp1529 +a(g22 +V0 +p1530 +tp1531 +a(g317 +g1487 +tp1532 +a(g345 +V.username +p1533 +tp1534 +a(g826 +g1018 +tp1535 +a(g655 +V}} +p1536 +tp1537 +a(g719 +V\u000a\u000a +p1538 +tp1539 +a(g8 +VFilters +p1540 +tp1541 +a(g826 +V\u000a +p1542 +tp1543 +a(g8 +V======= +p1544 +tp1545 +a(g826 +V\u000a +p1546 +tp1547 +a(g826 +V\u000a +p1548 +tp1549 +a(g826 +VIn the examples above you might have noticed the pipe symbols. Pipe symbols tell +p1550 +tp1551 +a(g826 +V\u000a +p1552 +tp1553 +a(g826 +Vthe engine that it has to apply a filter on the variable. Here is a small example +p1554 +tp1555 +a(g826 +g1010 +tp1556 +a(g826 +V\u000a +p1557 +tp1558 +a(g826 +V\u000a +p1559 +tp1560 +a(g610 +V.. +p1561 +tp1562 +a(g826 +g1018 +tp1563 +a(g331 +Vsourcecode +p1564 +tp1565 +a(g610 +V:: +p1566 +tp1567 +a(g826 +g1018 +tp1568 +a(g744 +Vjinja +p1569 +tp1570 +a(g826 +V\u000a\u000a +p1571 +tp1572 +a(g655 +g1029 +tp1573 +a(g826 +V +p1574 +tp1575 +a(g655 +V{{ +p1576 +tp1577 +a(g826 +g1018 +tp1578 +a(g345 +Vvariable +p1579 +tp1580 +a(g317 +g1180 +tp1581 +a(g478 +Vreplace +p1582 +tp1583 +a(g317 +g1408 +tp1584 +a(g222 +V'foo' +p1585 +tp1586 +a(g317 +V, +p1587 +tp1588 +a(g826 +g1018 +tp1589 +a(g222 +V'bar' +p1590 +tp1591 +a(g317 +g1410 +tp1592 +a(g317 +g1180 +tp1593 +a(g478 +Vescape +p1594 +tp1595 +a(g826 +g1018 +tp1596 +a(g655 +V}} +p1597 +tp1598 +a(g719 +V\u000a\u000a +p1599 +tp1600 +a(g826 +VIf you want, you can also put whitespace between the filters. +p1601 +tp1602 +a(g826 +V\u000a +p1603 +tp1604 +a(g826 +V\u000a +p1605 +tp1606 +a(g826 +VThis will look for a variable +p1607 +tp1608 +a(g345 +V`variable` +p1609 +tp1610 +a(g826 +V, pass it to the filter +p1611 +tp1612 +a(g345 +V`replace` +p1613 +tp1614 +a(g826 +V\u000a +p1615 +tp1616 +a(g826 +Vwith the arguments +p1617 +tp1618 +a(g81 +V`` +p1619 +tp1620 +a(g81 +V'foo' +p1621 +tp1622 +a(g81 +V`` +p1623 +tp1624 +a(g826 +V and +p1625 +tp1626 +a(g81 +V`` +p1627 +tp1628 +a(g81 +V'bar' +p1629 +tp1630 +a(g81 +V`` +p1631 +tp1632 +a(g826 +V, and pass the result to the filter +p1633 +tp1634 +a(g826 +V\u000a +p1635 +tp1636 +a(g345 +V`escape` +p1637 +tp1638 +a(g826 +V that automatically XML-escapes the value. The +p1639 +tp1640 +a(g345 +V`e` +p1641 +tp1642 +a(g826 +V filter is an alias for +p1643 +tp1644 +a(g826 +V\u000a +p1645 +tp1646 +a(g345 +V`escape` +p1647 +tp1648 +a(g826 +V. Here is the complete list of supported filters +p1649 +tp1650 +a(g826 +g1010 +tp1651 +a(g826 +V\u000a +p1652 +tp1653 +a(g826 +V\u000a +p1654 +tp1655 +a(g826 +g1483 +tp1656 +a(g826 +g1483 +tp1657 +a(g826 +Vlist_of_filters]] +p1658 +tp1659 +a(g826 +V\u000a +p1660 +tp1661 +a(g826 +V\u000a +p1662 +tp1663 +a(g610 +V.. +p1664 +tp1665 +a(g826 +g1018 +tp1666 +a(g331 +Vadmonition +p1667 +tp1668 +a(g610 +V:: +p1669 +tp1670 +a(g826 +g1018 +tp1671 +a(g826 +Vnote +p1672 +tp1673 +a(g826 +V\u000a +p1674 +tp1675 +a(g826 +V\u000a +p1676 +tp1677 +a(g826 +V Filters have a pretty low priority. If you want to add fitered values +p1678 +tp1679 +a(g826 +V\u000a +p1680 +tp1681 +a(g826 +V you have to put them into parentheses. The same applies if you want to access +p1682 +tp1683 +a(g826 +V\u000a +p1684 +tp1685 +a(g826 +V attributes +p1686 +tp1687 +a(g826 +g1010 +tp1688 +a(g826 +V\u000a +p1689 +tp1690 +a(g826 +V\u000a +p1691 +tp1692 +a(g610 +V .. +p1693 +tp1694 +a(g826 +g1018 +tp1695 +a(g331 +Vsourcecode +p1696 +tp1697 +a(g610 +V:: +p1698 +tp1699 +a(g826 +g1018 +tp1700 +a(g744 +Vjinja +p1701 +tp1702 +a(g826 +V\u000a\u000a +p1703 +tp1704 +a(g719 +g1029 +tp1705 +a(g826 +V +p1706 +tp1707 +a(g719 +Vcorrect:\u000a +p1708 +tp1709 +a(g826 +V +p1710 +tp1711 +a(g719 +V +p1712 +tp1713 +a(g655 +V{{ +p1714 +tp1715 +a(g826 +g1018 +tp1716 +a(g317 +g1408 +tp1717 +a(g345 +Vfoo +p1718 +tp1719 +a(g317 +g1180 +tp1720 +a(g478 +Vfilter +p1721 +tp1722 +a(g317 +g1410 +tp1723 +a(g826 +g1018 +tp1724 +a(g317 +V+ +p1725 +tp1726 +a(g826 +g1018 +tp1727 +a(g317 +g1408 +tp1728 +a(g345 +Vbar +p1729 +tp1730 +a(g317 +g1180 +tp1731 +a(g478 +Vfilter +p1732 +tp1733 +a(g317 +g1410 +tp1734 +a(g826 +g1018 +tp1735 +a(g655 +V}} +p1736 +tp1737 +a(g719 +V\u000a +p1738 +tp1739 +a(g826 +V +p1740 +tp1741 +a(g719 +Vwrong:\u000a +p1742 +tp1743 +a(g826 +V +p1744 +tp1745 +a(g719 +V +p1746 +tp1747 +a(g655 +V{{ +p1748 +tp1749 +a(g826 +g1018 +tp1750 +a(g345 +Vfoo +p1751 +tp1752 +a(g317 +g1180 +tp1753 +a(g478 +Vfilter +p1754 +tp1755 +a(g826 +g1018 +tp1756 +a(g317 +g1725 +tp1757 +a(g826 +g1018 +tp1758 +a(g345 +Vbar +p1759 +tp1760 +a(g317 +g1180 +tp1761 +a(g478 +Vfilter +p1762 +tp1763 +a(g826 +g1018 +tp1764 +a(g655 +V}} +p1765 +tp1766 +a(g719 +V\u000a\u000a +p1767 +tp1768 +a(g826 +V +p1769 +tp1770 +a(g719 +Vcorrect:\u000a +p1771 +tp1772 +a(g826 +V +p1773 +tp1774 +a(g719 +V +p1775 +tp1776 +a(g655 +V{{ +p1777 +tp1778 +a(g826 +g1018 +tp1779 +a(g317 +g1408 +tp1780 +a(g345 +Vfoo +p1781 +tp1782 +a(g317 +g1180 +tp1783 +a(g478 +Vfilter +p1784 +tp1785 +a(g317 +g1410 +tp1786 +a(g345 +V.attribute +p1787 +tp1788 +a(g826 +g1018 +tp1789 +a(g655 +V}} +p1790 +tp1791 +a(g719 +V\u000a +p1792 +tp1793 +a(g826 +V +p1794 +tp1795 +a(g719 +Vwrong:\u000a +p1796 +tp1797 +a(g826 +V +p1798 +tp1799 +a(g719 +V +p1800 +tp1801 +a(g655 +V{{ +p1802 +tp1803 +a(g826 +g1018 +tp1804 +a(g345 +Vfoo +p1805 +tp1806 +a(g317 +g1180 +tp1807 +a(g478 +Vfilter +p1808 +tp1809 +a(g345 +V.attribute +p1810 +tp1811 +a(g826 +g1018 +tp1812 +a(g655 +V}} +p1813 +tp1814 +a(g719 +V\u000a\u000a +p1815 +tp1816 +a(g8 +VTests +p1817 +tp1818 +a(g826 +V\u000a +p1819 +tp1820 +a(g8 +V===== +p1821 +tp1822 +a(g826 +V\u000a +p1823 +tp1824 +a(g826 +V\u000a +p1825 +tp1826 +a(g826 +VYou can use the +p1827 +tp1828 +a(g345 +V`is` +p1829 +tp1830 +a(g826 +V operator to perform tests on a value +p1831 +tp1832 +a(g826 +g1010 +tp1833 +a(g826 +V\u000a +p1834 +tp1835 +a(g826 +V\u000a +p1836 +tp1837 +a(g610 +V.. +p1838 +tp1839 +a(g826 +g1018 +tp1840 +a(g331 +Vsourcecode +p1841 +tp1842 +a(g610 +V:: +p1843 +tp1844 +a(g826 +g1018 +tp1845 +a(g744 +Vjinja +p1846 +tp1847 +a(g826 +V\u000a\u000a +p1848 +tp1849 +a(g655 +g1029 +tp1850 +a(g826 +V +p1851 +tp1852 +a(g655 +V{{ +p1853 +tp1854 +a(g826 +g1018 +tp1855 +a(g22 +V4 +p1856 +tp1857 +a(g22 +V2 +p1858 +tp1859 +a(g826 +g1018 +tp1860 +a(g744 +Vis +p1861 +tp1862 +a(g826 +g1018 +tp1863 +a(g478 +Vnumeric +p1864 +tp1865 +a(g826 +g1018 +tp1866 +a(g655 +V}} +p1867 +tp1868 +a(g719 +V -> true\u000a +p1869 +tp1870 +a(g826 +V +p1871 +tp1872 +a(g719 +g1029 +tp1873 +a(g655 +V{{ +p1874 +tp1875 +a(g826 +g1018 +tp1876 +a(g149 +V"foobar" +p1877 +tp1878 +a(g826 +g1018 +tp1879 +a(g744 +Vis +p1880 +tp1881 +a(g826 +g1018 +tp1882 +a(g478 +Vnumeric +p1883 +tp1884 +a(g826 +g1018 +tp1885 +a(g655 +V}} +p1886 +tp1887 +a(g719 +V -> false\u000a +p1888 +tp1889 +a(g826 +V +p1890 +tp1891 +a(g719 +g1029 +tp1892 +a(g655 +V{{ +p1893 +tp1894 +a(g826 +g1018 +tp1895 +a(g222 +V'FOO' +p1896 +tp1897 +a(g826 +g1018 +tp1898 +a(g744 +Vis +p1899 +tp1900 +a(g826 +g1018 +tp1901 +a(g478 +Vupper +p1902 +tp1903 +a(g826 +g1018 +tp1904 +a(g655 +V}} +p1905 +tp1906 +a(g719 +V -> true\u000a\u000a +p1907 +tp1908 +a(g826 +VThese tests are especially useful when used in +p1909 +tp1910 +a(g345 +V`if` +p1911 +tp1912 +a(g826 +V conditions. +p1913 +tp1914 +a(g826 +V\u000a +p1915 +tp1916 +a(g826 +V\u000a +p1917 +tp1918 +a(g826 +g1483 +tp1919 +a(g826 +g1483 +tp1920 +a(g826 +Vlist_of_tests]] +p1921 +tp1922 +a(g826 +V\u000a +p1923 +tp1924 +a(g826 +V\u000a +p1925 +tp1926 +a(g8 +VGlobal Functions +p1927 +tp1928 +a(g826 +V\u000a +p1929 +tp1930 +a(g8 +V================ +p1931 +tp1932 +a(g826 +V\u000a +p1933 +tp1934 +a(g826 +V\u000a +p1935 +tp1936 +a(g826 +VTest functions and filter functions live in their own namespace. Global +p1937 +tp1938 +a(g826 +V\u000a +p1939 +tp1940 +a(g826 +Vfunctions not. They behave like normal objects in the context. Beside the +p1941 +tp1942 +a(g826 +V\u000a +p1943 +tp1944 +a(g826 +Vfunctions added by the application or framewhere there are two functions +p1945 +tp1946 +a(g826 +V\u000a +p1947 +tp1948 +a(g826 +Vavailable per default +p1949 +tp1950 +a(g826 +g1010 +tp1951 +a(g826 +V\u000a +p1952 +tp1953 +a(g826 +V\u000a +p1954 +tp1955 +a(g345 +V`range` +p1956 +tp1957 +a(g826 +V\u000a +p1958 +tp1959 +a(g826 +V +p1960 +tp1961 +a(g826 +V\u000a +p1962 +tp1963 +a(g826 +V Works like the python +p1964 +tp1965 +a(g81 +V`range function`_ +p1966 +tp1967 +a(g826 +V just that it doesn't support +p1968 +tp1969 +a(g826 +V\u000a +p1970 +tp1971 +a(g826 +V ranges greater than +p1972 +tp1973 +a(g81 +V`` +p1974 +tp1975 +a(g81 +V1000000 +p1976 +tp1977 +a(g81 +V`` +p1978 +tp1979 +a(g826 +g1363 +tp1980 +a(g826 +V\u000a +p1981 +tp1982 +a(g826 +V\u000a +p1983 +tp1984 +a(g345 +V`debug` +p1985 +tp1986 +a(g826 +V\u000a +p1987 +tp1988 +a(g826 +V\u000a +p1989 +tp1990 +a(g826 +V Function that outputs the contents of the context. +p1991 +tp1992 +a(g826 +V\u000a +p1993 +tp1994 +a(g826 +V\u000a +p1995 +tp1996 +a(g8 +VLoops +p1997 +tp1998 +a(g826 +V\u000a +p1999 +tp2000 +a(g8 +V===== +p2001 +tp2002 +a(g826 +V\u000a +p2003 +tp2004 +a(g826 +V\u000a +p2005 +tp2006 +a(g826 +VTo iterate over a sequence, you can use the +p2007 +tp2008 +a(g345 +V`for` +p2009 +tp2010 +a(g826 +V loop. It basically looks like a +p2011 +tp2012 +a(g826 +V\u000a +p2013 +tp2014 +a(g826 +Vnormal Python +p2015 +tp2016 +a(g345 +V`for` +p2017 +tp2018 +a(g826 +V loop and works pretty much the same +p2019 +tp2020 +a(g826 +g1010 +tp2021 +a(g826 +V\u000a +p2022 +tp2023 +a(g826 +V\u000a +p2024 +tp2025 +a(g610 +V.. +p2026 +tp2027 +a(g826 +g1018 +tp2028 +a(g331 +Vsourcecode +p2029 +tp2030 +a(g610 +V:: +p2031 +tp2032 +a(g826 +g1018 +tp2033 +a(g744 +Vhtml+jinja +p2034 +tp2035 +a(g826 +V\u000a\u000a +p2036 +tp2037 +a(g610 +g1029 +tp2038 +a(g826 +V +p2039 +tp2040 +a(g610 +g1044 +tp2041 +a(g462 +Vh1 +p2042 +tp2043 +a(g610 +g1067 +tp2044 +a(g826 +VMembers +p2045 +tp2046 +a(g610 +g1044 +tp2047 +a(g610 +g1091 +tp2048 +a(g462 +Vh1 +p2049 +tp2050 +a(g610 +g1067 +tp2051 +a(g826 +V\u000a +p2052 +tp2053 +a(g826 +V +p2054 +tp2055 +a(g826 +g1029 +tp2056 +a(g610 +g1044 +tp2057 +a(g462 +Vul +p2058 +tp2059 +a(g610 +g1067 +tp2060 +a(g826 +V\u000a +p2061 +tp2062 +a(g826 +V +p2063 +tp2064 +a(g826 +g1029 +tp2065 +a(g655 +V{% +p2066 +tp2067 +a(g826 +g1018 +tp2068 +a(g744 +Vfor +p2069 +tp2070 +a(g826 +g1018 +tp2071 +a(g345 +Vuser +p2072 +tp2073 +a(g826 +g1018 +tp2074 +a(g744 +Vin +p2075 +tp2076 +a(g826 +g1018 +tp2077 +a(g345 +Vusers +p2078 +tp2079 +a(g826 +g1018 +tp2080 +a(g655 +V%} +p2081 +tp2082 +a(g826 +V\u000a +p2083 +tp2084 +a(g826 +V +p2085 +tp2086 +a(g826 +V +p2087 +tp2088 +a(g610 +g1044 +tp2089 +a(g462 +Vli +p2090 +tp2091 +a(g610 +g1067 +tp2092 +a(g655 +V{{ +p2093 +tp2094 +a(g826 +g1018 +tp2095 +a(g586 +Vloop +p2096 +tp2097 +a(g345 +V.index +p2098 +tp2099 +a(g826 +g1018 +tp2100 +a(g655 +V}} +p2101 +tp2102 +a(g610 +g1029 +tp2103 +a(g826 +V / +p2104 +tp2105 +a(g655 +V{{ +p2106 +tp2107 +a(g826 +g1018 +tp2108 +a(g586 +Vloop +p2109 +tp2110 +a(g345 +V.length +p2111 +tp2112 +a(g826 +g1018 +tp2113 +a(g655 +V}} +p2114 +tp2115 +a(g826 +V - +p2116 +tp2117 +a(g655 +V{{ +p2118 +tp2119 +a(g826 +g1018 +tp2120 +a(g345 +Vuser +p2121 +tp2122 +a(g345 +V.username +p2123 +tp2124 +a(g317 +g1180 +tp2125 +a(g478 +Vescape +p2126 +tp2127 +a(g826 +g1018 +tp2128 +a(g655 +V}} +p2129 +tp2130 +a(g826 +g1029 +tp2131 +a(g610 +g1044 +tp2132 +a(g610 +g1091 +tp2133 +a(g462 +Vli +p2134 +tp2135 +a(g610 +g1067 +tp2136 +a(g826 +V\u000a +p2137 +tp2138 +a(g826 +V +p2139 +tp2140 +a(g826 +g1029 +tp2141 +a(g655 +V{% +p2142 +tp2143 +a(g826 +g1018 +tp2144 +a(g744 +Velse +p2145 +tp2146 +a(g826 +g1018 +tp2147 +a(g655 +V%} +p2148 +tp2149 +a(g826 +V\u000a +p2150 +tp2151 +a(g826 +V +p2152 +tp2153 +a(g826 +V +p2154 +tp2155 +a(g610 +g1044 +tp2156 +a(g462 +Vli +p2157 +tp2158 +a(g610 +g1067 +tp2159 +a(g610 +g1044 +tp2160 +a(g462 +Vem +p2161 +tp2162 +a(g610 +g1067 +tp2163 +a(g826 +Vno users found +p2164 +tp2165 +a(g610 +g1044 +tp2166 +a(g610 +g1091 +tp2167 +a(g462 +Vem +p2168 +tp2169 +a(g610 +g1067 +tp2170 +a(g610 +g1044 +tp2171 +a(g610 +g1091 +tp2172 +a(g462 +Vli +p2173 +tp2174 +a(g610 +g1067 +tp2175 +a(g826 +V\u000a +p2176 +tp2177 +a(g826 +V +p2178 +tp2179 +a(g826 +g1029 +tp2180 +a(g655 +V{% +p2181 +tp2182 +a(g826 +g1018 +tp2183 +a(g744 +Vendfor +p2184 +tp2185 +a(g826 +g1018 +tp2186 +a(g655 +V%} +p2187 +tp2188 +a(g826 +V\u000a +p2189 +tp2190 +a(g826 +V +p2191 +tp2192 +a(g826 +g1029 +tp2193 +a(g610 +g1044 +tp2194 +a(g610 +g1091 +tp2195 +a(g462 +Vul +p2196 +tp2197 +a(g610 +g1067 +tp2198 +a(g826 +V\u000a\u000a +p2199 +tp2200 +a(g898 +V*Important* +p2201 +tp2202 +a(g826 +V Contrary to Python is the optional +p2203 +tp2204 +a(g81 +V`` +p2205 +tp2206 +a(g81 +Velse +p2207 +tp2208 +a(g81 +V`` +p2209 +tp2210 +a(g826 +V block only +p2211 +tp2212 +a(g826 +V\u000a +p2213 +tp2214 +a(g826 +Vexecuted if there was no iteration because the sequence was empty. +p2215 +tp2216 +a(g826 +V\u000a +p2217 +tp2218 +a(g826 +V\u000a +p2219 +tp2220 +a(g826 +VInside of a +p2221 +tp2222 +a(g345 +V`for` +p2223 +tp2224 +a(g826 +V loop block you can access some special variables +p2225 +tp2226 +a(g826 +g1010 +tp2227 +a(g826 +V\u000a +p2228 +tp2229 +a(g826 +V\u000a +p2230 +tp2231 +a(g826 +V+----------------------+----------------------------------------+ +p2232 +tp2233 +a(g826 +V\u000a +p2234 +tp2235 +a(g317 +g1180 +tp2236 +a(g826 +V Variable | Description | +p2237 +tp2238 +a(g826 +V\u000a +p2239 +tp2240 +a(g826 +V+======================+========================================+ +p2241 +tp2242 +a(g826 +V\u000a +p2243 +tp2244 +a(g317 +g1180 +tp2245 +a(g826 +g1018 +tp2246 +a(g345 +V`loop.index` +p2247 +tp2248 +a(g826 +V | The current iteration of the loop. | +p2249 +tp2250 +a(g826 +V\u000a +p2251 +tp2252 +a(g826 +V+----------------------+----------------------------------------+ +p2253 +tp2254 +a(g826 +V\u000a +p2255 +tp2256 +a(g317 +g1180 +tp2257 +a(g826 +g1018 +tp2258 +a(g345 +V`loop.index0` +p2259 +tp2260 +a(g826 +V | The current iteration of the loop, | +p2261 +tp2262 +a(g826 +V\u000a +p2263 +tp2264 +a(g317 +g1180 +tp2265 +a(g826 +V | starting counting by 0. | +p2266 +tp2267 +a(g826 +V\u000a +p2268 +tp2269 +a(g826 +V+----------------------+----------------------------------------+ +p2270 +tp2271 +a(g826 +V\u000a +p2272 +tp2273 +a(g317 +g1180 +tp2274 +a(g826 +g1018 +tp2275 +a(g345 +V`loop.revindex` +p2276 +tp2277 +a(g826 +V | The number of iterations from the end | +p2278 +tp2279 +a(g826 +V\u000a +p2280 +tp2281 +a(g317 +g1180 +tp2282 +a(g826 +V | of the loop. | +p2283 +tp2284 +a(g826 +V\u000a +p2285 +tp2286 +a(g826 +V+----------------------+----------------------------------------+ +p2287 +tp2288 +a(g826 +V\u000a +p2289 +tp2290 +a(g317 +g1180 +tp2291 +a(g826 +g1018 +tp2292 +a(g345 +V`loop.revindex0` +p2293 +tp2294 +a(g826 +V | The number of iterations from the end | +p2295 +tp2296 +a(g826 +V\u000a +p2297 +tp2298 +a(g317 +g1180 +tp2299 +a(g826 +V | of the loop, starting counting by 0. | +p2300 +tp2301 +a(g826 +V\u000a +p2302 +tp2303 +a(g826 +V+----------------------+----------------------------------------+ +p2304 +tp2305 +a(g826 +V\u000a +p2306 +tp2307 +a(g317 +g1180 +tp2308 +a(g826 +g1018 +tp2309 +a(g345 +V`loop.first` +p2310 +tp2311 +a(g826 +V | True if first iteration. | +p2312 +tp2313 +a(g826 +V\u000a +p2314 +tp2315 +a(g826 +V+----------------------+----------------------------------------+ +p2316 +tp2317 +a(g826 +V\u000a +p2318 +tp2319 +a(g317 +g1180 +tp2320 +a(g826 +g1018 +tp2321 +a(g345 +V`loop.last` +p2322 +tp2323 +a(g826 +V | True if last iteration. | +p2324 +tp2325 +a(g826 +V\u000a +p2326 +tp2327 +a(g826 +V+----------------------+----------------------------------------+ +p2328 +tp2329 +a(g826 +V\u000a +p2330 +tp2331 +a(g317 +g1180 +tp2332 +a(g826 +g1018 +tp2333 +a(g345 +V`loop.even` +p2334 +tp2335 +a(g826 +V | True if current iteration is even. | +p2336 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g826 +V+----------------------+----------------------------------------+ +p2340 +tp2341 +a(g826 +V\u000a +p2342 +tp2343 +a(g317 +g1180 +tp2344 +a(g826 +g1018 +tp2345 +a(g345 +V`loop.odd` +p2346 +tp2347 +a(g826 +V | True if current iteration is odd. | +p2348 +tp2349 +a(g826 +V\u000a +p2350 +tp2351 +a(g826 +V+----------------------+----------------------------------------+ +p2352 +tp2353 +a(g826 +V\u000a +p2354 +tp2355 +a(g317 +g1180 +tp2356 +a(g826 +g1018 +tp2357 +a(g345 +V`loop.length` +p2358 +tp2359 +a(g826 +V | Total number of items in the sequence. | +p2360 +tp2361 +a(g826 +V\u000a +p2362 +tp2363 +a(g826 +V+----------------------+----------------------------------------+ +p2364 +tp2365 +a(g826 +V\u000a +p2366 +tp2367 +a(g317 +g1180 +tp2368 +a(g826 +g1018 +tp2369 +a(g345 +V`loop.parent` +p2370 +tp2371 +a(g826 +V | The context of the parent loop. | +p2372 +tp2373 +a(g826 +V\u000a +p2374 +tp2375 +a(g826 +V+----------------------+----------------------------------------+ +p2376 +tp2377 +a(g826 +V\u000a +p2378 +tp2379 +a(g826 +V\u000a +p2380 +tp2381 +a(g826 +VLoops also support recursion. Let's assume you have a sitemap where each item +p2382 +tp2383 +a(g826 +V\u000a +p2384 +tp2385 +a(g826 +Vmight have a number of child items. A template for that could look like this +p2386 +tp2387 +a(g826 +g1010 +tp2388 +a(g826 +V\u000a +p2389 +tp2390 +a(g826 +V\u000a +p2391 +tp2392 +a(g610 +V.. +p2393 +tp2394 +a(g826 +g1018 +tp2395 +a(g331 +Vsourcecode +p2396 +tp2397 +a(g610 +V:: +p2398 +tp2399 +a(g826 +g1018 +tp2400 +a(g744 +Vhtml+jinja +p2401 +tp2402 +a(g826 +V\u000a\u000a +p2403 +tp2404 +a(g610 +g1029 +tp2405 +a(g826 +V +p2406 +tp2407 +a(g610 +g1044 +tp2408 +a(g462 +Vh1 +p2409 +tp2410 +a(g610 +g1067 +tp2411 +a(g826 +VSitemap\u000a +p2412 +tp2413 +a(g826 +V +p2414 +tp2415 +a(g826 +g1029 +tp2416 +a(g610 +g1044 +tp2417 +a(g462 +Vul +p2418 +tp2419 +a(g826 +g1018 +tp2420 +a(g571 +Vid +p2421 +tp2422 +a(g317 +g1051 +tp2423 +a(g81 +V"sitemap" +p2424 +tp2425 +a(g610 +g1067 +tp2426 +a(g826 +V\u000a +p2427 +tp2428 +a(g826 +V +p2429 +tp2430 +a(g826 +g1029 +tp2431 +a(g655 +V{% +p2432 +tp2433 +a(g826 +g1018 +tp2434 +a(g744 +Vfor +p2435 +tp2436 +a(g826 +g1018 +tp2437 +a(g345 +Vitem +p2438 +tp2439 +a(g826 +g1018 +tp2440 +a(g744 +Vin +p2441 +tp2442 +a(g826 +g1018 +tp2443 +a(g345 +Vsitemap +p2444 +tp2445 +a(g826 +g1018 +tp2446 +a(g744 +Vrecursive +p2447 +tp2448 +a(g826 +g1018 +tp2449 +a(g655 +V%} +p2450 +tp2451 +a(g826 +V\u000a +p2452 +tp2453 +a(g826 +V +p2454 +tp2455 +a(g826 +V +p2456 +tp2457 +a(g610 +g1044 +tp2458 +a(g462 +Vli +p2459 +tp2460 +a(g610 +g1067 +tp2461 +a(g610 +g1044 +tp2462 +a(g462 +g1165 +tp2463 +a(g826 +g1018 +tp2464 +a(g571 +Vhref +p2465 +tp2466 +a(g317 +g1051 +tp2467 +a(g81 +g1171 +tp2468 +a(g655 +V{{ +p2469 +tp2470 +a(g826 +g1018 +tp2471 +a(g345 +Vitem +p2472 +tp2473 +a(g345 +V.url +p2474 +tp2475 +a(g317 +g1180 +tp2476 +a(g478 +g1182 +tp2477 +a(g826 +g1018 +tp2478 +a(g655 +V}} +p2479 +tp2480 +a(g81 +g1171 +tp2481 +a(g610 +g1067 +tp2482 +a(g655 +V{{ +p2483 +tp2484 +a(g826 +g1018 +tp2485 +a(g345 +Vitem +p2486 +tp2487 +a(g345 +V.title +p2488 +tp2489 +a(g317 +g1180 +tp2490 +a(g478 +g1182 +tp2491 +a(g826 +g1018 +tp2492 +a(g655 +V}} +p2493 +tp2494 +a(g610 +g1029 +tp2495 +a(g610 +g1044 +tp2496 +a(g610 +g1091 +tp2497 +a(g462 +g1165 +tp2498 +a(g610 +g1067 +tp2499 +a(g826 +V\u000a +p2500 +tp2501 +a(g826 +V +p2502 +tp2503 +a(g826 +V +p2504 +tp2505 +a(g655 +V{% +p2506 +tp2507 +a(g826 +g1018 +tp2508 +a(g744 +Vif +p2509 +tp2510 +a(g826 +g1018 +tp2511 +a(g345 +Vitem +p2512 +tp2513 +a(g345 +V.children +p2514 +tp2515 +a(g826 +g1018 +tp2516 +a(g655 +V%} +p2517 +tp2518 +a(g826 +g1029 +tp2519 +a(g610 +g1044 +tp2520 +a(g462 +Vul +p2521 +tp2522 +a(g610 +g1067 +tp2523 +a(g655 +V{{ +p2524 +tp2525 +a(g826 +g1018 +tp2526 +a(g586 +Vloop +p2527 +tp2528 +a(g317 +g1408 +tp2529 +a(g345 +Vitem +p2530 +tp2531 +a(g345 +V.children +p2532 +tp2533 +a(g317 +g1410 +tp2534 +a(g826 +g1018 +tp2535 +a(g655 +V}} +p2536 +tp2537 +a(g610 +g1029 +tp2538 +a(g610 +g1044 +tp2539 +a(g610 +g1091 +tp2540 +a(g462 +Vul +p2541 +tp2542 +a(g610 +g1067 +tp2543 +a(g655 +V{% +p2544 +tp2545 +a(g826 +g1018 +tp2546 +a(g744 +Vendif +p2547 +tp2548 +a(g826 +g1018 +tp2549 +a(g655 +V%} +p2550 +tp2551 +a(g610 +g1029 +tp2552 +a(g610 +g1044 +tp2553 +a(g610 +g1091 +tp2554 +a(g462 +Vli +p2555 +tp2556 +a(g610 +g1067 +tp2557 +a(g826 +V\u000a +p2558 +tp2559 +a(g826 +V +p2560 +tp2561 +a(g826 +g1029 +tp2562 +a(g655 +V{% +p2563 +tp2564 +a(g826 +g1018 +tp2565 +a(g744 +Vendfor +p2566 +tp2567 +a(g826 +g1018 +tp2568 +a(g655 +V%} +p2569 +tp2570 +a(g826 +V\u000a +p2571 +tp2572 +a(g826 +V +p2573 +tp2574 +a(g826 +g1029 +tp2575 +a(g610 +g1044 +tp2576 +a(g610 +g1091 +tp2577 +a(g462 +Vul +p2578 +tp2579 +a(g610 +g1067 +tp2580 +a(g826 +V\u000a\u000a +p2581 +tp2582 +a(g826 +VWhat happens here? Basically the first thing that is different to a normal +p2583 +tp2584 +a(g826 +V\u000a +p2585 +tp2586 +a(g826 +Vloop is the additional +p2587 +tp2588 +a(g81 +V`` +p2589 +tp2590 +a(g81 +Vrecursive +p2591 +tp2592 +a(g81 +V`` +p2593 +tp2594 +a(g826 +V modifier in the +p2595 +tp2596 +a(g345 +V`for` +p2597 +tp2598 +a(g826 +V-loop declaration. +p2599 +tp2600 +a(g826 +V\u000a +p2601 +tp2602 +a(g826 +VIt tells the template engine that we want recursion. If recursion is enabled +p2603 +tp2604 +a(g826 +V\u000a +p2605 +tp2606 +a(g826 +Vthe special +p2607 +tp2608 +a(g345 +V`loop` +p2609 +tp2610 +a(g826 +V variable is callable. If you call it with a sequence it will +p2611 +tp2612 +a(g826 +V\u000a +p2613 +tp2614 +a(g826 +Vautomatically render the loop at that position with the new sequence as argument. +p2615 +tp2616 +a(g826 +V\u000a +p2617 +tp2618 +a(g826 +V\u000a +p2619 +tp2620 +a(g8 +VCycling +p2621 +tp2622 +a(g826 +V\u000a +p2623 +tp2624 +a(g8 +V======= +p2625 +tp2626 +a(g826 +V\u000a +p2627 +tp2628 +a(g826 +V\u000a +p2629 +tp2630 +a(g826 +VSometimes you might want to have different text snippets for each row in a list, +p2631 +tp2632 +a(g826 +V\u000a +p2633 +tp2634 +a(g826 +Vfor example to have alternating row colors. You can easily do this by using the +p2635 +tp2636 +a(g826 +V\u000a +p2637 +tp2638 +a(g81 +V`` +p2639 +tp2640 +a(g81 +V{% cycle %} +p2641 +tp2642 +a(g81 +V`` +p2643 +tp2644 +a(g826 +V tag +p2645 +tp2646 +a(g826 +g1010 +tp2647 +a(g826 +V\u000a +p2648 +tp2649 +a(g826 +V\u000a +p2650 +tp2651 +a(g610 +V.. +p2652 +tp2653 +a(g826 +g1018 +tp2654 +a(g331 +Vsourcecode +p2655 +tp2656 +a(g610 +V:: +p2657 +tp2658 +a(g826 +g1018 +tp2659 +a(g744 +Vhtml+jinja +p2660 +tp2661 +a(g826 +V\u000a\u000a +p2662 +tp2663 +a(g610 +g1029 +tp2664 +a(g826 +V +p2665 +tp2666 +a(g610 +g1044 +tp2667 +a(g462 +Vul +p2668 +tp2669 +a(g826 +g1018 +tp2670 +a(g571 +Vid +p2671 +tp2672 +a(g317 +g1051 +tp2673 +a(g81 +V"messages" +p2674 +tp2675 +a(g610 +g1067 +tp2676 +a(g826 +V\u000a +p2677 +tp2678 +a(g826 +V +p2679 +tp2680 +a(g826 +g1029 +tp2681 +a(g655 +V{% +p2682 +tp2683 +a(g826 +g1018 +tp2684 +a(g744 +Vfor +p2685 +tp2686 +a(g826 +g1018 +tp2687 +a(g345 +Vmessage +p2688 +tp2689 +a(g826 +g1018 +tp2690 +a(g744 +Vin +p2691 +tp2692 +a(g826 +g1018 +tp2693 +a(g345 +Vmessages +p2694 +tp2695 +a(g826 +g1018 +tp2696 +a(g655 +V%} +p2697 +tp2698 +a(g826 +V\u000a +p2699 +tp2700 +a(g826 +V +p2701 +tp2702 +a(g826 +V +p2703 +tp2704 +a(g610 +g1044 +tp2705 +a(g462 +Vli +p2706 +tp2707 +a(g826 +g1018 +tp2708 +a(g571 +Vclass +p2709 +tp2710 +a(g317 +g1051 +tp2711 +a(g81 +g1171 +tp2712 +a(g655 +V{% +p2713 +tp2714 +a(g826 +g1018 +tp2715 +a(g744 +Vcycle +p2716 +tp2717 +a(g826 +g1018 +tp2718 +a(g222 +V'row1' +p2719 +tp2720 +a(g317 +g1587 +tp2721 +a(g826 +g1018 +tp2722 +a(g222 +V'row2' +p2723 +tp2724 +a(g826 +g1018 +tp2725 +a(g655 +V%} +p2726 +tp2727 +a(g81 +g1171 +tp2728 +a(g610 +g1067 +tp2729 +a(g655 +V{{ +p2730 +tp2731 +a(g826 +g1018 +tp2732 +a(g345 +Vmessage +p2733 +tp2734 +a(g317 +g1180 +tp2735 +a(g478 +g1182 +tp2736 +a(g826 +g1018 +tp2737 +a(g655 +V}} +p2738 +tp2739 +a(g610 +g1029 +tp2740 +a(g610 +g1044 +tp2741 +a(g610 +g1091 +tp2742 +a(g462 +Vli +p2743 +tp2744 +a(g610 +g1067 +tp2745 +a(g826 +V\u000a +p2746 +tp2747 +a(g826 +V +p2748 +tp2749 +a(g826 +g1029 +tp2750 +a(g655 +V{% +p2751 +tp2752 +a(g826 +g1018 +tp2753 +a(g744 +Vendfor +p2754 +tp2755 +a(g826 +g1018 +tp2756 +a(g655 +V%} +p2757 +tp2758 +a(g826 +V\u000a +p2759 +tp2760 +a(g826 +V +p2761 +tp2762 +a(g826 +g1029 +tp2763 +a(g610 +g1044 +tp2764 +a(g610 +g1091 +tp2765 +a(g462 +Vul +p2766 +tp2767 +a(g610 +g1067 +tp2768 +a(g826 +V\u000a\u000a +p2769 +tp2770 +a(g826 +VEach time Jinja encounters a +p2771 +tp2772 +a(g345 +V`cycle` +p2773 +tp2774 +a(g826 +V tag it will cycle through the list +p2775 +tp2776 +a(g826 +V\u000a +p2777 +tp2778 +a(g826 +Vof given items and return the next one. If you pass it one item jinja assumes +p2779 +tp2780 +a(g826 +V\u000a +p2781 +tp2782 +a(g826 +Vthat this item is a sequence from the context and uses this +p2783 +tp2784 +a(g826 +g1010 +tp2785 +a(g826 +V\u000a +p2786 +tp2787 +a(g826 +V\u000a +p2788 +tp2789 +a(g610 +V.. +p2790 +tp2791 +a(g826 +g1018 +tp2792 +a(g331 +Vsourcecode +p2793 +tp2794 +a(g610 +V:: +p2795 +tp2796 +a(g826 +g1018 +tp2797 +a(g744 +Vhtml+jinja +p2798 +tp2799 +a(g826 +V\u000a\u000a +p2800 +tp2801 +a(g610 +g1029 +tp2802 +a(g826 +V +p2803 +tp2804 +a(g610 +g1044 +tp2805 +a(g462 +Vli +p2806 +tp2807 +a(g826 +g1018 +tp2808 +a(g571 +Vstyle +p2809 +tp2810 +a(g317 +g1051 +tp2811 +a(g81 +V"color: +p2812 +tp2813 +a(g655 +V{% +p2814 +tp2815 +a(g826 +g1018 +tp2816 +a(g744 +Vcycle +p2817 +tp2818 +a(g826 +g1018 +tp2819 +a(g345 +Vrowcolors +p2820 +tp2821 +a(g826 +g1018 +tp2822 +a(g655 +V%} +p2823 +tp2824 +a(g81 +g1171 +tp2825 +a(g610 +g1067 +tp2826 +a(g826 +V... +p2827 +tp2828 +a(g610 +g1044 +tp2829 +a(g610 +g1091 +tp2830 +a(g462 +Vli +p2831 +tp2832 +a(g610 +g1067 +tp2833 +a(g826 +V\u000a\u000a +p2834 +tp2835 +a(g8 +VConditions +p2836 +tp2837 +a(g826 +V\u000a +p2838 +tp2839 +a(g8 +V========== +p2840 +tp2841 +a(g826 +V\u000a +p2842 +tp2843 +a(g826 +V\u000a +p2844 +tp2845 +a(g826 +VJinja supports Python-like +p2846 +tp2847 +a(g345 +V`if` +p2848 +tp2849 +a(g826 +V / +p2850 +tp2851 +a(g345 +V`elif` +p2852 +tp2853 +a(g826 +V / +p2854 +tp2855 +a(g345 +V`else` +p2856 +tp2857 +a(g826 +V constructs +p2858 +tp2859 +a(g826 +g1010 +tp2860 +a(g826 +V\u000a +p2861 +tp2862 +a(g826 +V\u000a +p2863 +tp2864 +a(g610 +V.. +p2865 +tp2866 +a(g826 +g1018 +tp2867 +a(g331 +Vsourcecode +p2868 +tp2869 +a(g610 +V:: +p2870 +tp2871 +a(g826 +g1018 +tp2872 +a(g744 +Vjinja +p2873 +tp2874 +a(g826 +V\u000a\u000a +p2875 +tp2876 +a(g655 +g1029 +tp2877 +a(g826 +V +p2878 +tp2879 +a(g655 +V{% +p2880 +tp2881 +a(g826 +g1018 +tp2882 +a(g744 +Vif +p2883 +tp2884 +a(g826 +g1018 +tp2885 +a(g345 +Vuser +p2886 +tp2887 +a(g345 +V.active +p2888 +tp2889 +a(g826 +g1018 +tp2890 +a(g655 +V%} +p2891 +tp2892 +a(g719 +V\u000a +p2893 +tp2894 +a(g826 +V +p2895 +tp2896 +a(g719 +V user +p2897 +tp2898 +a(g655 +V{{ +p2899 +tp2900 +a(g826 +g1018 +tp2901 +a(g345 +Vuser +p2902 +tp2903 +a(g345 +V.name +p2904 +tp2905 +a(g317 +g1180 +tp2906 +a(g478 +g1182 +tp2907 +a(g826 +g1018 +tp2908 +a(g655 +V}} +p2909 +tp2910 +a(g719 +V is active.\u000a +p2911 +tp2912 +a(g826 +V +p2913 +tp2914 +a(g719 +g1029 +tp2915 +a(g655 +V{% +p2916 +tp2917 +a(g826 +g1018 +tp2918 +a(g744 +Velif +p2919 +tp2920 +a(g826 +g1018 +tp2921 +a(g345 +Vuser +p2922 +tp2923 +a(g345 +V.deleted +p2924 +tp2925 +a(g826 +g1018 +tp2926 +a(g655 +V%} +p2927 +tp2928 +a(g719 +V\u000a +p2929 +tp2930 +a(g826 +V +p2931 +tp2932 +a(g719 +V user +p2933 +tp2934 +a(g655 +V{{ +p2935 +tp2936 +a(g826 +g1018 +tp2937 +a(g345 +Vuser +p2938 +tp2939 +a(g345 +V.name +p2940 +tp2941 +a(g317 +g1180 +tp2942 +a(g478 +g1182 +tp2943 +a(g826 +g1018 +tp2944 +a(g655 +V}} +p2945 +tp2946 +a(g719 +V was deleted some time ago.\u000a +p2947 +tp2948 +a(g826 +V +p2949 +tp2950 +a(g719 +g1029 +tp2951 +a(g655 +V{% +p2952 +tp2953 +a(g826 +g1018 +tp2954 +a(g744 +Velse +p2955 +tp2956 +a(g826 +g1018 +tp2957 +a(g655 +V%} +p2958 +tp2959 +a(g719 +V\u000a +p2960 +tp2961 +a(g826 +V +p2962 +tp2963 +a(g719 +V i don't know what's wrong with +p2964 +tp2965 +a(g655 +V{{ +p2966 +tp2967 +a(g826 +g1018 +tp2968 +a(g345 +Vuser +p2969 +tp2970 +a(g345 +V.username +p2971 +tp2972 +a(g317 +g1180 +tp2973 +a(g478 +g1182 +tp2974 +a(g826 +g1018 +tp2975 +a(g655 +V}} +p2976 +tp2977 +a(g719 +V\u000a +p2978 +tp2979 +a(g826 +V +p2980 +tp2981 +a(g719 +g1029 +tp2982 +a(g655 +V{% +p2983 +tp2984 +a(g826 +g1018 +tp2985 +a(g744 +Vendif +p2986 +tp2987 +a(g826 +g1018 +tp2988 +a(g655 +V%} +p2989 +tp2990 +a(g719 +V\u000a\u000a +p2991 +tp2992 +a(g826 +VIf the user is active the first block is rendered. If not and the user was +p2993 +tp2994 +a(g826 +V\u000a +p2995 +tp2996 +a(g826 +Vdeleted the second one, in all other cases the third one. +p2997 +tp2998 +a(g826 +V\u000a +p2999 +tp3000 +a(g826 +V\u000a +p3001 +tp3002 +a(g826 +VYou can also use comparison operators +p3003 +tp3004 +a(g826 +g1010 +tp3005 +a(g826 +V\u000a +p3006 +tp3007 +a(g826 +V\u000a +p3008 +tp3009 +a(g610 +V.. +p3010 +tp3011 +a(g826 +g1018 +tp3012 +a(g331 +Vsourcecode +p3013 +tp3014 +a(g610 +V:: +p3015 +tp3016 +a(g826 +g1018 +tp3017 +a(g744 +Vhtml+jinja +p3018 +tp3019 +a(g826 +V\u000a\u000a +p3020 +tp3021 +a(g826 +g1029 +tp3022 +a(g826 +V +p3023 +tp3024 +a(g826 +g1029 +tp3025 +a(g655 +V{% +p3026 +tp3027 +a(g826 +g1018 +tp3028 +a(g744 +Vif +p3029 +tp3030 +a(g826 +g1018 +tp3031 +a(g345 +Vamount +p3032 +tp3033 +a(g826 +g1018 +tp3034 +a(g317 +g1044 +tp3035 +a(g826 +g1018 +tp3036 +a(g22 +g1530 +tp3037 +a(g826 +g1018 +tp3038 +a(g655 +V%} +p3039 +tp3040 +a(g826 +V\u000a +p3041 +tp3042 +a(g826 +V +p3043 +tp3044 +a(g826 +V +p3045 +tp3046 +a(g610 +g1044 +tp3047 +a(g462 +Vspan +p3048 +tp3049 +a(g826 +g1018 +tp3050 +a(g571 +Vstyle +p3051 +tp3052 +a(g317 +g1051 +tp3053 +a(g81 +V"color: red" +p3054 +tp3055 +a(g610 +g1067 +tp3056 +a(g655 +V{{ +p3057 +tp3058 +a(g826 +g1018 +tp3059 +a(g345 +Vamount +p3060 +tp3061 +a(g826 +g1018 +tp3062 +a(g655 +V}} +p3063 +tp3064 +a(g610 +g1029 +tp3065 +a(g610 +g1044 +tp3066 +a(g610 +g1091 +tp3067 +a(g462 +Vspan +p3068 +tp3069 +a(g610 +g1067 +tp3070 +a(g826 +V\u000a +p3071 +tp3072 +a(g826 +V +p3073 +tp3074 +a(g826 +g1029 +tp3075 +a(g655 +V{% +p3076 +tp3077 +a(g826 +g1018 +tp3078 +a(g744 +Velse +p3079 +tp3080 +a(g826 +g1018 +tp3081 +a(g655 +V%} +p3082 +tp3083 +a(g826 +V\u000a +p3084 +tp3085 +a(g826 +V +p3086 +tp3087 +a(g826 +V +p3088 +tp3089 +a(g610 +g1044 +tp3090 +a(g462 +Vspan +p3091 +tp3092 +a(g826 +g1018 +tp3093 +a(g571 +Vstyle +p3094 +tp3095 +a(g317 +g1051 +tp3096 +a(g81 +V"color: black" +p3097 +tp3098 +a(g610 +g1067 +tp3099 +a(g655 +V{{ +p3100 +tp3101 +a(g826 +g1018 +tp3102 +a(g345 +Vamount +p3103 +tp3104 +a(g826 +g1018 +tp3105 +a(g655 +V}} +p3106 +tp3107 +a(g610 +g1029 +tp3108 +a(g610 +g1044 +tp3109 +a(g610 +g1091 +tp3110 +a(g462 +Vspan +p3111 +tp3112 +a(g610 +g1067 +tp3113 +a(g826 +V\u000a +p3114 +tp3115 +a(g826 +V +p3116 +tp3117 +a(g826 +g1029 +tp3118 +a(g655 +V{% +p3119 +tp3120 +a(g826 +g1018 +tp3121 +a(g744 +Vendif +p3122 +tp3123 +a(g826 +g1018 +tp3124 +a(g655 +V%} +p3125 +tp3126 +a(g826 +V\u000a\u000a +p3127 +tp3128 +a(g610 +V.. +p3129 +tp3130 +a(g826 +g1018 +tp3131 +a(g331 +Vadmonition +p3132 +tp3133 +a(g610 +V:: +p3134 +tp3135 +a(g826 +g1018 +tp3136 +a(g826 +VNote +p3137 +tp3138 +a(g826 +V\u000a +p3139 +tp3140 +a(g826 +V\u000a +p3141 +tp3142 +a(g826 +V Of course you can use +p3143 +tp3144 +a(g345 +V`or` +p3145 +tp3146 +a(g826 +V / +p3147 +tp3148 +a(g345 +V`and` +p3149 +tp3150 +a(g826 +V and parentheses to create more complex +p3151 +tp3152 +a(g826 +V\u000a +p3153 +tp3154 +a(g826 +V conditions, but usually the logic is already handled in the application and +p3155 +tp3156 +a(g826 +V\u000a +p3157 +tp3158 +a(g826 +V you don't have to create such complex constructs in the template code. However +p3159 +tp3160 +a(g826 +V\u000a +p3161 +tp3162 +a(g826 +V in some situations it might be a good thing to have the abilities to create +p3163 +tp3164 +a(g826 +V\u000a +p3165 +tp3166 +a(g826 +V them. +p3167 +tp3168 +a(g826 +V\u000a +p3169 +tp3170 +a(g826 +V\u000a +p3171 +tp3172 +a(g8 +VOperators +p3173 +tp3174 +a(g826 +V\u000a +p3175 +tp3176 +a(g8 +V========= +p3177 +tp3178 +a(g826 +V\u000a +p3179 +tp3180 +a(g826 +V\u000a +p3181 +tp3182 +a(g826 +VInside +p3183 +tp3184 +a(g81 +V`` +p3185 +tp3186 +a(g81 +V{{ variable }} +p3187 +tp3188 +a(g81 +V`` +p3189 +tp3190 +a(g826 +V blocks, +p3191 +tp3192 +a(g345 +V`if` +p3193 +tp3194 +a(g826 +V conditions and many other parts you can +p3195 +tp3196 +a(g826 +V\u000a +p3197 +tp3198 +a(g826 +Vcan use expressions. In expressions you can use any of the following operators +p3199 +tp3200 +a(g826 +g1010 +tp3201 +a(g826 +V\u000a +p3202 +tp3203 +a(g826 +V\u000a +p3204 +tp3205 +a(g826 +V ======= =================================================================== +p3206 +tp3207 +a(g826 +V\u000a +p3208 +tp3209 +a(g826 +V +p3210 +tp3211 +a(g81 +V`` +p3212 +tp3213 +a(g81 +g1725 +tp3214 +a(g81 +V`` +p3215 +tp3216 +a(g826 +V add the right operand to the left one. +p3217 +tp3218 +a(g826 +V\u000a +p3219 +tp3220 +a(g826 +V +p3221 +tp3222 +a(g81 +V`` +p3223 +tp3224 +a(g81 +V{{ 1 + 2 }} +p3225 +tp3226 +a(g81 +V`` +p3227 +tp3228 +a(g826 +V would return +p3229 +tp3230 +a(g81 +V`` +p3231 +tp3232 +a(g81 +V3 +p3233 +tp3234 +a(g81 +V`` +p3235 +tp3236 +a(g826 +g1363 +tp3237 +a(g826 +V\u000a +p3238 +tp3239 +a(g826 +V +p3240 +tp3241 +a(g81 +V`` +p3242 +tp3243 +a(g81 +V- +p3244 +tp3245 +a(g81 +V`` +p3246 +tp3247 +a(g826 +V subtract the right operand from the left one. +p3248 +tp3249 +a(g826 +V\u000a +p3250 +tp3251 +a(g826 +V +p3252 +tp3253 +a(g81 +V`` +p3254 +tp3255 +a(g81 +V{{ 1 - 1 }} +p3256 +tp3257 +a(g81 +V`` +p3258 +tp3259 +a(g826 +V would return +p3260 +tp3261 +a(g81 +V`` +p3262 +tp3263 +a(g81 +g1530 +tp3264 +a(g81 +V`` +p3265 +tp3266 +a(g826 +g1363 +tp3267 +a(g826 +V\u000a +p3268 +tp3269 +a(g826 +V +p3270 +tp3271 +a(g81 +V`` +p3272 +tp3273 +a(g81 +g1091 +tp3274 +a(g81 +V`` +p3275 +tp3276 +a(g826 +V divide the left operand by the right one. +p3277 +tp3278 +a(g826 +V\u000a +p3279 +tp3280 +a(g826 +V +p3281 +tp3282 +a(g81 +V`` +p3283 +tp3284 +a(g81 +V{{ 1 / 2 }} +p3285 +tp3286 +a(g81 +V`` +p3287 +tp3288 +a(g826 +V would return +p3289 +tp3290 +a(g81 +V`` +p3291 +tp3292 +a(g81 +V0.5 +p3293 +tp3294 +a(g81 +V`` +p3295 +tp3296 +a(g826 +g1363 +tp3297 +a(g826 +V\u000a +p3298 +tp3299 +a(g826 +V +p3300 +tp3301 +a(g81 +V`` +p3302 +tp3303 +a(g81 +V* +p3304 +tp3305 +a(g81 +V`` +p3306 +tp3307 +a(g826 +V multiply the left operand with the right one. +p3308 +tp3309 +a(g826 +V\u000a +p3310 +tp3311 +a(g826 +V +p3312 +tp3313 +a(g81 +V`` +p3314 +tp3315 +a(g81 +V{{ 2 * 2 }} +p3316 +tp3317 +a(g81 +V`` +p3318 +tp3319 +a(g826 +V would return +p3320 +tp3321 +a(g81 +V`` +p3322 +tp3323 +a(g81 +g1856 +tp3324 +a(g81 +V`` +p3325 +tp3326 +a(g826 +g1363 +tp3327 +a(g826 +V\u000a +p3328 +tp3329 +a(g826 +V +p3330 +tp3331 +a(g81 +V`` +p3332 +tp3333 +a(g81 +V** +p3334 +tp3335 +a(g81 +V`` +p3336 +tp3337 +a(g826 +V raise the left operand to the power of the right +p3338 +tp3339 +a(g826 +V\u000a +p3340 +tp3341 +a(g826 +V operand. +p3342 +tp3343 +a(g81 +V`` +p3344 +tp3345 +a(g81 +V{{ 2**3 }} +p3346 +tp3347 +a(g81 +V`` +p3348 +tp3349 +a(g826 +V would return +p3350 +tp3351 +a(g81 +V`` +p3352 +tp3353 +a(g81 +V8 +p3354 +tp3355 +a(g81 +V`` +p3356 +tp3357 +a(g826 +g1363 +tp3358 +a(g826 +V\u000a +p3359 +tp3360 +a(g826 +V +p3361 +tp3362 +a(g81 +V`` +p3363 +tp3364 +a(g81 +Vin +p3365 +tp3366 +a(g81 +V`` +p3367 +tp3368 +a(g826 +V perform sequence membership test. +p3369 +tp3370 +a(g81 +V`` +p3371 +tp3372 +a(g81 +V{{ 1 in [1,2,3] }} +p3373 +tp3374 +a(g81 +V`` +p3375 +tp3376 +a(g826 +V would +p3377 +tp3378 +a(g826 +V\u000a +p3379 +tp3380 +a(g826 +V return true. +p3381 +tp3382 +a(g826 +V\u000a +p3383 +tp3384 +a(g826 +V +p3385 +tp3386 +a(g81 +V`` +p3387 +tp3388 +a(g81 +Vis +p3389 +tp3390 +a(g81 +V`` +p3391 +tp3392 +a(g826 +V perform a test on the value. See the section about +p3393 +tp3394 +a(g826 +V\u000a +p3395 +tp3396 +a(g826 +V tests for more information. +p3397 +tp3398 +a(g826 +V\u000a +p3399 +tp3400 +a(g826 +V +p3401 +tp3402 +a(g81 +V`` +p3403 +tp3404 +a(g81 +g1180 +tp3405 +a(g81 +V`` +p3406 +tp3407 +a(g826 +V apply a filter on the value. See the section about +p3408 +tp3409 +a(g826 +V\u000a +p3410 +tp3411 +a(g826 +V filters for more information. +p3412 +tp3413 +a(g826 +V\u000a +p3414 +tp3415 +a(g826 +V +p3416 +tp3417 +a(g81 +V`` +p3418 +tp3419 +a(g81 +Vand +p3420 +tp3421 +a(g81 +V`` +p3422 +tp3423 +a(g826 +V return true if the left and the right operand is true. +p3424 +tp3425 +a(g826 +V\u000a +p3426 +tp3427 +a(g826 +V +p3428 +tp3429 +a(g81 +V`` +p3430 +tp3431 +a(g81 +Vor +p3432 +tp3433 +a(g81 +V`` +p3434 +tp3435 +a(g826 +V return true if the left or the right operand is true. +p3436 +tp3437 +a(g826 +V\u000a +p3438 +tp3439 +a(g826 +V +p3440 +tp3441 +a(g81 +V`` +p3442 +tp3443 +a(g81 +Vnot +p3444 +tp3445 +a(g81 +V`` +p3446 +tp3447 +a(g826 +V negate a statement (see below) +p3448 +tp3449 +a(g826 +V\u000a +p3450 +tp3451 +a(g826 +V +p3452 +tp3453 +a(g81 +V`` +p3454 +tp3455 +a(g81 +V() +p3456 +tp3457 +a(g81 +V`` +p3458 +tp3459 +a(g826 +V call a callable +p3460 +tp3461 +a(g826 +g1010 +tp3462 +a(g826 +g1018 +tp3463 +a(g81 +V`` +p3464 +tp3465 +a(g81 +V{{ user.get_username() }} +p3466 +tp3467 +a(g81 +V`` +p3468 +tp3469 +a(g826 +V. Inside of the +p3470 +tp3471 +a(g826 +V\u000a +p3472 +tp3473 +a(g826 +V parentheses you can use variables +p3474 +tp3475 +a(g826 +g1010 +tp3476 +a(g826 +g1018 +tp3477 +a(g81 +V`` +p3478 +tp3479 +a(g81 +V{{ user.get(username) }} +p3480 +tp3481 +a(g81 +V`` +p3482 +tp3483 +a(g826 +g1363 +tp3484 +a(g826 +V\u000a +p3485 +tp3486 +a(g826 +V ======= =================================================================== +p3487 +tp3488 +a(g826 +V\u000a +p3489 +tp3490 +a(g826 +V\u000a +p3491 +tp3492 +a(g826 +VNote that there is no support for any bit operations or something similar. +p3493 +tp3494 +a(g826 +V\u000a +p3495 +tp3496 +a(g826 +V\u000a +p3497 +tp3498 +a(g22 +g3304 +tp3499 +a(g826 +V special note regarding +p3500 +tp3501 +a(g345 +V`not` +p3502 +tp3503 +a(g826 +g1010 +tp3504 +a(g826 +V The +p3505 +tp3506 +a(g345 +V`is` +p3507 +tp3508 +a(g826 +V and +p3509 +tp3510 +a(g345 +V`in` +p3511 +tp3512 +a(g826 +V operators support negation +p3513 +tp3514 +a(g826 +V\u000a +p3515 +tp3516 +a(g826 +V using an infix notation too +p3517 +tp3518 +a(g826 +g1010 +tp3519 +a(g826 +g1018 +tp3520 +a(g81 +V`` +p3521 +tp3522 +a(g81 +Vfoo is not bar +p3523 +tp3524 +a(g81 +V`` +p3525 +tp3526 +a(g826 +V and +p3527 +tp3528 +a(g81 +V`` +p3529 +tp3530 +a(g81 +Vfoo not in bar +p3531 +tp3532 +a(g81 +V`` +p3533 +tp3534 +a(g826 +V\u000a +p3535 +tp3536 +a(g826 +V instead of +p3537 +tp3538 +a(g81 +V`` +p3539 +tp3540 +a(g81 +Vnot foo is bar +p3541 +tp3542 +a(g81 +V`` +p3543 +tp3544 +a(g826 +V and +p3545 +tp3546 +a(g81 +V`` +p3547 +tp3548 +a(g81 +Vnot foo in bar +p3549 +tp3550 +a(g81 +V`` +p3551 +tp3552 +a(g826 +V. All other expressions +p3553 +tp3554 +a(g826 +V\u000a +p3555 +tp3556 +a(g826 +V require a prefix notation +p3557 +tp3558 +a(g826 +g1010 +tp3559 +a(g826 +g1018 +tp3560 +a(g81 +V`` +p3561 +tp3562 +a(g81 +Vnot (foo and bar) +p3563 +tp3564 +a(g81 +V`` +p3565 +tp3566 +a(g826 +g1363 +tp3567 +a(g826 +V\u000a +p3568 +tp3569 +a(g826 +V\u000a +p3570 +tp3571 +a(g8 +VBoolean Values +p3572 +tp3573 +a(g826 +V\u000a +p3574 +tp3575 +a(g8 +V============== +p3576 +tp3577 +a(g826 +V\u000a +p3578 +tp3579 +a(g826 +V\u000a +p3580 +tp3581 +a(g826 +VIn If-Conditions Jinja performs a boolean check. All empty values (eg +p3582 +tp3583 +a(g826 +g1010 +tp3584 +a(g826 +V empty +p3585 +tp3586 +a(g826 +V\u000a +p3587 +tp3588 +a(g826 +Vlists +p3589 +tp3590 +a(g81 +V`` +p3591 +tp3592 +a(g81 +V[] +p3593 +tp3594 +a(g81 +V`` +p3595 +tp3596 +a(g826 +V, empty dicts +p3597 +tp3598 +a(g81 +V`` +p3599 +tp3600 +a(g81 +V{} +p3601 +tp3602 +a(g81 +V`` +p3603 +tp3604 +a(g826 +V etc) evaluate to +p3605 +tp3606 +a(g345 +V`false` +p3607 +tp3608 +a(g826 +V. Numbers that are +p3609 +tp3610 +a(g826 +V\u000a +p3611 +tp3612 +a(g826 +Vequal to +p3613 +tp3614 +a(g345 +V`0` +p3615 +tp3616 +a(g826 +g1091 +tp3617 +a(g345 +V`0.00` +p3618 +tp3619 +a(g826 +V are considered +p3620 +tp3621 +a(g345 +V`false` +p3622 +tp3623 +a(g826 +V too. The boolean value of other +p3624 +tp3625 +a(g826 +V\u000a +p3626 +tp3627 +a(g826 +Vobjects depends on the behavior the application developer gave it. Usually +p3628 +tp3629 +a(g826 +V\u000a +p3630 +tp3631 +a(g826 +Vitems are +p3632 +tp3633 +a(g345 +V`true` +p3634 +tp3635 +a(g826 +g1363 +tp3636 +a(g826 +V\u000a +p3637 +tp3638 +a(g826 +V\u000a +p3639 +tp3640 +a(g826 +VHere some examples that should explain it +p3641 +tp3642 +a(g826 +g1010 +tp3643 +a(g826 +V\u000a +p3644 +tp3645 +a(g826 +V\u000a +p3646 +tp3647 +a(g610 +V.. +p3648 +tp3649 +a(g826 +g1018 +tp3650 +a(g331 +Vsourcecode +p3651 +tp3652 +a(g610 +V:: +p3653 +tp3654 +a(g826 +g1018 +tp3655 +a(g744 +Vjinja +p3656 +tp3657 +a(g826 +V\u000a\u000a +p3658 +tp3659 +a(g655 +g1029 +tp3660 +a(g826 +V +p3661 +tp3662 +a(g655 +V{% +p3663 +tp3664 +a(g826 +g1018 +tp3665 +a(g744 +Vif +p3666 +tp3667 +a(g826 +g1018 +tp3668 +a(g317 +g1483 +tp3669 +a(g317 +g1487 +tp3670 +a(g826 +g1018 +tp3671 +a(g655 +V%} +p3672 +tp3673 +a(g719 +V\u000a +p3674 +tp3675 +a(g826 +V +p3676 +tp3677 +a(g719 +V will always be false because it's an empty list\u000a\u000a +p3678 +tp3679 +a(g826 +V +p3680 +tp3681 +a(g719 +g1029 +tp3682 +a(g655 +V{% +p3683 +tp3684 +a(g826 +g1018 +tp3685 +a(g744 +Vif +p3686 +tp3687 +a(g826 +g1018 +tp3688 +a(g317 +V{ +p3689 +tp3690 +a(g317 +V} +p3691 +tp3692 +a(g826 +g1018 +tp3693 +a(g655 +V%} +p3694 +tp3695 +a(g719 +V\u000a +p3696 +tp3697 +a(g826 +V +p3698 +tp3699 +a(g719 +V false too.\u000a\u000a +p3700 +tp3701 +a(g826 +V +p3702 +tp3703 +a(g719 +g1029 +tp3704 +a(g655 +V{% +p3705 +tp3706 +a(g826 +g1018 +tp3707 +a(g744 +Vif +p3708 +tp3709 +a(g826 +g1018 +tp3710 +a(g317 +g1483 +tp3711 +a(g222 +V'foo' +p3712 +tp3713 +a(g317 +g1487 +tp3714 +a(g826 +g1018 +tp3715 +a(g655 +V%} +p3716 +tp3717 +a(g719 +V\u000a +p3718 +tp3719 +a(g826 +V +p3720 +tp3721 +a(g719 +V this is true. Because the list is not empty.\u000a\u000a +p3722 +tp3723 +a(g826 +V +p3724 +tp3725 +a(g719 +g1029 +tp3726 +a(g655 +V{% +p3727 +tp3728 +a(g826 +g1018 +tp3729 +a(g744 +Vif +p3730 +tp3731 +a(g826 +g1018 +tp3732 +a(g149 +V"foobar" +p3733 +tp3734 +a(g826 +g1018 +tp3735 +a(g655 +V%} +p3736 +tp3737 +a(g719 +V\u000a +p3738 +tp3739 +a(g826 +V +p3740 +tp3741 +a(g719 +V this is also true because the string is not empty.\u000a\u000a +p3742 +tp3743 +a(g8 +VSlicing +p3744 +tp3745 +a(g826 +V\u000a +p3746 +tp3747 +a(g8 +V======= +p3748 +tp3749 +a(g826 +V\u000a +p3750 +tp3751 +a(g826 +V\u000a +p3752 +tp3753 +a(g826 +VSome objects support slicing operations. For example lists +p3754 +tp3755 +a(g826 +g1010 +tp3756 +a(g826 +V\u000a +p3757 +tp3758 +a(g826 +V\u000a +p3759 +tp3760 +a(g610 +V.. +p3761 +tp3762 +a(g826 +g1018 +tp3763 +a(g331 +Vsourcecode +p3764 +tp3765 +a(g610 +V:: +p3766 +tp3767 +a(g826 +g1018 +tp3768 +a(g744 +Vjinja +p3769 +tp3770 +a(g826 +V\u000a\u000a +p3771 +tp3772 +a(g655 +g1029 +tp3773 +a(g826 +V +p3774 +tp3775 +a(g655 +V{% +p3776 +tp3777 +a(g826 +g1018 +tp3778 +a(g744 +Vfor +p3779 +tp3780 +a(g826 +g1018 +tp3781 +a(g345 +Vitem +p3782 +tp3783 +a(g826 +g1018 +tp3784 +a(g744 +Vin +p3785 +tp3786 +a(g826 +g1018 +tp3787 +a(g345 +Vitems +p3788 +tp3789 +a(g317 +g1483 +tp3790 +a(g317 +g1010 +tp3791 +a(g22 +V5 +p3792 +tp3793 +a(g317 +g1487 +tp3794 +a(g826 +g1018 +tp3795 +a(g655 +V%} +p3796 +tp3797 +a(g719 +V\u000a +p3798 +tp3799 +a(g826 +V +p3800 +tp3801 +a(g719 +V This will only iterate over the first 5 items of the list\u000a\u000a +p3802 +tp3803 +a(g826 +V +p3804 +tp3805 +a(g719 +g1029 +tp3806 +a(g655 +V{% +p3807 +tp3808 +a(g826 +g1018 +tp3809 +a(g744 +Vfor +p3810 +tp3811 +a(g826 +g1018 +tp3812 +a(g345 +Vitem +p3813 +tp3814 +a(g826 +g1018 +tp3815 +a(g744 +Vin +p3816 +tp3817 +a(g826 +g1018 +tp3818 +a(g345 +Vitems +p3819 +tp3820 +a(g317 +g1483 +tp3821 +a(g22 +g3792 +tp3822 +a(g317 +g1010 +tp3823 +a(g22 +V1 +p3824 +tp3825 +a(g22 +g1530 +tp3826 +a(g317 +g1487 +tp3827 +a(g826 +g1018 +tp3828 +a(g655 +V%} +p3829 +tp3830 +a(g719 +V\u000a +p3831 +tp3832 +a(g826 +V +p3833 +tp3834 +a(g719 +V This will only iterate from item 5 to 10.\u000a\u000a +p3835 +tp3836 +a(g826 +V +p3837 +tp3838 +a(g719 +g1029 +tp3839 +a(g655 +V{% +p3840 +tp3841 +a(g826 +g1018 +tp3842 +a(g744 +Vfor +p3843 +tp3844 +a(g826 +g1018 +tp3845 +a(g345 +Vitem +p3846 +tp3847 +a(g826 +g1018 +tp3848 +a(g744 +Vin +p3849 +tp3850 +a(g826 +g1018 +tp3851 +a(g345 +Vitems +p3852 +tp3853 +a(g317 +g1483 +tp3854 +a(g317 +g1010 +tp3855 +a(g22 +g3824 +tp3856 +a(g22 +g1530 +tp3857 +a(g317 +g1010 +tp3858 +a(g22 +g1858 +tp3859 +a(g317 +g1487 +tp3860 +a(g826 +g1018 +tp3861 +a(g655 +V%} +p3862 +tp3863 +a(g719 +V\u000a +p3864 +tp3865 +a(g826 +V +p3866 +tp3867 +a(g719 +V This will only yield items from start to ten and only returing\u000a +p3868 +tp3869 +a(g826 +V +p3870 +tp3871 +a(g719 +V even items.\u000a\u000a +p3872 +tp3873 +a(g826 +VFor more informations about slicing have a look at the +p3874 +tp3875 +a(g81 +V`slicing chapter`_ +p3876 +tp3877 +a(g826 +V\u000a +p3878 +tp3879 +a(g826 +Vin the "Dive into Python" e-book. +p3880 +tp3881 +a(g826 +V\u000a +p3882 +tp3883 +a(g826 +V\u000a +p3884 +tp3885 +a(g8 +VMacros +p3886 +tp3887 +a(g826 +V\u000a +p3888 +tp3889 +a(g8 +V====== +p3890 +tp3891 +a(g826 +V\u000a +p3892 +tp3893 +a(g826 +V\u000a +p3894 +tp3895 +a(g826 +VIf you want to use a partial template in more than one place, you might want to +p3896 +tp3897 +a(g826 +V\u000a +p3898 +tp3899 +a(g826 +Vcreate a macro from it +p3900 +tp3901 +a(g826 +g1010 +tp3902 +a(g826 +V\u000a +p3903 +tp3904 +a(g826 +V\u000a +p3905 +tp3906 +a(g610 +V.. +p3907 +tp3908 +a(g826 +g1018 +tp3909 +a(g331 +Vsourcecode +p3910 +tp3911 +a(g610 +V:: +p3912 +tp3913 +a(g826 +g1018 +tp3914 +a(g744 +Vhtml+jinja +p3915 +tp3916 +a(g826 +V\u000a\u000a +p3917 +tp3918 +a(g826 +g1029 +tp3919 +a(g826 +V +p3920 +tp3921 +a(g826 +g1029 +tp3922 +a(g655 +V{% +p3923 +tp3924 +a(g826 +g1018 +tp3925 +a(g744 +Vmacro +p3926 +tp3927 +a(g826 +g1018 +tp3928 +a(g345 +Vshow_user +p3929 +tp3930 +a(g826 +g1018 +tp3931 +a(g345 +Vuser +p3932 +tp3933 +a(g826 +g1018 +tp3934 +a(g655 +V%} +p3935 +tp3936 +a(g826 +V\u000a +p3937 +tp3938 +a(g826 +V +p3939 +tp3940 +a(g826 +V +p3941 +tp3942 +a(g610 +g1044 +tp3943 +a(g462 +Vh1 +p3944 +tp3945 +a(g610 +g1067 +tp3946 +a(g655 +V{{ +p3947 +tp3948 +a(g826 +g1018 +tp3949 +a(g345 +Vuser +p3950 +tp3951 +a(g345 +V.name +p3952 +tp3953 +a(g317 +g1180 +tp3954 +a(g478 +g1182 +tp3955 +a(g826 +g1018 +tp3956 +a(g655 +V}} +p3957 +tp3958 +a(g610 +g1029 +tp3959 +a(g610 +g1044 +tp3960 +a(g610 +g1091 +tp3961 +a(g462 +Vh1 +p3962 +tp3963 +a(g610 +g1067 +tp3964 +a(g826 +V\u000a +p3965 +tp3966 +a(g826 +V +p3967 +tp3968 +a(g826 +V +p3969 +tp3970 +a(g610 +g1044 +tp3971 +a(g462 +Vdiv +p3972 +tp3973 +a(g826 +g1018 +tp3974 +a(g571 +Vclass +p3975 +tp3976 +a(g317 +g1051 +tp3977 +a(g81 +V"test" +p3978 +tp3979 +a(g610 +g1067 +tp3980 +a(g826 +V\u000a +p3981 +tp3982 +a(g826 +V +p3983 +tp3984 +a(g826 +V +p3985 +tp3986 +a(g655 +V{{ +p3987 +tp3988 +a(g826 +g1018 +tp3989 +a(g345 +Vuser +p3990 +tp3991 +a(g345 +V.description +p3992 +tp3993 +a(g826 +g1018 +tp3994 +a(g655 +V}} +p3995 +tp3996 +a(g826 +V\u000a +p3997 +tp3998 +a(g826 +V +p3999 +tp4000 +a(g826 +V +p4001 +tp4002 +a(g610 +g1044 +tp4003 +a(g610 +g1091 +tp4004 +a(g462 +Vdiv +p4005 +tp4006 +a(g610 +g1067 +tp4007 +a(g826 +V\u000a +p4008 +tp4009 +a(g826 +V +p4010 +tp4011 +a(g826 +g1029 +tp4012 +a(g655 +V{% +p4013 +tp4014 +a(g826 +g1018 +tp4015 +a(g744 +Vendmacro +p4016 +tp4017 +a(g826 +g1018 +tp4018 +a(g655 +V%} +p4019 +tp4020 +a(g826 +V\u000a\u000a +p4021 +tp4022 +a(g826 +VNow you can use it from everywhere in the code by passing it an item +p4023 +tp4024 +a(g826 +g1010 +tp4025 +a(g826 +V\u000a +p4026 +tp4027 +a(g826 +V\u000a +p4028 +tp4029 +a(g610 +V.. +p4030 +tp4031 +a(g826 +g1018 +tp4032 +a(g331 +Vsourcecode +p4033 +tp4034 +a(g610 +V:: +p4035 +tp4036 +a(g826 +g1018 +tp4037 +a(g744 +Vjinja +p4038 +tp4039 +a(g826 +V\u000a \u000a +p4040 +tp4041 +a(g655 +g1029 +tp4042 +a(g826 +V +p4043 +tp4044 +a(g655 +V{% +p4045 +tp4046 +a(g826 +g1018 +tp4047 +a(g744 +Vfor +p4048 +tp4049 +a(g826 +g1018 +tp4050 +a(g345 +Vuser +p4051 +tp4052 +a(g826 +g1018 +tp4053 +a(g744 +Vin +p4054 +tp4055 +a(g826 +g1018 +tp4056 +a(g345 +Vusers +p4057 +tp4058 +a(g826 +g1018 +tp4059 +a(g655 +V%} +p4060 +tp4061 +a(g719 +V\u000a +p4062 +tp4063 +a(g826 +V +p4064 +tp4065 +a(g719 +V +p4066 +tp4067 +a(g655 +V{{ +p4068 +tp4069 +a(g826 +g1018 +tp4070 +a(g345 +Vshow_user +p4071 +tp4072 +a(g317 +g1408 +tp4073 +a(g345 +Vuser +p4074 +tp4075 +a(g317 +g1410 +tp4076 +a(g826 +g1018 +tp4077 +a(g655 +V}} +p4078 +tp4079 +a(g719 +V\u000a +p4080 +tp4081 +a(g826 +V +p4082 +tp4083 +a(g719 +g1029 +tp4084 +a(g655 +V{% +p4085 +tp4086 +a(g826 +g1018 +tp4087 +a(g744 +Vendfor +p4088 +tp4089 +a(g826 +g1018 +tp4090 +a(g655 +V%} +p4091 +tp4092 +a(g719 +V\u000a\u000a +p4093 +tp4094 +a(g826 +VYou can also specify more than one value +p4095 +tp4096 +a(g826 +g1010 +tp4097 +a(g826 +V\u000a +p4098 +tp4099 +a(g826 +V\u000a +p4100 +tp4101 +a(g610 +V.. +p4102 +tp4103 +a(g826 +g1018 +tp4104 +a(g331 +Vsourcecode +p4105 +tp4106 +a(g610 +V:: +p4107 +tp4108 +a(g826 +g1018 +tp4109 +a(g744 +Vhtml+jinja +p4110 +tp4111 +a(g826 +V\u000a\u000a +p4112 +tp4113 +a(g826 +g1029 +tp4114 +a(g826 +V +p4115 +tp4116 +a(g826 +g1029 +tp4117 +a(g655 +V{% +p4118 +tp4119 +a(g826 +g1018 +tp4120 +a(g744 +Vmacro +p4121 +tp4122 +a(g826 +g1018 +tp4123 +a(g345 +Vshow_dialog +p4124 +tp4125 +a(g826 +g1018 +tp4126 +a(g345 +Vtitle +p4127 +tp4128 +a(g317 +g1587 +tp4129 +a(g826 +g1018 +tp4130 +a(g345 +Vtext +p4131 +tp4132 +a(g826 +g1018 +tp4133 +a(g655 +V%} +p4134 +tp4135 +a(g826 +V\u000a +p4136 +tp4137 +a(g826 +V +p4138 +tp4139 +a(g826 +V +p4140 +tp4141 +a(g610 +g1044 +tp4142 +a(g462 +Vdiv +p4143 +tp4144 +a(g826 +g1018 +tp4145 +a(g571 +Vclass +p4146 +tp4147 +a(g317 +g1051 +tp4148 +a(g81 +V"dialog" +p4149 +tp4150 +a(g610 +g1067 +tp4151 +a(g826 +V\u000a +p4152 +tp4153 +a(g826 +V +p4154 +tp4155 +a(g826 +V +p4156 +tp4157 +a(g610 +g1044 +tp4158 +a(g462 +Vh1 +p4159 +tp4160 +a(g610 +g1067 +tp4161 +a(g655 +V{{ +p4162 +tp4163 +a(g826 +g1018 +tp4164 +a(g345 +Vtitle +p4165 +tp4166 +a(g317 +g1180 +tp4167 +a(g478 +g1182 +tp4168 +a(g826 +g1018 +tp4169 +a(g655 +V}} +p4170 +tp4171 +a(g610 +g1029 +tp4172 +a(g610 +g1044 +tp4173 +a(g610 +g1091 +tp4174 +a(g462 +Vh1 +p4175 +tp4176 +a(g610 +g1067 +tp4177 +a(g826 +V\u000a +p4178 +tp4179 +a(g826 +V +p4180 +tp4181 +a(g826 +V +p4182 +tp4183 +a(g610 +g1044 +tp4184 +a(g462 +Vdiv +p4185 +tp4186 +a(g826 +g1018 +tp4187 +a(g571 +Vclass +p4188 +tp4189 +a(g317 +g1051 +tp4190 +a(g81 +V"test" +p4191 +tp4192 +a(g610 +g1067 +tp4193 +a(g655 +V{{ +p4194 +tp4195 +a(g826 +g1018 +tp4196 +a(g345 +Vtext +p4197 +tp4198 +a(g317 +g1180 +tp4199 +a(g478 +g1182 +tp4200 +a(g826 +g1018 +tp4201 +a(g655 +V}} +p4202 +tp4203 +a(g610 +g1029 +tp4204 +a(g610 +g1044 +tp4205 +a(g610 +g1091 +tp4206 +a(g462 +Vdiv +p4207 +tp4208 +a(g610 +g1067 +tp4209 +a(g826 +V\u000a +p4210 +tp4211 +a(g826 +V +p4212 +tp4213 +a(g826 +V +p4214 +tp4215 +a(g610 +g1044 +tp4216 +a(g610 +g1091 +tp4217 +a(g462 +Vdiv +p4218 +tp4219 +a(g610 +g1067 +tp4220 +a(g826 +V\u000a +p4221 +tp4222 +a(g826 +V +p4223 +tp4224 +a(g826 +g1029 +tp4225 +a(g655 +V{% +p4226 +tp4227 +a(g826 +g1018 +tp4228 +a(g744 +Vendmacro +p4229 +tp4230 +a(g826 +g1018 +tp4231 +a(g655 +V%} +p4232 +tp4233 +a(g826 +V\u000a\u000a +p4234 +tp4235 +a(g826 +V +p4236 +tp4237 +a(g826 +g1029 +tp4238 +a(g655 +V{{ +p4239 +tp4240 +a(g826 +g1018 +tp4241 +a(g345 +Vshow_dialog +p4242 +tp4243 +a(g317 +g1408 +tp4244 +a(g222 +V'Warning' +p4245 +tp4246 +a(g317 +g1587 +tp4247 +a(g826 +g1018 +tp4248 +a(g222 +V'something went wrong i guess' +p4249 +tp4250 +a(g317 +g1410 +tp4251 +a(g826 +g1018 +tp4252 +a(g655 +V}} +p4253 +tp4254 +a(g826 +V\u000a\u000a +p4255 +tp4256 +a(g8 +VInheritance +p4257 +tp4258 +a(g826 +V\u000a +p4259 +tp4260 +a(g8 +V=========== +p4261 +tp4262 +a(g826 +V\u000a +p4263 +tp4264 +a(g826 +V\u000a +p4265 +tp4266 +a(g826 +VThe most powerful part of Jinja is template inheritance. Template inheritance +p4267 +tp4268 +a(g826 +V\u000a +p4269 +tp4270 +a(g826 +Vallows you to build a base "skeleton" template that contains all the common +p4271 +tp4272 +a(g826 +V\u000a +p4273 +tp4274 +a(g826 +Velements of your site and defines +p4275 +tp4276 +a(g922 +V**blocks** +p4277 +tp4278 +a(g826 +V that child templates can override. +p4279 +tp4280 +a(g826 +V\u000a +p4281 +tp4282 +a(g826 +V\u000a +p4283 +tp4284 +a(g826 +VSounds complicated but is very basic. It's easiest to understand it by starting +p4285 +tp4286 +a(g826 +V\u000a +p4287 +tp4288 +a(g826 +Vwith an example. +p4289 +tp4290 +a(g826 +V\u000a +p4291 +tp4292 +a(g826 +V\u000a +p4293 +tp4294 +a(g8 +VBase Template +p4295 +tp4296 +a(g826 +V\u000a +p4297 +tp4298 +a(g8 +V------------- +p4299 +tp4300 +a(g826 +V\u000a +p4301 +tp4302 +a(g826 +V\u000a +p4303 +tp4304 +a(g826 +VThis template, which we'll call +p4305 +tp4306 +a(g81 +V`` +p4307 +tp4308 +a(g81 +Vbase.html +p4309 +tp4310 +a(g81 +V`` +p4311 +tp4312 +a(g826 +V, defines a simple HTML skeleton +p4313 +tp4314 +a(g826 +V\u000a +p4315 +tp4316 +a(g826 +Vdocument that you might use for a simple two-column page. It's the job of +p4317 +tp4318 +a(g826 +V\u000a +p4319 +tp4320 +a(g826 +V"child" templates to fill the empty blocks with content +p4321 +tp4322 +a(g826 +g1010 +tp4323 +a(g826 +V\u000a +p4324 +tp4325 +a(g826 +V\u000a +p4326 +tp4327 +a(g610 +V.. +p4328 +tp4329 +a(g826 +g1018 +tp4330 +a(g331 +Vsourcecode +p4331 +tp4332 +a(g610 +V:: +p4333 +tp4334 +a(g826 +g1018 +tp4335 +a(g744 +Vhtml+jinja +p4336 +tp4337 +a(g826 +V\u000a\u000a +p4338 +tp4339 +a(g655 +g1029 +tp4340 +a(g826 +V +p4341 +tp4342 +a(g655 +V +p4347 +tp4348 +a(g826 +V\u000a +p4349 +tp4350 +a(g826 +V +p4351 +tp4352 +a(g826 +g1029 +tp4353 +a(g610 +g1044 +tp4354 +a(g462 +Vhtml +p4355 +tp4356 +a(g826 +g1018 +tp4357 +a(g571 +Vxmlns +p4358 +tp4359 +a(g317 +g1051 +tp4360 +a(g81 +V"http://www.w3.org/1999/xhtml" +p4361 +tp4362 +a(g610 +g1067 +tp4363 +a(g826 +V\u000a +p4364 +tp4365 +a(g826 +V +p4366 +tp4367 +a(g826 +g1029 +tp4368 +a(g610 +g1044 +tp4369 +a(g462 +Vhead +p4370 +tp4371 +a(g610 +g1067 +tp4372 +a(g826 +V\u000a +p4373 +tp4374 +a(g826 +V +p4375 +tp4376 +a(g826 +V +p4377 +tp4378 +a(g610 +g1044 +tp4379 +a(g462 +Vlink +p4380 +tp4381 +a(g826 +g1018 +tp4382 +a(g571 +Vrel +p4383 +tp4384 +a(g317 +g1051 +tp4385 +a(g81 +V"stylesheet" +p4386 +tp4387 +a(g826 +g1018 +tp4388 +a(g571 +Vhref +p4389 +tp4390 +a(g317 +g1051 +tp4391 +a(g81 +V"style.css" +p4392 +tp4393 +a(g826 +g1018 +tp4394 +a(g610 +g1091 +tp4395 +a(g610 +g1067 +tp4396 +a(g826 +V\u000a +p4397 +tp4398 +a(g826 +V +p4399 +tp4400 +a(g826 +V +p4401 +tp4402 +a(g610 +g1044 +tp4403 +a(g462 +Vtitle +p4404 +tp4405 +a(g610 +g1067 +tp4406 +a(g655 +V{% +p4407 +tp4408 +a(g826 +g1018 +tp4409 +a(g744 +Vblock +p4410 +tp4411 +a(g826 +g1018 +tp4412 +a(g345 +Vtitle +p4413 +tp4414 +a(g826 +g1018 +tp4415 +a(g655 +V%} +p4416 +tp4417 +a(g655 +V{% +p4418 +tp4419 +a(g826 +g1018 +tp4420 +a(g744 +Vendblock +p4421 +tp4422 +a(g826 +g1018 +tp4423 +a(g655 +V%} +p4424 +tp4425 +a(g610 +g1029 +tp4426 +a(g826 +V - My Webpage +p4427 +tp4428 +a(g610 +g1044 +tp4429 +a(g610 +g1091 +tp4430 +a(g462 +Vtitle +p4431 +tp4432 +a(g610 +g1067 +tp4433 +a(g826 +V\u000a +p4434 +tp4435 +a(g826 +V +p4436 +tp4437 +a(g826 +V +p4438 +tp4439 +a(g655 +V{% +p4440 +tp4441 +a(g826 +g1018 +tp4442 +a(g744 +Vblock +p4443 +tp4444 +a(g826 +g1018 +tp4445 +a(g345 +Vhtml_head +p4446 +tp4447 +a(g826 +g1018 +tp4448 +a(g655 +V%} +p4449 +tp4450 +a(g655 +V{% +p4451 +tp4452 +a(g826 +g1018 +tp4453 +a(g744 +Vendblock +p4454 +tp4455 +a(g826 +g1018 +tp4456 +a(g655 +V%} +p4457 +tp4458 +a(g826 +V\u000a +p4459 +tp4460 +a(g826 +V +p4461 +tp4462 +a(g826 +g1029 +tp4463 +a(g610 +g1044 +tp4464 +a(g610 +g1091 +tp4465 +a(g462 +Vhead +p4466 +tp4467 +a(g610 +g1067 +tp4468 +a(g826 +V\u000a +p4469 +tp4470 +a(g826 +V +p4471 +tp4472 +a(g826 +g1029 +tp4473 +a(g610 +g1044 +tp4474 +a(g462 +Vbody +p4475 +tp4476 +a(g610 +g1067 +tp4477 +a(g826 +V\u000a +p4478 +tp4479 +a(g826 +V +p4480 +tp4481 +a(g826 +V +p4482 +tp4483 +a(g610 +g1044 +tp4484 +a(g462 +Vdiv +p4485 +tp4486 +a(g826 +g1018 +tp4487 +a(g571 +Vid +p4488 +tp4489 +a(g317 +g1051 +tp4490 +a(g81 +V"content" +p4491 +tp4492 +a(g610 +g1067 +tp4493 +a(g826 +V\u000a +p4494 +tp4495 +a(g826 +V +p4496 +tp4497 +a(g826 +V +p4498 +tp4499 +a(g655 +V{% +p4500 +tp4501 +a(g826 +g1018 +tp4502 +a(g744 +Vblock +p4503 +tp4504 +a(g826 +g1018 +tp4505 +a(g345 +Vcontent +p4506 +tp4507 +a(g826 +g1018 +tp4508 +a(g655 +V%} +p4509 +tp4510 +a(g655 +V{% +p4511 +tp4512 +a(g826 +g1018 +tp4513 +a(g744 +Vendblock +p4514 +tp4515 +a(g826 +g1018 +tp4516 +a(g655 +V%} +p4517 +tp4518 +a(g826 +V\u000a +p4519 +tp4520 +a(g826 +V +p4521 +tp4522 +a(g826 +V +p4523 +tp4524 +a(g610 +g1044 +tp4525 +a(g610 +g1091 +tp4526 +a(g462 +Vdiv +p4527 +tp4528 +a(g610 +g1067 +tp4529 +a(g826 +V\u000a\u000a +p4530 +tp4531 +a(g826 +V +p4532 +tp4533 +a(g826 +V +p4534 +tp4535 +a(g610 +g1044 +tp4536 +a(g462 +Vdiv +p4537 +tp4538 +a(g826 +g1018 +tp4539 +a(g571 +Vid +p4540 +tp4541 +a(g317 +g1051 +tp4542 +a(g81 +V"footer" +p4543 +tp4544 +a(g610 +g1067 +tp4545 +a(g826 +V\u000a +p4546 +tp4547 +a(g826 +V +p4548 +tp4549 +a(g826 +V +p4550 +tp4551 +a(g655 +V{% +p4552 +tp4553 +a(g826 +g1018 +tp4554 +a(g744 +Vblock +p4555 +tp4556 +a(g826 +g1018 +tp4557 +a(g345 +Vfooter +p4558 +tp4559 +a(g826 +g1018 +tp4560 +a(g655 +V%} +p4561 +tp4562 +a(g826 +V\u000a +p4563 +tp4564 +a(g826 +V +p4565 +tp4566 +a(g826 +V +p4567 +tp4568 +a(g408 +V© +p4569 +tp4570 +a(g826 +V Copyright 2006 by +p4571 +tp4572 +a(g610 +g1044 +tp4573 +a(g462 +g1165 +tp4574 +a(g826 +g1018 +tp4575 +a(g571 +Vhref +p4576 +tp4577 +a(g317 +g1051 +tp4578 +a(g81 +V"http://mydomain.tld" +p4579 +tp4580 +a(g610 +g1067 +tp4581 +a(g826 +Vmyself +p4582 +tp4583 +a(g610 +g1044 +tp4584 +a(g610 +g1091 +tp4585 +a(g462 +g1165 +tp4586 +a(g610 +g1067 +tp4587 +a(g826 +V.\u000a +p4588 +tp4589 +a(g826 +V +p4590 +tp4591 +a(g826 +V +p4592 +tp4593 +a(g655 +V{% +p4594 +tp4595 +a(g826 +g1018 +tp4596 +a(g744 +Vendblock +p4597 +tp4598 +a(g826 +g1018 +tp4599 +a(g655 +V%} +p4600 +tp4601 +a(g826 +V\u000a +p4602 +tp4603 +a(g826 +V +p4604 +tp4605 +a(g826 +V +p4606 +tp4607 +a(g610 +g1044 +tp4608 +a(g610 +g1091 +tp4609 +a(g462 +Vdiv +p4610 +tp4611 +a(g610 +g1067 +tp4612 +a(g826 +V\u000a +p4613 +tp4614 +a(g826 +V +p4615 +tp4616 +a(g826 +g1029 +tp4617 +a(g610 +g1044 +tp4618 +a(g610 +g1091 +tp4619 +a(g462 +Vbody +p4620 +tp4621 +a(g610 +g1067 +tp4622 +a(g826 +V\u000a\u000a +p4623 +tp4624 +a(g826 +VIn this example, the +p4625 +tp4626 +a(g81 +V`` +p4627 +tp4628 +a(g81 +V{% block %} +p4629 +tp4630 +a(g81 +V`` +p4631 +tp4632 +a(g826 +V tags define four blocks that child templates +p4633 +tp4634 +a(g826 +V\u000a +p4635 +tp4636 +a(g826 +Vcan fill in. All the +p4637 +tp4638 +a(g345 +V`block` +p4639 +tp4640 +a(g826 +V tag does is to tell the template engine that a +p4641 +tp4642 +a(g826 +V\u000a +p4643 +tp4644 +a(g826 +Vchild template may override those portions of the template. +p4645 +tp4646 +a(g826 +V\u000a +p4647 +tp4648 +a(g826 +V\u000a +p4649 +tp4650 +a(g8 +VChild Template +p4651 +tp4652 +a(g826 +V\u000a +p4653 +tp4654 +a(g8 +V-------------- +p4655 +tp4656 +a(g826 +V\u000a +p4657 +tp4658 +a(g826 +V\u000a +p4659 +tp4660 +a(g826 +VA child template might look like this +p4661 +tp4662 +a(g826 +g1010 +tp4663 +a(g826 +V\u000a +p4664 +tp4665 +a(g826 +V\u000a +p4666 +tp4667 +a(g610 +V.. +p4668 +tp4669 +a(g826 +g1018 +tp4670 +a(g331 +Vsourcecode +p4671 +tp4672 +a(g610 +V:: +p4673 +tp4674 +a(g826 +g1018 +tp4675 +a(g744 +Vhtml+jinja +p4676 +tp4677 +a(g826 +V\u000a\u000a +p4678 +tp4679 +a(g826 +g1029 +tp4680 +a(g826 +V +p4681 +tp4682 +a(g826 +g1029 +tp4683 +a(g655 +V{% +p4684 +tp4685 +a(g826 +g1018 +tp4686 +a(g744 +Vextends +p4687 +tp4688 +a(g826 +g1018 +tp4689 +a(g149 +V"base.html" +p4690 +tp4691 +a(g826 +g1018 +tp4692 +a(g655 +V%} +p4693 +tp4694 +a(g826 +V\u000a +p4695 +tp4696 +a(g826 +V +p4697 +tp4698 +a(g826 +g1029 +tp4699 +a(g655 +V{% +p4700 +tp4701 +a(g826 +g1018 +tp4702 +a(g744 +Vblock +p4703 +tp4704 +a(g826 +g1018 +tp4705 +a(g345 +Vtitle +p4706 +tp4707 +a(g826 +g1018 +tp4708 +a(g655 +V%} +p4709 +tp4710 +a(g826 +VIndex +p4711 +tp4712 +a(g655 +V{% +p4713 +tp4714 +a(g826 +g1018 +tp4715 +a(g744 +Vendblock +p4716 +tp4717 +a(g826 +g1018 +tp4718 +a(g655 +V%} +p4719 +tp4720 +a(g826 +V\u000a\u000a +p4721 +tp4722 +a(g826 +V +p4723 +tp4724 +a(g826 +g1029 +tp4725 +a(g655 +V{% +p4726 +tp4727 +a(g826 +g1018 +tp4728 +a(g744 +Vblock +p4729 +tp4730 +a(g826 +g1018 +tp4731 +a(g345 +Vhtml_head +p4732 +tp4733 +a(g826 +g1018 +tp4734 +a(g655 +V%} +p4735 +tp4736 +a(g826 +V\u000a +p4737 +tp4738 +a(g826 +V +p4739 +tp4740 +a(g826 +V +p4741 +tp4742 +a(g610 +g1044 +tp4743 +a(g462 +Vstyle +p4744 +tp4745 +a(g826 +g1018 +tp4746 +a(g571 +Vtype +p4747 +tp4748 +a(g317 +g1051 +tp4749 +a(g81 +V"text/css" +p4750 +tp4751 +a(g610 +g1067 +tp4752 +a(g826 +V\u000a +p4753 +tp4754 +a(g826 +V +p4755 +tp4756 +a(g826 +V +p4757 +tp4758 +a(g610 +g1363 +tp4759 +a(g533 +Vimportant +p4760 +tp4761 +a(g826 +g1018 +tp4762 +a(g610 +g3689 +tp4763 +a(g826 +V\u000a +p4764 +tp4765 +a(g826 +V +p4766 +tp4767 +a(g826 +V +p4768 +tp4769 +a(g744 +Vcolor +p4770 +tp4771 +a(g610 +g1010 +tp4772 +a(g826 +g1018 +tp4773 +a(g290 +V#336699 +p4774 +tp4775 +a(g610 +V; +p4776 +tp4777 +a(g826 +V\u000a +p4778 +tp4779 +a(g826 +V +p4780 +tp4781 +a(g826 +V +p4782 +tp4783 +a(g610 +g3691 +tp4784 +a(g826 +V\u000a +p4785 +tp4786 +a(g826 +V +p4787 +tp4788 +a(g826 +V +p4789 +tp4790 +a(g610 +g1044 +tp4791 +a(g610 +g1091 +tp4792 +a(g462 +Vstyle +p4793 +tp4794 +a(g610 +g1067 +tp4795 +a(g826 +V\u000a +p4796 +tp4797 +a(g826 +V +p4798 +tp4799 +a(g826 +g1029 +tp4800 +a(g655 +V{% +p4801 +tp4802 +a(g826 +g1018 +tp4803 +a(g744 +Vendblock +p4804 +tp4805 +a(g826 +g1018 +tp4806 +a(g655 +V%} +p4807 +tp4808 +a(g826 +V\u000a +p4809 +tp4810 +a(g826 +V +p4811 +tp4812 +a(g826 +V\u000a +p4813 +tp4814 +a(g826 +V +p4815 +tp4816 +a(g826 +g1029 +tp4817 +a(g655 +V{% +p4818 +tp4819 +a(g826 +g1018 +tp4820 +a(g744 +Vblock +p4821 +tp4822 +a(g826 +g1018 +tp4823 +a(g345 +Vcontent +p4824 +tp4825 +a(g826 +g1018 +tp4826 +a(g655 +V%} +p4827 +tp4828 +a(g826 +V\u000a +p4829 +tp4830 +a(g826 +V +p4831 +tp4832 +a(g826 +V +p4833 +tp4834 +a(g610 +g1044 +tp4835 +a(g462 +Vh1 +p4836 +tp4837 +a(g610 +g1067 +tp4838 +a(g826 +VIndex +p4839 +tp4840 +a(g610 +g1044 +tp4841 +a(g610 +g1091 +tp4842 +a(g462 +Vh1 +p4843 +tp4844 +a(g610 +g1067 +tp4845 +a(g826 +V\u000a +p4846 +tp4847 +a(g826 +V +p4848 +tp4849 +a(g826 +V +p4850 +tp4851 +a(g610 +g1044 +tp4852 +a(g462 +Vp +p4853 +tp4854 +a(g826 +g1018 +tp4855 +a(g571 +Vclass +p4856 +tp4857 +a(g317 +g1051 +tp4858 +a(g81 +V"important" +p4859 +tp4860 +a(g610 +g1067 +tp4861 +a(g826 +V\u000a +p4862 +tp4863 +a(g826 +V +p4864 +tp4865 +a(g826 +V Welcome on my awsome homepage.\u000a +p4866 +tp4867 +a(g826 +V +p4868 +tp4869 +a(g826 +V +p4870 +tp4871 +a(g610 +g1044 +tp4872 +a(g610 +g1091 +tp4873 +a(g462 +g4853 +tp4874 +a(g610 +g1067 +tp4875 +a(g826 +V\u000a +p4876 +tp4877 +a(g826 +V +p4878 +tp4879 +a(g826 +g1029 +tp4880 +a(g655 +V{% +p4881 +tp4882 +a(g826 +g1018 +tp4883 +a(g744 +Vendblock +p4884 +tp4885 +a(g826 +g1018 +tp4886 +a(g655 +V%} +p4887 +tp4888 +a(g826 +V\u000a\u000a +p4889 +tp4890 +a(g826 +VThe +p4891 +tp4892 +a(g81 +V`` +p4893 +tp4894 +a(g81 +V{% extends %} +p4895 +tp4896 +a(g81 +V`` +p4897 +tp4898 +a(g826 +V tag is the key here. It tells the template engine that +p4899 +tp4900 +a(g826 +V\u000a +p4901 +tp4902 +a(g826 +Vthis template "extends" another template. When the template system evaluates +p4903 +tp4904 +a(g826 +V\u000a +p4905 +tp4906 +a(g826 +Vthis template, first it locates the parent. +p4907 +tp4908 +a(g826 +V\u000a +p4909 +tp4910 +a(g826 +V\u000a +p4911 +tp4912 +a(g826 +VThe filename of the template depends on the template loader. For example the +p4913 +tp4914 +a(g826 +V\u000a +p4915 +tp4916 +a(g81 +V`` +p4917 +tp4918 +a(g81 +VFileSystemLoader +p4919 +tp4920 +a(g81 +V`` +p4921 +tp4922 +a(g826 +V allows you to access other templates by giving the +p4923 +tp4924 +a(g826 +V\u000a +p4925 +tp4926 +a(g826 +Vfilename. You can access templates in subdirectories with an slash +p4927 +tp4928 +a(g826 +g1010 +tp4929 +a(g826 +V\u000a +p4930 +tp4931 +a(g826 +V\u000a +p4932 +tp4933 +a(g610 +V.. +p4934 +tp4935 +a(g826 +g1018 +tp4936 +a(g331 +Vsourcecode +p4937 +tp4938 +a(g610 +V:: +p4939 +tp4940 +a(g826 +g1018 +tp4941 +a(g744 +Vjinja +p4942 +tp4943 +a(g826 +V\u000a\u000a +p4944 +tp4945 +a(g655 +g1029 +tp4946 +a(g826 +V +p4947 +tp4948 +a(g655 +V{% +p4949 +tp4950 +a(g826 +g1018 +tp4951 +a(g744 +Vextends +p4952 +tp4953 +a(g826 +g1018 +tp4954 +a(g149 +V"layout/default.html" +p4955 +tp4956 +a(g826 +g1018 +tp4957 +a(g655 +V%} +p4958 +tp4959 +a(g719 +V\u000a\u000a +p4960 +tp4961 +a(g826 +VBut this behavior can depend on the application using Jinja. +p4962 +tp4963 +a(g826 +V\u000a +p4964 +tp4965 +a(g826 +V\u000a +p4966 +tp4967 +a(g826 +VNote that since the child template didn't define the +p4968 +tp4969 +a(g81 +V`` +p4970 +tp4971 +a(g81 +Vfooter +p4972 +tp4973 +a(g81 +V`` +p4974 +tp4975 +a(g826 +V block, the +p4976 +tp4977 +a(g826 +V\u000a +p4978 +tp4979 +a(g826 +Vvalue from the parent template is used instead. +p4980 +tp4981 +a(g826 +V\u000a +p4982 +tp4983 +a(g826 +V\u000a +p4984 +tp4985 +a(g610 +V.. +p4986 +tp4987 +a(g826 +g1018 +tp4988 +a(g331 +Vadmonition +p4989 +tp4990 +a(g610 +V:: +p4991 +tp4992 +a(g826 +g1018 +tp4993 +a(g826 +VNote +p4994 +tp4995 +a(g826 +V\u000a +p4996 +tp4997 +a(g826 +V\u000a +p4998 +tp4999 +a(g826 +V You can't define multiple +p5000 +tp5001 +a(g81 +V`` +p5002 +tp5003 +a(g81 +V{% block %} +p5004 +tp5005 +a(g81 +V`` +p5006 +tp5007 +a(g826 +V tags with the same name in the +p5008 +tp5009 +a(g826 +V\u000a +p5010 +tp5011 +a(g826 +V same template. This limitation exists because a block tag works in "both" +p5012 +tp5013 +a(g826 +V\u000a +p5014 +tp5015 +a(g826 +V directions. That is, a block tag doesn't just provide a hole to fill - it +p5016 +tp5017 +a(g826 +V\u000a +p5018 +tp5019 +a(g826 +V also defines the content that fills the hole in the +p5020 +tp5021 +a(g898 +V*parent* +p5022 +tp5023 +a(g826 +V. If there were +p5024 +tp5025 +a(g826 +V\u000a +p5026 +tp5027 +a(g826 +V two similarly-named +p5028 +tp5029 +a(g81 +V`` +p5030 +tp5031 +a(g81 +V{% block %} +p5032 +tp5033 +a(g81 +V`` +p5034 +tp5035 +a(g826 +V tags in a template, that template's +p5036 +tp5037 +a(g826 +V\u000a +p5038 +tp5039 +a(g826 +V parent wouldn't know which one of the blocks' content to use. +p5040 +tp5041 +a(g826 +V\u000a +p5042 +tp5043 +a(g826 +V\u000a +p5044 +tp5045 +a(g8 +VTemplate Inclusion +p5046 +tp5047 +a(g826 +V\u000a +p5048 +tp5049 +a(g8 +V================== +p5050 +tp5051 +a(g826 +V\u000a +p5052 +tp5053 +a(g826 +V\u000a +p5054 +tp5055 +a(g826 +VYou can load another template at a given position using +p5056 +tp5057 +a(g81 +V`` +p5058 +tp5059 +a(g81 +V{% include %} +p5060 +tp5061 +a(g81 +V`` +p5062 +tp5063 +a(g826 +g1363 +tp5064 +a(g826 +V\u000a +p5065 +tp5066 +a(g826 +VUsually it's a better idea to use inheritance but if you for example want to +p5067 +tp5068 +a(g826 +V\u000a +p5069 +tp5070 +a(g826 +Vload macros, +p5071 +tp5072 +a(g345 +V`include` +p5073 +tp5074 +a(g826 +V works better than +p5075 +tp5076 +a(g345 +V`extends` +p5077 +tp5078 +a(g826 +g1010 +tp5079 +a(g826 +V\u000a +p5080 +tp5081 +a(g826 +V\u000a +p5082 +tp5083 +a(g610 +V.. +p5084 +tp5085 +a(g826 +g1018 +tp5086 +a(g331 +Vsourcecode +p5087 +tp5088 +a(g610 +V:: +p5089 +tp5090 +a(g826 +g1018 +tp5091 +a(g744 +Vjinja +p5092 +tp5093 +a(g826 +V\u000a\u000a +p5094 +tp5095 +a(g655 +g1029 +tp5096 +a(g826 +V +p5097 +tp5098 +a(g655 +V{% +p5099 +tp5100 +a(g826 +g1018 +tp5101 +a(g744 +Vinclude +p5102 +tp5103 +a(g826 +g1018 +tp5104 +a(g149 +V"myhelpers.html" +p5105 +tp5106 +a(g826 +g1018 +tp5107 +a(g655 +V%} +p5108 +tp5109 +a(g719 +V\u000a +p5110 +tp5111 +a(g826 +V +p5112 +tp5113 +a(g719 +g1029 +tp5114 +a(g655 +V{{ +p5115 +tp5116 +a(g826 +g1018 +tp5117 +a(g345 +Vmy_helper +p5118 +tp5119 +a(g317 +g1408 +tp5120 +a(g149 +V"foo" +p5121 +tp5122 +a(g317 +g1410 +tp5123 +a(g826 +g1018 +tp5124 +a(g655 +V}} +p5125 +tp5126 +a(g719 +V\u000a\u000a +p5127 +tp5128 +a(g826 +VIf you define a macro called +p5129 +tp5130 +a(g81 +V`` +p5131 +tp5132 +a(g81 +Vmy_helper +p5133 +tp5134 +a(g81 +V`` +p5135 +tp5136 +a(g826 +V in +p5137 +tp5138 +a(g81 +V`` +p5139 +tp5140 +a(g81 +Vmyhelpers.html +p5141 +tp5142 +a(g81 +V`` +p5143 +tp5144 +a(g826 +V, you can now +p5145 +tp5146 +a(g826 +V\u000a +p5147 +tp5148 +a(g826 +Vuse it from the template as shown above. +p5149 +tp5150 +a(g826 +V\u000a +p5151 +tp5152 +a(g826 +V\u000a +p5153 +tp5154 +a(g8 +VFiltering Blocks +p5155 +tp5156 +a(g826 +V\u000a +p5157 +tp5158 +a(g8 +V================ +p5159 +tp5160 +a(g826 +V\u000a +p5161 +tp5162 +a(g826 +V\u000a +p5163 +tp5164 +a(g826 +VSometimes it could be a good idea to filter a complete block of text. For +p5165 +tp5166 +a(g826 +V\u000a +p5167 +tp5168 +a(g826 +Vexample, if you want to escape some html code +p5169 +tp5170 +a(g826 +g1010 +tp5171 +a(g826 +V\u000a +p5172 +tp5173 +a(g826 +V\u000a +p5174 +tp5175 +a(g610 +V.. +p5176 +tp5177 +a(g826 +g1018 +tp5178 +a(g331 +Vsourcecode +p5179 +tp5180 +a(g610 +V:: +p5181 +tp5182 +a(g826 +g1018 +tp5183 +a(g744 +Vjinja +p5184 +tp5185 +a(g826 +V\u000a\u000a +p5186 +tp5187 +a(g655 +g1029 +tp5188 +a(g826 +V +p5189 +tp5190 +a(g655 +V{% +p5191 +tp5192 +a(g826 +g1018 +tp5193 +a(g744 +Vfilter +p5194 +tp5195 +a(g826 +g1018 +tp5196 +a(g478 +Vescape +p5197 +tp5198 +a(g826 +g1018 +tp5199 +a(g655 +V%} +p5200 +tp5201 +a(g719 +V\u000a +p5202 +tp5203 +a(g826 +V +p5204 +tp5205 +a(g719 +V \u000a +p5206 +tp5207 +a(g826 +V +p5208 +tp5209 +a(g719 +V goes here\u000a +p5210 +tp5211 +a(g826 +V +p5212 +tp5213 +a(g719 +V \u000a +p5214 +tp5215 +a(g826 +V +p5216 +tp5217 +a(g719 +g1029 +tp5218 +a(g655 +V{% +p5219 +tp5220 +a(g826 +g1018 +tp5221 +a(g744 +Vendfilter +p5222 +tp5223 +a(g826 +g1018 +tp5224 +a(g655 +V%} +p5225 +tp5226 +a(g719 +V\u000a\u000a +p5227 +tp5228 +a(g826 +VOf course you can chain filters too +p5229 +tp5230 +a(g826 +g1010 +tp5231 +a(g826 +V\u000a +p5232 +tp5233 +a(g826 +V\u000a +p5234 +tp5235 +a(g610 +V.. +p5236 +tp5237 +a(g826 +g1018 +tp5238 +a(g331 +Vsourcecode +p5239 +tp5240 +a(g610 +V:: +p5241 +tp5242 +a(g826 +g1018 +tp5243 +a(g744 +Vjinja +p5244 +tp5245 +a(g826 +V\u000a\u000a +p5246 +tp5247 +a(g655 +g1029 +tp5248 +a(g826 +V +p5249 +tp5250 +a(g655 +V{% +p5251 +tp5252 +a(g826 +g1018 +tp5253 +a(g744 +Vfilter +p5254 +tp5255 +a(g826 +g1018 +tp5256 +a(g478 +Vlower +p5257 +tp5258 +a(g317 +g1180 +tp5259 +a(g478 +Vescape +p5260 +tp5261 +a(g826 +g1018 +tp5262 +a(g655 +V%} +p5263 +tp5264 +a(g719 +V\u000a +p5265 +tp5266 +a(g826 +V +p5267 +tp5268 +a(g719 +V SOME TEXT\u000a +p5269 +tp5270 +a(g826 +V +p5271 +tp5272 +a(g719 +g1029 +tp5273 +a(g655 +V{% +p5274 +tp5275 +a(g826 +g1018 +tp5276 +a(g744 +Vendfilter +p5277 +tp5278 +a(g826 +g1018 +tp5279 +a(g655 +V%} +p5280 +tp5281 +a(g719 +V\u000a\u000a +p5282 +tp5283 +a(g826 +Vreturns +p5284 +tp5285 +a(g81 +V`` +p5286 +tp5287 +a(g81 +V"<b>some text</b>" +p5288 +tp5289 +a(g81 +V`` +p5290 +tp5291 +a(g826 +g1363 +tp5292 +a(g826 +V\u000a +p5293 +tp5294 +a(g826 +V\u000a +p5295 +tp5296 +a(g8 +VDefining Variables +p5297 +tp5298 +a(g826 +V\u000a +p5299 +tp5300 +a(g8 +V================== +p5301 +tp5302 +a(g826 +V\u000a +p5303 +tp5304 +a(g826 +V\u000a +p5305 +tp5306 +a(g826 +VYou can also define variables in the namespace using the +p5307 +tp5308 +a(g81 +V`` +p5309 +tp5310 +a(g81 +V{% set %} +p5311 +tp5312 +a(g81 +V`` +p5313 +tp5314 +a(g826 +V tag +p5315 +tp5316 +a(g826 +g1010 +tp5317 +a(g826 +V\u000a +p5318 +tp5319 +a(g826 +V\u000a +p5320 +tp5321 +a(g610 +V.. +p5322 +tp5323 +a(g826 +g1018 +tp5324 +a(g331 +Vsourcecode +p5325 +tp5326 +a(g610 +V:: +p5327 +tp5328 +a(g826 +g1018 +tp5329 +a(g744 +Vjinja +p5330 +tp5331 +a(g826 +V\u000a\u000a +p5332 +tp5333 +a(g655 +g1029 +tp5334 +a(g826 +V +p5335 +tp5336 +a(g655 +V{% +p5337 +tp5338 +a(g826 +g1018 +tp5339 +a(g744 +Vset +p5340 +tp5341 +a(g826 +g1018 +tp5342 +a(g345 +Vfoo +p5343 +tp5344 +a(g826 +g1018 +tp5345 +a(g317 +g1051 +tp5346 +a(g826 +g1018 +tp5347 +a(g222 +V'foobar' +p5348 +tp5349 +a(g826 +g1018 +tp5350 +a(g655 +V%} +p5351 +tp5352 +a(g719 +V\u000a +p5353 +tp5354 +a(g826 +V +p5355 +tp5356 +a(g719 +g1029 +tp5357 +a(g655 +V{{ +p5358 +tp5359 +a(g826 +g1018 +tp5360 +a(g345 +Vfoo +p5361 +tp5362 +a(g826 +g1018 +tp5363 +a(g655 +V}} +p5364 +tp5365 +a(g719 +V\u000a\u000a +p5366 +tp5367 +a(g826 +VThis should ouput +p5368 +tp5369 +a(g81 +V`` +p5370 +tp5371 +a(g81 +Vfoobar +p5372 +tp5373 +a(g81 +V`` +p5374 +tp5375 +a(g826 +g1363 +tp5376 +a(g826 +V\u000a +p5377 +tp5378 +a(g826 +V\u000a +p5379 +tp5380 +a(g8 +VScopes +p5381 +tp5382 +a(g826 +V\u000a +p5383 +tp5384 +a(g8 +V====== +p5385 +tp5386 +a(g826 +V\u000a +p5387 +tp5388 +a(g826 +V\u000a +p5389 +tp5390 +a(g826 +VJinja has multiple scopes. A scope is something like a new transparent foil on +p5391 +tp5392 +a(g826 +V\u000a +p5393 +tp5394 +a(g826 +Va stack of foils. You can only write to the outermost foil but read all of them +p5395 +tp5396 +a(g826 +V\u000a +p5397 +tp5398 +a(g826 +Vsince you can look through them. If you remove the top foil all data on that +p5399 +tp5400 +a(g826 +V\u000a +p5401 +tp5402 +a(g826 +Vfoil disappears. Some tags in Jinja add a new layer to the stack. Currently +p5403 +tp5404 +a(g826 +V\u000a +p5405 +tp5406 +a(g826 +Vthese are +p5407 +tp5408 +a(g345 +V`block` +p5409 +tp5410 +a(g826 +V, +p5411 +tp5412 +a(g345 +V`for` +p5413 +tp5414 +a(g826 +V, +p5415 +tp5416 +a(g345 +V`macro` +p5417 +tp5418 +a(g826 +V and +p5419 +tp5420 +a(g345 +V`filter` +p5421 +tp5422 +a(g826 +V. This means that variables and +p5423 +tp5424 +a(g826 +V\u000a +p5425 +tp5426 +a(g826 +Vother elements defined inside a macro, loop or some of the other tags listed +p5427 +tp5428 +a(g826 +V\u000a +p5429 +tp5430 +a(g826 +Vabove will be only available in that block. Here an example +p5431 +tp5432 +a(g826 +g1010 +tp5433 +a(g826 +V\u000a +p5434 +tp5435 +a(g826 +V\u000a +p5436 +tp5437 +a(g610 +V.. +p5438 +tp5439 +a(g826 +g1018 +tp5440 +a(g331 +Vsourcecode +p5441 +tp5442 +a(g610 +V:: +p5443 +tp5444 +a(g826 +g1018 +tp5445 +a(g744 +Vjinja +p5446 +tp5447 +a(g826 +V\u000a\u000a +p5448 +tp5449 +a(g655 +g1029 +tp5450 +a(g826 +V +p5451 +tp5452 +a(g655 +V{% +p5453 +tp5454 +a(g826 +g1018 +tp5455 +a(g744 +Vmacro +p5456 +tp5457 +a(g826 +g1018 +tp5458 +a(g345 +Vangryhello +p5459 +tp5460 +a(g826 +g1018 +tp5461 +a(g345 +Vname +p5462 +tp5463 +a(g826 +g1018 +tp5464 +a(g655 +V%} +p5465 +tp5466 +a(g719 +V\u000a +p5467 +tp5468 +a(g826 +V +p5469 +tp5470 +a(g719 +V +p5471 +tp5472 +a(g655 +V{% +p5473 +tp5474 +a(g826 +g1018 +tp5475 +a(g744 +Vset +p5476 +tp5477 +a(g826 +g1018 +tp5478 +a(g345 +Vangryname +p5479 +tp5480 +a(g826 +g1018 +tp5481 +a(g317 +g1051 +tp5482 +a(g826 +g1018 +tp5483 +a(g345 +Vname +p5484 +tp5485 +a(g317 +g1180 +tp5486 +a(g478 +Vupper +p5487 +tp5488 +a(g826 +g1018 +tp5489 +a(g655 +V%} +p5490 +tp5491 +a(g719 +V\u000a +p5492 +tp5493 +a(g826 +V +p5494 +tp5495 +a(g719 +V Hello +p5496 +tp5497 +a(g655 +V{{ +p5498 +tp5499 +a(g826 +g1018 +tp5500 +a(g345 +Vname +p5501 +tp5502 +a(g826 +g1018 +tp5503 +a(g655 +V}} +p5504 +tp5505 +a(g719 +V. Hello +p5506 +tp5507 +a(g655 +V{{ +p5508 +tp5509 +a(g826 +g1018 +tp5510 +a(g345 +Vname +p5511 +tp5512 +a(g826 +g1018 +tp5513 +a(g655 +V}} +p5514 +tp5515 +a(g719 +V!\u000a +p5516 +tp5517 +a(g826 +V +p5518 +tp5519 +a(g719 +V HELLO +p5520 +tp5521 +a(g655 +V{{ +p5522 +tp5523 +a(g826 +g1018 +tp5524 +a(g345 +Vangryname +p5525 +tp5526 +a(g826 +g1018 +tp5527 +a(g655 +V}} +p5528 +tp5529 +a(g719 +V!!!!!!111\u000a +p5530 +tp5531 +a(g826 +V +p5532 +tp5533 +a(g719 +g1029 +tp5534 +a(g655 +V{% +p5535 +tp5536 +a(g826 +g1018 +tp5537 +a(g744 +Vendmacro +p5538 +tp5539 +a(g826 +g1018 +tp5540 +a(g655 +V%} +p5541 +tp5542 +a(g719 +V\u000a\u000a +p5543 +tp5544 +a(g826 +VThe variable +p5545 +tp5546 +a(g81 +V`` +p5547 +tp5548 +a(g81 +Vangryname +p5549 +tp5550 +a(g81 +V`` +p5551 +tp5552 +a(g826 +V just exists inside the macro, not outside it. +p5553 +tp5554 +a(g826 +V\u000a +p5555 +tp5556 +a(g826 +V\u000a +p5557 +tp5558 +a(g826 +VDefined macros appear on the context as variables. Because of this, they are +p5559 +tp5560 +a(g826 +V\u000a +p5561 +tp5562 +a(g826 +Vaffected by the scoping too. A macro defined inside of a macro is just available +p5563 +tp5564 +a(g826 +V\u000a +p5565 +tp5566 +a(g826 +Vin those two macros (the macro itself and the macro it's defined in). For +p5567 +tp5568 +a(g345 +V`set` +p5569 +tp5570 +a(g826 +V\u000a +p5571 +tp5572 +a(g826 +Vand +p5573 +tp5574 +a(g345 +V`macro` +p5575 +tp5576 +a(g826 +V two additional rules exist +p5577 +tp5578 +a(g826 +g1010 +tp5579 +a(g826 +V If a macro is defined in an extended +p5580 +tp5581 +a(g826 +V\u000a +p5582 +tp5583 +a(g826 +Vtemplate but outside of a visible block (thus outside of any block) will be +p5584 +tp5585 +a(g826 +V\u000a +p5586 +tp5587 +a(g826 +Vavailable in all blocks below. This allows you to use +p5588 +tp5589 +a(g345 +V`include` +p5590 +tp5591 +a(g826 +V statements to +p5592 +tp5593 +a(g826 +V\u000a +p5594 +tp5595 +a(g826 +Vload often used macros at once. +p5596 +tp5597 +a(g826 +V\u000a +p5598 +tp5599 +a(g826 +V\u000a +p5600 +tp5601 +a(g8 +VUndefined Variables +p5602 +tp5603 +a(g826 +V\u000a +p5604 +tp5605 +a(g8 +V=================== +p5606 +tp5607 +a(g826 +V\u000a +p5608 +tp5609 +a(g826 +V\u000a +p5610 +tp5611 +a(g826 +VIf you have already worked with python you probably know about the fact that +p5612 +tp5613 +a(g826 +V\u000a +p5614 +tp5615 +a(g826 +Vundefined variables raise an exception. This is different in Jinja. There is a +p5616 +tp5617 +a(g826 +V\u000a +p5618 +tp5619 +a(g826 +Vspecial value called +p5620 +tp5621 +a(g345 +V`undefined` +p5622 +tp5623 +a(g826 +V that represents values that do not exist. +p5624 +tp5625 +a(g826 +V\u000a +p5626 +tp5627 +a(g826 +V\u000a +p5628 +tp5629 +a(g826 +VThis special variable works complete different from any variables you maybe +p5630 +tp5631 +a(g826 +V\u000a +p5632 +tp5633 +a(g826 +Vknow. If you print it using +p5634 +tp5635 +a(g81 +V`` +p5636 +tp5637 +a(g81 +V{{ variable }} +p5638 +tp5639 +a(g81 +V`` +p5640 +tp5641 +a(g826 +V it will not appear because it's +p5642 +tp5643 +a(g826 +V\u000a +p5644 +tp5645 +a(g826 +Vliterally empty. If you try to iterate over it, it will work. But no items +p5646 +tp5647 +a(g826 +V\u000a +p5648 +tp5649 +a(g826 +Vare returned. Comparing this value to any other value results in +p5650 +tp5651 +a(g345 +V`false` +p5652 +tp5653 +a(g826 +g1363 +tp5654 +a(g826 +V\u000a +p5655 +tp5656 +a(g826 +VEven if you compare it to itself +p5657 +tp5658 +a(g826 +g1010 +tp5659 +a(g826 +V\u000a +p5660 +tp5661 +a(g826 +V\u000a +p5662 +tp5663 +a(g610 +V.. +p5664 +tp5665 +a(g826 +g1018 +tp5666 +a(g331 +Vsourcecode +p5667 +tp5668 +a(g610 +V:: +p5669 +tp5670 +a(g826 +g1018 +tp5671 +a(g744 +Vjinja +p5672 +tp5673 +a(g826 +V\u000a\u000a +p5674 +tp5675 +a(g655 +g1029 +tp5676 +a(g826 +V +p5677 +tp5678 +a(g655 +V{{ +p5679 +tp5680 +a(g826 +g1018 +tp5681 +a(g345 +Vundefined +p5682 +tp5683 +a(g826 +g1018 +tp5684 +a(g317 +V== +p5685 +tp5686 +a(g826 +g1018 +tp5687 +a(g345 +Vundefined +p5688 +tp5689 +a(g826 +g1018 +tp5690 +a(g655 +V}} +p5691 +tp5692 +a(g719 +V\u000a +p5693 +tp5694 +a(g826 +V +p5695 +tp5696 +a(g719 +V will return false. Not even undefined is undefined :)\u000a +p5697 +tp5698 +a(g826 +V +p5699 +tp5700 +a(g719 +V Use `is defined` / `is not defined`:\u000a\u000a +p5701 +tp5702 +a(g826 +V +p5703 +tp5704 +a(g719 +g1029 +tp5705 +a(g655 +V{{ +p5706 +tp5707 +a(g826 +g1018 +tp5708 +a(g345 +Vundefined +p5709 +tp5710 +a(g826 +g1018 +tp5711 +a(g744 +Vis +p5712 +tp5713 +a(g826 +g1018 +tp5714 +a(g744 +Vnot +p5715 +tp5716 +a(g826 +g1018 +tp5717 +a(g478 +Vdefined +p5718 +tp5719 +a(g826 +g1018 +tp5720 +a(g655 +V}} +p5721 +tp5722 +a(g719 +V\u000a +p5723 +tp5724 +a(g826 +V +p5725 +tp5726 +a(g719 +V will return true.\u000a\u000a +p5727 +tp5728 +a(g826 +VThere are also some additional rules regarding this special value. Any +p5729 +tp5730 +a(g826 +V\u000a +p5731 +tp5732 +a(g826 +Vmathematical operators ( +p5733 +tp5734 +a(g81 +V`` +p5735 +tp5736 +a(g81 +g1725 +tp5737 +a(g81 +V`` +p5738 +tp5739 +a(g826 +V, +p5740 +tp5741 +a(g81 +V`` +p5742 +tp5743 +a(g81 +g3244 +tp5744 +a(g81 +V`` +p5745 +tp5746 +a(g826 +V, +p5747 +tp5748 +a(g81 +V`` +p5749 +tp5750 +a(g81 +g3304 +tp5751 +a(g81 +V`` +p5752 +tp5753 +a(g826 +V, +p5754 +tp5755 +a(g81 +V`` +p5756 +tp5757 +a(g81 +g1091 +tp5758 +a(g81 +V`` +p5759 +tp5760 +a(g826 +V) return the operand +p5761 +tp5762 +a(g826 +V\u000a +p5763 +tp5764 +a(g826 +Vas result +p5765 +tp5766 +a(g826 +g1010 +tp5767 +a(g826 +V\u000a +p5768 +tp5769 +a(g826 +V\u000a +p5770 +tp5771 +a(g610 +V.. +p5772 +tp5773 +a(g826 +g1018 +tp5774 +a(g331 +Vsourcecode +p5775 +tp5776 +a(g610 +V:: +p5777 +tp5778 +a(g826 +g1018 +tp5779 +a(g744 +Vjinja +p5780 +tp5781 +a(g826 +V\u000a\u000a +p5782 +tp5783 +a(g655 +g1029 +tp5784 +a(g826 +V +p5785 +tp5786 +a(g655 +V{{ +p5787 +tp5788 +a(g826 +g1018 +tp5789 +a(g345 +Vundefined +p5790 +tp5791 +a(g826 +g1018 +tp5792 +a(g317 +g1725 +tp5793 +a(g826 +g1018 +tp5794 +a(g149 +V"foo" +p5795 +tp5796 +a(g826 +g1018 +tp5797 +a(g655 +V}} +p5798 +tp5799 +a(g719 +V\u000a +p5800 +tp5801 +a(g826 +V +p5802 +tp5803 +a(g719 +V returns "foo"\u000a\u000a +p5804 +tp5805 +a(g826 +V +p5806 +tp5807 +a(g719 +g1029 +tp5808 +a(g655 +V{{ +p5809 +tp5810 +a(g826 +g1018 +tp5811 +a(g345 +Vundefined +p5812 +tp5813 +a(g826 +g1018 +tp5814 +a(g317 +g3244 +tp5815 +a(g826 +g1018 +tp5816 +a(g22 +g1856 +tp5817 +a(g22 +g1858 +tp5818 +a(g826 +g1018 +tp5819 +a(g655 +V}} +p5820 +tp5821 +a(g719 +V\u000a +p5822 +tp5823 +a(g826 +V +p5824 +tp5825 +a(g719 +V returns 42. Note: not -42!\u000a\u000a +p5826 +tp5827 +a(g826 +VIn any expression +p5828 +tp5829 +a(g345 +V`undefined` +p5830 +tp5831 +a(g826 +V evaluates to +p5832 +tp5833 +a(g345 +V`false` +p5834 +tp5835 +a(g826 +V. It has no length, all +p5836 +tp5837 +a(g826 +V\u000a +p5838 +tp5839 +a(g826 +Vattribute calls return undefined, calling too +p5840 +tp5841 +a(g826 +g1010 +tp5842 +a(g826 +V\u000a +p5843 +tp5844 +a(g826 +V\u000a +p5845 +tp5846 +a(g610 +V.. +p5847 +tp5848 +a(g826 +g1018 +tp5849 +a(g331 +Vsourcecode +p5850 +tp5851 +a(g610 +V:: +p5852 +tp5853 +a(g826 +g1018 +tp5854 +a(g744 +Vjinja +p5855 +tp5856 +a(g826 +V\u000a\u000a +p5857 +tp5858 +a(g655 +g1029 +tp5859 +a(g826 +V +p5860 +tp5861 +a(g655 +V{{ +p5862 +tp5863 +a(g826 +g1018 +tp5864 +a(g345 +Vundefined +p5865 +tp5866 +a(g345 +V.attribute +p5867 +tp5868 +a(g317 +g1408 +tp5869 +a(g317 +g1410 +tp5870 +a(g345 +V.attribute_too +p5871 +tp5872 +a(g317 +g1483 +tp5873 +a(g22 +g1856 +tp5874 +a(g22 +g1858 +tp5875 +a(g317 +g1487 +tp5876 +a(g826 +g1018 +tp5877 +a(g655 +V}} +p5878 +tp5879 +a(g719 +V\u000a +p5880 +tp5881 +a(g826 +V +p5882 +tp5883 +a(g719 +V still returns `undefined`.\u000a\u000a +p5884 +tp5885 +a(g8 +VEscaping +p5886 +tp5887 +a(g826 +V\u000a +p5888 +tp5889 +a(g8 +V======== +p5890 +tp5891 +a(g826 +V\u000a +p5892 +tp5893 +a(g826 +V\u000a +p5894 +tp5895 +a(g826 +VSometimes you might want to add Jinja syntax elements into the template +p5896 +tp5897 +a(g826 +V\u000a +p5898 +tp5899 +a(g826 +Vwithout executing them. In that case you have quite a few possibilities. +p5900 +tp5901 +a(g826 +V\u000a +p5902 +tp5903 +a(g826 +V\u000a +p5904 +tp5905 +a(g826 +VFor small parts this might be a good way +p5906 +tp5907 +a(g826 +g1010 +tp5908 +a(g826 +V\u000a +p5909 +tp5910 +a(g826 +V\u000a +p5911 +tp5912 +a(g610 +V.. +p5913 +tp5914 +a(g826 +g1018 +tp5915 +a(g331 +Vsourcecode +p5916 +tp5917 +a(g610 +V:: +p5918 +tp5919 +a(g826 +g1018 +tp5920 +a(g744 +Vjinja +p5921 +tp5922 +a(g826 +V\u000a\u000a +p5923 +tp5924 +a(g655 +g1029 +tp5925 +a(g826 +V +p5926 +tp5927 +a(g655 +V{{ +p5928 +tp5929 +a(g826 +g1018 +tp5930 +a(g149 +V"{{ foo }} is variable syntax and {% foo %} is block syntax" +p5931 +tp5932 +a(g826 +g1018 +tp5933 +a(g655 +V}} +p5934 +tp5935 +a(g719 +V\u000a\u000a +p5936 +tp5937 +a(g826 +VWhen you have multiple elements you can use the +p5938 +tp5939 +a(g81 +V`` +p5940 +tp5941 +a(g81 +Vraw +p5942 +tp5943 +a(g81 +V`` +p5944 +tp5945 +a(g826 +V block +p5946 +tp5947 +a(g826 +g1010 +tp5948 +a(g826 +V\u000a +p5949 +tp5950 +a(g826 +V\u000a +p5951 +tp5952 +a(g610 +V.. +p5953 +tp5954 +a(g826 +g1018 +tp5955 +a(g331 +Vsourcecode +p5956 +tp5957 +a(g610 +V:: +p5958 +tp5959 +a(g826 +g1018 +tp5960 +a(g744 +Vjinja +p5961 +tp5962 +a(g826 +V\u000a\u000a +p5963 +tp5964 +a(g655 +g1029 +tp5965 +a(g826 +V +p5966 +tp5967 +a(g655 +V{% +p5968 +tp5969 +a(g826 +g1018 +tp5970 +a(g744 +Vraw +p5971 +tp5972 +a(g826 +g1018 +tp5973 +a(g655 +V%} +p5974 +tp5975 +a(g826 +V\u000a +p5976 +tp5977 +a(g826 +V +p5978 +tp5979 +a(g826 +V Filtering blocks works like this in Jinja:\u000a +p5980 +tp5981 +a(g826 +V +p5982 +tp5983 +a(g826 +V {% filter escape %}\u000a +p5984 +tp5985 +a(g826 +V +p5986 +tp5987 +a(g826 +V \u000a +p5988 +tp5989 +a(g826 +V +p5990 +tp5991 +a(g826 +V goes here\u000a +p5992 +tp5993 +a(g826 +V +p5994 +tp5995 +a(g826 +V \u000a +p5996 +tp5997 +a(g826 +V +p5998 +tp5999 +a(g826 +V {% endfilter %}\u000a +p6000 +tp6001 +a(g826 +V +p6002 +tp6003 +a(g826 +g1029 +tp6004 +a(g655 +V{% +p6005 +tp6006 +a(g826 +g1018 +tp6007 +a(g744 +Vendraw +p6008 +tp6009 +a(g826 +g1018 +tp6010 +a(g655 +V%} +p6011 +tp6012 +a(g719 +V\u000a\u000a +p6013 +tp6014 +a(g8 +VReserved Keywords +p6015 +tp6016 +a(g826 +V\u000a +p6017 +tp6018 +a(g8 +V================= +p6019 +tp6020 +a(g826 +V\u000a +p6021 +tp6022 +a(g826 +V\u000a +p6023 +tp6024 +a(g826 +VJinja has some keywords you cannot use a variable names. This limitation +p6025 +tp6026 +a(g826 +V\u000a +p6027 +tp6028 +a(g826 +Vexists to make look coherent. Syntax highlighters won't mess things up and +p6029 +tp6030 +a(g826 +V\u000a +p6031 +tp6032 +a(g826 +Vyou will don't have unexpected output. +p6033 +tp6034 +a(g826 +V\u000a +p6035 +tp6036 +a(g826 +V\u000a +p6037 +tp6038 +a(g826 +VThe following keywords exist and cannot be used as identifiers +p6039 +tp6040 +a(g826 +g1010 +tp6041 +a(g826 +V\u000a +p6042 +tp6043 +a(g826 +V\u000a +p6044 +tp6045 +a(g826 +V +p6046 +tp6047 +a(g345 +V`and` +p6048 +tp6049 +a(g826 +V, +p6050 +tp6051 +a(g345 +V`block` +p6052 +tp6053 +a(g826 +V, +p6054 +tp6055 +a(g345 +V`cycle` +p6056 +tp6057 +a(g826 +V, +p6058 +tp6059 +a(g345 +V`elif` +p6060 +tp6061 +a(g826 +V, +p6062 +tp6063 +a(g345 +V`else` +p6064 +tp6065 +a(g826 +V, +p6066 +tp6067 +a(g345 +V`endblock` +p6068 +tp6069 +a(g826 +V, +p6070 +tp6071 +a(g345 +V`endfilter` +p6072 +tp6073 +a(g826 +g1587 +tp6074 +a(g826 +V\u000a +p6075 +tp6076 +a(g826 +V +p6077 +tp6078 +a(g345 +V`endfor` +p6079 +tp6080 +a(g826 +V, +p6081 +tp6082 +a(g345 +V`endif` +p6083 +tp6084 +a(g826 +V, +p6085 +tp6086 +a(g345 +V`endmacro` +p6087 +tp6088 +a(g826 +V, +p6089 +tp6090 +a(g345 +V`endraw` +p6091 +tp6092 +a(g826 +V, +p6093 +tp6094 +a(g345 +V`endtrans` +p6095 +tp6096 +a(g826 +V, +p6097 +tp6098 +a(g345 +V`extends` +p6099 +tp6100 +a(g826 +V, +p6101 +tp6102 +a(g345 +V`filter` +p6103 +tp6104 +a(g826 +g1587 +tp6105 +a(g826 +V\u000a +p6106 +tp6107 +a(g826 +V +p6108 +tp6109 +a(g345 +V`for` +p6110 +tp6111 +a(g826 +V, +p6112 +tp6113 +a(g345 +V`if` +p6114 +tp6115 +a(g826 +V, +p6116 +tp6117 +a(g345 +V`in` +p6118 +tp6119 +a(g826 +V, +p6120 +tp6121 +a(g345 +V`include` +p6122 +tp6123 +a(g826 +V, +p6124 +tp6125 +a(g345 +V`is` +p6126 +tp6127 +a(g826 +V, +p6128 +tp6129 +a(g345 +V`macro` +p6130 +tp6131 +a(g826 +V, +p6132 +tp6133 +a(g345 +V`not` +p6134 +tp6135 +a(g826 +V, +p6136 +tp6137 +a(g345 +V`or` +p6138 +tp6139 +a(g826 +V, +p6140 +tp6141 +a(g345 +V`pluralize` +p6142 +tp6143 +a(g826 +g1587 +tp6144 +a(g826 +V\u000a +p6145 +tp6146 +a(g826 +V +p6147 +tp6148 +a(g345 +V`raw` +p6149 +tp6150 +a(g826 +V, +p6151 +tp6152 +a(g345 +V`recursive` +p6153 +tp6154 +a(g826 +V, +p6155 +tp6156 +a(g345 +V`set` +p6157 +tp6158 +a(g826 +V, +p6159 +tp6160 +a(g345 +V`trans` +p6161 +tp6162 +a(g826 +V\u000a +p6163 +tp6164 +a(g826 +V\u000a +p6165 +tp6166 +a(g826 +VIf you want to use such a name you have to prefix or suffix it or use +p6167 +tp6168 +a(g826 +V\u000a +p6169 +tp6170 +a(g826 +Valternative names +p6171 +tp6172 +a(g826 +g1010 +tp6173 +a(g826 +V\u000a +p6174 +tp6175 +a(g826 +V\u000a +p6176 +tp6177 +a(g610 +V.. +p6178 +tp6179 +a(g826 +g1018 +tp6180 +a(g331 +Vsourcecode +p6181 +tp6182 +a(g610 +V:: +p6183 +tp6184 +a(g826 +g1018 +tp6185 +a(g744 +Vjinja +p6186 +tp6187 +a(g826 +V\u000a\u000a +p6188 +tp6189 +a(g655 +g1029 +tp6190 +a(g826 +V +p6191 +tp6192 +a(g655 +V{% +p6193 +tp6194 +a(g826 +g1018 +tp6195 +a(g744 +Vfor +p6196 +tp6197 +a(g826 +g1018 +tp6198 +a(g345 +Vmacro_ +p6199 +tp6200 +a(g826 +g1018 +tp6201 +a(g744 +Vin +p6202 +tp6203 +a(g826 +g1018 +tp6204 +a(g345 +Vmacros +p6205 +tp6206 +a(g826 +g1018 +tp6207 +a(g655 +V%} +p6208 +tp6209 +a(g719 +V\u000a +p6210 +tp6211 +a(g826 +V +p6212 +tp6213 +a(g719 +V +p6214 +tp6215 +a(g655 +V{{ +p6216 +tp6217 +a(g826 +g1018 +tp6218 +a(g345 +Vmacro_ +p6219 +tp6220 +a(g317 +g1408 +tp6221 +a(g222 +V'foo' +p6222 +tp6223 +a(g317 +g1410 +tp6224 +a(g826 +g1018 +tp6225 +a(g655 +V}} +p6226 +tp6227 +a(g719 +V\u000a +p6228 +tp6229 +a(g826 +V +p6230 +tp6231 +a(g719 +g1029 +tp6232 +a(g655 +V{% +p6233 +tp6234 +a(g826 +g1018 +tp6235 +a(g744 +Vendfor +p6236 +tp6237 +a(g826 +g1018 +tp6238 +a(g655 +V%} +p6239 +tp6240 +a(g719 +V\u000a\u000a +p6241 +tp6242 +a(g826 +VIf future Jinja releases add new keywords those will be "light" keywords which +p6243 +tp6244 +a(g826 +V\u000a +p6245 +tp6246 +a(g826 +Vmeans that they won't raise an error for several releases but yield warnings +p6247 +tp6248 +a(g826 +V\u000a +p6249 +tp6250 +a(g826 +Von the application side. But it's very unlikely that new keywords will be +p6251 +tp6252 +a(g826 +V\u000a +p6253 +tp6254 +a(g826 +Vadded. +p6255 +tp6256 +a(g826 +V\u000a +p6257 +tp6258 +a(g826 +V\u000a +p6259 +tp6260 +a(g8 +VInternationalization +p6261 +tp6262 +a(g826 +V\u000a +p6263 +tp6264 +a(g8 +V==================== +p6265 +tp6266 +a(g826 +V\u000a +p6267 +tp6268 +a(g826 +V\u000a +p6269 +tp6270 +a(g826 +VIf the application is configured for i18n, you can define translatable blocks +p6271 +tp6272 +a(g826 +V\u000a +p6273 +tp6274 +a(g826 +Vfor translators using the +p6275 +tp6276 +a(g345 +V`trans` +p6277 +tp6278 +a(g826 +V tag or the special underscore function +p6279 +tp6280 +a(g826 +g1010 +tp6281 +a(g826 +V\u000a +p6282 +tp6283 +a(g826 +V\u000a +p6284 +tp6285 +a(g610 +V.. +p6286 +tp6287 +a(g826 +g1018 +tp6288 +a(g331 +Vsourcecode +p6289 +tp6290 +a(g610 +V:: +p6291 +tp6292 +a(g826 +g1018 +tp6293 +a(g744 +Vjinja +p6294 +tp6295 +a(g826 +V\u000a\u000a +p6296 +tp6297 +a(g655 +g1029 +tp6298 +a(g826 +V +p6299 +tp6300 +a(g655 +V{% +p6301 +tp6302 +a(g826 +g1018 +tp6303 +a(g744 +Vtrans +p6304 +tp6305 +a(g826 +g1018 +tp6306 +a(g655 +V%} +p6307 +tp6308 +a(g719 +V\u000a +p6309 +tp6310 +a(g826 +V +p6311 +tp6312 +a(g719 +V this is a translatable block\u000a +p6313 +tp6314 +a(g826 +V +p6315 +tp6316 +a(g719 +g1029 +tp6317 +a(g655 +V{% +p6318 +tp6319 +a(g826 +g1018 +tp6320 +a(g744 +Vendtrans +p6321 +tp6322 +a(g826 +g1018 +tp6323 +a(g655 +V%} +p6324 +tp6325 +a(g719 +V\u000a\u000a +p6326 +tp6327 +a(g826 +V +p6328 +tp6329 +a(g719 +g1029 +tp6330 +a(g655 +V{% +p6331 +tp6332 +a(g826 +g1018 +tp6333 +a(g744 +Vtrans +p6334 +tp6335 +a(g826 +g1018 +tp6336 +a(g149 +V"This is a translatable string" +p6337 +tp6338 +a(g826 +g1018 +tp6339 +a(g655 +V%} +p6340 +tp6341 +a(g719 +V\u000a\u000a +p6342 +tp6343 +a(g826 +V +p6344 +tp6345 +a(g719 +g1029 +tp6346 +a(g655 +V{{ +p6347 +tp6348 +a(g826 +g1018 +tp6349 +a(g785 +V_ +p6350 +tp6351 +a(g317 +g1408 +tp6352 +a(g149 +V"This is a translatable string" +p6353 +tp6354 +a(g317 +g1410 +tp6355 +a(g826 +g1018 +tp6356 +a(g655 +V}} +p6357 +tp6358 +a(g719 +V\u000a\u000a +p6359 +tp6360 +a(g826 +VThe latter one is useful if you want translatable arguments for filters etc. +p6361 +tp6362 +a(g826 +V\u000a +p6363 +tp6364 +a(g826 +V\u000a +p6365 +tp6366 +a(g826 +VIf you want to have plural forms too, use the +p6367 +tp6368 +a(g345 +V`pluralize` +p6369 +tp6370 +a(g826 +V block +p6371 +tp6372 +a(g826 +g1010 +tp6373 +a(g826 +V\u000a +p6374 +tp6375 +a(g826 +V\u000a +p6376 +tp6377 +a(g610 +V.. +p6378 +tp6379 +a(g826 +g1018 +tp6380 +a(g331 +Vsourcecode +p6381 +tp6382 +a(g610 +V:: +p6383 +tp6384 +a(g826 +g1018 +tp6385 +a(g744 +Vjinja +p6386 +tp6387 +a(g826 +V\u000a\u000a +p6388 +tp6389 +a(g655 +g1029 +tp6390 +a(g826 +V +p6391 +tp6392 +a(g655 +V{% +p6393 +tp6394 +a(g826 +g1018 +tp6395 +a(g744 +Vtrans +p6396 +tp6397 +a(g826 +g1018 +tp6398 +a(g345 +Vusers +p6399 +tp6400 +a(g317 +g1051 +tp6401 +a(g345 +Vusers +p6402 +tp6403 +a(g826 +g1018 +tp6404 +a(g655 +V%} +p6405 +tp6406 +a(g719 +V\u000a +p6407 +tp6408 +a(g826 +V +p6409 +tp6410 +a(g719 +V One user found.\u000a +p6411 +tp6412 +a(g826 +V +p6413 +tp6414 +a(g719 +g1029 +tp6415 +a(g655 +V{% +p6416 +tp6417 +a(g826 +g1018 +tp6418 +a(g744 +Vpluralize +p6419 +tp6420 +a(g826 +g1018 +tp6421 +a(g655 +V%} +p6422 +tp6423 +a(g719 +V\u000a +p6424 +tp6425 +a(g826 +V +p6426 +tp6427 +a(g719 +V +p6428 +tp6429 +a(g655 +V{{ +p6430 +tp6431 +a(g826 +g1018 +tp6432 +a(g345 +Vusers +p6433 +tp6434 +a(g826 +g1018 +tp6435 +a(g655 +V}} +p6436 +tp6437 +a(g719 +V users found.\u000a +p6438 +tp6439 +a(g826 +V +p6440 +tp6441 +a(g719 +g1029 +tp6442 +a(g655 +V{% +p6443 +tp6444 +a(g826 +g1018 +tp6445 +a(g744 +Vendtrans +p6446 +tp6447 +a(g826 +g1018 +tp6448 +a(g655 +V%} +p6449 +tp6450 +a(g719 +V\u000a\u000a +p6451 +tp6452 +a(g826 +V +p6453 +tp6454 +a(g719 +g1029 +tp6455 +a(g655 +V{% +p6456 +tp6457 +a(g826 +g1018 +tp6458 +a(g744 +Vtrans +p6459 +tp6460 +a(g826 +g1018 +tp6461 +a(g345 +Vfirst +p6462 +tp6463 +a(g317 +g1051 +tp6464 +a(g317 +g1408 +tp6465 +a(g345 +Vusers +p6466 +tp6467 +a(g317 +g1180 +tp6468 +a(g478 +Vfirst +p6469 +tp6470 +a(g317 +g1410 +tp6471 +a(g345 +V.username +p6472 +tp6473 +a(g317 +g1180 +tp6474 +a(g478 +Vescape +p6475 +tp6476 +a(g317 +g1587 +tp6477 +a(g826 +g1018 +tp6478 +a(g345 +Vuser +p6479 +tp6480 +a(g317 +g1051 +tp6481 +a(g345 +Vusers +p6482 +tp6483 +a(g317 +g1180 +tp6484 +a(g478 +Vlength +p6485 +tp6486 +a(g826 +g1018 +tp6487 +a(g655 +V%} +p6488 +tp6489 +a(g719 +V\u000a +p6490 +tp6491 +a(g826 +V +p6492 +tp6493 +a(g719 +V one user +p6494 +tp6495 +a(g655 +V{{ +p6496 +tp6497 +a(g826 +g1018 +tp6498 +a(g345 +Vfirst +p6499 +tp6500 +a(g826 +g1018 +tp6501 +a(g655 +V}} +p6502 +tp6503 +a(g719 +V found.\u000a +p6504 +tp6505 +a(g826 +V +p6506 +tp6507 +a(g719 +g1029 +tp6508 +a(g655 +V{% +p6509 +tp6510 +a(g826 +g1018 +tp6511 +a(g744 +Vpluralize +p6512 +tp6513 +a(g826 +g1018 +tp6514 +a(g345 +Vusers +p6515 +tp6516 +a(g826 +g1018 +tp6517 +a(g655 +V%} +p6518 +tp6519 +a(g719 +V\u000a +p6520 +tp6521 +a(g826 +V +p6522 +tp6523 +a(g719 +V +p6524 +tp6525 +a(g655 +V{{ +p6526 +tp6527 +a(g826 +g1018 +tp6528 +a(g345 +Vusers +p6529 +tp6530 +a(g826 +g1018 +tp6531 +a(g655 +V}} +p6532 +tp6533 +a(g719 +V users found, the first one is called +p6534 +tp6535 +a(g655 +V{{ +p6536 +tp6537 +a(g826 +g1018 +tp6538 +a(g345 +Vfirst +p6539 +tp6540 +a(g826 +g1018 +tp6541 +a(g655 +V}} +p6542 +tp6543 +a(g719 +V.\u000a +p6544 +tp6545 +a(g826 +V +p6546 +tp6547 +a(g719 +g1029 +tp6548 +a(g655 +V{% +p6549 +tp6550 +a(g826 +g1018 +tp6551 +a(g744 +Vendtrans +p6552 +tp6553 +a(g826 +g1018 +tp6554 +a(g655 +V%} +p6555 +tp6556 +a(g719 +V\u000a\u000a +p6557 +tp6558 +a(g826 +VIf you have multiple arguments, the first one is assumed to be the indicator (the +p6559 +tp6560 +a(g826 +V\u000a +p6561 +tp6562 +a(g826 +Vnumber that is used to determine the correct singular or plural form. If you +p6563 +tp6564 +a(g826 +V\u000a +p6565 +tp6566 +a(g826 +Vdon't have the indicator variable on position 1 you have to tell the +p6567 +tp6568 +a(g345 +V`pluralize` +p6569 +tp6570 +a(g826 +V\u000a +p6571 +tp6572 +a(g826 +Vtag the correct variable name. +p6573 +tp6574 +a(g826 +V\u000a +p6575 +tp6576 +a(g826 +V\u000a +p6577 +tp6578 +a(g826 +VInside translatable blocks you cannot use blocks or expressions (however you can +p6579 +tp6580 +a(g826 +V\u000a +p6581 +tp6582 +a(g826 +Vstill use the +p6583 +tp6584 +a(g81 +V`` +p6585 +tp6586 +a(g81 +Vraw +p6587 +tp6588 +a(g81 +V`` +p6589 +tp6590 +a(g826 +V block which will work as expected). The variable +p6591 +tp6592 +a(g826 +V\u000a +p6593 +tp6594 +a(g826 +Vprint syntax ( +p6595 +tp6596 +a(g81 +V`` +p6597 +tp6598 +a(g81 +V{{ variablename }} +p6599 +tp6600 +a(g81 +V`` +p6601 +tp6602 +a(g826 +V) is the only way to insert the variables +p6603 +tp6604 +a(g826 +V\u000a +p6605 +tp6606 +a(g826 +Vdefined in the +p6607 +tp6608 +a(g81 +V`` +p6609 +tp6610 +a(g81 +Vtrans +p6611 +tp6612 +a(g81 +V`` +p6613 +tp6614 +a(g826 +V header. Filters must be applied in the header. +p6615 +tp6616 +a(g826 +V\u000a +p6617 +tp6618 +a(g826 +V\u000a +p6619 +tp6620 +a(g610 +V.. +p6621 +tp6622 +a(g826 +g1018 +tp6623 +a(g331 +Vadmonition +p6624 +tp6625 +a(g610 +V:: +p6626 +tp6627 +a(g826 +g1018 +tp6628 +a(g826 +Vnote +p6629 +tp6630 +a(g826 +V\u000a +p6631 +tp6632 +a(g826 +V\u000a +p6633 +tp6634 +a(g826 +V Please make sure that you always use pluralize blocks where required. +p6635 +tp6636 +a(g826 +V\u000a +p6637 +tp6638 +a(g826 +V Many languages have more complex plural forms than the English language. +p6639 +tp6640 +a(g826 +V\u000a +p6641 +tp6642 +a(g826 +V +p6643 +tp6644 +a(g826 +V\u000a +p6645 +tp6646 +a(g826 +V Never try to workaround that issue by using something like this +p6647 +tp6648 +a(g826 +g1010 +tp6649 +a(g826 +V\u000a +p6650 +tp6651 +a(g826 +V\u000a +p6652 +tp6653 +a(g610 +V .. +p6654 +tp6655 +a(g826 +g1018 +tp6656 +a(g331 +Vsourcecode +p6657 +tp6658 +a(g610 +V:: +p6659 +tp6660 +a(g826 +g1018 +tp6661 +a(g744 +Vjinja +p6662 +tp6663 +a(g826 +V\u000a\u000a +p6664 +tp6665 +a(g655 +g1029 +tp6666 +a(g826 +V +p6667 +tp6668 +a(g655 +V{% +p6669 +tp6670 +a(g826 +g1018 +tp6671 +a(g744 +Vif +p6672 +tp6673 +a(g826 +g1018 +tp6674 +a(g345 +Vcount +p6675 +tp6676 +a(g826 +g1018 +tp6677 +a(g317 +V!= +p6678 +tp6679 +a(g826 +g1018 +tp6680 +a(g22 +g3824 +tp6681 +a(g826 +g1018 +tp6682 +a(g655 +V%} +p6683 +tp6684 +a(g719 +V\u000a +p6685 +tp6686 +a(g826 +V +p6687 +tp6688 +a(g719 +V +p6689 +tp6690 +a(g655 +V{{ +p6691 +tp6692 +a(g826 +g1018 +tp6693 +a(g345 +Vcount +p6694 +tp6695 +a(g826 +g1018 +tp6696 +a(g655 +V}} +p6697 +tp6698 +a(g719 +V users found.\u000a +p6699 +tp6700 +a(g826 +V +p6701 +tp6702 +a(g719 +g1029 +tp6703 +a(g655 +V{% +p6704 +tp6705 +a(g826 +g1018 +tp6706 +a(g744 +Velse +p6707 +tp6708 +a(g826 +g1018 +tp6709 +a(g655 +V%} +p6710 +tp6711 +a(g719 +V\u000a +p6712 +tp6713 +a(g826 +V +p6714 +tp6715 +a(g719 +V one user found.\u000a +p6716 +tp6717 +a(g826 +V +p6718 +tp6719 +a(g719 +g1029 +tp6720 +a(g655 +V{% +p6721 +tp6722 +a(g826 +g1018 +tp6723 +a(g744 +Vendif +p6724 +tp6725 +a(g826 +g1018 +tp6726 +a(g655 +V%} +p6727 +tp6728 +a(g719 +V\u000a\u000a +p6729 +tp6730 +a(g610 +V.. +p6731 +tp6732 +a(g826 +g1018 +tp6733 +a(g462 +V_slicing chapter: +p6734 +tp6735 +a(g826 +V http +p6736 +tp6737 +a(g826 +g1010 +tp6738 +a(g826 +V//diveintopython.org/native_data_types/lists.html#odbchelper.list.slice +p6739 +tp6740 +a(g826 +V\u000a +p6741 +tp6742 +a(g610 +V.. +p6743 +tp6744 +a(g826 +g1018 +tp6745 +a(g462 +V_range function: +p6746 +tp6747 +a(g826 +V http +p6748 +tp6749 +a(g826 +g1010 +tp6750 +a(g826 +V//docs.python.org/tut/node6.html#SECTION006300000000000000000 +p6751 +tp6752 +a(g826 +V\u000a +p6753 +tp6754 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/json.lasso b/tests/examplefiles/output/json.lasso new file mode 100644 index 0000000..1c0362d --- /dev/null +++ b/tests/examplefiles/output/json.lasso @@ -0,0 +1,16277 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Other' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sg4 +g1 +(g2 +g3 +(g14 +g4 +tp57 +tp58 +Rp59 +(dp60 +g9 +g39 +sg29 +g30 +((lp61 +tp62 +Rp63 +sbsS'Char' +p64 +g1 +(g2 +g3 +(g14 +g64 +tp65 +tp66 +Rp67 +(dp68 +g9 +g39 +sg29 +g30 +((lp69 +tp70 +Rp71 +sbsS'String' +p72 +g1 +(g2 +g3 +(g14 +g72 +tp73 +tp74 +Rp75 +(dp76 +g64 +g1 +(g2 +g3 +(g14 +g72 +g64 +tp77 +tp78 +Rp79 +(dp80 +g9 +g75 +sg29 +g30 +((lp81 +tp82 +Rp83 +sbsS'Backtick' +p84 +g1 +(g2 +g3 +(g14 +g72 +g84 +tp85 +tp86 +Rp87 +(dp88 +g9 +g75 +sg29 +g30 +((lp89 +tp90 +Rp91 +sbsS'Heredoc' +p92 +g1 +(g2 +g3 +(g14 +g72 +g92 +tp93 +tp94 +Rp95 +(dp96 +g9 +g75 +sg29 +g30 +((lp97 +tp98 +Rp99 +sbsS'Symbol' +p100 +g1 +(g2 +g3 +(g14 +g72 +g100 +tp101 +tp102 +Rp103 +(dp104 +g9 +g75 +sg29 +g30 +((lp105 +tp106 +Rp107 +sbsS'Interpol' +p108 +g1 +(g2 +g3 +(g14 +g72 +g108 +tp109 +tp110 +Rp111 +(dp112 +g9 +g75 +sg29 +g30 +((lp113 +tp114 +Rp115 +sbsS'Delimiter' +p116 +g1 +(g2 +g3 +(g14 +g72 +g116 +tp117 +tp118 +Rp119 +(dp120 +g9 +g75 +sg29 +g30 +((lp121 +tp122 +Rp123 +sbsS'Boolean' +p124 +g1 +(g2 +g3 +(g14 +g72 +g124 +tp125 +tp126 +Rp127 +(dp128 +g9 +g75 +sg29 +g30 +((lp129 +tp130 +Rp131 +sbsS'Character' +p132 +g1 +(g2 +g3 +(g14 +g72 +g132 +tp133 +tp134 +Rp135 +(dp136 +g9 +g75 +sg29 +g30 +((lp137 +tp138 +Rp139 +sbsS'Double' +p140 +g1 +(g2 +g3 +(g14 +g72 +g140 +tp141 +tp142 +Rp143 +(dp144 +g9 +g75 +sg29 +g30 +((lp145 +tp146 +Rp147 +sbsS'Delimeter' +p148 +g1 +(g2 +g3 +(g14 +g72 +g148 +tp149 +tp150 +Rp151 +(dp152 +g9 +g75 +sg29 +g30 +((lp153 +tp154 +Rp155 +sbsS'Atom' +p156 +g1 +(g2 +g3 +(g14 +g72 +g156 +tp157 +tp158 +Rp159 +(dp160 +g9 +g75 +sg29 +g30 +((lp161 +tp162 +Rp163 +sbsS'Affix' +p164 +g1 +(g2 +g3 +(g14 +g72 +g164 +tp165 +tp166 +Rp167 +(dp168 +g9 +g75 +sg29 +g30 +((lp169 +tp170 +Rp171 +sbsS'Name' +p172 +g1 +(g2 +g3 +(g14 +g72 +g172 +tp173 +tp174 +Rp175 +(dp176 +g9 +g75 +sg29 +g30 +((lp177 +tp178 +Rp179 +sbsS'Regex' +p180 +g1 +(g2 +g3 +(g14 +g72 +g180 +tp181 +tp182 +Rp183 +(dp184 +g9 +g75 +sg29 +g30 +((lp185 +tp186 +Rp187 +sbsS'Interp' +p188 +g1 +(g2 +g3 +(g14 +g72 +g188 +tp189 +tp190 +Rp191 +(dp192 +g9 +g75 +sg29 +g30 +((lp193 +tp194 +Rp195 +sbsS'Escape' +p196 +g1 +(g2 +g3 +(g14 +g72 +g196 +tp197 +tp198 +Rp199 +(dp200 +g9 +g75 +sg29 +g30 +((lp201 +tp202 +Rp203 +sbsg29 +g30 +((lp204 +g119 +ag103 +ag183 +ag1 +(g2 +g3 +(g14 +g72 +S'Doc' +p205 +tp206 +tp207 +Rp208 +(dp209 +g9 +g75 +sg29 +g30 +((lp210 +tp211 +Rp212 +sbag135 +ag127 +ag143 +ag111 +ag159 +ag151 +ag175 +ag199 +ag1 +(g2 +g3 +(g14 +g72 +S'Single' +p213 +tp214 +tp215 +Rp216 +(dp217 +g9 +g75 +sg29 +g30 +((lp218 +tp219 +Rp220 +sbag1 +(g2 +g3 +(g14 +g72 +g4 +tp221 +tp222 +Rp223 +(dp224 +g9 +g75 +sg29 +g30 +((lp225 +tp226 +Rp227 +sbag191 +ag87 +ag167 +ag1 +(g2 +g3 +(g14 +g72 +S'Moment' +p228 +tp229 +tp230 +Rp231 +(dp232 +g9 +g75 +sg29 +g30 +((lp233 +tp234 +Rp235 +sbag79 +ag95 +atp236 +Rp237 +sg213 +g216 +sg228 +g231 +sg9 +g39 +sg4 +g223 +sg205 +g208 +sbsg29 +g30 +((lp238 +g75 +ag67 +ag59 +ag1 +(g2 +g3 +(g14 +S'Date' +p239 +tp240 +tp241 +Rp242 +(dp243 +g9 +g39 +sg29 +g30 +((lp244 +tp245 +Rp246 +sbag44 +ag17 +atp247 +Rp248 +sg239 +g242 +sbsS'Bin' +p249 +g1 +(g2 +g3 +(g14 +g13 +g249 +tp250 +tp251 +Rp252 +(dp253 +g9 +g17 +sg29 +g30 +((lp254 +tp255 +Rp256 +sbsS'Radix' +p257 +g1 +(g2 +g3 +(g14 +g13 +g257 +tp258 +tp259 +Rp260 +(dp261 +g9 +g17 +sg29 +g30 +((lp262 +tp263 +Rp264 +sbsS'Oct' +p265 +g1 +(g2 +g3 +(g14 +g13 +g265 +tp266 +tp267 +Rp268 +(dp269 +g9 +g17 +sg29 +g30 +((lp270 +tp271 +Rp272 +sbsS'Dec' +p273 +g1 +(g2 +g3 +(g14 +g13 +g273 +tp274 +tp275 +Rp276 +(dp277 +g9 +g17 +sg29 +g30 +((lp278 +tp279 +Rp280 +sbsS'Hex' +p281 +g1 +(g2 +g3 +(g14 +g13 +g281 +tp282 +tp283 +Rp284 +(dp285 +g9 +g17 +sg29 +g30 +((lp286 +tp287 +Rp288 +sbsg29 +g30 +((lp289 +g22 +ag260 +ag276 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p290 +tp291 +tp292 +Rp293 +(dp294 +g9 +g17 +sg29 +g30 +((lp295 +tp296 +Rp297 +sbag252 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p298 +tp299 +tp300 +Rp301 +(dp302 +g9 +g17 +sg29 +g30 +((lp303 +tp304 +Rp305 +sbag268 +ag284 +atp306 +Rp307 +sg290 +g293 +sg298 +g301 +sbsS'Generic' +p308 +g1 +(g2 +g3 +(g308 +tp309 +tp310 +Rp311 +(dp312 +g9 +g11 +sS'Deleted' +p313 +g1 +(g2 +g3 +(g308 +g313 +tp314 +tp315 +Rp316 +(dp317 +g9 +g311 +sg29 +g30 +((lp318 +tp319 +Rp320 +sbsS'Subheading' +p321 +g1 +(g2 +g3 +(g308 +g321 +tp322 +tp323 +Rp324 +(dp325 +g9 +g311 +sg29 +g30 +((lp326 +tp327 +Rp328 +sbsS'Heading' +p329 +g1 +(g2 +g3 +(g308 +g329 +tp330 +tp331 +Rp332 +(dp333 +g9 +g311 +sg29 +g30 +((lp334 +tp335 +Rp336 +sbsS'Emph' +p337 +g1 +(g2 +g3 +(g308 +g337 +tp338 +tp339 +Rp340 +(dp341 +g9 +g311 +sg29 +g30 +((lp342 +tp343 +Rp344 +sbsS'Prompt' +p345 +g1 +(g2 +g3 +(g308 +g345 +tp346 +tp347 +Rp348 +(dp349 +g9 +g311 +sg29 +g30 +((lp350 +tp351 +Rp352 +sbsS'Inserted' +p353 +g1 +(g2 +g3 +(g308 +g353 +tp354 +tp355 +Rp356 +(dp357 +g9 +g311 +sg29 +g30 +((lp358 +tp359 +Rp360 +sbsS'Strong' +p361 +g1 +(g2 +g3 +(g308 +g361 +tp362 +tp363 +Rp364 +(dp365 +g9 +g311 +sg29 +g30 +((lp366 +tp367 +Rp368 +sbsS'Error' +p369 +g1 +(g2 +g3 +(g308 +g369 +tp370 +tp371 +Rp372 +(dp373 +g9 +g311 +sg29 +g30 +((lp374 +tp375 +Rp376 +sbsS'Traceback' +p377 +g1 +(g2 +g3 +(g308 +g377 +tp378 +tp379 +Rp380 +(dp381 +g9 +g311 +sg29 +g30 +((lp382 +tp383 +Rp384 +sbsg29 +g30 +((lp385 +g332 +ag324 +ag1 +(g2 +g3 +(g308 +S'Output' +p386 +tp387 +tp388 +Rp389 +(dp390 +g9 +g311 +sg29 +g30 +((lp391 +tp392 +Rp393 +sbag364 +ag340 +ag372 +ag380 +ag356 +ag348 +ag316 +atp394 +Rp395 +sg386 +g389 +sbsS'Operator' +p396 +g1 +(g2 +g3 +(g396 +tp397 +tp398 +Rp399 +(dp400 +g9 +g11 +sS'DBS' +p401 +g1 +(g2 +g3 +(g396 +g401 +tp402 +tp403 +Rp404 +(dp405 +g9 +g399 +sg29 +g30 +((lp406 +tp407 +Rp408 +sbsg29 +g30 +((lp409 +g404 +ag1 +(g2 +g3 +(g396 +S'Word' +p410 +tp411 +tp412 +Rp413 +(dp414 +g9 +g399 +sg29 +g30 +((lp415 +tp416 +Rp417 +sbatp418 +Rp419 +sg410 +g413 +sbsg72 +g75 +sg172 +g1 +(g2 +g3 +(g172 +tp420 +tp421 +Rp422 +(dp423 +S'Variable' +p424 +g1 +(g2 +g3 +(g172 +g424 +tp425 +tp426 +Rp427 +(dp428 +g9 +g422 +sS'Class' +p429 +g1 +(g2 +g3 +(g172 +g424 +g429 +tp430 +tp431 +Rp432 +(dp433 +g9 +g427 +sg29 +g30 +((lp434 +tp435 +Rp436 +sbsS'Anonymous' +p437 +g1 +(g2 +g3 +(g172 +g424 +g437 +tp438 +tp439 +Rp440 +(dp441 +g9 +g427 +sg29 +g30 +((lp442 +tp443 +Rp444 +sbsS'Instance' +p445 +g1 +(g2 +g3 +(g172 +g424 +g445 +tp446 +tp447 +Rp448 +(dp449 +g9 +g427 +sg29 +g30 +((lp450 +tp451 +Rp452 +sbsS'Global' +p453 +g1 +(g2 +g3 +(g172 +g424 +g453 +tp454 +tp455 +Rp456 +(dp457 +g9 +g427 +sg29 +g30 +((lp458 +tp459 +Rp460 +sbsg29 +g30 +((lp461 +g440 +ag448 +ag1 +(g2 +g3 +(g172 +g424 +S'Magic' +p462 +tp463 +tp464 +Rp465 +(dp466 +g9 +g427 +sg29 +g30 +((lp467 +tp468 +Rp469 +sbag456 +ag432 +atp470 +Rp471 +sg462 +g465 +sbsg396 +g1 +(g2 +g3 +(g172 +g396 +tp472 +tp473 +Rp474 +(dp475 +g9 +g422 +sg29 +g30 +((lp476 +tp477 +Rp478 +sbsS'Decorator' +p479 +g1 +(g2 +g3 +(g172 +g479 +tp480 +tp481 +Rp482 +(dp483 +g9 +g422 +sg29 +g30 +((lp484 +tp485 +Rp486 +sbsS'Entity' +p487 +g1 +(g2 +g3 +(g172 +g487 +tp488 +tp489 +Rp490 +(dp491 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g487 +g401 +tp492 +tp493 +Rp494 +(dp495 +g9 +g490 +sg29 +g30 +((lp496 +tp497 +Rp498 +sbsg29 +g30 +((lp499 +g494 +atp500 +Rp501 +sbsg100 +g1 +(g2 +g3 +(g172 +g100 +tp502 +tp503 +Rp504 +(dp505 +g9 +g422 +sg29 +g30 +((lp506 +tp507 +Rp508 +sbsS'Property' +p509 +g1 +(g2 +g3 +(g172 +g509 +tp510 +tp511 +Rp512 +(dp513 +g9 +g422 +sg29 +g30 +((lp514 +tp515 +Rp516 +sbsS'Pseudo' +p517 +g1 +(g2 +g3 +(g172 +g517 +tp518 +tp519 +Rp520 +(dp521 +g9 +g422 +sg29 +g30 +((lp522 +tp523 +Rp524 +sbsS'Type' +p525 +g1 +(g2 +g3 +(g172 +g525 +tp526 +tp527 +Rp528 +(dp529 +g9 +g422 +sg29 +g30 +((lp530 +tp531 +Rp532 +sbsS'Classes' +p533 +g1 +(g2 +g3 +(g172 +g533 +tp534 +tp535 +Rp536 +(dp537 +g9 +g422 +sg29 +g30 +((lp538 +tp539 +Rp540 +sbsS'Tag' +p541 +g1 +(g2 +g3 +(g172 +g541 +tp542 +tp543 +Rp544 +(dp545 +g9 +g422 +sg29 +g30 +((lp546 +tp547 +Rp548 +sbsS'Constant' +p549 +g1 +(g2 +g3 +(g172 +g549 +tp550 +tp551 +Rp552 +(dp553 +g9 +g422 +sg29 +g30 +((lp554 +tp555 +Rp556 +sbsS'Function' +p557 +g1 +(g2 +g3 +(g172 +g557 +tp558 +tp559 +Rp560 +(dp561 +g9 +g422 +sg29 +g30 +((lp562 +g1 +(g2 +g3 +(g172 +g557 +g462 +tp563 +tp564 +Rp565 +(dp566 +g9 +g560 +sg29 +g30 +((lp567 +tp568 +Rp569 +sbatp570 +Rp571 +sg462 +g565 +sbsS'Blubb' +p572 +g1 +(g2 +g3 +(g172 +g572 +tp573 +tp574 +Rp575 +(dp576 +g9 +g422 +sg29 +g30 +((lp577 +tp578 +Rp579 +sbsS'Label' +p580 +g1 +(g2 +g3 +(g172 +g580 +tp581 +tp582 +Rp583 +(dp584 +g9 +g422 +sg29 +g30 +((lp585 +tp586 +Rp587 +sbsS'Field' +p588 +g1 +(g2 +g3 +(g172 +g588 +tp589 +tp590 +Rp591 +(dp592 +g9 +g422 +sg29 +g30 +((lp593 +tp594 +Rp595 +sbsS'Exception' +p596 +g1 +(g2 +g3 +(g172 +g596 +tp597 +tp598 +Rp599 +(dp600 +g9 +g422 +sg29 +g30 +((lp601 +tp602 +Rp603 +sbsS'Namespace' +p604 +g1 +(g2 +g3 +(g172 +g604 +tp605 +tp606 +Rp607 +(dp608 +g9 +g422 +sg29 +g30 +((lp609 +tp610 +Rp611 +sbsg29 +g30 +((lp612 +g482 +ag575 +ag520 +ag490 +ag427 +ag599 +ag512 +ag544 +ag560 +ag536 +ag1 +(g2 +g3 +(g172 +g429 +tp613 +tp614 +Rp615 +(dp616 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g429 +g401 +tp617 +tp618 +Rp619 +(dp620 +g9 +g615 +sg29 +g30 +((lp621 +tp622 +Rp623 +sbsg29 +g30 +((lp624 +g1 +(g2 +g3 +(g172 +g429 +S'Start' +p625 +tp626 +tp627 +Rp628 +(dp629 +g9 +g615 +sg29 +g30 +((lp630 +tp631 +Rp632 +sbag619 +atp633 +Rp634 +sg625 +g628 +sbag1 +(g2 +g3 +(g172 +g4 +tp635 +tp636 +Rp637 +(dp638 +g9 +g422 +sS'Member' +p639 +g1 +(g2 +g3 +(g172 +g4 +g639 +tp640 +tp641 +Rp642 +(dp643 +g9 +g637 +sg29 +g30 +((lp644 +tp645 +Rp646 +sbsg29 +g30 +((lp647 +g642 +atp648 +Rp649 +sbag583 +ag474 +ag607 +ag1 +(g2 +g3 +(g172 +S'Attribute' +p650 +tp651 +tp652 +Rp653 +(dp654 +g9 +g422 +sg424 +g1 +(g2 +g3 +(g172 +g650 +g424 +tp655 +tp656 +Rp657 +(dp658 +g9 +g653 +sg29 +g30 +((lp659 +tp660 +Rp661 +sbsg29 +g30 +((lp662 +g657 +atp663 +Rp664 +sbag552 +ag1 +(g2 +g3 +(g172 +S'Builtin' +p665 +tp666 +tp667 +Rp668 +(dp669 +g9 +g422 +sg525 +g1 +(g2 +g3 +(g172 +g665 +g525 +tp670 +tp671 +Rp672 +(dp673 +g9 +g668 +sg29 +g30 +((lp674 +tp675 +Rp676 +sbsg29 +g30 +((lp677 +g1 +(g2 +g3 +(g172 +g665 +g517 +tp678 +tp679 +Rp680 +(dp681 +g9 +g668 +sg29 +g30 +((lp682 +tp683 +Rp684 +sbag672 +atp685 +Rp686 +sg517 +g680 +sbag591 +ag528 +ag504 +atp687 +Rp688 +sg9 +g11 +sg429 +g615 +sg665 +g668 +sg650 +g653 +sg4 +g637 +sbsS'Punctuation' +p689 +g1 +(g2 +g3 +(g689 +tp690 +tp691 +Rp692 +(dp693 +g9 +g11 +sg29 +g30 +((lp694 +g1 +(g2 +g3 +(g689 +S'Indicator' +p695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g692 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbatp703 +Rp704 +sg695 +g698 +sbsS'Comment' +p705 +g1 +(g2 +g3 +(g705 +tp706 +tp707 +Rp708 +(dp709 +S'Multi' +p710 +g1 +(g2 +g3 +(g705 +g710 +tp711 +tp712 +Rp713 +(dp714 +g9 +g708 +sg29 +g30 +((lp715 +tp716 +Rp717 +sbsg9 +g11 +sS'Special' +p718 +g1 +(g2 +g3 +(g705 +g718 +tp719 +tp720 +Rp721 +(dp722 +g9 +g708 +sg29 +g30 +((lp723 +tp724 +Rp725 +sbsS'Hashbang' +p726 +g1 +(g2 +g3 +(g705 +g726 +tp727 +tp728 +Rp729 +(dp730 +g9 +g708 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Preproc' +p734 +g1 +(g2 +g3 +(g705 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g708 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg213 +g1 +(g2 +g3 +(g705 +g213 +tp742 +tp743 +Rp744 +(dp745 +g9 +g708 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Directive' +p749 +g1 +(g2 +g3 +(g705 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g708 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsg205 +g1 +(g2 +g3 +(g705 +g205 +tp757 +tp758 +Rp759 +(dp760 +g9 +g708 +sg29 +g30 +((lp761 +tp762 +Rp763 +sbsS'Singleline' +p764 +g1 +(g2 +g3 +(g705 +g764 +tp765 +tp766 +Rp767 +(dp768 +g9 +g708 +sg29 +g30 +((lp769 +tp770 +Rp771 +sbsS'Multiline' +p772 +g1 +(g2 +g3 +(g705 +g772 +tp773 +tp774 +Rp775 +(dp776 +g9 +g708 +sg29 +g30 +((lp777 +tp778 +Rp779 +sbsg29 +g30 +((lp780 +g759 +ag752 +ag729 +ag713 +ag767 +ag737 +ag775 +ag744 +ag1 +(g2 +g3 +(g705 +S'PreprocFile' +p781 +tp782 +tp783 +Rp784 +(dp785 +g9 +g708 +sg29 +g30 +((lp786 +tp787 +Rp788 +sbag1 +(g2 +g3 +(g705 +S'SingleLine' +p789 +tp790 +tp791 +Rp792 +(dp793 +g9 +g708 +sg29 +g30 +((lp794 +tp795 +Rp796 +sbag721 +atp797 +Rp798 +sg781 +g784 +sg789 +g792 +sbsg14 +g39 +sg4 +g7 +sg369 +g1 +(g2 +g3 +(g369 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g11 +sg196 +g1 +(g2 +g3 +(g196 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsg29 +g30 +((lp814 +g422 +ag7 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g9 +g11 +sg525 +g1 +(g2 +g3 +(g815 +g525 +tp820 +tp821 +Rp822 +(dp823 +g9 +g818 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g9 +g818 +sg29 +g30 +((lp832 +tp833 +Rp834 +sbsg549 +g1 +(g2 +g3 +(g815 +g549 +tp835 +tp836 +Rp837 +(dp838 +g9 +g818 +sg29 +g30 +((lp839 +tp840 +Rp841 +sbsg604 +g1 +(g2 +g3 +(g815 +g604 +tp842 +tp843 +Rp844 +(dp845 +g9 +g818 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g9 +g818 +sg29 +g30 +((lp854 +tp855 +Rp856 +sbsg517 +g1 +(g2 +g3 +(g815 +g517 +tp857 +tp858 +Rp859 +(dp860 +g9 +g818 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g9 +g818 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbsg29 +g30 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g410 +tp873 +tp874 +Rp875 +(dp876 +g9 +g818 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g9 +g818 +sg29 +g30 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g9 +g818 +sg29 +g30 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg410 +g875 +sbag311 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g900 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g900 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg9 +g11 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g9 +g900 +sg29 +g30 +((lp923 +tp924 +Rp925 +sbsg100 +g1 +(g2 +g3 +(g897 +g100 +tp926 +tp927 +Rp928 +(dp929 +g9 +g900 +sg29 +g30 +((lp930 +tp931 +Rp932 +sbsg689 +g1 +(g2 +g3 +(g897 +g689 +tp933 +tp934 +Rp935 +(dp936 +g9 +g900 +sg29 +g30 +((lp937 +tp938 +Rp939 +sbsg29 +g30 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g9 +g900 +sg29 +g30 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag399 +ag809 +ag692 +ag708 +ag801 +ag39 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg29 +g30 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g737 +V\u000a +p978 +tp979 +a(g900 +g964 +tp980 +a(g744 +V// \u000a +p981 +tp982 +a(g900 +g964 +tp983 +a(g744 +V// \u000a +p984 +tp985 +a(g900 +g964 +tp986 +a(g744 +V//\u000a +p987 +tp988 +a(g900 +V \u000a +p989 +tp990 +a(g818 +VIf +p991 +tp992 +a(g692 +V: +p993 +tp994 +a(g900 +V +p995 +tp996 +a(g692 +V( +p997 +tp998 +a(g668 +VLasso_TagExists +p999 +tp1000 +a(g692 +g993 +tp1001 +a(g900 +g995 +tp1002 +a(g216 +V' +p1003 +tp1004 +a(g216 +VEncode_JSON +p1005 +tp1006 +a(g216 +g1003 +tp1007 +a(g692 +V) +p1008 +tp1009 +a(g900 +g995 +tp1010 +a(g399 +V== +p1011 +tp1012 +a(g900 +g995 +tp1013 +a(g837 +VFalse +p1014 +tp1015 +a(g692 +V; +p1016 +tp1017 +a(g900 +V\u000a\u000a +p1018 +tp1019 +a(g818 +VDefine_Tag +p1020 +tp1021 +a(g692 +g993 +tp1022 +a(g900 +g995 +tp1023 +a(g216 +g1003 +tp1024 +a(g216 +VJSON +p1025 +tp1026 +a(g216 +g1003 +tp1027 +a(g692 +V, +p1028 +tp1029 +a(g900 +g995 +tp1030 +a(g653 +V-Namespace +p1031 +tp1032 +a(g399 +V= +p1033 +tp1034 +a(g216 +g1003 +tp1035 +a(g216 +VEncode_ +p1036 +tp1037 +a(g216 +g1003 +tp1038 +a(g692 +g1028 +tp1039 +a(g900 +g995 +tp1040 +a(g653 +V-Required +p1041 +tp1042 +a(g399 +g1033 +tp1043 +a(g216 +g1003 +tp1044 +a(g216 +Vvalue +p1045 +tp1046 +a(g216 +g1003 +tp1047 +a(g692 +g1028 +tp1048 +a(g900 +g995 +tp1049 +a(g653 +V-Optional +p1050 +tp1051 +a(g399 +g1033 +tp1052 +a(g216 +g1003 +tp1053 +a(g216 +Voptions +p1054 +tp1055 +a(g216 +g1003 +tp1056 +a(g692 +g1016 +tp1057 +a(g900 +V\u000a \u000a +p1058 +tp1059 +a(g883 +VLocal +p1060 +tp1061 +a(g692 +g993 +tp1062 +a(g900 +g995 +tp1063 +a(g216 +g1003 +tp1064 +a(g216 +Vescapes +p1065 +tp1066 +a(g216 +g1003 +tp1067 +a(g900 +g995 +tp1068 +a(g399 +g1033 +tp1069 +a(g900 +g995 +tp1070 +a(g822 +VMap +p1071 +tp1072 +a(g692 +g997 +tp1073 +a(g216 +g1003 +tp1074 +a(g199 +V\u005c\u005c +p1075 +tp1076 +a(g216 +g1003 +tp1077 +a(g900 +g995 +tp1078 +a(g399 +g1033 +tp1079 +a(g900 +g995 +tp1080 +a(g216 +g1003 +tp1081 +a(g199 +V\u005c\u005c +p1082 +tp1083 +a(g216 +g1003 +tp1084 +a(g692 +g1028 +tp1085 +a(g900 +g995 +tp1086 +a(g216 +g1003 +tp1087 +a(g216 +V" +p1088 +tp1089 +a(g216 +g1003 +tp1090 +a(g900 +V +p1091 +tp1092 +a(g399 +g1033 +tp1093 +a(g900 +g995 +tp1094 +a(g216 +g1003 +tp1095 +a(g216 +g1088 +tp1096 +a(g216 +g1003 +tp1097 +a(g692 +g1028 +tp1098 +a(g900 +g995 +tp1099 +a(g216 +g1003 +tp1100 +a(g199 +V\u005cr +p1101 +tp1102 +a(g216 +g1003 +tp1103 +a(g900 +g995 +tp1104 +a(g399 +g1033 +tp1105 +a(g900 +g995 +tp1106 +a(g216 +g1003 +tp1107 +a(g216 +Vr +p1108 +tp1109 +a(g216 +g1003 +tp1110 +a(g692 +g1028 +tp1111 +a(g900 +g995 +tp1112 +a(g216 +g1003 +tp1113 +a(g199 +V\u005cn +p1114 +tp1115 +a(g216 +g1003 +tp1116 +a(g900 +g995 +tp1117 +a(g399 +g1033 +tp1118 +a(g900 +g995 +tp1119 +a(g216 +g1003 +tp1120 +a(g216 +Vn +p1121 +tp1122 +a(g216 +g1003 +tp1123 +a(g692 +g1028 +tp1124 +a(g900 +g995 +tp1125 +a(g216 +g1003 +tp1126 +a(g199 +V\u005ct +p1127 +tp1128 +a(g216 +g1003 +tp1129 +a(g900 +g995 +tp1130 +a(g399 +g1033 +tp1131 +a(g900 +g995 +tp1132 +a(g216 +g1003 +tp1133 +a(g216 +Vt +p1134 +tp1135 +a(g216 +g1003 +tp1136 +a(g692 +g1028 +tp1137 +a(g900 +g995 +tp1138 +a(g216 +g1003 +tp1139 +a(g199 +V\u005cf +p1140 +tp1141 +a(g216 +g1003 +tp1142 +a(g900 +g995 +tp1143 +a(g399 +g1033 +tp1144 +a(g900 +g995 +tp1145 +a(g216 +g1003 +tp1146 +a(g216 +Vf +p1147 +tp1148 +a(g216 +g1003 +tp1149 +a(g692 +g1028 +tp1150 +a(g900 +g995 +tp1151 +a(g216 +g1003 +tp1152 +a(g199 +V\u005cb +p1153 +tp1154 +a(g216 +g1003 +tp1155 +a(g900 +g995 +tp1156 +a(g399 +g1033 +tp1157 +a(g900 +g995 +tp1158 +a(g216 +g1003 +tp1159 +a(g216 +Vb +p1160 +tp1161 +a(g216 +g1003 +tp1162 +a(g692 +g1008 +tp1163 +a(g692 +g1016 +tp1164 +a(g900 +V\u000a +p1165 +tp1166 +a(g883 +VLocal +p1167 +tp1168 +a(g692 +g993 +tp1169 +a(g900 +g995 +tp1170 +a(g216 +g1003 +tp1171 +a(g216 +Voutput +p1172 +tp1173 +a(g216 +g1003 +tp1174 +a(g900 +g995 +tp1175 +a(g399 +g1033 +tp1176 +a(g900 +g995 +tp1177 +a(g216 +g1003 +tp1178 +a(g216 +g1003 +tp1179 +a(g692 +g1016 +tp1180 +a(g900 +V\u000a +p1181 +tp1182 +a(g883 +VLocal +p1183 +tp1184 +a(g692 +g993 +tp1185 +a(g900 +g995 +tp1186 +a(g216 +g1003 +tp1187 +a(g216 +Vnewoptions +p1188 +tp1189 +a(g216 +g1003 +tp1190 +a(g900 +g995 +tp1191 +a(g399 +g1033 +tp1192 +a(g900 +g995 +tp1193 +a(g692 +g997 +tp1194 +a(g822 +VArray +p1195 +tp1196 +a(g692 +g993 +tp1197 +a(g900 +g995 +tp1198 +a(g653 +V-Internal +p1199 +tp1200 +a(g692 +g1008 +tp1201 +a(g692 +g1016 +tp1202 +a(g900 +V\u000a +p1203 +tp1204 +a(g818 +VIf +p1205 +tp1206 +a(g692 +g993 +tp1207 +a(g900 +g995 +tp1208 +a(g399 +V! +p1209 +tp1210 +a(g692 +g997 +tp1211 +a(g668 +VLocal_Defined +p1212 +tp1213 +a(g692 +g993 +tp1214 +a(g900 +g995 +tp1215 +a(g216 +g1003 +tp1216 +a(g216 +Voptions +p1217 +tp1218 +a(g216 +g1003 +tp1219 +a(g692 +g1008 +tp1220 +a(g900 +g995 +tp1221 +a(g399 +V|| +p1222 +tp1223 +a(g900 +g995 +tp1224 +a(g692 +g997 +tp1225 +a(g448 +V#options +p1226 +tp1227 +a(g399 +V-> +p1228 +tp1229 +a(g692 +g997 +tp1230 +a(g637 +VIsA +p1231 +tp1232 +a(g692 +g993 +tp1233 +a(g900 +g995 +tp1234 +a(g216 +g1003 +tp1235 +a(g216 +Varray +p1236 +tp1237 +a(g216 +g1003 +tp1238 +a(g692 +g1008 +tp1239 +a(g900 +g995 +tp1240 +a(g399 +V== +p1241 +tp1242 +a(g900 +g995 +tp1243 +a(g837 +VFalse +p1244 +tp1245 +a(g692 +g1008 +tp1246 +a(g692 +g1016 +tp1247 +a(g900 +V\u000a +p1248 +tp1249 +a(g883 +VLocal +p1250 +tp1251 +a(g692 +g993 +tp1252 +a(g900 +g995 +tp1253 +a(g216 +g1003 +tp1254 +a(g216 +Voptions +p1255 +tp1256 +a(g216 +g1003 +tp1257 +a(g900 +g995 +tp1258 +a(g399 +g1033 +tp1259 +a(g900 +g995 +tp1260 +a(g692 +g997 +tp1261 +a(g822 +VArray +p1262 +tp1263 +a(g692 +g1008 +tp1264 +a(g692 +g1016 +tp1265 +a(g900 +V\u000a +p1266 +tp1267 +a(g692 +V/ +p1268 +tp1269 +a(g818 +VIf +p1270 +tp1271 +a(g692 +g1016 +tp1272 +a(g900 +V\u000a +p1273 +tp1274 +a(g818 +VIf +p1275 +tp1276 +a(g692 +g993 +tp1277 +a(g900 +g995 +tp1278 +a(g692 +g997 +tp1279 +a(g448 +V#options +p1280 +tp1281 +a(g900 +g995 +tp1282 +a(g399 +V>> +p1283 +tp1284 +a(g900 +g995 +tp1285 +a(g653 +V-UseNative +p1286 +tp1287 +a(g692 +g1008 +tp1288 +a(g900 +g995 +tp1289 +a(g399 +V|| +p1290 +tp1291 +a(g900 +g995 +tp1292 +a(g692 +g997 +tp1293 +a(g818 +VParams +p1294 +tp1295 +a(g900 +g995 +tp1296 +a(g399 +V>> +p1297 +tp1298 +a(g900 +g995 +tp1299 +a(g653 +V-UseNative +p1300 +tp1301 +a(g692 +g1008 +tp1302 +a(g692 +g1016 +tp1303 +a(g900 +V\u000a +p1304 +tp1305 +a(g448 +V#newoptions +p1306 +tp1307 +a(g399 +V-> +p1308 +tp1309 +a(g692 +g997 +tp1310 +a(g637 +VInsert +p1311 +tp1312 +a(g692 +g993 +tp1313 +a(g900 +g995 +tp1314 +a(g653 +V-UseNative +p1315 +tp1316 +a(g692 +g1008 +tp1317 +a(g692 +g1016 +tp1318 +a(g900 +V\u000a +p1319 +tp1320 +a(g692 +g1268 +tp1321 +a(g818 +VIf +p1322 +tp1323 +a(g692 +g1016 +tp1324 +a(g900 +V\u000a +p1325 +tp1326 +a(g818 +VIf +p1327 +tp1328 +a(g692 +g993 +tp1329 +a(g900 +g995 +tp1330 +a(g692 +g997 +tp1331 +a(g448 +V#options +p1332 +tp1333 +a(g900 +g995 +tp1334 +a(g399 +V>> +p1335 +tp1336 +a(g900 +g995 +tp1337 +a(g653 +V-NoNative +p1338 +tp1339 +a(g692 +g1008 +tp1340 +a(g900 +g995 +tp1341 +a(g399 +V|| +p1342 +tp1343 +a(g900 +g995 +tp1344 +a(g692 +g997 +tp1345 +a(g818 +VParams +p1346 +tp1347 +a(g900 +g995 +tp1348 +a(g399 +V>> +p1349 +tp1350 +a(g900 +g995 +tp1351 +a(g653 +V-NoNative +p1352 +tp1353 +a(g692 +g1008 +tp1354 +a(g692 +g1016 +tp1355 +a(g900 +V\u000a +p1356 +tp1357 +a(g448 +V#newoptions +p1358 +tp1359 +a(g399 +V-> +p1360 +tp1361 +a(g692 +g997 +tp1362 +a(g637 +VInsert +p1363 +tp1364 +a(g692 +g993 +tp1365 +a(g900 +g995 +tp1366 +a(g653 +V-NoNative +p1367 +tp1368 +a(g692 +g1008 +tp1369 +a(g692 +g1016 +tp1370 +a(g900 +V\u000a +p1371 +tp1372 +a(g692 +g1268 +tp1373 +a(g818 +VIf +p1374 +tp1375 +a(g692 +g1016 +tp1376 +a(g900 +V\u000a +p1377 +tp1378 +a(g818 +VIf +p1379 +tp1380 +a(g692 +g993 +tp1381 +a(g900 +g995 +tp1382 +a(g692 +g997 +tp1383 +a(g448 +V#options +p1384 +tp1385 +a(g900 +g995 +tp1386 +a(g399 +V!>> +p1387 +tp1388 +a(g900 +g995 +tp1389 +a(g653 +V-UseNative +p1390 +tp1391 +a(g692 +g1008 +tp1392 +a(g900 +g995 +tp1393 +a(g399 +V&& +p1394 +tp1395 +a(g900 +g995 +tp1396 +a(g692 +g997 +tp1397 +a(g692 +g997 +tp1398 +a(g448 +V#value +p1399 +tp1400 +a(g399 +V-> +p1401 +tp1402 +a(g692 +g997 +tp1403 +a(g637 +VIsA +p1404 +tp1405 +a(g692 +g993 +tp1406 +a(g900 +g995 +tp1407 +a(g216 +g1003 +tp1408 +a(g216 +Vset +p1409 +tp1410 +a(g216 +g1003 +tp1411 +a(g692 +g1008 +tp1412 +a(g692 +g1008 +tp1413 +a(g900 +g995 +tp1414 +a(g399 +V|| +p1415 +tp1416 +a(g900 +g995 +tp1417 +a(g692 +g997 +tp1418 +a(g448 +V#value +p1419 +tp1420 +a(g399 +V-> +p1421 +tp1422 +a(g692 +g997 +tp1423 +a(g637 +VIsA +p1424 +tp1425 +a(g692 +g993 +tp1426 +a(g900 +g995 +tp1427 +a(g216 +g1003 +tp1428 +a(g216 +Vlist +p1429 +tp1430 +a(g216 +g1003 +tp1431 +a(g692 +g1008 +tp1432 +a(g692 +g1008 +tp1433 +a(g900 +g995 +tp1434 +a(g399 +V|| +p1435 +tp1436 +a(g900 +g995 +tp1437 +a(g692 +g997 +tp1438 +a(g448 +V#value +p1439 +tp1440 +a(g399 +V-> +p1441 +tp1442 +a(g692 +g997 +tp1443 +a(g637 +VIsA +p1444 +tp1445 +a(g692 +g993 +tp1446 +a(g900 +g995 +tp1447 +a(g216 +g1003 +tp1448 +a(g216 +Vqueue +p1449 +tp1450 +a(g216 +g1003 +tp1451 +a(g692 +g1008 +tp1452 +a(g692 +g1008 +tp1453 +a(g900 +g995 +tp1454 +a(g399 +V|| +p1455 +tp1456 +a(g900 +g995 +tp1457 +a(g692 +g997 +tp1458 +a(g448 +V#value +p1459 +tp1460 +a(g399 +V-> +p1461 +tp1462 +a(g692 +g997 +tp1463 +a(g637 +VIsA +p1464 +tp1465 +a(g692 +g993 +tp1466 +a(g900 +g995 +tp1467 +a(g216 +g1003 +tp1468 +a(g216 +Vpriorityqueue +p1469 +tp1470 +a(g216 +g1003 +tp1471 +a(g692 +g1008 +tp1472 +a(g692 +g1008 +tp1473 +a(g900 +g995 +tp1474 +a(g399 +V|| +p1475 +tp1476 +a(g900 +g995 +tp1477 +a(g692 +g997 +tp1478 +a(g448 +V#value +p1479 +tp1480 +a(g399 +V-> +p1481 +tp1482 +a(g692 +g997 +tp1483 +a(g637 +VIsA +p1484 +tp1485 +a(g692 +g993 +tp1486 +a(g900 +g995 +tp1487 +a(g216 +g1003 +tp1488 +a(g216 +Vstack +p1489 +tp1490 +a(g216 +g1003 +tp1491 +a(g692 +g1008 +tp1492 +a(g692 +g1008 +tp1493 +a(g692 +g1008 +tp1494 +a(g692 +g1016 +tp1495 +a(g900 +V\u000a +p1496 +tp1497 +a(g448 +V#output +p1498 +tp1499 +a(g900 +g995 +tp1500 +a(g399 +V+= +p1501 +tp1502 +a(g900 +g995 +tp1503 +a(g692 +g997 +tp1504 +a(g668 +VEncode_JSON +p1505 +tp1506 +a(g692 +g993 +tp1507 +a(g900 +g995 +tp1508 +a(g822 +VArray +p1509 +tp1510 +a(g399 +V-> +p1511 +tp1512 +a(g692 +g997 +tp1513 +a(g637 +Vinsertfrom +p1514 +tp1515 +a(g692 +g993 +tp1516 +a(g900 +g995 +tp1517 +a(g448 +V#value +p1518 +tp1519 +a(g399 +V-> +p1520 +tp1521 +a(g668 +Viterator +p1522 +tp1523 +a(g692 +g1008 +tp1524 +a(g900 +g995 +tp1525 +a(g399 +V& +p1526 +tp1527 +a(g692 +g1028 +tp1528 +a(g900 +g995 +tp1529 +a(g653 +V-Options +p1530 +tp1531 +a(g399 +g1033 +tp1532 +a(g448 +V#newoptions +p1533 +tp1534 +a(g692 +g1008 +tp1535 +a(g692 +g1016 +tp1536 +a(g900 +V\u000a +p1537 +tp1538 +a(g818 +VElse +p1539 +tp1540 +a(g692 +g993 +tp1541 +a(g900 +g995 +tp1542 +a(g692 +g997 +tp1543 +a(g448 +V#options +p1544 +tp1545 +a(g900 +g995 +tp1546 +a(g399 +V!>> +p1547 +tp1548 +a(g900 +g995 +tp1549 +a(g653 +V-UseNative +p1550 +tp1551 +a(g692 +g1008 +tp1552 +a(g900 +g995 +tp1553 +a(g399 +V&& +p1554 +tp1555 +a(g900 +g995 +tp1556 +a(g692 +g997 +tp1557 +a(g448 +V#value +p1558 +tp1559 +a(g399 +V-> +p1560 +tp1561 +a(g692 +g997 +tp1562 +a(g637 +VIsA +p1563 +tp1564 +a(g692 +g993 +tp1565 +a(g900 +g995 +tp1566 +a(g216 +g1003 +tp1567 +a(g216 +Vpair +p1568 +tp1569 +a(g216 +g1003 +tp1570 +a(g692 +g1008 +tp1571 +a(g692 +g1008 +tp1572 +a(g692 +g1016 +tp1573 +a(g900 +V\u000a +p1574 +tp1575 +a(g448 +V#output +p1576 +tp1577 +a(g900 +g995 +tp1578 +a(g399 +V+= +p1579 +tp1580 +a(g900 +g995 +tp1581 +a(g692 +g997 +tp1582 +a(g668 +VEncode_JSON +p1583 +tp1584 +a(g692 +g993 +tp1585 +a(g900 +g995 +tp1586 +a(g692 +g997 +tp1587 +a(g822 +VArray +p1588 +tp1589 +a(g692 +g993 +tp1590 +a(g900 +g995 +tp1591 +a(g448 +V#value +p1592 +tp1593 +a(g399 +V-> +p1594 +tp1595 +a(g668 +VFirst +p1596 +tp1597 +a(g692 +g1028 +tp1598 +a(g900 +g995 +tp1599 +a(g448 +V#value +p1600 +tp1601 +a(g399 +V-> +p1602 +tp1603 +a(g668 +VSecond +p1604 +tp1605 +a(g692 +g1008 +tp1606 +a(g692 +g1008 +tp1607 +a(g692 +g1016 +tp1608 +a(g900 +V\u000a +p1609 +tp1610 +a(g818 +VElse +p1611 +tp1612 +a(g692 +g993 +tp1613 +a(g900 +g995 +tp1614 +a(g692 +g997 +tp1615 +a(g448 +V#options +p1616 +tp1617 +a(g900 +g995 +tp1618 +a(g399 +V!>> +p1619 +tp1620 +a(g900 +g995 +tp1621 +a(g653 +V-Internal +p1622 +tp1623 +a(g692 +g1008 +tp1624 +a(g900 +g995 +tp1625 +a(g399 +V&& +p1626 +tp1627 +a(g900 +g995 +tp1628 +a(g692 +g997 +tp1629 +a(g448 +V#value +p1630 +tp1631 +a(g399 +V-> +p1632 +tp1633 +a(g692 +g997 +tp1634 +a(g637 +VIsa +p1635 +tp1636 +a(g692 +g993 +tp1637 +a(g900 +g995 +tp1638 +a(g216 +g1003 +tp1639 +a(g216 +Varray +p1640 +tp1641 +a(g216 +g1003 +tp1642 +a(g692 +g1008 +tp1643 +a(g900 +g995 +tp1644 +a(g399 +V== +p1645 +tp1646 +a(g900 +g995 +tp1647 +a(g837 +VFalse +p1648 +tp1649 +a(g692 +g1008 +tp1650 +a(g900 +g995 +tp1651 +a(g399 +V&& +p1652 +tp1653 +a(g900 +g995 +tp1654 +a(g692 +g997 +tp1655 +a(g448 +V#value +p1656 +tp1657 +a(g399 +V-> +p1658 +tp1659 +a(g692 +g997 +tp1660 +a(g637 +VIsA +p1661 +tp1662 +a(g692 +g993 +tp1663 +a(g900 +g995 +tp1664 +a(g216 +g1003 +tp1665 +a(g216 +Vmap +p1666 +tp1667 +a(g216 +g1003 +tp1668 +a(g692 +g1008 +tp1669 +a(g900 +g995 +tp1670 +a(g399 +V== +p1671 +tp1672 +a(g900 +g995 +tp1673 +a(g837 +VFalse +p1674 +tp1675 +a(g692 +g1008 +tp1676 +a(g692 +g1016 +tp1677 +a(g900 +V\u000a +p1678 +tp1679 +a(g448 +V#output +p1680 +tp1681 +a(g900 +g995 +tp1682 +a(g399 +V+= +p1683 +tp1684 +a(g900 +g995 +tp1685 +a(g216 +g1003 +tp1686 +a(g216 +V[ +p1687 +tp1688 +a(g216 +g1003 +tp1689 +a(g900 +g995 +tp1690 +a(g399 +V+ +p1691 +tp1692 +a(g900 +g995 +tp1693 +a(g692 +g997 +tp1694 +a(g668 +VEncode_JSON +p1695 +tp1696 +a(g692 +g993 +tp1697 +a(g900 +g995 +tp1698 +a(g448 +V#value +p1699 +tp1700 +a(g692 +g1028 +tp1701 +a(g900 +g995 +tp1702 +a(g653 +V-Options +p1703 +tp1704 +a(g399 +g1033 +tp1705 +a(g448 +V#newoptions +p1706 +tp1707 +a(g692 +g1008 +tp1708 +a(g900 +g995 +tp1709 +a(g399 +g1691 +tp1710 +a(g900 +g995 +tp1711 +a(g216 +g1003 +tp1712 +a(g216 +V] +p1713 +tp1714 +a(g216 +g1003 +tp1715 +a(g692 +g1016 +tp1716 +a(g900 +V\u000a +p1717 +tp1718 +a(g818 +VElse +p1719 +tp1720 +a(g692 +g993 +tp1721 +a(g900 +g995 +tp1722 +a(g692 +g997 +tp1723 +a(g448 +V#value +p1724 +tp1725 +a(g399 +V-> +p1726 +tp1727 +a(g692 +g997 +tp1728 +a(g637 +VIsA +p1729 +tp1730 +a(g692 +g993 +tp1731 +a(g900 +g995 +tp1732 +a(g216 +g1003 +tp1733 +a(g216 +Vliteral +p1734 +tp1735 +a(g216 +g1003 +tp1736 +a(g692 +g1008 +tp1737 +a(g692 +g1008 +tp1738 +a(g692 +g1016 +tp1739 +a(g900 +V\u000a +p1740 +tp1741 +a(g448 +V#output +p1742 +tp1743 +a(g900 +g995 +tp1744 +a(g399 +V+= +p1745 +tp1746 +a(g900 +g995 +tp1747 +a(g448 +V#value +p1748 +tp1749 +a(g692 +g1016 +tp1750 +a(g900 +V\u000a +p1751 +tp1752 +a(g818 +VElse +p1753 +tp1754 +a(g692 +g993 +tp1755 +a(g900 +g995 +tp1756 +a(g692 +g997 +tp1757 +a(g448 +V#value +p1758 +tp1759 +a(g399 +V-> +p1760 +tp1761 +a(g692 +g997 +tp1762 +a(g637 +VIsA +p1763 +tp1764 +a(g692 +g993 +tp1765 +a(g900 +g995 +tp1766 +a(g216 +g1003 +tp1767 +a(g216 +Vstring +p1768 +tp1769 +a(g216 +g1003 +tp1770 +a(g692 +g1008 +tp1771 +a(g692 +g1008 +tp1772 +a(g692 +g1016 +tp1773 +a(g900 +V\u000a +p1774 +tp1775 +a(g448 +V#output +p1776 +tp1777 +a(g900 +g995 +tp1778 +a(g399 +V+= +p1779 +tp1780 +a(g900 +g995 +tp1781 +a(g216 +g1003 +tp1782 +a(g216 +g1088 +tp1783 +a(g216 +g1003 +tp1784 +a(g692 +g1016 +tp1785 +a(g900 +V\u000a +p1786 +tp1787 +a(g818 +VLoop +p1788 +tp1789 +a(g692 +g993 +tp1790 +a(g900 +g995 +tp1791 +a(g692 +g997 +tp1792 +a(g448 +V#value +p1793 +tp1794 +a(g399 +V-> +p1795 +tp1796 +a(g668 +VLength +p1797 +tp1798 +a(g692 +g1008 +tp1799 +a(g692 +g1016 +tp1800 +a(g900 +V\u000a +p1801 +tp1802 +a(g883 +VLocal +p1803 +tp1804 +a(g692 +g997 +tp1805 +a(g216 +g1003 +tp1806 +a(g216 +Vcharacter +p1807 +tp1808 +a(g216 +g1003 +tp1809 +a(g900 +g995 +tp1810 +a(g399 +g1033 +tp1811 +a(g900 +g995 +tp1812 +a(g448 +V#value +p1813 +tp1814 +a(g399 +V-> +p1815 +tp1816 +a(g692 +g997 +tp1817 +a(g637 +VGet +p1818 +tp1819 +a(g692 +g993 +tp1820 +a(g900 +g995 +tp1821 +a(g818 +VLoop_Count +p1822 +tp1823 +a(g692 +g1008 +tp1824 +a(g692 +g1008 +tp1825 +a(g692 +g1016 +tp1826 +a(g900 +V\u000a +p1827 +tp1828 +a(g448 +V#output +p1829 +tp1830 +a(g399 +V-> +p1831 +tp1832 +a(g692 +g997 +tp1833 +a(g637 +VAppend +p1834 +tp1835 +a(g692 +g993 +tp1836 +a(g900 +V\u000a +p1837 +tp1838 +a(g692 +g997 +tp1839 +a(g668 +VMatch_RegExp +p1840 +tp1841 +a(g692 +g997 +tp1842 +a(g216 +g1003 +tp1843 +a(g216 +g1687 +tp1844 +a(g199 +V\u005c\u005c +p1845 +tp1846 +a(g216 +Vx{0020}- +p1847 +tp1848 +a(g199 +V\u005c\u005c +p1849 +tp1850 +a(g216 +Vx{21} +p1851 +tp1852 +a(g199 +V\u005c\u005c +p1853 +tp1854 +a(g216 +Vx{23}- +p1855 +tp1856 +a(g199 +V\u005c\u005c +p1857 +tp1858 +a(g216 +Vx{5b} +p1859 +tp1860 +a(g199 +V\u005c\u005c +p1861 +tp1862 +a(g216 +Vx{5d}- +p1863 +tp1864 +a(g199 +V\u005c\u005c +p1865 +tp1866 +a(g216 +Vx{10fff}] +p1867 +tp1868 +a(g216 +g1003 +tp1869 +a(g692 +g1008 +tp1870 +a(g900 +g995 +tp1871 +a(g399 +V== +p1872 +tp1873 +a(g900 +g995 +tp1874 +a(g448 +V#character +p1875 +tp1876 +a(g692 +g1008 +tp1877 +a(g900 +g995 +tp1878 +a(g399 +V? +p1879 +tp1880 +a(g900 +g995 +tp1881 +a(g448 +V#character +p1882 +tp1883 +a(g900 +g995 +tp1884 +a(g399 +V| +p1885 +tp1886 +a(g900 +V\u000a +p1887 +tp1888 +a(g216 +g1003 +tp1889 +a(g199 +V\u005c\u005c +p1890 +tp1891 +a(g216 +g1003 +tp1892 +a(g900 +g995 +tp1893 +a(g399 +g1691 +tp1894 +a(g900 +g995 +tp1895 +a(g692 +g997 +tp1896 +a(g448 +V#escapes +p1897 +tp1898 +a(g399 +V-> +p1899 +tp1900 +a(g692 +g997 +tp1901 +a(g637 +VContains +p1902 +tp1903 +a(g692 +g993 +tp1904 +a(g900 +g995 +tp1905 +a(g448 +V#character +p1906 +tp1907 +a(g692 +g1008 +tp1908 +a(g900 +g995 +tp1909 +a(g399 +g1879 +tp1910 +a(g900 +g995 +tp1911 +a(g448 +V#escapes +p1912 +tp1913 +a(g399 +V-> +p1914 +tp1915 +a(g692 +g997 +tp1916 +a(g637 +VFind +p1917 +tp1918 +a(g692 +g993 +tp1919 +a(g900 +g995 +tp1920 +a(g448 +V#character +p1921 +tp1922 +a(g692 +g1008 +tp1923 +a(g900 +g995 +tp1924 +a(g399 +g1885 +tp1925 +a(g900 +g995 +tp1926 +a(g216 +g1003 +tp1927 +a(g216 +Vu +p1928 +tp1929 +a(g216 +g1003 +tp1930 +a(g900 +g995 +tp1931 +a(g399 +g1691 +tp1932 +a(g900 +g995 +tp1933 +a(g822 +VString +p1934 +tp1935 +a(g692 +g997 +tp1936 +a(g668 +VEncode_Hex +p1937 +tp1938 +a(g692 +g997 +tp1939 +a(g448 +V#character +p1940 +tp1941 +a(g692 +g1008 +tp1942 +a(g692 +g1008 +tp1943 +a(g399 +V-> +p1944 +tp1945 +a(g668 +VPadLeading +p1946 +tp1947 +a(g692 +g997 +tp1948 +a(g22 +V4 +p1949 +tp1950 +a(g692 +g1028 +tp1951 +a(g900 +g995 +tp1952 +a(g216 +g1003 +tp1953 +a(g216 +V0 +p1954 +tp1955 +a(g216 +g1003 +tp1956 +a(g692 +g1008 +tp1957 +a(g399 +g1526 +tp1958 +a(g692 +g1008 +tp1959 +a(g900 +V\u000a +p1960 +tp1961 +a(g692 +g1008 +tp1962 +a(g692 +g1016 +tp1963 +a(g900 +V\u000a +p1964 +tp1965 +a(g692 +g1268 +tp1966 +a(g818 +VLoop +p1967 +tp1968 +a(g692 +g1016 +tp1969 +a(g900 +V\u000a +p1970 +tp1971 +a(g448 +V#output +p1972 +tp1973 +a(g900 +g995 +tp1974 +a(g399 +V+= +p1975 +tp1976 +a(g900 +g995 +tp1977 +a(g216 +g1003 +tp1978 +a(g216 +g1088 +tp1979 +a(g216 +g1003 +tp1980 +a(g692 +g1016 +tp1981 +a(g900 +V\u000a +p1982 +tp1983 +a(g818 +VElse +p1984 +tp1985 +a(g692 +g993 +tp1986 +a(g900 +g995 +tp1987 +a(g692 +g997 +tp1988 +a(g448 +V#value +p1989 +tp1990 +a(g399 +V-> +p1991 +tp1992 +a(g692 +g997 +tp1993 +a(g637 +VIsA +p1994 +tp1995 +a(g692 +g993 +tp1996 +a(g900 +g995 +tp1997 +a(g216 +g1003 +tp1998 +a(g216 +Vinteger +p1999 +tp2000 +a(g216 +g1003 +tp2001 +a(g692 +g1008 +tp2002 +a(g692 +g1008 +tp2003 +a(g900 +g995 +tp2004 +a(g399 +V|| +p2005 +tp2006 +a(g900 +g995 +tp2007 +a(g692 +g997 +tp2008 +a(g448 +V#value +p2009 +tp2010 +a(g399 +V-> +p2011 +tp2012 +a(g692 +g997 +tp2013 +a(g637 +VIsA +p2014 +tp2015 +a(g692 +g993 +tp2016 +a(g900 +g995 +tp2017 +a(g216 +g1003 +tp2018 +a(g216 +Vdecimal +p2019 +tp2020 +a(g216 +g1003 +tp2021 +a(g692 +g1008 +tp2022 +a(g692 +g1008 +tp2023 +a(g900 +g995 +tp2024 +a(g399 +V|| +p2025 +tp2026 +a(g900 +g995 +tp2027 +a(g692 +g997 +tp2028 +a(g448 +V#value +p2029 +tp2030 +a(g399 +V-> +p2031 +tp2032 +a(g692 +g997 +tp2033 +a(g637 +VIsA +p2034 +tp2035 +a(g692 +g993 +tp2036 +a(g900 +g995 +tp2037 +a(g216 +g1003 +tp2038 +a(g216 +Vboolean +p2039 +tp2040 +a(g216 +g1003 +tp2041 +a(g692 +g1008 +tp2042 +a(g692 +g1008 +tp2043 +a(g692 +g1016 +tp2044 +a(g900 +V\u000a +p2045 +tp2046 +a(g448 +V#output +p2047 +tp2048 +a(g900 +g995 +tp2049 +a(g399 +V+= +p2050 +tp2051 +a(g900 +g995 +tp2052 +a(g692 +g997 +tp2053 +a(g822 +VString +p2054 +tp2055 +a(g692 +g993 +tp2056 +a(g900 +g995 +tp2057 +a(g448 +V#value +p2058 +tp2059 +a(g692 +g1008 +tp2060 +a(g692 +g1016 +tp2061 +a(g900 +V\u000a +p2062 +tp2063 +a(g818 +VElse +p2064 +tp2065 +a(g692 +g993 +tp2066 +a(g900 +g995 +tp2067 +a(g692 +g997 +tp2068 +a(g448 +V#value +p2069 +tp2070 +a(g399 +V-> +p2071 +tp2072 +a(g692 +g997 +tp2073 +a(g637 +VIsA +p2074 +tp2075 +a(g692 +g993 +tp2076 +a(g900 +g995 +tp2077 +a(g216 +g1003 +tp2078 +a(g216 +Vnull +p2079 +tp2080 +a(g216 +g1003 +tp2081 +a(g692 +g1008 +tp2082 +a(g692 +g1008 +tp2083 +a(g692 +g1016 +tp2084 +a(g900 +V\u000a +p2085 +tp2086 +a(g448 +V#output +p2087 +tp2088 +a(g900 +g995 +tp2089 +a(g399 +V+= +p2090 +tp2091 +a(g900 +g995 +tp2092 +a(g216 +g1003 +tp2093 +a(g216 +Vnull +p2094 +tp2095 +a(g216 +g1003 +tp2096 +a(g692 +g1016 +tp2097 +a(g900 +V\u000a +p2098 +tp2099 +a(g818 +VElse +p2100 +tp2101 +a(g692 +g993 +tp2102 +a(g900 +g995 +tp2103 +a(g692 +g997 +tp2104 +a(g448 +V#value +p2105 +tp2106 +a(g399 +V-> +p2107 +tp2108 +a(g692 +g997 +tp2109 +a(g637 +VIsA +p2110 +tp2111 +a(g692 +g993 +tp2112 +a(g900 +g995 +tp2113 +a(g216 +g1003 +tp2114 +a(g216 +Vdate +p2115 +tp2116 +a(g216 +g1003 +tp2117 +a(g692 +g1008 +tp2118 +a(g692 +g1008 +tp2119 +a(g692 +g1016 +tp2120 +a(g900 +V\u000a +p2121 +tp2122 +a(g818 +VIf +p2123 +tp2124 +a(g692 +g993 +tp2125 +a(g900 +g995 +tp2126 +a(g448 +V#value +p2127 +tp2128 +a(g399 +V-> +p2129 +tp2130 +a(g668 +Vgmt +p2131 +tp2132 +a(g692 +g1016 +tp2133 +a(g900 +V\u000a +p2134 +tp2135 +a(g448 +V#output +p2136 +tp2137 +a(g900 +g995 +tp2138 +a(g399 +V+= +p2139 +tp2140 +a(g900 +g995 +tp2141 +a(g216 +g1003 +tp2142 +a(g216 +g1088 +tp2143 +a(g216 +g1003 +tp2144 +a(g900 +g995 +tp2145 +a(g399 +g1691 +tp2146 +a(g900 +g995 +tp2147 +a(g448 +V#value +p2148 +tp2149 +a(g399 +V-> +p2150 +tp2151 +a(g692 +g997 +tp2152 +a(g637 +Vformat +p2153 +tp2154 +a(g692 +g993 +tp2155 +a(g900 +g995 +tp2156 +a(g216 +g1003 +tp2157 +a(g216 +V%QT%TZ +p2158 +tp2159 +a(g216 +g1003 +tp2160 +a(g692 +g1008 +tp2161 +a(g900 +g995 +tp2162 +a(g399 +g1691 +tp2163 +a(g900 +g995 +tp2164 +a(g216 +g1003 +tp2165 +a(g216 +g1088 +tp2166 +a(g216 +g1003 +tp2167 +a(g692 +g1016 +tp2168 +a(g900 +V\u000a +p2169 +tp2170 +a(g818 +VElse +p2171 +tp2172 +a(g692 +g1016 +tp2173 +a(g900 +V\u000a +p2174 +tp2175 +a(g448 +V#output +p2176 +tp2177 +a(g900 +g995 +tp2178 +a(g399 +V+= +p2179 +tp2180 +a(g900 +g995 +tp2181 +a(g216 +g1003 +tp2182 +a(g216 +g1088 +tp2183 +a(g216 +g1003 +tp2184 +a(g900 +g995 +tp2185 +a(g399 +g1691 +tp2186 +a(g900 +g995 +tp2187 +a(g448 +V#value +p2188 +tp2189 +a(g399 +V-> +p2190 +tp2191 +a(g692 +g997 +tp2192 +a(g637 +Vformat +p2193 +tp2194 +a(g692 +g993 +tp2195 +a(g900 +g995 +tp2196 +a(g216 +g1003 +tp2197 +a(g216 +V%QT%T +p2198 +tp2199 +a(g216 +g1003 +tp2200 +a(g692 +g1008 +tp2201 +a(g900 +g995 +tp2202 +a(g399 +g1691 +tp2203 +a(g900 +g995 +tp2204 +a(g216 +g1003 +tp2205 +a(g216 +g1088 +tp2206 +a(g216 +g1003 +tp2207 +a(g692 +g1016 +tp2208 +a(g900 +V\u000a +p2209 +tp2210 +a(g692 +g1268 +tp2211 +a(g818 +VIf +p2212 +tp2213 +a(g692 +g1016 +tp2214 +a(g900 +V\u000a +p2215 +tp2216 +a(g818 +VElse +p2217 +tp2218 +a(g692 +g993 +tp2219 +a(g900 +g995 +tp2220 +a(g692 +g997 +tp2221 +a(g448 +V#value +p2222 +tp2223 +a(g399 +V-> +p2224 +tp2225 +a(g692 +g997 +tp2226 +a(g637 +VIsA +p2227 +tp2228 +a(g692 +g993 +tp2229 +a(g900 +g995 +tp2230 +a(g216 +g1003 +tp2231 +a(g216 +Varray +p2232 +tp2233 +a(g216 +g1003 +tp2234 +a(g692 +g1008 +tp2235 +a(g692 +g1008 +tp2236 +a(g692 +g1016 +tp2237 +a(g900 +V\u000a +p2238 +tp2239 +a(g448 +V#output +p2240 +tp2241 +a(g900 +g995 +tp2242 +a(g399 +V+= +p2243 +tp2244 +a(g900 +g995 +tp2245 +a(g216 +g1003 +tp2246 +a(g216 +g1687 +tp2247 +a(g216 +g1003 +tp2248 +a(g692 +g1016 +tp2249 +a(g900 +V\u000a +p2250 +tp2251 +a(g818 +VIterate +p2252 +tp2253 +a(g692 +g993 +tp2254 +a(g900 +g995 +tp2255 +a(g448 +V#value +p2256 +tp2257 +a(g692 +g1028 +tp2258 +a(g900 +g995 +tp2259 +a(g692 +g997 +tp2260 +a(g883 +VLocal +p2261 +tp2262 +a(g692 +g993 +tp2263 +a(g900 +g995 +tp2264 +a(g216 +g1003 +tp2265 +a(g216 +Vtemp +p2266 +tp2267 +a(g216 +g1003 +tp2268 +a(g692 +g1008 +tp2269 +a(g692 +g1016 +tp2270 +a(g900 +V\u000a +p2271 +tp2272 +a(g448 +V#output +p2273 +tp2274 +a(g900 +g995 +tp2275 +a(g399 +V+= +p2276 +tp2277 +a(g900 +g995 +tp2278 +a(g692 +g997 +tp2279 +a(g668 +VEncode_JSON +p2280 +tp2281 +a(g692 +g993 +tp2282 +a(g900 +g995 +tp2283 +a(g448 +V#temp +p2284 +tp2285 +a(g692 +g1028 +tp2286 +a(g900 +g995 +tp2287 +a(g653 +V-Options +p2288 +tp2289 +a(g399 +g1033 +tp2290 +a(g448 +V#newoptions +p2291 +tp2292 +a(g692 +g1008 +tp2293 +a(g692 +g1016 +tp2294 +a(g900 +V\u000a +p2295 +tp2296 +a(g818 +VIf +p2297 +tp2298 +a(g692 +g993 +tp2299 +a(g900 +g995 +tp2300 +a(g448 +V#value +p2301 +tp2302 +a(g399 +V-> +p2303 +tp2304 +a(g668 +VSize +p2305 +tp2306 +a(g900 +g995 +tp2307 +a(g399 +V!= +p2308 +tp2309 +a(g900 +g995 +tp2310 +a(g818 +VLoop_Count +p2311 +tp2312 +a(g692 +g1016 +tp2313 +a(g900 +V\u000a +p2314 +tp2315 +a(g448 +V#output +p2316 +tp2317 +a(g900 +g995 +tp2318 +a(g399 +V+= +p2319 +tp2320 +a(g900 +g995 +tp2321 +a(g216 +g1003 +tp2322 +a(g216 +V, +p2323 +tp2324 +a(g216 +g1003 +tp2325 +a(g692 +g1016 +tp2326 +a(g900 +V\u000a +p2327 +tp2328 +a(g692 +g1268 +tp2329 +a(g818 +VIf +p2330 +tp2331 +a(g692 +g1016 +tp2332 +a(g900 +V\u000a +p2333 +tp2334 +a(g692 +g1268 +tp2335 +a(g818 +VIterate +p2336 +tp2337 +a(g692 +g1016 +tp2338 +a(g900 +V\u000a +p2339 +tp2340 +a(g448 +V#output +p2341 +tp2342 +a(g900 +g995 +tp2343 +a(g399 +V+= +p2344 +tp2345 +a(g900 +g995 +tp2346 +a(g216 +g1003 +tp2347 +a(g216 +g1713 +tp2348 +a(g216 +g1003 +tp2349 +a(g692 +g1016 +tp2350 +a(g900 +V\u000a +p2351 +tp2352 +a(g818 +VElse +p2353 +tp2354 +a(g692 +g993 +tp2355 +a(g900 +g995 +tp2356 +a(g692 +g997 +tp2357 +a(g448 +V#value +p2358 +tp2359 +a(g399 +V-> +p2360 +tp2361 +a(g692 +g997 +tp2362 +a(g637 +VIsA +p2363 +tp2364 +a(g692 +g993 +tp2365 +a(g900 +g995 +tp2366 +a(g216 +g1003 +tp2367 +a(g216 +Vobject +p2368 +tp2369 +a(g216 +g1003 +tp2370 +a(g692 +g1008 +tp2371 +a(g692 +g1008 +tp2372 +a(g692 +g1016 +tp2373 +a(g900 +V\u000a +p2374 +tp2375 +a(g448 +V#output +p2376 +tp2377 +a(g900 +g995 +tp2378 +a(g399 +V+= +p2379 +tp2380 +a(g900 +g995 +tp2381 +a(g216 +g1003 +tp2382 +a(g216 +V{ +p2383 +tp2384 +a(g216 +g1003 +tp2385 +a(g692 +g1016 +tp2386 +a(g900 +V\u000a +p2387 +tp2388 +a(g818 +VIterate +p2389 +tp2390 +a(g692 +g993 +tp2391 +a(g900 +g995 +tp2392 +a(g448 +V#value +p2393 +tp2394 +a(g692 +g1028 +tp2395 +a(g900 +g995 +tp2396 +a(g692 +g997 +tp2397 +a(g883 +VLocal +p2398 +tp2399 +a(g692 +g993 +tp2400 +a(g900 +g995 +tp2401 +a(g216 +g1003 +tp2402 +a(g216 +Vtemp +p2403 +tp2404 +a(g216 +g1003 +tp2405 +a(g692 +g1008 +tp2406 +a(g692 +g1016 +tp2407 +a(g900 +V\u000a +p2408 +tp2409 +a(g448 +V#output +p2410 +tp2411 +a(g900 +g995 +tp2412 +a(g399 +V+= +p2413 +tp2414 +a(g900 +g995 +tp2415 +a(g448 +V#temp +p2416 +tp2417 +a(g399 +V-> +p2418 +tp2419 +a(g668 +VFirst +p2420 +tp2421 +a(g900 +g995 +tp2422 +a(g399 +g1691 +tp2423 +a(g900 +g995 +tp2424 +a(g216 +g1003 +tp2425 +a(g216 +V: +p2426 +tp2427 +a(g216 +g1003 +tp2428 +a(g900 +g995 +tp2429 +a(g399 +g1691 +tp2430 +a(g900 +g995 +tp2431 +a(g692 +g997 +tp2432 +a(g668 +VEncode_JSON +p2433 +tp2434 +a(g692 +g993 +tp2435 +a(g900 +g995 +tp2436 +a(g448 +V#temp +p2437 +tp2438 +a(g399 +V-> +p2439 +tp2440 +a(g668 +VSecond +p2441 +tp2442 +a(g692 +g1028 +tp2443 +a(g900 +g995 +tp2444 +a(g653 +V-Options +p2445 +tp2446 +a(g399 +g1033 +tp2447 +a(g448 +V#newoptions +p2448 +tp2449 +a(g692 +g1008 +tp2450 +a(g692 +g1016 +tp2451 +a(g900 +V\u000a +p2452 +tp2453 +a(g818 +VIf +p2454 +tp2455 +a(g692 +g993 +tp2456 +a(g900 +g995 +tp2457 +a(g692 +g997 +tp2458 +a(g448 +V#value +p2459 +tp2460 +a(g399 +V-> +p2461 +tp2462 +a(g668 +VSize +p2463 +tp2464 +a(g900 +g995 +tp2465 +a(g399 +V!= +p2466 +tp2467 +a(g900 +g995 +tp2468 +a(g818 +VLoop_Count +p2469 +tp2470 +a(g692 +g1008 +tp2471 +a(g692 +g1016 +tp2472 +a(g900 +V\u000a +p2473 +tp2474 +a(g448 +V#output +p2475 +tp2476 +a(g900 +g995 +tp2477 +a(g399 +V+= +p2478 +tp2479 +a(g900 +g995 +tp2480 +a(g216 +g1003 +tp2481 +a(g216 +V, +p2482 +tp2483 +a(g216 +g1003 +tp2484 +a(g692 +g1016 +tp2485 +a(g900 +V\u000a +p2486 +tp2487 +a(g692 +g1268 +tp2488 +a(g818 +VIf +p2489 +tp2490 +a(g692 +g1016 +tp2491 +a(g900 +V\u000a +p2492 +tp2493 +a(g692 +g1268 +tp2494 +a(g818 +VIterate +p2495 +tp2496 +a(g692 +g1016 +tp2497 +a(g900 +V\u000a +p2498 +tp2499 +a(g448 +V#output +p2500 +tp2501 +a(g900 +g995 +tp2502 +a(g399 +V+= +p2503 +tp2504 +a(g900 +g995 +tp2505 +a(g216 +g1003 +tp2506 +a(g216 +V} +p2507 +tp2508 +a(g216 +g1003 +tp2509 +a(g692 +g1016 +tp2510 +a(g900 +V\u000a +p2511 +tp2512 +a(g818 +VElse +p2513 +tp2514 +a(g692 +g993 +tp2515 +a(g900 +g995 +tp2516 +a(g692 +g997 +tp2517 +a(g448 +V#value +p2518 +tp2519 +a(g399 +V-> +p2520 +tp2521 +a(g692 +g997 +tp2522 +a(g637 +VIsA +p2523 +tp2524 +a(g692 +g993 +tp2525 +a(g900 +g995 +tp2526 +a(g216 +g1003 +tp2527 +a(g216 +Vmap +p2528 +tp2529 +a(g216 +g1003 +tp2530 +a(g692 +g1008 +tp2531 +a(g692 +g1008 +tp2532 +a(g692 +g1016 +tp2533 +a(g900 +V\u000a +p2534 +tp2535 +a(g448 +V#output +p2536 +tp2537 +a(g900 +g995 +tp2538 +a(g399 +V+= +p2539 +tp2540 +a(g900 +g995 +tp2541 +a(g216 +g1003 +tp2542 +a(g216 +g2383 +tp2543 +a(g216 +g1003 +tp2544 +a(g692 +g1016 +tp2545 +a(g900 +V\u000a +p2546 +tp2547 +a(g818 +VIterate +p2548 +tp2549 +a(g692 +g993 +tp2550 +a(g900 +g995 +tp2551 +a(g448 +V#value +p2552 +tp2553 +a(g692 +g1028 +tp2554 +a(g900 +g995 +tp2555 +a(g692 +g997 +tp2556 +a(g883 +VLocal +p2557 +tp2558 +a(g692 +g993 +tp2559 +a(g900 +g995 +tp2560 +a(g216 +g1003 +tp2561 +a(g216 +Vtemp +p2562 +tp2563 +a(g216 +g1003 +tp2564 +a(g692 +g1008 +tp2565 +a(g692 +g1016 +tp2566 +a(g900 +V\u000a +p2567 +tp2568 +a(g448 +V#output +p2569 +tp2570 +a(g900 +g995 +tp2571 +a(g399 +V+= +p2572 +tp2573 +a(g900 +g995 +tp2574 +a(g692 +g997 +tp2575 +a(g668 +VEncode_JSON +p2576 +tp2577 +a(g692 +g993 +tp2578 +a(g900 +g995 +tp2579 +a(g448 +V#temp +p2580 +tp2581 +a(g399 +V-> +p2582 +tp2583 +a(g668 +VFirst +p2584 +tp2585 +a(g692 +g1028 +tp2586 +a(g900 +g995 +tp2587 +a(g653 +V-Options +p2588 +tp2589 +a(g399 +g1033 +tp2590 +a(g448 +V#newoptions +p2591 +tp2592 +a(g692 +g1008 +tp2593 +a(g900 +g995 +tp2594 +a(g399 +g1691 +tp2595 +a(g900 +g995 +tp2596 +a(g216 +g1003 +tp2597 +a(g216 +V: +p2598 +tp2599 +a(g216 +g1003 +tp2600 +a(g900 +g995 +tp2601 +a(g399 +g1691 +tp2602 +a(g900 +g995 +tp2603 +a(g692 +g997 +tp2604 +a(g668 +VEncode_JSON +p2605 +tp2606 +a(g692 +g993 +tp2607 +a(g900 +g995 +tp2608 +a(g448 +V#temp +p2609 +tp2610 +a(g399 +V-> +p2611 +tp2612 +a(g668 +VSecond +p2613 +tp2614 +a(g692 +g1028 +tp2615 +a(g900 +g995 +tp2616 +a(g653 +V-Options +p2617 +tp2618 +a(g399 +g1033 +tp2619 +a(g448 +V#newoptions +p2620 +tp2621 +a(g692 +g1008 +tp2622 +a(g692 +g1016 +tp2623 +a(g900 +V\u000a +p2624 +tp2625 +a(g818 +VIf +p2626 +tp2627 +a(g692 +g993 +tp2628 +a(g900 +g995 +tp2629 +a(g692 +g997 +tp2630 +a(g448 +V#value +p2631 +tp2632 +a(g399 +V-> +p2633 +tp2634 +a(g668 +VSize +p2635 +tp2636 +a(g900 +g995 +tp2637 +a(g399 +V!= +p2638 +tp2639 +a(g900 +g995 +tp2640 +a(g818 +VLoop_Count +p2641 +tp2642 +a(g692 +g1008 +tp2643 +a(g692 +g1016 +tp2644 +a(g900 +V\u000a +p2645 +tp2646 +a(g448 +V#output +p2647 +tp2648 +a(g900 +g995 +tp2649 +a(g399 +V+= +p2650 +tp2651 +a(g900 +g995 +tp2652 +a(g216 +g1003 +tp2653 +a(g216 +V, +p2654 +tp2655 +a(g216 +g1003 +tp2656 +a(g692 +g1016 +tp2657 +a(g900 +V\u000a +p2658 +tp2659 +a(g692 +g1268 +tp2660 +a(g818 +VIf +p2661 +tp2662 +a(g692 +g1016 +tp2663 +a(g900 +V\u000a +p2664 +tp2665 +a(g692 +g1268 +tp2666 +a(g818 +VIterate +p2667 +tp2668 +a(g692 +g1016 +tp2669 +a(g900 +V\u000a +p2670 +tp2671 +a(g448 +V#output +p2672 +tp2673 +a(g900 +g995 +tp2674 +a(g399 +V+= +p2675 +tp2676 +a(g900 +g995 +tp2677 +a(g216 +g1003 +tp2678 +a(g216 +g2507 +tp2679 +a(g216 +g1003 +tp2680 +a(g692 +g1016 +tp2681 +a(g900 +V\u000a +p2682 +tp2683 +a(g818 +VElse +p2684 +tp2685 +a(g692 +g993 +tp2686 +a(g900 +g995 +tp2687 +a(g692 +g997 +tp2688 +a(g448 +V#value +p2689 +tp2690 +a(g399 +V-> +p2691 +tp2692 +a(g692 +g997 +tp2693 +a(g637 +VIsA +p2694 +tp2695 +a(g692 +g993 +tp2696 +a(g900 +g995 +tp2697 +a(g216 +g1003 +tp2698 +a(g216 +Vclient_ip +p2699 +tp2700 +a(g216 +g1003 +tp2701 +a(g692 +g1008 +tp2702 +a(g692 +g1008 +tp2703 +a(g900 +g995 +tp2704 +a(g399 +V|| +p2705 +tp2706 +a(g900 +g995 +tp2707 +a(g692 +g997 +tp2708 +a(g448 +V#value +p2709 +tp2710 +a(g399 +V-> +p2711 +tp2712 +a(g692 +g997 +tp2713 +a(g637 +VIsA +p2714 +tp2715 +a(g692 +g993 +tp2716 +a(g900 +g995 +tp2717 +a(g216 +g1003 +tp2718 +a(g216 +Vclient_address +p2719 +tp2720 +a(g216 +g1003 +tp2721 +a(g692 +g1008 +tp2722 +a(g692 +g1008 +tp2723 +a(g692 +g1016 +tp2724 +a(g900 +V\u000a +p2725 +tp2726 +a(g448 +V#output +p2727 +tp2728 +a(g900 +g995 +tp2729 +a(g399 +V+= +p2730 +tp2731 +a(g900 +g995 +tp2732 +a(g692 +g997 +tp2733 +a(g668 +VEncode_JSON +p2734 +tp2735 +a(g692 +g993 +tp2736 +a(g900 +g995 +tp2737 +a(g692 +g997 +tp2738 +a(g822 +VString +p2739 +tp2740 +a(g692 +g993 +tp2741 +a(g900 +g995 +tp2742 +a(g448 +V#value +p2743 +tp2744 +a(g692 +g1008 +tp2745 +a(g692 +g1028 +tp2746 +a(g900 +g995 +tp2747 +a(g653 +V-Options +p2748 +tp2749 +a(g399 +g1033 +tp2750 +a(g448 +V#newoptions +p2751 +tp2752 +a(g692 +g1008 +tp2753 +a(g692 +g1016 +tp2754 +a(g900 +V\u000a +p2755 +tp2756 +a(g818 +VElse +p2757 +tp2758 +a(g692 +g993 +tp2759 +a(g900 +g995 +tp2760 +a(g692 +g997 +tp2761 +a(g448 +V#options +p2762 +tp2763 +a(g900 +g995 +tp2764 +a(g399 +V!>> +p2765 +tp2766 +a(g900 +g995 +tp2767 +a(g653 +V-UseNative +p2768 +tp2769 +a(g692 +g1008 +tp2770 +a(g900 +g995 +tp2771 +a(g399 +V&& +p2772 +tp2773 +a(g900 +g995 +tp2774 +a(g692 +g997 +tp2775 +a(g448 +V#value +p2776 +tp2777 +a(g399 +V-> +p2778 +tp2779 +a(g692 +g997 +tp2780 +a(g637 +VIsA +p2781 +tp2782 +a(g692 +g993 +tp2783 +a(g900 +g995 +tp2784 +a(g216 +g1003 +tp2785 +a(g216 +Vset +p2786 +tp2787 +a(g216 +g1003 +tp2788 +a(g692 +g1008 +tp2789 +a(g692 +g1008 +tp2790 +a(g900 +g995 +tp2791 +a(g399 +V|| +p2792 +tp2793 +a(g900 +g995 +tp2794 +a(g692 +g997 +tp2795 +a(g448 +V#value +p2796 +tp2797 +a(g399 +V-> +p2798 +tp2799 +a(g692 +g997 +tp2800 +a(g637 +VIsA +p2801 +tp2802 +a(g692 +g993 +tp2803 +a(g900 +g995 +tp2804 +a(g216 +g1003 +tp2805 +a(g216 +Vlist +p2806 +tp2807 +a(g216 +g1003 +tp2808 +a(g692 +g1008 +tp2809 +a(g692 +g1008 +tp2810 +a(g900 +g995 +tp2811 +a(g399 +V|| +p2812 +tp2813 +a(g900 +g995 +tp2814 +a(g692 +g997 +tp2815 +a(g448 +V#value +p2816 +tp2817 +a(g399 +V-> +p2818 +tp2819 +a(g692 +g997 +tp2820 +a(g637 +VIsA +p2821 +tp2822 +a(g692 +g993 +tp2823 +a(g900 +g995 +tp2824 +a(g216 +g1003 +tp2825 +a(g216 +Vqueue +p2826 +tp2827 +a(g216 +g1003 +tp2828 +a(g692 +g1008 +tp2829 +a(g692 +g1008 +tp2830 +a(g900 +g995 +tp2831 +a(g399 +V|| +p2832 +tp2833 +a(g900 +g995 +tp2834 +a(g692 +g997 +tp2835 +a(g448 +V#value +p2836 +tp2837 +a(g399 +V-> +p2838 +tp2839 +a(g692 +g997 +tp2840 +a(g637 +VIsA +p2841 +tp2842 +a(g692 +g993 +tp2843 +a(g900 +g995 +tp2844 +a(g216 +g1003 +tp2845 +a(g216 +Vpriorityqueue +p2846 +tp2847 +a(g216 +g1003 +tp2848 +a(g692 +g1008 +tp2849 +a(g692 +g1008 +tp2850 +a(g900 +g995 +tp2851 +a(g399 +V|| +p2852 +tp2853 +a(g900 +g995 +tp2854 +a(g692 +g997 +tp2855 +a(g448 +V#value +p2856 +tp2857 +a(g399 +V-> +p2858 +tp2859 +a(g692 +g997 +tp2860 +a(g637 +VIsA +p2861 +tp2862 +a(g692 +g993 +tp2863 +a(g900 +g995 +tp2864 +a(g216 +g1003 +tp2865 +a(g216 +Vstack +p2866 +tp2867 +a(g216 +g1003 +tp2868 +a(g692 +g1008 +tp2869 +a(g692 +g1008 +tp2870 +a(g692 +g1016 +tp2871 +a(g900 +V\u000a +p2872 +tp2873 +a(g448 +V#output +p2874 +tp2875 +a(g900 +g995 +tp2876 +a(g399 +V+= +p2877 +tp2878 +a(g900 +g995 +tp2879 +a(g692 +g997 +tp2880 +a(g668 +VEncode_JSON +p2881 +tp2882 +a(g692 +g993 +tp2883 +a(g900 +g995 +tp2884 +a(g822 +VArray +p2885 +tp2886 +a(g399 +V-> +p2887 +tp2888 +a(g692 +g997 +tp2889 +a(g637 +Vinsertfrom +p2890 +tp2891 +a(g692 +g993 +tp2892 +a(g900 +g995 +tp2893 +a(g448 +V#value +p2894 +tp2895 +a(g399 +V-> +p2896 +tp2897 +a(g668 +Viterator +p2898 +tp2899 +a(g692 +g1008 +tp2900 +a(g900 +g995 +tp2901 +a(g399 +g1526 +tp2902 +a(g692 +g1028 +tp2903 +a(g900 +g995 +tp2904 +a(g653 +V-Options +p2905 +tp2906 +a(g399 +g1033 +tp2907 +a(g448 +V#newoptions +p2908 +tp2909 +a(g692 +g1008 +tp2910 +a(g692 +g1016 +tp2911 +a(g900 +V\u000a +p2912 +tp2913 +a(g818 +VElse +p2914 +tp2915 +a(g692 +g993 +tp2916 +a(g900 +g995 +tp2917 +a(g692 +g997 +tp2918 +a(g448 +V#options +p2919 +tp2920 +a(g900 +g995 +tp2921 +a(g399 +V!>> +p2922 +tp2923 +a(g900 +g995 +tp2924 +a(g653 +V-NoNative +p2925 +tp2926 +a(g692 +g1008 +tp2927 +a(g692 +g1016 +tp2928 +a(g900 +V\u000a +p2929 +tp2930 +a(g448 +V#output +p2931 +tp2932 +a(g900 +g995 +tp2933 +a(g399 +V+= +p2934 +tp2935 +a(g900 +g995 +tp2936 +a(g692 +g997 +tp2937 +a(g668 +VEncode_JSON +p2938 +tp2939 +a(g692 +g993 +tp2940 +a(g900 +g995 +tp2941 +a(g692 +g997 +tp2942 +a(g822 +VMap +p2943 +tp2944 +a(g692 +g993 +tp2945 +a(g900 +g995 +tp2946 +a(g216 +g1003 +tp2947 +a(g216 +V__jsonclass__ +p2948 +tp2949 +a(g216 +g1003 +tp2950 +a(g399 +g1033 +tp2951 +a(g692 +g997 +tp2952 +a(g822 +VArray +p2953 +tp2954 +a(g692 +g993 +tp2955 +a(g216 +g1003 +tp2956 +a(g216 +Vdeserialize +p2957 +tp2958 +a(g216 +g1003 +tp2959 +a(g692 +g1028 +tp2960 +a(g692 +g997 +tp2961 +a(g822 +VArray +p2962 +tp2963 +a(g692 +g993 +tp2964 +a(g216 +g1003 +tp2965 +a(g216 +V +p2966 +tp2967 +a(g216 +g1003 +tp2968 +a(g900 +g995 +tp2969 +a(g399 +g1691 +tp2970 +a(g900 +g995 +tp2971 +a(g448 +V#value +p2972 +tp2973 +a(g399 +V-> +p2974 +tp2975 +a(g668 +VSerialize +p2976 +tp2977 +a(g900 +g995 +tp2978 +a(g399 +g1691 +tp2979 +a(g900 +g995 +tp2980 +a(g216 +g1003 +tp2981 +a(g216 +V +p2982 +tp2983 +a(g216 +g1003 +tp2984 +a(g692 +g1008 +tp2985 +a(g692 +g1008 +tp2986 +a(g692 +g1008 +tp2987 +a(g692 +g1008 +tp2988 +a(g692 +g1016 +tp2989 +a(g900 +V\u000a +p2990 +tp2991 +a(g692 +g1268 +tp2992 +a(g818 +VIf +p2993 +tp2994 +a(g692 +g1016 +tp2995 +a(g900 +V\u000a +p2996 +tp2997 +a(g818 +VReturn +p2998 +tp2999 +a(g692 +g993 +tp3000 +a(g900 +g995 +tp3001 +a(g692 +V@ +p3002 +tp3003 +a(g448 +V#output +p3004 +tp3005 +a(g692 +g1016 +tp3006 +a(g900 +V\u000a \u000a +p3007 +tp3008 +a(g692 +g1268 +tp3009 +a(g818 +VDefine_Tag +p3010 +tp3011 +a(g692 +g1016 +tp3012 +a(g900 +V\u000a\u000a +p3013 +tp3014 +a(g692 +g1268 +tp3015 +a(g818 +VIf +p3016 +tp3017 +a(g692 +g1016 +tp3018 +a(g900 +V\u000a\u000a +p3019 +tp3020 +a(g818 +VIf +p3021 +tp3022 +a(g692 +g993 +tp3023 +a(g900 +g995 +tp3024 +a(g692 +g997 +tp3025 +a(g668 +VLasso_TagExists +p3026 +tp3027 +a(g692 +g993 +tp3028 +a(g900 +g995 +tp3029 +a(g216 +g1003 +tp3030 +a(g216 +VDecode_JSON +p3031 +tp3032 +a(g216 +g1003 +tp3033 +a(g692 +g1008 +tp3034 +a(g900 +g995 +tp3035 +a(g399 +V== +p3036 +tp3037 +a(g900 +g995 +tp3038 +a(g837 +VFalse +p3039 +tp3040 +a(g692 +g1016 +tp3041 +a(g900 +V\u000a\u000a +p3042 +tp3043 +a(g818 +VDefine_Tag +p3044 +tp3045 +a(g692 +g993 +tp3046 +a(g900 +g995 +tp3047 +a(g216 +g1003 +tp3048 +a(g216 +VJSON +p3049 +tp3050 +a(g216 +g1003 +tp3051 +a(g692 +g1028 +tp3052 +a(g900 +g995 +tp3053 +a(g653 +V-Namespace +p3054 +tp3055 +a(g399 +g1033 +tp3056 +a(g216 +g1003 +tp3057 +a(g216 +VDecode_ +p3058 +tp3059 +a(g216 +g1003 +tp3060 +a(g692 +g1028 +tp3061 +a(g900 +g995 +tp3062 +a(g653 +V-Required +p3063 +tp3064 +a(g399 +g1033 +tp3065 +a(g216 +g1003 +tp3066 +a(g216 +Vvalue +p3067 +tp3068 +a(g216 +g1003 +tp3069 +a(g692 +g1016 +tp3070 +a(g900 +V\u000a\u000a +p3071 +tp3072 +a(g692 +g997 +tp3073 +a(g448 +V#value +p3074 +tp3075 +a(g900 +g995 +tp3076 +a(g399 +V== +p3077 +tp3078 +a(g900 +g995 +tp3079 +a(g216 +g1003 +tp3080 +a(g216 +g1003 +tp3081 +a(g692 +g1008 +tp3082 +a(g900 +g995 +tp3083 +a(g399 +g1879 +tp3084 +a(g900 +g995 +tp3085 +a(g818 +VReturn +p3086 +tp3087 +a(g692 +g993 +tp3088 +a(g900 +g995 +tp3089 +a(g822 +VNull +p3090 +tp3091 +a(g692 +g1016 +tp3092 +a(g900 +V\u000a \u000a +p3093 +tp3094 +a(g818 +VDefine_Tag +p3095 +tp3096 +a(g692 +g993 +tp3097 +a(g900 +g995 +tp3098 +a(g216 +g1003 +tp3099 +a(g216 +Vconsume_string +p3100 +tp3101 +a(g216 +g1003 +tp3102 +a(g692 +g1028 +tp3103 +a(g900 +g995 +tp3104 +a(g653 +V-Required +p3105 +tp3106 +a(g399 +g1033 +tp3107 +a(g216 +g1003 +tp3108 +a(g216 +Vibytes +p3109 +tp3110 +a(g216 +g1003 +tp3111 +a(g692 +g1016 +tp3112 +a(g900 +V\u000a +p3113 +tp3114 +a(g883 +VLocal +p3115 +tp3116 +a(g692 +g993 +tp3117 +a(g900 +g995 +tp3118 +a(g216 +g1003 +tp3119 +a(g216 +Vunescapes +p3120 +tp3121 +a(g216 +g1003 +tp3122 +a(g900 +g995 +tp3123 +a(g399 +g1033 +tp3124 +a(g900 +g995 +tp3125 +a(g692 +g997 +tp3126 +a(g822 +Vmap +p3127 +tp3128 +a(g692 +g993 +tp3129 +a(g900 +g995 +tp3130 +a(g22 +V34 +p3131 +tp3132 +a(g900 +g995 +tp3133 +a(g399 +g1033 +tp3134 +a(g900 +g995 +tp3135 +a(g216 +g1003 +tp3136 +a(g216 +g1088 +tp3137 +a(g216 +g1003 +tp3138 +a(g692 +g1028 +tp3139 +a(g900 +g995 +tp3140 +a(g22 +V92 +p3141 +tp3142 +a(g900 +g995 +tp3143 +a(g399 +g1033 +tp3144 +a(g900 +g995 +tp3145 +a(g216 +g1003 +tp3146 +a(g199 +V\u005c\u005c +p3147 +tp3148 +a(g216 +g1003 +tp3149 +a(g692 +g1028 +tp3150 +a(g900 +g995 +tp3151 +a(g22 +V98 +p3152 +tp3153 +a(g900 +g995 +tp3154 +a(g399 +g1033 +tp3155 +a(g900 +g995 +tp3156 +a(g216 +g1003 +tp3157 +a(g199 +V\u005cb +p3158 +tp3159 +a(g216 +g1003 +tp3160 +a(g692 +g1028 +tp3161 +a(g900 +g995 +tp3162 +a(g22 +V102 +p3163 +tp3164 +a(g900 +g995 +tp3165 +a(g399 +g1033 +tp3166 +a(g900 +g995 +tp3167 +a(g216 +g1003 +tp3168 +a(g199 +V\u005cf +p3169 +tp3170 +a(g216 +g1003 +tp3171 +a(g692 +g1028 +tp3172 +a(g900 +g995 +tp3173 +a(g22 +V110 +p3174 +tp3175 +a(g900 +g995 +tp3176 +a(g399 +g1033 +tp3177 +a(g900 +g995 +tp3178 +a(g216 +g1003 +tp3179 +a(g199 +V\u005cn +p3180 +tp3181 +a(g216 +g1003 +tp3182 +a(g692 +g1028 +tp3183 +a(g900 +g995 +tp3184 +a(g22 +V114 +p3185 +tp3186 +a(g900 +g995 +tp3187 +a(g399 +g1033 +tp3188 +a(g900 +g995 +tp3189 +a(g216 +g1003 +tp3190 +a(g199 +V\u005cr +p3191 +tp3192 +a(g216 +g1003 +tp3193 +a(g692 +g1028 +tp3194 +a(g900 +g995 +tp3195 +a(g22 +V116 +p3196 +tp3197 +a(g900 +g995 +tp3198 +a(g399 +g1033 +tp3199 +a(g900 +g995 +tp3200 +a(g216 +g1003 +tp3201 +a(g199 +V\u005ct +p3202 +tp3203 +a(g216 +g1003 +tp3204 +a(g692 +g1008 +tp3205 +a(g692 +g1016 +tp3206 +a(g900 +V\u000a +p3207 +tp3208 +a(g883 +VLocal +p3209 +tp3210 +a(g692 +g993 +tp3211 +a(g900 +g995 +tp3212 +a(g216 +g1003 +tp3213 +a(g216 +Vtemp +p3214 +tp3215 +a(g216 +g1003 +tp3216 +a(g900 +g995 +tp3217 +a(g399 +g1033 +tp3218 +a(g900 +g995 +tp3219 +a(g22 +g1954 +tp3220 +a(g692 +g1028 +tp3221 +a(g900 +g995 +tp3222 +a(g216 +g1003 +tp3223 +a(g216 +Vobytes +p3224 +tp3225 +a(g216 +g1003 +tp3226 +a(g900 +g995 +tp3227 +a(g399 +g1033 +tp3228 +a(g900 +g995 +tp3229 +a(g822 +VBytes +p3230 +tp3231 +a(g692 +g1016 +tp3232 +a(g900 +V\u000a +p3233 +tp3234 +a(g818 +VWhile +p3235 +tp3236 +a(g692 +g993 +tp3237 +a(g900 +g995 +tp3238 +a(g692 +g997 +tp3239 +a(g692 +g997 +tp3240 +a(g448 +V#temp +p3241 +tp3242 +a(g900 +g995 +tp3243 +a(g399 +V:= +p3244 +tp3245 +a(g900 +g995 +tp3246 +a(g448 +V#ibytes +p3247 +tp3248 +a(g399 +V-> +p3249 +tp3250 +a(g668 +Vexport8bits +p3251 +tp3252 +a(g692 +g1008 +tp3253 +a(g900 +g995 +tp3254 +a(g399 +V!= +p3255 +tp3256 +a(g900 +g995 +tp3257 +a(g22 +V34 +p3258 +tp3259 +a(g692 +g1008 +tp3260 +a(g692 +g1016 +tp3261 +a(g900 +g995 +tp3262 +a(g744 +V// '"'\u000a +p3263 +tp3264 +a(g900 +V +p3265 +tp3266 +a(g818 +VIf +p3267 +tp3268 +a(g692 +g993 +tp3269 +a(g900 +g995 +tp3270 +a(g692 +g997 +tp3271 +a(g448 +V#temp +p3272 +tp3273 +a(g900 +g995 +tp3274 +a(g399 +V=== +p3275 +tp3276 +a(g900 +g995 +tp3277 +a(g22 +V92 +p3278 +tp3279 +a(g692 +g1008 +tp3280 +a(g692 +g1016 +tp3281 +a(g900 +g995 +tp3282 +a(g744 +V// '\u005c'\u000a +p3283 +tp3284 +a(g900 +V +p3285 +tp3286 +a(g448 +V#temp +p3287 +tp3288 +a(g900 +g995 +tp3289 +a(g399 +g1033 +tp3290 +a(g900 +g995 +tp3291 +a(g448 +V#ibytes +p3292 +tp3293 +a(g399 +V-> +p3294 +tp3295 +a(g668 +Vexport8bits +p3296 +tp3297 +a(g692 +g1016 +tp3298 +a(g900 +V\u000a +p3299 +tp3300 +a(g818 +VIf +p3301 +tp3302 +a(g692 +g993 +tp3303 +a(g900 +g995 +tp3304 +a(g692 +g997 +tp3305 +a(g448 +V#temp +p3306 +tp3307 +a(g900 +g995 +tp3308 +a(g399 +V=== +p3309 +tp3310 +a(g900 +g995 +tp3311 +a(g22 +V117 +p3312 +tp3313 +a(g692 +g1008 +tp3314 +a(g692 +g1016 +tp3315 +a(g900 +g995 +tp3316 +a(g744 +V// 'u'\u000a +p3317 +tp3318 +a(g900 +V +p3319 +tp3320 +a(g448 +V#obytes +p3321 +tp3322 +a(g399 +V-> +p3323 +tp3324 +a(g692 +g997 +tp3325 +a(g637 +VImportString +p3326 +tp3327 +a(g692 +g993 +tp3328 +a(g900 +g995 +tp3329 +a(g692 +g997 +tp3330 +a(g668 +VDecode_Hex +p3331 +tp3332 +a(g692 +g993 +tp3333 +a(g900 +g995 +tp3334 +a(g692 +g997 +tp3335 +a(g822 +VString +p3336 +tp3337 +a(g692 +g993 +tp3338 +a(g900 +g995 +tp3339 +a(g448 +V#ibytes +p3340 +tp3341 +a(g399 +V-> +p3342 +tp3343 +a(g692 +g997 +tp3344 +a(g637 +VGetRange +p3345 +tp3346 +a(g692 +g993 +tp3347 +a(g900 +g995 +tp3348 +a(g448 +V#ibytes +p3349 +tp3350 +a(g399 +V-> +p3351 +tp3352 +a(g668 +VPosition +p3353 +tp3354 +a(g900 +g995 +tp3355 +a(g399 +g1691 +tp3356 +a(g900 +g995 +tp3357 +a(g22 +V1 +p3358 +tp3359 +a(g692 +g1028 +tp3360 +a(g900 +g995 +tp3361 +a(g22 +g1949 +tp3362 +a(g692 +g1008 +tp3363 +a(g692 +g1008 +tp3364 +a(g692 +g1008 +tp3365 +a(g399 +V-> +p3366 +tp3367 +a(g692 +g997 +tp3368 +a(g637 +VExportString +p3369 +tp3370 +a(g692 +g993 +tp3371 +a(g900 +g995 +tp3372 +a(g216 +g1003 +tp3373 +a(g216 +VUTF-16 +p3374 +tp3375 +a(g216 +g1003 +tp3376 +a(g692 +g1008 +tp3377 +a(g692 +g1028 +tp3378 +a(g900 +g995 +tp3379 +a(g216 +g1003 +tp3380 +a(g216 +VUTF-8 +p3381 +tp3382 +a(g216 +g1003 +tp3383 +a(g692 +g1008 +tp3384 +a(g692 +g1016 +tp3385 +a(g900 +V\u000a +p3386 +tp3387 +a(g448 +V#ibytes +p3388 +tp3389 +a(g399 +V-> +p3390 +tp3391 +a(g692 +g997 +tp3392 +a(g637 +VSetPosition +p3393 +tp3394 +a(g692 +g993 +tp3395 +a(g900 +g995 +tp3396 +a(g448 +V#ibytes +p3397 +tp3398 +a(g399 +V-> +p3399 +tp3400 +a(g668 +VPosition +p3401 +tp3402 +a(g900 +g995 +tp3403 +a(g399 +g1691 +tp3404 +a(g900 +g995 +tp3405 +a(g22 +g1949 +tp3406 +a(g692 +g1008 +tp3407 +a(g692 +g1016 +tp3408 +a(g900 +V\u000a +p3409 +tp3410 +a(g818 +VElse +p3411 +tp3412 +a(g692 +g1016 +tp3413 +a(g900 +V\u000a +p3414 +tp3415 +a(g818 +VIf +p3416 +tp3417 +a(g692 +g993 +tp3418 +a(g900 +g995 +tp3419 +a(g692 +g997 +tp3420 +a(g448 +V#unescapes +p3421 +tp3422 +a(g399 +V-> +p3423 +tp3424 +a(g692 +g997 +tp3425 +a(g637 +VContains +p3426 +tp3427 +a(g692 +g993 +tp3428 +a(g900 +g995 +tp3429 +a(g448 +V#temp +p3430 +tp3431 +a(g692 +g1008 +tp3432 +a(g692 +g1008 +tp3433 +a(g692 +g1016 +tp3434 +a(g900 +V\u000a +p3435 +tp3436 +a(g448 +V#obytes +p3437 +tp3438 +a(g399 +V-> +p3439 +tp3440 +a(g692 +g997 +tp3441 +a(g637 +VImportString +p3442 +tp3443 +a(g692 +g993 +tp3444 +a(g900 +g995 +tp3445 +a(g448 +V#unescapes +p3446 +tp3447 +a(g399 +V-> +p3448 +tp3449 +a(g692 +g997 +tp3450 +a(g637 +VFind +p3451 +tp3452 +a(g692 +g993 +tp3453 +a(g900 +g995 +tp3454 +a(g448 +V#temp +p3455 +tp3456 +a(g692 +g1008 +tp3457 +a(g692 +g1028 +tp3458 +a(g900 +g995 +tp3459 +a(g216 +g1003 +tp3460 +a(g216 +VUTF-8 +p3461 +tp3462 +a(g216 +g1003 +tp3463 +a(g692 +g1008 +tp3464 +a(g692 +g1016 +tp3465 +a(g900 +V\u000a +p3466 +tp3467 +a(g818 +VElse +p3468 +tp3469 +a(g692 +g1016 +tp3470 +a(g900 +V\u000a +p3471 +tp3472 +a(g448 +V#obytes +p3473 +tp3474 +a(g399 +V-> +p3475 +tp3476 +a(g692 +g997 +tp3477 +a(g637 +VImport8Bits +p3478 +tp3479 +a(g692 +g993 +tp3480 +a(g900 +g995 +tp3481 +a(g448 +V#temp +p3482 +tp3483 +a(g692 +g1008 +tp3484 +a(g692 +g1016 +tp3485 +a(g900 +V\u000a +p3486 +tp3487 +a(g692 +g1268 +tp3488 +a(g818 +VIf +p3489 +tp3490 +a(g692 +g1016 +tp3491 +a(g900 +V\u000a +p3492 +tp3493 +a(g692 +g1268 +tp3494 +a(g818 +VIf +p3495 +tp3496 +a(g692 +g1016 +tp3497 +a(g900 +V\u000a +p3498 +tp3499 +a(g818 +VElse +p3500 +tp3501 +a(g692 +g1016 +tp3502 +a(g900 +V\u000a +p3503 +tp3504 +a(g448 +V#obytes +p3505 +tp3506 +a(g399 +V-> +p3507 +tp3508 +a(g692 +g997 +tp3509 +a(g637 +VImport8Bits +p3510 +tp3511 +a(g692 +g993 +tp3512 +a(g900 +g995 +tp3513 +a(g448 +V#temp +p3514 +tp3515 +a(g692 +g1008 +tp3516 +a(g692 +g1016 +tp3517 +a(g900 +V\u000a +p3518 +tp3519 +a(g692 +g1268 +tp3520 +a(g818 +VIf +p3521 +tp3522 +a(g692 +g1016 +tp3523 +a(g900 +V\u000a +p3524 +tp3525 +a(g692 +g1268 +tp3526 +a(g818 +VWhile +p3527 +tp3528 +a(g692 +g1016 +tp3529 +a(g900 +V\u000a +p3530 +tp3531 +a(g883 +VLocal +p3532 +tp3533 +a(g692 +g997 +tp3534 +a(g216 +g1003 +tp3535 +a(g216 +Voutput +p3536 +tp3537 +a(g216 +g1003 +tp3538 +a(g900 +g995 +tp3539 +a(g399 +g1033 +tp3540 +a(g900 +g995 +tp3541 +a(g448 +V#obytes +p3542 +tp3543 +a(g399 +V-> +p3544 +tp3545 +a(g692 +g997 +tp3546 +a(g637 +VExportString +p3547 +tp3548 +a(g692 +g993 +tp3549 +a(g900 +g995 +tp3550 +a(g216 +g1003 +tp3551 +a(g216 +VUTF-8 +p3552 +tp3553 +a(g216 +g1003 +tp3554 +a(g692 +g1008 +tp3555 +a(g692 +g1008 +tp3556 +a(g692 +g1016 +tp3557 +a(g900 +V\u000a +p3558 +tp3559 +a(g818 +VIf +p3560 +tp3561 +a(g692 +g993 +tp3562 +a(g900 +g995 +tp3563 +a(g448 +V#output +p3564 +tp3565 +a(g399 +V-> +p3566 +tp3567 +a(g692 +g997 +tp3568 +a(g637 +VBeginsWith +p3569 +tp3570 +a(g692 +g993 +tp3571 +a(g900 +g995 +tp3572 +a(g216 +g1003 +tp3573 +a(g216 +V +p3574 +tp3575 +a(g216 +g1003 +tp3576 +a(g692 +g1008 +tp3577 +a(g900 +g995 +tp3578 +a(g399 +V&& +p3579 +tp3580 +a(g900 +g995 +tp3581 +a(g448 +V#output +p3582 +tp3583 +a(g399 +V-> +p3584 +tp3585 +a(g692 +g997 +tp3586 +a(g637 +VEndsWith +p3587 +tp3588 +a(g692 +g993 +tp3589 +a(g900 +g995 +tp3590 +a(g216 +g1003 +tp3591 +a(g216 +V +p3592 +tp3593 +a(g216 +g1003 +tp3594 +a(g692 +g1008 +tp3595 +a(g692 +g1016 +tp3596 +a(g900 +V\u000a +p3597 +tp3598 +a(g883 +VLocal +p3599 +tp3600 +a(g692 +g993 +tp3601 +a(g900 +g995 +tp3602 +a(g216 +g1003 +tp3603 +a(g216 +Vtemp +p3604 +tp3605 +a(g216 +g1003 +tp3606 +a(g900 +g995 +tp3607 +a(g399 +g1033 +tp3608 +a(g900 +g995 +tp3609 +a(g448 +V#output +p3610 +tp3611 +a(g900 +g995 +tp3612 +a(g399 +V- +p3613 +tp3614 +a(g900 +g995 +tp3615 +a(g216 +g1003 +tp3616 +a(g216 +V +p3617 +tp3618 +a(g216 +g1003 +tp3619 +a(g900 +g995 +tp3620 +a(g399 +g3613 +tp3621 +a(g900 +g995 +tp3622 +a(g216 +g1003 +tp3623 +a(g216 +V +p3624 +tp3625 +a(g216 +g1003 +tp3626 +a(g692 +g1016 +tp3627 +a(g900 +V\u000a +p3628 +tp3629 +a(g883 +VLocal +p3630 +tp3631 +a(g692 +g993 +tp3632 +a(g900 +g995 +tp3633 +a(g216 +g1003 +tp3634 +a(g216 +Voutput +p3635 +tp3636 +a(g216 +g1003 +tp3637 +a(g900 +g995 +tp3638 +a(g399 +g1033 +tp3639 +a(g900 +g995 +tp3640 +a(g822 +Vnull +p3641 +tp3642 +a(g692 +g1016 +tp3643 +a(g900 +V\u000a +p3644 +tp3645 +a(g818 +VProtect +p3646 +tp3647 +a(g692 +g1016 +tp3648 +a(g900 +V\u000a +p3649 +tp3650 +a(g448 +V#output +p3651 +tp3652 +a(g399 +V-> +p3653 +tp3654 +a(g692 +g997 +tp3655 +a(g668 +VDeserialize +p3656 +tp3657 +a(g692 +g993 +tp3658 +a(g900 +g995 +tp3659 +a(g448 +V#temp +p3660 +tp3661 +a(g692 +g1008 +tp3662 +a(g692 +g1016 +tp3663 +a(g900 +V\u000a +p3664 +tp3665 +a(g692 +g1268 +tp3666 +a(g818 +VProtect +p3667 +tp3668 +a(g692 +g1016 +tp3669 +a(g900 +V\u000a +p3670 +tp3671 +a(g818 +VElse +p3672 +tp3673 +a(g692 +g993 +tp3674 +a(g900 +g995 +tp3675 +a(g692 +g997 +tp3676 +a(g668 +VValid_Date +p3677 +tp3678 +a(g692 +g993 +tp3679 +a(g900 +g995 +tp3680 +a(g448 +V#output +p3681 +tp3682 +a(g692 +g1028 +tp3683 +a(g900 +g995 +tp3684 +a(g653 +V-Format +p3685 +tp3686 +a(g399 +g1033 +tp3687 +a(g216 +g1003 +tp3688 +a(g216 +V%QT%TZ +p3689 +tp3690 +a(g216 +g1003 +tp3691 +a(g692 +g1008 +tp3692 +a(g692 +g1016 +tp3693 +a(g900 +V\u000a +p3694 +tp3695 +a(g883 +VLocal +p3696 +tp3697 +a(g692 +g993 +tp3698 +a(g900 +g995 +tp3699 +a(g216 +g1003 +tp3700 +a(g216 +Voutput +p3701 +tp3702 +a(g216 +g1003 +tp3703 +a(g900 +g995 +tp3704 +a(g399 +g1033 +tp3705 +a(g900 +g995 +tp3706 +a(g692 +g997 +tp3707 +a(g822 +VDate +p3708 +tp3709 +a(g692 +g993 +tp3710 +a(g900 +g995 +tp3711 +a(g448 +V#output +p3712 +tp3713 +a(g692 +g1028 +tp3714 +a(g900 +g995 +tp3715 +a(g653 +V-Format +p3716 +tp3717 +a(g399 +g1033 +tp3718 +a(g216 +g1003 +tp3719 +a(g216 +V%QT%TZ +p3720 +tp3721 +a(g216 +g1003 +tp3722 +a(g692 +g1008 +tp3723 +a(g692 +g1016 +tp3724 +a(g900 +V\u000a +p3725 +tp3726 +a(g818 +VElse +p3727 +tp3728 +a(g692 +g993 +tp3729 +a(g900 +g995 +tp3730 +a(g692 +g997 +tp3731 +a(g668 +VValid_Date +p3732 +tp3733 +a(g692 +g993 +tp3734 +a(g900 +g995 +tp3735 +a(g448 +V#output +p3736 +tp3737 +a(g692 +g1028 +tp3738 +a(g900 +g995 +tp3739 +a(g653 +V-Format +p3740 +tp3741 +a(g399 +g1033 +tp3742 +a(g216 +g1003 +tp3743 +a(g216 +V%QT%T +p3744 +tp3745 +a(g216 +g1003 +tp3746 +a(g692 +g1008 +tp3747 +a(g692 +g1016 +tp3748 +a(g900 +V\u000a +p3749 +tp3750 +a(g883 +VLocal +p3751 +tp3752 +a(g692 +g993 +tp3753 +a(g900 +g995 +tp3754 +a(g216 +g1003 +tp3755 +a(g216 +Voutput +p3756 +tp3757 +a(g216 +g1003 +tp3758 +a(g900 +g995 +tp3759 +a(g399 +g1033 +tp3760 +a(g900 +g995 +tp3761 +a(g692 +g997 +tp3762 +a(g822 +VDate +p3763 +tp3764 +a(g692 +g993 +tp3765 +a(g900 +g995 +tp3766 +a(g448 +V#output +p3767 +tp3768 +a(g692 +g1028 +tp3769 +a(g900 +g995 +tp3770 +a(g653 +V-Format +p3771 +tp3772 +a(g399 +g1033 +tp3773 +a(g216 +g1003 +tp3774 +a(g216 +V%QT%T +p3775 +tp3776 +a(g216 +g1003 +tp3777 +a(g692 +g1008 +tp3778 +a(g692 +g1016 +tp3779 +a(g900 +V\u000a +p3780 +tp3781 +a(g692 +g1268 +tp3782 +a(g818 +VIf +p3783 +tp3784 +a(g692 +g1016 +tp3785 +a(g900 +V \u000a +p3786 +tp3787 +a(g818 +VReturn +p3788 +tp3789 +a(g692 +g993 +tp3790 +a(g900 +g995 +tp3791 +a(g692 +g3002 +tp3792 +a(g448 +V#output +p3793 +tp3794 +a(g692 +g1016 +tp3795 +a(g900 +V\u000a +p3796 +tp3797 +a(g692 +g1268 +tp3798 +a(g818 +VDefine_Tag +p3799 +tp3800 +a(g692 +g1016 +tp3801 +a(g900 +V\u000a\u000a +p3802 +tp3803 +a(g818 +VDefine_Tag +p3804 +tp3805 +a(g692 +g993 +tp3806 +a(g900 +g995 +tp3807 +a(g216 +g1003 +tp3808 +a(g216 +Vconsume_token +p3809 +tp3810 +a(g216 +g1003 +tp3811 +a(g692 +g1028 +tp3812 +a(g900 +g995 +tp3813 +a(g653 +V-Required +p3814 +tp3815 +a(g399 +g1033 +tp3816 +a(g216 +g1003 +tp3817 +a(g216 +Vibytes +p3818 +tp3819 +a(g216 +g1003 +tp3820 +a(g692 +g1028 +tp3821 +a(g900 +g995 +tp3822 +a(g653 +V-required +p3823 +tp3824 +a(g399 +g1033 +tp3825 +a(g216 +g1003 +tp3826 +a(g216 +Vtemp +p3827 +tp3828 +a(g216 +g1003 +tp3829 +a(g692 +g1016 +tp3830 +a(g900 +V\u000a +p3831 +tp3832 +a(g883 +VLocal +p3833 +tp3834 +a(g692 +g993 +tp3835 +a(g900 +g995 +tp3836 +a(g216 +g1003 +tp3837 +a(g216 +Vobytes +p3838 +tp3839 +a(g216 +g1003 +tp3840 +a(g900 +g995 +tp3841 +a(g399 +g1033 +tp3842 +a(g900 +g995 +tp3843 +a(g822 +Vbytes +p3844 +tp3845 +a(g399 +V-> +p3846 +tp3847 +a(g692 +g997 +tp3848 +a(g637 +Vimport8bits +p3849 +tp3850 +a(g692 +g993 +tp3851 +a(g900 +g995 +tp3852 +a(g448 +V#temp +p3853 +tp3854 +a(g692 +g1008 +tp3855 +a(g900 +g995 +tp3856 +a(g399 +g1526 +tp3857 +a(g692 +g1016 +tp3858 +a(g900 +V\u000a +p3859 +tp3860 +a(g883 +Vlocal +p3861 +tp3862 +a(g692 +g993 +tp3863 +a(g900 +g995 +tp3864 +a(g216 +g1003 +tp3865 +a(g216 +Vdelimit +p3866 +tp3867 +a(g216 +g1003 +tp3868 +a(g900 +g995 +tp3869 +a(g399 +g1033 +tp3870 +a(g900 +g995 +tp3871 +a(g692 +g997 +tp3872 +a(g822 +Varray +p3873 +tp3874 +a(g692 +g993 +tp3875 +a(g900 +g995 +tp3876 +a(g22 +V9 +p3877 +tp3878 +a(g692 +g1028 +tp3879 +a(g900 +g995 +tp3880 +a(g22 +V10 +p3881 +tp3882 +a(g692 +g1028 +tp3883 +a(g900 +g995 +tp3884 +a(g22 +V13 +p3885 +tp3886 +a(g692 +g1028 +tp3887 +a(g900 +g995 +tp3888 +a(g22 +V32 +p3889 +tp3890 +a(g692 +g1028 +tp3891 +a(g900 +g995 +tp3892 +a(g22 +V44 +p3893 +tp3894 +a(g692 +g1028 +tp3895 +a(g900 +g995 +tp3896 +a(g22 +V58 +p3897 +tp3898 +a(g692 +g1028 +tp3899 +a(g900 +g995 +tp3900 +a(g22 +V93 +p3901 +tp3902 +a(g692 +g1028 +tp3903 +a(g900 +g995 +tp3904 +a(g22 +V125 +p3905 +tp3906 +a(g692 +g1008 +tp3907 +a(g692 +g1016 +tp3908 +a(g900 +g995 +tp3909 +a(g744 +V// \u005ct\u005cr\u005cn ,:]}\u000a +p3910 +tp3911 +a(g900 +V +p3912 +tp3913 +a(g818 +VWhile +p3914 +tp3915 +a(g692 +g993 +tp3916 +a(g900 +g995 +tp3917 +a(g692 +g997 +tp3918 +a(g448 +V#delimit +p3919 +tp3920 +a(g900 +g995 +tp3921 +a(g399 +V!>> +p3922 +tp3923 +a(g900 +g995 +tp3924 +a(g692 +g997 +tp3925 +a(g448 +V#temp +p3926 +tp3927 +a(g900 +g995 +tp3928 +a(g399 +V:= +p3929 +tp3930 +a(g900 +g995 +tp3931 +a(g448 +V#ibytes +p3932 +tp3933 +a(g399 +V-> +p3934 +tp3935 +a(g668 +Vexport8bits +p3936 +tp3937 +a(g692 +g1008 +tp3938 +a(g692 +g1008 +tp3939 +a(g692 +g1016 +tp3940 +a(g900 +V\u000a +p3941 +tp3942 +a(g448 +V#obytes +p3943 +tp3944 +a(g399 +V-> +p3945 +tp3946 +a(g692 +g997 +tp3947 +a(g637 +Vimport8bits +p3948 +tp3949 +a(g692 +g993 +tp3950 +a(g900 +g995 +tp3951 +a(g448 +V#temp +p3952 +tp3953 +a(g692 +g1008 +tp3954 +a(g692 +g1016 +tp3955 +a(g900 +V\u000a +p3956 +tp3957 +a(g692 +g1268 +tp3958 +a(g818 +VWhile +p3959 +tp3960 +a(g692 +g1016 +tp3961 +a(g900 +V\u000a +p3962 +tp3963 +a(g883 +VLocal +p3964 +tp3965 +a(g692 +g993 +tp3966 +a(g900 +g995 +tp3967 +a(g216 +g1003 +tp3968 +a(g216 +Voutput +p3969 +tp3970 +a(g216 +g1003 +tp3971 +a(g900 +g995 +tp3972 +a(g399 +g1033 +tp3973 +a(g900 +g995 +tp3974 +a(g692 +g997 +tp3975 +a(g822 +VString +p3976 +tp3977 +a(g692 +g993 +tp3978 +a(g900 +g995 +tp3979 +a(g448 +V#obytes +p3980 +tp3981 +a(g692 +g1008 +tp3982 +a(g692 +g1016 +tp3983 +a(g900 +V\u000a +p3984 +tp3985 +a(g818 +VIf +p3986 +tp3987 +a(g692 +g993 +tp3988 +a(g900 +g995 +tp3989 +a(g692 +g997 +tp3990 +a(g448 +V#output +p3991 +tp3992 +a(g900 +g995 +tp3993 +a(g399 +V== +p3994 +tp3995 +a(g900 +g995 +tp3996 +a(g216 +g1003 +tp3997 +a(g216 +Vtrue +p3998 +tp3999 +a(g216 +g1003 +tp4000 +a(g692 +g1008 +tp4001 +a(g900 +g995 +tp4002 +a(g399 +V|| +p4003 +tp4004 +a(g900 +g995 +tp4005 +a(g692 +g997 +tp4006 +a(g448 +V#output +p4007 +tp4008 +a(g900 +g995 +tp4009 +a(g399 +V== +p4010 +tp4011 +a(g900 +g995 +tp4012 +a(g216 +g1003 +tp4013 +a(g216 +Vfalse +p4014 +tp4015 +a(g216 +g1003 +tp4016 +a(g692 +g1008 +tp4017 +a(g692 +g1016 +tp4018 +a(g900 +V\u000a +p4019 +tp4020 +a(g818 +VReturn +p4021 +tp4022 +a(g692 +g993 +tp4023 +a(g900 +g995 +tp4024 +a(g692 +g997 +tp4025 +a(g822 +VBoolean +p4026 +tp4027 +a(g692 +g993 +tp4028 +a(g900 +g995 +tp4029 +a(g448 +V#output +p4030 +tp4031 +a(g692 +g1008 +tp4032 +a(g692 +g1016 +tp4033 +a(g900 +V\u000a +p4034 +tp4035 +a(g818 +VElse +p4036 +tp4037 +a(g692 +g993 +tp4038 +a(g900 +g995 +tp4039 +a(g692 +g997 +tp4040 +a(g448 +V#output +p4041 +tp4042 +a(g900 +g995 +tp4043 +a(g399 +V== +p4044 +tp4045 +a(g900 +g995 +tp4046 +a(g216 +g1003 +tp4047 +a(g216 +Vnull +p4048 +tp4049 +a(g216 +g1003 +tp4050 +a(g692 +g1008 +tp4051 +a(g692 +g1016 +tp4052 +a(g900 +V\u000a +p4053 +tp4054 +a(g818 +VReturn +p4055 +tp4056 +a(g692 +g993 +tp4057 +a(g900 +g995 +tp4058 +a(g822 +VNull +p4059 +tp4060 +a(g692 +g1016 +tp4061 +a(g900 +V\u000a +p4062 +tp4063 +a(g818 +VElse +p4064 +tp4065 +a(g692 +g993 +tp4066 +a(g900 +g995 +tp4067 +a(g692 +g997 +tp4068 +a(g668 +VString_IsNumeric +p4069 +tp4070 +a(g692 +g993 +tp4071 +a(g900 +g995 +tp4072 +a(g448 +V#output +p4073 +tp4074 +a(g692 +g1008 +tp4075 +a(g692 +g1016 +tp4076 +a(g900 +V\u000a +p4077 +tp4078 +a(g818 +VReturn +p4079 +tp4080 +a(g692 +g993 +tp4081 +a(g900 +g995 +tp4082 +a(g692 +g997 +tp4083 +a(g448 +V#output +p4084 +tp4085 +a(g900 +g995 +tp4086 +a(g399 +V>> +p4087 +tp4088 +a(g900 +g995 +tp4089 +a(g216 +g1003 +tp4090 +a(g216 +V. +p4091 +tp4092 +a(g216 +g1003 +tp4093 +a(g692 +g1008 +tp4094 +a(g900 +g995 +tp4095 +a(g399 +g1879 +tp4096 +a(g900 +g995 +tp4097 +a(g692 +g997 +tp4098 +a(g822 +VDecimal +p4099 +tp4100 +a(g692 +g993 +tp4101 +a(g900 +g995 +tp4102 +a(g448 +V#output +p4103 +tp4104 +a(g692 +g1008 +tp4105 +a(g900 +g995 +tp4106 +a(g399 +g1885 +tp4107 +a(g900 +g995 +tp4108 +a(g692 +g997 +tp4109 +a(g822 +VInteger +p4110 +tp4111 +a(g692 +g993 +tp4112 +a(g900 +g995 +tp4113 +a(g448 +V#output +p4114 +tp4115 +a(g692 +g1008 +tp4116 +a(g692 +g1016 +tp4117 +a(g900 +V\u000a +p4118 +tp4119 +a(g692 +g1268 +tp4120 +a(g818 +VIf +p4121 +tp4122 +a(g692 +g1016 +tp4123 +a(g900 +V\u000a +p4124 +tp4125 +a(g818 +VReturn +p4126 +tp4127 +a(g692 +g993 +tp4128 +a(g900 +g995 +tp4129 +a(g692 +g3002 +tp4130 +a(g448 +V#output +p4131 +tp4132 +a(g692 +g1016 +tp4133 +a(g900 +V\u000a +p4134 +tp4135 +a(g692 +g1268 +tp4136 +a(g818 +VDefine_Tag +p4137 +tp4138 +a(g692 +g1016 +tp4139 +a(g900 +V\u000a\u000a +p4140 +tp4141 +a(g818 +VDefine_Tag +p4142 +tp4143 +a(g692 +g993 +tp4144 +a(g900 +g995 +tp4145 +a(g216 +g1003 +tp4146 +a(g216 +Vconsume_array +p4147 +tp4148 +a(g216 +g1003 +tp4149 +a(g692 +g1028 +tp4150 +a(g900 +g995 +tp4151 +a(g653 +V-Required +p4152 +tp4153 +a(g399 +g1033 +tp4154 +a(g216 +g1003 +tp4155 +a(g216 +Vibytes +p4156 +tp4157 +a(g216 +g1003 +tp4158 +a(g692 +g1016 +tp4159 +a(g900 +V\u000a +p4160 +tp4161 +a(g883 +VLocal +p4162 +tp4163 +a(g692 +g993 +tp4164 +a(g900 +g995 +tp4165 +a(g216 +g1003 +tp4166 +a(g216 +Voutput +p4167 +tp4168 +a(g216 +g1003 +tp4169 +a(g900 +g995 +tp4170 +a(g399 +g1033 +tp4171 +a(g900 +g995 +tp4172 +a(g822 +Varray +p4173 +tp4174 +a(g692 +g1016 +tp4175 +a(g900 +V\u000a +p4176 +tp4177 +a(g883 +Vlocal +p4178 +tp4179 +a(g692 +g993 +tp4180 +a(g900 +g995 +tp4181 +a(g216 +g1003 +tp4182 +a(g216 +Vdelimit +p4183 +tp4184 +a(g216 +g1003 +tp4185 +a(g900 +g995 +tp4186 +a(g399 +g1033 +tp4187 +a(g900 +g995 +tp4188 +a(g692 +g997 +tp4189 +a(g822 +Varray +p4190 +tp4191 +a(g692 +g993 +tp4192 +a(g900 +V +p4193 +tp4194 +a(g22 +g3877 +tp4195 +a(g692 +g1028 +tp4196 +a(g900 +g995 +tp4197 +a(g22 +V10 +p4198 +tp4199 +a(g692 +g1028 +tp4200 +a(g900 +g995 +tp4201 +a(g22 +V13 +p4202 +tp4203 +a(g692 +g1028 +tp4204 +a(g900 +g995 +tp4205 +a(g22 +V32 +p4206 +tp4207 +a(g692 +g1028 +tp4208 +a(g900 +g995 +tp4209 +a(g22 +V44 +p4210 +tp4211 +a(g692 +g1008 +tp4212 +a(g692 +g1016 +tp4213 +a(g900 +g995 +tp4214 +a(g744 +V// \u005ct\u005cr\u005cn ,\u000a +p4215 +tp4216 +a(g900 +V +p4217 +tp4218 +a(g883 +Vlocal +p4219 +tp4220 +a(g692 +g993 +tp4221 +a(g900 +g995 +tp4222 +a(g216 +g1003 +tp4223 +a(g216 +Vtemp +p4224 +tp4225 +a(g216 +g1003 +tp4226 +a(g900 +g995 +tp4227 +a(g399 +g1033 +tp4228 +a(g900 +g995 +tp4229 +a(g22 +g1954 +tp4230 +a(g692 +g1016 +tp4231 +a(g900 +V\u000a +p4232 +tp4233 +a(g818 +VWhile +p4234 +tp4235 +a(g692 +g993 +tp4236 +a(g900 +g995 +tp4237 +a(g692 +g997 +tp4238 +a(g692 +g997 +tp4239 +a(g448 +V#temp +p4240 +tp4241 +a(g900 +g995 +tp4242 +a(g399 +V:= +p4243 +tp4244 +a(g900 +g995 +tp4245 +a(g448 +V#ibytes +p4246 +tp4247 +a(g399 +V-> +p4248 +tp4249 +a(g668 +Vexport8bits +p4250 +tp4251 +a(g692 +g1008 +tp4252 +a(g900 +g995 +tp4253 +a(g399 +V!= +p4254 +tp4255 +a(g900 +g995 +tp4256 +a(g22 +V93 +p4257 +tp4258 +a(g692 +g1008 +tp4259 +a(g692 +g1016 +tp4260 +a(g900 +g995 +tp4261 +a(g744 +V// ]\u000a +p4262 +tp4263 +a(g900 +V +p4264 +tp4265 +a(g818 +VIf +p4266 +tp4267 +a(g692 +g993 +tp4268 +a(g900 +g995 +tp4269 +a(g692 +g997 +tp4270 +a(g448 +V#delimit +p4271 +tp4272 +a(g900 +g995 +tp4273 +a(g399 +V>> +p4274 +tp4275 +a(g900 +g995 +tp4276 +a(g448 +V#temp +p4277 +tp4278 +a(g692 +g1008 +tp4279 +a(g692 +g1016 +tp4280 +a(g900 +V\u000a +p4281 +tp4282 +a(g744 +V// Discard whitespace \u000a +p4283 +tp4284 +a(g900 +V +p4285 +tp4286 +a(g818 +VElse +p4287 +tp4288 +a(g692 +g993 +tp4289 +a(g900 +g995 +tp4290 +a(g692 +g997 +tp4291 +a(g448 +V#temp +p4292 +tp4293 +a(g900 +g995 +tp4294 +a(g399 +V== +p4295 +tp4296 +a(g900 +g995 +tp4297 +a(g22 +V34 +p4298 +tp4299 +a(g692 +g1008 +tp4300 +a(g692 +g1016 +tp4301 +a(g900 +g995 +tp4302 +a(g744 +V// "\u000a +p4303 +tp4304 +a(g900 +V +p4305 +tp4306 +a(g448 +V#output +p4307 +tp4308 +a(g399 +V-> +p4309 +tp4310 +a(g692 +g997 +tp4311 +a(g637 +Vinsert +p4312 +tp4313 +a(g692 +g993 +tp4314 +a(g900 +g995 +tp4315 +a(g692 +g997 +tp4316 +a(g637 +Vconsume_string +p4317 +tp4318 +a(g692 +g993 +tp4319 +a(g900 +g995 +tp4320 +a(g692 +g3002 +tp4321 +a(g448 +V#ibytes +p4322 +tp4323 +a(g692 +g1008 +tp4324 +a(g692 +g1008 +tp4325 +a(g692 +g1016 +tp4326 +a(g900 +V\u000a +p4327 +tp4328 +a(g818 +VElse +p4329 +tp4330 +a(g692 +g993 +tp4331 +a(g900 +g995 +tp4332 +a(g692 +g997 +tp4333 +a(g448 +V#temp +p4334 +tp4335 +a(g900 +g995 +tp4336 +a(g399 +V== +p4337 +tp4338 +a(g900 +g995 +tp4339 +a(g22 +V91 +p4340 +tp4341 +a(g692 +g1008 +tp4342 +a(g692 +g1016 +tp4343 +a(g900 +g995 +tp4344 +a(g744 +V// [\u000a +p4345 +tp4346 +a(g900 +V +p4347 +tp4348 +a(g448 +V#output +p4349 +tp4350 +a(g399 +V-> +p4351 +tp4352 +a(g692 +g997 +tp4353 +a(g637 +Vinsert +p4354 +tp4355 +a(g692 +g993 +tp4356 +a(g900 +g995 +tp4357 +a(g692 +g997 +tp4358 +a(g637 +Vconsume_array +p4359 +tp4360 +a(g692 +g993 +tp4361 +a(g900 +g995 +tp4362 +a(g692 +g3002 +tp4363 +a(g448 +V#ibytes +p4364 +tp4365 +a(g692 +g1008 +tp4366 +a(g692 +g1008 +tp4367 +a(g692 +g1016 +tp4368 +a(g900 +V\u000a +p4369 +tp4370 +a(g818 +VElse +p4371 +tp4372 +a(g692 +g993 +tp4373 +a(g900 +g995 +tp4374 +a(g692 +g997 +tp4375 +a(g448 +V#temp +p4376 +tp4377 +a(g900 +g995 +tp4378 +a(g399 +V== +p4379 +tp4380 +a(g900 +g995 +tp4381 +a(g22 +V123 +p4382 +tp4383 +a(g692 +g1008 +tp4384 +a(g692 +g1016 +tp4385 +a(g900 +g995 +tp4386 +a(g744 +V// {\u000a +p4387 +tp4388 +a(g900 +V +p4389 +tp4390 +a(g448 +V#output +p4391 +tp4392 +a(g399 +V-> +p4393 +tp4394 +a(g692 +g997 +tp4395 +a(g637 +Vinsert +p4396 +tp4397 +a(g692 +g993 +tp4398 +a(g900 +g995 +tp4399 +a(g692 +g997 +tp4400 +a(g637 +Vconsume_object +p4401 +tp4402 +a(g692 +g993 +tp4403 +a(g900 +g995 +tp4404 +a(g692 +g3002 +tp4405 +a(g448 +V#ibytes +p4406 +tp4407 +a(g692 +g1008 +tp4408 +a(g692 +g1008 +tp4409 +a(g692 +g1016 +tp4410 +a(g900 +V\u000a +p4411 +tp4412 +a(g818 +VElse +p4413 +tp4414 +a(g692 +g1016 +tp4415 +a(g900 +V\u000a +p4416 +tp4417 +a(g448 +V#output +p4418 +tp4419 +a(g399 +V-> +p4420 +tp4421 +a(g692 +g997 +tp4422 +a(g637 +Vinsert +p4423 +tp4424 +a(g692 +g993 +tp4425 +a(g900 +g995 +tp4426 +a(g692 +g997 +tp4427 +a(g637 +Vconsume_token +p4428 +tp4429 +a(g692 +g993 +tp4430 +a(g900 +g995 +tp4431 +a(g692 +g3002 +tp4432 +a(g448 +V#ibytes +p4433 +tp4434 +a(g692 +g1028 +tp4435 +a(g900 +g995 +tp4436 +a(g692 +g3002 +tp4437 +a(g448 +V#temp +p4438 +tp4439 +a(g692 +g1008 +tp4440 +a(g692 +g1008 +tp4441 +a(g692 +g1016 +tp4442 +a(g900 +V\u000a +p4443 +tp4444 +a(g692 +g997 +tp4445 +a(g448 +V#temp +p4446 +tp4447 +a(g900 +g995 +tp4448 +a(g399 +V== +p4449 +tp4450 +a(g900 +g995 +tp4451 +a(g22 +V93 +p4452 +tp4453 +a(g692 +g1008 +tp4454 +a(g900 +g995 +tp4455 +a(g399 +g1879 +tp4456 +a(g900 +g995 +tp4457 +a(g818 +VLoop_Abort +p4458 +tp4459 +a(g692 +g1016 +tp4460 +a(g900 +V\u000a +p4461 +tp4462 +a(g692 +g1268 +tp4463 +a(g818 +VIf +p4464 +tp4465 +a(g692 +g1016 +tp4466 +a(g900 +V\u000a +p4467 +tp4468 +a(g692 +g1268 +tp4469 +a(g818 +VWhile +p4470 +tp4471 +a(g692 +g1016 +tp4472 +a(g900 +V\u000a +p4473 +tp4474 +a(g818 +VReturn +p4475 +tp4476 +a(g692 +g993 +tp4477 +a(g900 +g995 +tp4478 +a(g692 +g3002 +tp4479 +a(g448 +V#output +p4480 +tp4481 +a(g692 +g1016 +tp4482 +a(g900 +V\u000a +p4483 +tp4484 +a(g692 +g1268 +tp4485 +a(g818 +VDefine_Tag +p4486 +tp4487 +a(g692 +g1016 +tp4488 +a(g900 +V\u000a\u000a +p4489 +tp4490 +a(g818 +VDefine_Tag +p4491 +tp4492 +a(g692 +g993 +tp4493 +a(g900 +g995 +tp4494 +a(g216 +g1003 +tp4495 +a(g216 +Vconsume_object +p4496 +tp4497 +a(g216 +g1003 +tp4498 +a(g692 +g1028 +tp4499 +a(g900 +g995 +tp4500 +a(g653 +V-Required +p4501 +tp4502 +a(g399 +g1033 +tp4503 +a(g216 +g1003 +tp4504 +a(g216 +Vibytes +p4505 +tp4506 +a(g216 +g1003 +tp4507 +a(g692 +g1016 +tp4508 +a(g900 +V\u000a +p4509 +tp4510 +a(g883 +VLocal +p4511 +tp4512 +a(g692 +g993 +tp4513 +a(g900 +g995 +tp4514 +a(g216 +g1003 +tp4515 +a(g216 +Voutput +p4516 +tp4517 +a(g216 +g1003 +tp4518 +a(g900 +g995 +tp4519 +a(g399 +g1033 +tp4520 +a(g900 +g995 +tp4521 +a(g822 +Vmap +p4522 +tp4523 +a(g692 +g1016 +tp4524 +a(g900 +V\u000a +p4525 +tp4526 +a(g883 +Vlocal +p4527 +tp4528 +a(g692 +g993 +tp4529 +a(g900 +g995 +tp4530 +a(g216 +g1003 +tp4531 +a(g216 +Vdelimit +p4532 +tp4533 +a(g216 +g1003 +tp4534 +a(g900 +g995 +tp4535 +a(g399 +g1033 +tp4536 +a(g900 +g995 +tp4537 +a(g692 +g997 +tp4538 +a(g822 +Varray +p4539 +tp4540 +a(g692 +g993 +tp4541 +a(g900 +V +p4542 +tp4543 +a(g22 +g3877 +tp4544 +a(g692 +g1028 +tp4545 +a(g900 +g995 +tp4546 +a(g22 +V10 +p4547 +tp4548 +a(g692 +g1028 +tp4549 +a(g900 +g995 +tp4550 +a(g22 +V13 +p4551 +tp4552 +a(g692 +g1028 +tp4553 +a(g900 +g995 +tp4554 +a(g22 +V32 +p4555 +tp4556 +a(g692 +g1028 +tp4557 +a(g900 +g995 +tp4558 +a(g22 +V44 +p4559 +tp4560 +a(g692 +g1008 +tp4561 +a(g692 +g1016 +tp4562 +a(g900 +g995 +tp4563 +a(g744 +V// \u005ct\u005cr\u005cn ,\u000a +p4564 +tp4565 +a(g900 +V +p4566 +tp4567 +a(g883 +Vlocal +p4568 +tp4569 +a(g692 +g993 +tp4570 +a(g900 +g995 +tp4571 +a(g216 +g1003 +tp4572 +a(g216 +Vtemp +p4573 +tp4574 +a(g216 +g1003 +tp4575 +a(g900 +g995 +tp4576 +a(g399 +g1033 +tp4577 +a(g900 +g995 +tp4578 +a(g22 +g1954 +tp4579 +a(g692 +g1016 +tp4580 +a(g900 +V\u000a +p4581 +tp4582 +a(g883 +Vlocal +p4583 +tp4584 +a(g692 +g993 +tp4585 +a(g900 +g995 +tp4586 +a(g216 +g1003 +tp4587 +a(g216 +Vkey +p4588 +tp4589 +a(g216 +g1003 +tp4590 +a(g900 +g995 +tp4591 +a(g399 +g1033 +tp4592 +a(g900 +g995 +tp4593 +a(g822 +Vnull +p4594 +tp4595 +a(g692 +g1016 +tp4596 +a(g900 +V\u000a +p4597 +tp4598 +a(g883 +Vlocal +p4599 +tp4600 +a(g692 +g993 +tp4601 +a(g900 +g995 +tp4602 +a(g216 +g1003 +tp4603 +a(g216 +Vval +p4604 +tp4605 +a(g216 +g1003 +tp4606 +a(g900 +g995 +tp4607 +a(g399 +g1033 +tp4608 +a(g900 +g995 +tp4609 +a(g822 +Vnull +p4610 +tp4611 +a(g692 +g1016 +tp4612 +a(g900 +V\u000a +p4613 +tp4614 +a(g818 +VWhile +p4615 +tp4616 +a(g692 +g993 +tp4617 +a(g900 +g995 +tp4618 +a(g692 +g997 +tp4619 +a(g692 +g997 +tp4620 +a(g448 +V#temp +p4621 +tp4622 +a(g900 +g995 +tp4623 +a(g399 +V:= +p4624 +tp4625 +a(g900 +g995 +tp4626 +a(g448 +V#ibytes +p4627 +tp4628 +a(g399 +V-> +p4629 +tp4630 +a(g668 +Vexport8bits +p4631 +tp4632 +a(g692 +g1008 +tp4633 +a(g900 +g995 +tp4634 +a(g399 +V!= +p4635 +tp4636 +a(g900 +g995 +tp4637 +a(g22 +V125 +p4638 +tp4639 +a(g692 +g1008 +tp4640 +a(g692 +g1016 +tp4641 +a(g900 +g995 +tp4642 +a(g744 +V// }\u000a +p4643 +tp4644 +a(g900 +V +p4645 +tp4646 +a(g818 +VIf +p4647 +tp4648 +a(g692 +g993 +tp4649 +a(g900 +g995 +tp4650 +a(g692 +g997 +tp4651 +a(g448 +V#delimit +p4652 +tp4653 +a(g900 +g995 +tp4654 +a(g399 +V>> +p4655 +tp4656 +a(g900 +g995 +tp4657 +a(g448 +V#temp +p4658 +tp4659 +a(g692 +g1008 +tp4660 +a(g692 +g1016 +tp4661 +a(g900 +V\u000a +p4662 +tp4663 +a(g744 +V// Discard whitespace \u000a +p4664 +tp4665 +a(g900 +V +p4666 +tp4667 +a(g818 +VElse +p4668 +tp4669 +a(g692 +g993 +tp4670 +a(g900 +g995 +tp4671 +a(g692 +g997 +tp4672 +a(g448 +V#key +p4673 +tp4674 +a(g900 +g995 +tp4675 +a(g399 +V!== +p4676 +tp4677 +a(g900 +g995 +tp4678 +a(g822 +Vnull +p4679 +tp4680 +a(g692 +g1008 +tp4681 +a(g900 +g995 +tp4682 +a(g399 +V&& +p4683 +tp4684 +a(g900 +g995 +tp4685 +a(g692 +g997 +tp4686 +a(g448 +V#temp +p4687 +tp4688 +a(g900 +g995 +tp4689 +a(g399 +V== +p4690 +tp4691 +a(g900 +g995 +tp4692 +a(g22 +V34 +p4693 +tp4694 +a(g692 +g1008 +tp4695 +a(g692 +g1016 +tp4696 +a(g900 +g995 +tp4697 +a(g744 +V// "\u000a +p4698 +tp4699 +a(g900 +V +p4700 +tp4701 +a(g448 +V#output +p4702 +tp4703 +a(g399 +V-> +p4704 +tp4705 +a(g692 +g997 +tp4706 +a(g637 +Vinsert +p4707 +tp4708 +a(g692 +g993 +tp4709 +a(g900 +g995 +tp4710 +a(g448 +V#key +p4711 +tp4712 +a(g900 +g995 +tp4713 +a(g399 +g1033 +tp4714 +a(g900 +g995 +tp4715 +a(g692 +g997 +tp4716 +a(g637 +Vconsume_string +p4717 +tp4718 +a(g692 +g993 +tp4719 +a(g900 +g995 +tp4720 +a(g692 +g3002 +tp4721 +a(g448 +V#ibytes +p4722 +tp4723 +a(g692 +g1008 +tp4724 +a(g692 +g1008 +tp4725 +a(g692 +g1016 +tp4726 +a(g900 +V\u000a +p4727 +tp4728 +a(g448 +V#key +p4729 +tp4730 +a(g900 +g995 +tp4731 +a(g399 +g1033 +tp4732 +a(g900 +g995 +tp4733 +a(g822 +Vnull +p4734 +tp4735 +a(g692 +g1016 +tp4736 +a(g900 +V\u000a +p4737 +tp4738 +a(g818 +VElse +p4739 +tp4740 +a(g692 +g993 +tp4741 +a(g900 +g995 +tp4742 +a(g692 +g997 +tp4743 +a(g448 +V#key +p4744 +tp4745 +a(g900 +g995 +tp4746 +a(g399 +V!== +p4747 +tp4748 +a(g900 +g995 +tp4749 +a(g822 +Vnull +p4750 +tp4751 +a(g692 +g1008 +tp4752 +a(g900 +g995 +tp4753 +a(g399 +V&& +p4754 +tp4755 +a(g900 +g995 +tp4756 +a(g692 +g997 +tp4757 +a(g448 +V#temp +p4758 +tp4759 +a(g900 +g995 +tp4760 +a(g399 +V== +p4761 +tp4762 +a(g900 +g995 +tp4763 +a(g22 +V91 +p4764 +tp4765 +a(g692 +g1008 +tp4766 +a(g692 +g1016 +tp4767 +a(g900 +g995 +tp4768 +a(g744 +V// [\u000a +p4769 +tp4770 +a(g900 +V +p4771 +tp4772 +a(g448 +V#output +p4773 +tp4774 +a(g399 +V-> +p4775 +tp4776 +a(g692 +g997 +tp4777 +a(g637 +Vinsert +p4778 +tp4779 +a(g692 +g993 +tp4780 +a(g900 +g995 +tp4781 +a(g448 +V#key +p4782 +tp4783 +a(g900 +g995 +tp4784 +a(g399 +g1033 +tp4785 +a(g900 +g995 +tp4786 +a(g692 +g997 +tp4787 +a(g637 +Vconsume_array +p4788 +tp4789 +a(g692 +g993 +tp4790 +a(g900 +g995 +tp4791 +a(g692 +g3002 +tp4792 +a(g448 +V#ibytes +p4793 +tp4794 +a(g692 +g1008 +tp4795 +a(g692 +g1008 +tp4796 +a(g692 +g1016 +tp4797 +a(g900 +V\u000a +p4798 +tp4799 +a(g448 +V#key +p4800 +tp4801 +a(g900 +g995 +tp4802 +a(g399 +g1033 +tp4803 +a(g900 +g995 +tp4804 +a(g822 +Vnull +p4805 +tp4806 +a(g692 +g1016 +tp4807 +a(g900 +V\u000a +p4808 +tp4809 +a(g818 +VElse +p4810 +tp4811 +a(g692 +g993 +tp4812 +a(g900 +g995 +tp4813 +a(g692 +g997 +tp4814 +a(g448 +V#key +p4815 +tp4816 +a(g900 +g995 +tp4817 +a(g399 +V!== +p4818 +tp4819 +a(g900 +g995 +tp4820 +a(g822 +Vnull +p4821 +tp4822 +a(g692 +g1008 +tp4823 +a(g900 +g995 +tp4824 +a(g399 +V&& +p4825 +tp4826 +a(g900 +g995 +tp4827 +a(g692 +g997 +tp4828 +a(g448 +V#temp +p4829 +tp4830 +a(g900 +g995 +tp4831 +a(g399 +V== +p4832 +tp4833 +a(g900 +g995 +tp4834 +a(g22 +V123 +p4835 +tp4836 +a(g692 +g1008 +tp4837 +a(g692 +g1016 +tp4838 +a(g900 +g995 +tp4839 +a(g744 +V// {\u000a +p4840 +tp4841 +a(g900 +V +p4842 +tp4843 +a(g448 +V#output +p4844 +tp4845 +a(g399 +V-> +p4846 +tp4847 +a(g692 +g997 +tp4848 +a(g637 +Vinsert +p4849 +tp4850 +a(g692 +g993 +tp4851 +a(g900 +g995 +tp4852 +a(g448 +V#key +p4853 +tp4854 +a(g900 +g995 +tp4855 +a(g399 +g1033 +tp4856 +a(g900 +g995 +tp4857 +a(g692 +g997 +tp4858 +a(g637 +Vconsume_object +p4859 +tp4860 +a(g692 +g993 +tp4861 +a(g900 +g995 +tp4862 +a(g692 +g3002 +tp4863 +a(g448 +V#ibytes +p4864 +tp4865 +a(g692 +g1008 +tp4866 +a(g692 +g1008 +tp4867 +a(g692 +g1016 +tp4868 +a(g900 +V\u000a +p4869 +tp4870 +a(g448 +V#key +p4871 +tp4872 +a(g900 +g995 +tp4873 +a(g399 +g1033 +tp4874 +a(g900 +g995 +tp4875 +a(g822 +Vnull +p4876 +tp4877 +a(g692 +g1016 +tp4878 +a(g900 +V\u000a +p4879 +tp4880 +a(g818 +VElse +p4881 +tp4882 +a(g692 +g993 +tp4883 +a(g900 +g995 +tp4884 +a(g692 +g997 +tp4885 +a(g448 +V#key +p4886 +tp4887 +a(g900 +g995 +tp4888 +a(g399 +V!== +p4889 +tp4890 +a(g900 +g995 +tp4891 +a(g822 +Vnull +p4892 +tp4893 +a(g692 +g1008 +tp4894 +a(g692 +g1016 +tp4895 +a(g900 +V\u000a +p4896 +tp4897 +a(g448 +V#output +p4898 +tp4899 +a(g399 +V-> +p4900 +tp4901 +a(g692 +g997 +tp4902 +a(g637 +Vinsert +p4903 +tp4904 +a(g692 +g993 +tp4905 +a(g900 +g995 +tp4906 +a(g448 +V#key +p4907 +tp4908 +a(g900 +g995 +tp4909 +a(g399 +g1033 +tp4910 +a(g900 +g995 +tp4911 +a(g692 +g997 +tp4912 +a(g637 +Vconsume_token +p4913 +tp4914 +a(g692 +g993 +tp4915 +a(g900 +g995 +tp4916 +a(g692 +g3002 +tp4917 +a(g448 +V#ibytes +p4918 +tp4919 +a(g692 +g1028 +tp4920 +a(g900 +g995 +tp4921 +a(g692 +g3002 +tp4922 +a(g448 +V#temp +p4923 +tp4924 +a(g692 +g1008 +tp4925 +a(g692 +g1008 +tp4926 +a(g692 +g1016 +tp4927 +a(g900 +V\u000a +p4928 +tp4929 +a(g692 +g997 +tp4930 +a(g448 +V#temp +p4931 +tp4932 +a(g900 +g995 +tp4933 +a(g399 +V== +p4934 +tp4935 +a(g900 +g995 +tp4936 +a(g22 +V125 +p4937 +tp4938 +a(g692 +g1008 +tp4939 +a(g900 +g995 +tp4940 +a(g399 +g1879 +tp4941 +a(g900 +g995 +tp4942 +a(g818 +VLoop_abort +p4943 +tp4944 +a(g692 +g1016 +tp4945 +a(g900 +V\u000a +p4946 +tp4947 +a(g448 +V#key +p4948 +tp4949 +a(g900 +g995 +tp4950 +a(g399 +g1033 +tp4951 +a(g900 +g995 +tp4952 +a(g822 +Vnull +p4953 +tp4954 +a(g692 +g1016 +tp4955 +a(g900 +V\u000a +p4956 +tp4957 +a(g818 +VElse +p4958 +tp4959 +a(g692 +g1016 +tp4960 +a(g900 +V\u000a +p4961 +tp4962 +a(g448 +V#key +p4963 +tp4964 +a(g900 +g995 +tp4965 +a(g399 +g1033 +tp4966 +a(g900 +g995 +tp4967 +a(g692 +g997 +tp4968 +a(g637 +Vconsume_string +p4969 +tp4970 +a(g692 +g993 +tp4971 +a(g900 +g995 +tp4972 +a(g692 +g3002 +tp4973 +a(g448 +V#ibytes +p4974 +tp4975 +a(g692 +g1008 +tp4976 +a(g692 +g1016 +tp4977 +a(g900 +V\u000a +p4978 +tp4979 +a(g818 +Vwhile +p4980 +tp4981 +a(g692 +g997 +tp4982 +a(g448 +V#delimit +p4983 +tp4984 +a(g900 +g995 +tp4985 +a(g399 +V>> +p4986 +tp4987 +a(g900 +g995 +tp4988 +a(g692 +g997 +tp4989 +a(g448 +V#temp +p4990 +tp4991 +a(g900 +g995 +tp4992 +a(g399 +V:= +p4993 +tp4994 +a(g900 +g995 +tp4995 +a(g448 +V#ibytes +p4996 +tp4997 +a(g399 +V-> +p4998 +tp4999 +a(g668 +Vexport8bits +p5000 +tp5001 +a(g692 +g1008 +tp5002 +a(g692 +g1008 +tp5003 +a(g692 +g1016 +tp5004 +a(g900 +V\u000a +p5005 +tp5006 +a(g692 +g1268 +tp5007 +a(g818 +Vwhile +p5008 +tp5009 +a(g692 +g1016 +tp5010 +a(g900 +V\u000a +p5011 +tp5012 +a(g448 +V#temp +p5013 +tp5014 +a(g900 +g995 +tp5015 +a(g399 +V!= +p5016 +tp5017 +a(g900 +g995 +tp5018 +a(g22 +V58 +p5019 +tp5020 +a(g900 +g995 +tp5021 +a(g399 +g1879 +tp5022 +a(g900 +g995 +tp5023 +a(g818 +VLoop_Abort +p5024 +tp5025 +a(g692 +g1016 +tp5026 +a(g900 +V\u000a +p5027 +tp5028 +a(g692 +g1268 +tp5029 +a(g818 +VIf +p5030 +tp5031 +a(g692 +g1016 +tp5032 +a(g900 +V\u000a +p5033 +tp5034 +a(g692 +g1268 +tp5035 +a(g818 +VWhile +p5036 +tp5037 +a(g692 +g1016 +tp5038 +a(g900 +V\u000a +p5039 +tp5040 +a(g818 +VIf +p5041 +tp5042 +a(g692 +g993 +tp5043 +a(g900 +g995 +tp5044 +a(g692 +g997 +tp5045 +a(g448 +V#output +p5046 +tp5047 +a(g900 +g995 +tp5048 +a(g399 +V>> +p5049 +tp5050 +a(g900 +g995 +tp5051 +a(g216 +g1003 +tp5052 +a(g216 +V__jsonclass__ +p5053 +tp5054 +a(g216 +g1003 +tp5055 +a(g692 +g1008 +tp5056 +a(g900 +g995 +tp5057 +a(g399 +V&& +p5058 +tp5059 +a(g900 +g995 +tp5060 +a(g692 +g997 +tp5061 +a(g448 +V#output +p5062 +tp5063 +a(g399 +V-> +p5064 +tp5065 +a(g692 +g997 +tp5066 +a(g637 +VFind +p5067 +tp5068 +a(g692 +g993 +tp5069 +a(g900 +g995 +tp5070 +a(g216 +g1003 +tp5071 +a(g216 +V__jsonclass__ +p5072 +tp5073 +a(g216 +g1003 +tp5074 +a(g692 +g1008 +tp5075 +a(g399 +V-> +p5076 +tp5077 +a(g692 +g997 +tp5078 +a(g637 +Visa +p5079 +tp5080 +a(g692 +g993 +tp5081 +a(g900 +g995 +tp5082 +a(g216 +g1003 +tp5083 +a(g216 +Varray +p5084 +tp5085 +a(g216 +g1003 +tp5086 +a(g692 +g1008 +tp5087 +a(g692 +g1008 +tp5088 +a(g900 +g995 +tp5089 +a(g399 +V&& +p5090 +tp5091 +a(g900 +g995 +tp5092 +a(g692 +g997 +tp5093 +a(g448 +V#output +p5094 +tp5095 +a(g399 +V-> +p5096 +tp5097 +a(g692 +g997 +tp5098 +a(g637 +VFind +p5099 +tp5100 +a(g692 +g993 +tp5101 +a(g900 +g995 +tp5102 +a(g216 +g1003 +tp5103 +a(g216 +V__jsonclass__ +p5104 +tp5105 +a(g216 +g1003 +tp5106 +a(g692 +g1008 +tp5107 +a(g399 +V-> +p5108 +tp5109 +a(g668 +Vsize +p5110 +tp5111 +a(g900 +g995 +tp5112 +a(g399 +V>= +p5113 +tp5114 +a(g900 +g995 +tp5115 +a(g22 +V2 +p5116 +tp5117 +a(g692 +g1008 +tp5118 +a(g900 +g995 +tp5119 +a(g399 +V&& +p5120 +tp5121 +a(g900 +g995 +tp5122 +a(g692 +g997 +tp5123 +a(g448 +V#output +p5124 +tp5125 +a(g399 +V-> +p5126 +tp5127 +a(g692 +g997 +tp5128 +a(g637 +VFind +p5129 +tp5130 +a(g692 +g993 +tp5131 +a(g900 +g995 +tp5132 +a(g216 +g1003 +tp5133 +a(g216 +V__jsonclass__ +p5134 +tp5135 +a(g216 +g1003 +tp5136 +a(g692 +g1008 +tp5137 +a(g399 +V-> +p5138 +tp5139 +a(g668 +VFirst +p5140 +tp5141 +a(g900 +g995 +tp5142 +a(g399 +V== +p5143 +tp5144 +a(g900 +g995 +tp5145 +a(g216 +g1003 +tp5146 +a(g216 +Vdeserialize +p5147 +tp5148 +a(g216 +g1003 +tp5149 +a(g692 +g1008 +tp5150 +a(g692 +g1016 +tp5151 +a(g900 +V\u000a +p5152 +tp5153 +a(g818 +VReturn +p5154 +tp5155 +a(g692 +g993 +tp5156 +a(g900 +g995 +tp5157 +a(g448 +V#output +p5158 +tp5159 +a(g399 +V-> +p5160 +tp5161 +a(g692 +g997 +tp5162 +a(g637 +Vfind +p5163 +tp5164 +a(g692 +g993 +tp5165 +a(g900 +g995 +tp5166 +a(g216 +g1003 +tp5167 +a(g216 +V__jsonclass__ +p5168 +tp5169 +a(g216 +g1003 +tp5170 +a(g692 +g1008 +tp5171 +a(g399 +V-> +p5172 +tp5173 +a(g668 +VSecond +p5174 +tp5175 +a(g399 +V-> +p5176 +tp5177 +a(g668 +VFirst +p5178 +tp5179 +a(g692 +g1016 +tp5180 +a(g900 +V\u000a +p5181 +tp5182 +a(g818 +VElse +p5183 +tp5184 +a(g692 +g993 +tp5185 +a(g900 +g995 +tp5186 +a(g692 +g997 +tp5187 +a(g448 +V#output +p5188 +tp5189 +a(g900 +g995 +tp5190 +a(g399 +V>> +p5191 +tp5192 +a(g900 +g995 +tp5193 +a(g216 +g1003 +tp5194 +a(g216 +Vnative +p5195 +tp5196 +a(g216 +g1003 +tp5197 +a(g692 +g1008 +tp5198 +a(g900 +g995 +tp5199 +a(g399 +V&& +p5200 +tp5201 +a(g900 +g995 +tp5202 +a(g692 +g997 +tp5203 +a(g448 +V#output +p5204 +tp5205 +a(g900 +g995 +tp5206 +a(g399 +V>> +p5207 +tp5208 +a(g900 +g995 +tp5209 +a(g216 +g1003 +tp5210 +a(g216 +Vcomment +p5211 +tp5212 +a(g216 +g1003 +tp5213 +a(g692 +g1008 +tp5214 +a(g900 +g995 +tp5215 +a(g399 +V&& +p5216 +tp5217 +a(g900 +g995 +tp5218 +a(g692 +g997 +tp5219 +a(g448 +V#output +p5220 +tp5221 +a(g399 +V-> +p5222 +tp5223 +a(g692 +g997 +tp5224 +a(g637 +Vfind +p5225 +tp5226 +a(g692 +g993 +tp5227 +a(g900 +g995 +tp5228 +a(g216 +g1003 +tp5229 +a(g216 +Vcomment +p5230 +tp5231 +a(g216 +g1003 +tp5232 +a(g692 +g1008 +tp5233 +a(g900 +g995 +tp5234 +a(g399 +V== +p5235 +tp5236 +a(g900 +g995 +tp5237 +a(g216 +g1003 +tp5238 +a(g216 +Vhttp://www.lassosoft.com/json +p5239 +tp5240 +a(g216 +g1003 +tp5241 +a(g692 +g1008 +tp5242 +a(g692 +g1016 +tp5243 +a(g900 +V\u000a +p5244 +tp5245 +a(g818 +VReturn +p5246 +tp5247 +a(g692 +g993 +tp5248 +a(g900 +g995 +tp5249 +a(g448 +V#output +p5250 +tp5251 +a(g399 +V-> +p5252 +tp5253 +a(g692 +g997 +tp5254 +a(g637 +Vfind +p5255 +tp5256 +a(g692 +g993 +tp5257 +a(g900 +g995 +tp5258 +a(g216 +g1003 +tp5259 +a(g216 +Vnative +p5260 +tp5261 +a(g216 +g1003 +tp5262 +a(g692 +g1008 +tp5263 +a(g692 +g1016 +tp5264 +a(g900 +V\u000a +p5265 +tp5266 +a(g692 +g1268 +tp5267 +a(g818 +VIf +p5268 +tp5269 +a(g692 +g1016 +tp5270 +a(g900 +V\u000a +p5271 +tp5272 +a(g818 +VReturn +p5273 +tp5274 +a(g692 +g993 +tp5275 +a(g900 +g995 +tp5276 +a(g692 +g3002 +tp5277 +a(g448 +V#output +p5278 +tp5279 +a(g692 +g1016 +tp5280 +a(g900 +V\u000a +p5281 +tp5282 +a(g692 +g1268 +tp5283 +a(g818 +VDefine_Tag +p5284 +tp5285 +a(g692 +g1016 +tp5286 +a(g900 +V\u000a \u000a +p5287 +tp5288 +a(g883 +VLocal +p5289 +tp5290 +a(g692 +g993 +tp5291 +a(g900 +g995 +tp5292 +a(g216 +g1003 +tp5293 +a(g216 +Vibytes +p5294 +tp5295 +a(g216 +g1003 +tp5296 +a(g900 +g995 +tp5297 +a(g399 +g1033 +tp5298 +a(g900 +g995 +tp5299 +a(g692 +g997 +tp5300 +a(g822 +Vbytes +p5301 +tp5302 +a(g692 +g993 +tp5303 +a(g900 +g995 +tp5304 +a(g448 +V#value +p5305 +tp5306 +a(g692 +g1008 +tp5307 +a(g692 +g1016 +tp5308 +a(g900 +V\u000a +p5309 +tp5310 +a(g883 +VLocal +p5311 +tp5312 +a(g692 +g993 +tp5313 +a(g900 +g995 +tp5314 +a(g216 +g1003 +tp5315 +a(g216 +Vstart +p5316 +tp5317 +a(g216 +g1003 +tp5318 +a(g900 +g995 +tp5319 +a(g399 +g1033 +tp5320 +a(g900 +g995 +tp5321 +a(g22 +g3358 +tp5322 +a(g692 +g1016 +tp5323 +a(g900 +V\u000a +p5324 +tp5325 +a(g448 +V#ibytes +p5326 +tp5327 +a(g399 +V-> +p5328 +tp5329 +a(g668 +VremoveLeading +p5330 +tp5331 +a(g692 +g997 +tp5332 +a(g668 +VBOM_UTF8 +p5333 +tp5334 +a(g692 +g1008 +tp5335 +a(g692 +g1016 +tp5336 +a(g900 +V\u000a +p5337 +tp5338 +a(g883 +VLocal +p5339 +tp5340 +a(g692 +g993 +tp5341 +a(g900 +g995 +tp5342 +a(g216 +g1003 +tp5343 +a(g216 +Vtemp +p5344 +tp5345 +a(g216 +g1003 +tp5346 +a(g900 +g995 +tp5347 +a(g399 +g1033 +tp5348 +a(g900 +g995 +tp5349 +a(g448 +V#ibytes +p5350 +tp5351 +a(g399 +V-> +p5352 +tp5353 +a(g668 +Vexport8bits +p5354 +tp5355 +a(g692 +g1016 +tp5356 +a(g900 +V\u000a +p5357 +tp5358 +a(g818 +VIf +p5359 +tp5360 +a(g692 +g993 +tp5361 +a(g900 +g995 +tp5362 +a(g692 +g997 +tp5363 +a(g448 +V#temp +p5364 +tp5365 +a(g900 +g995 +tp5366 +a(g399 +V== +p5367 +tp5368 +a(g900 +g995 +tp5369 +a(g22 +V91 +p5370 +tp5371 +a(g692 +g1008 +tp5372 +a(g692 +g1016 +tp5373 +a(g900 +g995 +tp5374 +a(g744 +V// [\u000a +p5375 +tp5376 +a(g900 +V +p5377 +tp5378 +a(g883 +VLocal +p5379 +tp5380 +a(g692 +g993 +tp5381 +a(g900 +g995 +tp5382 +a(g216 +g1003 +tp5383 +a(g216 +Voutput +p5384 +tp5385 +a(g216 +g1003 +tp5386 +a(g900 +g995 +tp5387 +a(g399 +g1033 +tp5388 +a(g900 +g995 +tp5389 +a(g692 +g997 +tp5390 +a(g637 +Vconsume_array +p5391 +tp5392 +a(g692 +g993 +tp5393 +a(g900 +g995 +tp5394 +a(g692 +g3002 +tp5395 +a(g448 +V#ibytes +p5396 +tp5397 +a(g692 +g1008 +tp5398 +a(g692 +g1016 +tp5399 +a(g900 +V\u000a +p5400 +tp5401 +a(g818 +VReturn +p5402 +tp5403 +a(g692 +g993 +tp5404 +a(g900 +g995 +tp5405 +a(g692 +g3002 +tp5406 +a(g448 +V#output +p5407 +tp5408 +a(g692 +g1016 +tp5409 +a(g900 +V\u000a +p5410 +tp5411 +a(g818 +VElse +p5412 +tp5413 +a(g692 +g993 +tp5414 +a(g900 +g995 +tp5415 +a(g692 +g997 +tp5416 +a(g448 +V#temp +p5417 +tp5418 +a(g900 +g995 +tp5419 +a(g399 +V== +p5420 +tp5421 +a(g900 +g995 +tp5422 +a(g22 +V123 +p5423 +tp5424 +a(g692 +g1008 +tp5425 +a(g692 +g1016 +tp5426 +a(g900 +g995 +tp5427 +a(g744 +V// {\u000a +p5428 +tp5429 +a(g900 +V +p5430 +tp5431 +a(g883 +VLocal +p5432 +tp5433 +a(g692 +g993 +tp5434 +a(g900 +g995 +tp5435 +a(g216 +g1003 +tp5436 +a(g216 +Voutput +p5437 +tp5438 +a(g216 +g1003 +tp5439 +a(g900 +g995 +tp5440 +a(g399 +g1033 +tp5441 +a(g900 +g995 +tp5442 +a(g692 +g997 +tp5443 +a(g637 +Vconsume_object +p5444 +tp5445 +a(g692 +g993 +tp5446 +a(g900 +g995 +tp5447 +a(g692 +g3002 +tp5448 +a(g448 +V#ibytes +p5449 +tp5450 +a(g692 +g1008 +tp5451 +a(g692 +g1016 +tp5452 +a(g900 +V\u000a +p5453 +tp5454 +a(g818 +VReturn +p5455 +tp5456 +a(g692 +g993 +tp5457 +a(g900 +g995 +tp5458 +a(g692 +g3002 +tp5459 +a(g448 +V#output +p5460 +tp5461 +a(g692 +g1016 +tp5462 +a(g900 +V\u000a +p5463 +tp5464 +a(g692 +g1268 +tp5465 +a(g818 +VIf +p5466 +tp5467 +a(g692 +g1016 +tp5468 +a(g900 +V\u000a \u000a +p5469 +tp5470 +a(g692 +g1268 +tp5471 +a(g818 +VDefine_Tag +p5472 +tp5473 +a(g692 +g1016 +tp5474 +a(g900 +V\u000a\u000a +p5475 +tp5476 +a(g692 +g1268 +tp5477 +a(g818 +VIf +p5478 +tp5479 +a(g692 +g1016 +tp5480 +a(g900 +V\u000a \u000a +p5481 +tp5482 +a(g818 +VIf +p5483 +tp5484 +a(g692 +g993 +tp5485 +a(g900 +g995 +tp5486 +a(g692 +g997 +tp5487 +a(g668 +VLasso_TagExists +p5488 +tp5489 +a(g692 +g993 +tp5490 +a(g900 +g995 +tp5491 +a(g216 +g1003 +tp5492 +a(g216 +VLiteral +p5493 +tp5494 +a(g216 +g1003 +tp5495 +a(g692 +g1008 +tp5496 +a(g900 +g995 +tp5497 +a(g399 +V== +p5498 +tp5499 +a(g900 +g995 +tp5500 +a(g837 +VFalse +p5501 +tp5502 +a(g692 +g1016 +tp5503 +a(g900 +V\u000a\u000a +p5504 +tp5505 +a(g818 +VDefine_Type +p5506 +tp5507 +a(g692 +g993 +tp5508 +a(g900 +g995 +tp5509 +a(g216 +g1003 +tp5510 +a(g216 +VLiteral +p5511 +tp5512 +a(g216 +g1003 +tp5513 +a(g692 +g1028 +tp5514 +a(g900 +g995 +tp5515 +a(g216 +g1003 +tp5516 +a(g216 +VString +p5517 +tp5518 +a(g216 +g1003 +tp5519 +a(g692 +g1016 +tp5520 +a(g900 +V\u000a +p5521 +tp5522 +a(g692 +g1268 +tp5523 +a(g818 +VDefine_Type +p5524 +tp5525 +a(g692 +g1016 +tp5526 +a(g900 +V\u000a\u000a +p5527 +tp5528 +a(g692 +g1268 +tp5529 +a(g818 +VIf +p5530 +tp5531 +a(g692 +g1016 +tp5532 +a(g900 +V\u000a \u000a +p5533 +tp5534 +a(g818 +VIf +p5535 +tp5536 +a(g692 +g993 +tp5537 +a(g900 +g995 +tp5538 +a(g692 +g997 +tp5539 +a(g668 +VLasso_TagExists +p5540 +tp5541 +a(g692 +g993 +tp5542 +a(g900 +g995 +tp5543 +a(g216 +g1003 +tp5544 +a(g216 +VObject +p5545 +tp5546 +a(g216 +g1003 +tp5547 +a(g692 +g1008 +tp5548 +a(g900 +g995 +tp5549 +a(g399 +V== +p5550 +tp5551 +a(g900 +g995 +tp5552 +a(g837 +VFalse +p5553 +tp5554 +a(g692 +g1016 +tp5555 +a(g900 +V\u000a \u000a +p5556 +tp5557 +a(g818 +VDefine_Type +p5558 +tp5559 +a(g692 +g993 +tp5560 +a(g900 +g995 +tp5561 +a(g216 +g1003 +tp5562 +a(g216 +VObject +p5563 +tp5564 +a(g216 +g1003 +tp5565 +a(g692 +g1028 +tp5566 +a(g900 +g995 +tp5567 +a(g216 +g1003 +tp5568 +a(g216 +VMap +p5569 +tp5570 +a(g216 +g1003 +tp5571 +a(g692 +g1016 +tp5572 +a(g900 +V\u000a +p5573 +tp5574 +a(g692 +g1268 +tp5575 +a(g818 +VDefine_Type +p5576 +tp5577 +a(g692 +g1016 +tp5578 +a(g900 +V\u000a \u000a +p5579 +tp5580 +a(g692 +g1268 +tp5581 +a(g818 +VIf +p5582 +tp5583 +a(g692 +g1016 +tp5584 +a(g900 +V\u000a\u000a +p5585 +tp5586 +a(g818 +VIf +p5587 +tp5588 +a(g692 +g993 +tp5589 +a(g900 +g995 +tp5590 +a(g692 +g997 +tp5591 +a(g668 +VLasso_TagExists +p5592 +tp5593 +a(g692 +g993 +tp5594 +a(g900 +g995 +tp5595 +a(g216 +g1003 +tp5596 +a(g216 +VJSON_RPCCall +p5597 +tp5598 +a(g216 +g1003 +tp5599 +a(g692 +g1008 +tp5600 +a(g900 +g995 +tp5601 +a(g399 +V== +p5602 +tp5603 +a(g900 +g995 +tp5604 +a(g837 +VFalse +p5605 +tp5606 +a(g692 +g1016 +tp5607 +a(g900 +V\u000a \u000a +p5608 +tp5609 +a(g818 +VDefine_Tag +p5610 +tp5611 +a(g692 +g993 +tp5612 +a(g900 +g995 +tp5613 +a(g216 +g1003 +tp5614 +a(g216 +VRPCCall +p5615 +tp5616 +a(g216 +g1003 +tp5617 +a(g692 +g1028 +tp5618 +a(g900 +g995 +tp5619 +a(g653 +V-Namespace +p5620 +tp5621 +a(g399 +g1033 +tp5622 +a(g216 +g1003 +tp5623 +a(g216 +VJSON_ +p5624 +tp5625 +a(g216 +g1003 +tp5626 +a(g692 +g1028 +tp5627 +a(g900 +V\u000a +p5628 +tp5629 +a(g653 +V-Required +p5630 +tp5631 +a(g399 +g1033 +tp5632 +a(g216 +g1003 +tp5633 +a(g216 +Vmethod +p5634 +tp5635 +a(g216 +g1003 +tp5636 +a(g692 +g1028 +tp5637 +a(g900 +V\u000a +p5638 +tp5639 +a(g653 +V-Optional +p5640 +tp5641 +a(g399 +g1033 +tp5642 +a(g216 +g1003 +tp5643 +a(g216 +Vparams +p5644 +tp5645 +a(g216 +g1003 +tp5646 +a(g692 +g1028 +tp5647 +a(g900 +V\u000a +p5648 +tp5649 +a(g653 +V-Optional +p5650 +tp5651 +a(g399 +g1033 +tp5652 +a(g216 +g1003 +tp5653 +a(g216 +Vid +p5654 +tp5655 +a(g216 +g1003 +tp5656 +a(g692 +g1028 +tp5657 +a(g900 +V\u000a +p5658 +tp5659 +a(g653 +V-Optional +p5660 +tp5661 +a(g399 +g1033 +tp5662 +a(g216 +g1003 +tp5663 +a(g216 +Vhost +p5664 +tp5665 +a(g216 +g1003 +tp5666 +a(g692 +g1016 +tp5667 +a(g900 +V\u000a\u000a +p5668 +tp5669 +a(g399 +g1209 +tp5670 +a(g692 +g997 +tp5671 +a(g668 +VLocal_Defined +p5672 +tp5673 +a(g692 +g993 +tp5674 +a(g900 +g995 +tp5675 +a(g216 +g1003 +tp5676 +a(g216 +Vhost +p5677 +tp5678 +a(g216 +g1003 +tp5679 +a(g692 +g1008 +tp5680 +a(g900 +g995 +tp5681 +a(g399 +g1879 +tp5682 +a(g900 +g995 +tp5683 +a(g883 +VLocal +p5684 +tp5685 +a(g692 +g993 +tp5686 +a(g900 +g995 +tp5687 +a(g216 +g1003 +tp5688 +a(g216 +Vhost +p5689 +tp5690 +a(g216 +g1003 +tp5691 +a(g900 +g995 +tp5692 +a(g399 +g1033 +tp5693 +a(g900 +g995 +tp5694 +a(g216 +g1003 +tp5695 +a(g216 +Vhttp://localhost/lassoapps.8/rpc/rpc.lasso +p5696 +tp5697 +a(g216 +g1003 +tp5698 +a(g692 +g1016 +tp5699 +a(g900 +V\u000a +p5700 +tp5701 +a(g399 +g1209 +tp5702 +a(g692 +g997 +tp5703 +a(g668 +VLocal_Defined +p5704 +tp5705 +a(g692 +g993 +tp5706 +a(g900 +g995 +tp5707 +a(g216 +g1003 +tp5708 +a(g216 +Vid +p5709 +tp5710 +a(g216 +g1003 +tp5711 +a(g692 +g1008 +tp5712 +a(g900 +g995 +tp5713 +a(g399 +g1879 +tp5714 +a(g900 +g995 +tp5715 +a(g883 +VLocal +p5716 +tp5717 +a(g692 +g993 +tp5718 +a(g900 +g995 +tp5719 +a(g216 +g1003 +tp5720 +a(g216 +Vid +p5721 +tp5722 +a(g216 +g1003 +tp5723 +a(g900 +g995 +tp5724 +a(g399 +g1033 +tp5725 +a(g900 +g995 +tp5726 +a(g668 +VLasso_UniqueID +p5727 +tp5728 +a(g692 +g1016 +tp5729 +a(g900 +V\u000a +p5730 +tp5731 +a(g883 +VLocal +p5732 +tp5733 +a(g692 +g993 +tp5734 +a(g900 +g995 +tp5735 +a(g216 +g1003 +tp5736 +a(g216 +Vrequest +p5737 +tp5738 +a(g216 +g1003 +tp5739 +a(g900 +g995 +tp5740 +a(g399 +g1033 +tp5741 +a(g900 +g995 +tp5742 +a(g692 +g997 +tp5743 +a(g822 +VMap +p5744 +tp5745 +a(g692 +g993 +tp5746 +a(g900 +g995 +tp5747 +a(g216 +g1003 +tp5748 +a(g216 +Vmethod +p5749 +tp5750 +a(g216 +g1003 +tp5751 +a(g900 +g995 +tp5752 +a(g399 +g1033 +tp5753 +a(g900 +g995 +tp5754 +a(g448 +V#method +p5755 +tp5756 +a(g692 +g1028 +tp5757 +a(g900 +g995 +tp5758 +a(g216 +g1003 +tp5759 +a(g216 +Vparams +p5760 +tp5761 +a(g216 +g1003 +tp5762 +a(g900 +g995 +tp5763 +a(g399 +g1033 +tp5764 +a(g900 +g995 +tp5765 +a(g448 +V#params +p5766 +tp5767 +a(g692 +g1028 +tp5768 +a(g900 +g995 +tp5769 +a(g216 +g1003 +tp5770 +a(g216 +Vid +p5771 +tp5772 +a(g216 +g1003 +tp5773 +a(g900 +g995 +tp5774 +a(g399 +g1033 +tp5775 +a(g900 +g995 +tp5776 +a(g448 +V#id +p5777 +tp5778 +a(g692 +g1008 +tp5779 +a(g692 +g1016 +tp5780 +a(g900 +V\u000a +p5781 +tp5782 +a(g883 +VLocal +p5783 +tp5784 +a(g692 +g993 +tp5785 +a(g900 +g995 +tp5786 +a(g216 +g1003 +tp5787 +a(g216 +Vrequest +p5788 +tp5789 +a(g216 +g1003 +tp5790 +a(g900 +g995 +tp5791 +a(g399 +g1033 +tp5792 +a(g900 +g995 +tp5793 +a(g692 +g997 +tp5794 +a(g668 +VEncode_JSON +p5795 +tp5796 +a(g692 +g993 +tp5797 +a(g900 +g995 +tp5798 +a(g448 +V#request +p5799 +tp5800 +a(g692 +g1008 +tp5801 +a(g692 +g1016 +tp5802 +a(g900 +V\u000a +p5803 +tp5804 +a(g883 +VLocal +p5805 +tp5806 +a(g692 +g993 +tp5807 +a(g900 +g995 +tp5808 +a(g216 +g1003 +tp5809 +a(g216 +Vresult +p5810 +tp5811 +a(g216 +g1003 +tp5812 +a(g900 +g995 +tp5813 +a(g399 +g1033 +tp5814 +a(g900 +g995 +tp5815 +a(g692 +g997 +tp5816 +a(g668 +VInclude_URL +p5817 +tp5818 +a(g692 +g993 +tp5819 +a(g900 +g995 +tp5820 +a(g448 +V#host +p5821 +tp5822 +a(g692 +g1028 +tp5823 +a(g900 +g995 +tp5824 +a(g653 +V-PostParams +p5825 +tp5826 +a(g399 +g1033 +tp5827 +a(g448 +V#request +p5828 +tp5829 +a(g692 +g1008 +tp5830 +a(g692 +g1016 +tp5831 +a(g900 +V\u000a +p5832 +tp5833 +a(g883 +VLocal +p5834 +tp5835 +a(g692 +g993 +tp5836 +a(g900 +g995 +tp5837 +a(g216 +g1003 +tp5838 +a(g216 +Vresult +p5839 +tp5840 +a(g216 +g1003 +tp5841 +a(g900 +g995 +tp5842 +a(g399 +g1033 +tp5843 +a(g900 +g995 +tp5844 +a(g692 +g997 +tp5845 +a(g668 +VDecode_JSON +p5846 +tp5847 +a(g692 +g993 +tp5848 +a(g900 +g995 +tp5849 +a(g448 +V#result +p5850 +tp5851 +a(g692 +g1008 +tp5852 +a(g692 +g1016 +tp5853 +a(g900 +V\u000a +p5854 +tp5855 +a(g818 +VReturn +p5856 +tp5857 +a(g692 +g993 +tp5858 +a(g900 +g995 +tp5859 +a(g692 +g3002 +tp5860 +a(g448 +V#result +p5861 +tp5862 +a(g692 +g1016 +tp5863 +a(g900 +V\u000a\u000a +p5864 +tp5865 +a(g692 +g1268 +tp5866 +a(g818 +VDefine_Tag +p5867 +tp5868 +a(g692 +g1016 +tp5869 +a(g900 +V\u000a \u000a +p5870 +tp5871 +a(g692 +g1268 +tp5872 +a(g818 +VIf +p5873 +tp5874 +a(g692 +g1016 +tp5875 +a(g900 +V\u000a\u000a +p5876 +tp5877 +a(g818 +VIf +p5878 +tp5879 +a(g692 +g993 +tp5880 +a(g900 +g995 +tp5881 +a(g692 +g997 +tp5882 +a(g668 +VLasso_TagExists +p5883 +tp5884 +a(g692 +g993 +tp5885 +a(g900 +g995 +tp5886 +a(g216 +g1003 +tp5887 +a(g216 +VJSON_Records +p5888 +tp5889 +a(g216 +g1003 +tp5890 +a(g692 +g1008 +tp5891 +a(g900 +g995 +tp5892 +a(g399 +V== +p5893 +tp5894 +a(g900 +g995 +tp5895 +a(g837 +VFalse +p5896 +tp5897 +a(g692 +g1016 +tp5898 +a(g900 +V\u000a\u000a +p5899 +tp5900 +a(g818 +VDefine_Tag +p5901 +tp5902 +a(g692 +g993 +tp5903 +a(g900 +g995 +tp5904 +a(g216 +g1003 +tp5905 +a(g216 +VJSON_Records +p5906 +tp5907 +a(g216 +g1003 +tp5908 +a(g692 +g1028 +tp5909 +a(g900 +V\u000a +p5910 +tp5911 +a(g653 +V-Optional +p5912 +tp5913 +a(g399 +g1033 +tp5914 +a(g216 +g1003 +tp5915 +a(g216 +VKeyField +p5916 +tp5917 +a(g216 +g1003 +tp5918 +a(g692 +g1028 +tp5919 +a(g900 +V\u000a +p5920 +tp5921 +a(g653 +V-Optional +p5922 +tp5923 +a(g399 +g1033 +tp5924 +a(g216 +g1003 +tp5925 +a(g216 +VReturnField +p5926 +tp5927 +a(g216 +g1003 +tp5928 +a(g692 +g1028 +tp5929 +a(g900 +V\u000a +p5930 +tp5931 +a(g653 +V-Optional +p5932 +tp5933 +a(g399 +g1033 +tp5934 +a(g216 +g1003 +tp5935 +a(g216 +VExcludeField +p5936 +tp5937 +a(g216 +g1003 +tp5938 +a(g692 +g1028 +tp5939 +a(g900 +V\u000a +p5940 +tp5941 +a(g653 +V-Optional +p5942 +tp5943 +a(g399 +g1033 +tp5944 +a(g216 +g1003 +tp5945 +a(g216 +VFields +p5946 +tp5947 +a(g216 +g1003 +tp5948 +a(g692 +g1016 +tp5949 +a(g900 +V\u000a\u000a +p5950 +tp5951 +a(g883 +VLocal +p5952 +tp5953 +a(g692 +g993 +tp5954 +a(g900 +g995 +tp5955 +a(g216 +g1003 +tp5956 +a(g216 +V_fields +p5957 +tp5958 +a(g216 +g1003 +tp5959 +a(g900 +g995 +tp5960 +a(g399 +g1033 +tp5961 +a(g900 +g995 +tp5962 +a(g692 +g997 +tp5963 +a(g668 +VLocal_Defined +p5964 +tp5965 +a(g692 +g993 +tp5966 +a(g900 +g995 +tp5967 +a(g216 +g1003 +tp5968 +a(g216 +Vfields +p5969 +tp5970 +a(g216 +g1003 +tp5971 +a(g692 +g1008 +tp5972 +a(g900 +g995 +tp5973 +a(g399 +V&& +p5974 +tp5975 +a(g900 +g995 +tp5976 +a(g448 +V#fields +p5977 +tp5978 +a(g399 +V-> +p5979 +tp5980 +a(g692 +g997 +tp5981 +a(g637 +VIsA +p5982 +tp5983 +a(g692 +g993 +tp5984 +a(g900 +g995 +tp5985 +a(g216 +g1003 +tp5986 +a(g216 +Varray +p5987 +tp5988 +a(g216 +g1003 +tp5989 +a(g692 +g1008 +tp5990 +a(g900 +g995 +tp5991 +a(g399 +g1879 +tp5992 +a(g900 +g995 +tp5993 +a(g448 +V#fields +p5994 +tp5995 +a(g900 +g995 +tp5996 +a(g399 +g1885 +tp5997 +a(g900 +g995 +tp5998 +a(g668 +VField_Names +p5999 +tp6000 +a(g692 +g1016 +tp6001 +a(g900 +V\u000a +p6002 +tp6003 +a(g818 +VFail_If +p6004 +tp6005 +a(g692 +g993 +tp6006 +a(g900 +g995 +tp6007 +a(g448 +V#_fields +p6008 +tp6009 +a(g399 +V-> +p6010 +tp6011 +a(g668 +Vsize +p6012 +tp6013 +a(g900 +g995 +tp6014 +a(g399 +V== +p6015 +tp6016 +a(g900 +g995 +tp6017 +a(g22 +g1954 +tp6018 +a(g692 +g1028 +tp6019 +a(g900 +g995 +tp6020 +a(g399 +g3613 +tp6021 +a(g22 +g3358 +tp6022 +a(g692 +g1028 +tp6023 +a(g900 +g995 +tp6024 +a(g216 +g1003 +tp6025 +a(g216 +VNo fields found for [JSON_Records] +p6026 +tp6027 +a(g216 +g1003 +tp6028 +a(g692 +g1016 +tp6029 +a(g900 +V\u000a +p6030 +tp6031 +a(g883 +VLocal +p6032 +tp6033 +a(g692 +g993 +tp6034 +a(g900 +g995 +tp6035 +a(g216 +g1003 +tp6036 +a(g216 +V_keyfield +p6037 +tp6038 +a(g216 +g1003 +tp6039 +a(g900 +g995 +tp6040 +a(g399 +g1033 +tp6041 +a(g900 +g995 +tp6042 +a(g692 +g997 +tp6043 +a(g883 +VLocal +p6044 +tp6045 +a(g692 +g993 +tp6046 +a(g900 +g995 +tp6047 +a(g216 +g1003 +tp6048 +a(g216 +Vkeyfield +p6049 +tp6050 +a(g216 +g1003 +tp6051 +a(g692 +g1008 +tp6052 +a(g692 +g1016 +tp6053 +a(g900 +V\u000a +p6054 +tp6055 +a(g818 +VIf +p6056 +tp6057 +a(g692 +g993 +tp6058 +a(g900 +g995 +tp6059 +a(g448 +V#_fields +p6060 +tp6061 +a(g900 +g995 +tp6062 +a(g399 +V!>> +p6063 +tp6064 +a(g900 +g995 +tp6065 +a(g448 +V#_keyfield +p6066 +tp6067 +a(g692 +g1016 +tp6068 +a(g900 +V\u000a +p6069 +tp6070 +a(g883 +VLocal +p6071 +tp6072 +a(g692 +g993 +tp6073 +a(g900 +g995 +tp6074 +a(g216 +g1003 +tp6075 +a(g216 +V_keyfield +p6076 +tp6077 +a(g216 +g1003 +tp6078 +a(g900 +g995 +tp6079 +a(g399 +g1033 +tp6080 +a(g900 +g995 +tp6081 +a(g692 +g997 +tp6082 +a(g668 +VKeyField_Name +p6083 +tp6084 +a(g692 +g1008 +tp6085 +a(g692 +g1016 +tp6086 +a(g900 +V\u000a +p6087 +tp6088 +a(g818 +VIf +p6089 +tp6090 +a(g692 +g993 +tp6091 +a(g900 +g995 +tp6092 +a(g448 +V#_fields +p6093 +tp6094 +a(g900 +g995 +tp6095 +a(g399 +V!>> +p6096 +tp6097 +a(g900 +g995 +tp6098 +a(g448 +V#_keyfield +p6099 +tp6100 +a(g692 +g1016 +tp6101 +a(g900 +V\u000a +p6102 +tp6103 +a(g883 +VLocal +p6104 +tp6105 +a(g692 +g993 +tp6106 +a(g900 +g995 +tp6107 +a(g216 +g1003 +tp6108 +a(g216 +V_keyfield +p6109 +tp6110 +a(g216 +g1003 +tp6111 +a(g900 +g995 +tp6112 +a(g399 +g1033 +tp6113 +a(g900 +g995 +tp6114 +a(g216 +g1003 +tp6115 +a(g216 +VID +p6116 +tp6117 +a(g216 +g1003 +tp6118 +a(g692 +g1016 +tp6119 +a(g900 +V\u000a +p6120 +tp6121 +a(g818 +VIf +p6122 +tp6123 +a(g692 +g993 +tp6124 +a(g900 +g995 +tp6125 +a(g448 +V#_fields +p6126 +tp6127 +a(g900 +g995 +tp6128 +a(g399 +V!>> +p6129 +tp6130 +a(g900 +g995 +tp6131 +a(g448 +V#_keyfield +p6132 +tp6133 +a(g692 +g1016 +tp6134 +a(g900 +V\u000a +p6135 +tp6136 +a(g883 +VLocal +p6137 +tp6138 +a(g692 +g993 +tp6139 +a(g900 +g995 +tp6140 +a(g216 +g1003 +tp6141 +a(g216 +V_keyfield +p6142 +tp6143 +a(g216 +g1003 +tp6144 +a(g900 +g995 +tp6145 +a(g399 +g1033 +tp6146 +a(g900 +g995 +tp6147 +a(g448 +V#_fields +p6148 +tp6149 +a(g399 +V-> +p6150 +tp6151 +a(g668 +VFirst +p6152 +tp6153 +a(g692 +g1016 +tp6154 +a(g900 +V\u000a +p6155 +tp6156 +a(g692 +g1268 +tp6157 +a(g818 +VIf +p6158 +tp6159 +a(g692 +g1016 +tp6160 +a(g900 +V\u000a +p6161 +tp6162 +a(g692 +g1268 +tp6163 +a(g818 +VIf +p6164 +tp6165 +a(g692 +g1016 +tp6166 +a(g900 +V\u000a +p6167 +tp6168 +a(g692 +g1268 +tp6169 +a(g818 +VIf +p6170 +tp6171 +a(g692 +g1016 +tp6172 +a(g900 +V\u000a +p6173 +tp6174 +a(g883 +VLocal +p6175 +tp6176 +a(g692 +g993 +tp6177 +a(g900 +g995 +tp6178 +a(g216 +g1003 +tp6179 +a(g216 +V_index +p6180 +tp6181 +a(g216 +g1003 +tp6182 +a(g900 +g995 +tp6183 +a(g399 +g1033 +tp6184 +a(g900 +g995 +tp6185 +a(g448 +V#_fields +p6186 +tp6187 +a(g399 +V-> +p6188 +tp6189 +a(g692 +g997 +tp6190 +a(g637 +VFindPosition +p6191 +tp6192 +a(g692 +g993 +tp6193 +a(g900 +g995 +tp6194 +a(g448 +V#_keyfield +p6195 +tp6196 +a(g692 +g1008 +tp6197 +a(g399 +V-> +p6198 +tp6199 +a(g668 +VFirst +p6200 +tp6201 +a(g692 +g1016 +tp6202 +a(g900 +V\u000a +p6203 +tp6204 +a(g883 +VLocal +p6205 +tp6206 +a(g692 +g993 +tp6207 +a(g900 +g995 +tp6208 +a(g216 +g1003 +tp6209 +a(g216 +V_return +p6210 +tp6211 +a(g216 +g1003 +tp6212 +a(g900 +g995 +tp6213 +a(g399 +g1033 +tp6214 +a(g900 +g995 +tp6215 +a(g692 +g997 +tp6216 +a(g668 +VLocal_Defined +p6217 +tp6218 +a(g692 +g993 +tp6219 +a(g900 +g995 +tp6220 +a(g216 +g1003 +tp6221 +a(g216 +Vreturnfield +p6222 +tp6223 +a(g216 +g1003 +tp6224 +a(g692 +g1008 +tp6225 +a(g900 +g995 +tp6226 +a(g399 +g1879 +tp6227 +a(g900 +g995 +tp6228 +a(g692 +g997 +tp6229 +a(g818 +VParams +p6230 +tp6231 +a(g399 +V-> +p6232 +tp6233 +a(g692 +g997 +tp6234 +a(g637 +VFind +p6235 +tp6236 +a(g692 +g993 +tp6237 +a(g900 +g995 +tp6238 +a(g653 +V-ReturnField +p6239 +tp6240 +a(g692 +g1008 +tp6241 +a(g399 +V-> +p6242 +tp6243 +a(g692 +g997 +tp6244 +a(g637 +VForEach +p6245 +tp6246 +a(g692 +g993 +tp6247 +a(g900 +g995 +tp6248 +a(g692 +g2383 +tp6249 +a(g818 +VParams +p6250 +tp6251 +a(g399 +V-> +p6252 +tp6253 +a(g668 +VFirst +p6254 +tp6255 +a(g900 +g995 +tp6256 +a(g399 +g1033 +tp6257 +a(g900 +g995 +tp6258 +a(g818 +VParams +p6259 +tp6260 +a(g399 +V-> +p6261 +tp6262 +a(g668 +VFirst +p6263 +tp6264 +a(g399 +V-> +p6265 +tp6266 +a(g668 +VSecond +p6267 +tp6268 +a(g692 +g1016 +tp6269 +a(g900 +g995 +tp6270 +a(g818 +VReturn +p6271 +tp6272 +a(g692 +g993 +tp6273 +a(g900 +g995 +tp6274 +a(g837 +VTrue +p6275 +tp6276 +a(g692 +g2507 +tp6277 +a(g692 +g1008 +tp6278 +a(g900 +g995 +tp6279 +a(g399 +g1526 +tp6280 +a(g692 +g1008 +tp6281 +a(g900 +g995 +tp6282 +a(g399 +g1885 +tp6283 +a(g900 +g995 +tp6284 +a(g692 +g3002 +tp6285 +a(g448 +V#_fields +p6286 +tp6287 +a(g692 +g1016 +tp6288 +a(g900 +V\u000a +p6289 +tp6290 +a(g883 +VLocal +p6291 +tp6292 +a(g692 +g993 +tp6293 +a(g900 +g995 +tp6294 +a(g216 +g1003 +tp6295 +a(g216 +V_exclude +p6296 +tp6297 +a(g216 +g1003 +tp6298 +a(g900 +g995 +tp6299 +a(g399 +g1033 +tp6300 +a(g900 +g995 +tp6301 +a(g692 +g997 +tp6302 +a(g668 +VLocal_Defined +p6303 +tp6304 +a(g692 +g993 +tp6305 +a(g900 +g995 +tp6306 +a(g216 +g1003 +tp6307 +a(g216 +Vexcludefield +p6308 +tp6309 +a(g216 +g1003 +tp6310 +a(g692 +g1008 +tp6311 +a(g900 +g995 +tp6312 +a(g399 +g1879 +tp6313 +a(g900 +g995 +tp6314 +a(g692 +g997 +tp6315 +a(g818 +VParams +p6316 +tp6317 +a(g399 +V-> +p6318 +tp6319 +a(g692 +g997 +tp6320 +a(g637 +VFind +p6321 +tp6322 +a(g692 +g993 +tp6323 +a(g900 +g995 +tp6324 +a(g653 +V-ExcludeField +p6325 +tp6326 +a(g692 +g1008 +tp6327 +a(g399 +V-> +p6328 +tp6329 +a(g692 +g997 +tp6330 +a(g637 +VForEach +p6331 +tp6332 +a(g692 +g993 +tp6333 +a(g900 +g995 +tp6334 +a(g692 +g2383 +tp6335 +a(g818 +VParams +p6336 +tp6337 +a(g399 +V-> +p6338 +tp6339 +a(g668 +VFirst +p6340 +tp6341 +a(g900 +g995 +tp6342 +a(g399 +g1033 +tp6343 +a(g900 +g995 +tp6344 +a(g818 +VParams +p6345 +tp6346 +a(g399 +V-> +p6347 +tp6348 +a(g668 +VFirst +p6349 +tp6350 +a(g399 +V-> +p6351 +tp6352 +a(g668 +VSecond +p6353 +tp6354 +a(g692 +g1016 +tp6355 +a(g900 +g995 +tp6356 +a(g818 +VReturn +p6357 +tp6358 +a(g692 +g993 +tp6359 +a(g900 +g995 +tp6360 +a(g837 +VTrue +p6361 +tp6362 +a(g692 +g2507 +tp6363 +a(g692 +g1008 +tp6364 +a(g900 +g995 +tp6365 +a(g399 +g1526 +tp6366 +a(g692 +g1008 +tp6367 +a(g900 +g995 +tp6368 +a(g399 +g1885 +tp6369 +a(g900 +g995 +tp6370 +a(g822 +VArray +p6371 +tp6372 +a(g692 +g1016 +tp6373 +a(g900 +V\u000a +p6374 +tp6375 +a(g883 +VLocal +p6376 +tp6377 +a(g692 +g993 +tp6378 +a(g900 +g995 +tp6379 +a(g216 +g1003 +tp6380 +a(g216 +V_records +p6381 +tp6382 +a(g216 +g1003 +tp6383 +a(g900 +g995 +tp6384 +a(g399 +g1033 +tp6385 +a(g900 +V +p6386 +tp6387 +a(g822 +VArray +p6388 +tp6389 +a(g692 +g1016 +tp6390 +a(g900 +V\u000a +p6391 +tp6392 +a(g818 +VIterate +p6393 +tp6394 +a(g692 +g993 +tp6395 +a(g900 +g995 +tp6396 +a(g668 +VRecords_Array +p6397 +tp6398 +a(g692 +g1028 +tp6399 +a(g900 +g995 +tp6400 +a(g692 +g997 +tp6401 +a(g883 +VLocal +p6402 +tp6403 +a(g692 +g993 +tp6404 +a(g900 +g995 +tp6405 +a(g216 +g1003 +tp6406 +a(g216 +V_record +p6407 +tp6408 +a(g216 +g1003 +tp6409 +a(g692 +g1008 +tp6410 +a(g692 +g1016 +tp6411 +a(g900 +V\u000a +p6412 +tp6413 +a(g883 +VLocal +p6414 +tp6415 +a(g692 +g993 +tp6416 +a(g900 +g995 +tp6417 +a(g216 +g1003 +tp6418 +a(g216 +V_temp +p6419 +tp6420 +a(g216 +g1003 +tp6421 +a(g900 +g995 +tp6422 +a(g399 +g1033 +tp6423 +a(g900 +g995 +tp6424 +a(g822 +VMap +p6425 +tp6426 +a(g692 +g1016 +tp6427 +a(g900 +V\u000a +p6428 +tp6429 +a(g818 +VIterate +p6430 +tp6431 +a(g692 +g993 +tp6432 +a(g900 +g995 +tp6433 +a(g448 +V#_fields +p6434 +tp6435 +a(g692 +g1028 +tp6436 +a(g900 +g995 +tp6437 +a(g692 +g997 +tp6438 +a(g883 +VLocal +p6439 +tp6440 +a(g692 +g993 +tp6441 +a(g900 +g995 +tp6442 +a(g216 +g1003 +tp6443 +a(g216 +V_field +p6444 +tp6445 +a(g216 +g1003 +tp6446 +a(g692 +g1008 +tp6447 +a(g692 +g1016 +tp6448 +a(g900 +V\u000a +p6449 +tp6450 +a(g692 +g997 +tp6451 +a(g692 +g997 +tp6452 +a(g448 +V#_return +p6453 +tp6454 +a(g900 +g995 +tp6455 +a(g399 +V>> +p6456 +tp6457 +a(g900 +g995 +tp6458 +a(g448 +V#_field +p6459 +tp6460 +a(g692 +g1008 +tp6461 +a(g900 +g995 +tp6462 +a(g399 +V&& +p6463 +tp6464 +a(g900 +g995 +tp6465 +a(g692 +g997 +tp6466 +a(g448 +V#_exclude +p6467 +tp6468 +a(g900 +g995 +tp6469 +a(g399 +V!>> +p6470 +tp6471 +a(g900 +g995 +tp6472 +a(g448 +V#_field +p6473 +tp6474 +a(g692 +g1008 +tp6475 +a(g692 +g1008 +tp6476 +a(g900 +g995 +tp6477 +a(g399 +g1879 +tp6478 +a(g900 +g995 +tp6479 +a(g448 +V#_temp +p6480 +tp6481 +a(g399 +V-> +p6482 +tp6483 +a(g668 +VInsert +p6484 +tp6485 +a(g692 +g997 +tp6486 +a(g448 +V#_field +p6487 +tp6488 +a(g900 +g995 +tp6489 +a(g399 +g1033 +tp6490 +a(g900 +g995 +tp6491 +a(g448 +V#_record +p6492 +tp6493 +a(g399 +V-> +p6494 +tp6495 +a(g692 +g997 +tp6496 +a(g637 +VGet +p6497 +tp6498 +a(g692 +g993 +tp6499 +a(g900 +g995 +tp6500 +a(g818 +VLoop_Count +p6501 +tp6502 +a(g692 +g1008 +tp6503 +a(g692 +g1008 +tp6504 +a(g692 +g1016 +tp6505 +a(g900 +V\u000a +p6506 +tp6507 +a(g692 +g1268 +tp6508 +a(g818 +VIterate +p6509 +tp6510 +a(g692 +g1016 +tp6511 +a(g900 +V\u000a +p6512 +tp6513 +a(g448 +V#_records +p6514 +tp6515 +a(g399 +V-> +p6516 +tp6517 +a(g668 +VInsert +p6518 +tp6519 +a(g692 +g997 +tp6520 +a(g448 +V#_temp +p6521 +tp6522 +a(g692 +g1008 +tp6523 +a(g692 +g1016 +tp6524 +a(g900 +V\u000a +p6525 +tp6526 +a(g692 +g1268 +tp6527 +a(g818 +VIterate +p6528 +tp6529 +a(g692 +g1016 +tp6530 +a(g900 +V\u000a +p6531 +tp6532 +a(g883 +VLocal +p6533 +tp6534 +a(g692 +g993 +tp6535 +a(g900 +g995 +tp6536 +a(g216 +g1003 +tp6537 +a(g216 +V_output +p6538 +tp6539 +a(g216 +g1003 +tp6540 +a(g900 +g995 +tp6541 +a(g399 +g1033 +tp6542 +a(g900 +g995 +tp6543 +a(g692 +g997 +tp6544 +a(g668 +VEncode_JSON +p6545 +tp6546 +a(g692 +g993 +tp6547 +a(g900 +g995 +tp6548 +a(g692 +g997 +tp6549 +a(g668 +VObject +p6550 +tp6551 +a(g692 +g993 +tp6552 +a(g900 +g995 +tp6553 +a(g216 +g1003 +tp6554 +a(g216 +Verror_msg +p6555 +tp6556 +a(g216 +g1003 +tp6557 +a(g399 +g1033 +tp6558 +a(g668 +VError_Msg +p6559 +tp6560 +a(g692 +g1028 +tp6561 +a(g900 +g995 +tp6562 +a(g216 +g1003 +tp6563 +a(g216 +Verror_code +p6564 +tp6565 +a(g216 +g1003 +tp6566 +a(g399 +g1033 +tp6567 +a(g668 +VError_Code +p6568 +tp6569 +a(g692 +g1028 +tp6570 +a(g900 +g995 +tp6571 +a(g216 +g1003 +tp6572 +a(g216 +Vfound_count +p6573 +tp6574 +a(g216 +g1003 +tp6575 +a(g399 +g1033 +tp6576 +a(g668 +VFound_Count +p6577 +tp6578 +a(g692 +g1028 +tp6579 +a(g900 +g995 +tp6580 +a(g216 +g1003 +tp6581 +a(g216 +Vkeyfield +p6582 +tp6583 +a(g216 +g1003 +tp6584 +a(g399 +g1033 +tp6585 +a(g448 +V#_keyfield +p6586 +tp6587 +a(g692 +g1028 +tp6588 +a(g900 +g995 +tp6589 +a(g216 +g1003 +tp6590 +a(g216 +Vrows +p6591 +tp6592 +a(g216 +g1003 +tp6593 +a(g399 +g1033 +tp6594 +a(g448 +V#_records +p6595 +tp6596 +a(g692 +g1008 +tp6597 +a(g692 +g1008 +tp6598 +a(g692 +g1016 +tp6599 +a(g900 +V\u000a +p6600 +tp6601 +a(g818 +VReturn +p6602 +tp6603 +a(g692 +g993 +tp6604 +a(g900 +g995 +tp6605 +a(g692 +g3002 +tp6606 +a(g448 +V#_output +p6607 +tp6608 +a(g692 +g1016 +tp6609 +a(g900 +V\u000a\u000a +p6610 +tp6611 +a(g692 +g1268 +tp6612 +a(g818 +VDefine_Tag +p6613 +tp6614 +a(g692 +g1016 +tp6615 +a(g900 +V\u000a\u000a +p6616 +tp6617 +a(g692 +g1268 +tp6618 +a(g818 +VIf +p6619 +tp6620 +a(g692 +g1016 +tp6621 +a(g900 +V\u000a\u000a +p6622 +tp6623 +a(g737 +V?> +p6624 +tp6625 +a(g7 +V\u000a +p6626 +tp6627 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/json.lasso9 b/tests/examplefiles/output/json.lasso9 new file mode 100644 index 0000000..f2e8a4a --- /dev/null +++ b/tests/examplefiles/output/json.lasso9 @@ -0,0 +1,9799 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/**\u000a trait_json_serialize\u000a Objects with this trait will be assumed to convert to json data\u000a when its ->asString method is called\u000a*/ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g810 +Vdefine +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g629 +Vtrait_json_serialize +p964 +tp965 +a(g413 +V => +p966 +tp967 +a(g745 +Vtrait +p968 +tp969 +a(g827 +g962 +tp970 +a(g706 +V{ +p971 +tp972 +a(g827 +V\u000a +p973 +tp974 +a(g745 +Vrequire +p975 +tp976 +a(g827 +g962 +tp977 +a(g436 +VasString +p978 +tp979 +a(g706 +V( +p980 +tp981 +a(g706 +V) +p982 +tp983 +a(g827 +V\u000a +p984 +tp985 +a(g706 +V} +p986 +tp987 +a(g827 +V\u000a\u000a +p988 +tp989 +a(g810 +Vdefine +p990 +tp991 +a(g827 +g962 +tp992 +a(g574 +Vjson_serialize +p993 +tp994 +a(g706 +g980 +tp995 +a(g667 +Ve +p996 +tp997 +a(g597 +V::bytes +p998 +tp999 +a(g706 +g982 +tp1000 +a(g597 +V::string +p1001 +tp1002 +a(g827 +g962 +tp1003 +a(g413 +V=> +p1004 +tp1005 +a(g827 +g962 +tp1006 +a(g706 +g980 +tp1007 +a(g230 +V' +p1008 +tp1009 +a(g230 +V" +p1010 +tp1011 +a(g230 +g1008 +tp1012 +a(g827 +g962 +tp1013 +a(g413 +V+ +p1014 +tp1015 +a(g827 +g962 +tp1016 +a(g706 +g980 +tp1017 +a(g749 +Vstring +p1018 +tp1019 +a(g706 +g980 +tp1020 +a(g462 +V#e +p1021 +tp1022 +a(g706 +g982 +tp1023 +a(g413 +V-> +p1024 +tp1025 +a(g682 +VReplace +p1026 +tp1027 +a(g706 +g980 +tp1028 +a(g101 +V`\u005c` +p1029 +tp1030 +a(g706 +V, +p1031 +tp1032 +a(g827 +g962 +tp1033 +a(g101 +V`\u005c\u005c` +p1034 +tp1035 +a(g706 +g982 +tp1036 +a(g827 +g962 +tp1037 +a(g413 +V& +p1038 +tp1039 +a(g682 +VReplace +p1040 +tp1041 +a(g706 +g980 +tp1042 +a(g230 +g1008 +tp1043 +a(g213 +V\u005c" +p1044 +tp1045 +a(g230 +g1008 +tp1046 +a(g706 +g1031 +tp1047 +a(g827 +g962 +tp1048 +a(g230 +g1008 +tp1049 +a(g213 +V\u005c\u005c +p1050 +tp1051 +a(g230 +g1010 +tp1052 +a(g230 +g1008 +tp1053 +a(g706 +g982 +tp1054 +a(g827 +g962 +tp1055 +a(g413 +V& +p1056 +tp1057 +a(g682 +VReplace +p1058 +tp1059 +a(g706 +g980 +tp1060 +a(g230 +g1008 +tp1061 +a(g213 +V\u005cr +p1062 +tp1063 +a(g230 +g1008 +tp1064 +a(g706 +g1031 +tp1065 +a(g827 +g962 +tp1066 +a(g230 +g1008 +tp1067 +a(g213 +V\u005c\u005c +p1068 +tp1069 +a(g230 +Vr +p1070 +tp1071 +a(g230 +g1008 +tp1072 +a(g706 +g982 +tp1073 +a(g827 +g962 +tp1074 +a(g413 +V& +p1075 +tp1076 +a(g682 +VReplace +p1077 +tp1078 +a(g706 +g980 +tp1079 +a(g230 +g1008 +tp1080 +a(g213 +V\u005cn +p1081 +tp1082 +a(g230 +g1008 +tp1083 +a(g706 +g1031 +tp1084 +a(g827 +g962 +tp1085 +a(g230 +g1008 +tp1086 +a(g213 +V\u005c\u005c +p1087 +tp1088 +a(g230 +Vn +p1089 +tp1090 +a(g230 +g1008 +tp1091 +a(g706 +g982 +tp1092 +a(g827 +g962 +tp1093 +a(g413 +V& +p1094 +tp1095 +a(g682 +VReplace +p1096 +tp1097 +a(g706 +g980 +tp1098 +a(g230 +g1008 +tp1099 +a(g213 +V\u005ct +p1100 +tp1101 +a(g230 +g1008 +tp1102 +a(g706 +g1031 +tp1103 +a(g827 +g962 +tp1104 +a(g230 +g1008 +tp1105 +a(g213 +V\u005c\u005c +p1106 +tp1107 +a(g230 +Vt +p1108 +tp1109 +a(g230 +g1008 +tp1110 +a(g706 +g982 +tp1111 +a(g827 +g962 +tp1112 +a(g413 +V& +p1113 +tp1114 +a(g682 +VReplace +p1115 +tp1116 +a(g706 +g980 +tp1117 +a(g230 +g1008 +tp1118 +a(g213 +V\u005cf +p1119 +tp1120 +a(g230 +g1008 +tp1121 +a(g706 +g1031 +tp1122 +a(g827 +g962 +tp1123 +a(g230 +g1008 +tp1124 +a(g213 +V\u005c\u005c +p1125 +tp1126 +a(g230 +Vf +p1127 +tp1128 +a(g230 +g1008 +tp1129 +a(g706 +g982 +tp1130 +a(g827 +g962 +tp1131 +a(g413 +V& +p1132 +tp1133 +a(g682 +VReplace +p1134 +tp1135 +a(g706 +g980 +tp1136 +a(g230 +g1008 +tp1137 +a(g213 +V\u005cb +p1138 +tp1139 +a(g230 +g1008 +tp1140 +a(g706 +g1031 +tp1141 +a(g827 +g962 +tp1142 +a(g230 +g1008 +tp1143 +a(g213 +V\u005c\u005c +p1144 +tp1145 +a(g230 +Vb +p1146 +tp1147 +a(g230 +g1008 +tp1148 +a(g706 +g982 +tp1149 +a(g827 +g962 +tp1150 +a(g413 +V& +p1151 +tp1152 +a(g706 +g982 +tp1153 +a(g827 +g962 +tp1154 +a(g413 +g1014 +tp1155 +a(g827 +g962 +tp1156 +a(g230 +g1008 +tp1157 +a(g230 +g1010 +tp1158 +a(g230 +g1008 +tp1159 +a(g706 +g982 +tp1160 +a(g827 +V\u000a +p1161 +tp1162 +a(g810 +Vdefine +p1163 +tp1164 +a(g827 +g962 +tp1165 +a(g574 +Vjson_serialize +p1166 +tp1167 +a(g706 +g980 +tp1168 +a(g667 +g996 +tp1169 +a(g597 +V::string +p1170 +tp1171 +a(g706 +g982 +tp1172 +a(g597 +V::string +p1173 +tp1174 +a(g827 +g962 +tp1175 +a(g413 +V=> +p1176 +tp1177 +a(g827 +g962 +tp1178 +a(g706 +g980 +tp1179 +a(g230 +g1008 +tp1180 +a(g230 +g1010 +tp1181 +a(g230 +g1008 +tp1182 +a(g827 +g962 +tp1183 +a(g413 +g1014 +tp1184 +a(g827 +g962 +tp1185 +a(g706 +g980 +tp1186 +a(g749 +Vstring +p1187 +tp1188 +a(g706 +g980 +tp1189 +a(g462 +V#e +p1190 +tp1191 +a(g706 +g982 +tp1192 +a(g413 +V-> +p1193 +tp1194 +a(g682 +VReplace +p1195 +tp1196 +a(g706 +g980 +tp1197 +a(g101 +V`\u005c` +p1198 +tp1199 +a(g706 +g1031 +tp1200 +a(g827 +g962 +tp1201 +a(g101 +V`\u005c\u005c` +p1202 +tp1203 +a(g706 +g982 +tp1204 +a(g827 +g962 +tp1205 +a(g413 +V& +p1206 +tp1207 +a(g682 +VReplace +p1208 +tp1209 +a(g706 +g980 +tp1210 +a(g230 +g1008 +tp1211 +a(g213 +V\u005c" +p1212 +tp1213 +a(g230 +g1008 +tp1214 +a(g706 +g1031 +tp1215 +a(g827 +g962 +tp1216 +a(g230 +g1008 +tp1217 +a(g213 +V\u005c\u005c +p1218 +tp1219 +a(g230 +g1010 +tp1220 +a(g230 +g1008 +tp1221 +a(g706 +g982 +tp1222 +a(g827 +g962 +tp1223 +a(g413 +V& +p1224 +tp1225 +a(g682 +VReplace +p1226 +tp1227 +a(g706 +g980 +tp1228 +a(g230 +g1008 +tp1229 +a(g213 +V\u005cr +p1230 +tp1231 +a(g230 +g1008 +tp1232 +a(g706 +g1031 +tp1233 +a(g827 +g962 +tp1234 +a(g230 +g1008 +tp1235 +a(g213 +V\u005c\u005c +p1236 +tp1237 +a(g230 +g1070 +tp1238 +a(g230 +g1008 +tp1239 +a(g706 +g982 +tp1240 +a(g827 +g962 +tp1241 +a(g413 +V& +p1242 +tp1243 +a(g682 +VReplace +p1244 +tp1245 +a(g706 +g980 +tp1246 +a(g230 +g1008 +tp1247 +a(g213 +V\u005cn +p1248 +tp1249 +a(g230 +g1008 +tp1250 +a(g706 +g1031 +tp1251 +a(g827 +g962 +tp1252 +a(g230 +g1008 +tp1253 +a(g213 +V\u005c\u005c +p1254 +tp1255 +a(g230 +g1089 +tp1256 +a(g230 +g1008 +tp1257 +a(g706 +g982 +tp1258 +a(g827 +g962 +tp1259 +a(g413 +V& +p1260 +tp1261 +a(g682 +VReplace +p1262 +tp1263 +a(g706 +g980 +tp1264 +a(g230 +g1008 +tp1265 +a(g213 +V\u005ct +p1266 +tp1267 +a(g230 +g1008 +tp1268 +a(g706 +g1031 +tp1269 +a(g827 +g962 +tp1270 +a(g230 +g1008 +tp1271 +a(g213 +V\u005c\u005c +p1272 +tp1273 +a(g230 +g1108 +tp1274 +a(g230 +g1008 +tp1275 +a(g706 +g982 +tp1276 +a(g827 +g962 +tp1277 +a(g413 +V& +p1278 +tp1279 +a(g682 +VReplace +p1280 +tp1281 +a(g706 +g980 +tp1282 +a(g230 +g1008 +tp1283 +a(g213 +V\u005cf +p1284 +tp1285 +a(g230 +g1008 +tp1286 +a(g706 +g1031 +tp1287 +a(g827 +g962 +tp1288 +a(g230 +g1008 +tp1289 +a(g213 +V\u005c\u005c +p1290 +tp1291 +a(g230 +g1127 +tp1292 +a(g230 +g1008 +tp1293 +a(g706 +g982 +tp1294 +a(g827 +g962 +tp1295 +a(g413 +V& +p1296 +tp1297 +a(g682 +VReplace +p1298 +tp1299 +a(g706 +g980 +tp1300 +a(g230 +g1008 +tp1301 +a(g213 +V\u005cb +p1302 +tp1303 +a(g230 +g1008 +tp1304 +a(g706 +g1031 +tp1305 +a(g827 +g962 +tp1306 +a(g230 +g1008 +tp1307 +a(g213 +V\u005c\u005c +p1308 +tp1309 +a(g230 +g1146 +tp1310 +a(g230 +g1008 +tp1311 +a(g706 +g982 +tp1312 +a(g827 +g962 +tp1313 +a(g413 +g1151 +tp1314 +a(g706 +g982 +tp1315 +a(g827 +g962 +tp1316 +a(g413 +g1014 +tp1317 +a(g827 +g962 +tp1318 +a(g230 +g1008 +tp1319 +a(g230 +g1010 +tp1320 +a(g230 +g1008 +tp1321 +a(g706 +g982 +tp1322 +a(g827 +V\u000a +p1323 +tp1324 +a(g810 +Vdefine +p1325 +tp1326 +a(g827 +g962 +tp1327 +a(g574 +Vjson_serialize +p1328 +tp1329 +a(g706 +g980 +tp1330 +a(g667 +g996 +tp1331 +a(g597 +V::json_literal +p1332 +tp1333 +a(g706 +g982 +tp1334 +a(g597 +V::string +p1335 +tp1336 +a(g827 +g962 +tp1337 +a(g413 +V=> +p1338 +tp1339 +a(g827 +g962 +tp1340 +a(g706 +g980 +tp1341 +a(g462 +V#e +p1342 +tp1343 +a(g413 +V-> +p1344 +tp1345 +a(g682 +Vasstring +p1346 +tp1347 +a(g706 +g982 +tp1348 +a(g827 +V\u000a +p1349 +tp1350 +a(g810 +Vdefine +p1351 +tp1352 +a(g827 +g962 +tp1353 +a(g574 +Vjson_serialize +p1354 +tp1355 +a(g706 +g980 +tp1356 +a(g667 +g996 +tp1357 +a(g597 +V::integer +p1358 +tp1359 +a(g706 +g982 +tp1360 +a(g597 +V::string +p1361 +tp1362 +a(g827 +g962 +tp1363 +a(g413 +V=> +p1364 +tp1365 +a(g827 +g962 +tp1366 +a(g706 +g980 +tp1367 +a(g462 +V#e +p1368 +tp1369 +a(g413 +V-> +p1370 +tp1371 +a(g682 +Vasstring +p1372 +tp1373 +a(g706 +g982 +tp1374 +a(g827 +V\u000a +p1375 +tp1376 +a(g810 +Vdefine +p1377 +tp1378 +a(g827 +g962 +tp1379 +a(g574 +Vjson_serialize +p1380 +tp1381 +a(g706 +g980 +tp1382 +a(g667 +g996 +tp1383 +a(g597 +V::decimal +p1384 +tp1385 +a(g706 +g982 +tp1386 +a(g597 +V::string +p1387 +tp1388 +a(g827 +g962 +tp1389 +a(g413 +V=> +p1390 +tp1391 +a(g827 +g962 +tp1392 +a(g706 +g980 +tp1393 +a(g462 +V#e +p1394 +tp1395 +a(g413 +V-> +p1396 +tp1397 +a(g682 +Vasstring +p1398 +tp1399 +a(g706 +g982 +tp1400 +a(g827 +V\u000a +p1401 +tp1402 +a(g810 +Vdefine +p1403 +tp1404 +a(g827 +g962 +tp1405 +a(g574 +Vjson_serialize +p1406 +tp1407 +a(g706 +g980 +tp1408 +a(g667 +g996 +tp1409 +a(g597 +V::boolean +p1410 +tp1411 +a(g706 +g982 +tp1412 +a(g597 +V::string +p1413 +tp1414 +a(g827 +g962 +tp1415 +a(g413 +V=> +p1416 +tp1417 +a(g827 +g962 +tp1418 +a(g706 +g980 +tp1419 +a(g462 +V#e +p1420 +tp1421 +a(g413 +V-> +p1422 +tp1423 +a(g682 +Vasstring +p1424 +tp1425 +a(g706 +g982 +tp1426 +a(g827 +V\u000a +p1427 +tp1428 +a(g810 +Vdefine +p1429 +tp1430 +a(g827 +g962 +tp1431 +a(g574 +Vjson_serialize +p1432 +tp1433 +a(g706 +g980 +tp1434 +a(g667 +g996 +tp1435 +a(g597 +V::null +p1436 +tp1437 +a(g706 +g982 +tp1438 +a(g597 +V::string +p1439 +tp1440 +a(g827 +g962 +tp1441 +a(g413 +V=> +p1442 +tp1443 +a(g827 +g962 +tp1444 +a(g706 +g980 +tp1445 +a(g230 +g1008 +tp1446 +a(g230 +Vnull +p1447 +tp1448 +a(g230 +g1008 +tp1449 +a(g706 +g982 +tp1450 +a(g827 +V\u000a +p1451 +tp1452 +a(g810 +Vdefine +p1453 +tp1454 +a(g827 +g962 +tp1455 +a(g574 +Vjson_serialize +p1456 +tp1457 +a(g706 +g980 +tp1458 +a(g667 +g996 +tp1459 +a(g597 +V::date +p1460 +tp1461 +a(g706 +g982 +tp1462 +a(g597 +V::string +p1463 +tp1464 +a(g827 +g962 +tp1465 +a(g413 +V=> +p1466 +tp1467 +a(g827 +g962 +tp1468 +a(g706 +g980 +tp1469 +a(g230 +g1008 +tp1470 +a(g230 +g1010 +tp1471 +a(g230 +g1008 +tp1472 +a(g827 +g962 +tp1473 +a(g413 +g1014 +tp1474 +a(g827 +g962 +tp1475 +a(g462 +V#e +p1476 +tp1477 +a(g413 +V-> +p1478 +tp1479 +a(g682 +Vformat +p1480 +tp1481 +a(g706 +g980 +tp1482 +a(g462 +V#e +p1483 +tp1484 +a(g413 +V-> +p1485 +tp1486 +a(g682 +Vgmt +p1487 +tp1488 +a(g827 +g962 +tp1489 +a(g413 +V? +p1490 +tp1491 +a(g827 +g962 +tp1492 +a(g230 +g1008 +tp1493 +a(g230 +V%QT%TZ +p1494 +tp1495 +a(g230 +g1008 +tp1496 +a(g827 +g962 +tp1497 +a(g413 +V| +p1498 +tp1499 +a(g827 +g962 +tp1500 +a(g230 +g1008 +tp1501 +a(g230 +V%Q%T +p1502 +tp1503 +a(g230 +g1008 +tp1504 +a(g706 +g982 +tp1505 +a(g827 +g962 +tp1506 +a(g413 +g1014 +tp1507 +a(g827 +g962 +tp1508 +a(g230 +g1008 +tp1509 +a(g230 +g1010 +tp1510 +a(g230 +g1008 +tp1511 +a(g706 +g982 +tp1512 +a(g827 +V\u000a +p1513 +tp1514 +a(g8 +V/*\u000adefine json_serialize(e::array)::string => {\u000a local(output) = '';\u000a local(delimit) = '';\u000a #e->foreach => { #output += #delimit + json_serialize(#1); #delimit = ', '; }\u000a return('[' + #output + ']');\u000a}\u000adefine json_serialize(e::staticarray)::string => {\u000a local(output) = '';\u000a local(delimit) = '';\u000a #e->foreach => { #output += #delimit + json_serialize(#1); #delimit = ', '; }\u000a return('[' + #output + ']');\u000a}\u000a*/ +p1515 +tp1516 +a(g827 +V\u000a +p1517 +tp1518 +a(g810 +Vdefine +p1519 +tp1520 +a(g827 +g962 +tp1521 +a(g574 +Vjson_serialize +p1522 +tp1523 +a(g706 +g980 +tp1524 +a(g667 +g996 +tp1525 +a(g597 +V::trait_forEach +p1526 +tp1527 +a(g706 +g982 +tp1528 +a(g597 +V::string +p1529 +tp1530 +a(g827 +g962 +tp1531 +a(g413 +V=> +p1532 +tp1533 +a(g827 +g962 +tp1534 +a(g706 +g971 +tp1535 +a(g827 +V\u000a +p1536 +tp1537 +a(g810 +Vlocal +p1538 +tp1539 +a(g706 +g980 +tp1540 +a(g682 +Voutput +p1541 +tp1542 +a(g706 +g982 +tp1543 +a(g827 +g962 +tp1544 +a(g413 +V= +p1545 +tp1546 +a(g827 +g962 +tp1547 +a(g230 +g1008 +tp1548 +a(g230 +g1008 +tp1549 +a(g706 +V; +p1550 +tp1551 +a(g827 +V\u000a +p1552 +tp1553 +a(g810 +Vlocal +p1554 +tp1555 +a(g706 +g980 +tp1556 +a(g651 +Vdelimit +p1557 +tp1558 +a(g706 +g982 +tp1559 +a(g827 +g962 +tp1560 +a(g413 +g1545 +tp1561 +a(g827 +g962 +tp1562 +a(g230 +g1008 +tp1563 +a(g230 +g1008 +tp1564 +a(g706 +g1550 +tp1565 +a(g827 +V\u000a +p1566 +tp1567 +a(g462 +V#e +p1568 +tp1569 +a(g413 +V-> +p1570 +tp1571 +a(g682 +Vforeach +p1572 +tp1573 +a(g827 +g962 +tp1574 +a(g413 +V=> +p1575 +tp1576 +a(g827 +g962 +tp1577 +a(g706 +g971 +tp1578 +a(g827 +g962 +tp1579 +a(g462 +V#output +p1580 +tp1581 +a(g827 +g962 +tp1582 +a(g413 +V+= +p1583 +tp1584 +a(g827 +g962 +tp1585 +a(g462 +V#delimit +p1586 +tp1587 +a(g827 +g962 +tp1588 +a(g413 +g1014 +tp1589 +a(g827 +g962 +tp1590 +a(g682 +Vjson_serialize +p1591 +tp1592 +a(g706 +g980 +tp1593 +a(g462 +V#1 +p1594 +tp1595 +a(g706 +g982 +tp1596 +a(g706 +g1550 +tp1597 +a(g827 +g962 +tp1598 +a(g462 +V#delimit +p1599 +tp1600 +a(g827 +g962 +tp1601 +a(g413 +g1545 +tp1602 +a(g827 +g962 +tp1603 +a(g230 +g1008 +tp1604 +a(g230 +V, +p1605 +tp1606 +a(g230 +g1008 +tp1607 +a(g706 +g1550 +tp1608 +a(g827 +g962 +tp1609 +a(g706 +g986 +tp1610 +a(g827 +V\u000a +p1611 +tp1612 +a(g745 +Vreturn +p1613 +tp1614 +a(g706 +g980 +tp1615 +a(g230 +g1008 +tp1616 +a(g230 +V[ +p1617 +tp1618 +a(g230 +g1008 +tp1619 +a(g827 +g962 +tp1620 +a(g413 +g1014 +tp1621 +a(g827 +g962 +tp1622 +a(g462 +V#output +p1623 +tp1624 +a(g827 +g962 +tp1625 +a(g413 +g1014 +tp1626 +a(g827 +g962 +tp1627 +a(g230 +g1008 +tp1628 +a(g230 +V] +p1629 +tp1630 +a(g230 +g1008 +tp1631 +a(g706 +g982 +tp1632 +a(g706 +g1550 +tp1633 +a(g827 +V\u000a +p1634 +tp1635 +a(g706 +g986 +tp1636 +a(g827 +V\u000a +p1637 +tp1638 +a(g810 +Vdefine +p1639 +tp1640 +a(g827 +g962 +tp1641 +a(g574 +Vjson_serialize +p1642 +tp1643 +a(g706 +g980 +tp1644 +a(g667 +g996 +tp1645 +a(g597 +V::map +p1646 +tp1647 +a(g706 +g982 +tp1648 +a(g597 +V::string +p1649 +tp1650 +a(g827 +g962 +tp1651 +a(g413 +V=> +p1652 +tp1653 +a(g827 +g962 +tp1654 +a(g706 +g971 +tp1655 +a(g827 +V\u000a +p1656 +tp1657 +a(g810 +Vlocal +p1658 +tp1659 +a(g706 +g980 +tp1660 +a(g436 +Voutput +p1661 +tp1662 +a(g413 +V = +p1663 +tp1664 +a(g827 +g962 +tp1665 +a(g745 +Vwith +p1666 +tp1667 +a(g827 +g962 +tp1668 +a(g436 +Vpr +p1669 +tp1670 +a(g827 +g962 +tp1671 +a(g745 +Vin +p1672 +tp1673 +a(g827 +g962 +tp1674 +a(g462 +V#e +p1675 +tp1676 +a(g413 +V-> +p1677 +tp1678 +a(g682 +VeachPair +p1679 +tp1680 +a(g827 +V \u000a +p1681 +tp1682 +a(g745 +Vselect +p1683 +tp1684 +a(g827 +g962 +tp1685 +a(g682 +Vjson_serialize +p1686 +tp1687 +a(g706 +g980 +tp1688 +a(g462 +V#pr +p1689 +tp1690 +a(g413 +V-> +p1691 +tp1692 +a(g682 +Vfirst +p1693 +tp1694 +a(g413 +V-> +p1695 +tp1696 +a(g682 +VasString +p1697 +tp1698 +a(g706 +g982 +tp1699 +a(g827 +g962 +tp1700 +a(g413 +g1014 +tp1701 +a(g827 +g962 +tp1702 +a(g230 +g1008 +tp1703 +a(g230 +V: +p1704 +tp1705 +a(g230 +g1008 +tp1706 +a(g827 +g962 +tp1707 +a(g413 +g1014 +tp1708 +a(g827 +g962 +tp1709 +a(g682 +Vjson_serialize +p1710 +tp1711 +a(g706 +g980 +tp1712 +a(g462 +V#pr +p1713 +tp1714 +a(g413 +V-> +p1715 +tp1716 +a(g682 +Vsecond +p1717 +tp1718 +a(g706 +g982 +tp1719 +a(g706 +g982 +tp1720 +a(g827 +V\u000a +p1721 +tp1722 +a(g745 +Vreturn +p1723 +tp1724 +a(g827 +g962 +tp1725 +a(g230 +g1008 +tp1726 +a(g230 +g971 +tp1727 +a(g230 +g1008 +tp1728 +a(g827 +g962 +tp1729 +a(g413 +g1014 +tp1730 +a(g827 +g962 +tp1731 +a(g462 +V#output +p1732 +tp1733 +a(g413 +V-> +p1734 +tp1735 +a(g682 +Vjoin +p1736 +tp1737 +a(g706 +g980 +tp1738 +a(g230 +g1008 +tp1739 +a(g230 +g1031 +tp1740 +a(g230 +g1008 +tp1741 +a(g706 +g982 +tp1742 +a(g827 +g962 +tp1743 +a(g413 +g1014 +tp1744 +a(g827 +g962 +tp1745 +a(g230 +g1008 +tp1746 +a(g230 +g986 +tp1747 +a(g230 +g1008 +tp1748 +a(g827 +V\u000a +p1749 +tp1750 +a(g706 +g986 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g810 +Vdefine +p1754 +tp1755 +a(g827 +g962 +tp1756 +a(g574 +Vjson_serialize +p1757 +tp1758 +a(g706 +g980 +tp1759 +a(g667 +g996 +tp1760 +a(g597 +V::json_object +p1761 +tp1762 +a(g706 +g982 +tp1763 +a(g597 +V::string +p1764 +tp1765 +a(g827 +g962 +tp1766 +a(g413 +V=> +p1767 +tp1768 +a(g827 +g962 +tp1769 +a(g706 +g971 +tp1770 +a(g827 +V\u000a +p1771 +tp1772 +a(g810 +Vlocal +p1773 +tp1774 +a(g706 +g980 +tp1775 +a(g682 +Voutput +p1776 +tp1777 +a(g706 +g982 +tp1778 +a(g827 +g962 +tp1779 +a(g413 +g1545 +tp1780 +a(g827 +g962 +tp1781 +a(g230 +g1008 +tp1782 +a(g230 +g1008 +tp1783 +a(g706 +g1550 +tp1784 +a(g827 +V\u000a +p1785 +tp1786 +a(g810 +Vlocal +p1787 +tp1788 +a(g706 +g980 +tp1789 +a(g651 +Vdelimit +p1790 +tp1791 +a(g706 +g982 +tp1792 +a(g827 +g962 +tp1793 +a(g413 +g1545 +tp1794 +a(g827 +g962 +tp1795 +a(g230 +g1008 +tp1796 +a(g230 +g1008 +tp1797 +a(g706 +g1550 +tp1798 +a(g827 +V\u000a +p1799 +tp1800 +a(g462 +V#e +p1801 +tp1802 +a(g413 +V-> +p1803 +tp1804 +a(g682 +Vforeachpair +p1805 +tp1806 +a(g827 +g962 +tp1807 +a(g413 +V=> +p1808 +tp1809 +a(g827 +g962 +tp1810 +a(g706 +g971 +tp1811 +a(g827 +g962 +tp1812 +a(g462 +V#output +p1813 +tp1814 +a(g827 +g962 +tp1815 +a(g413 +V+= +p1816 +tp1817 +a(g827 +g962 +tp1818 +a(g462 +V#delimit +p1819 +tp1820 +a(g827 +g962 +tp1821 +a(g413 +g1014 +tp1822 +a(g827 +g962 +tp1823 +a(g462 +V#1 +p1824 +tp1825 +a(g413 +V-> +p1826 +tp1827 +a(g682 +Vfirst +p1828 +tp1829 +a(g827 +g962 +tp1830 +a(g413 +g1014 +tp1831 +a(g827 +g962 +tp1832 +a(g230 +g1008 +tp1833 +a(g230 +V: +p1834 +tp1835 +a(g230 +g1008 +tp1836 +a(g827 +g962 +tp1837 +a(g413 +g1014 +tp1838 +a(g827 +g962 +tp1839 +a(g682 +Vjson_serialize +p1840 +tp1841 +a(g706 +g980 +tp1842 +a(g462 +V#1 +p1843 +tp1844 +a(g413 +V-> +p1845 +tp1846 +a(g682 +Vsecond +p1847 +tp1848 +a(g706 +g982 +tp1849 +a(g706 +g1550 +tp1850 +a(g827 +g962 +tp1851 +a(g462 +V#delimit +p1852 +tp1853 +a(g827 +g962 +tp1854 +a(g413 +g1545 +tp1855 +a(g827 +g962 +tp1856 +a(g230 +g1008 +tp1857 +a(g230 +V, +p1858 +tp1859 +a(g230 +g1008 +tp1860 +a(g706 +g1550 +tp1861 +a(g827 +g962 +tp1862 +a(g706 +g986 +tp1863 +a(g827 +V\u000a +p1864 +tp1865 +a(g745 +Vreturn +p1866 +tp1867 +a(g706 +g980 +tp1868 +a(g230 +g1008 +tp1869 +a(g230 +g971 +tp1870 +a(g230 +g1008 +tp1871 +a(g827 +g962 +tp1872 +a(g413 +g1014 +tp1873 +a(g827 +g962 +tp1874 +a(g462 +V#output +p1875 +tp1876 +a(g827 +g962 +tp1877 +a(g413 +g1014 +tp1878 +a(g827 +g962 +tp1879 +a(g230 +g1008 +tp1880 +a(g230 +g986 +tp1881 +a(g230 +g1008 +tp1882 +a(g706 +g982 +tp1883 +a(g706 +g1550 +tp1884 +a(g827 +V\u000a +p1885 +tp1886 +a(g706 +g986 +tp1887 +a(g827 +V\u000a +p1888 +tp1889 +a(g810 +Vdefine +p1890 +tp1891 +a(g827 +g962 +tp1892 +a(g574 +Vjson_serialize +p1893 +tp1894 +a(g706 +g980 +tp1895 +a(g667 +g996 +tp1896 +a(g597 +V::trait_json_serialize +p1897 +tp1898 +a(g706 +g982 +tp1899 +a(g827 +g962 +tp1900 +a(g413 +V=> +p1901 +tp1902 +a(g827 +g962 +tp1903 +a(g462 +V#e +p1904 +tp1905 +a(g413 +V-> +p1906 +tp1907 +a(g682 +VasString +p1908 +tp1909 +a(g827 +V\u000a +p1910 +tp1911 +a(g810 +Vdefine +p1912 +tp1913 +a(g827 +g962 +tp1914 +a(g574 +Vjson_serialize +p1915 +tp1916 +a(g706 +g980 +tp1917 +a(g667 +g996 +tp1918 +a(g597 +V::any +p1919 +tp1920 +a(g706 +g982 +tp1921 +a(g597 +V::string +p1922 +tp1923 +a(g827 +g962 +tp1924 +a(g413 +V=> +p1925 +tp1926 +a(g827 +g962 +tp1927 +a(g682 +Vjson_serialize +p1928 +tp1929 +a(g706 +g980 +tp1930 +a(g230 +g1008 +tp1931 +a(g230 +V +p1932 +tp1933 +a(g230 +g1008 +tp1934 +a(g827 +g962 +tp1935 +a(g413 +g1014 +tp1936 +a(g827 +g962 +tp1937 +a(g462 +V#e +p1938 +tp1939 +a(g413 +V-> +p1940 +tp1941 +a(g682 +Vserialize +p1942 +tp1943 +a(g827 +g962 +tp1944 +a(g413 +g1014 +tp1945 +a(g827 +g962 +tp1946 +a(g230 +g1008 +tp1947 +a(g230 +V +p1948 +tp1949 +a(g230 +g1008 +tp1950 +a(g706 +g982 +tp1951 +a(g827 +V\u000a\u000a +p1952 +tp1953 +a(g906 +V// Bil Corry fixes for decoding json\u000a +p1954 +tp1955 +a(g810 +Vdefine +p1956 +tp1957 +a(g827 +g962 +tp1958 +a(g574 +Vjson_consume_string +p1959 +tp1960 +a(g706 +g980 +tp1961 +a(g667 +Vibytes +p1962 +tp1963 +a(g597 +V::bytes +p1964 +tp1965 +a(g706 +g982 +tp1966 +a(g827 +g962 +tp1967 +a(g413 +V=> +p1968 +tp1969 +a(g827 +g962 +tp1970 +a(g706 +g971 +tp1971 +a(g827 +V\u000a +p1972 +tp1973 +a(g810 +Vlocal +p1974 +tp1975 +a(g706 +g980 +tp1976 +a(g651 +Vobytes +p1977 +tp1978 +a(g706 +g982 +tp1979 +a(g827 +g962 +tp1980 +a(g413 +g1545 +tp1981 +a(g827 +g962 +tp1982 +a(g749 +Vbytes +p1983 +tp1984 +a(g706 +g1550 +tp1985 +a(g827 +V\u000a +p1986 +tp1987 +a(g810 +Vlocal +p1988 +tp1989 +a(g706 +g980 +tp1990 +a(g651 +Vtemp +p1991 +tp1992 +a(g706 +g982 +tp1993 +a(g827 +g962 +tp1994 +a(g413 +g1545 +tp1995 +a(g827 +g962 +tp1996 +a(g37 +V0 +p1997 +tp1998 +a(g706 +g1550 +tp1999 +a(g827 +V\u000a +p2000 +tp2001 +a(g745 +Vwhile +p2002 +tp2003 +a(g706 +g980 +tp2004 +a(g706 +g980 +tp2005 +a(g462 +V#temp +p2006 +tp2007 +a(g827 +g962 +tp2008 +a(g413 +V:= +p2009 +tp2010 +a(g827 +g962 +tp2011 +a(g462 +V#ibytes +p2012 +tp2013 +a(g413 +V-> +p2014 +tp2015 +a(g682 +Vexport8bits +p2016 +tp2017 +a(g706 +g982 +tp2018 +a(g827 +g962 +tp2019 +a(g413 +V!= +p2020 +tp2021 +a(g827 +g962 +tp2022 +a(g37 +V34 +p2023 +tp2024 +a(g706 +g982 +tp2025 +a(g706 +g1550 +tp2026 +a(g827 +V\u000a +p2027 +tp2028 +a(g462 +V#obytes +p2029 +tp2030 +a(g413 +V-> +p2031 +tp2032 +a(g682 +Vimport8bits +p2033 +tp2034 +a(g706 +g980 +tp2035 +a(g462 +V#temp +p2036 +tp2037 +a(g706 +g982 +tp2038 +a(g706 +g1550 +tp2039 +a(g827 +V\u000a +p2040 +tp2041 +a(g706 +g980 +tp2042 +a(g462 +V#temp +p2043 +tp2044 +a(g827 +g962 +tp2045 +a(g413 +V== +p2046 +tp2047 +a(g827 +g962 +tp2048 +a(g37 +V92 +p2049 +tp2050 +a(g706 +g982 +tp2051 +a(g827 +g962 +tp2052 +a(g413 +g1490 +tp2053 +a(g827 +g962 +tp2054 +a(g462 +V#obytes +p2055 +tp2056 +a(g413 +V-> +p2057 +tp2058 +a(g682 +Vimport8bits +p2059 +tp2060 +a(g706 +g980 +tp2061 +a(g462 +V#ibytes +p2062 +tp2063 +a(g413 +V-> +p2064 +tp2065 +a(g682 +Vexport8bits +p2066 +tp2067 +a(g706 +g982 +tp2068 +a(g706 +g1550 +tp2069 +a(g827 +g962 +tp2070 +a(g906 +V// Escape \u005c\u000a +p2071 +tp2072 +a(g827 +V +p2073 +tp2074 +a(g706 +V/ +p2075 +tp2076 +a(g745 +Vwhile +p2077 +tp2078 +a(g706 +g1550 +tp2079 +a(g827 +V\u000a +p2080 +tp2081 +a(g810 +Vlocal +p2082 +tp2083 +a(g706 +g980 +tp2084 +a(g436 +Voutput +p2085 +tp2086 +a(g413 +V = +p2087 +tp2088 +a(g827 +g962 +tp2089 +a(g749 +Vstring +p2090 +tp2091 +a(g706 +g980 +tp2092 +a(g462 +V#obytes +p2093 +tp2094 +a(g706 +g982 +tp2095 +a(g413 +V-> +p2096 +tp2097 +a(g682 +Vunescape +p2098 +tp2099 +a(g706 +g982 +tp2100 +a(g827 +V\u000a +p2101 +tp2102 +a(g906 +V//Replace('\u005c\u005c"', '\u005c"') & Replace('\u005c\u005cr', '\u005cr') & Replace('\u005c\u005cn', '\u005cn') & Replace('\u005c\u005ct', '\u005ct') & Replace('\u005c\u005cf', '\u005cf') & Replace('\u005c\u005cb', '\u005cb') &;\u000a +p2103 +tp2104 +a(g827 +V +p2105 +tp2106 +a(g745 +Vif +p2107 +tp2108 +a(g706 +g980 +tp2109 +a(g462 +V#output +p2110 +tp2111 +a(g413 +V-> +p2112 +tp2113 +a(g682 +VBeginsWith +p2114 +tp2115 +a(g706 +g980 +tp2116 +a(g230 +g1008 +tp2117 +a(g230 +V +p2118 +tp2119 +a(g230 +g1008 +tp2120 +a(g706 +g982 +tp2121 +a(g827 +g962 +tp2122 +a(g413 +V&& +p2123 +tp2124 +a(g827 +g962 +tp2125 +a(g462 +V#output +p2126 +tp2127 +a(g413 +V-> +p2128 +tp2129 +a(g682 +VEndsWith +p2130 +tp2131 +a(g706 +g980 +tp2132 +a(g230 +g1008 +tp2133 +a(g230 +V +p2134 +tp2135 +a(g230 +g1008 +tp2136 +a(g706 +g982 +tp2137 +a(g706 +g982 +tp2138 +a(g706 +g1550 +tp2139 +a(g827 +V\u000a +p2140 +tp2141 +a(g745 +VProtect +p2142 +tp2143 +a(g706 +g1550 +tp2144 +a(g827 +V\u000a +p2145 +tp2146 +a(g745 +Vreturn +p2147 +tp2148 +a(g827 +g962 +tp2149 +a(g682 +Vserialization_reader +p2150 +tp2151 +a(g706 +g980 +tp2152 +a(g749 +Vxml +p2153 +tp2154 +a(g706 +g980 +tp2155 +a(g462 +V#output +p2156 +tp2157 +a(g827 +g962 +tp2158 +a(g413 +V- +p2159 +tp2160 +a(g827 +g962 +tp2161 +a(g230 +g1008 +tp2162 +a(g230 +V +p2163 +tp2164 +a(g230 +g1008 +tp2165 +a(g827 +g962 +tp2166 +a(g413 +g2159 +tp2167 +a(g827 +g962 +tp2168 +a(g230 +g1008 +tp2169 +a(g230 +V +p2170 +tp2171 +a(g230 +g1008 +tp2172 +a(g706 +g982 +tp2173 +a(g706 +g982 +tp2174 +a(g413 +V-> +p2175 +tp2176 +a(g682 +Vread +p2177 +tp2178 +a(g827 +V\u000a +p2179 +tp2180 +a(g706 +g2075 +tp2181 +a(g745 +VProtect +p2182 +tp2183 +a(g706 +g1550 +tp2184 +a(g827 +V\u000a +p2185 +tp2186 +a(g745 +Velse +p2187 +tp2188 +a(g706 +g980 +tp2189 +a(g827 +g962 +tp2190 +a(g706 +g980 +tp2191 +a(g462 +V#output +p2192 +tp2193 +a(g413 +V-> +p2194 +tp2195 +a(g682 +Vsize +p2196 +tp2197 +a(g827 +g962 +tp2198 +a(g413 +V== +p2199 +tp2200 +a(g827 +g962 +tp2201 +a(g37 +V16 +p2202 +tp2203 +a(g827 +g962 +tp2204 +a(g427 +Vor +p2205 +tp2206 +a(g827 +g962 +tp2207 +a(g462 +V#output +p2208 +tp2209 +a(g413 +V-> +p2210 +tp2211 +a(g682 +Vsize +p2212 +tp2213 +a(g827 +g962 +tp2214 +a(g413 +V== +p2215 +tp2216 +a(g827 +g962 +tp2217 +a(g37 +V15 +p2218 +tp2219 +a(g706 +g982 +tp2220 +a(g827 +g962 +tp2221 +a(g427 +Vand +p2222 +tp2223 +a(g827 +g962 +tp2224 +a(g682 +Vregexp +p2225 +tp2226 +a(g706 +g980 +tp2227 +a(g101 +V`\u005cd{8}T\u005cd{6}Z?` +p2228 +tp2229 +a(g706 +g1031 +tp2230 +a(g827 +g962 +tp2231 +a(g230 +g1008 +tp2232 +a(g230 +g1008 +tp2233 +a(g706 +g1031 +tp2234 +a(g827 +g962 +tp2235 +a(g462 +V#output +p2236 +tp2237 +a(g706 +g982 +tp2238 +a(g413 +V-> +p2239 +tp2240 +a(g682 +Vmatches +p2241 +tp2242 +a(g706 +g982 +tp2243 +a(g827 +V\u000a +p2244 +tp2245 +a(g745 +Vreturn +p2246 +tp2247 +a(g827 +g962 +tp2248 +a(g749 +Vdate +p2249 +tp2250 +a(g706 +g980 +tp2251 +a(g462 +V#output +p2252 +tp2253 +a(g706 +g1031 +tp2254 +a(g827 +g962 +tp2255 +a(g667 +V-Format +p2256 +tp2257 +a(g413 +g1545 +tp2258 +a(g462 +V#output +p2259 +tp2260 +a(g413 +V-> +p2261 +tp2262 +a(g682 +Vsize +p2263 +tp2264 +a(g827 +g962 +tp2265 +a(g413 +V== +p2266 +tp2267 +a(g827 +g962 +tp2268 +a(g37 +V16 +p2269 +tp2270 +a(g413 +g1490 +tp2271 +a(g101 +V`yyyyMMdd'T'HHmmssZ` +p2272 +tp2273 +a(g413 +g1498 +tp2274 +a(g101 +V`yyyyMMdd'T'HHmmss` +p2275 +tp2276 +a(g706 +g982 +tp2277 +a(g827 +V\u000a +p2278 +tp2279 +a(g706 +g2075 +tp2280 +a(g745 +Vif +p2281 +tp2282 +a(g827 +V\u000a +p2283 +tp2284 +a(g745 +Vreturn +p2285 +tp2286 +a(g827 +g962 +tp2287 +a(g462 +V#output +p2288 +tp2289 +a(g827 +V\u000a +p2290 +tp2291 +a(g706 +g986 +tp2292 +a(g827 +V\u000a\u000a +p2293 +tp2294 +a(g906 +V// Bil Corry fix + Ke fix\u000a +p2295 +tp2296 +a(g810 +Vdefine +p2297 +tp2298 +a(g827 +g962 +tp2299 +a(g574 +Vjson_consume_token +p2300 +tp2301 +a(g706 +g980 +tp2302 +a(g667 +Vibytes +p2303 +tp2304 +a(g597 +V::bytes +p2305 +tp2306 +a(g706 +g1031 +tp2307 +a(g827 +g962 +tp2308 +a(g667 +Vtemp +p2309 +tp2310 +a(g597 +V::integer +p2311 +tp2312 +a(g706 +g982 +tp2313 +a(g827 +g962 +tp2314 +a(g413 +V=> +p2315 +tp2316 +a(g827 +g962 +tp2317 +a(g706 +g971 +tp2318 +a(g827 +V\u000a\u000a +p2319 +tp2320 +a(g810 +Vlocal +p2321 +tp2322 +a(g706 +g980 +tp2323 +a(g436 +Vobytes +p2324 +tp2325 +a(g413 +V = +p2326 +tp2327 +a(g827 +g962 +tp2328 +a(g749 +Vbytes +p2329 +tp2330 +a(g413 +V-> +p2331 +tp2332 +a(g682 +Vimport8bits +p2333 +tp2334 +a(g706 +g980 +tp2335 +a(g462 +V#temp +p2336 +tp2337 +a(g706 +g982 +tp2338 +a(g827 +g962 +tp2339 +a(g413 +g1151 +tp2340 +a(g706 +g1031 +tp2341 +a(g827 +V\u000a +p2342 +tp2343 +a(g436 +Vdelimit +p2344 +tp2345 +a(g413 +V = +p2346 +tp2347 +a(g827 +g962 +tp2348 +a(g749 +Varray +p2349 +tp2350 +a(g706 +g980 +tp2351 +a(g37 +V9 +p2352 +tp2353 +a(g706 +g1031 +tp2354 +a(g827 +g962 +tp2355 +a(g37 +V10 +p2356 +tp2357 +a(g706 +g1031 +tp2358 +a(g827 +g962 +tp2359 +a(g37 +V13 +p2360 +tp2361 +a(g706 +g1031 +tp2362 +a(g827 +g962 +tp2363 +a(g37 +V32 +p2364 +tp2365 +a(g706 +g1031 +tp2366 +a(g827 +g962 +tp2367 +a(g37 +V44 +p2368 +tp2369 +a(g706 +g1031 +tp2370 +a(g827 +g962 +tp2371 +a(g37 +V58 +p2372 +tp2373 +a(g706 +g1031 +tp2374 +a(g827 +g962 +tp2375 +a(g37 +V93 +p2376 +tp2377 +a(g706 +g1031 +tp2378 +a(g827 +g962 +tp2379 +a(g37 +V125 +p2380 +tp2381 +a(g706 +g982 +tp2382 +a(g706 +g982 +tp2383 +a(g827 +g962 +tp2384 +a(g906 +V// \u005ct\u005cr\u005cn ,:]}\u000a +p2385 +tp2386 +a(g827 +V\u000a +p2387 +tp2388 +a(g745 +Vwhile +p2389 +tp2390 +a(g706 +g980 +tp2391 +a(g462 +V#delimit +p2392 +tp2393 +a(g827 +g962 +tp2394 +a(g413 +V!>> +p2395 +tp2396 +a(g827 +g962 +tp2397 +a(g706 +g980 +tp2398 +a(g462 +V#temp +p2399 +tp2400 +a(g827 +g962 +tp2401 +a(g413 +V:= +p2402 +tp2403 +a(g827 +g962 +tp2404 +a(g462 +V#ibytes +p2405 +tp2406 +a(g413 +V-> +p2407 +tp2408 +a(g682 +Vexport8bits +p2409 +tp2410 +a(g706 +g982 +tp2411 +a(g706 +g982 +tp2412 +a(g827 +V\u000a +p2413 +tp2414 +a(g462 +V#obytes +p2415 +tp2416 +a(g413 +V-> +p2417 +tp2418 +a(g682 +Vimport8bits +p2419 +tp2420 +a(g706 +g980 +tp2421 +a(g462 +V#temp +p2422 +tp2423 +a(g706 +g982 +tp2424 +a(g827 +V\u000a +p2425 +tp2426 +a(g706 +g2075 +tp2427 +a(g745 +Vwhile +p2428 +tp2429 +a(g827 +V\u000a\u000a +p2430 +tp2431 +a(g462 +V#temp +p2432 +tp2433 +a(g827 +g962 +tp2434 +a(g413 +V== +p2435 +tp2436 +a(g827 +g962 +tp2437 +a(g37 +V125 +p2438 +tp2439 +a(g413 +g1490 +tp2440 +a(g827 +g962 +tp2441 +a(g906 +V// }\u000a +p2442 +tp2443 +a(g827 +V +p2444 +tp2445 +a(g462 +V#ibytes +p2446 +tp2447 +a(g413 +V-> +p2448 +tp2449 +a(g682 +Vmarker +p2450 +tp2451 +a(g827 +g962 +tp2452 +a(g413 +V-= +p2453 +tp2454 +a(g827 +g962 +tp2455 +a(g37 +V1 +p2456 +tp2457 +a(g827 +V\u000a +p2458 +tp2459 +a(g906 +V//============================================================================\u000a +p2460 +tp2461 +a(g906 +V// Is also end of token if end of array[]\u000a +p2462 +tp2463 +a(g827 +g2105 +tp2464 +a(g462 +V#temp +p2465 +tp2466 +a(g827 +g962 +tp2467 +a(g413 +V== +p2468 +tp2469 +a(g827 +g962 +tp2470 +a(g37 +V93 +p2471 +tp2472 +a(g413 +g1490 +tp2473 +a(g827 +g962 +tp2474 +a(g906 +V// ]\u000a +p2475 +tp2476 +a(g827 +V +p2477 +tp2478 +a(g462 +V#ibytes +p2479 +tp2480 +a(g413 +V-> +p2481 +tp2482 +a(g682 +Vmarker +p2483 +tp2484 +a(g827 +g962 +tp2485 +a(g413 +V-= +p2486 +tp2487 +a(g827 +g962 +tp2488 +a(g37 +g2456 +tp2489 +a(g827 +V\u000a +p2490 +tp2491 +a(g906 +V//............................................................................ \u000a +p2492 +tp2493 +a(g827 +V\u000a +p2494 +tp2495 +a(g810 +Vlocal +p2496 +tp2497 +a(g706 +g980 +tp2498 +a(g436 +Voutput +p2499 +tp2500 +a(g413 +V = +p2501 +tp2502 +a(g827 +g962 +tp2503 +a(g749 +Vstring +p2504 +tp2505 +a(g706 +g980 +tp2506 +a(g462 +V#obytes +p2507 +tp2508 +a(g706 +g982 +tp2509 +a(g706 +g982 +tp2510 +a(g827 +V\u000a +p2511 +tp2512 +a(g462 +V#output +p2513 +tp2514 +a(g827 +g962 +tp2515 +a(g413 +V== +p2516 +tp2517 +a(g827 +g962 +tp2518 +a(g230 +g1008 +tp2519 +a(g230 +Vtrue +p2520 +tp2521 +a(g230 +g1008 +tp2522 +a(g413 +g1490 +tp2523 +a(g827 +V\u000a +p2524 +tp2525 +a(g745 +Vreturn +p2526 +tp2527 +a(g827 +g962 +tp2528 +a(g764 +Vtrue +p2529 +tp2530 +a(g827 +V\u000a +p2531 +tp2532 +a(g462 +V#output +p2533 +tp2534 +a(g827 +g962 +tp2535 +a(g413 +V== +p2536 +tp2537 +a(g827 +g962 +tp2538 +a(g230 +g1008 +tp2539 +a(g230 +Vfalse +p2540 +tp2541 +a(g230 +g1008 +tp2542 +a(g413 +g1490 +tp2543 +a(g827 +V\u000a +p2544 +tp2545 +a(g745 +Vreturn +p2546 +tp2547 +a(g827 +g962 +tp2548 +a(g764 +Vfalse +p2549 +tp2550 +a(g827 +V\u000a +p2551 +tp2552 +a(g462 +V#output +p2553 +tp2554 +a(g827 +g962 +tp2555 +a(g413 +V== +p2556 +tp2557 +a(g827 +g962 +tp2558 +a(g230 +g1008 +tp2559 +a(g230 +Vnull +p2560 +tp2561 +a(g230 +g1008 +tp2562 +a(g413 +g1490 +tp2563 +a(g827 +V\u000a +p2564 +tp2565 +a(g745 +Vreturn +p2566 +tp2567 +a(g827 +g962 +tp2568 +a(g749 +Vnull +p2569 +tp2570 +a(g827 +V\u000a +p2571 +tp2572 +a(g682 +Vstring_IsNumeric +p2573 +tp2574 +a(g706 +g980 +tp2575 +a(g462 +V#output +p2576 +tp2577 +a(g706 +g982 +tp2578 +a(g413 +g1490 +tp2579 +a(g827 +V\u000a +p2580 +tp2581 +a(g745 +Vreturn +p2582 +tp2583 +a(g827 +g962 +tp2584 +a(g706 +g980 +tp2585 +a(g462 +V#output +p2586 +tp2587 +a(g827 +g962 +tp2588 +a(g413 +V>> +p2589 +tp2590 +a(g827 +g962 +tp2591 +a(g230 +g1008 +tp2592 +a(g230 +V. +p2593 +tp2594 +a(g230 +g1008 +tp2595 +a(g706 +g982 +tp2596 +a(g413 +g1490 +tp2597 +a(g827 +g962 +tp2598 +a(g749 +Vdecimal +p2599 +tp2600 +a(g706 +g980 +tp2601 +a(g462 +V#output +p2602 +tp2603 +a(g706 +g982 +tp2604 +a(g827 +g962 +tp2605 +a(g413 +g1498 +tp2606 +a(g827 +g962 +tp2607 +a(g749 +Vinteger +p2608 +tp2609 +a(g706 +g980 +tp2610 +a(g462 +V#output +p2611 +tp2612 +a(g706 +g982 +tp2613 +a(g827 +V\u000a\u000a +p2614 +tp2615 +a(g745 +Vreturn +p2616 +tp2617 +a(g827 +g962 +tp2618 +a(g462 +V#output +p2619 +tp2620 +a(g827 +V\u000a +p2621 +tp2622 +a(g706 +g986 +tp2623 +a(g827 +V\u000a\u000a +p2624 +tp2625 +a(g906 +V// Bil Corry fix\u000a +p2626 +tp2627 +a(g810 +Vdefine +p2628 +tp2629 +a(g827 +g962 +tp2630 +a(g574 +Vjson_consume_array +p2631 +tp2632 +a(g706 +g980 +tp2633 +a(g667 +Vibytes +p2634 +tp2635 +a(g597 +V::bytes +p2636 +tp2637 +a(g706 +g982 +tp2638 +a(g597 +V::array +p2639 +tp2640 +a(g827 +g962 +tp2641 +a(g413 +V=> +p2642 +tp2643 +a(g827 +g962 +tp2644 +a(g706 +g971 +tp2645 +a(g827 +V\u000a +p2646 +tp2647 +a(g810 +VLocal +p2648 +tp2649 +a(g706 +g980 +tp2650 +a(g682 +Voutput +p2651 +tp2652 +a(g706 +g982 +tp2653 +a(g827 +g962 +tp2654 +a(g413 +g1545 +tp2655 +a(g827 +g962 +tp2656 +a(g749 +Varray +p2657 +tp2658 +a(g706 +g1550 +tp2659 +a(g827 +V\u000a +p2660 +tp2661 +a(g810 +Vlocal +p2662 +tp2663 +a(g706 +g980 +tp2664 +a(g651 +Vdelimit +p2665 +tp2666 +a(g706 +g982 +tp2667 +a(g827 +g962 +tp2668 +a(g413 +g1545 +tp2669 +a(g827 +g962 +tp2670 +a(g749 +Varray +p2671 +tp2672 +a(g706 +g980 +tp2673 +a(g827 +g962 +tp2674 +a(g37 +g2352 +tp2675 +a(g706 +g1031 +tp2676 +a(g827 +g962 +tp2677 +a(g37 +V10 +p2678 +tp2679 +a(g706 +g1031 +tp2680 +a(g827 +g962 +tp2681 +a(g37 +V13 +p2682 +tp2683 +a(g706 +g1031 +tp2684 +a(g827 +g962 +tp2685 +a(g37 +V32 +p2686 +tp2687 +a(g706 +g1031 +tp2688 +a(g827 +g962 +tp2689 +a(g37 +V44 +p2690 +tp2691 +a(g706 +g982 +tp2692 +a(g706 +g1550 +tp2693 +a(g827 +g962 +tp2694 +a(g906 +V// \u005ct\u005cr\u005cn ,\u000a +p2695 +tp2696 +a(g827 +g2105 +tp2697 +a(g810 +Vlocal +p2698 +tp2699 +a(g706 +g980 +tp2700 +a(g651 +Vtemp +p2701 +tp2702 +a(g706 +g982 +tp2703 +a(g827 +g962 +tp2704 +a(g413 +g1545 +tp2705 +a(g827 +g962 +tp2706 +a(g37 +g1997 +tp2707 +a(g706 +g1550 +tp2708 +a(g827 +V\u000a +p2709 +tp2710 +a(g745 +VWhile +p2711 +tp2712 +a(g706 +g980 +tp2713 +a(g706 +g980 +tp2714 +a(g462 +V#temp +p2715 +tp2716 +a(g827 +g962 +tp2717 +a(g413 +V:= +p2718 +tp2719 +a(g827 +g962 +tp2720 +a(g462 +V#ibytes +p2721 +tp2722 +a(g413 +V-> +p2723 +tp2724 +a(g682 +Vexport8bits +p2725 +tp2726 +a(g706 +g982 +tp2727 +a(g827 +g962 +tp2728 +a(g413 +V!= +p2729 +tp2730 +a(g827 +g962 +tp2731 +a(g37 +V93 +p2732 +tp2733 +a(g706 +g982 +tp2734 +a(g706 +g1550 +tp2735 +a(g827 +g962 +tp2736 +a(g906 +V// ]\u000a +p2737 +tp2738 +a(g827 +V +p2739 +tp2740 +a(g745 +VIf +p2741 +tp2742 +a(g706 +g980 +tp2743 +a(g462 +V#delimit +p2744 +tp2745 +a(g827 +g962 +tp2746 +a(g413 +V>> +p2747 +tp2748 +a(g827 +g962 +tp2749 +a(g462 +V#temp +p2750 +tp2751 +a(g706 +g982 +tp2752 +a(g706 +g1550 +tp2753 +a(g827 +V\u000a +p2754 +tp2755 +a(g906 +V// Discard whitespace\u000a +p2756 +tp2757 +a(g827 +V +p2758 +tp2759 +a(g745 +VElse +p2760 +tp2761 +a(g706 +g980 +tp2762 +a(g462 +V#temp +p2763 +tp2764 +a(g827 +g962 +tp2765 +a(g413 +V== +p2766 +tp2767 +a(g827 +g962 +tp2768 +a(g37 +V34 +p2769 +tp2770 +a(g706 +g982 +tp2771 +a(g706 +g1550 +tp2772 +a(g827 +g962 +tp2773 +a(g906 +V// "\u000a +p2774 +tp2775 +a(g827 +V +p2776 +tp2777 +a(g462 +V#output +p2778 +tp2779 +a(g413 +V-> +p2780 +tp2781 +a(g682 +Vinsert +p2782 +tp2783 +a(g706 +g980 +tp2784 +a(g682 +Vjson_consume_string +p2785 +tp2786 +a(g706 +g980 +tp2787 +a(g462 +V#ibytes +p2788 +tp2789 +a(g706 +g982 +tp2790 +a(g706 +g982 +tp2791 +a(g706 +g1550 +tp2792 +a(g827 +V\u000a +p2793 +tp2794 +a(g745 +VElse +p2795 +tp2796 +a(g706 +g980 +tp2797 +a(g462 +V#temp +p2798 +tp2799 +a(g827 +g962 +tp2800 +a(g413 +V== +p2801 +tp2802 +a(g827 +g962 +tp2803 +a(g37 +V91 +p2804 +tp2805 +a(g706 +g982 +tp2806 +a(g706 +g1550 +tp2807 +a(g827 +g962 +tp2808 +a(g906 +V// [\u000a +p2809 +tp2810 +a(g827 +V +p2811 +tp2812 +a(g462 +V#output +p2813 +tp2814 +a(g413 +V-> +p2815 +tp2816 +a(g682 +Vinsert +p2817 +tp2818 +a(g706 +g980 +tp2819 +a(g682 +Vjson_consume_array +p2820 +tp2821 +a(g706 +g980 +tp2822 +a(g462 +V#ibytes +p2823 +tp2824 +a(g706 +g982 +tp2825 +a(g706 +g982 +tp2826 +a(g706 +g1550 +tp2827 +a(g827 +V\u000a +p2828 +tp2829 +a(g745 +VElse +p2830 +tp2831 +a(g706 +g980 +tp2832 +a(g462 +V#temp +p2833 +tp2834 +a(g827 +g962 +tp2835 +a(g413 +V== +p2836 +tp2837 +a(g827 +g962 +tp2838 +a(g37 +V123 +p2839 +tp2840 +a(g706 +g982 +tp2841 +a(g706 +g1550 +tp2842 +a(g827 +g962 +tp2843 +a(g906 +V// {\u000a +p2844 +tp2845 +a(g827 +V +p2846 +tp2847 +a(g462 +V#output +p2848 +tp2849 +a(g413 +V-> +p2850 +tp2851 +a(g682 +Vinsert +p2852 +tp2853 +a(g706 +g980 +tp2854 +a(g682 +Vjson_consume_object +p2855 +tp2856 +a(g706 +g980 +tp2857 +a(g462 +V#ibytes +p2858 +tp2859 +a(g706 +g982 +tp2860 +a(g706 +g982 +tp2861 +a(g706 +g1550 +tp2862 +a(g827 +V\u000a +p2863 +tp2864 +a(g745 +VElse +p2865 +tp2866 +a(g706 +g1550 +tp2867 +a(g827 +V\u000a +p2868 +tp2869 +a(g462 +V#output +p2870 +tp2871 +a(g413 +V-> +p2872 +tp2873 +a(g682 +Vinsert +p2874 +tp2875 +a(g706 +g980 +tp2876 +a(g682 +Vjson_consume_token +p2877 +tp2878 +a(g706 +g980 +tp2879 +a(g462 +V#ibytes +p2880 +tp2881 +a(g706 +g1031 +tp2882 +a(g827 +g962 +tp2883 +a(g462 +V#temp +p2884 +tp2885 +a(g706 +g982 +tp2886 +a(g706 +g982 +tp2887 +a(g706 +g1550 +tp2888 +a(g827 +V\u000a +p2889 +tp2890 +a(g706 +g980 +tp2891 +a(g462 +V#temp +p2892 +tp2893 +a(g827 +g962 +tp2894 +a(g413 +V== +p2895 +tp2896 +a(g827 +g962 +tp2897 +a(g37 +V93 +p2898 +tp2899 +a(g706 +g982 +tp2900 +a(g827 +g962 +tp2901 +a(g413 +g1490 +tp2902 +a(g827 +g962 +tp2903 +a(g745 +VLoop_Abort +p2904 +tp2905 +a(g706 +g1550 +tp2906 +a(g827 +V\u000a +p2907 +tp2908 +a(g706 +g2075 +tp2909 +a(g745 +VIf +p2910 +tp2911 +a(g706 +g1550 +tp2912 +a(g827 +V\u000a +p2913 +tp2914 +a(g706 +g2075 +tp2915 +a(g745 +VWhile +p2916 +tp2917 +a(g706 +g1550 +tp2918 +a(g827 +V\u000a +p2919 +tp2920 +a(g745 +VReturn +p2921 +tp2922 +a(g706 +g980 +tp2923 +a(g462 +V#output +p2924 +tp2925 +a(g706 +g982 +tp2926 +a(g706 +g1550 +tp2927 +a(g827 +V\u000a +p2928 +tp2929 +a(g706 +g986 +tp2930 +a(g827 +V\u000a\u000a +p2931 +tp2932 +a(g906 +V// Bil Corry fix\u000a +p2933 +tp2934 +a(g810 +Vdefine +p2935 +tp2936 +a(g827 +g962 +tp2937 +a(g574 +Vjson_consume_object +p2938 +tp2939 +a(g706 +g980 +tp2940 +a(g667 +Vibytes +p2941 +tp2942 +a(g597 +V::bytes +p2943 +tp2944 +a(g706 +g982 +tp2945 +a(g597 +V::map +p2946 +tp2947 +a(g827 +g962 +tp2948 +a(g413 +V=> +p2949 +tp2950 +a(g827 +g962 +tp2951 +a(g706 +g971 +tp2952 +a(g827 +V\u000a +p2953 +tp2954 +a(g810 +VLocal +p2955 +tp2956 +a(g706 +g980 +tp2957 +a(g230 +g1008 +tp2958 +a(g230 +Voutput +p2959 +tp2960 +a(g230 +g1008 +tp2961 +a(g827 +g962 +tp2962 +a(g413 +g1545 +tp2963 +a(g827 +g962 +tp2964 +a(g749 +Vmap +p2965 +tp2966 +a(g706 +g1031 +tp2967 +a(g827 +V\u000a +p2968 +tp2969 +a(g230 +g1008 +tp2970 +a(g230 +Vdelimit +p2971 +tp2972 +a(g230 +g1008 +tp2973 +a(g827 +g962 +tp2974 +a(g413 +g1545 +tp2975 +a(g827 +g962 +tp2976 +a(g749 +Varray +p2977 +tp2978 +a(g706 +g980 +tp2979 +a(g827 +g962 +tp2980 +a(g37 +g2352 +tp2981 +a(g706 +g1031 +tp2982 +a(g827 +g962 +tp2983 +a(g37 +V10 +p2984 +tp2985 +a(g706 +g1031 +tp2986 +a(g827 +g962 +tp2987 +a(g37 +V13 +p2988 +tp2989 +a(g706 +g1031 +tp2990 +a(g827 +g962 +tp2991 +a(g37 +V32 +p2992 +tp2993 +a(g706 +g1031 +tp2994 +a(g827 +g962 +tp2995 +a(g37 +V44 +p2996 +tp2997 +a(g706 +g982 +tp2998 +a(g706 +g1031 +tp2999 +a(g827 +g962 +tp3000 +a(g906 +V// \u005ct\u005cr\u005cn ,\u000a +p3001 +tp3002 +a(g827 +V +p3003 +tp3004 +a(g230 +g1008 +tp3005 +a(g230 +Vtemp +p3006 +tp3007 +a(g230 +g1008 +tp3008 +a(g827 +g962 +tp3009 +a(g413 +g1545 +tp3010 +a(g827 +g962 +tp3011 +a(g37 +g1997 +tp3012 +a(g706 +g1031 +tp3013 +a(g827 +V\u000a +p3014 +tp3015 +a(g230 +g1008 +tp3016 +a(g230 +Vkey +p3017 +tp3018 +a(g230 +g1008 +tp3019 +a(g827 +g962 +tp3020 +a(g413 +g1545 +tp3021 +a(g827 +g962 +tp3022 +a(g749 +Vnull +p3023 +tp3024 +a(g706 +g1031 +tp3025 +a(g827 +V\u000a +p3026 +tp3027 +a(g230 +g1008 +tp3028 +a(g230 +Vval +p3029 +tp3030 +a(g230 +g1008 +tp3031 +a(g827 +g962 +tp3032 +a(g413 +g1545 +tp3033 +a(g827 +g962 +tp3034 +a(g749 +Vnull +p3035 +tp3036 +a(g706 +g982 +tp3037 +a(g706 +g1550 +tp3038 +a(g827 +V\u000a +p3039 +tp3040 +a(g745 +VWhile +p3041 +tp3042 +a(g706 +g980 +tp3043 +a(g706 +g980 +tp3044 +a(g462 +V#temp +p3045 +tp3046 +a(g827 +g962 +tp3047 +a(g413 +V:= +p3048 +tp3049 +a(g827 +g962 +tp3050 +a(g462 +V#ibytes +p3051 +tp3052 +a(g413 +V-> +p3053 +tp3054 +a(g682 +Vexport8bits +p3055 +tp3056 +a(g706 +g982 +tp3057 +a(g827 +g962 +tp3058 +a(g413 +V!= +p3059 +tp3060 +a(g827 +g962 +tp3061 +a(g37 +V125 +p3062 +tp3063 +a(g706 +g982 +tp3064 +a(g706 +g1550 +tp3065 +a(g827 +g962 +tp3066 +a(g906 +V// }\u000a +p3067 +tp3068 +a(g827 +V +p3069 +tp3070 +a(g745 +VIf +p3071 +tp3072 +a(g706 +g980 +tp3073 +a(g462 +V#delimit +p3074 +tp3075 +a(g827 +g962 +tp3076 +a(g413 +V>> +p3077 +tp3078 +a(g827 +g962 +tp3079 +a(g462 +V#temp +p3080 +tp3081 +a(g706 +g982 +tp3082 +a(g706 +g1550 +tp3083 +a(g827 +V\u000a +p3084 +tp3085 +a(g906 +V// Discard whitespace\u000a +p3086 +tp3087 +a(g827 +V +p3088 +tp3089 +a(g745 +VElse +p3090 +tp3091 +a(g706 +g980 +tp3092 +a(g706 +g980 +tp3093 +a(g462 +V#key +p3094 +tp3095 +a(g827 +g962 +tp3096 +a(g413 +V!== +p3097 +tp3098 +a(g827 +g962 +tp3099 +a(g749 +Vnull +p3100 +tp3101 +a(g706 +g982 +tp3102 +a(g827 +g962 +tp3103 +a(g413 +V&& +p3104 +tp3105 +a(g827 +g962 +tp3106 +a(g706 +g980 +tp3107 +a(g462 +V#temp +p3108 +tp3109 +a(g827 +g962 +tp3110 +a(g413 +V== +p3111 +tp3112 +a(g827 +g962 +tp3113 +a(g37 +V34 +p3114 +tp3115 +a(g706 +g982 +tp3116 +a(g706 +g982 +tp3117 +a(g706 +g1550 +tp3118 +a(g827 +g962 +tp3119 +a(g906 +V// "\u000a +p3120 +tp3121 +a(g827 +V +p3122 +tp3123 +a(g462 +V#output +p3124 +tp3125 +a(g413 +V-> +p3126 +tp3127 +a(g682 +Vinsert +p3128 +tp3129 +a(g706 +g980 +tp3130 +a(g462 +V#key +p3131 +tp3132 +a(g827 +g962 +tp3133 +a(g413 +g1545 +tp3134 +a(g827 +g962 +tp3135 +a(g682 +Vjson_consume_string +p3136 +tp3137 +a(g706 +g980 +tp3138 +a(g462 +V#ibytes +p3139 +tp3140 +a(g706 +g982 +tp3141 +a(g706 +g982 +tp3142 +a(g706 +g1550 +tp3143 +a(g827 +V\u000a +p3144 +tp3145 +a(g462 +V#key +p3146 +tp3147 +a(g827 +g962 +tp3148 +a(g413 +g1545 +tp3149 +a(g827 +g962 +tp3150 +a(g749 +Vnull +p3151 +tp3152 +a(g706 +g1550 +tp3153 +a(g827 +V\u000a +p3154 +tp3155 +a(g745 +VElse +p3156 +tp3157 +a(g706 +g980 +tp3158 +a(g706 +g980 +tp3159 +a(g462 +V#key +p3160 +tp3161 +a(g827 +g962 +tp3162 +a(g413 +V!== +p3163 +tp3164 +a(g827 +g962 +tp3165 +a(g749 +Vnull +p3166 +tp3167 +a(g706 +g982 +tp3168 +a(g827 +g962 +tp3169 +a(g413 +V&& +p3170 +tp3171 +a(g827 +g962 +tp3172 +a(g706 +g980 +tp3173 +a(g462 +V#temp +p3174 +tp3175 +a(g827 +g962 +tp3176 +a(g413 +V== +p3177 +tp3178 +a(g827 +g962 +tp3179 +a(g37 +V91 +p3180 +tp3181 +a(g706 +g982 +tp3182 +a(g706 +g982 +tp3183 +a(g706 +g1550 +tp3184 +a(g827 +g962 +tp3185 +a(g906 +V// [\u000a +p3186 +tp3187 +a(g827 +V +p3188 +tp3189 +a(g462 +V#output +p3190 +tp3191 +a(g413 +V-> +p3192 +tp3193 +a(g682 +Vinsert +p3194 +tp3195 +a(g706 +g980 +tp3196 +a(g462 +V#key +p3197 +tp3198 +a(g827 +g962 +tp3199 +a(g413 +g1545 +tp3200 +a(g827 +g962 +tp3201 +a(g682 +Vjson_consume_array +p3202 +tp3203 +a(g706 +g980 +tp3204 +a(g462 +V#ibytes +p3205 +tp3206 +a(g706 +g982 +tp3207 +a(g706 +g982 +tp3208 +a(g706 +g1550 +tp3209 +a(g827 +V\u000a +p3210 +tp3211 +a(g462 +V#key +p3212 +tp3213 +a(g827 +g962 +tp3214 +a(g413 +g1545 +tp3215 +a(g827 +g962 +tp3216 +a(g749 +Vnull +p3217 +tp3218 +a(g706 +g1550 +tp3219 +a(g827 +V\u000a +p3220 +tp3221 +a(g745 +VElse +p3222 +tp3223 +a(g706 +g980 +tp3224 +a(g706 +g980 +tp3225 +a(g462 +V#key +p3226 +tp3227 +a(g827 +g962 +tp3228 +a(g413 +V!== +p3229 +tp3230 +a(g827 +g962 +tp3231 +a(g749 +Vnull +p3232 +tp3233 +a(g706 +g982 +tp3234 +a(g827 +g962 +tp3235 +a(g413 +V&& +p3236 +tp3237 +a(g827 +g962 +tp3238 +a(g706 +g980 +tp3239 +a(g462 +V#temp +p3240 +tp3241 +a(g827 +g962 +tp3242 +a(g413 +V== +p3243 +tp3244 +a(g827 +g962 +tp3245 +a(g37 +V123 +p3246 +tp3247 +a(g706 +g982 +tp3248 +a(g706 +g982 +tp3249 +a(g706 +g1550 +tp3250 +a(g827 +g962 +tp3251 +a(g906 +V// {\u000a +p3252 +tp3253 +a(g827 +V +p3254 +tp3255 +a(g462 +V#output +p3256 +tp3257 +a(g413 +V-> +p3258 +tp3259 +a(g682 +Vinsert +p3260 +tp3261 +a(g706 +g980 +tp3262 +a(g462 +V#key +p3263 +tp3264 +a(g827 +g962 +tp3265 +a(g413 +g1545 +tp3266 +a(g827 +g962 +tp3267 +a(g682 +Vjson_consume_object +p3268 +tp3269 +a(g706 +g980 +tp3270 +a(g462 +V#ibytes +p3271 +tp3272 +a(g706 +g982 +tp3273 +a(g706 +g982 +tp3274 +a(g706 +g1550 +tp3275 +a(g827 +V\u000a +p3276 +tp3277 +a(g462 +V#key +p3278 +tp3279 +a(g827 +g962 +tp3280 +a(g413 +g1545 +tp3281 +a(g827 +g962 +tp3282 +a(g749 +Vnull +p3283 +tp3284 +a(g706 +g1550 +tp3285 +a(g827 +V\u000a +p3286 +tp3287 +a(g745 +VElse +p3288 +tp3289 +a(g706 +g980 +tp3290 +a(g706 +g980 +tp3291 +a(g462 +V#key +p3292 +tp3293 +a(g827 +g962 +tp3294 +a(g413 +V!== +p3295 +tp3296 +a(g827 +g962 +tp3297 +a(g749 +Vnull +p3298 +tp3299 +a(g706 +g982 +tp3300 +a(g706 +g982 +tp3301 +a(g706 +g1550 +tp3302 +a(g827 +V\u000a +p3303 +tp3304 +a(g462 +V#output +p3305 +tp3306 +a(g413 +V-> +p3307 +tp3308 +a(g682 +Vinsert +p3309 +tp3310 +a(g706 +g980 +tp3311 +a(g462 +V#key +p3312 +tp3313 +a(g827 +g962 +tp3314 +a(g413 +g1545 +tp3315 +a(g827 +g962 +tp3316 +a(g682 +Vjson_consume_token +p3317 +tp3318 +a(g706 +g980 +tp3319 +a(g462 +V#ibytes +p3320 +tp3321 +a(g706 +g1031 +tp3322 +a(g827 +g962 +tp3323 +a(g462 +V#temp +p3324 +tp3325 +a(g706 +g982 +tp3326 +a(g706 +g982 +tp3327 +a(g706 +g1550 +tp3328 +a(g827 +V\u000a +p3329 +tp3330 +a(g462 +V#key +p3331 +tp3332 +a(g827 +g962 +tp3333 +a(g413 +g1545 +tp3334 +a(g827 +g962 +tp3335 +a(g749 +Vnull +p3336 +tp3337 +a(g706 +g1550 +tp3338 +a(g827 +V\u000a +p3339 +tp3340 +a(g745 +VElse +p3341 +tp3342 +a(g706 +g1550 +tp3343 +a(g827 +V\u000a +p3344 +tp3345 +a(g462 +V#key +p3346 +tp3347 +a(g827 +g962 +tp3348 +a(g413 +g1545 +tp3349 +a(g827 +g962 +tp3350 +a(g682 +Vjson_consume_string +p3351 +tp3352 +a(g706 +g980 +tp3353 +a(g462 +V#ibytes +p3354 +tp3355 +a(g706 +g982 +tp3356 +a(g706 +g1550 +tp3357 +a(g827 +V\u000a +p3358 +tp3359 +a(g745 +Vwhile +p3360 +tp3361 +a(g706 +g980 +tp3362 +a(g462 +V#delimit +p3363 +tp3364 +a(g827 +g962 +tp3365 +a(g413 +V>> +p3366 +tp3367 +a(g827 +g962 +tp3368 +a(g706 +g980 +tp3369 +a(g462 +V#temp +p3370 +tp3371 +a(g827 +g962 +tp3372 +a(g413 +V:= +p3373 +tp3374 +a(g827 +g962 +tp3375 +a(g462 +V#ibytes +p3376 +tp3377 +a(g413 +V-> +p3378 +tp3379 +a(g682 +Vexport8bits +p3380 +tp3381 +a(g706 +g982 +tp3382 +a(g706 +g982 +tp3383 +a(g706 +g1550 +tp3384 +a(g827 +V\u000a +p3385 +tp3386 +a(g706 +g2075 +tp3387 +a(g745 +Vwhile +p3388 +tp3389 +a(g706 +g1550 +tp3390 +a(g827 +V\u000a +p3391 +tp3392 +a(g462 +V#temp +p3393 +tp3394 +a(g827 +g962 +tp3395 +a(g413 +V!= +p3396 +tp3397 +a(g827 +g962 +tp3398 +a(g37 +V58 +p3399 +tp3400 +a(g827 +g962 +tp3401 +a(g413 +g1490 +tp3402 +a(g827 +g962 +tp3403 +a(g745 +VLoop_Abort +p3404 +tp3405 +a(g706 +g1550 +tp3406 +a(g827 +V\u000a +p3407 +tp3408 +a(g706 +g2075 +tp3409 +a(g745 +VIf +p3410 +tp3411 +a(g706 +g1550 +tp3412 +a(g827 +V\u000a +p3413 +tp3414 +a(g706 +g2075 +tp3415 +a(g745 +VWhile +p3416 +tp3417 +a(g706 +g1550 +tp3418 +a(g827 +V\u000a\u000a +p3419 +tp3420 +a(g745 +VIf +p3421 +tp3422 +a(g706 +g980 +tp3423 +a(g706 +g980 +tp3424 +a(g462 +V#output +p3425 +tp3426 +a(g827 +g962 +tp3427 +a(g413 +V>> +p3428 +tp3429 +a(g827 +g962 +tp3430 +a(g230 +g1008 +tp3431 +a(g230 +V__jsonclass__ +p3432 +tp3433 +a(g230 +g1008 +tp3434 +a(g706 +g982 +tp3435 +a(g827 +g962 +tp3436 +a(g413 +V&& +p3437 +tp3438 +a(g827 +g962 +tp3439 +a(g706 +g980 +tp3440 +a(g462 +V#output +p3441 +tp3442 +a(g413 +V-> +p3443 +tp3444 +a(g682 +VFind +p3445 +tp3446 +a(g706 +g980 +tp3447 +a(g230 +g1008 +tp3448 +a(g230 +V__jsonclass__ +p3449 +tp3450 +a(g230 +g1008 +tp3451 +a(g706 +g982 +tp3452 +a(g413 +V-> +p3453 +tp3454 +a(g682 +Visa +p3455 +tp3456 +a(g706 +g980 +tp3457 +a(g230 +g1008 +tp3458 +a(g230 +Varray +p3459 +tp3460 +a(g230 +g1008 +tp3461 +a(g706 +g982 +tp3462 +a(g706 +g982 +tp3463 +a(g827 +g962 +tp3464 +a(g413 +V&& +p3465 +tp3466 +a(g827 +g962 +tp3467 +a(g706 +g980 +tp3468 +a(g462 +V#output +p3469 +tp3470 +a(g413 +V-> +p3471 +tp3472 +a(g682 +VFind +p3473 +tp3474 +a(g706 +g980 +tp3475 +a(g230 +g1008 +tp3476 +a(g230 +V__jsonclass__ +p3477 +tp3478 +a(g230 +g1008 +tp3479 +a(g706 +g982 +tp3480 +a(g413 +V-> +p3481 +tp3482 +a(g682 +Vsize +p3483 +tp3484 +a(g827 +g962 +tp3485 +a(g413 +V>= +p3486 +tp3487 +a(g827 +g962 +tp3488 +a(g37 +V2 +p3489 +tp3490 +a(g706 +g982 +tp3491 +a(g827 +g962 +tp3492 +a(g413 +V&& +p3493 +tp3494 +a(g827 +g962 +tp3495 +a(g706 +g980 +tp3496 +a(g462 +V#output +p3497 +tp3498 +a(g413 +V-> +p3499 +tp3500 +a(g682 +VFind +p3501 +tp3502 +a(g706 +g980 +tp3503 +a(g230 +g1008 +tp3504 +a(g230 +V__jsonclass__ +p3505 +tp3506 +a(g230 +g1008 +tp3507 +a(g706 +g982 +tp3508 +a(g413 +V-> +p3509 +tp3510 +a(g682 +VFirst +p3511 +tp3512 +a(g827 +g962 +tp3513 +a(g413 +V== +p3514 +tp3515 +a(g827 +g962 +tp3516 +a(g230 +g1008 +tp3517 +a(g230 +Vdeserialize +p3518 +tp3519 +a(g230 +g1008 +tp3520 +a(g706 +g982 +tp3521 +a(g706 +g982 +tp3522 +a(g706 +g1550 +tp3523 +a(g827 +V\u000a +p3524 +tp3525 +a(g745 +VReturn +p3526 +tp3527 +a(g706 +g980 +tp3528 +a(g462 +V#output +p3529 +tp3530 +a(g413 +V-> +p3531 +tp3532 +a(g682 +Vfind +p3533 +tp3534 +a(g706 +g980 +tp3535 +a(g230 +g1008 +tp3536 +a(g230 +V__jsonclass__ +p3537 +tp3538 +a(g230 +g1008 +tp3539 +a(g706 +g982 +tp3540 +a(g413 +V-> +p3541 +tp3542 +a(g682 +VSecond +p3543 +tp3544 +a(g413 +V-> +p3545 +tp3546 +a(g682 +VFirst +p3547 +tp3548 +a(g706 +g982 +tp3549 +a(g706 +g1550 +tp3550 +a(g827 +V\u000a +p3551 +tp3552 +a(g745 +VElse +p3553 +tp3554 +a(g706 +g980 +tp3555 +a(g706 +g980 +tp3556 +a(g462 +V#output +p3557 +tp3558 +a(g827 +g962 +tp3559 +a(g413 +V>> +p3560 +tp3561 +a(g827 +g962 +tp3562 +a(g230 +g1008 +tp3563 +a(g230 +Vnative +p3564 +tp3565 +a(g230 +g1008 +tp3566 +a(g706 +g982 +tp3567 +a(g827 +g962 +tp3568 +a(g413 +V&& +p3569 +tp3570 +a(g827 +g962 +tp3571 +a(g706 +g980 +tp3572 +a(g462 +V#output +p3573 +tp3574 +a(g827 +g962 +tp3575 +a(g413 +V>> +p3576 +tp3577 +a(g827 +g962 +tp3578 +a(g230 +g1008 +tp3579 +a(g230 +Vcomment +p3580 +tp3581 +a(g230 +g1008 +tp3582 +a(g706 +g982 +tp3583 +a(g827 +g962 +tp3584 +a(g413 +V&& +p3585 +tp3586 +a(g827 +g962 +tp3587 +a(g706 +g980 +tp3588 +a(g462 +V#output +p3589 +tp3590 +a(g413 +V-> +p3591 +tp3592 +a(g682 +Vfind +p3593 +tp3594 +a(g706 +g980 +tp3595 +a(g230 +g1008 +tp3596 +a(g230 +Vcomment +p3597 +tp3598 +a(g230 +g1008 +tp3599 +a(g706 +g982 +tp3600 +a(g827 +g962 +tp3601 +a(g413 +V== +p3602 +tp3603 +a(g827 +g962 +tp3604 +a(g230 +g1008 +tp3605 +a(g230 +Vhttp://www.lassosoft.com/json +p3606 +tp3607 +a(g230 +g1008 +tp3608 +a(g706 +g982 +tp3609 +a(g706 +g982 +tp3610 +a(g706 +g1550 +tp3611 +a(g827 +V\u000a +p3612 +tp3613 +a(g745 +VReturn +p3614 +tp3615 +a(g706 +g980 +tp3616 +a(g462 +V#output +p3617 +tp3618 +a(g413 +V-> +p3619 +tp3620 +a(g682 +Vfind +p3621 +tp3622 +a(g706 +g980 +tp3623 +a(g230 +g1008 +tp3624 +a(g230 +Vnative +p3625 +tp3626 +a(g230 +g1008 +tp3627 +a(g706 +g982 +tp3628 +a(g706 +g982 +tp3629 +a(g706 +g1550 +tp3630 +a(g827 +V\u000a +p3631 +tp3632 +a(g706 +g2075 +tp3633 +a(g745 +VIf +p3634 +tp3635 +a(g706 +g1550 +tp3636 +a(g827 +V\u000a +p3637 +tp3638 +a(g745 +VReturn +p3639 +tp3640 +a(g706 +g980 +tp3641 +a(g462 +V#output +p3642 +tp3643 +a(g706 +g982 +tp3644 +a(g706 +g1550 +tp3645 +a(g827 +V\u000a +p3646 +tp3647 +a(g706 +g986 +tp3648 +a(g827 +V\u000a\u000a +p3649 +tp3650 +a(g906 +V// Bil Corry fix + Ke fix\u000a +p3651 +tp3652 +a(g810 +Vdefine +p3653 +tp3654 +a(g827 +g962 +tp3655 +a(g574 +Vjson_deserialize +p3656 +tp3657 +a(g706 +g980 +tp3658 +a(g667 +Vibytes +p3659 +tp3660 +a(g597 +V::bytes +p3661 +tp3662 +a(g706 +g982 +tp3663 +a(g597 +V::any +p3664 +tp3665 +a(g827 +g962 +tp3666 +a(g413 +V=> +p3667 +tp3668 +a(g827 +g962 +tp3669 +a(g706 +g971 +tp3670 +a(g827 +V\u000a +p3671 +tp3672 +a(g462 +V#ibytes +p3673 +tp3674 +a(g413 +V-> +p3675 +tp3676 +a(g682 +VremoveLeading +p3677 +tp3678 +a(g706 +g980 +tp3679 +a(g682 +Vbom_utf8 +p3680 +tp3681 +a(g706 +g982 +tp3682 +a(g706 +g1550 +tp3683 +a(g827 +V\u000a\u000a +p3684 +tp3685 +a(g906 +V//============================================================================\u000a +p3686 +tp3687 +a(g906 +V// Reset marker on provided bytes\u000a +p3688 +tp3689 +a(g827 +g2105 +tp3690 +a(g462 +V#ibytes +p3691 +tp3692 +a(g413 +V-> +p3693 +tp3694 +a(g682 +Vmarker +p3695 +tp3696 +a(g827 +g962 +tp3697 +a(g413 +g1545 +tp3698 +a(g827 +g962 +tp3699 +a(g37 +g1997 +tp3700 +a(g827 +V\u000a +p3701 +tp3702 +a(g906 +V//............................................................................ \u000a +p3703 +tp3704 +a(g827 +V \u000a +p3705 +tp3706 +a(g810 +VLocal +p3707 +tp3708 +a(g706 +g980 +tp3709 +a(g651 +Vtemp +p3710 +tp3711 +a(g706 +g982 +tp3712 +a(g827 +g962 +tp3713 +a(g413 +g1545 +tp3714 +a(g827 +g962 +tp3715 +a(g462 +V#ibytes +p3716 +tp3717 +a(g413 +V-> +p3718 +tp3719 +a(g682 +Vexport8bits +p3720 +tp3721 +a(g706 +g1550 +tp3722 +a(g827 +V\u000a +p3723 +tp3724 +a(g745 +VIf +p3725 +tp3726 +a(g706 +g980 +tp3727 +a(g462 +V#temp +p3728 +tp3729 +a(g827 +g962 +tp3730 +a(g413 +V== +p3731 +tp3732 +a(g827 +g962 +tp3733 +a(g37 +V91 +p3734 +tp3735 +a(g706 +g982 +tp3736 +a(g706 +g1550 +tp3737 +a(g827 +g962 +tp3738 +a(g906 +V// [\u000a +p3739 +tp3740 +a(g827 +V +p3741 +tp3742 +a(g745 +VReturn +p3743 +tp3744 +a(g706 +g980 +tp3745 +a(g682 +Vjson_consume_array +p3746 +tp3747 +a(g706 +g980 +tp3748 +a(g462 +V#ibytes +p3749 +tp3750 +a(g706 +g982 +tp3751 +a(g706 +g982 +tp3752 +a(g706 +g1550 +tp3753 +a(g827 +V\u000a +p3754 +tp3755 +a(g745 +VElse +p3756 +tp3757 +a(g706 +g980 +tp3758 +a(g462 +V#temp +p3759 +tp3760 +a(g827 +g962 +tp3761 +a(g413 +V== +p3762 +tp3763 +a(g827 +g962 +tp3764 +a(g37 +V123 +p3765 +tp3766 +a(g706 +g982 +tp3767 +a(g706 +g1550 +tp3768 +a(g827 +g962 +tp3769 +a(g906 +V// {\u000a +p3770 +tp3771 +a(g827 +V +p3772 +tp3773 +a(g745 +VReturn +p3774 +tp3775 +a(g706 +g980 +tp3776 +a(g682 +Vjson_consume_object +p3777 +tp3778 +a(g706 +g980 +tp3779 +a(g462 +V#ibytes +p3780 +tp3781 +a(g706 +g982 +tp3782 +a(g706 +g982 +tp3783 +a(g706 +g1550 +tp3784 +a(g827 +V\u000a +p3785 +tp3786 +a(g745 +Velse +p3787 +tp3788 +a(g706 +g980 +tp3789 +a(g462 +V#temp +p3790 +tp3791 +a(g827 +g962 +tp3792 +a(g413 +V== +p3793 +tp3794 +a(g827 +g962 +tp3795 +a(g37 +V34 +p3796 +tp3797 +a(g706 +g982 +tp3798 +a(g827 +g962 +tp3799 +a(g906 +V// "\u000a +p3800 +tp3801 +a(g827 +V +p3802 +tp3803 +a(g745 +Vreturn +p3804 +tp3805 +a(g827 +g962 +tp3806 +a(g682 +Vjson_consume_string +p3807 +tp3808 +a(g706 +g980 +tp3809 +a(g462 +V#ibytes +p3810 +tp3811 +a(g706 +g982 +tp3812 +a(g827 +V\u000a +p3813 +tp3814 +a(g706 +g2075 +tp3815 +a(g745 +VIf +p3816 +tp3817 +a(g706 +g1550 +tp3818 +a(g827 +V\u000a +p3819 +tp3820 +a(g706 +g986 +tp3821 +a(g827 +V\u000a\u000a +p3822 +tp3823 +a(g810 +Vdefine +p3824 +tp3825 +a(g827 +g962 +tp3826 +a(g574 +Vjson_deserialize +p3827 +tp3828 +a(g706 +g980 +tp3829 +a(g667 +Vs +p3830 +tp3831 +a(g597 +V::string +p3832 +tp3833 +a(g706 +g982 +tp3834 +a(g827 +g962 +tp3835 +a(g413 +V=> +p3836 +tp3837 +a(g827 +g962 +tp3838 +a(g682 +Vjson_deserialize +p3839 +tp3840 +a(g706 +g980 +tp3841 +a(g749 +Vbytes +p3842 +tp3843 +a(g706 +g980 +tp3844 +a(g462 +V#s +p3845 +tp3846 +a(g706 +g982 +tp3847 +a(g706 +g982 +tp3848 +a(g827 +V\u000a\u000a +p3849 +tp3850 +a(g222 +V/**! json_literal - This is a subclass of String used for JSON encoding.\u000a\u000a A json_literal works exactly like a string, but will be inserted directly\u000a rather than being encoded into JSON. This allows JavaScript elements\u000a like functions to be inserted into JSON objects. This is most useful\u000a when the JSON object will be used within a JavaScript on the local page.\u000a [Map: 'fn'=Literal('function(){ ...})] => {'fn': function(){ ...}}\u000a**/ +p3851 +tp3852 +a(g827 +V\u000a +p3853 +tp3854 +a(g810 +Vdefine +p3855 +tp3856 +a(g827 +g962 +tp3857 +a(g629 +Vjson_literal +p3858 +tp3859 +a(g413 +V => +p3860 +tp3861 +a(g745 +Vtype +p3862 +tp3863 +a(g827 +g962 +tp3864 +a(g706 +g971 +tp3865 +a(g827 +V\u000a +p3866 +tp3867 +a(g745 +Vparent +p3868 +tp3869 +a(g827 +g962 +tp3870 +a(g749 +Vstring +p3871 +tp3872 +a(g827 +V\u000a +p3873 +tp3874 +a(g706 +g986 +tp3875 +a(g827 +V\u000a\u000a +p3876 +tp3877 +a(g222 +V/**! json_object - This is a subclass of Map used for JSON encoding.\u000a\u000a An object works exactly like a map, but when it is encoded into JSON all\u000a of the keys will be inserted literally. This makes it easy to create a\u000a JavaScript object without extraneous quote marks.\u000a Object('name'='value') => {name: "value"}\u000a**/ +p3878 +tp3879 +a(g827 +V\u000a +p3880 +tp3881 +a(g810 +Vdefine +p3882 +tp3883 +a(g827 +g962 +tp3884 +a(g629 +Vjson_object +p3885 +tp3886 +a(g413 +V => +p3887 +tp3888 +a(g745 +Vtype +p3889 +tp3890 +a(g827 +g962 +tp3891 +a(g706 +g971 +tp3892 +a(g827 +V\u000a +p3893 +tp3894 +a(g745 +Vparent +p3895 +tp3896 +a(g827 +g962 +tp3897 +a(g749 +Vmap +p3898 +tp3899 +a(g827 +V\u000a +p3900 +tp3901 +a(g745 +Vpublic +p3902 +tp3903 +a(g827 +g962 +tp3904 +a(g574 +VonCreate +p3905 +tp3906 +a(g706 +g980 +tp3907 +a(g694 +V... +p3908 +tp3909 +a(g706 +g982 +tp3910 +a(g827 +g962 +tp3911 +a(g413 +V=> +p3912 +tp3913 +a(g827 +g962 +tp3914 +a(g694 +V.. +p3915 +tp3916 +a(g682 +VonCreate +p3917 +tp3918 +a(g706 +g980 +tp3919 +a(g706 +V: +p3920 +tp3921 +a(g462 +V#rest +p3922 +tp3923 +a(g827 +g962 +tp3924 +a(g427 +Vor +p3925 +tp3926 +a(g827 +g962 +tp3927 +a(g706 +g980 +tp3928 +a(g706 +g3920 +tp3929 +a(g706 +g982 +tp3930 +a(g706 +g982 +tp3931 +a(g827 +V\u000a +p3932 +tp3933 +a(g706 +g986 +tp3934 +a(g827 +V\u000a\u000a +p3935 +tp3936 +a(g810 +Vdefine +p3937 +tp3938 +a(g827 +g962 +tp3939 +a(g574 +Vjson_rpccall +p3940 +tp3941 +a(g706 +g980 +tp3942 +a(g667 +Vmethod +p3943 +tp3944 +a(g597 +V::string +p3945 +tp3946 +a(g706 +g1031 +tp3947 +a(g827 +g962 +tp3948 +a(g667 +Vparams +p3949 +tp3950 +a(g413 +g1545 +tp3951 +a(g749 +Vmap +p3952 +tp3953 +a(g706 +g1031 +tp3954 +a(g827 +g962 +tp3955 +a(g667 +Vid +p3956 +tp3957 +a(g413 +g1545 +tp3958 +a(g230 +g1008 +tp3959 +a(g230 +g1008 +tp3960 +a(g706 +g1031 +tp3961 +a(g827 +g962 +tp3962 +a(g667 +Vhost +p3963 +tp3964 +a(g413 +g1545 +tp3965 +a(g230 +g1008 +tp3966 +a(g230 +g1008 +tp3967 +a(g706 +g982 +tp3968 +a(g827 +g962 +tp3969 +a(g413 +V=> +p3970 +tp3971 +a(g827 +g962 +tp3972 +a(g706 +g971 +tp3973 +a(g827 +V\u000a +p3974 +tp3975 +a(g462 +V#id +p3976 +tp3977 +a(g827 +g962 +tp3978 +a(g413 +V== +p3979 +tp3980 +a(g827 +g962 +tp3981 +a(g230 +g1008 +tp3982 +a(g230 +g1008 +tp3983 +a(g827 +g962 +tp3984 +a(g413 +g1490 +tp3985 +a(g827 +g962 +tp3986 +a(g462 +V#host +p3987 +tp3988 +a(g827 +g962 +tp3989 +a(g413 +g1545 +tp3990 +a(g827 +g962 +tp3991 +a(g682 +VLasso_UniqueID +p3992 +tp3993 +a(g706 +g1550 +tp3994 +a(g827 +V\u000a +p3995 +tp3996 +a(g462 +V#host +p3997 +tp3998 +a(g827 +g962 +tp3999 +a(g413 +V== +p4000 +tp4001 +a(g827 +g962 +tp4002 +a(g230 +g1008 +tp4003 +a(g230 +g1008 +tp4004 +a(g827 +g962 +tp4005 +a(g413 +g1490 +tp4006 +a(g827 +g962 +tp4007 +a(g462 +V#host +p4008 +tp4009 +a(g827 +g962 +tp4010 +a(g413 +g1545 +tp4011 +a(g827 +g962 +tp4012 +a(g230 +g1008 +tp4013 +a(g230 +Vhttp://localhost/lassoapps.8/rpc/rpc.lasso +p4014 +tp4015 +a(g230 +g1008 +tp4016 +a(g706 +g1550 +tp4017 +a(g827 +V\u000a +p4018 +tp4019 +a(g745 +VReturn +p4020 +tp4021 +a(g706 +g980 +tp4022 +a(g682 +VDecode_JSON +p4023 +tp4024 +a(g706 +g980 +tp4025 +a(g682 +VInclude_URL +p4026 +tp4027 +a(g706 +g980 +tp4028 +a(g462 +V#host +p4029 +tp4030 +a(g706 +g1031 +tp4031 +a(g827 +g962 +tp4032 +a(g667 +V-PostParams +p4033 +tp4034 +a(g413 +g1545 +tp4035 +a(g682 +VEncode_JSON +p4036 +tp4037 +a(g706 +g980 +tp4038 +a(g749 +VMap +p4039 +tp4040 +a(g706 +g980 +tp4041 +a(g230 +g1008 +tp4042 +a(g230 +Vmethod +p4043 +tp4044 +a(g230 +g1008 +tp4045 +a(g827 +g962 +tp4046 +a(g413 +g1545 +tp4047 +a(g827 +g962 +tp4048 +a(g462 +V#method +p4049 +tp4050 +a(g706 +g1031 +tp4051 +a(g827 +g962 +tp4052 +a(g230 +g1008 +tp4053 +a(g230 +Vparams +p4054 +tp4055 +a(g230 +g1008 +tp4056 +a(g827 +g962 +tp4057 +a(g413 +g1545 +tp4058 +a(g827 +g962 +tp4059 +a(g462 +V#params +p4060 +tp4061 +a(g706 +g1031 +tp4062 +a(g827 +g962 +tp4063 +a(g230 +g1008 +tp4064 +a(g230 +Vid +p4065 +tp4066 +a(g230 +g1008 +tp4067 +a(g827 +g962 +tp4068 +a(g413 +g1545 +tp4069 +a(g827 +g962 +tp4070 +a(g462 +V#id +p4071 +tp4072 +a(g706 +g982 +tp4073 +a(g706 +g982 +tp4074 +a(g706 +g982 +tp4075 +a(g706 +g982 +tp4076 +a(g706 +g982 +tp4077 +a(g706 +g1550 +tp4078 +a(g827 +V\u000a +p4079 +tp4080 +a(g706 +g986 +tp4081 +a(g827 +V\u000a +p4082 +tp4083 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/language.hy b/tests/examplefiles/output/language.hy new file mode 100644 index 0000000..7dc9bfe --- /dev/null +++ b/tests/examplefiles/output/language.hy @@ -0,0 +1,5585 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;;;; This contains some of the core Hy functions used +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V;;;; to make functional programming slightly easier. +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V;;;; +p964 +tp965 +a(g826 +V\u000a\u000a\u000a +p966 +tp967 +a(g440 +V(defn +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g440 +V_numeric-check +p972 +tp973 +a(g826 +g970 +tp974 +a(g705 +V[ +p975 +tp976 +a(g440 +Vx +p977 +tp978 +a(g705 +V] +p979 +tp980 +a(g826 +V\u000a +p981 +tp982 +a(g440 +V(if +p983 +tp984 +a(g826 +g970 +tp985 +a(g440 +V(not +p986 +tp987 +a(g826 +g970 +tp988 +a(g440 +V(numeric? +p989 +tp990 +a(g826 +g970 +tp991 +a(g440 +Vx)) +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g440 +V(raise +p996 +tp997 +a(g826 +g970 +tp998 +a(g440 +V(TypeError +p999 +tp1000 +a(g826 +g970 +tp1001 +a(g440 +V(.format +p1002 +tp1003 +a(g826 +g970 +tp1004 +a(g89 +V"{0!r} is not a number" +p1005 +tp1006 +a(g826 +g970 +tp1007 +a(g440 +Vx))))) +p1008 +tp1009 +a(g826 +V\u000a\u000a +p1010 +tp1011 +a(g440 +V(defn +p1012 +tp1013 +a(g826 +g970 +tp1014 +a(g681 +Vcycle +p1015 +tp1016 +a(g705 +g975 +tp1017 +a(g440 +Vcoll +p1018 +tp1019 +a(g705 +g979 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g89 +V"Yield an infinite repetition of the items in coll" +p1023 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g440 +V(setv +p1027 +tp1028 +a(g826 +g970 +tp1029 +a(g440 +Vseen +p1030 +tp1031 +a(g826 +g970 +tp1032 +a(g705 +g975 +tp1033 +a(g705 +g979 +tp1034 +a(g440 +V) +p1035 +tp1036 +a(g826 +V\u000a +p1037 +tp1038 +a(g440 +V(for +p1039 +tp1040 +a(g826 +g970 +tp1041 +a(g705 +g975 +tp1042 +a(g440 +g977 +tp1043 +a(g826 +g970 +tp1044 +a(g440 +Vcoll +p1045 +tp1046 +a(g705 +g979 +tp1047 +a(g826 +V\u000a +p1048 +tp1049 +a(g440 +V(yield +p1050 +tp1051 +a(g826 +g970 +tp1052 +a(g440 +Vx) +p1053 +tp1054 +a(g826 +V\u000a +p1055 +tp1056 +a(g440 +V(.append +p1057 +tp1058 +a(g826 +g970 +tp1059 +a(g440 +Vseen +p1060 +tp1061 +a(g826 +g970 +tp1062 +a(g440 +Vx)) +p1063 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g440 +V(while +p1067 +tp1068 +a(g826 +g970 +tp1069 +a(g440 +Vseen +p1070 +tp1071 +a(g826 +V\u000a +p1072 +tp1073 +a(g440 +V(for +p1074 +tp1075 +a(g826 +g970 +tp1076 +a(g705 +g975 +tp1077 +a(g440 +g977 +tp1078 +a(g826 +g970 +tp1079 +a(g440 +Vseen +p1080 +tp1081 +a(g705 +g979 +tp1082 +a(g826 +V\u000a +p1083 +tp1084 +a(g440 +V(yield +p1085 +tp1086 +a(g826 +g970 +tp1087 +a(g440 +Vx)))) +p1088 +tp1089 +a(g826 +V\u000a\u000a +p1090 +tp1091 +a(g440 +V(defn +p1092 +tp1093 +a(g826 +g970 +tp1094 +a(g681 +Vdec +p1095 +tp1096 +a(g705 +g975 +tp1097 +a(g440 +Vn +p1098 +tp1099 +a(g705 +g979 +tp1100 +a(g826 +V\u000a +p1101 +tp1102 +a(g89 +V"Decrement n by 1" +p1103 +tp1104 +a(g826 +V\u000a +p1105 +tp1106 +a(g440 +V(_numeric-check +p1107 +tp1108 +a(g826 +g970 +tp1109 +a(g440 +Vn) +p1110 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g440 +V(- +p1114 +tp1115 +a(g826 +g970 +tp1116 +a(g440 +g1098 +tp1117 +a(g826 +g970 +tp1118 +a(g37 +V1 +p1119 +tp1120 +a(g440 +V)) +p1121 +tp1122 +a(g826 +V\u000a\u000a +p1123 +tp1124 +a(g440 +V(defn +p1125 +tp1126 +a(g826 +g970 +tp1127 +a(g681 +Vdistinct +p1128 +tp1129 +a(g705 +g975 +tp1130 +a(g440 +Vcoll +p1131 +tp1132 +a(g705 +g979 +tp1133 +a(g826 +V\u000a +p1134 +tp1135 +a(g89 +V"Return a generator from the original collection with duplicates\u000a removed" +p1136 +tp1137 +a(g826 +V\u000a +p1138 +tp1139 +a(g440 +V(let +p1140 +tp1141 +a(g826 +g970 +tp1142 +a(g705 +g975 +tp1143 +a(g705 +g975 +tp1144 +a(g440 +Vseen +p1145 +tp1146 +a(g826 +g970 +tp1147 +a(g705 +g975 +tp1148 +a(g705 +g979 +tp1149 +a(g705 +g979 +tp1150 +a(g826 +g970 +tp1151 +a(g705 +g975 +tp1152 +a(g440 +Vciter +p1153 +tp1154 +a(g826 +g970 +tp1155 +a(g440 +V(iter +p1156 +tp1157 +a(g826 +g970 +tp1158 +a(g440 +Vcoll) +p1159 +tp1160 +a(g705 +g979 +tp1161 +a(g705 +g979 +tp1162 +a(g826 +V\u000a +p1163 +tp1164 +a(g440 +V(for +p1165 +tp1166 +a(g826 +g970 +tp1167 +a(g705 +g975 +tp1168 +a(g440 +Vval +p1169 +tp1170 +a(g826 +g970 +tp1171 +a(g440 +Vciter +p1172 +tp1173 +a(g705 +g979 +tp1174 +a(g826 +V\u000a +p1175 +tp1176 +a(g440 +V(if +p1177 +tp1178 +a(g826 +g970 +tp1179 +a(g440 +V(not_in +p1180 +tp1181 +a(g826 +g970 +tp1182 +a(g440 +Vval +p1183 +tp1184 +a(g826 +g970 +tp1185 +a(g440 +Vseen) +p1186 +tp1187 +a(g826 +V\u000a +p1188 +tp1189 +a(g440 +V(do +p1190 +tp1191 +a(g826 +V\u000a +p1192 +tp1193 +a(g440 +V(yield +p1194 +tp1195 +a(g826 +g970 +tp1196 +a(g440 +Vval) +p1197 +tp1198 +a(g826 +V\u000a +p1199 +tp1200 +a(g440 +V(.append +p1201 +tp1202 +a(g826 +g970 +tp1203 +a(g440 +Vseen +p1204 +tp1205 +a(g826 +g970 +tp1206 +a(g440 +Vval)))))) +p1207 +tp1208 +a(g826 +V\u000a\u000a +p1209 +tp1210 +a(g440 +V(defn +p1211 +tp1212 +a(g826 +g970 +tp1213 +a(g681 +Vdrop +p1214 +tp1215 +a(g705 +g975 +tp1216 +a(g440 +Vcount +p1217 +tp1218 +a(g826 +g970 +tp1219 +a(g440 +Vcoll +p1220 +tp1221 +a(g705 +g979 +tp1222 +a(g826 +V\u000a +p1223 +tp1224 +a(g89 +V"Drop `count` elements from `coll` and yield back the rest" +p1225 +tp1226 +a(g826 +V\u000a +p1227 +tp1228 +a(g440 +V(let +p1229 +tp1230 +a(g826 +g970 +tp1231 +a(g705 +g975 +tp1232 +a(g705 +g975 +tp1233 +a(g440 +Vciter +p1234 +tp1235 +a(g826 +g970 +tp1236 +a(g440 +V(iter +p1237 +tp1238 +a(g826 +g970 +tp1239 +a(g440 +Vcoll) +p1240 +tp1241 +a(g705 +g979 +tp1242 +a(g705 +g979 +tp1243 +a(g826 +V\u000a +p1244 +tp1245 +a(g440 +V(try +p1246 +tp1247 +a(g826 +g970 +tp1248 +a(g440 +V(for +p1249 +tp1250 +a(g826 +g970 +tp1251 +a(g705 +g975 +tp1252 +a(g440 +Vi +p1253 +tp1254 +a(g826 +g970 +tp1255 +a(g440 +V(range +p1256 +tp1257 +a(g826 +g970 +tp1258 +a(g440 +Vcount) +p1259 +tp1260 +a(g705 +g979 +tp1261 +a(g826 +V\u000a +p1262 +tp1263 +a(g440 +V(next +p1264 +tp1265 +a(g826 +g970 +tp1266 +a(g440 +Vciter)) +p1267 +tp1268 +a(g826 +V\u000a +p1269 +tp1270 +a(g440 +V(catch +p1271 +tp1272 +a(g826 +g970 +tp1273 +a(g705 +g975 +tp1274 +a(g612 +VStopIteration +p1275 +tp1276 +a(g705 +g979 +tp1277 +a(g440 +V)) +p1278 +tp1279 +a(g826 +V\u000a +p1280 +tp1281 +a(g440 +Vciter)) +p1282 +tp1283 +a(g826 +V\u000a\u000a +p1284 +tp1285 +a(g440 +V(defn +p1286 +tp1287 +a(g826 +g970 +tp1288 +a(g681 +Veven? +p1289 +tp1290 +a(g705 +g975 +tp1291 +a(g440 +g1098 +tp1292 +a(g705 +g979 +tp1293 +a(g826 +V\u000a +p1294 +tp1295 +a(g89 +V"Return true if n is an even number" +p1296 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g440 +V(_numeric-check +p1300 +tp1301 +a(g826 +g970 +tp1302 +a(g440 +Vn) +p1303 +tp1304 +a(g826 +V\u000a +p1305 +tp1306 +a(g440 +V(= +p1307 +tp1308 +a(g826 +g970 +tp1309 +a(g440 +V(% +p1310 +tp1311 +a(g826 +g970 +tp1312 +a(g440 +g1098 +tp1313 +a(g826 +g970 +tp1314 +a(g37 +V2 +p1315 +tp1316 +a(g440 +g1035 +tp1317 +a(g826 +g970 +tp1318 +a(g37 +V0 +p1319 +tp1320 +a(g440 +V)) +p1321 +tp1322 +a(g826 +V\u000a\u000a +p1323 +tp1324 +a(g440 +V(defn +p1325 +tp1326 +a(g826 +g970 +tp1327 +a(g681 +Vfilter +p1328 +tp1329 +a(g826 +g970 +tp1330 +a(g705 +g975 +tp1331 +a(g440 +Vpred +p1332 +tp1333 +a(g826 +g970 +tp1334 +a(g440 +Vcoll +p1335 +tp1336 +a(g705 +g979 +tp1337 +a(g826 +V\u000a +p1338 +tp1339 +a(g89 +V"Return all elements from `coll` that pass `pred`" +p1340 +tp1341 +a(g826 +V\u000a +p1342 +tp1343 +a(g440 +V(let +p1344 +tp1345 +a(g826 +g970 +tp1346 +a(g705 +g975 +tp1347 +a(g705 +g975 +tp1348 +a(g440 +Vciter +p1349 +tp1350 +a(g826 +g970 +tp1351 +a(g440 +V(iter +p1352 +tp1353 +a(g826 +g970 +tp1354 +a(g440 +Vcoll) +p1355 +tp1356 +a(g705 +g979 +tp1357 +a(g705 +g979 +tp1358 +a(g826 +V\u000a +p1359 +tp1360 +a(g440 +V(for +p1361 +tp1362 +a(g826 +g970 +tp1363 +a(g705 +g975 +tp1364 +a(g440 +Vval +p1365 +tp1366 +a(g826 +g970 +tp1367 +a(g440 +Vciter +p1368 +tp1369 +a(g705 +g979 +tp1370 +a(g826 +V\u000a +p1371 +tp1372 +a(g440 +V(if +p1373 +tp1374 +a(g826 +g970 +tp1375 +a(g440 +V(pred +p1376 +tp1377 +a(g826 +g970 +tp1378 +a(g440 +Vval) +p1379 +tp1380 +a(g826 +V\u000a +p1381 +tp1382 +a(g440 +V(yield +p1383 +tp1384 +a(g826 +g970 +tp1385 +a(g440 +Vval))))) +p1386 +tp1387 +a(g826 +V\u000a\u000a +p1388 +tp1389 +a(g440 +V(defn +p1390 +tp1391 +a(g826 +g970 +tp1392 +a(g681 +Vinc +p1393 +tp1394 +a(g705 +g975 +tp1395 +a(g440 +g1098 +tp1396 +a(g705 +g979 +tp1397 +a(g826 +V\u000a +p1398 +tp1399 +a(g89 +V"Increment n by 1" +p1400 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g440 +V(_numeric-check +p1404 +tp1405 +a(g826 +g970 +tp1406 +a(g440 +Vn) +p1407 +tp1408 +a(g826 +V\u000a +p1409 +tp1410 +a(g440 +V(+ +p1411 +tp1412 +a(g826 +g970 +tp1413 +a(g440 +g1098 +tp1414 +a(g826 +g970 +tp1415 +a(g37 +g1119 +tp1416 +a(g440 +V)) +p1417 +tp1418 +a(g826 +V\u000a\u000a +p1419 +tp1420 +a(g440 +V(defn +p1421 +tp1422 +a(g826 +g970 +tp1423 +a(g681 +Vinstance? +p1424 +tp1425 +a(g705 +g975 +tp1426 +a(g440 +Vklass +p1427 +tp1428 +a(g826 +g970 +tp1429 +a(g440 +g977 +tp1430 +a(g705 +g979 +tp1431 +a(g826 +V\u000a +p1432 +tp1433 +a(g440 +V(isinstance +p1434 +tp1435 +a(g826 +g970 +tp1436 +a(g440 +g977 +tp1437 +a(g826 +g970 +tp1438 +a(g440 +Vklass)) +p1439 +tp1440 +a(g826 +V\u000a\u000a +p1441 +tp1442 +a(g440 +V(defn +p1443 +tp1444 +a(g826 +g970 +tp1445 +a(g681 +Viterable? +p1446 +tp1447 +a(g705 +g975 +tp1448 +a(g440 +g977 +tp1449 +a(g705 +g979 +tp1450 +a(g826 +V\u000a +p1451 +tp1452 +a(g89 +V"Return true if x is iterable" +p1453 +tp1454 +a(g826 +V\u000a +p1455 +tp1456 +a(g440 +V(try +p1457 +tp1458 +a(g826 +g970 +tp1459 +a(g440 +V(do +p1460 +tp1461 +a(g826 +g970 +tp1462 +a(g440 +V(iter +p1463 +tp1464 +a(g826 +g970 +tp1465 +a(g440 +Vx) +p1466 +tp1467 +a(g826 +g970 +tp1468 +a(g440 +Vtrue) +p1469 +tp1470 +a(g826 +V\u000a +p1471 +tp1472 +a(g440 +V(catch +p1473 +tp1474 +a(g826 +g970 +tp1475 +a(g705 +g975 +tp1476 +a(g612 +VException +p1477 +tp1478 +a(g705 +g979 +tp1479 +a(g826 +g970 +tp1480 +a(g440 +Vfalse))) +p1481 +tp1482 +a(g826 +V\u000a\u000a +p1483 +tp1484 +a(g440 +V(defn +p1485 +tp1486 +a(g826 +g970 +tp1487 +a(g681 +Viterate +p1488 +tp1489 +a(g705 +g975 +tp1490 +a(g440 +Vf +p1491 +tp1492 +a(g826 +g970 +tp1493 +a(g440 +g977 +tp1494 +a(g705 +g979 +tp1495 +a(g826 +V\u000a +p1496 +tp1497 +a(g440 +V(setv +p1498 +tp1499 +a(g826 +g970 +tp1500 +a(g440 +Vval +p1501 +tp1502 +a(g826 +g970 +tp1503 +a(g440 +Vx) +p1504 +tp1505 +a(g826 +V\u000a +p1506 +tp1507 +a(g440 +V(while +p1508 +tp1509 +a(g826 +g970 +tp1510 +a(g440 +Vtrue +p1511 +tp1512 +a(g826 +V\u000a +p1513 +tp1514 +a(g440 +V(yield +p1515 +tp1516 +a(g826 +g970 +tp1517 +a(g440 +Vval) +p1518 +tp1519 +a(g826 +V\u000a +p1520 +tp1521 +a(g440 +V(setv +p1522 +tp1523 +a(g826 +g970 +tp1524 +a(g440 +Vval +p1525 +tp1526 +a(g826 +g970 +tp1527 +a(g440 +V(f +p1528 +tp1529 +a(g826 +g970 +tp1530 +a(g440 +Vval)))) +p1531 +tp1532 +a(g826 +V\u000a\u000a +p1533 +tp1534 +a(g440 +V(defn +p1535 +tp1536 +a(g826 +g970 +tp1537 +a(g681 +Viterator? +p1538 +tp1539 +a(g705 +g975 +tp1540 +a(g440 +g977 +tp1541 +a(g705 +g979 +tp1542 +a(g826 +V\u000a +p1543 +tp1544 +a(g89 +V"Return true if x is an iterator" +p1545 +tp1546 +a(g826 +V\u000a +p1547 +tp1548 +a(g440 +V(try +p1549 +tp1550 +a(g826 +g970 +tp1551 +a(g440 +V(= +p1552 +tp1553 +a(g826 +g970 +tp1554 +a(g440 +g977 +tp1555 +a(g826 +g970 +tp1556 +a(g440 +V(iter +p1557 +tp1558 +a(g826 +g970 +tp1559 +a(g440 +Vx)) +p1560 +tp1561 +a(g826 +V\u000a +p1562 +tp1563 +a(g440 +V(catch +p1564 +tp1565 +a(g826 +g970 +tp1566 +a(g705 +g975 +tp1567 +a(g612 +VTypeError +p1568 +tp1569 +a(g705 +g979 +tp1570 +a(g826 +g970 +tp1571 +a(g440 +Vfalse))) +p1572 +tp1573 +a(g826 +V\u000a\u000a +p1574 +tp1575 +a(g440 +V(defn +p1576 +tp1577 +a(g826 +g970 +tp1578 +a(g681 +Vneg? +p1579 +tp1580 +a(g705 +g975 +tp1581 +a(g440 +g1098 +tp1582 +a(g705 +g979 +tp1583 +a(g826 +V\u000a +p1584 +tp1585 +a(g89 +V"Return true if n is < 0" +p1586 +tp1587 +a(g826 +V\u000a +p1588 +tp1589 +a(g440 +V(_numeric-check +p1590 +tp1591 +a(g826 +g970 +tp1592 +a(g440 +Vn) +p1593 +tp1594 +a(g826 +V\u000a +p1595 +tp1596 +a(g440 +V(< +p1597 +tp1598 +a(g826 +g970 +tp1599 +a(g440 +g1098 +tp1600 +a(g826 +g970 +tp1601 +a(g37 +g1319 +tp1602 +a(g440 +V)) +p1603 +tp1604 +a(g826 +V\u000a\u000a +p1605 +tp1606 +a(g440 +V(defn +p1607 +tp1608 +a(g826 +g970 +tp1609 +a(g681 +Vnone? +p1610 +tp1611 +a(g705 +g975 +tp1612 +a(g440 +g977 +tp1613 +a(g705 +g979 +tp1614 +a(g826 +V\u000a +p1615 +tp1616 +a(g89 +V"Return true if x is None" +p1617 +tp1618 +a(g826 +V\u000a +p1619 +tp1620 +a(g440 +V(is +p1621 +tp1622 +a(g826 +g970 +tp1623 +a(g440 +g977 +tp1624 +a(g826 +g970 +tp1625 +a(g693 +VNone +p1626 +tp1627 +a(g440 +V)) +p1628 +tp1629 +a(g826 +V\u000a\u000a +p1630 +tp1631 +a(g440 +V(defn +p1632 +tp1633 +a(g826 +g970 +tp1634 +a(g681 +Vnumeric? +p1635 +tp1636 +a(g705 +g975 +tp1637 +a(g440 +g977 +tp1638 +a(g705 +g979 +tp1639 +a(g826 +V\u000a +p1640 +tp1641 +a(g440 +V(import +p1642 +tp1643 +a(g826 +g970 +tp1644 +a(g440 +Vnumbers) +p1645 +tp1646 +a(g826 +V\u000a +p1647 +tp1648 +a(g440 +V(instance? +p1649 +tp1650 +a(g826 +g970 +tp1651 +a(g440 +Vnumbers.Number +p1652 +tp1653 +a(g826 +g970 +tp1654 +a(g440 +Vx)) +p1655 +tp1656 +a(g826 +V\u000a\u000a +p1657 +tp1658 +a(g440 +V(defn +p1659 +tp1660 +a(g826 +g970 +tp1661 +a(g681 +Vnth +p1662 +tp1663 +a(g705 +g975 +tp1664 +a(g440 +Vcoll +p1665 +tp1666 +a(g826 +g970 +tp1667 +a(g440 +Vindex +p1668 +tp1669 +a(g705 +g979 +tp1670 +a(g826 +V\u000a +p1671 +tp1672 +a(g89 +V"Return nth item in collection or sequence, counting from 0" +p1673 +tp1674 +a(g826 +V\u000a +p1675 +tp1676 +a(g440 +V(if +p1677 +tp1678 +a(g826 +g970 +tp1679 +a(g440 +V(not +p1680 +tp1681 +a(g826 +g970 +tp1682 +a(g440 +V(neg? +p1683 +tp1684 +a(g826 +g970 +tp1685 +a(g440 +Vindex)) +p1686 +tp1687 +a(g826 +V\u000a +p1688 +tp1689 +a(g440 +V(if +p1690 +tp1691 +a(g826 +g970 +tp1692 +a(g440 +V(iterable? +p1693 +tp1694 +a(g826 +g970 +tp1695 +a(g440 +Vcoll) +p1696 +tp1697 +a(g826 +V\u000a +p1698 +tp1699 +a(g440 +V(try +p1700 +tp1701 +a(g826 +g970 +tp1702 +a(g440 +V(first +p1703 +tp1704 +a(g826 +g970 +tp1705 +a(g440 +V(list +p1706 +tp1707 +a(g826 +g970 +tp1708 +a(g440 +V(take +p1709 +tp1710 +a(g826 +g970 +tp1711 +a(g37 +g1119 +tp1712 +a(g826 +g970 +tp1713 +a(g440 +V(drop +p1714 +tp1715 +a(g826 +g970 +tp1716 +a(g440 +Vindex +p1717 +tp1718 +a(g826 +g970 +tp1719 +a(g440 +Vcoll)))) +p1720 +tp1721 +a(g826 +V\u000a +p1722 +tp1723 +a(g440 +V(catch +p1724 +tp1725 +a(g826 +g970 +tp1726 +a(g705 +g975 +tp1727 +a(g612 +VIndexError +p1728 +tp1729 +a(g705 +g979 +tp1730 +a(g826 +g970 +tp1731 +a(g693 +VNone +p1732 +tp1733 +a(g440 +V)) +p1734 +tp1735 +a(g826 +V\u000a +p1736 +tp1737 +a(g440 +V(try +p1738 +tp1739 +a(g826 +g970 +tp1740 +a(g440 +V(get +p1741 +tp1742 +a(g826 +g970 +tp1743 +a(g440 +Vcoll +p1744 +tp1745 +a(g826 +g970 +tp1746 +a(g440 +Vindex) +p1747 +tp1748 +a(g826 +V\u000a +p1749 +tp1750 +a(g440 +V(catch +p1751 +tp1752 +a(g826 +g970 +tp1753 +a(g705 +g975 +tp1754 +a(g612 +VIndexError +p1755 +tp1756 +a(g705 +g979 +tp1757 +a(g826 +g970 +tp1758 +a(g693 +VNone +p1759 +tp1760 +a(g440 +V))) +p1761 +tp1762 +a(g826 +V\u000a +p1763 +tp1764 +a(g693 +VNone +p1765 +tp1766 +a(g440 +V)) +p1767 +tp1768 +a(g826 +V\u000a\u000a +p1769 +tp1770 +a(g440 +V(defn +p1771 +tp1772 +a(g826 +g970 +tp1773 +a(g681 +Vodd? +p1774 +tp1775 +a(g705 +g975 +tp1776 +a(g440 +g1098 +tp1777 +a(g705 +g979 +tp1778 +a(g826 +V\u000a +p1779 +tp1780 +a(g89 +V"Return true if n is an odd number" +p1781 +tp1782 +a(g826 +V\u000a +p1783 +tp1784 +a(g440 +V(_numeric-check +p1785 +tp1786 +a(g826 +g970 +tp1787 +a(g440 +Vn) +p1788 +tp1789 +a(g826 +V\u000a +p1790 +tp1791 +a(g440 +V(= +p1792 +tp1793 +a(g826 +g970 +tp1794 +a(g440 +V(% +p1795 +tp1796 +a(g826 +g970 +tp1797 +a(g440 +g1098 +tp1798 +a(g826 +g970 +tp1799 +a(g37 +g1315 +tp1800 +a(g440 +g1035 +tp1801 +a(g826 +g970 +tp1802 +a(g37 +g1119 +tp1803 +a(g440 +V)) +p1804 +tp1805 +a(g826 +V\u000a\u000a +p1806 +tp1807 +a(g440 +V(defn +p1808 +tp1809 +a(g826 +g970 +tp1810 +a(g681 +Vpos? +p1811 +tp1812 +a(g705 +g975 +tp1813 +a(g440 +g1098 +tp1814 +a(g705 +g979 +tp1815 +a(g826 +V\u000a +p1816 +tp1817 +a(g89 +V"Return true if n is > 0" +p1818 +tp1819 +a(g826 +V\u000a +p1820 +tp1821 +a(g440 +V(_numeric_check +p1822 +tp1823 +a(g826 +g970 +tp1824 +a(g440 +Vn) +p1825 +tp1826 +a(g826 +V\u000a +p1827 +tp1828 +a(g440 +V(> +p1829 +tp1830 +a(g826 +g970 +tp1831 +a(g440 +g1098 +tp1832 +a(g826 +g970 +tp1833 +a(g37 +g1319 +tp1834 +a(g440 +V)) +p1835 +tp1836 +a(g826 +V\u000a\u000a +p1837 +tp1838 +a(g440 +V(defn +p1839 +tp1840 +a(g826 +g970 +tp1841 +a(g681 +Vremove +p1842 +tp1843 +a(g705 +g975 +tp1844 +a(g440 +Vpred +p1845 +tp1846 +a(g826 +g970 +tp1847 +a(g440 +Vcoll +p1848 +tp1849 +a(g705 +g979 +tp1850 +a(g826 +V\u000a +p1851 +tp1852 +a(g89 +V"Return coll with elements removed that pass `pred`" +p1853 +tp1854 +a(g826 +V\u000a +p1855 +tp1856 +a(g440 +V(let +p1857 +tp1858 +a(g826 +g970 +tp1859 +a(g705 +g975 +tp1860 +a(g705 +g975 +tp1861 +a(g440 +Vciter +p1862 +tp1863 +a(g826 +g970 +tp1864 +a(g440 +V(iter +p1865 +tp1866 +a(g826 +g970 +tp1867 +a(g440 +Vcoll) +p1868 +tp1869 +a(g705 +g979 +tp1870 +a(g705 +g979 +tp1871 +a(g826 +V\u000a +p1872 +tp1873 +a(g440 +V(for +p1874 +tp1875 +a(g826 +g970 +tp1876 +a(g705 +g975 +tp1877 +a(g440 +Vval +p1878 +tp1879 +a(g826 +g970 +tp1880 +a(g440 +Vciter +p1881 +tp1882 +a(g705 +g979 +tp1883 +a(g826 +V\u000a +p1884 +tp1885 +a(g440 +V(if +p1886 +tp1887 +a(g826 +g970 +tp1888 +a(g440 +V(not +p1889 +tp1890 +a(g826 +g970 +tp1891 +a(g440 +V(pred +p1892 +tp1893 +a(g826 +g970 +tp1894 +a(g440 +Vval)) +p1895 +tp1896 +a(g826 +V\u000a +p1897 +tp1898 +a(g440 +V(yield +p1899 +tp1900 +a(g826 +g970 +tp1901 +a(g440 +Vval))))) +p1902 +tp1903 +a(g826 +V\u000a\u000a +p1904 +tp1905 +a(g440 +V(defn +p1906 +tp1907 +a(g826 +g970 +tp1908 +a(g681 +Vrepeat +p1909 +tp1910 +a(g705 +g975 +tp1911 +a(g440 +g977 +tp1912 +a(g826 +g970 +tp1913 +a(g412 +V& +p1914 +tp1915 +a(g440 +Voptional +p1916 +tp1917 +a(g826 +g970 +tp1918 +a(g440 +g1098 +tp1919 +a(g705 +g979 +tp1920 +a(g826 +V\u000a +p1921 +tp1922 +a(g89 +V"Yield x forever or optionally n times" +p1923 +tp1924 +a(g826 +V\u000a +p1925 +tp1926 +a(g440 +V(if +p1927 +tp1928 +a(g826 +g970 +tp1929 +a(g440 +V(none? +p1930 +tp1931 +a(g826 +g970 +tp1932 +a(g440 +Vn) +p1933 +tp1934 +a(g826 +V\u000a +p1935 +tp1936 +a(g440 +V(setv +p1937 +tp1938 +a(g826 +g970 +tp1939 +a(g440 +Vdispatch +p1940 +tp1941 +a(g826 +g970 +tp1942 +a(g440 +V(fn +p1943 +tp1944 +a(g826 +g970 +tp1945 +a(g705 +g975 +tp1946 +a(g705 +g979 +tp1947 +a(g826 +g970 +tp1948 +a(g440 +V(while +p1949 +tp1950 +a(g826 +g970 +tp1951 +a(g440 +Vtrue +p1952 +tp1953 +a(g826 +g970 +tp1954 +a(g440 +V(yield +p1955 +tp1956 +a(g826 +g970 +tp1957 +a(g440 +Vx)))) +p1958 +tp1959 +a(g826 +V\u000a +p1960 +tp1961 +a(g440 +V(setv +p1962 +tp1963 +a(g826 +g970 +tp1964 +a(g440 +Vdispatch +p1965 +tp1966 +a(g826 +g970 +tp1967 +a(g440 +V(fn +p1968 +tp1969 +a(g826 +g970 +tp1970 +a(g705 +g975 +tp1971 +a(g705 +g979 +tp1972 +a(g826 +g970 +tp1973 +a(g440 +V(for +p1974 +tp1975 +a(g826 +g970 +tp1976 +a(g705 +g975 +tp1977 +a(g440 +V_ +p1978 +tp1979 +a(g826 +g970 +tp1980 +a(g440 +V(range +p1981 +tp1982 +a(g826 +g970 +tp1983 +a(g440 +Vn) +p1984 +tp1985 +a(g705 +g979 +tp1986 +a(g826 +g970 +tp1987 +a(g440 +V(yield +p1988 +tp1989 +a(g826 +g970 +tp1990 +a(g440 +Vx))))) +p1991 +tp1992 +a(g826 +V\u000a +p1993 +tp1994 +a(g440 +V(dispatch)) +p1995 +tp1996 +a(g826 +V\u000a\u000a +p1997 +tp1998 +a(g440 +V(defn +p1999 +tp2000 +a(g826 +g970 +tp2001 +a(g681 +Vrepeatedly +p2002 +tp2003 +a(g705 +g975 +tp2004 +a(g440 +Vfunc +p2005 +tp2006 +a(g705 +g979 +tp2007 +a(g826 +V\u000a +p2008 +tp2009 +a(g89 +V"Yield result of running func repeatedly" +p2010 +tp2011 +a(g826 +V\u000a +p2012 +tp2013 +a(g440 +V(while +p2014 +tp2015 +a(g826 +g970 +tp2016 +a(g440 +Vtrue +p2017 +tp2018 +a(g826 +V\u000a +p2019 +tp2020 +a(g440 +V(yield +p2021 +tp2022 +a(g826 +g970 +tp2023 +a(g440 +V(func)))) +p2024 +tp2025 +a(g826 +V\u000a\u000a +p2026 +tp2027 +a(g440 +V(defn +p2028 +tp2029 +a(g826 +g970 +tp2030 +a(g681 +Vtake +p2031 +tp2032 +a(g705 +g975 +tp2033 +a(g440 +Vcount +p2034 +tp2035 +a(g826 +g970 +tp2036 +a(g440 +Vcoll +p2037 +tp2038 +a(g705 +g979 +tp2039 +a(g826 +V\u000a +p2040 +tp2041 +a(g89 +V"Take `count` elements from `coll`, or the whole set if the total\u000a number of entries in `coll` is less than `count`." +p2042 +tp2043 +a(g826 +V\u000a +p2044 +tp2045 +a(g440 +V(let +p2046 +tp2047 +a(g826 +g970 +tp2048 +a(g705 +g975 +tp2049 +a(g705 +g975 +tp2050 +a(g440 +Vciter +p2051 +tp2052 +a(g826 +g970 +tp2053 +a(g440 +V(iter +p2054 +tp2055 +a(g826 +g970 +tp2056 +a(g440 +Vcoll) +p2057 +tp2058 +a(g705 +g979 +tp2059 +a(g705 +g979 +tp2060 +a(g826 +V\u000a +p2061 +tp2062 +a(g440 +V(for +p2063 +tp2064 +a(g826 +g970 +tp2065 +a(g705 +g975 +tp2066 +a(g440 +g1978 +tp2067 +a(g826 +g970 +tp2068 +a(g440 +V(range +p2069 +tp2070 +a(g826 +g970 +tp2071 +a(g440 +Vcount) +p2072 +tp2073 +a(g705 +g979 +tp2074 +a(g826 +V\u000a +p2075 +tp2076 +a(g440 +V(yield +p2077 +tp2078 +a(g826 +g970 +tp2079 +a(g440 +V(next +p2080 +tp2081 +a(g826 +g970 +tp2082 +a(g440 +Vciter))))) +p2083 +tp2084 +a(g826 +V\u000a\u000a +p2085 +tp2086 +a(g440 +V(defn +p2087 +tp2088 +a(g826 +g970 +tp2089 +a(g440 +Vtake-nth +p2090 +tp2091 +a(g826 +g970 +tp2092 +a(g705 +g975 +tp2093 +a(g440 +g1098 +tp2094 +a(g826 +g970 +tp2095 +a(g440 +Vcoll +p2096 +tp2097 +a(g705 +g979 +tp2098 +a(g826 +V\u000a +p2099 +tp2100 +a(g89 +V"Return every nth member of coll\u000a raises ValueError for (not (pos? n))" +p2101 +tp2102 +a(g826 +V\u000a +p2103 +tp2104 +a(g440 +V(if +p2105 +tp2106 +a(g826 +g970 +tp2107 +a(g440 +V(pos? +p2108 +tp2109 +a(g826 +g970 +tp2110 +a(g440 +Vn) +p2111 +tp2112 +a(g826 +V\u000a +p2113 +tp2114 +a(g440 +V(let +p2115 +tp2116 +a(g826 +g970 +tp2117 +a(g705 +g975 +tp2118 +a(g705 +g975 +tp2119 +a(g440 +Vciter +p2120 +tp2121 +a(g826 +g970 +tp2122 +a(g440 +V(iter +p2123 +tp2124 +a(g826 +g970 +tp2125 +a(g440 +Vcoll) +p2126 +tp2127 +a(g705 +g979 +tp2128 +a(g826 +g970 +tp2129 +a(g705 +g975 +tp2130 +a(g440 +Vskip +p2131 +tp2132 +a(g826 +g970 +tp2133 +a(g440 +V(dec +p2134 +tp2135 +a(g826 +g970 +tp2136 +a(g440 +Vn) +p2137 +tp2138 +a(g705 +g979 +tp2139 +a(g705 +g979 +tp2140 +a(g826 +V\u000a +p2141 +tp2142 +a(g440 +V(for +p2143 +tp2144 +a(g826 +g970 +tp2145 +a(g705 +g975 +tp2146 +a(g440 +Vval +p2147 +tp2148 +a(g826 +g970 +tp2149 +a(g440 +Vciter +p2150 +tp2151 +a(g705 +g979 +tp2152 +a(g826 +V\u000a +p2153 +tp2154 +a(g440 +V(yield +p2155 +tp2156 +a(g826 +g970 +tp2157 +a(g440 +Vval) +p2158 +tp2159 +a(g826 +V\u000a +p2160 +tp2161 +a(g440 +V(for +p2162 +tp2163 +a(g826 +g970 +tp2164 +a(g705 +g975 +tp2165 +a(g440 +g1978 +tp2166 +a(g826 +g970 +tp2167 +a(g440 +V(range +p2168 +tp2169 +a(g826 +g970 +tp2170 +a(g440 +Vskip) +p2171 +tp2172 +a(g705 +g979 +tp2173 +a(g826 +V\u000a +p2174 +tp2175 +a(g440 +V(next +p2176 +tp2177 +a(g826 +g970 +tp2178 +a(g440 +Vciter)))) +p2179 +tp2180 +a(g826 +V\u000a +p2181 +tp2182 +a(g440 +V(raise +p2183 +tp2184 +a(g826 +g970 +tp2185 +a(g440 +V(ValueError +p2186 +tp2187 +a(g826 +g970 +tp2188 +a(g89 +V"n must be positive" +p2189 +tp2190 +a(g440 +V)))) +p2191 +tp2192 +a(g826 +V\u000a\u000a +p2193 +tp2194 +a(g440 +V(defn +p2195 +tp2196 +a(g826 +g970 +tp2197 +a(g440 +Vtake-while +p2198 +tp2199 +a(g826 +g970 +tp2200 +a(g705 +g975 +tp2201 +a(g440 +Vpred +p2202 +tp2203 +a(g826 +g970 +tp2204 +a(g440 +Vcoll +p2205 +tp2206 +a(g705 +g979 +tp2207 +a(g826 +V\u000a +p2208 +tp2209 +a(g89 +V"Take all elements while `pred` is true" +p2210 +tp2211 +a(g826 +V\u000a +p2212 +tp2213 +a(g440 +V(let +p2214 +tp2215 +a(g826 +g970 +tp2216 +a(g705 +g975 +tp2217 +a(g705 +g975 +tp2218 +a(g440 +Vciter +p2219 +tp2220 +a(g826 +g970 +tp2221 +a(g440 +V(iter +p2222 +tp2223 +a(g826 +g970 +tp2224 +a(g440 +Vcoll) +p2225 +tp2226 +a(g705 +g979 +tp2227 +a(g705 +g979 +tp2228 +a(g826 +V\u000a +p2229 +tp2230 +a(g440 +V(for +p2231 +tp2232 +a(g826 +g970 +tp2233 +a(g705 +g975 +tp2234 +a(g440 +Vval +p2235 +tp2236 +a(g826 +g970 +tp2237 +a(g440 +Vciter +p2238 +tp2239 +a(g705 +g979 +tp2240 +a(g826 +V\u000a +p2241 +tp2242 +a(g440 +V(if +p2243 +tp2244 +a(g826 +g970 +tp2245 +a(g440 +V(pred +p2246 +tp2247 +a(g826 +g970 +tp2248 +a(g440 +Vval) +p2249 +tp2250 +a(g826 +V\u000a +p2251 +tp2252 +a(g440 +V(yield +p2253 +tp2254 +a(g826 +g970 +tp2255 +a(g440 +Vval) +p2256 +tp2257 +a(g826 +V\u000a +p2258 +tp2259 +a(g440 +V(break))))) +p2260 +tp2261 +a(g826 +V\u000a\u000a +p2262 +tp2263 +a(g440 +V(defn +p2264 +tp2265 +a(g826 +g970 +tp2266 +a(g681 +Vzero? +p2267 +tp2268 +a(g705 +g975 +tp2269 +a(g440 +g1098 +tp2270 +a(g705 +g979 +tp2271 +a(g826 +V\u000a +p2272 +tp2273 +a(g89 +V"Return true if n is 0" +p2274 +tp2275 +a(g826 +V\u000a +p2276 +tp2277 +a(g440 +V(_numeric_check +p2278 +tp2279 +a(g826 +g970 +tp2280 +a(g440 +Vn) +p2281 +tp2282 +a(g826 +V\u000a +p2283 +tp2284 +a(g440 +V(= +p2285 +tp2286 +a(g826 +g970 +tp2287 +a(g440 +g1098 +tp2288 +a(g826 +g970 +tp2289 +a(g37 +g1319 +tp2290 +a(g440 +V)) +p2291 +tp2292 +a(g826 +V\u000a\u000a +p2293 +tp2294 +a(g440 +V(def +p2295 +tp2296 +a(g826 +g970 +tp2297 +a(g440 +V*exports* +p2298 +tp2299 +a(g826 +g970 +tp2300 +a(g705 +g975 +tp2301 +a(g89 +V"cycle" +p2302 +tp2303 +a(g826 +g970 +tp2304 +a(g89 +V"dec" +p2305 +tp2306 +a(g826 +g970 +tp2307 +a(g89 +V"distinct" +p2308 +tp2309 +a(g826 +g970 +tp2310 +a(g89 +V"drop" +p2311 +tp2312 +a(g826 +g970 +tp2313 +a(g89 +V"even?" +p2314 +tp2315 +a(g826 +g970 +tp2316 +a(g89 +V"filter" +p2317 +tp2318 +a(g826 +g970 +tp2319 +a(g89 +V"inc" +p2320 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g89 +V"instance?" +p2324 +tp2325 +a(g826 +g970 +tp2326 +a(g89 +V"iterable?" +p2327 +tp2328 +a(g826 +g970 +tp2329 +a(g89 +V"iterate" +p2330 +tp2331 +a(g826 +g970 +tp2332 +a(g89 +V"iterator?" +p2333 +tp2334 +a(g826 +g970 +tp2335 +a(g89 +V"neg?" +p2336 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g89 +V"none?" +p2340 +tp2341 +a(g826 +g970 +tp2342 +a(g89 +V"nth" +p2343 +tp2344 +a(g826 +g970 +tp2345 +a(g89 +V"numeric?" +p2346 +tp2347 +a(g826 +g970 +tp2348 +a(g89 +V"odd?" +p2349 +tp2350 +a(g826 +g970 +tp2351 +a(g89 +V"pos?" +p2352 +tp2353 +a(g826 +g970 +tp2354 +a(g89 +V"remove" +p2355 +tp2356 +a(g826 +g970 +tp2357 +a(g89 +V"repeat" +p2358 +tp2359 +a(g826 +V\u000a +p2360 +tp2361 +a(g89 +V"repeatedly" +p2362 +tp2363 +a(g826 +g970 +tp2364 +a(g89 +V"take" +p2365 +tp2366 +a(g826 +g970 +tp2367 +a(g89 +V"take_nth" +p2368 +tp2369 +a(g826 +g970 +tp2370 +a(g89 +V"take_while" +p2371 +tp2372 +a(g826 +g970 +tp2373 +a(g89 +V"zero?" +p2374 +tp2375 +a(g705 +g979 +tp2376 +a(g440 +g1035 +tp2377 +a(g826 +V\u000a +p2378 +tp2379 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/lighttpd_config.conf b/tests/examplefiles/output/lighttpd_config.conf new file mode 100644 index 0000000..56cac7a --- /dev/null +++ b/tests/examplefiles/output/lighttpd_config.conf @@ -0,0 +1,2630 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVfastcgi.server +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g400 +V= +p960 +tp961 +a(g826 +g958 +tp962 +a(g693 +V( +p963 +tp964 +a(g826 +g958 +tp965 +a(g144 +V".php" +p966 +tp967 +a(g826 +g958 +tp968 +a(g400 +V=> +p969 +tp970 +a(g826 +g958 +tp971 +a(g693 +g963 +tp972 +a(g693 +g963 +tp973 +a(g826 +V \u000a +p974 +tp975 +a(g144 +V"bin-path" +p976 +tp977 +a(g826 +g958 +tp978 +a(g400 +V=> +p979 +tp980 +a(g826 +g958 +tp981 +a(g144 +V"/path/to/php-cgi" +p982 +tp983 +a(g693 +V, +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g144 +V"socket" +p988 +tp989 +a(g826 +g958 +tp990 +a(g400 +V=> +p991 +tp992 +a(g826 +g958 +tp993 +a(g144 +V"/tmp/php.socket" +p994 +tp995 +a(g693 +g984 +tp996 +a(g826 +V\u000a +p997 +tp998 +a(g144 +V"max-procs" +p999 +tp1000 +a(g826 +g958 +tp1001 +a(g400 +V=> +p1002 +tp1003 +a(g826 +g958 +tp1004 +a(g17 +V2 +p1005 +tp1006 +a(g693 +g984 +tp1007 +a(g826 +V\u000a +p1008 +tp1009 +a(g144 +V"bin-environment" +p1010 +tp1011 +a(g826 +g958 +tp1012 +a(g400 +V=> +p1013 +tp1014 +a(g826 +g958 +tp1015 +a(g693 +g963 +tp1016 +a(g826 +V \u000a +p1017 +tp1018 +a(g144 +V"PHP_FCGI_CHILDREN" +p1019 +tp1020 +a(g826 +g958 +tp1021 +a(g400 +V=> +p1022 +tp1023 +a(g826 +g958 +tp1024 +a(g144 +V"16" +p1025 +tp1026 +a(g693 +g984 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g144 +V"PHP_FCGI_MAX_REQUESTS" +p1030 +tp1031 +a(g826 +g958 +tp1032 +a(g400 +V=> +p1033 +tp1034 +a(g826 +g958 +tp1035 +a(g144 +V"10000" +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g693 +V) +p1040 +tp1041 +a(g693 +g984 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g144 +V"bin-copy-environment" +p1045 +tp1046 +a(g826 +g958 +tp1047 +a(g400 +V=> +p1048 +tp1049 +a(g826 +g958 +tp1050 +a(g693 +g963 +tp1051 +a(g826 +V\u000a +p1052 +tp1053 +a(g144 +V"PATH" +p1054 +tp1055 +a(g693 +g984 +tp1056 +a(g826 +g958 +tp1057 +a(g144 +V"SHELL" +p1058 +tp1059 +a(g693 +g984 +tp1060 +a(g826 +g958 +tp1061 +a(g144 +V"USER" +p1062 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g693 +g1040 +tp1066 +a(g693 +g984 +tp1067 +a(g826 +V\u000a +p1068 +tp1069 +a(g144 +V"broken-scriptfilename" +p1070 +tp1071 +a(g826 +g958 +tp1072 +a(g400 +V=> +p1073 +tp1074 +a(g826 +g958 +tp1075 +a(g144 +V"enable" +p1076 +tp1077 +a(g826 +V\u000a +p1078 +tp1079 +a(g693 +g1040 +tp1080 +a(g693 +g1040 +tp1081 +a(g693 +g1040 +tp1082 +a(g826 +V\u000a +p1083 +tp1084 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/limbo.b b/tests/examplefiles/output/limbo.b new file mode 100644 index 0000000..1af3da6 --- /dev/null +++ b/tests/examplefiles/output/limbo.b @@ -0,0 +1,19287 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVimplement +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g423 +VNinewin +p960 +tp961 +a(g693 +V; +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g7 +Vinclude +p966 +tp967 +a(g826 +g958 +tp968 +a(g76 +V" +p969 +tp970 +a(g76 +Vsys.m +p971 +tp972 +a(g76 +g969 +tp973 +a(g693 +g962 +tp974 +a(g826 +V\u000a +p975 +tp976 +a(g826 +V +p977 +tp978 +a(g423 +Vsys +p979 +tp980 +a(g400 +V: +p981 +tp982 +a(g826 +g958 +tp983 +a(g423 +VSys +p984 +tp985 +a(g693 +g962 +tp986 +a(g826 +V\u000a +p987 +tp988 +a(g7 +Vinclude +p989 +tp990 +a(g826 +g958 +tp991 +a(g76 +g969 +tp992 +a(g76 +Vdraw.m +p993 +tp994 +a(g76 +g969 +tp995 +a(g693 +g962 +tp996 +a(g826 +V\u000a +p997 +tp998 +a(g826 +g977 +tp999 +a(g423 +Vdraw +p1000 +tp1001 +a(g400 +g981 +tp1002 +a(g826 +g958 +tp1003 +a(g423 +VDraw +p1004 +tp1005 +a(g693 +g962 +tp1006 +a(g826 +V\u000a +p1007 +tp1008 +a(g826 +g977 +tp1009 +a(g423 +VImage +p1010 +tp1011 +a(g693 +V, +p1012 +tp1013 +a(g826 +g958 +tp1014 +a(g423 +VDisplay +p1015 +tp1016 +a(g693 +g1012 +tp1017 +a(g826 +g958 +tp1018 +a(g423 +VPointer +p1019 +tp1020 +a(g400 +g981 +tp1021 +a(g826 +g958 +tp1022 +a(g7 +Vimport +p1023 +tp1024 +a(g826 +g958 +tp1025 +a(g423 +Vdraw +p1026 +tp1027 +a(g693 +g962 +tp1028 +a(g826 +V\u000a +p1029 +tp1030 +a(g7 +Vinclude +p1031 +tp1032 +a(g826 +g958 +tp1033 +a(g76 +g969 +tp1034 +a(g76 +Varg.m +p1035 +tp1036 +a(g76 +g969 +tp1037 +a(g693 +g962 +tp1038 +a(g826 +V\u000a +p1039 +tp1040 +a(g7 +Vinclude +p1041 +tp1042 +a(g826 +g958 +tp1043 +a(g76 +g969 +tp1044 +a(g76 +Vkeyboard.m +p1045 +tp1046 +a(g76 +g969 +tp1047 +a(g693 +g962 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g7 +Vinclude +p1051 +tp1052 +a(g826 +g958 +tp1053 +a(g76 +g969 +tp1054 +a(g76 +Vtk.m +p1055 +tp1056 +a(g76 +g969 +tp1057 +a(g693 +g962 +tp1058 +a(g826 +V\u000a +p1059 +tp1060 +a(g7 +Vinclude +p1061 +tp1062 +a(g826 +g958 +tp1063 +a(g76 +g969 +tp1064 +a(g76 +Vwmclient.m +p1065 +tp1066 +a(g76 +g969 +tp1067 +a(g693 +g962 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g826 +g977 +tp1071 +a(g423 +Vwmclient +p1072 +tp1073 +a(g400 +g981 +tp1074 +a(g826 +g958 +tp1075 +a(g423 +VWmclient +p1076 +tp1077 +a(g693 +g962 +tp1078 +a(g826 +V\u000a +p1079 +tp1080 +a(g826 +g977 +tp1081 +a(g423 +VWindow +p1082 +tp1083 +a(g400 +g981 +tp1084 +a(g826 +g958 +tp1085 +a(g7 +Vimport +p1086 +tp1087 +a(g826 +g958 +tp1088 +a(g423 +Vwmclient +p1089 +tp1090 +a(g693 +g962 +tp1091 +a(g826 +V\u000a +p1092 +tp1093 +a(g7 +Vinclude +p1094 +tp1095 +a(g826 +g958 +tp1096 +a(g76 +g969 +tp1097 +a(g76 +Vsh.m +p1098 +tp1099 +a(g76 +g969 +tp1100 +a(g693 +g962 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g826 +g977 +tp1104 +a(g423 +Vsh +p1105 +tp1106 +a(g400 +g981 +tp1107 +a(g826 +g958 +tp1108 +a(g423 +VSh +p1109 +tp1110 +a(g693 +g962 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g745 +V# run a p9 graphics program (default rio) under inferno wm,\u000a +p1116 +tp1117 +a(g745 +V# making available to it:\u000a +p1118 +tp1119 +a(g745 +V# /dev/winname - naming the current inferno window (changing on resize)\u000a +p1120 +tp1121 +a(g745 +V# /dev/mouse - pointer file + resize events; write to change position\u000a +p1122 +tp1123 +a(g745 +V# /dev/cursor - change appearance of cursor.\u000a +p1124 +tp1125 +a(g745 +V# /dev/draw - inferno draw device\u000a +p1126 +tp1127 +a(g745 +V# /dev/cons - read keyboard events, write to 9win stdout.\u000a +p1128 +tp1129 +a(g826 +V\u000a +p1130 +tp1131 +a(g423 +VNinewin +p1132 +tp1133 +a(g400 +g981 +tp1134 +a(g826 +g958 +tp1135 +a(g881 +Vmodule +p1136 +tp1137 +a(g826 +g958 +tp1138 +a(g693 +V{ +p1139 +tp1140 +a(g826 +V\u000a +p1141 +tp1142 +a(g826 +g977 +tp1143 +a(g423 +Vinit +p1144 +tp1145 +a(g400 +g981 +tp1146 +a(g826 +g958 +tp1147 +a(g881 +Vfn +p1148 +tp1149 +a(g693 +V( +p1150 +tp1151 +a(g423 +Vctxt +p1152 +tp1153 +a(g400 +g981 +tp1154 +a(g826 +g958 +tp1155 +a(g881 +Vref +p1156 +tp1157 +a(g826 +g958 +tp1158 +a(g423 +VDraw +p1159 +tp1160 +a(g400 +V- +p1161 +tp1162 +a(g400 +V> +p1163 +tp1164 +a(g423 +VContext +p1165 +tp1166 +a(g693 +g1012 +tp1167 +a(g826 +g958 +tp1168 +a(g423 +Vargv +p1169 +tp1170 +a(g400 +g981 +tp1171 +a(g826 +g958 +tp1172 +a(g881 +Vlist +p1173 +tp1174 +a(g826 +g958 +tp1175 +a(g881 +Vof +p1176 +tp1177 +a(g826 +g958 +tp1178 +a(g881 +Vstring +p1179 +tp1180 +a(g693 +V) +p1181 +tp1182 +a(g693 +g962 +tp1183 +a(g826 +V\u000a +p1184 +tp1185 +a(g693 +V} +p1186 +tp1187 +a(g693 +g962 +tp1188 +a(g826 +V\u000a +p1189 +tp1190 +a(g423 +Vwinname +p1191 +tp1192 +a(g400 +g981 +tp1193 +a(g826 +g958 +tp1194 +a(g881 +Vstring +p1195 +tp1196 +a(g693 +g962 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g423 +Vinit +p1202 +tp1203 +a(g693 +g1150 +tp1204 +a(g423 +Vctxt +p1205 +tp1206 +a(g400 +g981 +tp1207 +a(g826 +g958 +tp1208 +a(g881 +Vref +p1209 +tp1210 +a(g826 +g958 +tp1211 +a(g423 +VDraw +p1212 +tp1213 +a(g400 +g1161 +tp1214 +a(g400 +g1163 +tp1215 +a(g423 +VContext +p1216 +tp1217 +a(g693 +g1012 +tp1218 +a(g826 +g958 +tp1219 +a(g423 +Vargv +p1220 +tp1221 +a(g400 +g981 +tp1222 +a(g826 +g958 +tp1223 +a(g881 +Vlist +p1224 +tp1225 +a(g826 +g958 +tp1226 +a(g881 +Vof +p1227 +tp1228 +a(g826 +g958 +tp1229 +a(g881 +Vstring +p1230 +tp1231 +a(g693 +g1181 +tp1232 +a(g826 +V\u000a +p1233 +tp1234 +a(g693 +g1139 +tp1235 +a(g826 +V\u000a +p1236 +tp1237 +a(g826 +g977 +tp1238 +a(g423 +Vsize +p1239 +tp1240 +a(g826 +g958 +tp1241 +a(g400 +g981 +tp1242 +a(g400 +V= +p1243 +tp1244 +a(g826 +g958 +tp1245 +a(g423 +VDraw +p1246 +tp1247 +a(g400 +g1161 +tp1248 +a(g400 +g1163 +tp1249 +a(g423 +VPoint +p1250 +tp1251 +a(g693 +g1150 +tp1252 +a(g22 +V500 +p1253 +tp1254 +a(g693 +g1012 +tp1255 +a(g826 +g958 +tp1256 +a(g22 +V500 +p1257 +tp1258 +a(g693 +g1181 +tp1259 +a(g693 +g962 +tp1260 +a(g826 +V\u000a +p1261 +tp1262 +a(g826 +g977 +tp1263 +a(g423 +Vsys +p1264 +tp1265 +a(g826 +g958 +tp1266 +a(g400 +g1243 +tp1267 +a(g826 +g958 +tp1268 +a(g7 +Vload +p1269 +tp1270 +a(g826 +g958 +tp1271 +a(g423 +VSys +p1272 +tp1273 +a(g826 +g958 +tp1274 +a(g423 +VSys +p1275 +tp1276 +a(g400 +g1161 +tp1277 +a(g400 +g1163 +tp1278 +a(g423 +VPATH +p1279 +tp1280 +a(g693 +g962 +tp1281 +a(g826 +V\u000a +p1282 +tp1283 +a(g826 +g977 +tp1284 +a(g423 +Vdraw +p1285 +tp1286 +a(g826 +g958 +tp1287 +a(g400 +g1243 +tp1288 +a(g826 +g958 +tp1289 +a(g7 +Vload +p1290 +tp1291 +a(g826 +g958 +tp1292 +a(g423 +VDraw +p1293 +tp1294 +a(g826 +g958 +tp1295 +a(g423 +VDraw +p1296 +tp1297 +a(g400 +g1161 +tp1298 +a(g400 +g1163 +tp1299 +a(g423 +VPATH +p1300 +tp1301 +a(g693 +g962 +tp1302 +a(g826 +V\u000a +p1303 +tp1304 +a(g826 +g977 +tp1305 +a(g423 +Vwmclient +p1306 +tp1307 +a(g826 +g958 +tp1308 +a(g400 +g1243 +tp1309 +a(g826 +g958 +tp1310 +a(g7 +Vload +p1311 +tp1312 +a(g826 +g958 +tp1313 +a(g423 +VWmclient +p1314 +tp1315 +a(g826 +g958 +tp1316 +a(g423 +VWmclient +p1317 +tp1318 +a(g400 +g1161 +tp1319 +a(g400 +g1163 +tp1320 +a(g423 +VPATH +p1321 +tp1322 +a(g693 +g962 +tp1323 +a(g826 +V\u000a +p1324 +tp1325 +a(g826 +g977 +tp1326 +a(g423 +Vwmclient +p1327 +tp1328 +a(g400 +g1161 +tp1329 +a(g400 +g1163 +tp1330 +a(g423 +Vinit +p1331 +tp1332 +a(g693 +g1150 +tp1333 +a(g693 +g1181 +tp1334 +a(g693 +g962 +tp1335 +a(g826 +V\u000a +p1336 +tp1337 +a(g826 +g977 +tp1338 +a(g423 +Vsh +p1339 +tp1340 +a(g826 +g958 +tp1341 +a(g400 +g1243 +tp1342 +a(g826 +g958 +tp1343 +a(g7 +Vload +p1344 +tp1345 +a(g826 +g958 +tp1346 +a(g423 +VSh +p1347 +tp1348 +a(g826 +g958 +tp1349 +a(g423 +VSh +p1350 +tp1351 +a(g400 +g1161 +tp1352 +a(g400 +g1163 +tp1353 +a(g423 +VPATH +p1354 +tp1355 +a(g693 +g962 +tp1356 +a(g826 +V\u000a +p1357 +tp1358 +a(g826 +V\u000a +p1359 +tp1360 +a(g826 +g977 +tp1361 +a(g423 +Vbuts +p1362 +tp1363 +a(g826 +g958 +tp1364 +a(g400 +g981 +tp1365 +a(g400 +g1243 +tp1366 +a(g826 +g958 +tp1367 +a(g423 +VWmclient +p1368 +tp1369 +a(g400 +g1161 +tp1370 +a(g400 +g1163 +tp1371 +a(g423 +VResize +p1372 +tp1373 +a(g693 +g962 +tp1374 +a(g826 +V\u000a +p1375 +tp1376 +a(g826 +g977 +tp1377 +a(g7 +Vif +p1378 +tp1379 +a(g693 +g1150 +tp1380 +a(g423 +Vctxt +p1381 +tp1382 +a(g826 +g958 +tp1383 +a(g400 +g1243 +tp1384 +a(g400 +g1243 +tp1385 +a(g826 +g958 +tp1386 +a(g896 +Vnil +p1387 +tp1388 +a(g693 +g1181 +tp1389 +a(g693 +g1139 +tp1390 +a(g826 +V\u000a +p1391 +tp1392 +a(g826 +V +p1393 +tp1394 +a(g423 +Vctxt +p1395 +tp1396 +a(g826 +g958 +tp1397 +a(g400 +g1243 +tp1398 +a(g826 +g958 +tp1399 +a(g423 +Vwmclient +p1400 +tp1401 +a(g400 +g1161 +tp1402 +a(g400 +g1163 +tp1403 +a(g423 +Vmakedrawcontext +p1404 +tp1405 +a(g693 +g1150 +tp1406 +a(g693 +g1181 +tp1407 +a(g693 +g962 +tp1408 +a(g826 +V\u000a +p1409 +tp1410 +a(g826 +V +p1411 +tp1412 +a(g423 +Vbuts +p1413 +tp1414 +a(g826 +g958 +tp1415 +a(g400 +g1243 +tp1416 +a(g826 +g958 +tp1417 +a(g423 +VWmclient +p1418 +tp1419 +a(g400 +g1161 +tp1420 +a(g400 +g1163 +tp1421 +a(g423 +VPlain +p1422 +tp1423 +a(g693 +g962 +tp1424 +a(g826 +V\u000a +p1425 +tp1426 +a(g826 +g977 +tp1427 +a(g693 +g1186 +tp1428 +a(g826 +V\u000a +p1429 +tp1430 +a(g826 +g977 +tp1431 +a(g423 +Varg +p1432 +tp1433 +a(g826 +g958 +tp1434 +a(g400 +g981 +tp1435 +a(g400 +g1243 +tp1436 +a(g826 +g958 +tp1437 +a(g7 +Vload +p1438 +tp1439 +a(g826 +g958 +tp1440 +a(g423 +VArg +p1441 +tp1442 +a(g826 +g958 +tp1443 +a(g423 +VArg +p1444 +tp1445 +a(g400 +g1161 +tp1446 +a(g400 +g1163 +tp1447 +a(g423 +VPATH +p1448 +tp1449 +a(g693 +g962 +tp1450 +a(g826 +V\u000a +p1451 +tp1452 +a(g826 +g977 +tp1453 +a(g423 +Varg +p1454 +tp1455 +a(g400 +g1161 +tp1456 +a(g400 +g1163 +tp1457 +a(g423 +Vinit +p1458 +tp1459 +a(g693 +g1150 +tp1460 +a(g423 +Vargv +p1461 +tp1462 +a(g693 +g1181 +tp1463 +a(g693 +g962 +tp1464 +a(g826 +V\u000a +p1465 +tp1466 +a(g826 +g977 +tp1467 +a(g423 +Varg +p1468 +tp1469 +a(g400 +g1161 +tp1470 +a(g400 +g1163 +tp1471 +a(g423 +Vsetusage +p1472 +tp1473 +a(g693 +g1150 +tp1474 +a(g76 +g969 +tp1475 +a(g76 +V9win [-s] [-x width] [-y height] +p1476 +tp1477 +a(g76 +g969 +tp1478 +a(g693 +g1181 +tp1479 +a(g693 +g962 +tp1480 +a(g826 +V\u000a +p1481 +tp1482 +a(g826 +g977 +tp1483 +a(g423 +Vexportonly +p1484 +tp1485 +a(g826 +g958 +tp1486 +a(g400 +g981 +tp1487 +a(g400 +g1243 +tp1488 +a(g826 +g958 +tp1489 +a(g22 +V0 +p1490 +tp1491 +a(g693 +g962 +tp1492 +a(g826 +V\u000a +p1493 +tp1494 +a(g826 +g977 +tp1495 +a(g7 +Vwhile +p1496 +tp1497 +a(g693 +g1150 +tp1498 +a(g693 +g1150 +tp1499 +a(g693 +g1150 +tp1500 +a(g423 +Vopt +p1501 +tp1502 +a(g826 +g958 +tp1503 +a(g400 +g981 +tp1504 +a(g400 +g1243 +tp1505 +a(g826 +g958 +tp1506 +a(g423 +Varg +p1507 +tp1508 +a(g400 +g1161 +tp1509 +a(g400 +g1163 +tp1510 +a(g423 +Vopt +p1511 +tp1512 +a(g693 +g1150 +tp1513 +a(g693 +g1181 +tp1514 +a(g693 +g1181 +tp1515 +a(g693 +g1181 +tp1516 +a(g826 +g958 +tp1517 +a(g400 +V! +p1518 +tp1519 +a(g400 +g1243 +tp1520 +a(g826 +g958 +tp1521 +a(g22 +g1490 +tp1522 +a(g693 +g1181 +tp1523 +a(g693 +g1139 +tp1524 +a(g826 +V\u000a +p1525 +tp1526 +a(g826 +V +p1527 +tp1528 +a(g7 +Vcase +p1529 +tp1530 +a(g826 +g958 +tp1531 +a(g423 +Vopt +p1532 +tp1533 +a(g826 +g958 +tp1534 +a(g693 +g1139 +tp1535 +a(g826 +V\u000a +p1536 +tp1537 +a(g826 +V +p1538 +tp1539 +a(g80 +V's' +p1540 +tp1541 +a(g826 +g958 +tp1542 +a(g400 +g1243 +tp1543 +a(g400 +g1163 +tp1544 +a(g826 +V\u000a +p1545 +tp1546 +a(g826 +V +p1547 +tp1548 +a(g423 +Vexportonly +p1549 +tp1550 +a(g826 +g958 +tp1551 +a(g400 +g1243 +tp1552 +a(g826 +g958 +tp1553 +a(g22 +V1 +p1554 +tp1555 +a(g693 +g962 +tp1556 +a(g826 +V\u000a +p1557 +tp1558 +a(g826 +V +p1559 +tp1560 +a(g80 +V'x' +p1561 +tp1562 +a(g826 +g958 +tp1563 +a(g400 +g1243 +tp1564 +a(g400 +g1163 +tp1565 +a(g826 +V\u000a +p1566 +tp1567 +a(g826 +V +p1568 +tp1569 +a(g423 +Vsize +p1570 +tp1571 +a(g693 +V. +p1572 +tp1573 +a(g423 +Vx +p1574 +tp1575 +a(g826 +g958 +tp1576 +a(g400 +g1243 +tp1577 +a(g826 +g958 +tp1578 +a(g881 +Vint +p1579 +tp1580 +a(g826 +g958 +tp1581 +a(g423 +Varg +p1582 +tp1583 +a(g400 +g1161 +tp1584 +a(g400 +g1163 +tp1585 +a(g423 +Vearg +p1586 +tp1587 +a(g693 +g1150 +tp1588 +a(g693 +g1181 +tp1589 +a(g693 +g962 +tp1590 +a(g826 +V\u000a +p1591 +tp1592 +a(g826 +V +p1593 +tp1594 +a(g80 +V'y' +p1595 +tp1596 +a(g826 +g958 +tp1597 +a(g400 +g1243 +tp1598 +a(g400 +g1163 +tp1599 +a(g826 +V\u000a +p1600 +tp1601 +a(g826 +V +p1602 +tp1603 +a(g423 +Vsize +p1604 +tp1605 +a(g693 +g1572 +tp1606 +a(g423 +Vy +p1607 +tp1608 +a(g826 +g958 +tp1609 +a(g400 +g1243 +tp1610 +a(g826 +g958 +tp1611 +a(g881 +Vint +p1612 +tp1613 +a(g826 +g958 +tp1614 +a(g423 +Varg +p1615 +tp1616 +a(g400 +g1161 +tp1617 +a(g400 +g1163 +tp1618 +a(g423 +Vearg +p1619 +tp1620 +a(g693 +g1150 +tp1621 +a(g693 +g1181 +tp1622 +a(g693 +g962 +tp1623 +a(g826 +V\u000a +p1624 +tp1625 +a(g826 +V +p1626 +tp1627 +a(g400 +V* +p1628 +tp1629 +a(g826 +g958 +tp1630 +a(g400 +g1243 +tp1631 +a(g400 +g1163 +tp1632 +a(g826 +V\u000a +p1633 +tp1634 +a(g826 +V +p1635 +tp1636 +a(g423 +Varg +p1637 +tp1638 +a(g400 +g1161 +tp1639 +a(g400 +g1163 +tp1640 +a(g423 +Vusage +p1641 +tp1642 +a(g693 +g1150 +tp1643 +a(g693 +g1181 +tp1644 +a(g693 +g962 +tp1645 +a(g826 +V\u000a +p1646 +tp1647 +a(g826 +V +p1648 +tp1649 +a(g693 +g1186 +tp1650 +a(g826 +V\u000a +p1651 +tp1652 +a(g826 +g977 +tp1653 +a(g693 +g1186 +tp1654 +a(g826 +V\u000a +p1655 +tp1656 +a(g826 +g977 +tp1657 +a(g7 +Vif +p1658 +tp1659 +a(g693 +g1150 +tp1660 +a(g423 +Vsize +p1661 +tp1662 +a(g693 +g1572 +tp1663 +a(g423 +g1574 +tp1664 +a(g826 +g958 +tp1665 +a(g400 +V< +p1666 +tp1667 +a(g826 +g958 +tp1668 +a(g22 +g1554 +tp1669 +a(g826 +g958 +tp1670 +a(g400 +V| +p1671 +tp1672 +a(g400 +g1671 +tp1673 +a(g826 +g958 +tp1674 +a(g423 +Vsize +p1675 +tp1676 +a(g693 +g1572 +tp1677 +a(g423 +g1607 +tp1678 +a(g826 +g958 +tp1679 +a(g400 +g1666 +tp1680 +a(g826 +g958 +tp1681 +a(g22 +g1554 +tp1682 +a(g693 +g1181 +tp1683 +a(g826 +V\u000a +p1684 +tp1685 +a(g826 +V +p1686 +tp1687 +a(g423 +Varg +p1688 +tp1689 +a(g400 +g1161 +tp1690 +a(g400 +g1163 +tp1691 +a(g423 +Vusage +p1692 +tp1693 +a(g693 +g1150 +tp1694 +a(g693 +g1181 +tp1695 +a(g693 +g962 +tp1696 +a(g826 +V\u000a +p1697 +tp1698 +a(g826 +g977 +tp1699 +a(g423 +Vargv +p1700 +tp1701 +a(g826 +g958 +tp1702 +a(g400 +g1243 +tp1703 +a(g826 +g958 +tp1704 +a(g423 +Varg +p1705 +tp1706 +a(g400 +g1161 +tp1707 +a(g400 +g1163 +tp1708 +a(g423 +Vargv +p1709 +tp1710 +a(g693 +g1150 +tp1711 +a(g693 +g1181 +tp1712 +a(g693 +g962 +tp1713 +a(g826 +V\u000a +p1714 +tp1715 +a(g826 +g977 +tp1716 +a(g7 +Vif +p1717 +tp1718 +a(g693 +g1150 +tp1719 +a(g423 +Vargv +p1720 +tp1721 +a(g826 +g958 +tp1722 +a(g400 +g1518 +tp1723 +a(g400 +g1243 +tp1724 +a(g826 +g958 +tp1725 +a(g896 +Vnil +p1726 +tp1727 +a(g826 +g958 +tp1728 +a(g400 +V& +p1729 +tp1730 +a(g400 +g1729 +tp1731 +a(g826 +g958 +tp1732 +a(g7 +Vhd +p1733 +tp1734 +a(g826 +g958 +tp1735 +a(g423 +Vargv +p1736 +tp1737 +a(g826 +g958 +tp1738 +a(g400 +g1243 +tp1739 +a(g400 +g1243 +tp1740 +a(g826 +g958 +tp1741 +a(g76 +g969 +tp1742 +a(g76 +V-s +p1743 +tp1744 +a(g76 +g969 +tp1745 +a(g693 +g1181 +tp1746 +a(g693 +g1139 +tp1747 +a(g826 +V\u000a +p1748 +tp1749 +a(g826 +V +p1750 +tp1751 +a(g423 +Vexportonly +p1752 +tp1753 +a(g826 +g958 +tp1754 +a(g400 +g1243 +tp1755 +a(g826 +g958 +tp1756 +a(g22 +g1554 +tp1757 +a(g693 +g962 +tp1758 +a(g826 +V\u000a +p1759 +tp1760 +a(g826 +V +p1761 +tp1762 +a(g423 +Vargv +p1763 +tp1764 +a(g826 +g958 +tp1765 +a(g400 +g1243 +tp1766 +a(g826 +g958 +tp1767 +a(g7 +Vtl +p1768 +tp1769 +a(g826 +g958 +tp1770 +a(g423 +Vargv +p1771 +tp1772 +a(g693 +g962 +tp1773 +a(g826 +V\u000a +p1774 +tp1775 +a(g826 +g977 +tp1776 +a(g693 +g1186 +tp1777 +a(g826 +V\u000a +p1778 +tp1779 +a(g826 +g977 +tp1780 +a(g7 +Vif +p1781 +tp1782 +a(g693 +g1150 +tp1783 +a(g423 +Vargv +p1784 +tp1785 +a(g826 +g958 +tp1786 +a(g400 +g1243 +tp1787 +a(g400 +g1243 +tp1788 +a(g826 +g958 +tp1789 +a(g896 +Vnil +p1790 +tp1791 +a(g826 +g958 +tp1792 +a(g400 +g1729 +tp1793 +a(g400 +g1729 +tp1794 +a(g826 +g958 +tp1795 +a(g400 +g1518 +tp1796 +a(g423 +Vexportonly +p1797 +tp1798 +a(g693 +g1181 +tp1799 +a(g826 +V\u000a +p1800 +tp1801 +a(g826 +V +p1802 +tp1803 +a(g423 +Vargv +p1804 +tp1805 +a(g826 +g958 +tp1806 +a(g400 +g1243 +tp1807 +a(g826 +g958 +tp1808 +a(g76 +g969 +tp1809 +a(g76 +Vrio +p1810 +tp1811 +a(g76 +g969 +tp1812 +a(g826 +g958 +tp1813 +a(g400 +g981 +tp1814 +a(g400 +g981 +tp1815 +a(g826 +g958 +tp1816 +a(g896 +Vnil +p1817 +tp1818 +a(g693 +g962 +tp1819 +a(g826 +V\u000a +p1820 +tp1821 +a(g826 +g977 +tp1822 +a(g7 +Vif +p1823 +tp1824 +a(g693 +g1150 +tp1825 +a(g423 +Vargv +p1826 +tp1827 +a(g826 +g958 +tp1828 +a(g400 +g1518 +tp1829 +a(g400 +g1243 +tp1830 +a(g826 +g958 +tp1831 +a(g896 +Vnil +p1832 +tp1833 +a(g826 +g958 +tp1834 +a(g400 +g1729 +tp1835 +a(g400 +g1729 +tp1836 +a(g826 +g958 +tp1837 +a(g423 +Vexportonly +p1838 +tp1839 +a(g693 +g1181 +tp1840 +a(g693 +g1139 +tp1841 +a(g826 +V\u000a +p1842 +tp1843 +a(g826 +V +p1844 +tp1845 +a(g423 +Vsys +p1846 +tp1847 +a(g400 +g1161 +tp1848 +a(g400 +g1163 +tp1849 +a(g423 +Vfprint +p1850 +tp1851 +a(g693 +g1150 +tp1852 +a(g423 +Vsys +p1853 +tp1854 +a(g400 +g1161 +tp1855 +a(g400 +g1163 +tp1856 +a(g423 +Vfildes +p1857 +tp1858 +a(g693 +g1150 +tp1859 +a(g22 +V2 +p1860 +tp1861 +a(g693 +g1181 +tp1862 +a(g693 +g1012 +tp1863 +a(g826 +g958 +tp1864 +a(g76 +g969 +tp1865 +a(g76 +V9win: no command allowed with -s flag +p1866 +tp1867 +a(g200 +V\u005cn +p1868 +tp1869 +a(g76 +g969 +tp1870 +a(g693 +g1181 +tp1871 +a(g693 +g962 +tp1872 +a(g826 +V\u000a +p1873 +tp1874 +a(g826 +V +p1875 +tp1876 +a(g423 +Vraise +p1877 +tp1878 +a(g826 +g958 +tp1879 +a(g76 +g969 +tp1880 +a(g76 +Vfail:usage +p1881 +tp1882 +a(g76 +g969 +tp1883 +a(g693 +g962 +tp1884 +a(g826 +V\u000a +p1885 +tp1886 +a(g826 +g977 +tp1887 +a(g693 +g1186 +tp1888 +a(g826 +V\u000a +p1889 +tp1890 +a(g826 +g977 +tp1891 +a(g423 +Vtitle +p1892 +tp1893 +a(g826 +g958 +tp1894 +a(g400 +g981 +tp1895 +a(g400 +g1243 +tp1896 +a(g826 +g958 +tp1897 +a(g76 +g969 +tp1898 +a(g76 +V9win +p1899 +tp1900 +a(g76 +g969 +tp1901 +a(g693 +g962 +tp1902 +a(g826 +V\u000a +p1903 +tp1904 +a(g826 +g977 +tp1905 +a(g7 +Vif +p1906 +tp1907 +a(g693 +g1150 +tp1908 +a(g400 +g1518 +tp1909 +a(g423 +Vexportonly +p1910 +tp1911 +a(g693 +g1181 +tp1912 +a(g826 +V\u000a +p1913 +tp1914 +a(g826 +V +p1915 +tp1916 +a(g423 +Vtitle +p1917 +tp1918 +a(g826 +g958 +tp1919 +a(g400 +V+ +p1920 +tp1921 +a(g400 +g1243 +tp1922 +a(g826 +g958 +tp1923 +a(g76 +g969 +tp1924 +a(g76 +g958 +tp1925 +a(g76 +g969 +tp1926 +a(g826 +g958 +tp1927 +a(g400 +g1920 +tp1928 +a(g826 +g958 +tp1929 +a(g7 +Vhd +p1930 +tp1931 +a(g826 +g958 +tp1932 +a(g423 +Vargv +p1933 +tp1934 +a(g693 +g962 +tp1935 +a(g826 +V\u000a +p1936 +tp1937 +a(g826 +g977 +tp1938 +a(g423 +Vw +p1939 +tp1940 +a(g826 +g958 +tp1941 +a(g400 +g981 +tp1942 +a(g400 +g1243 +tp1943 +a(g826 +g958 +tp1944 +a(g423 +Vwmclient +p1945 +tp1946 +a(g400 +g1161 +tp1947 +a(g400 +g1163 +tp1948 +a(g423 +Vwindow +p1949 +tp1950 +a(g693 +g1150 +tp1951 +a(g423 +Vctxt +p1952 +tp1953 +a(g693 +g1012 +tp1954 +a(g826 +g958 +tp1955 +a(g423 +Vtitle +p1956 +tp1957 +a(g693 +g1012 +tp1958 +a(g826 +g958 +tp1959 +a(g423 +Vbuts +p1960 +tp1961 +a(g693 +g1181 +tp1962 +a(g693 +g962 +tp1963 +a(g826 +V\u000a +p1964 +tp1965 +a(g826 +g977 +tp1966 +a(g423 +g1939 +tp1967 +a(g693 +g1572 +tp1968 +a(g423 +Vreshape +p1969 +tp1970 +a(g693 +g1150 +tp1971 +a(g693 +g1150 +tp1972 +a(g693 +g1150 +tp1973 +a(g22 +g1490 +tp1974 +a(g693 +g1012 +tp1975 +a(g826 +g958 +tp1976 +a(g22 +g1490 +tp1977 +a(g693 +g1181 +tp1978 +a(g693 +g1012 +tp1979 +a(g826 +g958 +tp1980 +a(g423 +Vsize +p1981 +tp1982 +a(g693 +g1181 +tp1983 +a(g693 +g1181 +tp1984 +a(g693 +g962 +tp1985 +a(g826 +V\u000a +p1986 +tp1987 +a(g826 +g977 +tp1988 +a(g423 +g1939 +tp1989 +a(g693 +g1572 +tp1990 +a(g423 +Vonscreen +p1991 +tp1992 +a(g693 +g1150 +tp1993 +a(g896 +Vnil +p1994 +tp1995 +a(g693 +g1181 +tp1996 +a(g693 +g962 +tp1997 +a(g826 +V\u000a +p1998 +tp1999 +a(g826 +g977 +tp2000 +a(g7 +Vif +p2001 +tp2002 +a(g693 +g1150 +tp2003 +a(g423 +g1939 +tp2004 +a(g693 +g1572 +tp2005 +a(g423 +Vimage +p2006 +tp2007 +a(g826 +g958 +tp2008 +a(g400 +g1243 +tp2009 +a(g400 +g1243 +tp2010 +a(g826 +g958 +tp2011 +a(g896 +Vnil +p2012 +tp2013 +a(g693 +g1181 +tp2014 +a(g693 +g1139 +tp2015 +a(g826 +V\u000a +p2016 +tp2017 +a(g826 +V +p2018 +tp2019 +a(g423 +Vsys +p2020 +tp2021 +a(g400 +g1161 +tp2022 +a(g400 +g1163 +tp2023 +a(g423 +Vfprint +p2024 +tp2025 +a(g693 +g1150 +tp2026 +a(g423 +Vsys +p2027 +tp2028 +a(g400 +g1161 +tp2029 +a(g400 +g1163 +tp2030 +a(g423 +Vfildes +p2031 +tp2032 +a(g693 +g1150 +tp2033 +a(g22 +g1860 +tp2034 +a(g693 +g1181 +tp2035 +a(g693 +g1012 +tp2036 +a(g826 +g958 +tp2037 +a(g76 +g969 +tp2038 +a(g76 +V9win: cannot get image to draw on +p2039 +tp2040 +a(g200 +V\u005cn +p2041 +tp2042 +a(g76 +g969 +tp2043 +a(g693 +g1181 +tp2044 +a(g693 +g962 +tp2045 +a(g826 +V\u000a +p2046 +tp2047 +a(g826 +V +p2048 +tp2049 +a(g423 +Vraise +p2050 +tp2051 +a(g826 +g958 +tp2052 +a(g76 +g969 +tp2053 +a(g76 +Vfail:no window +p2054 +tp2055 +a(g76 +g969 +tp2056 +a(g693 +g962 +tp2057 +a(g826 +V\u000a +p2058 +tp2059 +a(g826 +g977 +tp2060 +a(g693 +g1186 +tp2061 +a(g826 +V\u000a +p2062 +tp2063 +a(g826 +V\u000a +p2064 +tp2065 +a(g826 +g977 +tp2066 +a(g423 +Vsys +p2067 +tp2068 +a(g400 +g1161 +tp2069 +a(g400 +g1163 +tp2070 +a(g423 +Vpctl +p2071 +tp2072 +a(g693 +g1150 +tp2073 +a(g423 +VSys +p2074 +tp2075 +a(g400 +g1161 +tp2076 +a(g400 +g1163 +tp2077 +a(g423 +VFORKNS +p2078 +tp2079 +a(g400 +g1671 +tp2080 +a(g423 +VSys +p2081 +tp2082 +a(g400 +g1161 +tp2083 +a(g400 +g1163 +tp2084 +a(g423 +VNEWPGRP +p2085 +tp2086 +a(g693 +g1012 +tp2087 +a(g826 +g958 +tp2088 +a(g896 +Vnil +p2089 +tp2090 +a(g693 +g1181 +tp2091 +a(g693 +g962 +tp2092 +a(g826 +V\u000a +p2093 +tp2094 +a(g826 +g977 +tp2095 +a(g423 +Vld +p2096 +tp2097 +a(g826 +g958 +tp2098 +a(g400 +g981 +tp2099 +a(g400 +g1243 +tp2100 +a(g826 +g958 +tp2101 +a(g76 +g969 +tp2102 +a(g76 +V/n/9win +p2103 +tp2104 +a(g76 +g969 +tp2105 +a(g693 +g962 +tp2106 +a(g826 +V\u000a +p2107 +tp2108 +a(g826 +g977 +tp2109 +a(g7 +Vif +p2110 +tp2111 +a(g693 +g1150 +tp2112 +a(g423 +Vsys +p2113 +tp2114 +a(g400 +g1161 +tp2115 +a(g400 +g1163 +tp2116 +a(g423 +Vbind +p2117 +tp2118 +a(g693 +g1150 +tp2119 +a(g76 +g969 +tp2120 +a(g76 +V#s +p2121 +tp2122 +a(g76 +g969 +tp2123 +a(g693 +g1012 +tp2124 +a(g826 +g958 +tp2125 +a(g423 +Vld +p2126 +tp2127 +a(g693 +g1012 +tp2128 +a(g826 +g958 +tp2129 +a(g423 +VSys +p2130 +tp2131 +a(g400 +g1161 +tp2132 +a(g400 +g1163 +tp2133 +a(g423 +VMREPL +p2134 +tp2135 +a(g693 +g1181 +tp2136 +a(g826 +g958 +tp2137 +a(g400 +g1243 +tp2138 +a(g400 +g1243 +tp2139 +a(g826 +g958 +tp2140 +a(g400 +g1161 +tp2141 +a(g22 +g1554 +tp2142 +a(g826 +g958 +tp2143 +a(g400 +g1729 +tp2144 +a(g400 +g1729 +tp2145 +a(g826 +V\u000a +p2146 +tp2147 +a(g826 +V +p2148 +tp2149 +a(g423 +Vsys +p2150 +tp2151 +a(g400 +g1161 +tp2152 +a(g400 +g1163 +tp2153 +a(g423 +Vbind +p2154 +tp2155 +a(g693 +g1150 +tp2156 +a(g76 +g969 +tp2157 +a(g76 +V#s +p2158 +tp2159 +a(g76 +g969 +tp2160 +a(g693 +g1012 +tp2161 +a(g826 +g958 +tp2162 +a(g423 +Vld +p2163 +tp2164 +a(g826 +g958 +tp2165 +a(g400 +g1243 +tp2166 +a(g826 +g958 +tp2167 +a(g76 +g969 +tp2168 +a(g76 +V/n/local +p2169 +tp2170 +a(g76 +g969 +tp2171 +a(g693 +g1012 +tp2172 +a(g826 +g958 +tp2173 +a(g423 +VSys +p2174 +tp2175 +a(g400 +g1161 +tp2176 +a(g400 +g1163 +tp2177 +a(g423 +VMREPL +p2178 +tp2179 +a(g693 +g1181 +tp2180 +a(g826 +g958 +tp2181 +a(g400 +g1243 +tp2182 +a(g400 +g1243 +tp2183 +a(g826 +g958 +tp2184 +a(g400 +g1161 +tp2185 +a(g22 +g1554 +tp2186 +a(g693 +g1181 +tp2187 +a(g693 +g1139 +tp2188 +a(g826 +V\u000a +p2189 +tp2190 +a(g826 +V +p2191 +tp2192 +a(g423 +Vsys +p2193 +tp2194 +a(g400 +g1161 +tp2195 +a(g400 +g1163 +tp2196 +a(g423 +Vfprint +p2197 +tp2198 +a(g693 +g1150 +tp2199 +a(g423 +Vsys +p2200 +tp2201 +a(g400 +g1161 +tp2202 +a(g400 +g1163 +tp2203 +a(g423 +Vfildes +p2204 +tp2205 +a(g693 +g1150 +tp2206 +a(g22 +g1860 +tp2207 +a(g693 +g1181 +tp2208 +a(g693 +g1012 +tp2209 +a(g826 +g958 +tp2210 +a(g76 +g969 +tp2211 +a(g76 +V9win: cannot bind files: %r +p2212 +tp2213 +a(g200 +V\u005cn +p2214 +tp2215 +a(g76 +g969 +tp2216 +a(g693 +g1181 +tp2217 +a(g693 +g962 +tp2218 +a(g826 +V\u000a +p2219 +tp2220 +a(g826 +V +p2221 +tp2222 +a(g423 +Vraise +p2223 +tp2224 +a(g826 +g958 +tp2225 +a(g76 +g969 +tp2226 +a(g76 +Vfail:error +p2227 +tp2228 +a(g76 +g969 +tp2229 +a(g693 +g962 +tp2230 +a(g826 +V\u000a +p2231 +tp2232 +a(g826 +g977 +tp2233 +a(g693 +g1186 +tp2234 +a(g826 +V\u000a +p2235 +tp2236 +a(g826 +g977 +tp2237 +a(g423 +g1939 +tp2238 +a(g693 +g1572 +tp2239 +a(g423 +Vstartinput +p2240 +tp2241 +a(g693 +g1150 +tp2242 +a(g76 +g969 +tp2243 +a(g76 +Vkbd +p2244 +tp2245 +a(g76 +g969 +tp2246 +a(g826 +g958 +tp2247 +a(g400 +g981 +tp2248 +a(g400 +g981 +tp2249 +a(g826 +g958 +tp2250 +a(g76 +g969 +tp2251 +a(g76 +Vptr +p2252 +tp2253 +a(g76 +g969 +tp2254 +a(g826 +g958 +tp2255 +a(g400 +g981 +tp2256 +a(g400 +g981 +tp2257 +a(g826 +g958 +tp2258 +a(g896 +Vnil +p2259 +tp2260 +a(g693 +g1181 +tp2261 +a(g693 +g962 +tp2262 +a(g826 +V\u000a +p2263 +tp2264 +a(g826 +g977 +tp2265 +a(g7 +Vspawn +p2266 +tp2267 +a(g826 +g958 +tp2268 +a(g423 +Vptrproc +p2269 +tp2270 +a(g693 +g1150 +tp2271 +a(g423 +Vrq +p2272 +tp2273 +a(g826 +g958 +tp2274 +a(g400 +g981 +tp2275 +a(g400 +g1243 +tp2276 +a(g826 +g958 +tp2277 +a(g881 +Vchan +p2278 +tp2279 +a(g826 +g958 +tp2280 +a(g881 +Vof +p2281 +tp2282 +a(g826 +g958 +tp2283 +a(g423 +VSys +p2284 +tp2285 +a(g400 +g1161 +tp2286 +a(g400 +g1163 +tp2287 +a(g423 +VRread +p2288 +tp2289 +a(g693 +g1012 +tp2290 +a(g826 +g958 +tp2291 +a(g423 +Vptr +p2292 +tp2293 +a(g826 +g958 +tp2294 +a(g400 +g981 +tp2295 +a(g400 +g1243 +tp2296 +a(g826 +g958 +tp2297 +a(g881 +Vchan +p2298 +tp2299 +a(g693 +V[ +p2300 +tp2301 +a(g22 +V10 +p2302 +tp2303 +a(g693 +V] +p2304 +tp2305 +a(g826 +g958 +tp2306 +a(g881 +Vof +p2307 +tp2308 +a(g826 +g958 +tp2309 +a(g881 +Vref +p2310 +tp2311 +a(g826 +g958 +tp2312 +a(g423 +VPointer +p2313 +tp2314 +a(g693 +g1012 +tp2315 +a(g826 +g958 +tp2316 +a(g423 +Vreshape +p2317 +tp2318 +a(g826 +g958 +tp2319 +a(g400 +g981 +tp2320 +a(g400 +g1243 +tp2321 +a(g826 +g958 +tp2322 +a(g881 +Vchan +p2323 +tp2324 +a(g693 +g2300 +tp2325 +a(g22 +g1554 +tp2326 +a(g693 +g2304 +tp2327 +a(g826 +g958 +tp2328 +a(g881 +Vof +p2329 +tp2330 +a(g826 +g958 +tp2331 +a(g881 +Vint +p2332 +tp2333 +a(g693 +g1181 +tp2334 +a(g693 +g962 +tp2335 +a(g826 +V\u000a +p2336 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g826 +V \u000a +p2340 +tp2341 +a(g423 +Vfwinname +p2342 +tp2343 +a(g826 +g958 +tp2344 +a(g400 +g981 +tp2345 +a(g400 +g1243 +tp2346 +a(g826 +g958 +tp2347 +a(g423 +Vsys +p2348 +tp2349 +a(g400 +g1161 +tp2350 +a(g400 +g1163 +tp2351 +a(g423 +Vfile2chan +p2352 +tp2353 +a(g693 +g1150 +tp2354 +a(g423 +Vld +p2355 +tp2356 +a(g693 +g1012 +tp2357 +a(g826 +g958 +tp2358 +a(g76 +g969 +tp2359 +a(g76 +Vwinname +p2360 +tp2361 +a(g76 +g969 +tp2362 +a(g693 +g1181 +tp2363 +a(g693 +g962 +tp2364 +a(g826 +V\u000a +p2365 +tp2366 +a(g826 +g977 +tp2367 +a(g423 +Vfconsctl +p2368 +tp2369 +a(g826 +g958 +tp2370 +a(g400 +g981 +tp2371 +a(g400 +g1243 +tp2372 +a(g826 +g958 +tp2373 +a(g423 +Vsys +p2374 +tp2375 +a(g400 +g1161 +tp2376 +a(g400 +g1163 +tp2377 +a(g423 +Vfile2chan +p2378 +tp2379 +a(g693 +g1150 +tp2380 +a(g423 +Vld +p2381 +tp2382 +a(g693 +g1012 +tp2383 +a(g826 +g958 +tp2384 +a(g76 +g969 +tp2385 +a(g76 +Vconsctl +p2386 +tp2387 +a(g76 +g969 +tp2388 +a(g693 +g1181 +tp2389 +a(g693 +g962 +tp2390 +a(g826 +V\u000a +p2391 +tp2392 +a(g826 +g977 +tp2393 +a(g423 +Vfcons +p2394 +tp2395 +a(g826 +g958 +tp2396 +a(g400 +g981 +tp2397 +a(g400 +g1243 +tp2398 +a(g826 +g958 +tp2399 +a(g423 +Vsys +p2400 +tp2401 +a(g400 +g1161 +tp2402 +a(g400 +g1163 +tp2403 +a(g423 +Vfile2chan +p2404 +tp2405 +a(g693 +g1150 +tp2406 +a(g423 +Vld +p2407 +tp2408 +a(g693 +g1012 +tp2409 +a(g826 +g958 +tp2410 +a(g76 +g969 +tp2411 +a(g76 +Vcons +p2412 +tp2413 +a(g76 +g969 +tp2414 +a(g693 +g1181 +tp2415 +a(g693 +g962 +tp2416 +a(g826 +V\u000a +p2417 +tp2418 +a(g826 +g977 +tp2419 +a(g423 +Vfmouse +p2420 +tp2421 +a(g826 +g958 +tp2422 +a(g400 +g981 +tp2423 +a(g400 +g1243 +tp2424 +a(g826 +g958 +tp2425 +a(g423 +Vsys +p2426 +tp2427 +a(g400 +g1161 +tp2428 +a(g400 +g1163 +tp2429 +a(g423 +Vfile2chan +p2430 +tp2431 +a(g693 +g1150 +tp2432 +a(g423 +Vld +p2433 +tp2434 +a(g693 +g1012 +tp2435 +a(g826 +g958 +tp2436 +a(g76 +g969 +tp2437 +a(g76 +Vmouse +p2438 +tp2439 +a(g76 +g969 +tp2440 +a(g693 +g1181 +tp2441 +a(g693 +g962 +tp2442 +a(g826 +V\u000a +p2443 +tp2444 +a(g826 +g977 +tp2445 +a(g423 +Vfcursor +p2446 +tp2447 +a(g826 +g958 +tp2448 +a(g400 +g981 +tp2449 +a(g400 +g1243 +tp2450 +a(g826 +g958 +tp2451 +a(g423 +Vsys +p2452 +tp2453 +a(g400 +g1161 +tp2454 +a(g400 +g1163 +tp2455 +a(g423 +Vfile2chan +p2456 +tp2457 +a(g693 +g1150 +tp2458 +a(g423 +Vld +p2459 +tp2460 +a(g693 +g1012 +tp2461 +a(g826 +g958 +tp2462 +a(g76 +g969 +tp2463 +a(g76 +Vcursor +p2464 +tp2465 +a(g76 +g969 +tp2466 +a(g693 +g1181 +tp2467 +a(g693 +g962 +tp2468 +a(g826 +V\u000a +p2469 +tp2470 +a(g826 +g977 +tp2471 +a(g7 +Vif +p2472 +tp2473 +a(g693 +g1150 +tp2474 +a(g400 +g1518 +tp2475 +a(g423 +Vexportonly +p2476 +tp2477 +a(g693 +g1181 +tp2478 +a(g693 +g1139 +tp2479 +a(g826 +V\u000a +p2480 +tp2481 +a(g826 +V +p2482 +tp2483 +a(g7 +Vspawn +p2484 +tp2485 +a(g826 +g958 +tp2486 +a(g423 +Vrun +p2487 +tp2488 +a(g693 +g1150 +tp2489 +a(g423 +Vsync +p2490 +tp2491 +a(g826 +g958 +tp2492 +a(g400 +g981 +tp2493 +a(g400 +g1243 +tp2494 +a(g826 +g958 +tp2495 +a(g881 +Vchan +p2496 +tp2497 +a(g826 +g958 +tp2498 +a(g881 +Vof +p2499 +tp2500 +a(g826 +g958 +tp2501 +a(g881 +Vstring +p2502 +tp2503 +a(g693 +g1012 +tp2504 +a(g826 +g958 +tp2505 +a(g423 +g1939 +tp2506 +a(g693 +g1572 +tp2507 +a(g423 +Vctl +p2508 +tp2509 +a(g693 +g1012 +tp2510 +a(g826 +g958 +tp2511 +a(g423 +Vld +p2512 +tp2513 +a(g693 +g1012 +tp2514 +a(g826 +g958 +tp2515 +a(g423 +Vargv +p2516 +tp2517 +a(g693 +g1181 +tp2518 +a(g693 +g962 +tp2519 +a(g826 +V\u000a +p2520 +tp2521 +a(g826 +V +p2522 +tp2523 +a(g7 +Vif +p2524 +tp2525 +a(g693 +g1150 +tp2526 +a(g693 +g1150 +tp2527 +a(g423 +Ve +p2528 +tp2529 +a(g826 +g958 +tp2530 +a(g400 +g981 +tp2531 +a(g400 +g1243 +tp2532 +a(g826 +g958 +tp2533 +a(g400 +g1666 +tp2534 +a(g400 +g1161 +tp2535 +a(g423 +Vsync +p2536 +tp2537 +a(g693 +g1181 +tp2538 +a(g826 +g958 +tp2539 +a(g400 +g1518 +tp2540 +a(g400 +g1243 +tp2541 +a(g826 +g958 +tp2542 +a(g896 +Vnil +p2543 +tp2544 +a(g693 +g1181 +tp2545 +a(g693 +g1139 +tp2546 +a(g826 +V\u000a +p2547 +tp2548 +a(g826 +V +p2549 +tp2550 +a(g423 +Vsys +p2551 +tp2552 +a(g400 +g1161 +tp2553 +a(g400 +g1163 +tp2554 +a(g423 +Vfprint +p2555 +tp2556 +a(g693 +g1150 +tp2557 +a(g423 +Vsys +p2558 +tp2559 +a(g400 +g1161 +tp2560 +a(g400 +g1163 +tp2561 +a(g423 +Vfildes +p2562 +tp2563 +a(g693 +g1150 +tp2564 +a(g22 +g1860 +tp2565 +a(g693 +g1181 +tp2566 +a(g693 +g1012 +tp2567 +a(g826 +g958 +tp2568 +a(g76 +g969 +tp2569 +a(g76 +V9win: %s +p2570 +tp2571 +a(g76 +g969 +tp2572 +a(g693 +g1012 +tp2573 +a(g826 +g958 +tp2574 +a(g423 +g2528 +tp2575 +a(g693 +g1181 +tp2576 +a(g693 +g962 +tp2577 +a(g826 +V\u000a +p2578 +tp2579 +a(g826 +V +p2580 +tp2581 +a(g423 +Vraise +p2582 +tp2583 +a(g826 +g958 +tp2584 +a(g76 +g969 +tp2585 +a(g76 +Vfail:error +p2586 +tp2587 +a(g76 +g969 +tp2588 +a(g693 +g962 +tp2589 +a(g826 +V\u000a +p2590 +tp2591 +a(g826 +V +p2592 +tp2593 +a(g693 +g1186 +tp2594 +a(g826 +V\u000a +p2595 +tp2596 +a(g826 +g977 +tp2597 +a(g693 +g1186 +tp2598 +a(g826 +V\u000a +p2599 +tp2600 +a(g826 +g977 +tp2601 +a(g7 +Vspawn +p2602 +tp2603 +a(g826 +g958 +tp2604 +a(g423 +Vserveproc +p2605 +tp2606 +a(g693 +g1150 +tp2607 +a(g423 +g1939 +tp2608 +a(g693 +g1012 +tp2609 +a(g826 +g958 +tp2610 +a(g423 +Vrq +p2611 +tp2612 +a(g693 +g1012 +tp2613 +a(g826 +g958 +tp2614 +a(g423 +Vfwinname +p2615 +tp2616 +a(g693 +g1012 +tp2617 +a(g826 +g958 +tp2618 +a(g423 +Vfconsctl +p2619 +tp2620 +a(g693 +g1012 +tp2621 +a(g826 +g958 +tp2622 +a(g423 +Vfcons +p2623 +tp2624 +a(g693 +g1012 +tp2625 +a(g826 +g958 +tp2626 +a(g423 +Vfmouse +p2627 +tp2628 +a(g693 +g1012 +tp2629 +a(g826 +g958 +tp2630 +a(g423 +Vfcursor +p2631 +tp2632 +a(g693 +g1181 +tp2633 +a(g693 +g962 +tp2634 +a(g826 +V\u000a +p2635 +tp2636 +a(g826 +g977 +tp2637 +a(g7 +Vif +p2638 +tp2639 +a(g693 +g1150 +tp2640 +a(g400 +g1518 +tp2641 +a(g423 +Vexportonly +p2642 +tp2643 +a(g693 +g1181 +tp2644 +a(g693 +g1139 +tp2645 +a(g826 +V\u000a +p2646 +tp2647 +a(g826 +V +p2648 +tp2649 +a(g745 +V# handle events synchronously so that we don't get a "killed" message\u000a +p2650 +tp2651 +a(g826 +V +p2652 +tp2653 +a(g745 +V# from the shell.\u000a +p2654 +tp2655 +a(g826 +V +p2656 +tp2657 +a(g423 +Vhandleevents +p2658 +tp2659 +a(g693 +g1150 +tp2660 +a(g423 +g1939 +tp2661 +a(g693 +g1012 +tp2662 +a(g826 +g958 +tp2663 +a(g423 +Vptr +p2664 +tp2665 +a(g693 +g1012 +tp2666 +a(g826 +g958 +tp2667 +a(g423 +Vreshape +p2668 +tp2669 +a(g693 +g1181 +tp2670 +a(g693 +g962 +tp2671 +a(g826 +V\u000a +p2672 +tp2673 +a(g826 +g977 +tp2674 +a(g693 +g1186 +tp2675 +a(g7 +Velse +p2676 +tp2677 +a(g693 +g1139 +tp2678 +a(g826 +V\u000a +p2679 +tp2680 +a(g826 +V +p2681 +tp2682 +a(g7 +Vspawn +p2683 +tp2684 +a(g826 +g958 +tp2685 +a(g423 +Vhandleevents +p2686 +tp2687 +a(g693 +g1150 +tp2688 +a(g423 +g1939 +tp2689 +a(g693 +g1012 +tp2690 +a(g826 +g958 +tp2691 +a(g423 +Vptr +p2692 +tp2693 +a(g693 +g1012 +tp2694 +a(g826 +g958 +tp2695 +a(g423 +Vreshape +p2696 +tp2697 +a(g693 +g1181 +tp2698 +a(g693 +g962 +tp2699 +a(g826 +V\u000a +p2700 +tp2701 +a(g826 +V +p2702 +tp2703 +a(g423 +Vsys +p2704 +tp2705 +a(g400 +g1161 +tp2706 +a(g400 +g1163 +tp2707 +a(g423 +Vbind +p2708 +tp2709 +a(g693 +g1150 +tp2710 +a(g423 +Vld +p2711 +tp2712 +a(g693 +g1012 +tp2713 +a(g826 +g958 +tp2714 +a(g76 +g969 +tp2715 +a(g76 +V/dev +p2716 +tp2717 +a(g76 +g969 +tp2718 +a(g693 +g1012 +tp2719 +a(g826 +g958 +tp2720 +a(g423 +VSys +p2721 +tp2722 +a(g400 +g1161 +tp2723 +a(g400 +g1163 +tp2724 +a(g423 +VMBEFORE +p2725 +tp2726 +a(g693 +g1181 +tp2727 +a(g693 +g962 +tp2728 +a(g826 +V\u000a +p2729 +tp2730 +a(g826 +V +p2731 +tp2732 +a(g423 +Vexport +p2733 +tp2734 +a(g693 +g1150 +tp2735 +a(g423 +Vsys +p2736 +tp2737 +a(g400 +g1161 +tp2738 +a(g400 +g1163 +tp2739 +a(g423 +Vfildes +p2740 +tp2741 +a(g693 +g1150 +tp2742 +a(g22 +g1490 +tp2743 +a(g693 +g1181 +tp2744 +a(g693 +g1012 +tp2745 +a(g826 +g958 +tp2746 +a(g423 +g1939 +tp2747 +a(g693 +g1572 +tp2748 +a(g423 +Vctl +p2749 +tp2750 +a(g693 +g1181 +tp2751 +a(g693 +g962 +tp2752 +a(g826 +V\u000a +p2753 +tp2754 +a(g826 +g977 +tp2755 +a(g693 +g1186 +tp2756 +a(g826 +V\u000a +p2757 +tp2758 +a(g693 +g1186 +tp2759 +a(g826 +V\u000a +p2760 +tp2761 +a(g826 +V\u000a +p2762 +tp2763 +a(g423 +Vhandleevents +p2764 +tp2765 +a(g693 +g1150 +tp2766 +a(g423 +g1939 +tp2767 +a(g400 +g981 +tp2768 +a(g826 +g958 +tp2769 +a(g881 +Vref +p2770 +tp2771 +a(g826 +g958 +tp2772 +a(g423 +VWindow +p2773 +tp2774 +a(g693 +g1012 +tp2775 +a(g826 +g958 +tp2776 +a(g423 +Vptr +p2777 +tp2778 +a(g400 +g981 +tp2779 +a(g826 +g958 +tp2780 +a(g881 +Vchan +p2781 +tp2782 +a(g826 +g958 +tp2783 +a(g881 +Vof +p2784 +tp2785 +a(g826 +g958 +tp2786 +a(g881 +Vref +p2787 +tp2788 +a(g826 +g958 +tp2789 +a(g423 +VPointer +p2790 +tp2791 +a(g693 +g1012 +tp2792 +a(g826 +g958 +tp2793 +a(g423 +Vreshape +p2794 +tp2795 +a(g400 +g981 +tp2796 +a(g826 +g958 +tp2797 +a(g881 +Vchan +p2798 +tp2799 +a(g826 +g958 +tp2800 +a(g881 +Vof +p2801 +tp2802 +a(g826 +g958 +tp2803 +a(g881 +Vint +p2804 +tp2805 +a(g693 +g1181 +tp2806 +a(g826 +V\u000a +p2807 +tp2808 +a(g693 +g1139 +tp2809 +a(g826 +V\u000a +p2810 +tp2811 +a(g826 +g977 +tp2812 +a(g423 +Vfor +p2813 +tp2814 +a(g693 +g1150 +tp2815 +a(g693 +g962 +tp2816 +a(g693 +g962 +tp2817 +a(g693 +g1181 +tp2818 +a(g7 +Valt +p2819 +tp2820 +a(g693 +g1139 +tp2821 +a(g826 +V\u000a +p2822 +tp2823 +a(g826 +g977 +tp2824 +a(g423 +Vc +p2825 +tp2826 +a(g826 +g958 +tp2827 +a(g400 +g981 +tp2828 +a(g400 +g1243 +tp2829 +a(g826 +g958 +tp2830 +a(g400 +g1666 +tp2831 +a(g400 +g1161 +tp2832 +a(g423 +g1939 +tp2833 +a(g693 +g1572 +tp2834 +a(g423 +Vctxt +p2835 +tp2836 +a(g693 +g1572 +tp2837 +a(g423 +Vctl +p2838 +tp2839 +a(g826 +g958 +tp2840 +a(g423 +Vor +p2841 +tp2842 +a(g826 +V\u000a +p2843 +tp2844 +a(g826 +g977 +tp2845 +a(g423 +g2825 +tp2846 +a(g826 +g958 +tp2847 +a(g400 +g1243 +tp2848 +a(g826 +g958 +tp2849 +a(g400 +g1666 +tp2850 +a(g400 +g1161 +tp2851 +a(g423 +g1939 +tp2852 +a(g693 +g1572 +tp2853 +a(g423 +Vctl +p2854 +tp2855 +a(g826 +g958 +tp2856 +a(g400 +g1243 +tp2857 +a(g400 +g1163 +tp2858 +a(g826 +V\u000a +p2859 +tp2860 +a(g826 +V +p2861 +tp2862 +a(g423 +g2528 +tp2863 +a(g826 +g958 +tp2864 +a(g400 +g981 +tp2865 +a(g400 +g1243 +tp2866 +a(g826 +g958 +tp2867 +a(g423 +g1939 +tp2868 +a(g693 +g1572 +tp2869 +a(g423 +Vwmctl +p2870 +tp2871 +a(g693 +g1150 +tp2872 +a(g423 +g2825 +tp2873 +a(g693 +g1181 +tp2874 +a(g693 +g962 +tp2875 +a(g826 +V\u000a +p2876 +tp2877 +a(g826 +V +p2878 +tp2879 +a(g7 +Vif +p2880 +tp2881 +a(g693 +g1150 +tp2882 +a(g423 +g2528 +tp2883 +a(g826 +g958 +tp2884 +a(g400 +g1518 +tp2885 +a(g400 +g1243 +tp2886 +a(g826 +g958 +tp2887 +a(g896 +Vnil +p2888 +tp2889 +a(g693 +g1181 +tp2890 +a(g826 +V\u000a +p2891 +tp2892 +a(g826 +V +p2893 +tp2894 +a(g423 +Vsys +p2895 +tp2896 +a(g400 +g1161 +tp2897 +a(g400 +g1163 +tp2898 +a(g423 +Vfprint +p2899 +tp2900 +a(g693 +g1150 +tp2901 +a(g423 +Vsys +p2902 +tp2903 +a(g400 +g1161 +tp2904 +a(g400 +g1163 +tp2905 +a(g423 +Vfildes +p2906 +tp2907 +a(g693 +g1150 +tp2908 +a(g22 +g1860 +tp2909 +a(g693 +g1181 +tp2910 +a(g693 +g1012 +tp2911 +a(g826 +g958 +tp2912 +a(g76 +g969 +tp2913 +a(g76 +V9win: ctl error: %s +p2914 +tp2915 +a(g200 +V\u005cn +p2916 +tp2917 +a(g76 +g969 +tp2918 +a(g693 +g1012 +tp2919 +a(g826 +g958 +tp2920 +a(g423 +g2528 +tp2921 +a(g693 +g1181 +tp2922 +a(g693 +g962 +tp2923 +a(g826 +V\u000a +p2924 +tp2925 +a(g826 +V +p2926 +tp2927 +a(g7 +Vif +p2928 +tp2929 +a(g693 +g1150 +tp2930 +a(g423 +g2528 +tp2931 +a(g826 +g958 +tp2932 +a(g400 +g1243 +tp2933 +a(g400 +g1243 +tp2934 +a(g826 +g958 +tp2935 +a(g896 +Vnil +p2936 +tp2937 +a(g826 +g958 +tp2938 +a(g400 +g1729 +tp2939 +a(g400 +g1729 +tp2940 +a(g826 +g958 +tp2941 +a(g423 +g2825 +tp2942 +a(g826 +g958 +tp2943 +a(g400 +g1518 +tp2944 +a(g400 +g1243 +tp2945 +a(g826 +g958 +tp2946 +a(g896 +Vnil +p2947 +tp2948 +a(g826 +g958 +tp2949 +a(g400 +g1729 +tp2950 +a(g400 +g1729 +tp2951 +a(g826 +g958 +tp2952 +a(g423 +g2825 +tp2953 +a(g693 +g2300 +tp2954 +a(g22 +g1490 +tp2955 +a(g693 +g2304 +tp2956 +a(g826 +g958 +tp2957 +a(g400 +g1243 +tp2958 +a(g400 +g1243 +tp2959 +a(g826 +g958 +tp2960 +a(g80 +V'!' +p2961 +tp2962 +a(g693 +g1181 +tp2963 +a(g693 +g1139 +tp2964 +a(g826 +V\u000a +p2965 +tp2966 +a(g826 +V +p2967 +tp2968 +a(g7 +Valt +p2969 +tp2970 +a(g693 +g1139 +tp2971 +a(g826 +V\u000a +p2972 +tp2973 +a(g826 +V +p2974 +tp2975 +a(g423 +Vreshape +p2976 +tp2977 +a(g826 +g958 +tp2978 +a(g400 +g1666 +tp2979 +a(g400 +g1161 +tp2980 +a(g400 +g1243 +tp2981 +a(g826 +g958 +tp2982 +a(g22 +g1554 +tp2983 +a(g826 +g958 +tp2984 +a(g400 +g1243 +tp2985 +a(g400 +g1163 +tp2986 +a(g826 +V\u000a +p2987 +tp2988 +a(g826 +V +p2989 +tp2990 +a(g693 +g962 +tp2991 +a(g826 +V\u000a +p2992 +tp2993 +a(g826 +V +p2994 +tp2995 +a(g400 +g1628 +tp2996 +a(g826 +g958 +tp2997 +a(g400 +g1243 +tp2998 +a(g400 +g1163 +tp2999 +a(g826 +V\u000a +p3000 +tp3001 +a(g826 +V +p3002 +tp3003 +a(g693 +g962 +tp3004 +a(g826 +V\u000a +p3005 +tp3006 +a(g826 +V +p3007 +tp3008 +a(g693 +g1186 +tp3009 +a(g826 +V\u000a +p3010 +tp3011 +a(g826 +V +p3012 +tp3013 +a(g423 +Vwinname +p3014 +tp3015 +a(g826 +g958 +tp3016 +a(g400 +g1243 +tp3017 +a(g826 +g958 +tp3018 +a(g896 +Vnil +p3019 +tp3020 +a(g693 +g962 +tp3021 +a(g826 +V\u000a +p3022 +tp3023 +a(g826 +V +p3024 +tp3025 +a(g693 +g1186 +tp3026 +a(g826 +V\u000a +p3027 +tp3028 +a(g826 +g977 +tp3029 +a(g423 +Vp +p3030 +tp3031 +a(g826 +g958 +tp3032 +a(g400 +g981 +tp3033 +a(g400 +g1243 +tp3034 +a(g826 +g958 +tp3035 +a(g400 +g1666 +tp3036 +a(g400 +g1161 +tp3037 +a(g423 +g1939 +tp3038 +a(g693 +g1572 +tp3039 +a(g423 +Vctxt +p3040 +tp3041 +a(g693 +g1572 +tp3042 +a(g423 +Vptr +p3043 +tp3044 +a(g826 +g958 +tp3045 +a(g400 +g1243 +tp3046 +a(g400 +g1163 +tp3047 +a(g826 +V\u000a +p3048 +tp3049 +a(g826 +V +p3050 +tp3051 +a(g7 +Vif +p3052 +tp3053 +a(g693 +g1150 +tp3054 +a(g423 +g1939 +tp3055 +a(g693 +g1572 +tp3056 +a(g423 +Vpointer +p3057 +tp3058 +a(g693 +g1150 +tp3059 +a(g400 +g1628 +tp3060 +a(g423 +g3030 +tp3061 +a(g693 +g1181 +tp3062 +a(g826 +g958 +tp3063 +a(g400 +g1243 +tp3064 +a(g400 +g1243 +tp3065 +a(g826 +g958 +tp3066 +a(g22 +g1490 +tp3067 +a(g693 +g1181 +tp3068 +a(g693 +g1139 +tp3069 +a(g826 +V\u000a +p3070 +tp3071 +a(g826 +V +p3072 +tp3073 +a(g745 +V# XXX would block here if client isn't reading mouse... but we do want to\u000a +p3074 +tp3075 +a(g826 +V +p3076 +tp3077 +a(g745 +V# extert back-pressure, which conflicts.\u000a +p3078 +tp3079 +a(g826 +V +p3080 +tp3081 +a(g7 +Valt +p3082 +tp3083 +a(g693 +g1139 +tp3084 +a(g826 +V\u000a +p3085 +tp3086 +a(g826 +V +p3087 +tp3088 +a(g423 +Vptr +p3089 +tp3090 +a(g826 +g958 +tp3091 +a(g400 +g1666 +tp3092 +a(g400 +g1161 +tp3093 +a(g400 +g1243 +tp3094 +a(g826 +g958 +tp3095 +a(g423 +g3030 +tp3096 +a(g826 +g958 +tp3097 +a(g400 +g1243 +tp3098 +a(g400 +g1163 +tp3099 +a(g826 +V\u000a +p3100 +tp3101 +a(g826 +V +p3102 +tp3103 +a(g693 +g962 +tp3104 +a(g826 +V\u000a +p3105 +tp3106 +a(g826 +V +p3107 +tp3108 +a(g400 +g1628 +tp3109 +a(g826 +g958 +tp3110 +a(g400 +g1243 +tp3111 +a(g400 +g1163 +tp3112 +a(g826 +V\u000a +p3113 +tp3114 +a(g826 +V +p3115 +tp3116 +a(g693 +g962 +tp3117 +a(g826 +g958 +tp3118 +a(g745 +V# sys->fprint(sys->fildes(2), "9win: discarding mouse event\u005cn");\u000a +p3119 +tp3120 +a(g826 +V +p3121 +tp3122 +a(g693 +g1186 +tp3123 +a(g826 +V\u000a +p3124 +tp3125 +a(g826 +V +p3126 +tp3127 +a(g693 +g1186 +tp3128 +a(g826 +V\u000a +p3129 +tp3130 +a(g826 +g977 +tp3131 +a(g693 +g1186 +tp3132 +a(g826 +V\u000a +p3133 +tp3134 +a(g693 +g1186 +tp3135 +a(g826 +V\u000a +p3136 +tp3137 +a(g826 +V\u000a +p3138 +tp3139 +a(g423 +Vserveproc +p3140 +tp3141 +a(g693 +g1150 +tp3142 +a(g423 +g1939 +tp3143 +a(g400 +g981 +tp3144 +a(g826 +g958 +tp3145 +a(g881 +Vref +p3146 +tp3147 +a(g826 +g958 +tp3148 +a(g423 +VWindow +p3149 +tp3150 +a(g693 +g1012 +tp3151 +a(g826 +g958 +tp3152 +a(g423 +Vmouserq +p3153 +tp3154 +a(g400 +g981 +tp3155 +a(g826 +g958 +tp3156 +a(g881 +Vchan +p3157 +tp3158 +a(g826 +g958 +tp3159 +a(g881 +Vof +p3160 +tp3161 +a(g826 +g958 +tp3162 +a(g423 +VSys +p3163 +tp3164 +a(g400 +g1161 +tp3165 +a(g400 +g1163 +tp3166 +a(g423 +VRread +p3167 +tp3168 +a(g693 +g1012 +tp3169 +a(g826 +g958 +tp3170 +a(g423 +Vfwinname +p3171 +tp3172 +a(g693 +g1012 +tp3173 +a(g826 +g958 +tp3174 +a(g423 +Vfconsctl +p3175 +tp3176 +a(g693 +g1012 +tp3177 +a(g826 +g958 +tp3178 +a(g423 +Vfcons +p3179 +tp3180 +a(g693 +g1012 +tp3181 +a(g826 +g958 +tp3182 +a(g423 +Vfmouse +p3183 +tp3184 +a(g693 +g1012 +tp3185 +a(g826 +g958 +tp3186 +a(g423 +Vfcursor +p3187 +tp3188 +a(g400 +g981 +tp3189 +a(g826 +g958 +tp3190 +a(g881 +Vref +p3191 +tp3192 +a(g826 +g958 +tp3193 +a(g423 +VSys +p3194 +tp3195 +a(g400 +g1161 +tp3196 +a(g400 +g1163 +tp3197 +a(g423 +VFileIO +p3198 +tp3199 +a(g693 +g1181 +tp3200 +a(g826 +V\u000a +p3201 +tp3202 +a(g693 +g1139 +tp3203 +a(g826 +V\u000a +p3204 +tp3205 +a(g826 +g977 +tp3206 +a(g423 +Vwinid +p3207 +tp3208 +a(g826 +g958 +tp3209 +a(g400 +g981 +tp3210 +a(g400 +g1243 +tp3211 +a(g826 +g958 +tp3212 +a(g22 +g1490 +tp3213 +a(g693 +g962 +tp3214 +a(g826 +V\u000a +p3215 +tp3216 +a(g826 +g977 +tp3217 +a(g423 +Vkrc +p3218 +tp3219 +a(g400 +g981 +tp3220 +a(g826 +g958 +tp3221 +a(g881 +Vlist +p3222 +tp3223 +a(g826 +g958 +tp3224 +a(g881 +Vof +p3225 +tp3226 +a(g826 +g958 +tp3227 +a(g423 +VSys +p3228 +tp3229 +a(g400 +g1161 +tp3230 +a(g400 +g1163 +tp3231 +a(g423 +VRread +p3232 +tp3233 +a(g693 +g962 +tp3234 +a(g826 +V\u000a +p3235 +tp3236 +a(g826 +g977 +tp3237 +a(g423 +Vks +p3238 +tp3239 +a(g400 +g981 +tp3240 +a(g826 +g958 +tp3241 +a(g881 +Vstring +p3242 +tp3243 +a(g693 +g962 +tp3244 +a(g826 +V\u000a +p3245 +tp3246 +a(g826 +V\u000a +p3247 +tp3248 +a(g826 +g977 +tp3249 +a(g423 +Vfor +p3250 +tp3251 +a(g693 +g1150 +tp3252 +a(g693 +g962 +tp3253 +a(g693 +g962 +tp3254 +a(g693 +g1181 +tp3255 +a(g7 +Valt +p3256 +tp3257 +a(g826 +g958 +tp3258 +a(g693 +g1139 +tp3259 +a(g826 +V\u000a +p3260 +tp3261 +a(g826 +g977 +tp3262 +a(g423 +g2825 +tp3263 +a(g826 +g958 +tp3264 +a(g400 +g981 +tp3265 +a(g400 +g1243 +tp3266 +a(g826 +g958 +tp3267 +a(g400 +g1666 +tp3268 +a(g400 +g1161 +tp3269 +a(g423 +g1939 +tp3270 +a(g693 +g1572 +tp3271 +a(g423 +Vctxt +p3272 +tp3273 +a(g693 +g1572 +tp3274 +a(g423 +Vkbd +p3275 +tp3276 +a(g826 +g958 +tp3277 +a(g400 +g1243 +tp3278 +a(g400 +g1163 +tp3279 +a(g826 +V\u000a +p3280 +tp3281 +a(g826 +V +p3282 +tp3283 +a(g423 +Vks +p3284 +tp3285 +a(g693 +g2300 +tp3286 +a(g7 +Vlen +p3287 +tp3288 +a(g826 +g958 +tp3289 +a(g423 +Vks +p3290 +tp3291 +a(g693 +g2304 +tp3292 +a(g826 +g958 +tp3293 +a(g400 +g1243 +tp3294 +a(g826 +g958 +tp3295 +a(g423 +Vinf2p9key +p3296 +tp3297 +a(g693 +g1150 +tp3298 +a(g423 +g2825 +tp3299 +a(g693 +g1181 +tp3300 +a(g693 +g962 +tp3301 +a(g826 +V\u000a +p3302 +tp3303 +a(g826 +V +p3304 +tp3305 +a(g7 +Vif +p3306 +tp3307 +a(g693 +g1150 +tp3308 +a(g423 +Vkrc +p3309 +tp3310 +a(g826 +g958 +tp3311 +a(g400 +g1518 +tp3312 +a(g400 +g1243 +tp3313 +a(g826 +g958 +tp3314 +a(g896 +Vnil +p3315 +tp3316 +a(g693 +g1181 +tp3317 +a(g693 +g1139 +tp3318 +a(g826 +V\u000a +p3319 +tp3320 +a(g826 +V +p3321 +tp3322 +a(g7 +Vhd +p3323 +tp3324 +a(g826 +g958 +tp3325 +a(g423 +Vkrc +p3326 +tp3327 +a(g826 +g958 +tp3328 +a(g400 +g1666 +tp3329 +a(g400 +g1161 +tp3330 +a(g400 +g1243 +tp3331 +a(g826 +g958 +tp3332 +a(g693 +g1150 +tp3333 +a(g881 +Varray +p3334 +tp3335 +a(g826 +g958 +tp3336 +a(g881 +Vof +p3337 +tp3338 +a(g826 +g958 +tp3339 +a(g881 +Vbyte +p3340 +tp3341 +a(g826 +g958 +tp3342 +a(g423 +Vks +p3343 +tp3344 +a(g693 +g1012 +tp3345 +a(g826 +g958 +tp3346 +a(g896 +Vnil +p3347 +tp3348 +a(g693 +g1181 +tp3349 +a(g693 +g962 +tp3350 +a(g826 +V\u000a +p3351 +tp3352 +a(g826 +V +p3353 +tp3354 +a(g423 +Vks +p3355 +tp3356 +a(g826 +g958 +tp3357 +a(g400 +g1243 +tp3358 +a(g826 +g958 +tp3359 +a(g896 +Vnil +p3360 +tp3361 +a(g693 +g962 +tp3362 +a(g826 +V\u000a +p3363 +tp3364 +a(g826 +V +p3365 +tp3366 +a(g423 +Vkrc +p3367 +tp3368 +a(g826 +g958 +tp3369 +a(g400 +g1243 +tp3370 +a(g826 +g958 +tp3371 +a(g7 +Vtl +p3372 +tp3373 +a(g826 +g958 +tp3374 +a(g423 +Vkrc +p3375 +tp3376 +a(g693 +g962 +tp3377 +a(g826 +V\u000a +p3378 +tp3379 +a(g826 +V +p3380 +tp3381 +a(g693 +g1186 +tp3382 +a(g826 +V\u000a +p3383 +tp3384 +a(g826 +g977 +tp3385 +a(g693 +g1150 +tp3386 +a(g896 +Vnil +p3387 +tp3388 +a(g693 +g1012 +tp3389 +a(g826 +g958 +tp3390 +a(g423 +Vd +p3391 +tp3392 +a(g693 +g1012 +tp3393 +a(g826 +g958 +tp3394 +a(g896 +Vnil +p3395 +tp3396 +a(g693 +g1012 +tp3397 +a(g826 +g958 +tp3398 +a(g423 +Vwc +p3399 +tp3400 +a(g693 +g1181 +tp3401 +a(g826 +g958 +tp3402 +a(g400 +g981 +tp3403 +a(g400 +g1243 +tp3404 +a(g826 +g958 +tp3405 +a(g400 +g1666 +tp3406 +a(g400 +g1161 +tp3407 +a(g423 +Vfcons +p3408 +tp3409 +a(g693 +g1572 +tp3410 +a(g423 +Vwrite +p3411 +tp3412 +a(g826 +g958 +tp3413 +a(g400 +g1243 +tp3414 +a(g400 +g1163 +tp3415 +a(g826 +V\u000a +p3416 +tp3417 +a(g826 +V +p3418 +tp3419 +a(g7 +Vif +p3420 +tp3421 +a(g693 +g1150 +tp3422 +a(g423 +Vwc +p3423 +tp3424 +a(g826 +g958 +tp3425 +a(g400 +g1518 +tp3426 +a(g400 +g1243 +tp3427 +a(g826 +g958 +tp3428 +a(g896 +Vnil +p3429 +tp3430 +a(g693 +g1181 +tp3431 +a(g693 +g1139 +tp3432 +a(g826 +V\u000a +p3433 +tp3434 +a(g826 +V +p3435 +tp3436 +a(g423 +Vsys +p3437 +tp3438 +a(g400 +g1161 +tp3439 +a(g400 +g1163 +tp3440 +a(g423 +Vwrite +p3441 +tp3442 +a(g693 +g1150 +tp3443 +a(g423 +Vsys +p3444 +tp3445 +a(g400 +g1161 +tp3446 +a(g400 +g1163 +tp3447 +a(g423 +Vfildes +p3448 +tp3449 +a(g693 +g1150 +tp3450 +a(g22 +g1554 +tp3451 +a(g693 +g1181 +tp3452 +a(g693 +g1012 +tp3453 +a(g826 +g958 +tp3454 +a(g423 +g3391 +tp3455 +a(g693 +g1012 +tp3456 +a(g826 +g958 +tp3457 +a(g7 +Vlen +p3458 +tp3459 +a(g826 +g958 +tp3460 +a(g423 +g3391 +tp3461 +a(g693 +g1181 +tp3462 +a(g693 +g962 +tp3463 +a(g826 +V\u000a +p3464 +tp3465 +a(g826 +V +p3466 +tp3467 +a(g423 +Vwc +p3468 +tp3469 +a(g826 +g958 +tp3470 +a(g400 +g1666 +tp3471 +a(g400 +g1161 +tp3472 +a(g400 +g1243 +tp3473 +a(g826 +g958 +tp3474 +a(g693 +g1150 +tp3475 +a(g7 +Vlen +p3476 +tp3477 +a(g826 +g958 +tp3478 +a(g423 +g3391 +tp3479 +a(g693 +g1012 +tp3480 +a(g826 +g958 +tp3481 +a(g896 +Vnil +p3482 +tp3483 +a(g693 +g1181 +tp3484 +a(g693 +g962 +tp3485 +a(g826 +V\u000a +p3486 +tp3487 +a(g826 +V +p3488 +tp3489 +a(g693 +g1186 +tp3490 +a(g826 +V\u000a +p3491 +tp3492 +a(g826 +g977 +tp3493 +a(g693 +g1150 +tp3494 +a(g896 +Vnil +p3495 +tp3496 +a(g693 +g1012 +tp3497 +a(g826 +g958 +tp3498 +a(g896 +Vnil +p3499 +tp3500 +a(g693 +g1012 +tp3501 +a(g826 +g958 +tp3502 +a(g896 +Vnil +p3503 +tp3504 +a(g693 +g1012 +tp3505 +a(g826 +g958 +tp3506 +a(g423 +Vrc +p3507 +tp3508 +a(g693 +g1181 +tp3509 +a(g826 +g958 +tp3510 +a(g400 +g981 +tp3511 +a(g400 +g1243 +tp3512 +a(g826 +g958 +tp3513 +a(g400 +g1666 +tp3514 +a(g400 +g1161 +tp3515 +a(g423 +Vfcons +p3516 +tp3517 +a(g693 +g1572 +tp3518 +a(g423 +Vread +p3519 +tp3520 +a(g826 +g958 +tp3521 +a(g400 +g1243 +tp3522 +a(g400 +g1163 +tp3523 +a(g826 +V\u000a +p3524 +tp3525 +a(g826 +V +p3526 +tp3527 +a(g7 +Vif +p3528 +tp3529 +a(g693 +g1150 +tp3530 +a(g423 +Vrc +p3531 +tp3532 +a(g826 +g958 +tp3533 +a(g400 +g1518 +tp3534 +a(g400 +g1243 +tp3535 +a(g826 +g958 +tp3536 +a(g896 +Vnil +p3537 +tp3538 +a(g693 +g1181 +tp3539 +a(g693 +g1139 +tp3540 +a(g826 +V\u000a +p3541 +tp3542 +a(g826 +V +p3543 +tp3544 +a(g7 +Vif +p3545 +tp3546 +a(g693 +g1150 +tp3547 +a(g423 +Vks +p3548 +tp3549 +a(g826 +g958 +tp3550 +a(g400 +g1518 +tp3551 +a(g400 +g1243 +tp3552 +a(g826 +g958 +tp3553 +a(g896 +Vnil +p3554 +tp3555 +a(g693 +g1181 +tp3556 +a(g693 +g1139 +tp3557 +a(g826 +V\u000a +p3558 +tp3559 +a(g826 +V +p3560 +tp3561 +a(g423 +Vrc +p3562 +tp3563 +a(g826 +g958 +tp3564 +a(g400 +g1666 +tp3565 +a(g400 +g1161 +tp3566 +a(g400 +g1243 +tp3567 +a(g826 +g958 +tp3568 +a(g693 +g1150 +tp3569 +a(g881 +Varray +p3570 +tp3571 +a(g826 +g958 +tp3572 +a(g881 +Vof +p3573 +tp3574 +a(g826 +g958 +tp3575 +a(g881 +Vbyte +p3576 +tp3577 +a(g826 +g958 +tp3578 +a(g423 +Vks +p3579 +tp3580 +a(g693 +g1012 +tp3581 +a(g826 +g958 +tp3582 +a(g896 +Vnil +p3583 +tp3584 +a(g693 +g1181 +tp3585 +a(g693 +g962 +tp3586 +a(g826 +V\u000a +p3587 +tp3588 +a(g826 +V +p3589 +tp3590 +a(g423 +Vks +p3591 +tp3592 +a(g826 +g958 +tp3593 +a(g400 +g1243 +tp3594 +a(g826 +g958 +tp3595 +a(g896 +Vnil +p3596 +tp3597 +a(g693 +g962 +tp3598 +a(g826 +V\u000a +p3599 +tp3600 +a(g826 +V +p3601 +tp3602 +a(g693 +g1186 +tp3603 +a(g7 +Velse +p3604 +tp3605 +a(g826 +V\u000a +p3606 +tp3607 +a(g826 +V +p3608 +tp3609 +a(g423 +Vkrc +p3610 +tp3611 +a(g826 +g958 +tp3612 +a(g400 +g1243 +tp3613 +a(g826 +g958 +tp3614 +a(g423 +Vrc +p3615 +tp3616 +a(g826 +g958 +tp3617 +a(g400 +g981 +tp3618 +a(g400 +g981 +tp3619 +a(g826 +g958 +tp3620 +a(g423 +Vkrc +p3621 +tp3622 +a(g693 +g962 +tp3623 +a(g826 +V\u000a +p3624 +tp3625 +a(g826 +V +p3626 +tp3627 +a(g693 +g1186 +tp3628 +a(g826 +V\u000a +p3629 +tp3630 +a(g826 +g977 +tp3631 +a(g693 +g1150 +tp3632 +a(g423 +Voffset +p3633 +tp3634 +a(g693 +g1012 +tp3635 +a(g826 +g958 +tp3636 +a(g896 +Vnil +p3637 +tp3638 +a(g693 +g1012 +tp3639 +a(g826 +g958 +tp3640 +a(g896 +Vnil +p3641 +tp3642 +a(g693 +g1012 +tp3643 +a(g826 +g958 +tp3644 +a(g423 +Vrc +p3645 +tp3646 +a(g693 +g1181 +tp3647 +a(g826 +g958 +tp3648 +a(g400 +g981 +tp3649 +a(g400 +g1243 +tp3650 +a(g826 +g958 +tp3651 +a(g400 +g1666 +tp3652 +a(g400 +g1161 +tp3653 +a(g423 +Vfwinname +p3654 +tp3655 +a(g693 +g1572 +tp3656 +a(g423 +Vread +p3657 +tp3658 +a(g826 +g958 +tp3659 +a(g400 +g1243 +tp3660 +a(g400 +g1163 +tp3661 +a(g826 +V\u000a +p3662 +tp3663 +a(g826 +V +p3664 +tp3665 +a(g7 +Vif +p3666 +tp3667 +a(g693 +g1150 +tp3668 +a(g423 +Vrc +p3669 +tp3670 +a(g826 +g958 +tp3671 +a(g400 +g1518 +tp3672 +a(g400 +g1243 +tp3673 +a(g826 +g958 +tp3674 +a(g896 +Vnil +p3675 +tp3676 +a(g693 +g1181 +tp3677 +a(g693 +g1139 +tp3678 +a(g826 +V\u000a +p3679 +tp3680 +a(g826 +V +p3681 +tp3682 +a(g7 +Vif +p3683 +tp3684 +a(g693 +g1150 +tp3685 +a(g423 +Vwinname +p3686 +tp3687 +a(g826 +g958 +tp3688 +a(g400 +g1243 +tp3689 +a(g400 +g1243 +tp3690 +a(g826 +g958 +tp3691 +a(g896 +Vnil +p3692 +tp3693 +a(g693 +g1181 +tp3694 +a(g693 +g1139 +tp3695 +a(g826 +V\u000a +p3696 +tp3697 +a(g826 +V +p3698 +tp3699 +a(g423 +Vwinname +p3700 +tp3701 +a(g826 +g958 +tp3702 +a(g400 +g1243 +tp3703 +a(g826 +g958 +tp3704 +a(g423 +Vsys +p3705 +tp3706 +a(g400 +g1161 +tp3707 +a(g400 +g1163 +tp3708 +a(g423 +Vsprint +p3709 +tp3710 +a(g693 +g1150 +tp3711 +a(g76 +g969 +tp3712 +a(g76 +Vnoborder.9win.%d +p3713 +tp3714 +a(g76 +g969 +tp3715 +a(g693 +g1012 +tp3716 +a(g826 +g958 +tp3717 +a(g423 +Vwinid +p3718 +tp3719 +a(g400 +g1920 +tp3720 +a(g400 +g1920 +tp3721 +a(g693 +g1181 +tp3722 +a(g693 +g962 +tp3723 +a(g826 +V\u000a +p3724 +tp3725 +a(g826 +V +p3726 +tp3727 +a(g7 +Vif +p3728 +tp3729 +a(g693 +g1150 +tp3730 +a(g423 +g1939 +tp3731 +a(g693 +g1572 +tp3732 +a(g423 +Vimage +p3733 +tp3734 +a(g693 +g1572 +tp3735 +a(g423 +Vname +p3736 +tp3737 +a(g693 +g1150 +tp3738 +a(g423 +Vwinname +p3739 +tp3740 +a(g693 +g1012 +tp3741 +a(g826 +g958 +tp3742 +a(g22 +g1554 +tp3743 +a(g693 +g1181 +tp3744 +a(g826 +g958 +tp3745 +a(g400 +g1243 +tp3746 +a(g400 +g1243 +tp3747 +a(g826 +g958 +tp3748 +a(g400 +g1161 +tp3749 +a(g22 +g1554 +tp3750 +a(g693 +g1181 +tp3751 +a(g693 +g1139 +tp3752 +a(g826 +V\u000a +p3753 +tp3754 +a(g826 +V +p3755 +tp3756 +a(g423 +Vsys +p3757 +tp3758 +a(g400 +g1161 +tp3759 +a(g400 +g1163 +tp3760 +a(g423 +Vfprint +p3761 +tp3762 +a(g693 +g1150 +tp3763 +a(g423 +Vsys +p3764 +tp3765 +a(g400 +g1161 +tp3766 +a(g400 +g1163 +tp3767 +a(g423 +Vfildes +p3768 +tp3769 +a(g693 +g1150 +tp3770 +a(g22 +g1860 +tp3771 +a(g693 +g1181 +tp3772 +a(g693 +g1012 +tp3773 +a(g826 +g958 +tp3774 +a(g76 +g969 +tp3775 +a(g76 +V9win: namewin %q failed: %r +p3776 +tp3777 +a(g76 +g969 +tp3778 +a(g693 +g1012 +tp3779 +a(g826 +g958 +tp3780 +a(g423 +Vwinname +p3781 +tp3782 +a(g693 +g1181 +tp3783 +a(g693 +g962 +tp3784 +a(g826 +V\u000a +p3785 +tp3786 +a(g826 +V +p3787 +tp3788 +a(g423 +Vrc +p3789 +tp3790 +a(g826 +g958 +tp3791 +a(g400 +g1666 +tp3792 +a(g400 +g1161 +tp3793 +a(g400 +g1243 +tp3794 +a(g826 +g958 +tp3795 +a(g693 +g1150 +tp3796 +a(g896 +Vnil +p3797 +tp3798 +a(g693 +g1012 +tp3799 +a(g826 +g958 +tp3800 +a(g76 +g969 +tp3801 +a(g76 +Vnamewin failure +p3802 +tp3803 +a(g76 +g969 +tp3804 +a(g693 +g1181 +tp3805 +a(g693 +g962 +tp3806 +a(g826 +V\u000a +p3807 +tp3808 +a(g826 +V +p3809 +tp3810 +a(g7 +Vbreak +p3811 +tp3812 +a(g693 +g962 +tp3813 +a(g826 +V\u000a +p3814 +tp3815 +a(g826 +V +p3816 +tp3817 +a(g693 +g1186 +tp3818 +a(g826 +V\u000a +p3819 +tp3820 +a(g826 +V +p3821 +tp3822 +a(g693 +g1186 +tp3823 +a(g826 +V\u000a +p3824 +tp3825 +a(g826 +V +p3826 +tp3827 +a(g423 +g3391 +tp3828 +a(g826 +g958 +tp3829 +a(g400 +g981 +tp3830 +a(g400 +g1243 +tp3831 +a(g826 +g958 +tp3832 +a(g881 +Varray +p3833 +tp3834 +a(g826 +g958 +tp3835 +a(g881 +Vof +p3836 +tp3837 +a(g826 +g958 +tp3838 +a(g881 +Vbyte +p3839 +tp3840 +a(g826 +g958 +tp3841 +a(g423 +Vwinname +p3842 +tp3843 +a(g693 +g962 +tp3844 +a(g826 +V\u000a +p3845 +tp3846 +a(g826 +V +p3847 +tp3848 +a(g7 +Vif +p3849 +tp3850 +a(g693 +g1150 +tp3851 +a(g423 +Voffset +p3852 +tp3853 +a(g826 +g958 +tp3854 +a(g400 +g1666 +tp3855 +a(g826 +g958 +tp3856 +a(g7 +Vlen +p3857 +tp3858 +a(g826 +g958 +tp3859 +a(g423 +g3391 +tp3860 +a(g693 +g1181 +tp3861 +a(g826 +V\u000a +p3862 +tp3863 +a(g826 +V +p3864 +tp3865 +a(g423 +g3391 +tp3866 +a(g826 +g958 +tp3867 +a(g400 +g1243 +tp3868 +a(g826 +g958 +tp3869 +a(g423 +g3391 +tp3870 +a(g693 +g2300 +tp3871 +a(g423 +Voffset +p3872 +tp3873 +a(g400 +g981 +tp3874 +a(g693 +g2304 +tp3875 +a(g693 +g962 +tp3876 +a(g826 +V\u000a +p3877 +tp3878 +a(g826 +V +p3879 +tp3880 +a(g7 +Velse +p3881 +tp3882 +a(g826 +V\u000a +p3883 +tp3884 +a(g826 +V +p3885 +tp3886 +a(g423 +g3391 +tp3887 +a(g826 +g958 +tp3888 +a(g400 +g1243 +tp3889 +a(g826 +g958 +tp3890 +a(g896 +Vnil +p3891 +tp3892 +a(g693 +g962 +tp3893 +a(g826 +V\u000a +p3894 +tp3895 +a(g826 +V +p3896 +tp3897 +a(g423 +Vrc +p3898 +tp3899 +a(g826 +g958 +tp3900 +a(g400 +g1666 +tp3901 +a(g400 +g1161 +tp3902 +a(g400 +g1243 +tp3903 +a(g826 +g958 +tp3904 +a(g693 +g1150 +tp3905 +a(g423 +g3391 +tp3906 +a(g693 +g1012 +tp3907 +a(g826 +g958 +tp3908 +a(g896 +Vnil +p3909 +tp3910 +a(g693 +g1181 +tp3911 +a(g693 +g962 +tp3912 +a(g826 +V\u000a +p3913 +tp3914 +a(g826 +V +p3915 +tp3916 +a(g693 +g1186 +tp3917 +a(g826 +V\u000a +p3918 +tp3919 +a(g826 +g977 +tp3920 +a(g693 +g1150 +tp3921 +a(g896 +Vnil +p3922 +tp3923 +a(g693 +g1012 +tp3924 +a(g826 +g958 +tp3925 +a(g896 +Vnil +p3926 +tp3927 +a(g693 +g1012 +tp3928 +a(g826 +g958 +tp3929 +a(g896 +Vnil +p3930 +tp3931 +a(g693 +g1012 +tp3932 +a(g826 +g958 +tp3933 +a(g423 +Vwc +p3934 +tp3935 +a(g693 +g1181 +tp3936 +a(g826 +g958 +tp3937 +a(g400 +g981 +tp3938 +a(g400 +g1243 +tp3939 +a(g826 +g958 +tp3940 +a(g400 +g1666 +tp3941 +a(g400 +g1161 +tp3942 +a(g423 +Vfwinname +p3943 +tp3944 +a(g693 +g1572 +tp3945 +a(g423 +Vwrite +p3946 +tp3947 +a(g826 +g958 +tp3948 +a(g400 +g1243 +tp3949 +a(g400 +g1163 +tp3950 +a(g826 +V\u000a +p3951 +tp3952 +a(g826 +V +p3953 +tp3954 +a(g7 +Vif +p3955 +tp3956 +a(g693 +g1150 +tp3957 +a(g423 +Vwc +p3958 +tp3959 +a(g826 +g958 +tp3960 +a(g400 +g1518 +tp3961 +a(g400 +g1243 +tp3962 +a(g826 +g958 +tp3963 +a(g896 +Vnil +p3964 +tp3965 +a(g693 +g1181 +tp3966 +a(g826 +V\u000a +p3967 +tp3968 +a(g826 +V +p3969 +tp3970 +a(g423 +Vwc +p3971 +tp3972 +a(g826 +g958 +tp3973 +a(g400 +g1666 +tp3974 +a(g400 +g1161 +tp3975 +a(g400 +g1243 +tp3976 +a(g826 +g958 +tp3977 +a(g693 +g1150 +tp3978 +a(g400 +g1161 +tp3979 +a(g22 +g1554 +tp3980 +a(g693 +g1012 +tp3981 +a(g826 +g958 +tp3982 +a(g76 +g969 +tp3983 +a(g76 +Vpermission denied +p3984 +tp3985 +a(g76 +g969 +tp3986 +a(g693 +g1181 +tp3987 +a(g693 +g962 +tp3988 +a(g826 +V\u000a +p3989 +tp3990 +a(g826 +g977 +tp3991 +a(g693 +g1150 +tp3992 +a(g896 +Vnil +p3993 +tp3994 +a(g693 +g1012 +tp3995 +a(g826 +g958 +tp3996 +a(g896 +Vnil +p3997 +tp3998 +a(g693 +g1012 +tp3999 +a(g826 +g958 +tp4000 +a(g896 +Vnil +p4001 +tp4002 +a(g693 +g1012 +tp4003 +a(g826 +g958 +tp4004 +a(g423 +Vrc +p4005 +tp4006 +a(g693 +g1181 +tp4007 +a(g826 +g958 +tp4008 +a(g400 +g981 +tp4009 +a(g400 +g1243 +tp4010 +a(g826 +g958 +tp4011 +a(g400 +g1666 +tp4012 +a(g400 +g1161 +tp4013 +a(g423 +Vfconsctl +p4014 +tp4015 +a(g693 +g1572 +tp4016 +a(g423 +Vread +p4017 +tp4018 +a(g826 +g958 +tp4019 +a(g400 +g1243 +tp4020 +a(g400 +g1163 +tp4021 +a(g826 +V\u000a +p4022 +tp4023 +a(g826 +V +p4024 +tp4025 +a(g7 +Vif +p4026 +tp4027 +a(g693 +g1150 +tp4028 +a(g423 +Vrc +p4029 +tp4030 +a(g826 +g958 +tp4031 +a(g400 +g1518 +tp4032 +a(g400 +g1243 +tp4033 +a(g826 +g958 +tp4034 +a(g896 +Vnil +p4035 +tp4036 +a(g693 +g1181 +tp4037 +a(g826 +V\u000a +p4038 +tp4039 +a(g826 +V +p4040 +tp4041 +a(g423 +Vrc +p4042 +tp4043 +a(g826 +g958 +tp4044 +a(g400 +g1666 +tp4045 +a(g400 +g1161 +tp4046 +a(g400 +g1243 +tp4047 +a(g826 +g958 +tp4048 +a(g693 +g1150 +tp4049 +a(g896 +Vnil +p4050 +tp4051 +a(g693 +g1012 +tp4052 +a(g826 +g958 +tp4053 +a(g76 +g969 +tp4054 +a(g76 +Vpermission denied +p4055 +tp4056 +a(g76 +g969 +tp4057 +a(g693 +g1181 +tp4058 +a(g693 +g962 +tp4059 +a(g826 +V\u000a +p4060 +tp4061 +a(g826 +g977 +tp4062 +a(g693 +g1150 +tp4063 +a(g896 +Vnil +p4064 +tp4065 +a(g693 +g1012 +tp4066 +a(g826 +g958 +tp4067 +a(g423 +g3391 +tp4068 +a(g693 +g1012 +tp4069 +a(g826 +g958 +tp4070 +a(g896 +Vnil +p4071 +tp4072 +a(g693 +g1012 +tp4073 +a(g826 +g958 +tp4074 +a(g423 +Vwc +p4075 +tp4076 +a(g693 +g1181 +tp4077 +a(g826 +g958 +tp4078 +a(g400 +g981 +tp4079 +a(g400 +g1243 +tp4080 +a(g826 +g958 +tp4081 +a(g400 +g1666 +tp4082 +a(g400 +g1161 +tp4083 +a(g423 +Vfconsctl +p4084 +tp4085 +a(g693 +g1572 +tp4086 +a(g423 +Vwrite +p4087 +tp4088 +a(g826 +g958 +tp4089 +a(g400 +g1243 +tp4090 +a(g400 +g1163 +tp4091 +a(g826 +V\u000a +p4092 +tp4093 +a(g826 +V +p4094 +tp4095 +a(g7 +Vif +p4096 +tp4097 +a(g693 +g1150 +tp4098 +a(g423 +Vwc +p4099 +tp4100 +a(g826 +g958 +tp4101 +a(g400 +g1518 +tp4102 +a(g400 +g1243 +tp4103 +a(g826 +g958 +tp4104 +a(g896 +Vnil +p4105 +tp4106 +a(g693 +g1181 +tp4107 +a(g693 +g1139 +tp4108 +a(g826 +V\u000a +p4109 +tp4110 +a(g826 +V +p4111 +tp4112 +a(g7 +Vif +p4113 +tp4114 +a(g693 +g1150 +tp4115 +a(g881 +Vstring +p4116 +tp4117 +a(g826 +g958 +tp4118 +a(g423 +g3391 +tp4119 +a(g826 +g958 +tp4120 +a(g400 +g1518 +tp4121 +a(g400 +g1243 +tp4122 +a(g826 +g958 +tp4123 +a(g76 +g969 +tp4124 +a(g76 +Vrawon +p4125 +tp4126 +a(g76 +g969 +tp4127 +a(g693 +g1181 +tp4128 +a(g826 +V\u000a +p4129 +tp4130 +a(g826 +V +p4131 +tp4132 +a(g423 +Vwc +p4133 +tp4134 +a(g826 +g958 +tp4135 +a(g400 +g1666 +tp4136 +a(g400 +g1161 +tp4137 +a(g400 +g1243 +tp4138 +a(g826 +g958 +tp4139 +a(g693 +g1150 +tp4140 +a(g400 +g1161 +tp4141 +a(g22 +g1554 +tp4142 +a(g693 +g1012 +tp4143 +a(g826 +g958 +tp4144 +a(g76 +g969 +tp4145 +a(g76 +Vcannot change console mode +p4146 +tp4147 +a(g76 +g969 +tp4148 +a(g693 +g1181 +tp4149 +a(g693 +g962 +tp4150 +a(g826 +V\u000a +p4151 +tp4152 +a(g826 +V +p4153 +tp4154 +a(g7 +Velse +p4155 +tp4156 +a(g826 +V\u000a +p4157 +tp4158 +a(g826 +V +p4159 +tp4160 +a(g423 +Vwc +p4161 +tp4162 +a(g826 +g958 +tp4163 +a(g400 +g1666 +tp4164 +a(g400 +g1161 +tp4165 +a(g400 +g1243 +tp4166 +a(g826 +g958 +tp4167 +a(g693 +g1150 +tp4168 +a(g7 +Vlen +p4169 +tp4170 +a(g826 +g958 +tp4171 +a(g423 +g3391 +tp4172 +a(g693 +g1012 +tp4173 +a(g826 +g958 +tp4174 +a(g896 +Vnil +p4175 +tp4176 +a(g693 +g1181 +tp4177 +a(g693 +g962 +tp4178 +a(g826 +V\u000a +p4179 +tp4180 +a(g826 +V +p4181 +tp4182 +a(g693 +g1186 +tp4183 +a(g826 +V\u000a +p4184 +tp4185 +a(g826 +g977 +tp4186 +a(g693 +g1150 +tp4187 +a(g896 +Vnil +p4188 +tp4189 +a(g693 +g1012 +tp4190 +a(g826 +g958 +tp4191 +a(g896 +Vnil +p4192 +tp4193 +a(g693 +g1012 +tp4194 +a(g826 +g958 +tp4195 +a(g896 +Vnil +p4196 +tp4197 +a(g693 +g1012 +tp4198 +a(g826 +g958 +tp4199 +a(g423 +Vrc +p4200 +tp4201 +a(g693 +g1181 +tp4202 +a(g826 +g958 +tp4203 +a(g400 +g981 +tp4204 +a(g400 +g1243 +tp4205 +a(g826 +g958 +tp4206 +a(g400 +g1666 +tp4207 +a(g400 +g1161 +tp4208 +a(g423 +Vfmouse +p4209 +tp4210 +a(g693 +g1572 +tp4211 +a(g423 +Vread +p4212 +tp4213 +a(g826 +g958 +tp4214 +a(g400 +g1243 +tp4215 +a(g400 +g1163 +tp4216 +a(g826 +V\u000a +p4217 +tp4218 +a(g826 +V +p4219 +tp4220 +a(g7 +Vif +p4221 +tp4222 +a(g693 +g1150 +tp4223 +a(g423 +Vrc +p4224 +tp4225 +a(g826 +g958 +tp4226 +a(g400 +g1518 +tp4227 +a(g400 +g1243 +tp4228 +a(g826 +g958 +tp4229 +a(g896 +Vnil +p4230 +tp4231 +a(g693 +g1181 +tp4232 +a(g826 +V\u000a +p4233 +tp4234 +a(g826 +V +p4235 +tp4236 +a(g423 +Vmouserq +p4237 +tp4238 +a(g826 +g958 +tp4239 +a(g400 +g1666 +tp4240 +a(g400 +g1161 +tp4241 +a(g400 +g1243 +tp4242 +a(g826 +g958 +tp4243 +a(g423 +Vrc +p4244 +tp4245 +a(g693 +g962 +tp4246 +a(g826 +V\u000a +p4247 +tp4248 +a(g826 +g977 +tp4249 +a(g693 +g1150 +tp4250 +a(g896 +Vnil +p4251 +tp4252 +a(g693 +g1012 +tp4253 +a(g826 +g958 +tp4254 +a(g423 +g3391 +tp4255 +a(g693 +g1012 +tp4256 +a(g826 +g958 +tp4257 +a(g896 +Vnil +p4258 +tp4259 +a(g693 +g1012 +tp4260 +a(g826 +g958 +tp4261 +a(g423 +Vwc +p4262 +tp4263 +a(g693 +g1181 +tp4264 +a(g826 +g958 +tp4265 +a(g400 +g981 +tp4266 +a(g400 +g1243 +tp4267 +a(g826 +g958 +tp4268 +a(g400 +g1666 +tp4269 +a(g400 +g1161 +tp4270 +a(g423 +Vfmouse +p4271 +tp4272 +a(g693 +g1572 +tp4273 +a(g423 +Vwrite +p4274 +tp4275 +a(g826 +g958 +tp4276 +a(g400 +g1243 +tp4277 +a(g400 +g1163 +tp4278 +a(g826 +V\u000a +p4279 +tp4280 +a(g826 +V +p4281 +tp4282 +a(g7 +Vif +p4283 +tp4284 +a(g693 +g1150 +tp4285 +a(g423 +Vwc +p4286 +tp4287 +a(g826 +g958 +tp4288 +a(g400 +g1518 +tp4289 +a(g400 +g1243 +tp4290 +a(g826 +g958 +tp4291 +a(g896 +Vnil +p4292 +tp4293 +a(g693 +g1181 +tp4294 +a(g693 +g1139 +tp4295 +a(g826 +V\u000a +p4296 +tp4297 +a(g826 +V +p4298 +tp4299 +a(g423 +g2528 +tp4300 +a(g826 +g958 +tp4301 +a(g400 +g981 +tp4302 +a(g400 +g1243 +tp4303 +a(g826 +g958 +tp4304 +a(g423 +Vcursorset +p4305 +tp4306 +a(g693 +g1150 +tp4307 +a(g423 +g1939 +tp4308 +a(g693 +g1012 +tp4309 +a(g826 +g958 +tp4310 +a(g881 +Vstring +p4311 +tp4312 +a(g826 +g958 +tp4313 +a(g423 +g3391 +tp4314 +a(g693 +g1181 +tp4315 +a(g693 +g962 +tp4316 +a(g826 +V\u000a +p4317 +tp4318 +a(g826 +V +p4319 +tp4320 +a(g7 +Vif +p4321 +tp4322 +a(g693 +g1150 +tp4323 +a(g423 +g2528 +tp4324 +a(g826 +g958 +tp4325 +a(g400 +g1243 +tp4326 +a(g400 +g1243 +tp4327 +a(g826 +g958 +tp4328 +a(g896 +Vnil +p4329 +tp4330 +a(g693 +g1181 +tp4331 +a(g826 +V\u000a +p4332 +tp4333 +a(g826 +V +p4334 +tp4335 +a(g423 +Vwc +p4336 +tp4337 +a(g826 +g958 +tp4338 +a(g400 +g1666 +tp4339 +a(g400 +g1161 +tp4340 +a(g400 +g1243 +tp4341 +a(g826 +g958 +tp4342 +a(g693 +g1150 +tp4343 +a(g7 +Vlen +p4344 +tp4345 +a(g826 +g958 +tp4346 +a(g423 +g3391 +tp4347 +a(g693 +g1012 +tp4348 +a(g826 +g958 +tp4349 +a(g896 +Vnil +p4350 +tp4351 +a(g693 +g1181 +tp4352 +a(g693 +g962 +tp4353 +a(g826 +V\u000a +p4354 +tp4355 +a(g826 +V +p4356 +tp4357 +a(g7 +Velse +p4358 +tp4359 +a(g826 +V\u000a +p4360 +tp4361 +a(g826 +V +p4362 +tp4363 +a(g423 +Vwc +p4364 +tp4365 +a(g826 +g958 +tp4366 +a(g400 +g1666 +tp4367 +a(g400 +g1161 +tp4368 +a(g400 +g1243 +tp4369 +a(g826 +g958 +tp4370 +a(g693 +g1150 +tp4371 +a(g400 +g1161 +tp4372 +a(g22 +g1554 +tp4373 +a(g693 +g1012 +tp4374 +a(g826 +g958 +tp4375 +a(g423 +g2528 +tp4376 +a(g693 +g1181 +tp4377 +a(g693 +g962 +tp4378 +a(g826 +V\u000a +p4379 +tp4380 +a(g826 +V +p4381 +tp4382 +a(g693 +g1186 +tp4383 +a(g826 +V\u000a +p4384 +tp4385 +a(g826 +g977 +tp4386 +a(g693 +g1150 +tp4387 +a(g896 +Vnil +p4388 +tp4389 +a(g693 +g1012 +tp4390 +a(g826 +g958 +tp4391 +a(g896 +Vnil +p4392 +tp4393 +a(g693 +g1012 +tp4394 +a(g826 +g958 +tp4395 +a(g896 +Vnil +p4396 +tp4397 +a(g693 +g1012 +tp4398 +a(g826 +g958 +tp4399 +a(g423 +Vrc +p4400 +tp4401 +a(g693 +g1181 +tp4402 +a(g826 +g958 +tp4403 +a(g400 +g981 +tp4404 +a(g400 +g1243 +tp4405 +a(g826 +g958 +tp4406 +a(g400 +g1666 +tp4407 +a(g400 +g1161 +tp4408 +a(g423 +Vfcursor +p4409 +tp4410 +a(g693 +g1572 +tp4411 +a(g423 +Vread +p4412 +tp4413 +a(g826 +g958 +tp4414 +a(g400 +g1243 +tp4415 +a(g400 +g1163 +tp4416 +a(g826 +V\u000a +p4417 +tp4418 +a(g826 +V +p4419 +tp4420 +a(g7 +Vif +p4421 +tp4422 +a(g693 +g1150 +tp4423 +a(g423 +Vrc +p4424 +tp4425 +a(g826 +g958 +tp4426 +a(g400 +g1518 +tp4427 +a(g400 +g1243 +tp4428 +a(g826 +g958 +tp4429 +a(g896 +Vnil +p4430 +tp4431 +a(g693 +g1181 +tp4432 +a(g826 +V\u000a +p4433 +tp4434 +a(g826 +V +p4435 +tp4436 +a(g423 +Vrc +p4437 +tp4438 +a(g826 +g958 +tp4439 +a(g400 +g1666 +tp4440 +a(g400 +g1161 +tp4441 +a(g400 +g1243 +tp4442 +a(g826 +g958 +tp4443 +a(g693 +g1150 +tp4444 +a(g896 +Vnil +p4445 +tp4446 +a(g693 +g1012 +tp4447 +a(g826 +g958 +tp4448 +a(g76 +g969 +tp4449 +a(g76 +Vpermission denied +p4450 +tp4451 +a(g76 +g969 +tp4452 +a(g693 +g1181 +tp4453 +a(g693 +g962 +tp4454 +a(g826 +V\u000a +p4455 +tp4456 +a(g826 +g977 +tp4457 +a(g693 +g1150 +tp4458 +a(g896 +Vnil +p4459 +tp4460 +a(g693 +g1012 +tp4461 +a(g826 +g958 +tp4462 +a(g423 +g3391 +tp4463 +a(g693 +g1012 +tp4464 +a(g826 +g958 +tp4465 +a(g896 +Vnil +p4466 +tp4467 +a(g693 +g1012 +tp4468 +a(g826 +g958 +tp4469 +a(g423 +Vwc +p4470 +tp4471 +a(g693 +g1181 +tp4472 +a(g826 +g958 +tp4473 +a(g400 +g981 +tp4474 +a(g400 +g1243 +tp4475 +a(g826 +g958 +tp4476 +a(g400 +g1666 +tp4477 +a(g400 +g1161 +tp4478 +a(g423 +Vfcursor +p4479 +tp4480 +a(g693 +g1572 +tp4481 +a(g423 +Vwrite +p4482 +tp4483 +a(g826 +g958 +tp4484 +a(g400 +g1243 +tp4485 +a(g400 +g1163 +tp4486 +a(g826 +V\u000a +p4487 +tp4488 +a(g826 +V +p4489 +tp4490 +a(g7 +Vif +p4491 +tp4492 +a(g693 +g1150 +tp4493 +a(g423 +Vwc +p4494 +tp4495 +a(g826 +g958 +tp4496 +a(g400 +g1518 +tp4497 +a(g400 +g1243 +tp4498 +a(g826 +g958 +tp4499 +a(g896 +Vnil +p4500 +tp4501 +a(g693 +g1181 +tp4502 +a(g693 +g1139 +tp4503 +a(g826 +V\u000a +p4504 +tp4505 +a(g826 +V +p4506 +tp4507 +a(g423 +g2528 +tp4508 +a(g826 +g958 +tp4509 +a(g400 +g981 +tp4510 +a(g400 +g1243 +tp4511 +a(g826 +g958 +tp4512 +a(g423 +Vcursorswitch +p4513 +tp4514 +a(g693 +g1150 +tp4515 +a(g423 +g1939 +tp4516 +a(g693 +g1012 +tp4517 +a(g826 +g958 +tp4518 +a(g423 +g3391 +tp4519 +a(g693 +g1181 +tp4520 +a(g693 +g962 +tp4521 +a(g826 +V\u000a +p4522 +tp4523 +a(g826 +V +p4524 +tp4525 +a(g7 +Vif +p4526 +tp4527 +a(g693 +g1150 +tp4528 +a(g423 +g2528 +tp4529 +a(g826 +g958 +tp4530 +a(g400 +g1243 +tp4531 +a(g400 +g1243 +tp4532 +a(g826 +g958 +tp4533 +a(g896 +Vnil +p4534 +tp4535 +a(g693 +g1181 +tp4536 +a(g826 +V\u000a +p4537 +tp4538 +a(g826 +V +p4539 +tp4540 +a(g423 +Vwc +p4541 +tp4542 +a(g826 +g958 +tp4543 +a(g400 +g1666 +tp4544 +a(g400 +g1161 +tp4545 +a(g400 +g1243 +tp4546 +a(g826 +g958 +tp4547 +a(g693 +g1150 +tp4548 +a(g7 +Vlen +p4549 +tp4550 +a(g826 +g958 +tp4551 +a(g423 +g3391 +tp4552 +a(g693 +g1012 +tp4553 +a(g826 +g958 +tp4554 +a(g896 +Vnil +p4555 +tp4556 +a(g693 +g1181 +tp4557 +a(g693 +g962 +tp4558 +a(g826 +V\u000a +p4559 +tp4560 +a(g826 +V +p4561 +tp4562 +a(g7 +Velse +p4563 +tp4564 +a(g826 +V\u000a +p4565 +tp4566 +a(g826 +V +p4567 +tp4568 +a(g423 +Vwc +p4569 +tp4570 +a(g826 +g958 +tp4571 +a(g400 +g1666 +tp4572 +a(g400 +g1161 +tp4573 +a(g400 +g1243 +tp4574 +a(g826 +g958 +tp4575 +a(g693 +g1150 +tp4576 +a(g400 +g1161 +tp4577 +a(g22 +g1554 +tp4578 +a(g693 +g1012 +tp4579 +a(g826 +g958 +tp4580 +a(g423 +g2528 +tp4581 +a(g693 +g1181 +tp4582 +a(g693 +g962 +tp4583 +a(g826 +V\u000a +p4584 +tp4585 +a(g826 +V +p4586 +tp4587 +a(g693 +g1186 +tp4588 +a(g826 +V\u000a +p4589 +tp4590 +a(g826 +g977 +tp4591 +a(g693 +g1186 +tp4592 +a(g826 +V\u000a +p4593 +tp4594 +a(g693 +g1186 +tp4595 +a(g826 +V\u000a +p4596 +tp4597 +a(g826 +V\u000a +p4598 +tp4599 +a(g423 +Vptrproc +p4600 +tp4601 +a(g693 +g1150 +tp4602 +a(g423 +Vrq +p4603 +tp4604 +a(g400 +g981 +tp4605 +a(g826 +g958 +tp4606 +a(g881 +Vchan +p4607 +tp4608 +a(g826 +g958 +tp4609 +a(g881 +Vof +p4610 +tp4611 +a(g826 +g958 +tp4612 +a(g423 +VSys +p4613 +tp4614 +a(g400 +g1161 +tp4615 +a(g400 +g1163 +tp4616 +a(g423 +VRread +p4617 +tp4618 +a(g693 +g1012 +tp4619 +a(g826 +g958 +tp4620 +a(g423 +Vptr +p4621 +tp4622 +a(g400 +g981 +tp4623 +a(g826 +g958 +tp4624 +a(g881 +Vchan +p4625 +tp4626 +a(g826 +g958 +tp4627 +a(g881 +Vof +p4628 +tp4629 +a(g826 +g958 +tp4630 +a(g881 +Vref +p4631 +tp4632 +a(g826 +g958 +tp4633 +a(g423 +VPointer +p4634 +tp4635 +a(g693 +g1012 +tp4636 +a(g826 +g958 +tp4637 +a(g423 +Vreshape +p4638 +tp4639 +a(g400 +g981 +tp4640 +a(g826 +g958 +tp4641 +a(g881 +Vchan +p4642 +tp4643 +a(g826 +g958 +tp4644 +a(g881 +Vof +p4645 +tp4646 +a(g826 +g958 +tp4647 +a(g881 +Vint +p4648 +tp4649 +a(g693 +g1181 +tp4650 +a(g826 +V\u000a +p4651 +tp4652 +a(g693 +g1139 +tp4653 +a(g826 +V\u000a +p4654 +tp4655 +a(g826 +g977 +tp4656 +a(g423 +Vrl +p4657 +tp4658 +a(g400 +g981 +tp4659 +a(g826 +g958 +tp4660 +a(g881 +Vlist +p4661 +tp4662 +a(g826 +g958 +tp4663 +a(g881 +Vof +p4664 +tp4665 +a(g826 +g958 +tp4666 +a(g423 +VSys +p4667 +tp4668 +a(g400 +g1161 +tp4669 +a(g400 +g1163 +tp4670 +a(g423 +VRread +p4671 +tp4672 +a(g693 +g962 +tp4673 +a(g826 +V\u000a +p4674 +tp4675 +a(g826 +g977 +tp4676 +a(g423 +g2825 +tp4677 +a(g826 +g958 +tp4678 +a(g400 +g981 +tp4679 +a(g400 +g1243 +tp4680 +a(g826 +g958 +tp4681 +a(g881 +Vref +p4682 +tp4683 +a(g826 +g958 +tp4684 +a(g423 +VPointer +p4685 +tp4686 +a(g693 +g1150 +tp4687 +a(g22 +g1490 +tp4688 +a(g693 +g1012 +tp4689 +a(g826 +g958 +tp4690 +a(g693 +g1150 +tp4691 +a(g22 +g1490 +tp4692 +a(g693 +g1012 +tp4693 +a(g826 +g958 +tp4694 +a(g22 +g1490 +tp4695 +a(g693 +g1181 +tp4696 +a(g693 +g1012 +tp4697 +a(g826 +g958 +tp4698 +a(g22 +g1490 +tp4699 +a(g693 +g1181 +tp4700 +a(g693 +g962 +tp4701 +a(g826 +V\u000a +p4702 +tp4703 +a(g826 +g977 +tp4704 +a(g423 +Vfor +p4705 +tp4706 +a(g693 +g1150 +tp4707 +a(g693 +g962 +tp4708 +a(g693 +g962 +tp4709 +a(g693 +g1181 +tp4710 +a(g693 +g1139 +tp4711 +a(g826 +V\u000a +p4712 +tp4713 +a(g826 +V +p4714 +tp4715 +a(g423 +Vch +p4716 +tp4717 +a(g400 +g981 +tp4718 +a(g826 +g958 +tp4719 +a(g881 +Vint +p4720 +tp4721 +a(g693 +g962 +tp4722 +a(g826 +V\u000a +p4723 +tp4724 +a(g826 +V +p4725 +tp4726 +a(g7 +Valt +p4727 +tp4728 +a(g693 +g1139 +tp4729 +a(g826 +V\u000a +p4730 +tp4731 +a(g826 +V +p4732 +tp4733 +a(g423 +g3030 +tp4734 +a(g826 +g958 +tp4735 +a(g400 +g981 +tp4736 +a(g400 +g1243 +tp4737 +a(g826 +g958 +tp4738 +a(g400 +g1666 +tp4739 +a(g400 +g1161 +tp4740 +a(g423 +Vptr +p4741 +tp4742 +a(g826 +g958 +tp4743 +a(g400 +g1243 +tp4744 +a(g400 +g1163 +tp4745 +a(g826 +V\u000a +p4746 +tp4747 +a(g826 +V +p4748 +tp4749 +a(g423 +Vch +p4750 +tp4751 +a(g826 +g958 +tp4752 +a(g400 +g1243 +tp4753 +a(g826 +g958 +tp4754 +a(g80 +V'm' +p4755 +tp4756 +a(g693 +g962 +tp4757 +a(g826 +V\u000a +p4758 +tp4759 +a(g826 +V +p4760 +tp4761 +a(g423 +g2825 +tp4762 +a(g826 +g958 +tp4763 +a(g400 +g1243 +tp4764 +a(g826 +g958 +tp4765 +a(g423 +g3030 +tp4766 +a(g693 +g962 +tp4767 +a(g826 +V\u000a +p4768 +tp4769 +a(g826 +V +p4770 +tp4771 +a(g400 +g1666 +tp4772 +a(g400 +g1161 +tp4773 +a(g423 +Vreshape +p4774 +tp4775 +a(g826 +g958 +tp4776 +a(g400 +g1243 +tp4777 +a(g400 +g1163 +tp4778 +a(g826 +V\u000a +p4779 +tp4780 +a(g826 +V +p4781 +tp4782 +a(g423 +Vch +p4783 +tp4784 +a(g826 +g958 +tp4785 +a(g400 +g1243 +tp4786 +a(g826 +g958 +tp4787 +a(g80 +V'r' +p4788 +tp4789 +a(g693 +g962 +tp4790 +a(g826 +V\u000a +p4791 +tp4792 +a(g826 +V +p4793 +tp4794 +a(g423 +Vrc +p4795 +tp4796 +a(g826 +g958 +tp4797 +a(g400 +g981 +tp4798 +a(g400 +g1243 +tp4799 +a(g826 +g958 +tp4800 +a(g400 +g1666 +tp4801 +a(g400 +g1161 +tp4802 +a(g423 +Vrq +p4803 +tp4804 +a(g826 +g958 +tp4805 +a(g400 +g1243 +tp4806 +a(g400 +g1163 +tp4807 +a(g826 +V\u000a +p4808 +tp4809 +a(g826 +V +p4810 +tp4811 +a(g423 +Vrl +p4812 +tp4813 +a(g826 +V +p4814 +tp4815 +a(g400 +g1243 +tp4816 +a(g826 +g958 +tp4817 +a(g423 +Vrc +p4818 +tp4819 +a(g826 +g958 +tp4820 +a(g400 +g981 +tp4821 +a(g400 +g981 +tp4822 +a(g826 +g958 +tp4823 +a(g423 +Vrl +p4824 +tp4825 +a(g693 +g962 +tp4826 +a(g826 +V\u000a +p4827 +tp4828 +a(g826 +V +p4829 +tp4830 +a(g7 +Vcontinue +p4831 +tp4832 +a(g693 +g962 +tp4833 +a(g826 +V\u000a +p4834 +tp4835 +a(g826 +V +p4836 +tp4837 +a(g693 +g1186 +tp4838 +a(g826 +V\u000a +p4839 +tp4840 +a(g826 +V +p4841 +tp4842 +a(g7 +Vif +p4843 +tp4844 +a(g693 +g1150 +tp4845 +a(g423 +Vrl +p4846 +tp4847 +a(g826 +g958 +tp4848 +a(g400 +g1243 +tp4849 +a(g400 +g1243 +tp4850 +a(g826 +g958 +tp4851 +a(g896 +Vnil +p4852 +tp4853 +a(g693 +g1181 +tp4854 +a(g826 +V\u000a +p4855 +tp4856 +a(g826 +V +p4857 +tp4858 +a(g423 +Vrl +p4859 +tp4860 +a(g826 +g958 +tp4861 +a(g400 +g1243 +tp4862 +a(g826 +g958 +tp4863 +a(g400 +g1666 +tp4864 +a(g400 +g1161 +tp4865 +a(g423 +Vrq +p4866 +tp4867 +a(g826 +g958 +tp4868 +a(g400 +g981 +tp4869 +a(g400 +g981 +tp4870 +a(g826 +g958 +tp4871 +a(g423 +Vrl +p4872 +tp4873 +a(g693 +g962 +tp4874 +a(g826 +V\u000a +p4875 +tp4876 +a(g826 +V +p4877 +tp4878 +a(g7 +Vhd +p4879 +tp4880 +a(g826 +g958 +tp4881 +a(g423 +Vrl +p4882 +tp4883 +a(g826 +g958 +tp4884 +a(g400 +g1666 +tp4885 +a(g400 +g1161 +tp4886 +a(g400 +g1243 +tp4887 +a(g826 +g958 +tp4888 +a(g693 +g1150 +tp4889 +a(g423 +Vsys +p4890 +tp4891 +a(g400 +g1161 +tp4892 +a(g400 +g1163 +tp4893 +a(g423 +Vaprint +p4894 +tp4895 +a(g693 +g1150 +tp4896 +a(g76 +g969 +tp4897 +a(g76 +V%c%11d %11d %11d %11d +p4898 +tp4899 +a(g76 +g969 +tp4900 +a(g693 +g1012 +tp4901 +a(g826 +g958 +tp4902 +a(g423 +Vch +p4903 +tp4904 +a(g693 +g1012 +tp4905 +a(g826 +g958 +tp4906 +a(g423 +g2825 +tp4907 +a(g693 +g1572 +tp4908 +a(g423 +Vxy +p4909 +tp4910 +a(g693 +g1572 +tp4911 +a(g423 +g1574 +tp4912 +a(g693 +g1012 +tp4913 +a(g826 +g958 +tp4914 +a(g423 +g2825 +tp4915 +a(g693 +g1572 +tp4916 +a(g423 +Vxy +p4917 +tp4918 +a(g693 +g1572 +tp4919 +a(g423 +g1607 +tp4920 +a(g693 +g1012 +tp4921 +a(g826 +g958 +tp4922 +a(g423 +g2825 +tp4923 +a(g693 +g1572 +tp4924 +a(g423 +Vbuttons +p4925 +tp4926 +a(g693 +g1012 +tp4927 +a(g826 +g958 +tp4928 +a(g423 +g2825 +tp4929 +a(g693 +g1572 +tp4930 +a(g423 +Vmsec +p4931 +tp4932 +a(g693 +g1181 +tp4933 +a(g693 +g1012 +tp4934 +a(g826 +g958 +tp4935 +a(g896 +Vnil +p4936 +tp4937 +a(g693 +g1181 +tp4938 +a(g693 +g962 +tp4939 +a(g826 +V\u000a +p4940 +tp4941 +a(g826 +V +p4942 +tp4943 +a(g423 +Vrl +p4944 +tp4945 +a(g826 +g958 +tp4946 +a(g400 +g1243 +tp4947 +a(g826 +g958 +tp4948 +a(g7 +Vtl +p4949 +tp4950 +a(g826 +g958 +tp4951 +a(g423 +Vrl +p4952 +tp4953 +a(g693 +g962 +tp4954 +a(g826 +V\u000a +p4955 +tp4956 +a(g826 +g977 +tp4957 +a(g693 +g1186 +tp4958 +a(g826 +V\u000a +p4959 +tp4960 +a(g693 +g1186 +tp4961 +a(g826 +V\u000a +p4962 +tp4963 +a(g826 +V\u000a +p4964 +tp4965 +a(g423 +Vcursorset +p4966 +tp4967 +a(g693 +g1150 +tp4968 +a(g423 +g1939 +tp4969 +a(g400 +g981 +tp4970 +a(g826 +g958 +tp4971 +a(g881 +Vref +p4972 +tp4973 +a(g826 +g958 +tp4974 +a(g423 +VWindow +p4975 +tp4976 +a(g693 +g1012 +tp4977 +a(g826 +g958 +tp4978 +a(g423 +Vm +p4979 +tp4980 +a(g400 +g981 +tp4981 +a(g826 +g958 +tp4982 +a(g881 +Vstring +p4983 +tp4984 +a(g693 +g1181 +tp4985 +a(g400 +g981 +tp4986 +a(g826 +g958 +tp4987 +a(g881 +Vstring +p4988 +tp4989 +a(g826 +V\u000a +p4990 +tp4991 +a(g693 +g1139 +tp4992 +a(g826 +V\u000a +p4993 +tp4994 +a(g826 +g977 +tp4995 +a(g7 +Vif +p4996 +tp4997 +a(g693 +g1150 +tp4998 +a(g423 +g4979 +tp4999 +a(g826 +g958 +tp5000 +a(g400 +g1243 +tp5001 +a(g400 +g1243 +tp5002 +a(g826 +g958 +tp5003 +a(g896 +Vnil +p5004 +tp5005 +a(g826 +g958 +tp5006 +a(g400 +g1671 +tp5007 +a(g400 +g1671 +tp5008 +a(g826 +g958 +tp5009 +a(g423 +g4979 +tp5010 +a(g693 +g2300 +tp5011 +a(g22 +g1490 +tp5012 +a(g693 +g2304 +tp5013 +a(g826 +g958 +tp5014 +a(g400 +g1518 +tp5015 +a(g400 +g1243 +tp5016 +a(g826 +g958 +tp5017 +a(g80 +V'm' +p5018 +tp5019 +a(g693 +g1181 +tp5020 +a(g826 +V\u000a +p5021 +tp5022 +a(g826 +V +p5023 +tp5024 +a(g7 +Vreturn +p5025 +tp5026 +a(g826 +g958 +tp5027 +a(g76 +g969 +tp5028 +a(g76 +Vinvalid mouse message +p5029 +tp5030 +a(g76 +g969 +tp5031 +a(g693 +g962 +tp5032 +a(g826 +V\u000a +p5033 +tp5034 +a(g826 +g977 +tp5035 +a(g423 +g1574 +tp5036 +a(g826 +g958 +tp5037 +a(g400 +g981 +tp5038 +a(g400 +g1243 +tp5039 +a(g826 +g958 +tp5040 +a(g881 +Vint +p5041 +tp5042 +a(g826 +g958 +tp5043 +a(g423 +g4979 +tp5044 +a(g693 +g2300 +tp5045 +a(g22 +g1554 +tp5046 +a(g400 +g981 +tp5047 +a(g693 +g2304 +tp5048 +a(g693 +g962 +tp5049 +a(g826 +V\u000a +p5050 +tp5051 +a(g826 +g977 +tp5052 +a(g423 +Vfor +p5053 +tp5054 +a(g693 +g1150 +tp5055 +a(g423 +Vi +p5056 +tp5057 +a(g826 +g958 +tp5058 +a(g400 +g981 +tp5059 +a(g400 +g1243 +tp5060 +a(g826 +g958 +tp5061 +a(g22 +g1554 +tp5062 +a(g693 +g962 +tp5063 +a(g826 +g958 +tp5064 +a(g423 +g5056 +tp5065 +a(g826 +g958 +tp5066 +a(g400 +g1666 +tp5067 +a(g826 +g958 +tp5068 +a(g7 +Vlen +p5069 +tp5070 +a(g826 +g958 +tp5071 +a(g423 +g4979 +tp5072 +a(g693 +g962 +tp5073 +a(g826 +g958 +tp5074 +a(g423 +g5056 +tp5075 +a(g400 +g1920 +tp5076 +a(g400 +g1920 +tp5077 +a(g693 +g1181 +tp5078 +a(g826 +V\u000a +p5079 +tp5080 +a(g826 +V +p5081 +tp5082 +a(g7 +Vif +p5083 +tp5084 +a(g693 +g1150 +tp5085 +a(g423 +g4979 +tp5086 +a(g693 +g2300 +tp5087 +a(g423 +g5056 +tp5088 +a(g693 +g2304 +tp5089 +a(g826 +g958 +tp5090 +a(g400 +g1243 +tp5091 +a(g400 +g1243 +tp5092 +a(g826 +g958 +tp5093 +a(g80 +V' ' +p5094 +tp5095 +a(g693 +g1181 +tp5096 +a(g693 +g1139 +tp5097 +a(g826 +V\u000a +p5098 +tp5099 +a(g826 +V +p5100 +tp5101 +a(g7 +Vwhile +p5102 +tp5103 +a(g693 +g1150 +tp5104 +a(g423 +g4979 +tp5105 +a(g693 +g2300 +tp5106 +a(g423 +g5056 +tp5107 +a(g693 +g2304 +tp5108 +a(g826 +g958 +tp5109 +a(g400 +g1243 +tp5110 +a(g400 +g1243 +tp5111 +a(g826 +g958 +tp5112 +a(g80 +V' ' +p5113 +tp5114 +a(g693 +g1181 +tp5115 +a(g826 +V\u000a +p5116 +tp5117 +a(g826 +V +p5118 +tp5119 +a(g423 +g5056 +tp5120 +a(g400 +g1920 +tp5121 +a(g400 +g1920 +tp5122 +a(g693 +g962 +tp5123 +a(g826 +V\u000a +p5124 +tp5125 +a(g826 +V +p5126 +tp5127 +a(g7 +Vbreak +p5128 +tp5129 +a(g693 +g962 +tp5130 +a(g826 +V\u000a +p5131 +tp5132 +a(g826 +V +p5133 +tp5134 +a(g693 +g1186 +tp5135 +a(g826 +V\u000a +p5136 +tp5137 +a(g826 +g977 +tp5138 +a(g7 +Vif +p5139 +tp5140 +a(g693 +g1150 +tp5141 +a(g423 +g5056 +tp5142 +a(g826 +g958 +tp5143 +a(g400 +g1243 +tp5144 +a(g400 +g1243 +tp5145 +a(g826 +g958 +tp5146 +a(g7 +Vlen +p5147 +tp5148 +a(g826 +g958 +tp5149 +a(g423 +g4979 +tp5150 +a(g693 +g1181 +tp5151 +a(g826 +V\u000a +p5152 +tp5153 +a(g826 +V +p5154 +tp5155 +a(g7 +Vreturn +p5156 +tp5157 +a(g826 +g958 +tp5158 +a(g76 +g969 +tp5159 +a(g76 +Vinvalid mouse message +p5160 +tp5161 +a(g76 +g969 +tp5162 +a(g693 +g962 +tp5163 +a(g826 +V\u000a +p5164 +tp5165 +a(g826 +g977 +tp5166 +a(g423 +g1607 +tp5167 +a(g826 +g958 +tp5168 +a(g400 +g981 +tp5169 +a(g400 +g1243 +tp5170 +a(g826 +g958 +tp5171 +a(g881 +Vint +p5172 +tp5173 +a(g826 +g958 +tp5174 +a(g423 +g4979 +tp5175 +a(g693 +g2300 +tp5176 +a(g423 +g5056 +tp5177 +a(g400 +g981 +tp5178 +a(g693 +g2304 +tp5179 +a(g693 +g962 +tp5180 +a(g826 +V\u000a +p5181 +tp5182 +a(g826 +g977 +tp5183 +a(g7 +Vreturn +p5184 +tp5185 +a(g826 +g958 +tp5186 +a(g423 +g1939 +tp5187 +a(g693 +g1572 +tp5188 +a(g423 +Vwmctl +p5189 +tp5190 +a(g693 +g1150 +tp5191 +a(g423 +Vsys +p5192 +tp5193 +a(g400 +g1161 +tp5194 +a(g400 +g1163 +tp5195 +a(g423 +Vsprint +p5196 +tp5197 +a(g693 +g1150 +tp5198 +a(g76 +g969 +tp5199 +a(g76 +Vptr %d %d +p5200 +tp5201 +a(g76 +g969 +tp5202 +a(g693 +g1012 +tp5203 +a(g826 +g958 +tp5204 +a(g423 +g1574 +tp5205 +a(g693 +g1012 +tp5206 +a(g826 +g958 +tp5207 +a(g423 +g1607 +tp5208 +a(g693 +g1181 +tp5209 +a(g693 +g1181 +tp5210 +a(g693 +g962 +tp5211 +a(g826 +V\u000a +p5212 +tp5213 +a(g693 +g1186 +tp5214 +a(g826 +V\u000a +p5215 +tp5216 +a(g826 +V\u000a +p5217 +tp5218 +a(g423 +Vcursorswitch +p5219 +tp5220 +a(g693 +g1150 +tp5221 +a(g423 +g1939 +tp5222 +a(g400 +g981 +tp5223 +a(g826 +g958 +tp5224 +a(g881 +Vref +p5225 +tp5226 +a(g826 +g958 +tp5227 +a(g423 +VWindow +p5228 +tp5229 +a(g693 +g1012 +tp5230 +a(g826 +g958 +tp5231 +a(g423 +g3391 +tp5232 +a(g400 +g981 +tp5233 +a(g826 +g958 +tp5234 +a(g881 +Varray +p5235 +tp5236 +a(g826 +g958 +tp5237 +a(g881 +Vof +p5238 +tp5239 +a(g826 +g958 +tp5240 +a(g881 +Vbyte +p5241 +tp5242 +a(g693 +g1181 +tp5243 +a(g400 +g981 +tp5244 +a(g826 +g958 +tp5245 +a(g881 +Vstring +p5246 +tp5247 +a(g826 +V\u000a +p5248 +tp5249 +a(g693 +g1139 +tp5250 +a(g826 +V\u000a +p5251 +tp5252 +a(g826 +g977 +tp5253 +a(g423 +VHex +p5254 +tp5255 +a(g400 +g981 +tp5256 +a(g826 +g958 +tp5257 +a(g896 +Vcon +p5258 +tp5259 +a(g826 +g958 +tp5260 +a(g76 +g969 +tp5261 +a(g76 +V0123456789abcdef +p5262 +tp5263 +a(g76 +g969 +tp5264 +a(g693 +g962 +tp5265 +a(g826 +V\u000a +p5266 +tp5267 +a(g826 +g977 +tp5268 +a(g7 +Vif +p5269 +tp5270 +a(g693 +g1150 +tp5271 +a(g7 +Vlen +p5272 +tp5273 +a(g826 +g958 +tp5274 +a(g423 +g3391 +tp5275 +a(g826 +g958 +tp5276 +a(g400 +g1518 +tp5277 +a(g400 +g1243 +tp5278 +a(g826 +g958 +tp5279 +a(g22 +g1860 +tp5280 +a(g400 +g1628 +tp5281 +a(g22 +V4 +p5282 +tp5283 +a(g400 +g1920 +tp5284 +a(g22 +V64 +p5285 +tp5286 +a(g693 +g1181 +tp5287 +a(g826 +V\u000a +p5288 +tp5289 +a(g826 +V +p5290 +tp5291 +a(g7 +Vreturn +p5292 +tp5293 +a(g826 +g958 +tp5294 +a(g423 +g1939 +tp5295 +a(g693 +g1572 +tp5296 +a(g423 +Vwmctl +p5297 +tp5298 +a(g693 +g1150 +tp5299 +a(g76 +g969 +tp5300 +a(g76 +Vcursor +p5301 +tp5302 +a(g76 +g969 +tp5303 +a(g693 +g1181 +tp5304 +a(g693 +g962 +tp5305 +a(g826 +V\u000a +p5306 +tp5307 +a(g826 +g977 +tp5308 +a(g423 +Vhot +p5309 +tp5310 +a(g826 +g958 +tp5311 +a(g400 +g981 +tp5312 +a(g400 +g1243 +tp5313 +a(g826 +g958 +tp5314 +a(g423 +VDraw +p5315 +tp5316 +a(g400 +g1161 +tp5317 +a(g400 +g1163 +tp5318 +a(g423 +VPoint +p5319 +tp5320 +a(g693 +g1150 +tp5321 +a(g423 +Vbglong +p5322 +tp5323 +a(g693 +g1150 +tp5324 +a(g423 +g3391 +tp5325 +a(g693 +g1012 +tp5326 +a(g826 +g958 +tp5327 +a(g22 +g1490 +tp5328 +a(g400 +g1628 +tp5329 +a(g22 +g5282 +tp5330 +a(g693 +g1181 +tp5331 +a(g693 +g1012 +tp5332 +a(g826 +g958 +tp5333 +a(g423 +Vbglong +p5334 +tp5335 +a(g693 +g1150 +tp5336 +a(g423 +g3391 +tp5337 +a(g693 +g1012 +tp5338 +a(g826 +g958 +tp5339 +a(g22 +g1554 +tp5340 +a(g400 +g1628 +tp5341 +a(g22 +g5282 +tp5342 +a(g693 +g1181 +tp5343 +a(g693 +g1181 +tp5344 +a(g693 +g962 +tp5345 +a(g826 +V\u000a +p5346 +tp5347 +a(g826 +g977 +tp5348 +a(g423 +Vs +p5349 +tp5350 +a(g826 +g958 +tp5351 +a(g400 +g981 +tp5352 +a(g400 +g1243 +tp5353 +a(g826 +g958 +tp5354 +a(g423 +Vsys +p5355 +tp5356 +a(g400 +g1161 +tp5357 +a(g400 +g1163 +tp5358 +a(g423 +Vsprint +p5359 +tp5360 +a(g693 +g1150 +tp5361 +a(g76 +g969 +tp5362 +a(g76 +Vcursor %d %d 16 32 +p5363 +tp5364 +a(g76 +g969 +tp5365 +a(g693 +g1012 +tp5366 +a(g826 +g958 +tp5367 +a(g423 +Vhot +p5368 +tp5369 +a(g693 +g1572 +tp5370 +a(g423 +g1574 +tp5371 +a(g693 +g1012 +tp5372 +a(g826 +g958 +tp5373 +a(g423 +Vhot +p5374 +tp5375 +a(g693 +g1572 +tp5376 +a(g423 +g1607 +tp5377 +a(g693 +g1181 +tp5378 +a(g693 +g962 +tp5379 +a(g826 +V\u000a +p5380 +tp5381 +a(g826 +g977 +tp5382 +a(g423 +Vfor +p5383 +tp5384 +a(g693 +g1150 +tp5385 +a(g423 +g5056 +tp5386 +a(g826 +g958 +tp5387 +a(g400 +g981 +tp5388 +a(g400 +g1243 +tp5389 +a(g826 +g958 +tp5390 +a(g22 +g1860 +tp5391 +a(g400 +g1628 +tp5392 +a(g22 +g5282 +tp5393 +a(g693 +g962 +tp5394 +a(g826 +g958 +tp5395 +a(g423 +g5056 +tp5396 +a(g826 +g958 +tp5397 +a(g400 +g1666 +tp5398 +a(g826 +g958 +tp5399 +a(g7 +Vlen +p5400 +tp5401 +a(g826 +g958 +tp5402 +a(g423 +g3391 +tp5403 +a(g693 +g962 +tp5404 +a(g826 +g958 +tp5405 +a(g423 +g5056 +tp5406 +a(g400 +g1920 +tp5407 +a(g400 +g1920 +tp5408 +a(g693 +g1181 +tp5409 +a(g693 +g1139 +tp5410 +a(g826 +V\u000a +p5411 +tp5412 +a(g826 +V +p5413 +tp5414 +a(g423 +g2825 +tp5415 +a(g826 +g958 +tp5416 +a(g400 +g981 +tp5417 +a(g400 +g1243 +tp5418 +a(g826 +g958 +tp5419 +a(g881 +Vint +p5420 +tp5421 +a(g826 +g958 +tp5422 +a(g423 +g3391 +tp5423 +a(g693 +g2300 +tp5424 +a(g423 +g5056 +tp5425 +a(g693 +g2304 +tp5426 +a(g693 +g962 +tp5427 +a(g826 +V\u000a +p5428 +tp5429 +a(g826 +V +p5430 +tp5431 +a(g423 +g5349 +tp5432 +a(g693 +g2300 +tp5433 +a(g7 +Vlen +p5434 +tp5435 +a(g826 +g958 +tp5436 +a(g423 +g5349 +tp5437 +a(g693 +g2304 +tp5438 +a(g826 +g958 +tp5439 +a(g400 +g1243 +tp5440 +a(g826 +g958 +tp5441 +a(g423 +VHex +p5442 +tp5443 +a(g693 +g2300 +tp5444 +a(g423 +g2825 +tp5445 +a(g826 +g958 +tp5446 +a(g400 +g1163 +tp5447 +a(g400 +g1163 +tp5448 +a(g826 +g958 +tp5449 +a(g22 +g5282 +tp5450 +a(g693 +g2304 +tp5451 +a(g693 +g962 +tp5452 +a(g826 +V\u000a +p5453 +tp5454 +a(g826 +V +p5455 +tp5456 +a(g423 +g5349 +tp5457 +a(g693 +g2300 +tp5458 +a(g7 +Vlen +p5459 +tp5460 +a(g826 +g958 +tp5461 +a(g423 +g5349 +tp5462 +a(g693 +g2304 +tp5463 +a(g826 +g958 +tp5464 +a(g400 +g1243 +tp5465 +a(g826 +g958 +tp5466 +a(g423 +VHex +p5467 +tp5468 +a(g693 +g2300 +tp5469 +a(g423 +g2825 +tp5470 +a(g826 +g958 +tp5471 +a(g400 +g1729 +tp5472 +a(g826 +g958 +tp5473 +a(g285 +V16rf +p5474 +tp5475 +a(g693 +g2304 +tp5476 +a(g693 +g962 +tp5477 +a(g826 +V\u000a +p5478 +tp5479 +a(g826 +g977 +tp5480 +a(g693 +g1186 +tp5481 +a(g826 +V\u000a +p5482 +tp5483 +a(g826 +g977 +tp5484 +a(g7 +Vreturn +p5485 +tp5486 +a(g826 +g958 +tp5487 +a(g423 +g1939 +tp5488 +a(g693 +g1572 +tp5489 +a(g423 +Vwmctl +p5490 +tp5491 +a(g693 +g1150 +tp5492 +a(g423 +g5349 +tp5493 +a(g693 +g1181 +tp5494 +a(g693 +g962 +tp5495 +a(g826 +V\u000a +p5496 +tp5497 +a(g693 +g1186 +tp5498 +a(g826 +V\u000a +p5499 +tp5500 +a(g826 +V\u000a +p5501 +tp5502 +a(g423 +Vrun +p5503 +tp5504 +a(g693 +g1150 +tp5505 +a(g423 +Vsync +p5506 +tp5507 +a(g693 +g1012 +tp5508 +a(g826 +g958 +tp5509 +a(g423 +Vctl +p5510 +tp5511 +a(g400 +g981 +tp5512 +a(g826 +g958 +tp5513 +a(g881 +Vchan +p5514 +tp5515 +a(g826 +g958 +tp5516 +a(g881 +Vof +p5517 +tp5518 +a(g826 +g958 +tp5519 +a(g881 +Vstring +p5520 +tp5521 +a(g693 +g1012 +tp5522 +a(g826 +g958 +tp5523 +a(g423 +Vld +p5524 +tp5525 +a(g400 +g981 +tp5526 +a(g826 +g958 +tp5527 +a(g881 +Vstring +p5528 +tp5529 +a(g693 +g1012 +tp5530 +a(g826 +g958 +tp5531 +a(g423 +Vargv +p5532 +tp5533 +a(g400 +g981 +tp5534 +a(g826 +g958 +tp5535 +a(g881 +Vlist +p5536 +tp5537 +a(g826 +g958 +tp5538 +a(g881 +Vof +p5539 +tp5540 +a(g826 +g958 +tp5541 +a(g881 +Vstring +p5542 +tp5543 +a(g693 +g1181 +tp5544 +a(g826 +V\u000a +p5545 +tp5546 +a(g693 +g1139 +tp5547 +a(g826 +V\u000a +p5548 +tp5549 +a(g826 +g977 +tp5550 +a(g423 +VRcmeta +p5551 +tp5552 +a(g400 +g981 +tp5553 +a(g826 +g958 +tp5554 +a(g896 +Vcon +p5555 +tp5556 +a(g826 +g958 +tp5557 +a(g76 +g969 +tp5558 +a(g76 +V|<>&^*[]?(); +p5559 +tp5560 +a(g76 +g969 +tp5561 +a(g693 +g962 +tp5562 +a(g826 +V\u000a +p5563 +tp5564 +a(g826 +g977 +tp5565 +a(g423 +Vsys +p5566 +tp5567 +a(g400 +g1161 +tp5568 +a(g400 +g1163 +tp5569 +a(g423 +Vpctl +p5570 +tp5571 +a(g693 +g1150 +tp5572 +a(g423 +VSys +p5573 +tp5574 +a(g400 +g1161 +tp5575 +a(g400 +g1163 +tp5576 +a(g423 +VFORKNS +p5577 +tp5578 +a(g693 +g1012 +tp5579 +a(g826 +g958 +tp5580 +a(g896 +Vnil +p5581 +tp5582 +a(g693 +g1181 +tp5583 +a(g693 +g962 +tp5584 +a(g826 +V\u000a +p5585 +tp5586 +a(g826 +g977 +tp5587 +a(g7 +Vif +p5588 +tp5589 +a(g693 +g1150 +tp5590 +a(g423 +Vsys +p5591 +tp5592 +a(g400 +g1161 +tp5593 +a(g400 +g1163 +tp5594 +a(g423 +Vbind +p5595 +tp5596 +a(g693 +g1150 +tp5597 +a(g76 +g969 +tp5598 +a(g76 +V#\u20aa +p5599 +tp5600 +a(g76 +g969 +tp5601 +a(g693 +g1012 +tp5602 +a(g826 +g958 +tp5603 +a(g76 +g969 +tp5604 +a(g76 +V/srv +p5605 +tp5606 +a(g76 +g969 +tp5607 +a(g693 +g1012 +tp5608 +a(g826 +g958 +tp5609 +a(g423 +VSys +p5610 +tp5611 +a(g400 +g1161 +tp5612 +a(g400 +g1163 +tp5613 +a(g423 +VMCREATE +p5614 +tp5615 +a(g693 +g1181 +tp5616 +a(g826 +g958 +tp5617 +a(g400 +g1243 +tp5618 +a(g400 +g1243 +tp5619 +a(g826 +g958 +tp5620 +a(g400 +g1161 +tp5621 +a(g22 +g1554 +tp5622 +a(g693 +g1181 +tp5623 +a(g693 +g1139 +tp5624 +a(g826 +V\u000a +p5625 +tp5626 +a(g826 +V +p5627 +tp5628 +a(g423 +Vsync +p5629 +tp5630 +a(g826 +g958 +tp5631 +a(g400 +g1666 +tp5632 +a(g400 +g1161 +tp5633 +a(g400 +g1243 +tp5634 +a(g826 +g958 +tp5635 +a(g423 +Vsys +p5636 +tp5637 +a(g400 +g1161 +tp5638 +a(g400 +g1163 +tp5639 +a(g423 +Vsprint +p5640 +tp5641 +a(g693 +g1150 +tp5642 +a(g76 +g969 +tp5643 +a(g76 +Vcannot bind srv device: %r +p5644 +tp5645 +a(g76 +g969 +tp5646 +a(g693 +g1181 +tp5647 +a(g693 +g962 +tp5648 +a(g826 +V\u000a +p5649 +tp5650 +a(g826 +V +p5651 +tp5652 +a(g423 +Vexit +p5653 +tp5654 +a(g693 +g962 +tp5655 +a(g826 +V\u000a +p5656 +tp5657 +a(g826 +g977 +tp5658 +a(g693 +g1186 +tp5659 +a(g826 +V\u000a +p5660 +tp5661 +a(g826 +g977 +tp5662 +a(g423 +Vsrvname +p5663 +tp5664 +a(g826 +g958 +tp5665 +a(g400 +g981 +tp5666 +a(g400 +g1243 +tp5667 +a(g826 +g958 +tp5668 +a(g76 +g969 +tp5669 +a(g76 +V/srv/9win. +p5670 +tp5671 +a(g76 +g969 +tp5672 +a(g400 +g1920 +tp5673 +a(g881 +Vstring +p5674 +tp5675 +a(g826 +g958 +tp5676 +a(g423 +Vsys +p5677 +tp5678 +a(g400 +g1161 +tp5679 +a(g400 +g1163 +tp5680 +a(g423 +Vpctl +p5681 +tp5682 +a(g693 +g1150 +tp5683 +a(g22 +g1490 +tp5684 +a(g693 +g1012 +tp5685 +a(g826 +g958 +tp5686 +a(g896 +Vnil +p5687 +tp5688 +a(g693 +g1181 +tp5689 +a(g693 +g962 +tp5690 +a(g826 +g977 +tp5691 +a(g745 +V# XXX do better.\u000a +p5692 +tp5693 +a(g826 +g977 +tp5694 +a(g423 +Vfd +p5695 +tp5696 +a(g826 +g958 +tp5697 +a(g400 +g981 +tp5698 +a(g400 +g1243 +tp5699 +a(g826 +g958 +tp5700 +a(g423 +Vsys +p5701 +tp5702 +a(g400 +g1161 +tp5703 +a(g400 +g1163 +tp5704 +a(g423 +Vcreate +p5705 +tp5706 +a(g693 +g1150 +tp5707 +a(g423 +Vsrvname +p5708 +tp5709 +a(g693 +g1012 +tp5710 +a(g826 +g958 +tp5711 +a(g423 +VSys +p5712 +tp5713 +a(g400 +g1161 +tp5714 +a(g400 +g1163 +tp5715 +a(g423 +VORDWR +p5716 +tp5717 +a(g693 +g1012 +tp5718 +a(g826 +g958 +tp5719 +a(g269 +V8r600 +p5720 +tp5721 +a(g693 +g1181 +tp5722 +a(g693 +g962 +tp5723 +a(g826 +V\u000a +p5724 +tp5725 +a(g826 +g977 +tp5726 +a(g7 +Vif +p5727 +tp5728 +a(g693 +g1150 +tp5729 +a(g423 +Vfd +p5730 +tp5731 +a(g826 +g958 +tp5732 +a(g400 +g1243 +tp5733 +a(g400 +g1243 +tp5734 +a(g826 +g958 +tp5735 +a(g896 +Vnil +p5736 +tp5737 +a(g693 +g1181 +tp5738 +a(g693 +g1139 +tp5739 +a(g826 +V\u000a +p5740 +tp5741 +a(g826 +V +p5742 +tp5743 +a(g423 +Vsync +p5744 +tp5745 +a(g826 +g958 +tp5746 +a(g400 +g1666 +tp5747 +a(g400 +g1161 +tp5748 +a(g400 +g1243 +tp5749 +a(g826 +g958 +tp5750 +a(g423 +Vsys +p5751 +tp5752 +a(g400 +g1161 +tp5753 +a(g400 +g1163 +tp5754 +a(g423 +Vsprint +p5755 +tp5756 +a(g693 +g1150 +tp5757 +a(g76 +g969 +tp5758 +a(g76 +Vcannot create %s: %r +p5759 +tp5760 +a(g76 +g969 +tp5761 +a(g693 +g1012 +tp5762 +a(g826 +g958 +tp5763 +a(g423 +Vsrvname +p5764 +tp5765 +a(g693 +g1181 +tp5766 +a(g693 +g962 +tp5767 +a(g826 +V\u000a +p5768 +tp5769 +a(g826 +V +p5770 +tp5771 +a(g423 +Vexit +p5772 +tp5773 +a(g693 +g962 +tp5774 +a(g826 +V\u000a +p5775 +tp5776 +a(g826 +g977 +tp5777 +a(g693 +g1186 +tp5778 +a(g826 +V\u000a +p5779 +tp5780 +a(g826 +g977 +tp5781 +a(g423 +Vsync +p5782 +tp5783 +a(g826 +g958 +tp5784 +a(g400 +g1666 +tp5785 +a(g400 +g1161 +tp5786 +a(g400 +g1243 +tp5787 +a(g826 +g958 +tp5788 +a(g896 +Vnil +p5789 +tp5790 +a(g693 +g962 +tp5791 +a(g826 +V\u000a +p5792 +tp5793 +a(g826 +g977 +tp5794 +a(g7 +Vspawn +p5795 +tp5796 +a(g826 +g958 +tp5797 +a(g423 +Vexport +p5798 +tp5799 +a(g693 +g1150 +tp5800 +a(g423 +Vfd +p5801 +tp5802 +a(g693 +g1012 +tp5803 +a(g826 +g958 +tp5804 +a(g423 +Vctl +p5805 +tp5806 +a(g693 +g1181 +tp5807 +a(g693 +g962 +tp5808 +a(g826 +V\u000a +p5809 +tp5810 +a(g826 +g977 +tp5811 +a(g423 +Vsh +p5812 +tp5813 +a(g400 +g1161 +tp5814 +a(g400 +g1163 +tp5815 +a(g423 +Vrun +p5816 +tp5817 +a(g693 +g1150 +tp5818 +a(g896 +Vnil +p5819 +tp5820 +a(g693 +g1012 +tp5821 +a(g826 +g958 +tp5822 +a(g76 +g969 +tp5823 +a(g76 +Vos +p5824 +tp5825 +a(g76 +g969 +tp5826 +a(g826 +g958 +tp5827 +a(g400 +g981 +tp5828 +a(g400 +g981 +tp5829 +a(g826 +V\u000a +p5830 +tp5831 +a(g826 +V +p5832 +tp5833 +a(g76 +g969 +tp5834 +a(g76 +Vrc +p5835 +tp5836 +a(g76 +g969 +tp5837 +a(g826 +g958 +tp5838 +a(g400 +g981 +tp5839 +a(g400 +g981 +tp5840 +a(g826 +g958 +tp5841 +a(g76 +g969 +tp5842 +a(g76 +V-c +p5843 +tp5844 +a(g76 +g969 +tp5845 +a(g826 +g958 +tp5846 +a(g400 +g981 +tp5847 +a(g400 +g981 +tp5848 +a(g826 +V\u000a +p5849 +tp5850 +a(g826 +V +p5851 +tp5852 +a(g76 +g969 +tp5853 +a(g76 +Vmount +p5854 +tp5855 +a(g76 +g969 +tp5856 +a(g400 +g1920 +tp5857 +a(g423 +Vsrvname +p5858 +tp5859 +a(g400 +g1920 +tp5860 +a(g76 +g969 +tp5861 +a(g76 +V /mnt/term; +p5862 +tp5863 +a(g76 +g969 +tp5864 +a(g400 +g1920 +tp5865 +a(g826 +V\u000a +p5866 +tp5867 +a(g826 +V +p5868 +tp5869 +a(g76 +g969 +tp5870 +a(g76 +Vrm +p5871 +tp5872 +a(g76 +g969 +tp5873 +a(g400 +g1920 +tp5874 +a(g423 +Vsrvname +p5875 +tp5876 +a(g400 +g1920 +tp5877 +a(g76 +g969 +tp5878 +a(g76 +g962 +tp5879 +a(g76 +g969 +tp5880 +a(g400 +g1920 +tp5881 +a(g826 +V\u000a +p5882 +tp5883 +a(g826 +V +p5884 +tp5885 +a(g76 +g969 +tp5886 +a(g76 +Vbind -b /mnt/term +p5887 +tp5888 +a(g76 +g969 +tp5889 +a(g400 +g1920 +tp5890 +a(g423 +Vld +p5891 +tp5892 +a(g400 +g1920 +tp5893 +a(g76 +g969 +tp5894 +a(g76 +V /dev; +p5895 +tp5896 +a(g76 +g969 +tp5897 +a(g400 +g1920 +tp5898 +a(g826 +V\u000a +p5899 +tp5900 +a(g826 +V +p5901 +tp5902 +a(g76 +g969 +tp5903 +a(g76 +Vbind /mnt/term/dev/draw /dev/draw || +p5904 +tp5905 +a(g76 +g969 +tp5906 +a(g400 +g1920 +tp5907 +a(g826 +V\u000a +p5908 +tp5909 +a(g826 +V +p5910 +tp5911 +a(g76 +g969 +tp5912 +a(g76 +Vbind -a /mnt/term/dev /dev; +p5913 +tp5914 +a(g76 +g969 +tp5915 +a(g400 +g1920 +tp5916 +a(g826 +V\u000a +p5917 +tp5918 +a(g826 +V +p5919 +tp5920 +a(g423 +Vquotedc +p5921 +tp5922 +a(g693 +g1150 +tp5923 +a(g76 +g969 +tp5924 +a(g76 +Vcd +p5925 +tp5926 +a(g76 +g969 +tp5927 +a(g400 +g981 +tp5928 +a(g400 +g981 +tp5929 +a(g76 +g969 +tp5930 +a(g76 +V/mnt/term +p5931 +tp5932 +a(g76 +g969 +tp5933 +a(g400 +g1920 +tp5934 +a(g423 +Vcwd +p5935 +tp5936 +a(g693 +g1150 +tp5937 +a(g693 +g1181 +tp5938 +a(g400 +g981 +tp5939 +a(g400 +g981 +tp5940 +a(g896 +Vnil +p5941 +tp5942 +a(g693 +g1012 +tp5943 +a(g826 +g958 +tp5944 +a(g423 +VRcmeta +p5945 +tp5946 +a(g693 +g1181 +tp5947 +a(g400 +g1920 +tp5948 +a(g76 +g969 +tp5949 +a(g76 +g962 +tp5950 +a(g76 +g969 +tp5951 +a(g400 +g1920 +tp5952 +a(g826 +V\u000a +p5953 +tp5954 +a(g826 +V +p5955 +tp5956 +a(g423 +Vquotedc +p5957 +tp5958 +a(g693 +g1150 +tp5959 +a(g423 +Vargv +p5960 +tp5961 +a(g693 +g1012 +tp5962 +a(g826 +g958 +tp5963 +a(g423 +VRcmeta +p5964 +tp5965 +a(g693 +g1181 +tp5966 +a(g400 +g1920 +tp5967 +a(g76 +g969 +tp5968 +a(g76 +g962 +tp5969 +a(g76 +g969 +tp5970 +a(g400 +g981 +tp5971 +a(g400 +g981 +tp5972 +a(g826 +V\u000a +p5973 +tp5974 +a(g826 +V +p5975 +tp5976 +a(g896 +Vnil +p5977 +tp5978 +a(g826 +V\u000a +p5979 +tp5980 +a(g826 +V +p5981 +tp5982 +a(g693 +g1181 +tp5983 +a(g693 +g962 +tp5984 +a(g826 +V\u000a +p5985 +tp5986 +a(g693 +g1186 +tp5987 +a(g826 +V\u000a +p5988 +tp5989 +a(g826 +V\u000a +p5990 +tp5991 +a(g423 +Vexport +p5992 +tp5993 +a(g693 +g1150 +tp5994 +a(g423 +Vfd +p5995 +tp5996 +a(g400 +g981 +tp5997 +a(g826 +g958 +tp5998 +a(g881 +Vref +p5999 +tp6000 +a(g826 +g958 +tp6001 +a(g423 +VSys +p6002 +tp6003 +a(g400 +g1161 +tp6004 +a(g400 +g1163 +tp6005 +a(g423 +VFD +p6006 +tp6007 +a(g693 +g1012 +tp6008 +a(g826 +g958 +tp6009 +a(g423 +Vctl +p6010 +tp6011 +a(g400 +g981 +tp6012 +a(g826 +g958 +tp6013 +a(g881 +Vchan +p6014 +tp6015 +a(g826 +g958 +tp6016 +a(g881 +Vof +p6017 +tp6018 +a(g826 +g958 +tp6019 +a(g881 +Vstring +p6020 +tp6021 +a(g693 +g1181 +tp6022 +a(g826 +V\u000a +p6023 +tp6024 +a(g693 +g1139 +tp6025 +a(g826 +V\u000a +p6026 +tp6027 +a(g826 +g977 +tp6028 +a(g423 +Vsys +p6029 +tp6030 +a(g400 +g1161 +tp6031 +a(g400 +g1163 +tp6032 +a(g423 +Vexport +p6033 +tp6034 +a(g693 +g1150 +tp6035 +a(g423 +Vfd +p6036 +tp6037 +a(g693 +g1012 +tp6038 +a(g826 +g958 +tp6039 +a(g76 +g969 +tp6040 +a(g76 +V/ +p6041 +tp6042 +a(g76 +g969 +tp6043 +a(g693 +g1012 +tp6044 +a(g826 +g958 +tp6045 +a(g423 +VSys +p6046 +tp6047 +a(g400 +g1161 +tp6048 +a(g400 +g1163 +tp6049 +a(g423 +VEXPWAIT +p6050 +tp6051 +a(g693 +g1181 +tp6052 +a(g693 +g962 +tp6053 +a(g826 +V\u000a +p6054 +tp6055 +a(g826 +g977 +tp6056 +a(g423 +Vctl +p6057 +tp6058 +a(g826 +g958 +tp6059 +a(g400 +g1666 +tp6060 +a(g400 +g1161 +tp6061 +a(g400 +g1243 +tp6062 +a(g826 +g958 +tp6063 +a(g76 +g969 +tp6064 +a(g76 +Vexit +p6065 +tp6066 +a(g76 +g969 +tp6067 +a(g693 +g962 +tp6068 +a(g826 +V\u000a +p6069 +tp6070 +a(g693 +g1186 +tp6071 +a(g826 +V\u000a +p6072 +tp6073 +a(g826 +V\u000a +p6074 +tp6075 +a(g423 +Vinf2p9key +p6076 +tp6077 +a(g693 +g1150 +tp6078 +a(g423 +g2825 +tp6079 +a(g400 +g981 +tp6080 +a(g826 +g958 +tp6081 +a(g881 +Vint +p6082 +tp6083 +a(g693 +g1181 +tp6084 +a(g400 +g981 +tp6085 +a(g826 +g958 +tp6086 +a(g881 +Vint +p6087 +tp6088 +a(g826 +V\u000a +p6089 +tp6090 +a(g693 +g1139 +tp6091 +a(g826 +V\u000a +p6092 +tp6093 +a(g826 +g977 +tp6094 +a(g423 +VKF +p6095 +tp6096 +a(g400 +g981 +tp6097 +a(g826 +g958 +tp6098 +a(g7 +Vimport +p6099 +tp6100 +a(g826 +g958 +tp6101 +a(g423 +VKeyboard +p6102 +tp6103 +a(g693 +g962 +tp6104 +a(g826 +V\u000a +p6105 +tp6106 +a(g826 +V\u000a +p6107 +tp6108 +a(g826 +g977 +tp6109 +a(g423 +VP9KF +p6110 +tp6111 +a(g400 +g981 +tp6112 +a(g826 +g958 +tp6113 +a(g896 +Vcon +p6114 +tp6115 +a(g826 +g977 +tp6116 +a(g285 +V16rF000 +p6117 +tp6118 +a(g693 +g962 +tp6119 +a(g826 +V\u000a +p6120 +tp6121 +a(g826 +g977 +tp6122 +a(g423 +VSpec +p6123 +tp6124 +a(g400 +g981 +tp6125 +a(g826 +g958 +tp6126 +a(g896 +Vcon +p6127 +tp6128 +a(g826 +g977 +tp6129 +a(g285 +V16rF800 +p6130 +tp6131 +a(g693 +g962 +tp6132 +a(g826 +V\u000a +p6133 +tp6134 +a(g826 +g977 +tp6135 +a(g423 +VKhome +p6136 +tp6137 +a(g400 +g981 +tp6138 +a(g826 +g958 +tp6139 +a(g896 +Vcon +p6140 +tp6141 +a(g826 +g977 +tp6142 +a(g423 +VP9KF +p6143 +tp6144 +a(g400 +g1671 +tp6145 +a(g285 +V16r0D +p6146 +tp6147 +a(g693 +g962 +tp6148 +a(g826 +V\u000a +p6149 +tp6150 +a(g826 +g977 +tp6151 +a(g423 +VKup +p6152 +tp6153 +a(g400 +g981 +tp6154 +a(g826 +g958 +tp6155 +a(g896 +Vcon +p6156 +tp6157 +a(g826 +g977 +tp6158 +a(g423 +VP9KF +p6159 +tp6160 +a(g400 +g1671 +tp6161 +a(g285 +V16r0E +p6162 +tp6163 +a(g693 +g962 +tp6164 +a(g826 +V\u000a +p6165 +tp6166 +a(g826 +g977 +tp6167 +a(g423 +VKpgup +p6168 +tp6169 +a(g400 +g981 +tp6170 +a(g826 +g958 +tp6171 +a(g896 +Vcon +p6172 +tp6173 +a(g826 +g977 +tp6174 +a(g423 +VP9KF +p6175 +tp6176 +a(g400 +g1671 +tp6177 +a(g285 +V16r0F +p6178 +tp6179 +a(g693 +g962 +tp6180 +a(g826 +V\u000a +p6181 +tp6182 +a(g826 +g977 +tp6183 +a(g423 +VKprint +p6184 +tp6185 +a(g400 +g981 +tp6186 +a(g826 +g958 +tp6187 +a(g896 +Vcon +p6188 +tp6189 +a(g826 +g977 +tp6190 +a(g423 +VP9KF +p6191 +tp6192 +a(g400 +g1671 +tp6193 +a(g285 +V16r10 +p6194 +tp6195 +a(g693 +g962 +tp6196 +a(g826 +V\u000a +p6197 +tp6198 +a(g826 +g977 +tp6199 +a(g423 +VKleft +p6200 +tp6201 +a(g400 +g981 +tp6202 +a(g826 +g958 +tp6203 +a(g896 +Vcon +p6204 +tp6205 +a(g826 +g977 +tp6206 +a(g423 +VP9KF +p6207 +tp6208 +a(g400 +g1671 +tp6209 +a(g285 +V16r11 +p6210 +tp6211 +a(g693 +g962 +tp6212 +a(g826 +V\u000a +p6213 +tp6214 +a(g826 +g977 +tp6215 +a(g423 +VKright +p6216 +tp6217 +a(g400 +g981 +tp6218 +a(g826 +g958 +tp6219 +a(g896 +Vcon +p6220 +tp6221 +a(g826 +g977 +tp6222 +a(g423 +VP9KF +p6223 +tp6224 +a(g400 +g1671 +tp6225 +a(g285 +V16r12 +p6226 +tp6227 +a(g693 +g962 +tp6228 +a(g826 +V\u000a +p6229 +tp6230 +a(g826 +g977 +tp6231 +a(g423 +VKdown +p6232 +tp6233 +a(g400 +g981 +tp6234 +a(g826 +g958 +tp6235 +a(g896 +Vcon +p6236 +tp6237 +a(g826 +g977 +tp6238 +a(g423 +VSpec +p6239 +tp6240 +a(g400 +g1671 +tp6241 +a(g285 +V16r00 +p6242 +tp6243 +a(g693 +g962 +tp6244 +a(g826 +V\u000a +p6245 +tp6246 +a(g826 +g977 +tp6247 +a(g423 +VKview +p6248 +tp6249 +a(g400 +g981 +tp6250 +a(g826 +g958 +tp6251 +a(g896 +Vcon +p6252 +tp6253 +a(g826 +g977 +tp6254 +a(g423 +VSpec +p6255 +tp6256 +a(g400 +g1671 +tp6257 +a(g285 +V16r00 +p6258 +tp6259 +a(g693 +g962 +tp6260 +a(g826 +V\u000a +p6261 +tp6262 +a(g826 +g977 +tp6263 +a(g423 +VKpgdown +p6264 +tp6265 +a(g400 +g981 +tp6266 +a(g826 +g958 +tp6267 +a(g896 +Vcon +p6268 +tp6269 +a(g826 +g977 +tp6270 +a(g423 +VP9KF +p6271 +tp6272 +a(g400 +g1671 +tp6273 +a(g285 +V16r13 +p6274 +tp6275 +a(g693 +g962 +tp6276 +a(g826 +V\u000a +p6277 +tp6278 +a(g826 +g977 +tp6279 +a(g423 +VKins +p6280 +tp6281 +a(g400 +g981 +tp6282 +a(g826 +g958 +tp6283 +a(g896 +Vcon +p6284 +tp6285 +a(g826 +g977 +tp6286 +a(g423 +VP9KF +p6287 +tp6288 +a(g400 +g1671 +tp6289 +a(g285 +V16r14 +p6290 +tp6291 +a(g693 +g962 +tp6292 +a(g826 +V\u000a +p6293 +tp6294 +a(g826 +g977 +tp6295 +a(g423 +VKend +p6296 +tp6297 +a(g400 +g981 +tp6298 +a(g826 +g958 +tp6299 +a(g896 +Vcon +p6300 +tp6301 +a(g826 +g977 +tp6302 +a(g423 +VP9KF +p6303 +tp6304 +a(g400 +g1671 +tp6305 +a(g285 +V16r18 +p6306 +tp6307 +a(g693 +g962 +tp6308 +a(g826 +V\u000a +p6309 +tp6310 +a(g826 +g977 +tp6311 +a(g423 +VKalt +p6312 +tp6313 +a(g400 +g981 +tp6314 +a(g826 +g958 +tp6315 +a(g896 +Vcon +p6316 +tp6317 +a(g826 +V +p6318 +tp6319 +a(g423 +VP9KF +p6320 +tp6321 +a(g400 +g1671 +tp6322 +a(g285 +V16r15 +p6323 +tp6324 +a(g693 +g962 +tp6325 +a(g826 +V\u000a +p6326 +tp6327 +a(g826 +g977 +tp6328 +a(g423 +VKshift +p6329 +tp6330 +a(g400 +g981 +tp6331 +a(g826 +g958 +tp6332 +a(g896 +Vcon +p6333 +tp6334 +a(g826 +g977 +tp6335 +a(g423 +VP9KF +p6336 +tp6337 +a(g400 +g1671 +tp6338 +a(g285 +V16r16 +p6339 +tp6340 +a(g693 +g962 +tp6341 +a(g826 +V\u000a +p6342 +tp6343 +a(g826 +g977 +tp6344 +a(g423 +VKctl +p6345 +tp6346 +a(g400 +g981 +tp6347 +a(g826 +g958 +tp6348 +a(g896 +Vcon +p6349 +tp6350 +a(g826 +V +p6351 +tp6352 +a(g423 +VP9KF +p6353 +tp6354 +a(g400 +g1671 +tp6355 +a(g285 +V16r17 +p6356 +tp6357 +a(g693 +g962 +tp6358 +a(g826 +V\u000a +p6359 +tp6360 +a(g826 +V\u000a +p6361 +tp6362 +a(g826 +g977 +tp6363 +a(g7 +Vcase +p6364 +tp6365 +a(g826 +g958 +tp6366 +a(g423 +g2825 +tp6367 +a(g826 +g958 +tp6368 +a(g693 +g1139 +tp6369 +a(g826 +V\u000a +p6370 +tp6371 +a(g826 +g977 +tp6372 +a(g423 +VKeyboard +p6373 +tp6374 +a(g400 +g1161 +tp6375 +a(g400 +g1163 +tp6376 +a(g423 +VLShift +p6377 +tp6378 +a(g826 +g958 +tp6379 +a(g400 +g1243 +tp6380 +a(g400 +g1163 +tp6381 +a(g826 +V\u000a +p6382 +tp6383 +a(g826 +V +p6384 +tp6385 +a(g7 +Vreturn +p6386 +tp6387 +a(g826 +g958 +tp6388 +a(g423 +VKshift +p6389 +tp6390 +a(g693 +g962 +tp6391 +a(g826 +V\u000a +p6392 +tp6393 +a(g826 +g977 +tp6394 +a(g423 +VKeyboard +p6395 +tp6396 +a(g400 +g1161 +tp6397 +a(g400 +g1163 +tp6398 +a(g423 +VLCtrl +p6399 +tp6400 +a(g826 +g958 +tp6401 +a(g400 +g1243 +tp6402 +a(g400 +g1163 +tp6403 +a(g826 +V\u000a +p6404 +tp6405 +a(g826 +V +p6406 +tp6407 +a(g7 +Vreturn +p6408 +tp6409 +a(g826 +g958 +tp6410 +a(g423 +VKctl +p6411 +tp6412 +a(g693 +g962 +tp6413 +a(g826 +V\u000a +p6414 +tp6415 +a(g826 +g977 +tp6416 +a(g423 +VKeyboard +p6417 +tp6418 +a(g400 +g1161 +tp6419 +a(g400 +g1163 +tp6420 +a(g423 +VLAlt +p6421 +tp6422 +a(g826 +g958 +tp6423 +a(g400 +g1243 +tp6424 +a(g400 +g1163 +tp6425 +a(g826 +V\u000a +p6426 +tp6427 +a(g826 +V +p6428 +tp6429 +a(g7 +Vreturn +p6430 +tp6431 +a(g826 +g958 +tp6432 +a(g423 +VKalt +p6433 +tp6434 +a(g693 +g962 +tp6435 +a(g826 +V\u000a +p6436 +tp6437 +a(g826 +g977 +tp6438 +a(g423 +VKeyboard +p6439 +tp6440 +a(g400 +g1161 +tp6441 +a(g400 +g1163 +tp6442 +a(g423 +VHome +p6443 +tp6444 +a(g826 +g958 +tp6445 +a(g400 +g1243 +tp6446 +a(g400 +g1163 +tp6447 +a(g826 +V\u000a +p6448 +tp6449 +a(g826 +V +p6450 +tp6451 +a(g7 +Vreturn +p6452 +tp6453 +a(g826 +g958 +tp6454 +a(g423 +VKhome +p6455 +tp6456 +a(g693 +g962 +tp6457 +a(g826 +V\u000a +p6458 +tp6459 +a(g826 +g977 +tp6460 +a(g423 +VKeyboard +p6461 +tp6462 +a(g400 +g1161 +tp6463 +a(g400 +g1163 +tp6464 +a(g423 +VEnd +p6465 +tp6466 +a(g826 +g958 +tp6467 +a(g400 +g1243 +tp6468 +a(g400 +g1163 +tp6469 +a(g826 +V\u000a +p6470 +tp6471 +a(g826 +V +p6472 +tp6473 +a(g7 +Vreturn +p6474 +tp6475 +a(g826 +g958 +tp6476 +a(g423 +VKend +p6477 +tp6478 +a(g693 +g962 +tp6479 +a(g826 +V\u000a +p6480 +tp6481 +a(g826 +g977 +tp6482 +a(g423 +VKeyboard +p6483 +tp6484 +a(g400 +g1161 +tp6485 +a(g400 +g1163 +tp6486 +a(g423 +VUp +p6487 +tp6488 +a(g826 +g958 +tp6489 +a(g400 +g1243 +tp6490 +a(g400 +g1163 +tp6491 +a(g826 +V\u000a +p6492 +tp6493 +a(g826 +V +p6494 +tp6495 +a(g7 +Vreturn +p6496 +tp6497 +a(g826 +g958 +tp6498 +a(g423 +VKup +p6499 +tp6500 +a(g693 +g962 +tp6501 +a(g826 +V\u000a +p6502 +tp6503 +a(g826 +g977 +tp6504 +a(g423 +VKeyboard +p6505 +tp6506 +a(g400 +g1161 +tp6507 +a(g400 +g1163 +tp6508 +a(g423 +VDown +p6509 +tp6510 +a(g826 +g958 +tp6511 +a(g400 +g1243 +tp6512 +a(g400 +g1163 +tp6513 +a(g826 +V\u000a +p6514 +tp6515 +a(g826 +V +p6516 +tp6517 +a(g7 +Vreturn +p6518 +tp6519 +a(g826 +g958 +tp6520 +a(g423 +VKdown +p6521 +tp6522 +a(g693 +g962 +tp6523 +a(g826 +V\u000a +p6524 +tp6525 +a(g826 +g977 +tp6526 +a(g423 +VKeyboard +p6527 +tp6528 +a(g400 +g1161 +tp6529 +a(g400 +g1163 +tp6530 +a(g423 +VLeft +p6531 +tp6532 +a(g826 +g958 +tp6533 +a(g400 +g1243 +tp6534 +a(g400 +g1163 +tp6535 +a(g826 +V\u000a +p6536 +tp6537 +a(g826 +V +p6538 +tp6539 +a(g7 +Vreturn +p6540 +tp6541 +a(g826 +g958 +tp6542 +a(g423 +VKleft +p6543 +tp6544 +a(g693 +g962 +tp6545 +a(g826 +V\u000a +p6546 +tp6547 +a(g826 +g977 +tp6548 +a(g423 +VKeyboard +p6549 +tp6550 +a(g400 +g1161 +tp6551 +a(g400 +g1163 +tp6552 +a(g423 +VRight +p6553 +tp6554 +a(g826 +g958 +tp6555 +a(g400 +g1243 +tp6556 +a(g400 +g1163 +tp6557 +a(g826 +V\u000a +p6558 +tp6559 +a(g826 +V +p6560 +tp6561 +a(g7 +Vreturn +p6562 +tp6563 +a(g826 +g958 +tp6564 +a(g423 +VKright +p6565 +tp6566 +a(g693 +g962 +tp6567 +a(g826 +V\u000a +p6568 +tp6569 +a(g826 +g977 +tp6570 +a(g423 +VKeyboard +p6571 +tp6572 +a(g400 +g1161 +tp6573 +a(g400 +g1163 +tp6574 +a(g423 +VPgup +p6575 +tp6576 +a(g826 +g958 +tp6577 +a(g400 +g1243 +tp6578 +a(g400 +g1163 +tp6579 +a(g826 +V\u000a +p6580 +tp6581 +a(g826 +V +p6582 +tp6583 +a(g7 +Vreturn +p6584 +tp6585 +a(g826 +g958 +tp6586 +a(g423 +VKpgup +p6587 +tp6588 +a(g693 +g962 +tp6589 +a(g826 +V\u000a +p6590 +tp6591 +a(g826 +g977 +tp6592 +a(g423 +VKeyboard +p6593 +tp6594 +a(g400 +g1161 +tp6595 +a(g400 +g1163 +tp6596 +a(g423 +VPgdown +p6597 +tp6598 +a(g826 +g958 +tp6599 +a(g400 +g1243 +tp6600 +a(g400 +g1163 +tp6601 +a(g826 +V\u000a +p6602 +tp6603 +a(g826 +V +p6604 +tp6605 +a(g7 +Vreturn +p6606 +tp6607 +a(g826 +g958 +tp6608 +a(g423 +VKpgdown +p6609 +tp6610 +a(g693 +g962 +tp6611 +a(g826 +V\u000a +p6612 +tp6613 +a(g826 +g977 +tp6614 +a(g423 +VKeyboard +p6615 +tp6616 +a(g400 +g1161 +tp6617 +a(g400 +g1163 +tp6618 +a(g423 +VIns +p6619 +tp6620 +a(g826 +g958 +tp6621 +a(g400 +g1243 +tp6622 +a(g400 +g1163 +tp6623 +a(g826 +V\u000a +p6624 +tp6625 +a(g826 +V +p6626 +tp6627 +a(g7 +Vreturn +p6628 +tp6629 +a(g826 +g958 +tp6630 +a(g423 +VKins +p6631 +tp6632 +a(g693 +g962 +tp6633 +a(g826 +V\u000a +p6634 +tp6635 +a(g826 +V\u000a +p6636 +tp6637 +a(g826 +g977 +tp6638 +a(g745 +V# function keys\u000a +p6639 +tp6640 +a(g826 +g977 +tp6641 +a(g423 +VKF +p6642 +tp6643 +a(g400 +g1671 +tp6644 +a(g22 +g1554 +tp6645 +a(g826 +g958 +tp6646 +a(g423 +Vor +p6647 +tp6648 +a(g826 +V\u000a +p6649 +tp6650 +a(g826 +g977 +tp6651 +a(g423 +VKF +p6652 +tp6653 +a(g400 +g1671 +tp6654 +a(g22 +g1860 +tp6655 +a(g826 +g958 +tp6656 +a(g423 +Vor +p6657 +tp6658 +a(g826 +V\u000a +p6659 +tp6660 +a(g826 +g977 +tp6661 +a(g423 +VKF +p6662 +tp6663 +a(g400 +g1671 +tp6664 +a(g22 +V3 +p6665 +tp6666 +a(g826 +g958 +tp6667 +a(g423 +Vor +p6668 +tp6669 +a(g826 +V\u000a +p6670 +tp6671 +a(g826 +g977 +tp6672 +a(g423 +VKF +p6673 +tp6674 +a(g400 +g1671 +tp6675 +a(g22 +g5282 +tp6676 +a(g826 +g958 +tp6677 +a(g423 +Vor +p6678 +tp6679 +a(g826 +V\u000a +p6680 +tp6681 +a(g826 +g977 +tp6682 +a(g423 +VKF +p6683 +tp6684 +a(g400 +g1671 +tp6685 +a(g22 +V5 +p6686 +tp6687 +a(g826 +g958 +tp6688 +a(g423 +Vor +p6689 +tp6690 +a(g826 +V\u000a +p6691 +tp6692 +a(g826 +g977 +tp6693 +a(g423 +VKF +p6694 +tp6695 +a(g400 +g1671 +tp6696 +a(g22 +V6 +p6697 +tp6698 +a(g826 +g958 +tp6699 +a(g423 +Vor +p6700 +tp6701 +a(g826 +V\u000a +p6702 +tp6703 +a(g826 +g977 +tp6704 +a(g423 +VKF +p6705 +tp6706 +a(g400 +g1671 +tp6707 +a(g22 +V7 +p6708 +tp6709 +a(g826 +g958 +tp6710 +a(g423 +Vor +p6711 +tp6712 +a(g826 +V\u000a +p6713 +tp6714 +a(g826 +g977 +tp6715 +a(g423 +VKF +p6716 +tp6717 +a(g400 +g1671 +tp6718 +a(g22 +V8 +p6719 +tp6720 +a(g826 +g958 +tp6721 +a(g423 +Vor +p6722 +tp6723 +a(g826 +V\u000a +p6724 +tp6725 +a(g826 +g977 +tp6726 +a(g423 +VKF +p6727 +tp6728 +a(g400 +g1671 +tp6729 +a(g22 +V9 +p6730 +tp6731 +a(g826 +g958 +tp6732 +a(g423 +Vor +p6733 +tp6734 +a(g826 +V\u000a +p6735 +tp6736 +a(g826 +g977 +tp6737 +a(g423 +VKF +p6738 +tp6739 +a(g400 +g1671 +tp6740 +a(g22 +V10 +p6741 +tp6742 +a(g826 +g958 +tp6743 +a(g423 +Vor +p6744 +tp6745 +a(g826 +V\u000a +p6746 +tp6747 +a(g826 +g977 +tp6748 +a(g423 +VKF +p6749 +tp6750 +a(g400 +g1671 +tp6751 +a(g22 +V11 +p6752 +tp6753 +a(g826 +g958 +tp6754 +a(g423 +Vor +p6755 +tp6756 +a(g826 +V\u000a +p6757 +tp6758 +a(g826 +g977 +tp6759 +a(g423 +VKF +p6760 +tp6761 +a(g400 +g1671 +tp6762 +a(g22 +V12 +p6763 +tp6764 +a(g826 +g958 +tp6765 +a(g400 +g1243 +tp6766 +a(g400 +g1163 +tp6767 +a(g826 +V\u000a +p6768 +tp6769 +a(g826 +V +p6770 +tp6771 +a(g7 +Vreturn +p6772 +tp6773 +a(g826 +g958 +tp6774 +a(g693 +g1150 +tp6775 +a(g423 +g2825 +tp6776 +a(g826 +g958 +tp6777 +a(g400 +g1161 +tp6778 +a(g826 +g958 +tp6779 +a(g423 +VKF +p6780 +tp6781 +a(g693 +g1181 +tp6782 +a(g826 +g958 +tp6783 +a(g400 +g1920 +tp6784 +a(g826 +g958 +tp6785 +a(g423 +VP9KF +p6786 +tp6787 +a(g693 +g962 +tp6788 +a(g826 +V\u000a +p6789 +tp6790 +a(g826 +g977 +tp6791 +a(g693 +g1186 +tp6792 +a(g826 +V\u000a +p6793 +tp6794 +a(g826 +g977 +tp6795 +a(g7 +Vreturn +p6796 +tp6797 +a(g826 +g958 +tp6798 +a(g423 +g2825 +tp6799 +a(g693 +g962 +tp6800 +a(g826 +V\u000a +p6801 +tp6802 +a(g693 +g1186 +tp6803 +a(g826 +V\u000a +p6804 +tp6805 +a(g826 +V\u000a +p6806 +tp6807 +a(g423 +Vcwd +p6808 +tp6809 +a(g693 +g1150 +tp6810 +a(g693 +g1181 +tp6811 +a(g400 +g981 +tp6812 +a(g826 +g958 +tp6813 +a(g881 +Vstring +p6814 +tp6815 +a(g826 +V\u000a +p6816 +tp6817 +a(g693 +g1139 +tp6818 +a(g826 +V\u000a +p6819 +tp6820 +a(g826 +g977 +tp6821 +a(g7 +Vreturn +p6822 +tp6823 +a(g826 +g958 +tp6824 +a(g423 +Vsys +p6825 +tp6826 +a(g400 +g1161 +tp6827 +a(g400 +g1163 +tp6828 +a(g423 +Vfd2path +p6829 +tp6830 +a(g693 +g1150 +tp6831 +a(g423 +Vsys +p6832 +tp6833 +a(g400 +g1161 +tp6834 +a(g400 +g1163 +tp6835 +a(g423 +Vopen +p6836 +tp6837 +a(g693 +g1150 +tp6838 +a(g76 +g969 +tp6839 +a(g76 +g1572 +tp6840 +a(g76 +g969 +tp6841 +a(g693 +g1012 +tp6842 +a(g826 +g958 +tp6843 +a(g423 +VSys +p6844 +tp6845 +a(g400 +g1161 +tp6846 +a(g400 +g1163 +tp6847 +a(g423 +VOREAD +p6848 +tp6849 +a(g693 +g1181 +tp6850 +a(g693 +g1181 +tp6851 +a(g693 +g962 +tp6852 +a(g826 +V\u000a +p6853 +tp6854 +a(g693 +g1186 +tp6855 +a(g826 +V\u000a +p6856 +tp6857 +a(g826 +V\u000a +p6858 +tp6859 +a(g745 +V# from string.b, waiting for declaration to be uncommented.\u000a +p6860 +tp6861 +a(g423 +Vquotedc +p6862 +tp6863 +a(g693 +g1150 +tp6864 +a(g423 +Vargv +p6865 +tp6866 +a(g400 +g981 +tp6867 +a(g826 +g958 +tp6868 +a(g881 +Vlist +p6869 +tp6870 +a(g826 +g958 +tp6871 +a(g881 +Vof +p6872 +tp6873 +a(g826 +g958 +tp6874 +a(g881 +Vstring +p6875 +tp6876 +a(g693 +g1012 +tp6877 +a(g826 +g958 +tp6878 +a(g423 +Vcl +p6879 +tp6880 +a(g400 +g981 +tp6881 +a(g826 +g958 +tp6882 +a(g881 +Vstring +p6883 +tp6884 +a(g693 +g1181 +tp6885 +a(g400 +g981 +tp6886 +a(g826 +g958 +tp6887 +a(g881 +Vstring +p6888 +tp6889 +a(g826 +V\u000a +p6890 +tp6891 +a(g693 +g1139 +tp6892 +a(g826 +V\u000a +p6893 +tp6894 +a(g826 +g977 +tp6895 +a(g423 +g5349 +tp6896 +a(g826 +g958 +tp6897 +a(g400 +g981 +tp6898 +a(g400 +g1243 +tp6899 +a(g826 +g958 +tp6900 +a(g76 +g969 +tp6901 +a(g76 +g969 +tp6902 +a(g693 +g962 +tp6903 +a(g826 +V\u000a +p6904 +tp6905 +a(g826 +g977 +tp6906 +a(g7 +Vwhile +p6907 +tp6908 +a(g826 +g958 +tp6909 +a(g693 +g1150 +tp6910 +a(g423 +Vargv +p6911 +tp6912 +a(g826 +g958 +tp6913 +a(g400 +g1518 +tp6914 +a(g400 +g1243 +tp6915 +a(g826 +g958 +tp6916 +a(g896 +Vnil +p6917 +tp6918 +a(g693 +g1181 +tp6919 +a(g826 +g958 +tp6920 +a(g693 +g1139 +tp6921 +a(g826 +V\u000a +p6922 +tp6923 +a(g826 +V +p6924 +tp6925 +a(g423 +Varg +p6926 +tp6927 +a(g826 +g958 +tp6928 +a(g400 +g981 +tp6929 +a(g400 +g1243 +tp6930 +a(g826 +g958 +tp6931 +a(g7 +Vhd +p6932 +tp6933 +a(g826 +g958 +tp6934 +a(g423 +Vargv +p6935 +tp6936 +a(g693 +g962 +tp6937 +a(g826 +V\u000a +p6938 +tp6939 +a(g826 +V +p6940 +tp6941 +a(g423 +Vfor +p6942 +tp6943 +a(g826 +g958 +tp6944 +a(g693 +g1150 +tp6945 +a(g423 +g5056 +tp6946 +a(g826 +g958 +tp6947 +a(g400 +g981 +tp6948 +a(g400 +g1243 +tp6949 +a(g826 +g958 +tp6950 +a(g22 +g1490 +tp6951 +a(g693 +g962 +tp6952 +a(g826 +g958 +tp6953 +a(g423 +g5056 +tp6954 +a(g826 +g958 +tp6955 +a(g400 +g1666 +tp6956 +a(g826 +g958 +tp6957 +a(g7 +Vlen +p6958 +tp6959 +a(g826 +g958 +tp6960 +a(g423 +Varg +p6961 +tp6962 +a(g693 +g962 +tp6963 +a(g826 +g958 +tp6964 +a(g423 +g5056 +tp6965 +a(g400 +g1920 +tp6966 +a(g400 +g1920 +tp6967 +a(g693 +g1181 +tp6968 +a(g826 +g958 +tp6969 +a(g693 +g1139 +tp6970 +a(g826 +V\u000a +p6971 +tp6972 +a(g826 +V +p6973 +tp6974 +a(g423 +g2825 +tp6975 +a(g826 +g958 +tp6976 +a(g400 +g981 +tp6977 +a(g400 +g1243 +tp6978 +a(g826 +g958 +tp6979 +a(g423 +Varg +p6980 +tp6981 +a(g693 +g2300 +tp6982 +a(g423 +g5056 +tp6983 +a(g693 +g2304 +tp6984 +a(g693 +g962 +tp6985 +a(g826 +V\u000a +p6986 +tp6987 +a(g826 +V +p6988 +tp6989 +a(g7 +Vif +p6990 +tp6991 +a(g826 +g958 +tp6992 +a(g693 +g1150 +tp6993 +a(g423 +g2825 +tp6994 +a(g826 +g958 +tp6995 +a(g400 +g1243 +tp6996 +a(g400 +g1243 +tp6997 +a(g826 +g958 +tp6998 +a(g80 +V' ' +p6999 +tp7000 +a(g826 +g958 +tp7001 +a(g400 +g1671 +tp7002 +a(g400 +g1671 +tp7003 +a(g826 +g958 +tp7004 +a(g423 +g2825 +tp7005 +a(g826 +g958 +tp7006 +a(g400 +g1243 +tp7007 +a(g400 +g1243 +tp7008 +a(g826 +g958 +tp7009 +a(g80 +V'\u005ct' +p7010 +tp7011 +a(g826 +g958 +tp7012 +a(g400 +g1671 +tp7013 +a(g400 +g1671 +tp7014 +a(g826 +g958 +tp7015 +a(g423 +g2825 +tp7016 +a(g826 +g958 +tp7017 +a(g400 +g1243 +tp7018 +a(g400 +g1243 +tp7019 +a(g826 +g958 +tp7020 +a(g80 +V'\u005cn' +p7021 +tp7022 +a(g826 +g958 +tp7023 +a(g400 +g1671 +tp7024 +a(g400 +g1671 +tp7025 +a(g826 +g958 +tp7026 +a(g423 +g2825 +tp7027 +a(g826 +g958 +tp7028 +a(g400 +g1243 +tp7029 +a(g400 +g1243 +tp7030 +a(g826 +g958 +tp7031 +a(g80 +V'\u005c'' +p7032 +tp7033 +a(g826 +g958 +tp7034 +a(g400 +g1671 +tp7035 +a(g400 +g1671 +tp7036 +a(g826 +g958 +tp7037 +a(g423 +Vin +p7038 +tp7039 +a(g693 +g1150 +tp7040 +a(g423 +g2825 +tp7041 +a(g693 +g1012 +tp7042 +a(g826 +g958 +tp7043 +a(g423 +Vcl +p7044 +tp7045 +a(g693 +g1181 +tp7046 +a(g693 +g1181 +tp7047 +a(g826 +V\u000a +p7048 +tp7049 +a(g826 +V +p7050 +tp7051 +a(g7 +Vbreak +p7052 +tp7053 +a(g693 +g962 +tp7054 +a(g826 +V\u000a +p7055 +tp7056 +a(g826 +V +p7057 +tp7058 +a(g693 +g1186 +tp7059 +a(g826 +V\u000a +p7060 +tp7061 +a(g826 +V +p7062 +tp7063 +a(g7 +Vif +p7064 +tp7065 +a(g826 +g958 +tp7066 +a(g693 +g1150 +tp7067 +a(g423 +g5056 +tp7068 +a(g826 +g958 +tp7069 +a(g400 +g1666 +tp7070 +a(g826 +g958 +tp7071 +a(g7 +Vlen +p7072 +tp7073 +a(g826 +g958 +tp7074 +a(g423 +Varg +p7075 +tp7076 +a(g826 +g958 +tp7077 +a(g400 +g1671 +tp7078 +a(g400 +g1671 +tp7079 +a(g826 +g958 +tp7080 +a(g423 +Varg +p7081 +tp7082 +a(g826 +g958 +tp7083 +a(g400 +g1243 +tp7084 +a(g400 +g1243 +tp7085 +a(g826 +g958 +tp7086 +a(g896 +Vnil +p7087 +tp7088 +a(g693 +g1181 +tp7089 +a(g826 +g958 +tp7090 +a(g693 +g1139 +tp7091 +a(g826 +V\u000a +p7092 +tp7093 +a(g826 +V +p7094 +tp7095 +a(g423 +g5349 +tp7096 +a(g826 +g958 +tp7097 +a(g400 +g1920 +tp7098 +a(g400 +g1243 +tp7099 +a(g826 +g958 +tp7100 +a(g76 +g969 +tp7101 +a(g76 +V' +p7102 +tp7103 +a(g76 +g969 +tp7104 +a(g826 +g958 +tp7105 +a(g400 +g1920 +tp7106 +a(g826 +g958 +tp7107 +a(g423 +Varg +p7108 +tp7109 +a(g693 +g2300 +tp7110 +a(g22 +g1490 +tp7111 +a(g400 +g981 +tp7112 +a(g423 +g5056 +tp7113 +a(g693 +g2304 +tp7114 +a(g693 +g962 +tp7115 +a(g826 +V\u000a +p7116 +tp7117 +a(g826 +V +p7118 +tp7119 +a(g423 +Vfor +p7120 +tp7121 +a(g826 +g958 +tp7122 +a(g693 +g1150 +tp7123 +a(g693 +g962 +tp7124 +a(g826 +g958 +tp7125 +a(g423 +g5056 +tp7126 +a(g826 +g958 +tp7127 +a(g400 +g1666 +tp7128 +a(g826 +g958 +tp7129 +a(g7 +Vlen +p7130 +tp7131 +a(g826 +g958 +tp7132 +a(g423 +Varg +p7133 +tp7134 +a(g693 +g962 +tp7135 +a(g826 +g958 +tp7136 +a(g423 +g5056 +tp7137 +a(g400 +g1920 +tp7138 +a(g400 +g1920 +tp7139 +a(g693 +g1181 +tp7140 +a(g826 +g958 +tp7141 +a(g693 +g1139 +tp7142 +a(g826 +V\u000a +p7143 +tp7144 +a(g826 +V +p7145 +tp7146 +a(g7 +Vif +p7147 +tp7148 +a(g826 +g958 +tp7149 +a(g693 +g1150 +tp7150 +a(g423 +Varg +p7151 +tp7152 +a(g693 +g2300 +tp7153 +a(g423 +g5056 +tp7154 +a(g693 +g2304 +tp7155 +a(g826 +g958 +tp7156 +a(g400 +g1243 +tp7157 +a(g400 +g1243 +tp7158 +a(g826 +g958 +tp7159 +a(g80 +V'\u005c'' +p7160 +tp7161 +a(g693 +g1181 +tp7162 +a(g826 +V\u000a +p7163 +tp7164 +a(g826 +V +p7165 +tp7166 +a(g423 +g5349 +tp7167 +a(g693 +g2300 +tp7168 +a(g7 +Vlen +p7169 +tp7170 +a(g826 +g958 +tp7171 +a(g423 +g5349 +tp7172 +a(g693 +g2304 +tp7173 +a(g826 +g958 +tp7174 +a(g400 +g1243 +tp7175 +a(g826 +g958 +tp7176 +a(g80 +V'\u005c'' +p7177 +tp7178 +a(g693 +g962 +tp7179 +a(g826 +V\u000a +p7180 +tp7181 +a(g826 +V +p7182 +tp7183 +a(g423 +g5349 +tp7184 +a(g693 +g2300 +tp7185 +a(g7 +Vlen +p7186 +tp7187 +a(g826 +g958 +tp7188 +a(g423 +g5349 +tp7189 +a(g693 +g2304 +tp7190 +a(g826 +g958 +tp7191 +a(g400 +g1243 +tp7192 +a(g826 +g958 +tp7193 +a(g423 +Varg +p7194 +tp7195 +a(g693 +g2300 +tp7196 +a(g423 +g5056 +tp7197 +a(g693 +g2304 +tp7198 +a(g693 +g962 +tp7199 +a(g826 +V\u000a +p7200 +tp7201 +a(g826 +V +p7202 +tp7203 +a(g693 +g1186 +tp7204 +a(g826 +V\u000a +p7205 +tp7206 +a(g826 +V +p7207 +tp7208 +a(g423 +g5349 +tp7209 +a(g693 +g2300 +tp7210 +a(g7 +Vlen +p7211 +tp7212 +a(g826 +g958 +tp7213 +a(g423 +g5349 +tp7214 +a(g693 +g2304 +tp7215 +a(g826 +g958 +tp7216 +a(g400 +g1243 +tp7217 +a(g826 +g958 +tp7218 +a(g80 +V'\u005c'' +p7219 +tp7220 +a(g693 +g962 +tp7221 +a(g826 +V\u000a +p7222 +tp7223 +a(g826 +V +p7224 +tp7225 +a(g693 +g1186 +tp7226 +a(g826 +g958 +tp7227 +a(g7 +Velse +p7228 +tp7229 +a(g826 +V\u000a +p7230 +tp7231 +a(g826 +V +p7232 +tp7233 +a(g423 +g5349 +tp7234 +a(g826 +g958 +tp7235 +a(g400 +g1920 +tp7236 +a(g400 +g1243 +tp7237 +a(g826 +g958 +tp7238 +a(g423 +Varg +p7239 +tp7240 +a(g693 +g962 +tp7241 +a(g826 +V\u000a +p7242 +tp7243 +a(g826 +V +p7244 +tp7245 +a(g7 +Vif +p7246 +tp7247 +a(g826 +g958 +tp7248 +a(g693 +g1150 +tp7249 +a(g7 +Vtl +p7250 +tp7251 +a(g826 +g958 +tp7252 +a(g423 +Vargv +p7253 +tp7254 +a(g826 +g958 +tp7255 +a(g400 +g1518 +tp7256 +a(g400 +g1243 +tp7257 +a(g826 +g958 +tp7258 +a(g896 +Vnil +p7259 +tp7260 +a(g693 +g1181 +tp7261 +a(g826 +V\u000a +p7262 +tp7263 +a(g826 +V +p7264 +tp7265 +a(g423 +g5349 +tp7266 +a(g693 +g2300 +tp7267 +a(g7 +Vlen +p7268 +tp7269 +a(g826 +g958 +tp7270 +a(g423 +g5349 +tp7271 +a(g693 +g2304 +tp7272 +a(g826 +g958 +tp7273 +a(g400 +g1243 +tp7274 +a(g826 +g958 +tp7275 +a(g80 +V' ' +p7276 +tp7277 +a(g693 +g962 +tp7278 +a(g826 +V\u000a +p7279 +tp7280 +a(g826 +V +p7281 +tp7282 +a(g423 +Vargv +p7283 +tp7284 +a(g826 +g958 +tp7285 +a(g400 +g1243 +tp7286 +a(g826 +g958 +tp7287 +a(g7 +Vtl +p7288 +tp7289 +a(g826 +g958 +tp7290 +a(g423 +Vargv +p7291 +tp7292 +a(g693 +g962 +tp7293 +a(g826 +V\u000a +p7294 +tp7295 +a(g826 +g977 +tp7296 +a(g693 +g1186 +tp7297 +a(g826 +V\u000a +p7298 +tp7299 +a(g826 +g977 +tp7300 +a(g7 +Vreturn +p7301 +tp7302 +a(g826 +g958 +tp7303 +a(g423 +g5349 +tp7304 +a(g693 +g962 +tp7305 +a(g826 +V\u000a +p7306 +tp7307 +a(g693 +g1186 +tp7308 +a(g826 +V\u000a +p7309 +tp7310 +a(g826 +V\u000a +p7311 +tp7312 +a(g423 +Vin +p7313 +tp7314 +a(g693 +g1150 +tp7315 +a(g423 +g2825 +tp7316 +a(g400 +g981 +tp7317 +a(g826 +g958 +tp7318 +a(g881 +Vint +p7319 +tp7320 +a(g693 +g1012 +tp7321 +a(g826 +g958 +tp7322 +a(g423 +g5349 +tp7323 +a(g400 +g981 +tp7324 +a(g826 +g958 +tp7325 +a(g881 +Vstring +p7326 +tp7327 +a(g693 +g1181 +tp7328 +a(g400 +g981 +tp7329 +a(g826 +g958 +tp7330 +a(g881 +Vint +p7331 +tp7332 +a(g826 +V\u000a +p7333 +tp7334 +a(g693 +g1139 +tp7335 +a(g826 +V\u000a +p7336 +tp7337 +a(g826 +g977 +tp7338 +a(g423 +Vn +p7339 +tp7340 +a(g826 +g958 +tp7341 +a(g400 +g981 +tp7342 +a(g400 +g1243 +tp7343 +a(g826 +g958 +tp7344 +a(g7 +Vlen +p7345 +tp7346 +a(g826 +g958 +tp7347 +a(g423 +g5349 +tp7348 +a(g693 +g962 +tp7349 +a(g826 +V\u000a +p7350 +tp7351 +a(g826 +g977 +tp7352 +a(g7 +Vif +p7353 +tp7354 +a(g693 +g1150 +tp7355 +a(g423 +g7339 +tp7356 +a(g826 +g958 +tp7357 +a(g400 +g1243 +tp7358 +a(g400 +g1243 +tp7359 +a(g826 +g958 +tp7360 +a(g22 +g1490 +tp7361 +a(g693 +g1181 +tp7362 +a(g826 +V\u000a +p7363 +tp7364 +a(g826 +V +p7365 +tp7366 +a(g7 +Vreturn +p7367 +tp7368 +a(g826 +g958 +tp7369 +a(g22 +g1490 +tp7370 +a(g693 +g962 +tp7371 +a(g826 +V\u000a +p7372 +tp7373 +a(g826 +g977 +tp7374 +a(g423 +Vans +p7375 +tp7376 +a(g826 +g958 +tp7377 +a(g400 +g981 +tp7378 +a(g400 +g1243 +tp7379 +a(g826 +g958 +tp7380 +a(g22 +g1490 +tp7381 +a(g693 +g962 +tp7382 +a(g826 +V\u000a +p7383 +tp7384 +a(g826 +g977 +tp7385 +a(g423 +Vnegate +p7386 +tp7387 +a(g826 +g958 +tp7388 +a(g400 +g981 +tp7389 +a(g400 +g1243 +tp7390 +a(g826 +g958 +tp7391 +a(g22 +g1490 +tp7392 +a(g693 +g962 +tp7393 +a(g826 +V\u000a +p7394 +tp7395 +a(g826 +g977 +tp7396 +a(g7 +Vif +p7397 +tp7398 +a(g693 +g1150 +tp7399 +a(g423 +g5349 +tp7400 +a(g693 +g2300 +tp7401 +a(g22 +g1490 +tp7402 +a(g693 +g2304 +tp7403 +a(g826 +g958 +tp7404 +a(g400 +g1243 +tp7405 +a(g400 +g1243 +tp7406 +a(g826 +g958 +tp7407 +a(g80 +V'^' +p7408 +tp7409 +a(g693 +g1181 +tp7410 +a(g826 +g958 +tp7411 +a(g693 +g1139 +tp7412 +a(g826 +V\u000a +p7413 +tp7414 +a(g826 +V +p7415 +tp7416 +a(g423 +Vnegate +p7417 +tp7418 +a(g826 +g958 +tp7419 +a(g400 +g1243 +tp7420 +a(g826 +g958 +tp7421 +a(g22 +g1554 +tp7422 +a(g693 +g962 +tp7423 +a(g826 +V\u000a +p7424 +tp7425 +a(g826 +V +p7426 +tp7427 +a(g423 +g5349 +tp7428 +a(g826 +g958 +tp7429 +a(g400 +g1243 +tp7430 +a(g826 +g958 +tp7431 +a(g423 +g5349 +tp7432 +a(g693 +g2300 +tp7433 +a(g22 +g1554 +tp7434 +a(g400 +g981 +tp7435 +a(g693 +g2304 +tp7436 +a(g693 +g962 +tp7437 +a(g826 +V\u000a +p7438 +tp7439 +a(g826 +V +p7440 +tp7441 +a(g423 +g7339 +tp7442 +a(g400 +g1161 +tp7443 +a(g400 +g1161 +tp7444 +a(g693 +g962 +tp7445 +a(g826 +V\u000a +p7446 +tp7447 +a(g826 +g977 +tp7448 +a(g693 +g1186 +tp7449 +a(g826 +V\u000a +p7450 +tp7451 +a(g826 +g977 +tp7452 +a(g423 +Vfor +p7453 +tp7454 +a(g693 +g1150 +tp7455 +a(g423 +g5056 +tp7456 +a(g826 +g958 +tp7457 +a(g400 +g981 +tp7458 +a(g400 +g1243 +tp7459 +a(g826 +g958 +tp7460 +a(g22 +g1490 +tp7461 +a(g693 +g962 +tp7462 +a(g826 +g958 +tp7463 +a(g423 +g5056 +tp7464 +a(g826 +g958 +tp7465 +a(g400 +g1666 +tp7466 +a(g826 +g958 +tp7467 +a(g423 +g7339 +tp7468 +a(g693 +g962 +tp7469 +a(g826 +g958 +tp7470 +a(g423 +g5056 +tp7471 +a(g400 +g1920 +tp7472 +a(g400 +g1920 +tp7473 +a(g693 +g1181 +tp7474 +a(g826 +g958 +tp7475 +a(g693 +g1139 +tp7476 +a(g826 +V\u000a +p7477 +tp7478 +a(g826 +V +p7479 +tp7480 +a(g7 +Vif +p7481 +tp7482 +a(g693 +g1150 +tp7483 +a(g423 +g5349 +tp7484 +a(g693 +g2300 +tp7485 +a(g423 +g5056 +tp7486 +a(g693 +g2304 +tp7487 +a(g826 +g958 +tp7488 +a(g400 +g1243 +tp7489 +a(g400 +g1243 +tp7490 +a(g826 +g958 +tp7491 +a(g80 +V'-' +p7492 +tp7493 +a(g826 +g958 +tp7494 +a(g400 +g1729 +tp7495 +a(g400 +g1729 +tp7496 +a(g826 +g958 +tp7497 +a(g423 +g5056 +tp7498 +a(g826 +g958 +tp7499 +a(g400 +g1163 +tp7500 +a(g826 +g958 +tp7501 +a(g22 +g1490 +tp7502 +a(g826 +g958 +tp7503 +a(g400 +g1729 +tp7504 +a(g400 +g1729 +tp7505 +a(g826 +g958 +tp7506 +a(g423 +g5056 +tp7507 +a(g826 +g958 +tp7508 +a(g400 +g1666 +tp7509 +a(g826 +g958 +tp7510 +a(g423 +g7339 +tp7511 +a(g400 +g1161 +tp7512 +a(g22 +g1554 +tp7513 +a(g693 +g1181 +tp7514 +a(g826 +V +p7515 +tp7516 +a(g693 +g1139 +tp7517 +a(g826 +V\u000a +p7518 +tp7519 +a(g826 +V +p7520 +tp7521 +a(g7 +Vif +p7522 +tp7523 +a(g693 +g1150 +tp7524 +a(g423 +g2825 +tp7525 +a(g826 +g958 +tp7526 +a(g400 +g1163 +tp7527 +a(g400 +g1243 +tp7528 +a(g826 +g958 +tp7529 +a(g423 +g5349 +tp7530 +a(g693 +g2300 +tp7531 +a(g423 +g5056 +tp7532 +a(g400 +g1161 +tp7533 +a(g22 +g1554 +tp7534 +a(g693 +g2304 +tp7535 +a(g826 +g958 +tp7536 +a(g400 +g1729 +tp7537 +a(g400 +g1729 +tp7538 +a(g826 +g958 +tp7539 +a(g423 +g2825 +tp7540 +a(g826 +g958 +tp7541 +a(g400 +g1666 +tp7542 +a(g400 +g1243 +tp7543 +a(g826 +g958 +tp7544 +a(g423 +g5349 +tp7545 +a(g693 +g2300 +tp7546 +a(g423 +g5056 +tp7547 +a(g400 +g1920 +tp7548 +a(g22 +g1554 +tp7549 +a(g693 +g2304 +tp7550 +a(g693 +g1181 +tp7551 +a(g826 +g958 +tp7552 +a(g693 +g1139 +tp7553 +a(g826 +V\u000a +p7554 +tp7555 +a(g826 +V +p7556 +tp7557 +a(g423 +Vans +p7558 +tp7559 +a(g826 +g958 +tp7560 +a(g400 +g1243 +tp7561 +a(g826 +g958 +tp7562 +a(g22 +g1554 +tp7563 +a(g693 +g962 +tp7564 +a(g826 +V\u000a +p7565 +tp7566 +a(g826 +V +p7567 +tp7568 +a(g7 +Vbreak +p7569 +tp7570 +a(g693 +g962 +tp7571 +a(g826 +V\u000a +p7572 +tp7573 +a(g826 +V +p7574 +tp7575 +a(g693 +g1186 +tp7576 +a(g826 +V\u000a +p7577 +tp7578 +a(g826 +V +p7579 +tp7580 +a(g423 +g5056 +tp7581 +a(g400 +g1920 +tp7582 +a(g400 +g1920 +tp7583 +a(g693 +g962 +tp7584 +a(g826 +V\u000a +p7585 +tp7586 +a(g826 +V +p7587 +tp7588 +a(g693 +g1186 +tp7589 +a(g826 +V\u000a +p7590 +tp7591 +a(g826 +V +p7592 +tp7593 +a(g7 +Velse +p7594 +tp7595 +a(g826 +V\u000a +p7596 +tp7597 +a(g826 +V +p7598 +tp7599 +a(g7 +Vif +p7600 +tp7601 +a(g693 +g1150 +tp7602 +a(g423 +g2825 +tp7603 +a(g826 +g958 +tp7604 +a(g400 +g1243 +tp7605 +a(g400 +g1243 +tp7606 +a(g826 +g958 +tp7607 +a(g423 +g5349 +tp7608 +a(g693 +g2300 +tp7609 +a(g423 +g5056 +tp7610 +a(g693 +g2304 +tp7611 +a(g693 +g1181 +tp7612 +a(g826 +g958 +tp7613 +a(g693 +g1139 +tp7614 +a(g826 +V\u000a +p7615 +tp7616 +a(g826 +V +p7617 +tp7618 +a(g423 +Vans +p7619 +tp7620 +a(g826 +g958 +tp7621 +a(g400 +g1243 +tp7622 +a(g826 +g958 +tp7623 +a(g22 +g1554 +tp7624 +a(g693 +g962 +tp7625 +a(g826 +V\u000a +p7626 +tp7627 +a(g826 +V +p7628 +tp7629 +a(g7 +Vbreak +p7630 +tp7631 +a(g693 +g962 +tp7632 +a(g826 +V\u000a +p7633 +tp7634 +a(g826 +V +p7635 +tp7636 +a(g693 +g1186 +tp7637 +a(g826 +V\u000a +p7638 +tp7639 +a(g826 +g977 +tp7640 +a(g693 +g1186 +tp7641 +a(g826 +V\u000a +p7642 +tp7643 +a(g826 +g977 +tp7644 +a(g7 +Vif +p7645 +tp7646 +a(g693 +g1150 +tp7647 +a(g423 +Vnegate +p7648 +tp7649 +a(g693 +g1181 +tp7650 +a(g826 +V\u000a +p7651 +tp7652 +a(g826 +V +p7653 +tp7654 +a(g423 +Vans +p7655 +tp7656 +a(g826 +g958 +tp7657 +a(g400 +g1243 +tp7658 +a(g826 +g958 +tp7659 +a(g400 +g1518 +tp7660 +a(g423 +Vans +p7661 +tp7662 +a(g693 +g962 +tp7663 +a(g826 +V\u000a +p7664 +tp7665 +a(g826 +V\u000a +p7666 +tp7667 +a(g826 +g977 +tp7668 +a(g745 +V# just to showcase labels\u000a +p7669 +tp7670 +a(g584 +Vskip:\u000a +p7671 +tp7672 +a(g826 +g977 +tp7673 +a(g7 +Vreturn +p7674 +tp7675 +a(g826 +g958 +tp7676 +a(g423 +Vans +p7677 +tp7678 +a(g693 +g962 +tp7679 +a(g826 +V\u000a +p7680 +tp7681 +a(g693 +g1186 +tp7682 +a(g826 +V\u000a +p7683 +tp7684 +a(g826 +V\u000a +p7685 +tp7686 +a(g423 +Vbglong +p7687 +tp7688 +a(g693 +g1150 +tp7689 +a(g423 +g3391 +tp7690 +a(g400 +g981 +tp7691 +a(g826 +g958 +tp7692 +a(g881 +Varray +p7693 +tp7694 +a(g826 +g958 +tp7695 +a(g881 +Vof +p7696 +tp7697 +a(g826 +g958 +tp7698 +a(g881 +Vbyte +p7699 +tp7700 +a(g693 +g1012 +tp7701 +a(g826 +g958 +tp7702 +a(g423 +g5056 +tp7703 +a(g400 +g981 +tp7704 +a(g826 +g958 +tp7705 +a(g881 +Vint +p7706 +tp7707 +a(g693 +g1181 +tp7708 +a(g400 +g981 +tp7709 +a(g826 +g958 +tp7710 +a(g881 +Vint +p7711 +tp7712 +a(g826 +V\u000a +p7713 +tp7714 +a(g693 +g1139 +tp7715 +a(g826 +V\u000a +p7716 +tp7717 +a(g826 +g977 +tp7718 +a(g7 +Vreturn +p7719 +tp7720 +a(g826 +g958 +tp7721 +a(g881 +Vint +p7722 +tp7723 +a(g826 +g958 +tp7724 +a(g423 +g3391 +tp7725 +a(g693 +g2300 +tp7726 +a(g423 +g5056 +tp7727 +a(g693 +g2304 +tp7728 +a(g826 +g958 +tp7729 +a(g400 +g1671 +tp7730 +a(g826 +g958 +tp7731 +a(g693 +g1150 +tp7732 +a(g881 +Vint +p7733 +tp7734 +a(g826 +g958 +tp7735 +a(g423 +g3391 +tp7736 +a(g693 +g2300 +tp7737 +a(g423 +g5056 +tp7738 +a(g400 +g1920 +tp7739 +a(g22 +g1554 +tp7740 +a(g693 +g2304 +tp7741 +a(g400 +g1666 +tp7742 +a(g400 +g1666 +tp7743 +a(g22 +g6719 +tp7744 +a(g693 +g1181 +tp7745 +a(g826 +g958 +tp7746 +a(g400 +g1671 +tp7747 +a(g826 +g958 +tp7748 +a(g693 +g1150 +tp7749 +a(g881 +Vint +p7750 +tp7751 +a(g826 +g958 +tp7752 +a(g423 +g3391 +tp7753 +a(g693 +g2300 +tp7754 +a(g423 +g5056 +tp7755 +a(g400 +g1920 +tp7756 +a(g22 +g1860 +tp7757 +a(g693 +g2304 +tp7758 +a(g400 +g1666 +tp7759 +a(g400 +g1666 +tp7760 +a(g22 +V16 +p7761 +tp7762 +a(g693 +g1181 +tp7763 +a(g826 +g958 +tp7764 +a(g400 +g1671 +tp7765 +a(g826 +g958 +tp7766 +a(g693 +g1150 +tp7767 +a(g881 +Vint +p7768 +tp7769 +a(g826 +g958 +tp7770 +a(g423 +g3391 +tp7771 +a(g693 +g2300 +tp7772 +a(g423 +g5056 +tp7773 +a(g400 +g1920 +tp7774 +a(g22 +g6665 +tp7775 +a(g693 +g2304 +tp7776 +a(g400 +g1666 +tp7777 +a(g400 +g1666 +tp7778 +a(g22 +V24 +p7779 +tp7780 +a(g693 +g1181 +tp7781 +a(g693 +g962 +tp7782 +a(g826 +V\u000a +p7783 +tp7784 +a(g693 +g1186 +tp7785 +a(g826 +V\u000a +p7786 +tp7787 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/linecontinuation.py b/tests/examplefiles/output/linecontinuation.py new file mode 100644 index 0000000..d6adfed --- /dev/null +++ b/tests/examplefiles/output/linecontinuation.py @@ -0,0 +1,2868 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Variable' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'Class' +p15 +g1 +(g2 +g3 +(g4 +g9 +g15 +tp16 +tp17 +Rp18 +(dp19 +g14 +g12 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Anonymous' +p25 +g1 +(g2 +g3 +(g4 +g9 +g25 +tp26 +tp27 +Rp28 +(dp29 +g14 +g12 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Instance' +p33 +g1 +(g2 +g3 +(g4 +g9 +g33 +tp34 +tp35 +Rp36 +(dp37 +g14 +g12 +sg20 +g21 +((lp38 +tp39 +Rp40 +sbsS'Global' +p41 +g1 +(g2 +g3 +(g4 +g9 +g41 +tp42 +tp43 +Rp44 +(dp45 +g14 +g12 +sg20 +g21 +((lp46 +tp47 +Rp48 +sbsg20 +g21 +((lp49 +g28 +ag36 +ag1 +(g2 +g3 +(g4 +g9 +S'Magic' +p50 +tp51 +tp52 +Rp53 +(dp54 +g14 +g12 +sg20 +g21 +((lp55 +tp56 +Rp57 +sbag44 +ag18 +atp58 +Rp59 +sg50 +g53 +sbsS'Operator' +p60 +g1 +(g2 +g3 +(g4 +g60 +tp61 +tp62 +Rp63 +(dp64 +g14 +g7 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbsS'Decorator' +p68 +g1 +(g2 +g3 +(g4 +g68 +tp69 +tp70 +Rp71 +(dp72 +g14 +g7 +sg20 +g21 +((lp73 +tp74 +Rp75 +sbsS'Entity' +p76 +g1 +(g2 +g3 +(g4 +g76 +tp77 +tp78 +Rp79 +(dp80 +g14 +g7 +sS'DBS' +p81 +g1 +(g2 +g3 +(g4 +g76 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g79 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsg20 +g21 +((lp89 +g84 +atp90 +Rp91 +sbsS'Symbol' +p92 +g1 +(g2 +g3 +(g4 +g92 +tp93 +tp94 +Rp95 +(dp96 +g14 +g7 +sg20 +g21 +((lp97 +tp98 +Rp99 +sbsS'Property' +p100 +g1 +(g2 +g3 +(g4 +g100 +tp101 +tp102 +Rp103 +(dp104 +g14 +g7 +sg20 +g21 +((lp105 +tp106 +Rp107 +sbsS'Pseudo' +p108 +g1 +(g2 +g3 +(g4 +g108 +tp109 +tp110 +Rp111 +(dp112 +g14 +g7 +sg20 +g21 +((lp113 +tp114 +Rp115 +sbsS'Type' +p116 +g1 +(g2 +g3 +(g4 +g116 +tp117 +tp118 +Rp119 +(dp120 +g14 +g7 +sg20 +g21 +((lp121 +tp122 +Rp123 +sbsS'Classes' +p124 +g1 +(g2 +g3 +(g4 +g124 +tp125 +tp126 +Rp127 +(dp128 +g14 +g7 +sg20 +g21 +((lp129 +tp130 +Rp131 +sbsS'Tag' +p132 +g1 +(g2 +g3 +(g4 +g132 +tp133 +tp134 +Rp135 +(dp136 +g14 +g7 +sg20 +g21 +((lp137 +tp138 +Rp139 +sbsS'Constant' +p140 +g1 +(g2 +g3 +(g4 +g140 +tp141 +tp142 +Rp143 +(dp144 +g14 +g7 +sg20 +g21 +((lp145 +tp146 +Rp147 +sbsS'Function' +p148 +g1 +(g2 +g3 +(g4 +g148 +tp149 +tp150 +Rp151 +(dp152 +g14 +g7 +sg20 +g21 +((lp153 +g1 +(g2 +g3 +(g4 +g148 +g50 +tp154 +tp155 +Rp156 +(dp157 +g14 +g151 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbatp161 +Rp162 +sg50 +g156 +sbsS'Blubb' +p163 +g1 +(g2 +g3 +(g4 +g163 +tp164 +tp165 +Rp166 +(dp167 +g14 +g7 +sg20 +g21 +((lp168 +tp169 +Rp170 +sbsS'Label' +p171 +g1 +(g2 +g3 +(g4 +g171 +tp172 +tp173 +Rp174 +(dp175 +g14 +g7 +sg20 +g21 +((lp176 +tp177 +Rp178 +sbsS'Field' +p179 +g1 +(g2 +g3 +(g4 +g179 +tp180 +tp181 +Rp182 +(dp183 +g14 +g7 +sg20 +g21 +((lp184 +tp185 +Rp186 +sbsS'Exception' +p187 +g1 +(g2 +g3 +(g4 +g187 +tp188 +tp189 +Rp190 +(dp191 +g14 +g7 +sg20 +g21 +((lp192 +tp193 +Rp194 +sbsS'Namespace' +p195 +g1 +(g2 +g3 +(g4 +g195 +tp196 +tp197 +Rp198 +(dp199 +g14 +g7 +sg20 +g21 +((lp200 +tp201 +Rp202 +sbsg20 +g21 +((lp203 +g71 +ag166 +ag111 +ag79 +ag12 +ag190 +ag103 +ag135 +ag151 +ag127 +ag1 +(g2 +g3 +(g4 +g15 +tp204 +tp205 +Rp206 +(dp207 +g14 +g7 +sg81 +g1 +(g2 +g3 +(g4 +g15 +g81 +tp208 +tp209 +Rp210 +(dp211 +g14 +g206 +sg20 +g21 +((lp212 +tp213 +Rp214 +sbsg20 +g21 +((lp215 +g1 +(g2 +g3 +(g4 +g15 +S'Start' +p216 +tp217 +tp218 +Rp219 +(dp220 +g14 +g206 +sg20 +g21 +((lp221 +tp222 +Rp223 +sbag210 +atp224 +Rp225 +sg216 +g219 +sbag1 +(g2 +g3 +(g4 +S'Other' +p226 +tp227 +tp228 +Rp229 +(dp230 +g14 +g7 +sS'Member' +p231 +g1 +(g2 +g3 +(g4 +g226 +g231 +tp232 +tp233 +Rp234 +(dp235 +g14 +g229 +sg20 +g21 +((lp236 +tp237 +Rp238 +sbsg20 +g21 +((lp239 +g234 +atp240 +Rp241 +sbag174 +ag63 +ag198 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p242 +tp243 +tp244 +Rp245 +(dp246 +g14 +g7 +sg9 +g1 +(g2 +g3 +(g4 +g242 +g9 +tp247 +tp248 +Rp249 +(dp250 +g14 +g245 +sg20 +g21 +((lp251 +tp252 +Rp253 +sbsg20 +g21 +((lp254 +g249 +atp255 +Rp256 +sbag143 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p257 +tp258 +tp259 +Rp260 +(dp261 +g14 +g7 +sg116 +g1 +(g2 +g3 +(g4 +g257 +g116 +tp262 +tp263 +Rp264 +(dp265 +g14 +g260 +sg20 +g21 +((lp266 +tp267 +Rp268 +sbsg20 +g21 +((lp269 +g1 +(g2 +g3 +(g4 +g257 +g108 +tp270 +tp271 +Rp272 +(dp273 +g14 +g260 +sg20 +g21 +((lp274 +tp275 +Rp276 +sbag264 +atp277 +Rp278 +sg108 +g272 +sbag182 +ag119 +ag95 +atp279 +Rp280 +sg14 +g1 +(g2 +g3 +(ttp281 +Rp282 +(dp283 +S'Number' +p284 +g1 +(g2 +g3 +(S'Literal' +p285 +g284 +tp286 +tp287 +Rp288 +(dp289 +S'Integer' +p290 +g1 +(g2 +g3 +(g285 +g284 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g288 +sS'Long' +p295 +g1 +(g2 +g3 +(g285 +g284 +g290 +g295 +tp296 +tp297 +Rp298 +(dp299 +g14 +g293 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g298 +atp304 +Rp305 +sbsg14 +g1 +(g2 +g3 +(g285 +tp306 +tp307 +Rp308 +(dp309 +S'Scalar' +p310 +g1 +(g2 +g3 +(g285 +g310 +tp311 +tp312 +Rp313 +(dp314 +g14 +g308 +sg20 +g21 +((lp315 +g1 +(g2 +g3 +(g285 +g310 +S'Plain' +p316 +tp317 +tp318 +Rp319 +(dp320 +g14 +g313 +sg20 +g21 +((lp321 +tp322 +Rp323 +sbatp324 +Rp325 +sg316 +g319 +sbsg284 +g288 +sg14 +g282 +sg226 +g1 +(g2 +g3 +(g285 +g226 +tp326 +tp327 +Rp328 +(dp329 +g14 +g308 +sg20 +g21 +((lp330 +tp331 +Rp332 +sbsS'Char' +p333 +g1 +(g2 +g3 +(g285 +g333 +tp334 +tp335 +Rp336 +(dp337 +g14 +g308 +sg20 +g21 +((lp338 +tp339 +Rp340 +sbsS'String' +p341 +g1 +(g2 +g3 +(g285 +g341 +tp342 +tp343 +Rp344 +(dp345 +g333 +g1 +(g2 +g3 +(g285 +g341 +g333 +tp346 +tp347 +Rp348 +(dp349 +g14 +g344 +sg20 +g21 +((lp350 +tp351 +Rp352 +sbsS'Backtick' +p353 +g1 +(g2 +g3 +(g285 +g341 +g353 +tp354 +tp355 +Rp356 +(dp357 +g14 +g344 +sg20 +g21 +((lp358 +tp359 +Rp360 +sbsS'Heredoc' +p361 +g1 +(g2 +g3 +(g285 +g341 +g361 +tp362 +tp363 +Rp364 +(dp365 +g14 +g344 +sg20 +g21 +((lp366 +tp367 +Rp368 +sbsg92 +g1 +(g2 +g3 +(g285 +g341 +g92 +tp369 +tp370 +Rp371 +(dp372 +g14 +g344 +sg20 +g21 +((lp373 +tp374 +Rp375 +sbsS'Interpol' +p376 +g1 +(g2 +g3 +(g285 +g341 +g376 +tp377 +tp378 +Rp379 +(dp380 +g14 +g344 +sg20 +g21 +((lp381 +tp382 +Rp383 +sbsS'Delimiter' +p384 +g1 +(g2 +g3 +(g285 +g341 +g384 +tp385 +tp386 +Rp387 +(dp388 +g14 +g344 +sg20 +g21 +((lp389 +tp390 +Rp391 +sbsS'Boolean' +p392 +g1 +(g2 +g3 +(g285 +g341 +g392 +tp393 +tp394 +Rp395 +(dp396 +g14 +g344 +sg20 +g21 +((lp397 +tp398 +Rp399 +sbsS'Character' +p400 +g1 +(g2 +g3 +(g285 +g341 +g400 +tp401 +tp402 +Rp403 +(dp404 +g14 +g344 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbsS'Double' +p408 +g1 +(g2 +g3 +(g285 +g341 +g408 +tp409 +tp410 +Rp411 +(dp412 +g14 +g344 +sg20 +g21 +((lp413 +tp414 +Rp415 +sbsS'Delimeter' +p416 +g1 +(g2 +g3 +(g285 +g341 +g416 +tp417 +tp418 +Rp419 +(dp420 +g14 +g344 +sg20 +g21 +((lp421 +tp422 +Rp423 +sbsS'Atom' +p424 +g1 +(g2 +g3 +(g285 +g341 +g424 +tp425 +tp426 +Rp427 +(dp428 +g14 +g344 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbsS'Affix' +p432 +g1 +(g2 +g3 +(g285 +g341 +g432 +tp433 +tp434 +Rp435 +(dp436 +g14 +g344 +sg20 +g21 +((lp437 +tp438 +Rp439 +sbsg4 +g1 +(g2 +g3 +(g285 +g341 +g4 +tp440 +tp441 +Rp442 +(dp443 +g14 +g344 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbsS'Regex' +p447 +g1 +(g2 +g3 +(g285 +g341 +g447 +tp448 +tp449 +Rp450 +(dp451 +g14 +g344 +sg20 +g21 +((lp452 +tp453 +Rp454 +sbsS'Interp' +p455 +g1 +(g2 +g3 +(g285 +g341 +g455 +tp456 +tp457 +Rp458 +(dp459 +g14 +g344 +sg20 +g21 +((lp460 +tp461 +Rp462 +sbsS'Escape' +p463 +g1 +(g2 +g3 +(g285 +g341 +g463 +tp464 +tp465 +Rp466 +(dp467 +g14 +g344 +sg20 +g21 +((lp468 +tp469 +Rp470 +sbsg20 +g21 +((lp471 +g387 +ag371 +ag450 +ag1 +(g2 +g3 +(g285 +g341 +S'Doc' +p472 +tp473 +tp474 +Rp475 +(dp476 +g14 +g344 +sg20 +g21 +((lp477 +tp478 +Rp479 +sbag403 +ag395 +ag411 +ag379 +ag427 +ag419 +ag442 +ag466 +ag1 +(g2 +g3 +(g285 +g341 +S'Single' +p480 +tp481 +tp482 +Rp483 +(dp484 +g14 +g344 +sg20 +g21 +((lp485 +tp486 +Rp487 +sbag1 +(g2 +g3 +(g285 +g341 +g226 +tp488 +tp489 +Rp490 +(dp491 +g14 +g344 +sg20 +g21 +((lp492 +tp493 +Rp494 +sbag458 +ag356 +ag435 +ag1 +(g2 +g3 +(g285 +g341 +S'Moment' +p495 +tp496 +tp497 +Rp498 +(dp499 +g14 +g344 +sg20 +g21 +((lp500 +tp501 +Rp502 +sbag348 +ag364 +atp503 +Rp504 +sg480 +g483 +sg495 +g498 +sg14 +g308 +sg226 +g490 +sg472 +g475 +sbsg20 +g21 +((lp505 +g344 +ag336 +ag328 +ag1 +(g2 +g3 +(g285 +S'Date' +p506 +tp507 +tp508 +Rp509 +(dp510 +g14 +g308 +sg20 +g21 +((lp511 +tp512 +Rp513 +sbag313 +ag288 +atp514 +Rp515 +sg506 +g509 +sbsS'Bin' +p516 +g1 +(g2 +g3 +(g285 +g284 +g516 +tp517 +tp518 +Rp519 +(dp520 +g14 +g288 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbsS'Radix' +p524 +g1 +(g2 +g3 +(g285 +g284 +g524 +tp525 +tp526 +Rp527 +(dp528 +g14 +g288 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbsS'Oct' +p532 +g1 +(g2 +g3 +(g285 +g284 +g532 +tp533 +tp534 +Rp535 +(dp536 +g14 +g288 +sg20 +g21 +((lp537 +tp538 +Rp539 +sbsS'Dec' +p540 +g1 +(g2 +g3 +(g285 +g284 +g540 +tp541 +tp542 +Rp543 +(dp544 +g14 +g288 +sg20 +g21 +((lp545 +tp546 +Rp547 +sbsS'Hex' +p548 +g1 +(g2 +g3 +(g285 +g284 +g548 +tp549 +tp550 +Rp551 +(dp552 +g14 +g288 +sg20 +g21 +((lp553 +tp554 +Rp555 +sbsg20 +g21 +((lp556 +g293 +ag527 +ag543 +ag1 +(g2 +g3 +(g285 +g284 +S'Decimal' +p557 +tp558 +tp559 +Rp560 +(dp561 +g14 +g288 +sg20 +g21 +((lp562 +tp563 +Rp564 +sbag519 +ag1 +(g2 +g3 +(g285 +g284 +S'Float' +p565 +tp566 +tp567 +Rp568 +(dp569 +g14 +g288 +sg20 +g21 +((lp570 +tp571 +Rp572 +sbag535 +ag551 +atp573 +Rp574 +sg557 +g560 +sg565 +g568 +sbsS'Generic' +p575 +g1 +(g2 +g3 +(g575 +tp576 +tp577 +Rp578 +(dp579 +g14 +g282 +sS'Deleted' +p580 +g1 +(g2 +g3 +(g575 +g580 +tp581 +tp582 +Rp583 +(dp584 +g14 +g578 +sg20 +g21 +((lp585 +tp586 +Rp587 +sbsS'Subheading' +p588 +g1 +(g2 +g3 +(g575 +g588 +tp589 +tp590 +Rp591 +(dp592 +g14 +g578 +sg20 +g21 +((lp593 +tp594 +Rp595 +sbsS'Heading' +p596 +g1 +(g2 +g3 +(g575 +g596 +tp597 +tp598 +Rp599 +(dp600 +g14 +g578 +sg20 +g21 +((lp601 +tp602 +Rp603 +sbsS'Emph' +p604 +g1 +(g2 +g3 +(g575 +g604 +tp605 +tp606 +Rp607 +(dp608 +g14 +g578 +sg20 +g21 +((lp609 +tp610 +Rp611 +sbsS'Prompt' +p612 +g1 +(g2 +g3 +(g575 +g612 +tp613 +tp614 +Rp615 +(dp616 +g14 +g578 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbsS'Inserted' +p620 +g1 +(g2 +g3 +(g575 +g620 +tp621 +tp622 +Rp623 +(dp624 +g14 +g578 +sg20 +g21 +((lp625 +tp626 +Rp627 +sbsS'Strong' +p628 +g1 +(g2 +g3 +(g575 +g628 +tp629 +tp630 +Rp631 +(dp632 +g14 +g578 +sg20 +g21 +((lp633 +tp634 +Rp635 +sbsS'Error' +p636 +g1 +(g2 +g3 +(g575 +g636 +tp637 +tp638 +Rp639 +(dp640 +g14 +g578 +sg20 +g21 +((lp641 +tp642 +Rp643 +sbsS'Traceback' +p644 +g1 +(g2 +g3 +(g575 +g644 +tp645 +tp646 +Rp647 +(dp648 +g14 +g578 +sg20 +g21 +((lp649 +tp650 +Rp651 +sbsg20 +g21 +((lp652 +g599 +ag591 +ag1 +(g2 +g3 +(g575 +S'Output' +p653 +tp654 +tp655 +Rp656 +(dp657 +g14 +g578 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbag631 +ag607 +ag639 +ag647 +ag623 +ag615 +ag583 +atp661 +Rp662 +sg653 +g656 +sbsg60 +g1 +(g2 +g3 +(g60 +tp663 +tp664 +Rp665 +(dp666 +g14 +g282 +sg81 +g1 +(g2 +g3 +(g60 +g81 +tp667 +tp668 +Rp669 +(dp670 +g14 +g665 +sg20 +g21 +((lp671 +tp672 +Rp673 +sbsg20 +g21 +((lp674 +g669 +ag1 +(g2 +g3 +(g60 +S'Word' +p675 +tp676 +tp677 +Rp678 +(dp679 +g14 +g665 +sg20 +g21 +((lp680 +tp681 +Rp682 +sbatp683 +Rp684 +sg675 +g678 +sbsg341 +g344 +sg4 +g7 +sS'Punctuation' +p685 +g1 +(g2 +g3 +(g685 +tp686 +tp687 +Rp688 +(dp689 +g14 +g282 +sg20 +g21 +((lp690 +g1 +(g2 +g3 +(g685 +S'Indicator' +p691 +tp692 +tp693 +Rp694 +(dp695 +g14 +g688 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbatp699 +Rp700 +sg691 +g694 +sbsS'Comment' +p701 +g1 +(g2 +g3 +(g701 +tp702 +tp703 +Rp704 +(dp705 +S'Multi' +p706 +g1 +(g2 +g3 +(g701 +g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g704 +sg20 +g21 +((lp711 +tp712 +Rp713 +sbsg14 +g282 +sS'Special' +p714 +g1 +(g2 +g3 +(g701 +g714 +tp715 +tp716 +Rp717 +(dp718 +g14 +g704 +sg20 +g21 +((lp719 +tp720 +Rp721 +sbsS'Hashbang' +p722 +g1 +(g2 +g3 +(g701 +g722 +tp723 +tp724 +Rp725 +(dp726 +g14 +g704 +sg20 +g21 +((lp727 +tp728 +Rp729 +sbsS'Preproc' +p730 +g1 +(g2 +g3 +(g701 +g730 +tp731 +tp732 +Rp733 +(dp734 +g14 +g704 +sg20 +g21 +((lp735 +tp736 +Rp737 +sbsg480 +g1 +(g2 +g3 +(g701 +g480 +tp738 +tp739 +Rp740 +(dp741 +g14 +g704 +sg20 +g21 +((lp742 +tp743 +Rp744 +sbsS'Directive' +p745 +g1 +(g2 +g3 +(g701 +g745 +tp746 +tp747 +Rp748 +(dp749 +g14 +g704 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsg472 +g1 +(g2 +g3 +(g701 +g472 +tp753 +tp754 +Rp755 +(dp756 +g14 +g704 +sg20 +g21 +((lp757 +tp758 +Rp759 +sbsS'Singleline' +p760 +g1 +(g2 +g3 +(g701 +g760 +tp761 +tp762 +Rp763 +(dp764 +g14 +g704 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Multiline' +p768 +g1 +(g2 +g3 +(g701 +g768 +tp769 +tp770 +Rp771 +(dp772 +g14 +g704 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g755 +ag748 +ag725 +ag709 +ag763 +ag733 +ag771 +ag740 +ag1 +(g2 +g3 +(g701 +S'PreprocFile' +p777 +tp778 +tp779 +Rp780 +(dp781 +g14 +g704 +sg20 +g21 +((lp782 +tp783 +Rp784 +sbag1 +(g2 +g3 +(g701 +S'SingleLine' +p785 +tp786 +tp787 +Rp788 +(dp789 +g14 +g704 +sg20 +g21 +((lp790 +tp791 +Rp792 +sbag717 +atp793 +Rp794 +sg777 +g780 +sg785 +g788 +sbsg285 +g308 +sg226 +g1 +(g2 +g3 +(g226 +tp795 +tp796 +Rp797 +(dp798 +g14 +g282 +sg20 +g21 +((lp799 +tp800 +Rp801 +sbsg636 +g1 +(g2 +g3 +(g636 +tp802 +tp803 +Rp804 +(dp805 +g14 +g282 +sg20 +g21 +((lp806 +tp807 +Rp808 +sbsS'Token' +p809 +g282 +sg463 +g1 +(g2 +g3 +(g463 +tp810 +tp811 +Rp812 +(dp813 +g14 +g282 +sg20 +g21 +((lp814 +tp815 +Rp816 +sbsg20 +g21 +((lp817 +g7 +ag797 +ag1 +(g2 +g3 +(S'Keyword' +p818 +tp819 +tp820 +Rp821 +(dp822 +g14 +g282 +sg116 +g1 +(g2 +g3 +(g818 +g116 +tp823 +tp824 +Rp825 +(dp826 +g14 +g821 +sg20 +g21 +((lp827 +tp828 +Rp829 +sbsS'Control' +p830 +g1 +(g2 +g3 +(g818 +g830 +tp831 +tp832 +Rp833 +(dp834 +g14 +g821 +sg20 +g21 +((lp835 +tp836 +Rp837 +sbsg140 +g1 +(g2 +g3 +(g818 +g140 +tp838 +tp839 +Rp840 +(dp841 +g14 +g821 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg195 +g1 +(g2 +g3 +(g818 +g195 +tp845 +tp846 +Rp847 +(dp848 +g14 +g821 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsS'PreProc' +p852 +g1 +(g2 +g3 +(g818 +g852 +tp853 +tp854 +Rp855 +(dp856 +g14 +g821 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg108 +g1 +(g2 +g3 +(g818 +g108 +tp860 +tp861 +Rp862 +(dp863 +g14 +g821 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsS'Reserved' +p867 +g1 +(g2 +g3 +(g818 +g867 +tp868 +tp869 +Rp870 +(dp871 +g14 +g821 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbsg20 +g21 +((lp875 +g847 +ag1 +(g2 +g3 +(g818 +g675 +tp876 +tp877 +Rp878 +(dp879 +g14 +g821 +sg20 +g21 +((lp880 +tp881 +Rp882 +sbag833 +ag1 +(g2 +g3 +(g818 +S'Declaration' +p883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g821 +sg20 +g21 +((lp888 +tp889 +Rp890 +sbag1 +(g2 +g3 +(g818 +g818 +tp891 +tp892 +Rp893 +(dp894 +g14 +g821 +sg20 +g21 +((lp895 +tp896 +Rp897 +sbag862 +ag840 +ag825 +ag870 +ag855 +atp898 +Rp899 +sg818 +g893 +sg883 +g886 +sg675 +g878 +sbag578 +ag1 +(g2 +g3 +(S'Text' +p900 +tp901 +tp902 +Rp903 +(dp904 +S'Beer' +p905 +g1 +(g2 +g3 +(g900 +g905 +tp906 +tp907 +Rp908 +(dp909 +g14 +g903 +sg20 +g21 +((lp910 +tp911 +Rp912 +sbsS'Whitespace' +p913 +g1 +(g2 +g3 +(g900 +g913 +tp914 +tp915 +Rp916 +(dp917 +g14 +g903 +sg20 +g21 +((lp918 +tp919 +Rp920 +sbsg14 +g282 +sS'Root' +p921 +g1 +(g2 +g3 +(g900 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g903 +sg20 +g21 +((lp926 +tp927 +Rp928 +sbsg92 +g1 +(g2 +g3 +(g900 +g92 +tp929 +tp930 +Rp931 +(dp932 +g14 +g903 +sg20 +g21 +((lp933 +tp934 +Rp935 +sbsg685 +g1 +(g2 +g3 +(g900 +g685 +tp936 +tp937 +Rp938 +(dp939 +g14 +g903 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbsg20 +g21 +((lp943 +g924 +ag931 +ag938 +ag916 +ag908 +ag1 +(g2 +g3 +(g900 +S'Rag' +p944 +tp945 +tp946 +Rp947 +(dp948 +g14 +g903 +sg20 +g21 +((lp949 +tp950 +Rp951 +sbatp952 +Rp953 +sg944 +g947 +sbag665 +ag812 +ag688 +ag704 +ag804 +ag308 +atp954 +Rp955 +sg818 +g821 +sg900 +g903 +sbsg15 +g206 +sg257 +g260 +sg242 +g245 +sg226 +g229 +sbVapple +p956 +tp957 +a(g665 +V. +p958 +tp959 +a(g7 +Vfilter +p960 +tp961 +a(g688 +V( +p962 +tp963 +a(g7 +Vx +p964 +tp965 +a(g688 +V, +p966 +tp967 +a(g903 +V +p968 +tp969 +a(g7 +Vy +p970 +tp971 +a(g688 +V) +p972 +tp973 +a(g903 +V\u000a +p974 +tp975 +a(g7 +Vapple +p976 +tp977 +a(g665 +g958 +tp978 +a(g903 +V\u005c\u000a +p979 +tp980 +a(g903 +V +p981 +tp982 +a(g260 +Vfilter +p983 +tp984 +a(g688 +g962 +tp985 +a(g7 +g964 +tp986 +a(g688 +g966 +tp987 +a(g903 +g968 +tp988 +a(g7 +g970 +tp989 +a(g688 +g972 +tp990 +a(g903 +V\u000a +p991 +tp992 +a(g903 +V\u000a +p993 +tp994 +a(g293 +V1 +p995 +tp996 +a(g903 +g968 +tp997 +a(g903 +V\u005c\u000a +p998 +tp999 +a(g903 +V +p1000 +tp1001 +a(g665 +g958 +tp1002 +a(g903 +g968 +tp1003 +a(g903 +V\u005c\u000a +p1004 +tp1005 +a(g903 +V +p1006 +tp1007 +a(g156 +V__str__ +p1008 +tp1009 +a(g903 +V\u000a +p1010 +tp1011 +a(g903 +V\u000a +p1012 +tp1013 +a(g847 +Vfrom +p1014 +tp1015 +a(g903 +g968 +tp1016 +a(g198 +Vos +p1017 +tp1018 +a(g903 +g968 +tp1019 +a(g847 +Vimport +p1020 +tp1021 +a(g903 +g968 +tp1022 +a(g7 +Vpath +p1023 +tp1024 +a(g903 +V\u000a +p1025 +tp1026 +a(g847 +Vfrom +p1027 +tp1028 +a(g903 +V \u005c\u000a +p1029 +tp1030 +a(g198 +Vos +p1031 +tp1032 +a(g903 +V \u005c\u000a +p1033 +tp1034 +a(g847 +Vimport +p1035 +tp1036 +a(g903 +g968 +tp1037 +a(g903 +V\u005c\u000a +p1038 +tp1039 +a(g903 +V +p1040 +tp1041 +a(g7 +Vpath +p1042 +tp1043 +a(g903 +V\u000a +p1044 +tp1045 +a(g903 +V\u000a +p1046 +tp1047 +a(g847 +Vimport +p1048 +tp1049 +a(g903 +g968 +tp1050 +a(g198 +Vos.path +p1051 +tp1052 +a(g903 +g968 +tp1053 +a(g847 +Vas +p1054 +tp1055 +a(g903 +g968 +tp1056 +a(g198 +Vsomething +p1057 +tp1058 +a(g903 +V\u000a +p1059 +tp1060 +a(g903 +V\u000a +p1061 +tp1062 +a(g847 +Vimport +p1063 +tp1064 +a(g903 +V \u005c\u000a +p1065 +tp1066 +a(g198 +Vos.path +p1067 +tp1068 +a(g903 +V \u005c\u000a +p1069 +tp1070 +a(g847 +Vas +p1071 +tp1072 +a(g903 +V \u005c\u000a +p1073 +tp1074 +a(g198 +Vsomething +p1075 +tp1076 +a(g903 +V\u000a +p1077 +tp1078 +a(g903 +V\u000a +p1079 +tp1080 +a(g821 +Vclass +p1081 +tp1082 +a(g903 +V \u005c\u000a +p1083 +tp1084 +a(g206 +VSpam +p1085 +tp1086 +a(g688 +V: +p1087 +tp1088 +a(g903 +V\u000a +p1089 +tp1090 +a(g903 +V +p1091 +tp1092 +a(g821 +Vpass +p1093 +tp1094 +a(g903 +V\u000a +p1095 +tp1096 +a(g903 +V\u000a +p1097 +tp1098 +a(g821 +Vclass +p1099 +tp1100 +a(g903 +g968 +tp1101 +a(g206 +VSpam +p1102 +tp1103 +a(g688 +g1087 +tp1104 +a(g903 +g968 +tp1105 +a(g821 +Vpass +p1106 +tp1107 +a(g903 +V\u000a +p1108 +tp1109 +a(g903 +V\u000a +p1110 +tp1111 +a(g821 +Vclass +p1112 +tp1113 +a(g903 +g968 +tp1114 +a(g206 +VSpam +p1115 +tp1116 +a(g688 +g962 +tp1117 +a(g260 +Vobject +p1118 +tp1119 +a(g688 +g972 +tp1120 +a(g688 +g1087 +tp1121 +a(g903 +V\u000a +p1122 +tp1123 +a(g903 +V +p1124 +tp1125 +a(g821 +Vpass +p1126 +tp1127 +a(g903 +V\u000a +p1128 +tp1129 +a(g903 +V\u000a +p1130 +tp1131 +a(g821 +Vclass +p1132 +tp1133 +a(g903 +V \u005c\u000a +p1134 +tp1135 +a(g206 +VSpam +p1136 +tp1137 +a(g903 +g968 +tp1138 +a(g903 +V\u005c\u000a +p1139 +tp1140 +a(g903 +V +p1141 +tp1142 +a(g688 +g962 +tp1143 +a(g903 +V\u000a +p1144 +tp1145 +a(g903 +V +p1146 +tp1147 +a(g260 +Vobject +p1148 +tp1149 +a(g903 +V\u000a +p1150 +tp1151 +a(g903 +g968 +tp1152 +a(g688 +g972 +tp1153 +a(g903 +g968 +tp1154 +a(g903 +V\u005c\u000a +p1155 +tp1156 +a(g903 +g968 +tp1157 +a(g688 +g1087 +tp1158 +a(g903 +V\u000a +p1159 +tp1160 +a(g903 +g968 +tp1161 +a(g821 +Vpass +p1162 +tp1163 +a(g903 +V\u000a +p1164 +tp1165 +a(g903 +V\u000a +p1166 +tp1167 +a(g903 +V\u000a +p1168 +tp1169 +a(g821 +Vdef +p1170 +tp1171 +a(g903 +V \u005c\u000a +p1172 +tp1173 +a(g151 +Vspam +p1174 +tp1175 +a(g903 +g968 +tp1176 +a(g903 +V\u005c\u000a +p1177 +tp1178 +a(g903 +V +p1179 +tp1180 +a(g688 +g962 +tp1181 +a(g903 +g968 +tp1182 +a(g903 +V\u005c\u000a +p1183 +tp1184 +a(g903 +V +p1185 +tp1186 +a(g688 +g972 +tp1187 +a(g903 +g968 +tp1188 +a(g903 +V\u005c\u000a +p1189 +tp1190 +a(g903 +V +p1191 +tp1192 +a(g688 +g1087 +tp1193 +a(g903 +g968 +tp1194 +a(g903 +V\u005c\u000a +p1195 +tp1196 +a(g903 +V +p1197 +tp1198 +a(g821 +Vpass +p1199 +tp1200 +a(g903 +V\u000a +p1201 +tp1202 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/livescript-demo.ls b/tests/examplefiles/output/livescript-demo.ls new file mode 100644 index 0000000..f762b9e --- /dev/null +++ b/tests/examplefiles/output/livescript-demo.ls @@ -0,0 +1,3638 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Variable' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g5 +g8 +sS'Operator' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Decorator' +p25 +g1 +(g2 +g3 +(g4 +g25 +tp26 +tp27 +Rp28 +(dp29 +g10 +g13 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Entity' +p33 +g1 +(g2 +g3 +(g4 +g33 +tp34 +tp35 +Rp36 +(dp37 +g10 +g13 +sS'DBS' +p38 +g1 +(g2 +g3 +(g4 +g33 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g36 +sg20 +g21 +((lp43 +tp44 +Rp45 +sbsg20 +g21 +((lp46 +g41 +atp47 +Rp48 +sbsS'Symbol' +p49 +g1 +(g2 +g3 +(g4 +g49 +tp50 +tp51 +Rp52 +(dp53 +g10 +g13 +sg20 +g21 +((lp54 +tp55 +Rp56 +sbsS'Property' +p57 +g1 +(g2 +g3 +(g4 +g57 +tp58 +tp59 +Rp60 +(dp61 +g10 +g13 +sg20 +g21 +((lp62 +tp63 +Rp64 +sbsS'Pseudo' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg20 +g21 +((lp70 +tp71 +Rp72 +sbsS'Type' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg20 +g21 +((lp78 +tp79 +Rp80 +sbsS'Classes' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsS'Tag' +p89 +g1 +(g2 +g3 +(g4 +g89 +tp90 +tp91 +Rp92 +(dp93 +g10 +g13 +sg20 +g21 +((lp94 +tp95 +Rp96 +sbsS'Constant' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg20 +g21 +((lp102 +tp103 +Rp104 +sbsS'Function' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg20 +g21 +((lp110 +g1 +(g2 +g3 +(g4 +g105 +S'Magic' +p111 +tp112 +tp113 +Rp114 +(dp115 +g10 +g108 +sg20 +g21 +((lp116 +tp117 +Rp118 +sbatp119 +Rp120 +sg111 +g114 +sbsS'Blubb' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg20 +g21 +((lp126 +tp127 +Rp128 +sbsS'Label' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg20 +g21 +((lp134 +tp135 +Rp136 +sbsS'Field' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg20 +g21 +((lp142 +tp143 +Rp144 +sbsS'Exception' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg20 +g21 +((lp150 +tp151 +Rp152 +sbsS'Namespace' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbsg20 +g21 +((lp161 +g28 +ag124 +ag68 +ag36 +ag8 +ag148 +ag60 +ag92 +ag108 +ag84 +ag1 +(g2 +g3 +(g4 +S'Class' +p162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g13 +sg38 +g1 +(g2 +g3 +(g4 +g162 +g38 +tp167 +tp168 +Rp169 +(dp170 +g10 +g165 +sg20 +g21 +((lp171 +tp172 +Rp173 +sbsg20 +g21 +((lp174 +g1 +(g2 +g3 +(g4 +g162 +S'Start' +p175 +tp176 +tp177 +Rp178 +(dp179 +g10 +g165 +sg20 +g21 +((lp180 +tp181 +Rp182 +sbag169 +atp183 +Rp184 +sg175 +g178 +sbag1 +(g2 +g3 +(g4 +S'Other' +p185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g13 +sS'Member' +p190 +g1 +(g2 +g3 +(g4 +g185 +g190 +tp191 +tp192 +Rp193 +(dp194 +g10 +g188 +sg20 +g21 +((lp195 +tp196 +Rp197 +sbsg20 +g21 +((lp198 +g193 +atp199 +Rp200 +sbag132 +ag18 +ag156 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p201 +tp202 +tp203 +Rp204 +(dp205 +g10 +g13 +sg5 +g1 +(g2 +g3 +(g4 +g201 +g5 +tp206 +tp207 +Rp208 +(dp209 +g10 +g204 +sg20 +g21 +((lp210 +tp211 +Rp212 +sbsg20 +g21 +((lp213 +g208 +atp214 +Rp215 +sbag100 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p216 +tp217 +tp218 +Rp219 +(dp220 +g10 +g13 +sg73 +g1 +(g2 +g3 +(g4 +g216 +g73 +tp221 +tp222 +Rp223 +(dp224 +g10 +g219 +sg20 +g21 +((lp225 +tp226 +Rp227 +sbsg20 +g21 +((lp228 +g1 +(g2 +g3 +(g4 +g216 +g65 +tp229 +tp230 +Rp231 +(dp232 +g10 +g219 +sg20 +g21 +((lp233 +tp234 +Rp235 +sbag223 +atp236 +Rp237 +sg65 +g231 +sbag140 +ag76 +ag52 +atp238 +Rp239 +sg10 +g1 +(g2 +g3 +(ttp240 +Rp241 +(dp242 +S'Number' +p243 +g1 +(g2 +g3 +(S'Literal' +p244 +g243 +tp245 +tp246 +Rp247 +(dp248 +S'Integer' +p249 +g1 +(g2 +g3 +(g244 +g243 +g249 +tp250 +tp251 +Rp252 +(dp253 +g10 +g247 +sS'Long' +p254 +g1 +(g2 +g3 +(g244 +g243 +g249 +g254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g252 +sg20 +g21 +((lp259 +tp260 +Rp261 +sbsg20 +g21 +((lp262 +g257 +atp263 +Rp264 +sbsg10 +g1 +(g2 +g3 +(g244 +tp265 +tp266 +Rp267 +(dp268 +S'Scalar' +p269 +g1 +(g2 +g3 +(g244 +g269 +tp270 +tp271 +Rp272 +(dp273 +g10 +g267 +sg20 +g21 +((lp274 +g1 +(g2 +g3 +(g244 +g269 +S'Plain' +p275 +tp276 +tp277 +Rp278 +(dp279 +g10 +g272 +sg20 +g21 +((lp280 +tp281 +Rp282 +sbatp283 +Rp284 +sg275 +g278 +sbsg243 +g247 +sg10 +g241 +sg185 +g1 +(g2 +g3 +(g244 +g185 +tp285 +tp286 +Rp287 +(dp288 +g10 +g267 +sg20 +g21 +((lp289 +tp290 +Rp291 +sbsS'Char' +p292 +g1 +(g2 +g3 +(g244 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g267 +sg20 +g21 +((lp297 +tp298 +Rp299 +sbsS'String' +p300 +g1 +(g2 +g3 +(g244 +g300 +tp301 +tp302 +Rp303 +(dp304 +g292 +g1 +(g2 +g3 +(g244 +g300 +g292 +tp305 +tp306 +Rp307 +(dp308 +g10 +g303 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbsS'Backtick' +p312 +g1 +(g2 +g3 +(g244 +g300 +g312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g303 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbsS'Heredoc' +p320 +g1 +(g2 +g3 +(g244 +g300 +g320 +tp321 +tp322 +Rp323 +(dp324 +g10 +g303 +sg20 +g21 +((lp325 +tp326 +Rp327 +sbsg49 +g1 +(g2 +g3 +(g244 +g300 +g49 +tp328 +tp329 +Rp330 +(dp331 +g10 +g303 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Interpol' +p335 +g1 +(g2 +g3 +(g244 +g300 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g303 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Delimiter' +p343 +g1 +(g2 +g3 +(g244 +g300 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g303 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Boolean' +p351 +g1 +(g2 +g3 +(g244 +g300 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g303 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Character' +p359 +g1 +(g2 +g3 +(g244 +g300 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g303 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Double' +p367 +g1 +(g2 +g3 +(g244 +g300 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g303 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Delimeter' +p375 +g1 +(g2 +g3 +(g244 +g300 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g303 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Atom' +p383 +g1 +(g2 +g3 +(g244 +g300 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g303 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Affix' +p391 +g1 +(g2 +g3 +(g244 +g300 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g303 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg4 +g1 +(g2 +g3 +(g244 +g300 +g4 +tp399 +tp400 +Rp401 +(dp402 +g10 +g303 +sg20 +g21 +((lp403 +tp404 +Rp405 +sbsS'Regex' +p406 +g1 +(g2 +g3 +(g244 +g300 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g303 +sg20 +g21 +((lp411 +tp412 +Rp413 +sbsS'Interp' +p414 +g1 +(g2 +g3 +(g244 +g300 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g303 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsS'Escape' +p422 +g1 +(g2 +g3 +(g244 +g300 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g303 +sg20 +g21 +((lp427 +tp428 +Rp429 +sbsg20 +g21 +((lp430 +g346 +ag330 +ag409 +ag1 +(g2 +g3 +(g244 +g300 +S'Doc' +p431 +tp432 +tp433 +Rp434 +(dp435 +g10 +g303 +sg20 +g21 +((lp436 +tp437 +Rp438 +sbag362 +ag354 +ag370 +ag338 +ag386 +ag378 +ag401 +ag425 +ag1 +(g2 +g3 +(g244 +g300 +S'Single' +p439 +tp440 +tp441 +Rp442 +(dp443 +g10 +g303 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbag1 +(g2 +g3 +(g244 +g300 +g185 +tp447 +tp448 +Rp449 +(dp450 +g10 +g303 +sg20 +g21 +((lp451 +tp452 +Rp453 +sbag417 +ag315 +ag394 +ag1 +(g2 +g3 +(g244 +g300 +S'Moment' +p454 +tp455 +tp456 +Rp457 +(dp458 +g10 +g303 +sg20 +g21 +((lp459 +tp460 +Rp461 +sbag307 +ag323 +atp462 +Rp463 +sg439 +g442 +sg454 +g457 +sg10 +g267 +sg185 +g449 +sg431 +g434 +sbsg20 +g21 +((lp464 +g303 +ag295 +ag287 +ag1 +(g2 +g3 +(g244 +S'Date' +p465 +tp466 +tp467 +Rp468 +(dp469 +g10 +g267 +sg20 +g21 +((lp470 +tp471 +Rp472 +sbag272 +ag247 +atp473 +Rp474 +sg465 +g468 +sbsS'Bin' +p475 +g1 +(g2 +g3 +(g244 +g243 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g247 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbsS'Radix' +p483 +g1 +(g2 +g3 +(g244 +g243 +g483 +tp484 +tp485 +Rp486 +(dp487 +g10 +g247 +sg20 +g21 +((lp488 +tp489 +Rp490 +sbsS'Oct' +p491 +g1 +(g2 +g3 +(g244 +g243 +g491 +tp492 +tp493 +Rp494 +(dp495 +g10 +g247 +sg20 +g21 +((lp496 +tp497 +Rp498 +sbsS'Dec' +p499 +g1 +(g2 +g3 +(g244 +g243 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g247 +sg20 +g21 +((lp504 +tp505 +Rp506 +sbsS'Hex' +p507 +g1 +(g2 +g3 +(g244 +g243 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g247 +sg20 +g21 +((lp512 +tp513 +Rp514 +sbsg20 +g21 +((lp515 +g252 +ag486 +ag502 +ag1 +(g2 +g3 +(g244 +g243 +S'Decimal' +p516 +tp517 +tp518 +Rp519 +(dp520 +g10 +g247 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbag478 +ag1 +(g2 +g3 +(g244 +g243 +S'Float' +p524 +tp525 +tp526 +Rp527 +(dp528 +g10 +g247 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbag494 +ag510 +atp532 +Rp533 +sg516 +g519 +sg524 +g527 +sbsS'Generic' +p534 +g1 +(g2 +g3 +(g534 +tp535 +tp536 +Rp537 +(dp538 +g10 +g241 +sS'Deleted' +p539 +g1 +(g2 +g3 +(g534 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g537 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Subheading' +p547 +g1 +(g2 +g3 +(g534 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g537 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Heading' +p555 +g1 +(g2 +g3 +(g534 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g537 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Emph' +p563 +g1 +(g2 +g3 +(g534 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g537 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Prompt' +p571 +g1 +(g2 +g3 +(g534 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g537 +sg20 +g21 +((lp576 +tp577 +Rp578 +sbsS'Inserted' +p579 +g1 +(g2 +g3 +(g534 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g537 +sg20 +g21 +((lp584 +tp585 +Rp586 +sbsS'Strong' +p587 +g1 +(g2 +g3 +(g534 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g537 +sg20 +g21 +((lp592 +tp593 +Rp594 +sbsS'Error' +p595 +g1 +(g2 +g3 +(g534 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g537 +sg20 +g21 +((lp600 +tp601 +Rp602 +sbsS'Traceback' +p603 +g1 +(g2 +g3 +(g534 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g537 +sg20 +g21 +((lp608 +tp609 +Rp610 +sbsg20 +g21 +((lp611 +g558 +ag550 +ag1 +(g2 +g3 +(g534 +S'Output' +p612 +tp613 +tp614 +Rp615 +(dp616 +g10 +g537 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbag590 +ag566 +ag598 +ag606 +ag582 +ag574 +ag542 +atp620 +Rp621 +sg612 +g615 +sbsg15 +g1 +(g2 +g3 +(g15 +tp622 +tp623 +Rp624 +(dp625 +g10 +g241 +sg38 +g1 +(g2 +g3 +(g15 +g38 +tp626 +tp627 +Rp628 +(dp629 +g10 +g624 +sg20 +g21 +((lp630 +tp631 +Rp632 +sbsg20 +g21 +((lp633 +g628 +ag1 +(g2 +g3 +(g15 +S'Word' +p634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g624 +sg20 +g21 +((lp639 +tp640 +Rp641 +sbatp642 +Rp643 +sg634 +g637 +sbsg300 +g303 +sg4 +g13 +sS'Punctuation' +p644 +g1 +(g2 +g3 +(g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g241 +sg20 +g21 +((lp649 +g1 +(g2 +g3 +(g644 +S'Indicator' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g647 +sg20 +g21 +((lp655 +tp656 +Rp657 +sbatp658 +Rp659 +sg650 +g653 +sbsS'Comment' +p660 +g1 +(g2 +g3 +(g660 +tp661 +tp662 +Rp663 +(dp664 +S'Multi' +p665 +g1 +(g2 +g3 +(g660 +g665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g663 +sg20 +g21 +((lp670 +tp671 +Rp672 +sbsg10 +g241 +sS'Special' +p673 +g1 +(g2 +g3 +(g660 +g673 +tp674 +tp675 +Rp676 +(dp677 +g10 +g663 +sg20 +g21 +((lp678 +tp679 +Rp680 +sbsS'Hashbang' +p681 +g1 +(g2 +g3 +(g660 +g681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g663 +sg20 +g21 +((lp686 +tp687 +Rp688 +sbsS'Preproc' +p689 +g1 +(g2 +g3 +(g660 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g663 +sg20 +g21 +((lp694 +tp695 +Rp696 +sbsg439 +g1 +(g2 +g3 +(g660 +g439 +tp697 +tp698 +Rp699 +(dp700 +g10 +g663 +sg20 +g21 +((lp701 +tp702 +Rp703 +sbsS'Directive' +p704 +g1 +(g2 +g3 +(g660 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g663 +sg20 +g21 +((lp709 +tp710 +Rp711 +sbsg431 +g1 +(g2 +g3 +(g660 +g431 +tp712 +tp713 +Rp714 +(dp715 +g10 +g663 +sg20 +g21 +((lp716 +tp717 +Rp718 +sbsS'Singleline' +p719 +g1 +(g2 +g3 +(g660 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g663 +sg20 +g21 +((lp724 +tp725 +Rp726 +sbsS'Multiline' +p727 +g1 +(g2 +g3 +(g660 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g663 +sg20 +g21 +((lp732 +tp733 +Rp734 +sbsg20 +g21 +((lp735 +g714 +ag707 +ag684 +ag668 +ag722 +ag692 +ag730 +ag699 +ag1 +(g2 +g3 +(g660 +S'PreprocFile' +p736 +tp737 +tp738 +Rp739 +(dp740 +g10 +g663 +sg20 +g21 +((lp741 +tp742 +Rp743 +sbag1 +(g2 +g3 +(g660 +S'SingleLine' +p744 +tp745 +tp746 +Rp747 +(dp748 +g10 +g663 +sg20 +g21 +((lp749 +tp750 +Rp751 +sbag676 +atp752 +Rp753 +sg736 +g739 +sg744 +g747 +sbsg244 +g267 +sg185 +g1 +(g2 +g3 +(g185 +tp754 +tp755 +Rp756 +(dp757 +g10 +g241 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg595 +g1 +(g2 +g3 +(g595 +tp761 +tp762 +Rp763 +(dp764 +g10 +g241 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Token' +p768 +g241 +sg422 +g1 +(g2 +g3 +(g422 +tp769 +tp770 +Rp771 +(dp772 +g10 +g241 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g13 +ag756 +ag1 +(g2 +g3 +(S'Keyword' +p777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g241 +sg73 +g1 +(g2 +g3 +(g777 +g73 +tp782 +tp783 +Rp784 +(dp785 +g10 +g780 +sg20 +g21 +((lp786 +tp787 +Rp788 +sbsS'Control' +p789 +g1 +(g2 +g3 +(g777 +g789 +tp790 +tp791 +Rp792 +(dp793 +g10 +g780 +sg20 +g21 +((lp794 +tp795 +Rp796 +sbsg97 +g1 +(g2 +g3 +(g777 +g97 +tp797 +tp798 +Rp799 +(dp800 +g10 +g780 +sg20 +g21 +((lp801 +tp802 +Rp803 +sbsg153 +g1 +(g2 +g3 +(g777 +g153 +tp804 +tp805 +Rp806 +(dp807 +g10 +g780 +sg20 +g21 +((lp808 +tp809 +Rp810 +sbsS'PreProc' +p811 +g1 +(g2 +g3 +(g777 +g811 +tp812 +tp813 +Rp814 +(dp815 +g10 +g780 +sg20 +g21 +((lp816 +tp817 +Rp818 +sbsg65 +g1 +(g2 +g3 +(g777 +g65 +tp819 +tp820 +Rp821 +(dp822 +g10 +g780 +sg20 +g21 +((lp823 +tp824 +Rp825 +sbsS'Reserved' +p826 +g1 +(g2 +g3 +(g777 +g826 +tp827 +tp828 +Rp829 +(dp830 +g10 +g780 +sg20 +g21 +((lp831 +tp832 +Rp833 +sbsg20 +g21 +((lp834 +g806 +ag1 +(g2 +g3 +(g777 +g634 +tp835 +tp836 +Rp837 +(dp838 +g10 +g780 +sg20 +g21 +((lp839 +tp840 +Rp841 +sbag792 +ag1 +(g2 +g3 +(g777 +S'Declaration' +p842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g780 +sg20 +g21 +((lp847 +tp848 +Rp849 +sbag1 +(g2 +g3 +(g777 +g777 +tp850 +tp851 +Rp852 +(dp853 +g10 +g780 +sg20 +g21 +((lp854 +tp855 +Rp856 +sbag821 +ag799 +ag784 +ag829 +ag814 +atp857 +Rp858 +sg777 +g852 +sg842 +g845 +sg634 +g837 +sbag537 +ag1 +(g2 +g3 +(S'Text' +p859 +tp860 +tp861 +Rp862 +(dp863 +S'Beer' +p864 +g1 +(g2 +g3 +(g859 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g862 +sg20 +g21 +((lp869 +tp870 +Rp871 +sbsS'Whitespace' +p872 +g1 +(g2 +g3 +(g859 +g872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g862 +sg20 +g21 +((lp877 +tp878 +Rp879 +sbsg10 +g241 +sS'Root' +p880 +g1 +(g2 +g3 +(g859 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g862 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg49 +g1 +(g2 +g3 +(g859 +g49 +tp888 +tp889 +Rp890 +(dp891 +g10 +g862 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsg644 +g1 +(g2 +g3 +(g859 +g644 +tp895 +tp896 +Rp897 +(dp898 +g10 +g862 +sg20 +g21 +((lp899 +tp900 +Rp901 +sbsg20 +g21 +((lp902 +g883 +ag890 +ag897 +ag875 +ag867 +ag1 +(g2 +g3 +(g859 +S'Rag' +p903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g862 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbatp911 +Rp912 +sg903 +g906 +sbag624 +ag771 +ag647 +ag663 +ag763 +ag267 +atp913 +Rp914 +sg777 +g780 +sg859 +g862 +sbsg162 +g165 +sg216 +g219 +sg201 +g204 +sg185 +g188 +sbsg162 +g1 +(g2 +g3 +(g4 +g5 +g162 +tp915 +tp916 +Rp917 +(dp918 +g10 +g8 +sg20 +g21 +((lp919 +tp920 +Rp921 +sbsS'Anonymous' +p922 +g1 +(g2 +g3 +(g4 +g5 +g922 +tp923 +tp924 +Rp925 +(dp926 +g10 +g8 +sg20 +g21 +((lp927 +tp928 +Rp929 +sbsS'Instance' +p930 +g1 +(g2 +g3 +(g4 +g5 +g930 +tp931 +tp932 +Rp933 +(dp934 +g10 +g8 +sg20 +g21 +((lp935 +tp936 +Rp937 +sbsS'Global' +p938 +g1 +(g2 +g3 +(g4 +g5 +g938 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg20 +g21 +((lp943 +tp944 +Rp945 +sbsg20 +g21 +((lp946 +g925 +ag933 +ag1 +(g2 +g3 +(g4 +g5 +g111 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg20 +g21 +((lp951 +tp952 +Rp953 +sbag941 +ag917 +atp954 +Rp955 +sg111 +g949 +sbVa = +p956 +tp957 +a(g108 +V-> +p958 +tp959 +a(g862 +V +p960 +tp961 +a(g647 +V[ +p962 +tp963 +a(g252 +V1 +p964 +tp965 +a(g862 +g960 +tp966 +a(g780 +Vto +p967 +tp968 +a(g862 +g960 +tp969 +a(g252 +V50 +p970 +tp971 +a(g647 +V] +p972 +tp973 +a(g862 +V\u000a +p974 +tp975 +a(g780 +Vconst +p976 +tp977 +a(g862 +g960 +tp978 +a(g8 +Vb = +p979 +tp980 +a(g108 +V--> +p981 +tp982 +a(g862 +g960 +tp983 +a(g647 +g962 +tp984 +a(g252 +V2 +p985 +tp986 +a(g862 +g960 +tp987 +a(g780 +Vtil +p988 +tp989 +a(g862 +g960 +tp990 +a(g252 +V5 +p991 +tp992 +a(g647 +g972 +tp993 +a(g862 +V\u000a +p994 +tp995 +a(g780 +Vvar +p996 +tp997 +a(g862 +g960 +tp998 +a(g8 +Vc = +p999 +tp1000 +a(g108 +V~~> +p1001 +tp1002 +a(g862 +g960 +tp1003 +a(g252 +V10_ +p1004 +tp1005 +a(g252 +V000_ +p1006 +tp1007 +a(g252 +V000km +p1008 +tp1009 +a(g862 +g960 +tp1010 +a(g624 +V* +p1011 +tp1012 +a(g862 +g960 +tp1013 +a(g252 +V500ms +p1014 +tp1015 +a(g862 +g960 +tp1016 +a(g624 +V- +p1017 +tp1018 +a(g862 +g960 +tp1019 +a(g252 +V16~ff +p1020 +tp1021 +a(g862 +g960 +tp1022 +a(g624 +V/ +p1023 +tp1024 +a(g862 +g960 +tp1025 +a(g252 +V32~lol +p1026 +tp1027 +a(g862 +V\u000a +p1028 +tp1029 +a(g8 +Ve = +p1030 +tp1031 +a(g108 +V(a) -> +p1032 +tp1033 +a(g862 +g960 +tp1034 +a(g108 +V(b) ~> +p1035 +tp1036 +a(g862 +g960 +tp1037 +a(g108 +V(c) --> +p1038 +tp1039 +a(g862 +g960 +tp1040 +a(g108 +V(d, e) ~~> +p1041 +tp1042 +a(g862 +g960 +tp1043 +a(g303 +V<[list of words]> +p1044 +tp1045 +a(g862 +V\u000a +p1046 +tp1047 +a(g8 +Vdashes-identifiers = +p1048 +tp1049 +a(g108 +V-> +p1050 +tp1051 +a(g862 +V\u000a +p1052 +tp1053 +a(g188 +Va +p1054 +tp1055 +a(g862 +g960 +tp1056 +a(g624 +g1017 +tp1057 +a(g862 +g960 +tp1058 +a(g188 +g1054 +tp1059 +a(g862 +g960 +tp1060 +a(g188 +Vb +p1061 +tp1062 +a(g862 +g960 +tp1063 +a(g624 +g1017 +tp1064 +a(g624 +g1017 +tp1065 +a(g862 +g960 +tp1066 +a(g188 +Vc +p1067 +tp1068 +a(g862 +g960 +tp1069 +a(g252 +g964 +tp1070 +a(g624 +g1017 +tp1071 +a(g252 +g964 +tp1072 +a(g862 +g960 +tp1073 +a(g252 +g964 +tp1074 +a(g624 +g1017 +tp1075 +a(g862 +g960 +tp1076 +a(g624 +g1017 +tp1077 +a(g252 +g964 +tp1078 +a(g862 +g960 +tp1079 +a(g188 +Va- +p1080 +tp1081 +a(g862 +g960 +tp1082 +a(g188 +g1054 +tp1083 +a(g862 +g960 +tp1084 +a(g188 +g1054 +tp1085 +a(g862 +g960 +tp1086 +a(g624 +g1017 +tp1087 +a(g188 +g1054 +tp1088 +a(g862 +V\u000a +p1089 +tp1090 +a(g8 +Vunderscores_i$d = +p1091 +tp1092 +a(g108 +V-> +p1093 +tp1094 +a(g862 +V\u000a +p1095 +tp1096 +a(g624 +g1023 +tp1097 +a(g188 +Vregexp1 +p1098 +tp1099 +a(g624 +g1023 +tp1100 +a(g862 +V\u000a +p1101 +tp1102 +a(g409 +V// +p1103 +tp1104 +a(g409 +Vregexp2 +p1105 +tp1106 +a(g409 +V//g +p1107 +tp1108 +a(g862 +V\u000a +p1109 +tp1110 +a(g303 +V' +p1111 +tp1112 +a(g303 +Vstrings +p1113 +tp1114 +a(g303 +g1111 +tp1115 +a(g862 +g960 +tp1116 +a(g624 +Vand +p1117 +tp1118 +a(g862 +g960 +tp1119 +a(g303 +V" +p1120 +tp1121 +a(g303 +Vstrings +p1122 +tp1123 +a(g303 +g1120 +tp1124 +a(g862 +g960 +tp1125 +a(g624 +Vand +p1126 +tp1127 +a(g862 +g960 +tp1128 +a(g303 +V\u005cstrings +p1129 +tp1130 +a(g862 +g960 +tp1131 +a(g624 +Vand +p1132 +tp1133 +a(g862 +g960 +tp1134 +a(g303 +V\u005c#$-"\u005c'strings +p1135 +tp1136 +a(g862 +V\u000a\u000a +p1137 +tp1138 +a(g8 +Vanother-word-list = +p1139 +tp1140 +a(g303 +V<[ more words ]> +p1141 +tp1142 +a(g862 +V\u000a\u000a +p1143 +tp1144 +a(g647 +g962 +tp1145 +a(g252 +g985 +tp1146 +a(g862 +g960 +tp1147 +a(g780 +Vtil +p1148 +tp1149 +a(g862 +g960 +tp1150 +a(g252 +V10 +p1151 +tp1152 +a(g647 +g972 +tp1153 +a(g862 +V\u000a +p1154 +tp1155 +a(g624 +V| +p1156 +tp1157 +a(g624 +V> +p1158 +tp1159 +a(g862 +g960 +tp1160 +a(g188 +Vmap +p1161 +tp1162 +a(g862 +g960 +tp1163 +a(g647 +V( +p1164 +tp1165 +a(g624 +g1011 +tp1166 +a(g862 +g960 +tp1167 +a(g252 +g985 +tp1168 +a(g647 +V) +p1169 +tp1170 +a(g862 +V\u000a +p1171 +tp1172 +a(g624 +g1156 +tp1173 +a(g624 +g1158 +tp1174 +a(g862 +g960 +tp1175 +a(g188 +Vfilter +p1176 +tp1177 +a(g862 +g960 +tp1178 +a(g647 +g1164 +tp1179 +a(g624 +g1158 +tp1180 +a(g862 +g960 +tp1181 +a(g252 +g991 +tp1182 +a(g647 +g1169 +tp1183 +a(g862 +V\u000a +p1184 +tp1185 +a(g624 +g1156 +tp1186 +a(g624 +g1158 +tp1187 +a(g862 +g960 +tp1188 +a(g188 +Vfold +p1189 +tp1190 +a(g862 +g960 +tp1191 +a(g647 +g1164 +tp1192 +a(g624 +V+ +p1193 +tp1194 +a(g647 +g1169 +tp1195 +a(g862 +V\u000a\u000a +p1196 +tp1197 +a(g8 +Vobj =\u000a +p1198 +tp1199 +a(g862 +V +p1200 +tp1201 +a(g8 +Vprop1: +p1202 +tp1203 +a(g252 +g964 +tp1204 +a(g862 +V\u000a +p1205 +tp1206 +a(g8 +Vprop2: +p1207 +tp1208 +a(g252 +g985 +tp1209 +a(g862 +V\u000a\u000a +p1210 +tp1211 +a(g780 +Vclass +p1212 +tp1213 +a(g862 +g960 +tp1214 +a(g188 +VClass +p1215 +tp1216 +a(g862 +g960 +tp1217 +a(g780 +Vextends +p1218 +tp1219 +a(g862 +g960 +tp1220 +a(g188 +VAnc-est-or +p1221 +tp1222 +a(g862 +V\u000a +p1223 +tp1224 +a(g108 +V(args) -> +p1225 +tp1226 +a(g862 +V\u000a +p1227 +tp1228 +a(g108 +V<- +p1229 +tp1230 +a(g862 +g960 +tp1231 +a(g699 +V# Comment\u000a +p1232 +tp1233 +a(g862 +V +p1234 +tp1235 +a(g108 +V<~ +p1236 +tp1237 +a(g862 +g960 +tp1238 +a(g730 +V/* Comment */ +p1239 +tp1240 +a(g862 +V\u000a +p1241 +tp1242 +a(g799 +Vvoid +p1243 +tp1244 +a(g862 +g960 +tp1245 +a(g799 +Vundefined +p1246 +tp1247 +a(g862 +g960 +tp1248 +a(g799 +Vyes +p1249 +tp1250 +a(g862 +g960 +tp1251 +a(g799 +Vno +p1252 +tp1253 +a(g862 +g960 +tp1254 +a(g799 +Von +p1255 +tp1256 +a(g862 +g960 +tp1257 +a(g799 +Voff +p1258 +tp1259 +a(g862 +V\u000a +p1260 +tp1261 +a(g188 +g1054 +tp1262 +a(g647 +V. +p1263 +tp1264 +a(g188 +Vvoid +p1265 +tp1266 +a(g862 +g960 +tp1267 +a(g188 +g1061 +tp1268 +a(g647 +g1263 +tp1269 +a(g188 +Vundefined +p1270 +tp1271 +a(g862 +g960 +tp1272 +a(g188 +g1067 +tp1273 +a(g647 +g1263 +tp1274 +a(g188 +Voff +p1275 +tp1276 +a(g862 +g960 +tp1277 +a(g188 +Vd +p1278 +tp1279 +a(g647 +g1263 +tp1280 +a(g188 +Vif +p1281 +tp1282 +a(g862 +g960 +tp1283 +a(g188 +Vf +p1284 +tp1285 +a(g647 +g1263 +tp1286 +a(g188 +Vno +p1287 +tp1288 +a(g862 +g960 +tp1289 +a(g188 +Vg +p1290 +tp1291 +a(g647 +g1263 +tp1292 +a(g188 +Vnot +p1293 +tp1294 +a(g862 +V\u000a +p1295 +tp1296 +a(g188 +Vavoid +p1297 +tp1298 +a(g862 +g960 +tp1299 +a(g188 +Vbundefined +p1300 +tp1301 +a(g862 +g960 +tp1302 +a(g188 +Vcoff +p1303 +tp1304 +a(g862 +g960 +tp1305 +a(g188 +Vdif +p1306 +tp1307 +a(g862 +g960 +tp1308 +a(g188 +Vfno +p1309 +tp1310 +a(g862 +g960 +tp1311 +a(g188 +Vgnot +p1312 +tp1313 +a(g862 +V\u000a +p1314 +tp1315 +a(g303 +g1120 +tp1316 +a(g303 +Vinter +p1317 +tp1318 +a(g338 +V#{ +p1319 +tp1320 +a(g252 +g985 +tp1321 +a(g862 +g960 +tp1322 +a(g624 +g1193 +tp1323 +a(g862 +g960 +tp1324 +a(g252 +g985 +tp1325 +a(g338 +V} +p1326 +tp1327 +a(g303 +g960 +tp1328 +a(g303 +V# +p1329 +tp1330 +a(g303 +Vvariable +p1331 +tp1332 +a(g303 +g1120 +tp1333 +a(g862 +V\u000a +p1334 +tp1335 +a(g303 +V''' +p1336 +tp1337 +a(g303 +VHELLO +p1338 +tp1339 +a(g303 +g1111 +tp1340 +a(g303 +Vworld +p1341 +tp1342 +a(g303 +g1111 +tp1343 +a(g303 +g960 +tp1344 +a(g303 +V''' +p1345 +tp1346 +a(g862 +V\u000a\u000a +p1347 +tp1348 +a(g8 +Vcopy = +p1349 +tp1350 +a(g108 +V(from, to, callback) --> +p1351 +tp1352 +a(g862 +V\u000a +p1353 +tp1354 +a(g108 +Verror, data <- +p1355 +tp1356 +a(g862 +g960 +tp1357 +a(g188 +Vread +p1358 +tp1359 +a(g862 +g960 +tp1360 +a(g188 +Vfile +p1361 +tp1362 +a(g862 +V\u000a +p1363 +tp1364 +a(g780 +Vreturn +p1365 +tp1366 +a(g862 +g960 +tp1367 +a(g188 +Vcallback +p1368 +tp1369 +a(g862 +g960 +tp1370 +a(g188 +Verror +p1371 +tp1372 +a(g862 +g960 +tp1373 +a(g780 +Vif +p1374 +tp1375 +a(g862 +g960 +tp1376 +a(g188 +Verror +p1377 +tp1378 +a(g624 +V? +p1379 +tp1380 +a(g862 +V\u000a +p1381 +tp1382 +a(g108 +Verror <~ +p1383 +tp1384 +a(g862 +g960 +tp1385 +a(g188 +Vwrite +p1386 +tp1387 +a(g862 +g960 +tp1388 +a(g188 +Vfile +p1389 +tp1390 +a(g647 +V, +p1391 +tp1392 +a(g862 +g960 +tp1393 +a(g188 +Vdata +p1394 +tp1395 +a(g862 +V\u000a +p1396 +tp1397 +a(g780 +Vreturn +p1398 +tp1399 +a(g862 +g960 +tp1400 +a(g188 +Vcallback +p1401 +tp1402 +a(g862 +g960 +tp1403 +a(g188 +Verror +p1404 +tp1405 +a(g862 +g960 +tp1406 +a(g780 +Vif +p1407 +tp1408 +a(g862 +g960 +tp1409 +a(g188 +Verror +p1410 +tp1411 +a(g624 +g1379 +tp1412 +a(g862 +V\u000a +p1413 +tp1414 +a(g188 +Vcallback +p1415 +tp1416 +a(g647 +g1164 +tp1417 +a(g647 +g1169 +tp1418 +a(g862 +V\u000a\u000a +p1419 +tp1420 +a(g188 +Vtake +p1421 +tp1422 +a(g647 +g1164 +tp1423 +a(g188 +Vn +p1424 +tp1425 +a(g647 +g1391 +tp1426 +a(g862 +g960 +tp1427 +a(g647 +g962 +tp1428 +a(g188 +Vx +p1429 +tp1430 +a(g647 +g1391 +tp1431 +a(g862 +g960 +tp1432 +a(g647 +g1263 +tp1433 +a(g647 +g1263 +tp1434 +a(g647 +g1263 +tp1435 +a(g188 +Vxs +p1436 +tp1437 +a(g647 +g972 +tp1438 +a(g624 +V: +p1439 +tp1440 +a(g188 +Vlist +p1441 +tp1442 +a(g647 +g1169 +tp1443 +a(g862 +g960 +tp1444 +a(g624 +V= +p1445 +tp1446 +a(g862 +V\u000a +p1447 +tp1448 +a(g624 +g1156 +tp1449 +a(g862 +g960 +tp1450 +a(g188 +g1424 +tp1451 +a(g862 +g960 +tp1452 +a(g624 +V<= +p1453 +tp1454 +a(g862 +g960 +tp1455 +a(g252 +V0 +p1456 +tp1457 +a(g862 +V +p1458 +tp1459 +a(g624 +g1445 +tp1460 +a(g624 +g1158 +tp1461 +a(g862 +g960 +tp1462 +a(g647 +g962 +tp1463 +a(g647 +g972 +tp1464 +a(g862 +V\u000a +p1465 +tp1466 +a(g624 +g1156 +tp1467 +a(g862 +g960 +tp1468 +a(g188 +Vempty +p1469 +tp1470 +a(g862 +g960 +tp1471 +a(g188 +Vlist +p1472 +tp1473 +a(g862 +g960 +tp1474 +a(g624 +g1445 +tp1475 +a(g624 +g1158 +tp1476 +a(g862 +g960 +tp1477 +a(g647 +g962 +tp1478 +a(g647 +g972 +tp1479 +a(g862 +V\u000a +p1480 +tp1481 +a(g624 +g1156 +tp1482 +a(g862 +g960 +tp1483 +a(g188 +Votherwise +p1484 +tp1485 +a(g862 +V +p1486 +tp1487 +a(g624 +g1445 +tp1488 +a(g624 +g1158 +tp1489 +a(g862 +g960 +tp1490 +a(g647 +g962 +tp1491 +a(g188 +g1429 +tp1492 +a(g647 +g972 +tp1493 +a(g862 +g960 +tp1494 +a(g624 +V++ +p1495 +tp1496 +a(g624 +g1193 +tp1497 +a(g862 +g960 +tp1498 +a(g188 +Vtake +p1499 +tp1500 +a(g862 +g960 +tp1501 +a(g188 +g1424 +tp1502 +a(g862 +g960 +tp1503 +a(g624 +g1017 +tp1504 +a(g862 +g960 +tp1505 +a(g252 +g964 +tp1506 +a(g647 +g1391 +tp1507 +a(g862 +g960 +tp1508 +a(g188 +Vxs +p1509 +tp1510 +a(g862 +V\u000a +p1511 +tp1512 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/logos_example.xm b/tests/examplefiles/output/logos_example.xm new file mode 100644 index 0000000..1822f82 --- /dev/null +++ b/tests/examplefiles/output/logos_example.xm @@ -0,0 +1,2795 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbV%hook +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g616 +VABC +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g693 +V- +p964 +tp965 +a(g826 +g958 +tp966 +a(g693 +V( +p967 +tp968 +a(g881 +Vid +p969 +tp970 +a(g693 +V) +p971 +tp972 +a(g561 +Va: +p973 +tp974 +a(g693 +g967 +tp975 +a(g423 +VB +p976 +tp977 +a(g693 +g971 +tp978 +a(g428 +Vb +p979 +tp980 +a(g826 +g958 +tp981 +a(g693 +V{ +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g826 +V +p986 +tp987 +a(g7 +V%log +p988 +tp989 +a(g693 +V; +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g826 +g986 +tp994 +a(g7 +Vreturn +p995 +tp996 +a(g826 +g958 +tp997 +a(g7 +V%orig +p998 +tp999 +a(g693 +g967 +tp1000 +a(g669 +Vnil +p1001 +tp1002 +a(g693 +g971 +tp1003 +a(g693 +g990 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g693 +V} +p1007 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g7 +V%end +p1011 +tp1012 +a(g826 +V\u000a\u000a +p1013 +tp1014 +a(g7 +V%subclass +p1015 +tp1016 +a(g826 +g958 +tp1017 +a(g616 +VDEF +p1018 +tp1019 +a(g826 +V: +p1020 +tp1021 +a(g681 +VNSObject +p1022 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g693 +g964 +tp1026 +a(g826 +g958 +tp1027 +a(g693 +g967 +tp1028 +a(g881 +Vid +p1029 +tp1030 +a(g693 +g971 +tp1031 +a(g561 +Vinit +p1032 +tp1033 +a(g826 +g958 +tp1034 +a(g693 +g982 +tp1035 +a(g826 +V\u000a +p1036 +tp1037 +a(g826 +g986 +tp1038 +a(g693 +V[ +p1039 +tp1040 +a(g7 +V%c +p1041 +tp1042 +a(g693 +g967 +tp1043 +a(g616 +VRuntimeAccessibleClass +p1044 +tp1045 +a(g693 +g971 +tp1046 +a(g826 +g958 +tp1047 +a(g423 +Valloc +p1048 +tp1049 +a(g693 +V] +p1050 +tp1051 +a(g693 +g990 +tp1052 +a(g826 +V\u000a +p1053 +tp1054 +a(g826 +g986 +tp1055 +a(g7 +Vreturn +p1056 +tp1057 +a(g826 +g958 +tp1058 +a(g669 +Vnil +p1059 +tp1060 +a(g693 +g990 +tp1061 +a(g826 +V\u000a +p1062 +tp1063 +a(g693 +g1007 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g7 +V%end +p1067 +tp1068 +a(g826 +V\u000a\u000a +p1069 +tp1070 +a(g7 +V%group +p1071 +tp1072 +a(g826 +g958 +tp1073 +a(g616 +VOptionalHooks +p1074 +tp1075 +a(g826 +V\u000a +p1076 +tp1077 +a(g7 +V%hook +p1078 +tp1079 +a(g826 +g958 +tp1080 +a(g616 +VABC +p1081 +tp1082 +a(g826 +V\u000a +p1083 +tp1084 +a(g693 +g964 +tp1085 +a(g826 +g958 +tp1086 +a(g693 +g967 +tp1087 +a(g881 +Vvoid +p1088 +tp1089 +a(g693 +g971 +tp1090 +a(g561 +Vrelease +p1091 +tp1092 +a(g826 +g958 +tp1093 +a(g693 +g982 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g826 +g986 +tp1097 +a(g693 +g1039 +tp1098 +a(g669 +Vself +p1099 +tp1100 +a(g826 +g958 +tp1101 +a(g7 +Vretain +p1102 +tp1103 +a(g693 +g1050 +tp1104 +a(g693 +g990 +tp1105 +a(g826 +V\u000a +p1106 +tp1107 +a(g826 +g986 +tp1108 +a(g7 +V%orig +p1109 +tp1110 +a(g693 +g990 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g693 +g1007 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g7 +V%end +p1117 +tp1118 +a(g826 +V\u000a +p1119 +tp1120 +a(g7 +V%end +p1121 +tp1122 +a(g826 +V\u000a\u000a +p1123 +tp1124 +a(g7 +V%ctor +p1125 +tp1126 +a(g826 +g958 +tp1127 +a(g693 +g982 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g826 +g986 +tp1131 +a(g7 +V%init +p1132 +tp1133 +a(g693 +g990 +tp1134 +a(g826 +V\u000a +p1135 +tp1136 +a(g826 +g986 +tp1137 +a(g7 +Vif +p1138 +tp1139 +a(g693 +g967 +tp1140 +a(g423 +VOptionalCondition +p1141 +tp1142 +a(g693 +g971 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g826 +V +p1146 +tp1147 +a(g7 +V%init +p1148 +tp1149 +a(g693 +g967 +tp1150 +a(g616 +VOptionalHooks +p1151 +tp1152 +a(g693 +g971 +tp1153 +a(g693 +g990 +tp1154 +a(g826 +V\u000a +p1155 +tp1156 +a(g693 +g1007 +tp1157 +a(g826 +V\u000a +p1158 +tp1159 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ltmain.sh b/tests/examplefiles/output/ltmain.sh new file mode 100644 index 0000000..fad8880 --- /dev/null +++ b/tests/examplefiles/output/ltmain.sh @@ -0,0 +1,62855 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# ltmain.sh - Provide generalized library-building support services.\u000a +p956 +tp957 +a(g8 +V# NOTE: Changing this file will not affect anything until you rerun configure.\u000a +p958 +tp959 +a(g8 +V#\u000a +p960 +tp961 +a(g8 +V# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005\u000a +p962 +tp963 +a(g8 +V# Free Software Foundation, Inc.\u000a +p964 +tp965 +a(g8 +V# Originally by Gordon Matzigkeit , 1996\u000a +p966 +tp967 +a(g8 +V#\u000a +p968 +tp969 +a(g8 +V# This program is free software; you can redistribute it and/or modify\u000a +p970 +tp971 +a(g8 +V# it under the terms of the GNU General Public License as published by\u000a +p972 +tp973 +a(g8 +V# the Free Software Foundation; either version 2 of the License, or\u000a +p974 +tp975 +a(g8 +V# (at your option) any later version.\u000a +p976 +tp977 +a(g8 +V#\u000a +p978 +tp979 +a(g8 +V# This program is distributed in the hope that it will be useful, but\u000a +p980 +tp981 +a(g8 +V# WITHOUT ANY WARRANTY; without even the implied warranty of\u000a +p982 +tp983 +a(g8 +V# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU\u000a +p984 +tp985 +a(g8 +V# General Public License for more details.\u000a +p986 +tp987 +a(g8 +V#\u000a +p988 +tp989 +a(g8 +V# You should have received a copy of the GNU General Public License\u000a +p990 +tp991 +a(g8 +V# along with this program; if not, write to the Free Software\u000a +p992 +tp993 +a(g8 +V# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.\u000a +p994 +tp995 +a(g8 +V#\u000a +p996 +tp997 +a(g8 +V# As a special exception to the GNU General Public License, if you\u000a +p998 +tp999 +a(g8 +V# distribute this file as part of a program that contains a\u000a +p1000 +tp1001 +a(g8 +V# configuration script generated by Autoconf, you may include it under\u000a +p1002 +tp1003 +a(g8 +V# the same distribution terms that you use for the rest of that program.\u000a +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g440 +Vbasename +p1008 +tp1009 +a(g412 +V= +p1010 +tp1011 +a(g157 +V"s,^.*/,,g" +p1012 +tp1013 +a(g826 +V\u000a\u000a +p1014 +tp1015 +a(g8 +V# Work around backward compatibility issue on IRIX 6.5. On IRIX 6.4+, sh\u000a +p1016 +tp1017 +a(g8 +V# is ksh but when the shell is invoked as "sh" and the current value of\u000a +p1018 +tp1019 +a(g8 +V# the _XPG environment variable is not equal to 1 (one), the special\u000a +p1020 +tp1021 +a(g8 +V# positional parameter $0, within a function call, is the name of the\u000a +p1022 +tp1023 +a(g8 +V# function.\u000a +p1024 +tp1025 +a(g440 +Vprogpath +p1026 +tp1027 +a(g412 +g1010 +tp1028 +a(g157 +V" +p1029 +tp1030 +a(g440 +V$0 +p1031 +tp1032 +a(g157 +g1029 +tp1033 +a(g826 +V\u000a\u000a +p1034 +tp1035 +a(g8 +V# define SED for historic ltconfig's generated by Libtool 1.3\u000a +p1036 +tp1037 +a(g681 +Vtest +p1038 +tp1039 +a(g826 +V +p1040 +tp1041 +a(g826 +V-z +p1042 +tp1043 +a(g826 +g1040 +tp1044 +a(g157 +g1029 +tp1045 +a(g440 +V$SED +p1046 +tp1047 +a(g157 +g1029 +tp1048 +a(g826 +g1040 +tp1049 +a(g412 +V&& +p1050 +tp1051 +a(g826 +g1040 +tp1052 +a(g440 +VSED +p1053 +tp1054 +a(g412 +g1010 +tp1055 +a(g826 +Vsed +p1056 +tp1057 +a(g826 +V\u000a\u000a +p1058 +tp1059 +a(g8 +V# The name of this program:\u000a +p1060 +tp1061 +a(g440 +Vprogname +p1062 +tp1063 +a(g412 +g1010 +tp1064 +a(g101 +V` +p1065 +tp1066 +a(g681 +Vecho +p1067 +tp1068 +a(g826 +g1040 +tp1069 +a(g157 +g1029 +tp1070 +a(g440 +V$progpath +p1071 +tp1072 +a(g157 +g1029 +tp1073 +a(g826 +g1040 +tp1074 +a(g705 +V| +p1075 +tp1076 +a(g826 +g1040 +tp1077 +a(g440 +V$SED +p1078 +tp1079 +a(g826 +g1040 +tp1080 +a(g440 +V$basename +p1081 +tp1082 +a(g101 +g1065 +tp1083 +a(g826 +V\u000a +p1084 +tp1085 +a(g440 +Vmodename +p1086 +tp1087 +a(g412 +g1010 +tp1088 +a(g157 +g1029 +tp1089 +a(g440 +V$progname +p1090 +tp1091 +a(g157 +g1029 +tp1092 +a(g826 +V\u000a\u000a +p1093 +tp1094 +a(g8 +V# Global variables:\u000a +p1095 +tp1096 +a(g440 +VEXIT_SUCCESS +p1097 +tp1098 +a(g412 +g1010 +tp1099 +a(g32 +V0 +p1100 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g440 +VEXIT_FAILURE +p1104 +tp1105 +a(g412 +g1010 +tp1106 +a(g32 +V1 +p1107 +tp1108 +a(g826 +V\u000a\u000a +p1109 +tp1110 +a(g440 +VPROGRAM +p1111 +tp1112 +a(g412 +g1010 +tp1113 +a(g826 +Vltmain.sh +p1114 +tp1115 +a(g826 +V\u000a +p1116 +tp1117 +a(g440 +VPACKAGE +p1118 +tp1119 +a(g412 +g1010 +tp1120 +a(g826 +Vlibtool +p1121 +tp1122 +a(g826 +V\u000a +p1123 +tp1124 +a(g440 +VVERSION +p1125 +tp1126 +a(g412 +g1010 +tp1127 +a(g32 +g1107 +tp1128 +a(g826 +V.5.22 +p1129 +tp1130 +a(g826 +V\u000a +p1131 +tp1132 +a(g440 +VTIMESTAMP +p1133 +tp1134 +a(g412 +g1010 +tp1135 +a(g157 +V" (1.1220.2.365 2005/12/18 22:14:06)" +p1136 +tp1137 +a(g826 +V\u000a\u000a +p1138 +tp1139 +a(g8 +V# See if we are running on zsh, and set the options which allow our\u000a +p1140 +tp1141 +a(g8 +V# commands through without removal of \u005c escapes.\u000a +p1142 +tp1143 +a(g744 +Vif +p1144 +tp1145 +a(g826 +g1040 +tp1146 +a(g681 +Vtest +p1147 +tp1148 +a(g826 +g1040 +tp1149 +a(g826 +V-n +p1150 +tp1151 +a(g826 +g1040 +tp1152 +a(g157 +g1029 +tp1153 +a(g125 +V${ +p1154 +tp1155 +a(g440 +VZSH_VERSION +p1156 +tp1157 +a(g705 +V+set +p1158 +tp1159 +a(g125 +V} +p1160 +tp1161 +a(g157 +g1029 +tp1162 +a(g826 +g1040 +tp1163 +a(g705 +V; +p1164 +tp1165 +a(g826 +g1040 +tp1166 +a(g744 +Vthen +p1167 +tp1168 +a(g826 +V\u000a +p1169 +tp1170 +a(g826 +Vsetopt +p1171 +tp1172 +a(g826 +g1040 +tp1173 +a(g826 +VNO_GLOB_SUBST +p1174 +tp1175 +a(g826 +V\u000a +p1176 +tp1177 +a(g744 +Vfi +p1178 +tp1179 +a(g826 +V\u000a +p1180 +tp1181 +a(g8 +V# Same for EGREP, and just to be sure, do LTCC as well\u000a +p1182 +tp1183 +a(g744 +Vif +p1184 +tp1185 +a(g826 +g1040 +tp1186 +a(g681 +Vtest +p1187 +tp1188 +a(g826 +g1040 +tp1189 +a(g157 +g1029 +tp1190 +a(g157 +VX +p1191 +tp1192 +a(g440 +V$EGREP +p1193 +tp1194 +a(g157 +g1029 +tp1195 +a(g826 +g1040 +tp1196 +a(g412 +g1010 +tp1197 +a(g826 +g1040 +tp1198 +a(g826 +g1191 +tp1199 +a(g826 +g1040 +tp1200 +a(g705 +g1164 +tp1201 +a(g826 +g1040 +tp1202 +a(g744 +Vthen +p1203 +tp1204 +a(g826 +V\u000a +p1205 +tp1206 +a(g440 +VEGREP +p1207 +tp1208 +a(g412 +g1010 +tp1209 +a(g826 +Vegrep +p1210 +tp1211 +a(g826 +V\u000a +p1212 +tp1213 +a(g744 +Vfi +p1214 +tp1215 +a(g826 +V\u000a +p1216 +tp1217 +a(g744 +Vif +p1218 +tp1219 +a(g826 +g1040 +tp1220 +a(g681 +Vtest +p1221 +tp1222 +a(g826 +g1040 +tp1223 +a(g157 +g1029 +tp1224 +a(g157 +g1191 +tp1225 +a(g440 +V$LTCC +p1226 +tp1227 +a(g157 +g1029 +tp1228 +a(g826 +g1040 +tp1229 +a(g412 +g1010 +tp1230 +a(g826 +g1040 +tp1231 +a(g826 +g1191 +tp1232 +a(g826 +g1040 +tp1233 +a(g705 +g1164 +tp1234 +a(g826 +g1040 +tp1235 +a(g744 +Vthen +p1236 +tp1237 +a(g826 +V\u000a +p1238 +tp1239 +a(g440 +VLTCC +p1240 +tp1241 +a(g412 +g1010 +tp1242 +a(g125 +V${ +p1243 +tp1244 +a(g440 +VCC +p1245 +tp1246 +a(g705 +V-gcc +p1247 +tp1248 +a(g125 +g1160 +tp1249 +a(g826 +V\u000a +p1250 +tp1251 +a(g744 +Vfi +p1252 +tp1253 +a(g826 +V\u000a\u000a +p1254 +tp1255 +a(g8 +V# Check that we have a working $echo.\u000a +p1256 +tp1257 +a(g744 +Vif +p1258 +tp1259 +a(g826 +g1040 +tp1260 +a(g681 +Vtest +p1261 +tp1262 +a(g826 +g1040 +tp1263 +a(g157 +g1029 +tp1264 +a(g157 +g1191 +tp1265 +a(g440 +V$1 +p1266 +tp1267 +a(g157 +g1029 +tp1268 +a(g826 +g1040 +tp1269 +a(g412 +g1010 +tp1270 +a(g826 +g1040 +tp1271 +a(g826 +VX--no-reexec +p1272 +tp1273 +a(g705 +g1164 +tp1274 +a(g826 +g1040 +tp1275 +a(g744 +Vthen +p1276 +tp1277 +a(g826 +V\u000a +p1278 +tp1279 +a(g8 +V# Discard the --no-reexec flag, and continue.\u000a +p1280 +tp1281 +a(g826 +V +p1282 +tp1283 +a(g681 +Vshift +p1284 +tp1285 +a(g826 +V\u000a +p1286 +tp1287 +a(g744 +Velif +p1288 +tp1289 +a(g826 +g1040 +tp1290 +a(g681 +Vtest +p1291 +tp1292 +a(g826 +g1040 +tp1293 +a(g157 +g1029 +tp1294 +a(g157 +g1191 +tp1295 +a(g440 +V$1 +p1296 +tp1297 +a(g157 +g1029 +tp1298 +a(g826 +g1040 +tp1299 +a(g412 +g1010 +tp1300 +a(g826 +g1040 +tp1301 +a(g826 +VX--fallback-echo +p1302 +tp1303 +a(g705 +g1164 +tp1304 +a(g826 +g1040 +tp1305 +a(g744 +Vthen +p1306 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g8 +V# Avoid inline document here, it may be left over\u000a +p1310 +tp1311 +a(g826 +V +p1312 +tp1313 +a(g826 +V: +p1314 +tp1315 +a(g826 +V\u000a +p1316 +tp1317 +a(g744 +Velif +p1318 +tp1319 +a(g826 +g1040 +tp1320 +a(g681 +Vtest +p1321 +tp1322 +a(g826 +g1040 +tp1323 +a(g157 +g1029 +tp1324 +a(g157 +VX`( +p1325 +tp1326 +a(g440 +V$echo +p1327 +tp1328 +a(g157 +V '\u005ct') 2>/dev/null` +p1329 +tp1330 +a(g157 +g1029 +tp1331 +a(g826 +g1040 +tp1332 +a(g412 +g1010 +tp1333 +a(g826 +g1040 +tp1334 +a(g229 +V'X\u005ct' +p1335 +tp1336 +a(g705 +g1164 +tp1337 +a(g826 +g1040 +tp1338 +a(g744 +Vthen +p1339 +tp1340 +a(g826 +V\u000a +p1341 +tp1342 +a(g8 +V# Yippee, $echo works!\u000a +p1343 +tp1344 +a(g826 +V +p1345 +tp1346 +a(g826 +g1314 +tp1347 +a(g826 +V\u000a +p1348 +tp1349 +a(g744 +Velse +p1350 +tp1351 +a(g826 +V\u000a +p1352 +tp1353 +a(g8 +V# Restart under the correct shell, and then maybe $echo will work.\u000a +p1354 +tp1355 +a(g826 +V +p1356 +tp1357 +a(g681 +Vexec +p1358 +tp1359 +a(g826 +g1040 +tp1360 +a(g440 +V$SHELL +p1361 +tp1362 +a(g826 +g1040 +tp1363 +a(g157 +g1029 +tp1364 +a(g440 +V$progpath +p1365 +tp1366 +a(g157 +g1029 +tp1367 +a(g826 +g1040 +tp1368 +a(g826 +V--no-reexec +p1369 +tp1370 +a(g826 +g1040 +tp1371 +a(g125 +V${ +p1372 +tp1373 +a(g440 +g1107 +tp1374 +a(g705 +V+ +p1375 +tp1376 +a(g157 +g1029 +tp1377 +a(g440 +V$@ +p1378 +tp1379 +a(g157 +g1029 +tp1380 +a(g125 +g1160 +tp1381 +a(g826 +V\u000a +p1382 +tp1383 +a(g744 +Vfi +p1384 +tp1385 +a(g826 +V\u000a\u000a +p1386 +tp1387 +a(g744 +Vif +p1388 +tp1389 +a(g826 +g1040 +tp1390 +a(g681 +Vtest +p1391 +tp1392 +a(g826 +g1040 +tp1393 +a(g157 +g1029 +tp1394 +a(g157 +g1191 +tp1395 +a(g440 +V$1 +p1396 +tp1397 +a(g157 +g1029 +tp1398 +a(g826 +g1040 +tp1399 +a(g412 +g1010 +tp1400 +a(g826 +g1040 +tp1401 +a(g826 +VX--fallback-echo +p1402 +tp1403 +a(g705 +g1164 +tp1404 +a(g826 +g1040 +tp1405 +a(g744 +Vthen +p1406 +tp1407 +a(g826 +V\u000a +p1408 +tp1409 +a(g8 +V# used as fallback echo\u000a +p1410 +tp1411 +a(g826 +V +p1412 +tp1413 +a(g681 +Vshift +p1414 +tp1415 +a(g826 +V\u000a +p1416 +tp1417 +a(g826 +Vcat +p1418 +tp1419 +a(g826 +g1040 +tp1420 +a(g89 +V< +p1778 +tp1779 +a(g705 +V& +p1780 +tp1781 +a(g32 +V2 +p1782 +tp1783 +a(g826 +V\u000a +p1784 +tp1785 +a(g440 +V$echo +p1786 +tp1787 +a(g826 +g1040 +tp1788 +a(g157 +g1029 +tp1789 +a(g157 +VFatal configuration error. See the +p1790 +tp1791 +a(g440 +V$PACKAGE +p1792 +tp1793 +a(g157 +V docs for more information. +p1794 +tp1795 +a(g157 +g1029 +tp1796 +a(g826 +g1040 +tp1797 +a(g32 +g1107 +tp1798 +a(g826 +g1778 +tp1799 +a(g705 +g1780 +tp1800 +a(g32 +g1782 +tp1801 +a(g826 +V\u000a +p1802 +tp1803 +a(g681 +Vexit +p1804 +tp1805 +a(g826 +g1040 +tp1806 +a(g440 +V$EXIT_FAILURE +p1807 +tp1808 +a(g826 +V\u000a +p1809 +tp1810 +a(g744 +Vfi +p1811 +tp1812 +a(g826 +V\u000a\u000a +p1813 +tp1814 +a(g8 +V# Global variables.\u000a +p1815 +tp1816 +a(g440 +Vmode +p1817 +tp1818 +a(g412 +g1010 +tp1819 +a(g440 +V$default_mode +p1820 +tp1821 +a(g826 +V\u000a +p1822 +tp1823 +a(g440 +Vnonopt +p1824 +tp1825 +a(g412 +g1010 +tp1826 +a(g826 +V\u000a +p1827 +tp1828 +a(g440 +Vprev +p1829 +tp1830 +a(g412 +g1010 +tp1831 +a(g826 +V\u000a +p1832 +tp1833 +a(g440 +Vprevopt +p1834 +tp1835 +a(g412 +g1010 +tp1836 +a(g826 +V\u000a +p1837 +tp1838 +a(g440 +Vrun +p1839 +tp1840 +a(g412 +g1010 +tp1841 +a(g826 +V\u000a +p1842 +tp1843 +a(g440 +Vshow +p1844 +tp1845 +a(g412 +g1010 +tp1846 +a(g157 +g1029 +tp1847 +a(g440 +V$echo +p1848 +tp1849 +a(g157 +g1029 +tp1850 +a(g826 +V\u000a +p1851 +tp1852 +a(g440 +Vshow_help +p1853 +tp1854 +a(g412 +g1010 +tp1855 +a(g826 +V\u000a +p1856 +tp1857 +a(g440 +Vexecute_dlfiles +p1858 +tp1859 +a(g412 +g1010 +tp1860 +a(g826 +V\u000a +p1861 +tp1862 +a(g440 +Vduplicate_deps +p1863 +tp1864 +a(g412 +g1010 +tp1865 +a(g826 +Vno +p1866 +tp1867 +a(g826 +V\u000a +p1868 +tp1869 +a(g440 +Vpreserve_args +p1870 +tp1871 +a(g412 +g1010 +tp1872 +a(g826 +V\u000a +p1873 +tp1874 +a(g440 +Vlo2o +p1875 +tp1876 +a(g412 +g1010 +tp1877 +a(g157 +g1029 +tp1878 +a(g157 +Vs/\u005c\u005c.lo\u005c$/. +p1879 +tp1880 +a(g125 +V${ +p1881 +tp1882 +a(g440 +Vobjext +p1883 +tp1884 +a(g125 +g1160 +tp1885 +a(g157 +V/ +p1886 +tp1887 +a(g157 +g1029 +tp1888 +a(g826 +V\u000a +p1889 +tp1890 +a(g440 +Vo2lo +p1891 +tp1892 +a(g412 +g1010 +tp1893 +a(g157 +g1029 +tp1894 +a(g157 +Vs/\u005c\u005c. +p1895 +tp1896 +a(g125 +V${ +p1897 +tp1898 +a(g440 +Vobjext +p1899 +tp1900 +a(g125 +g1160 +tp1901 +a(g157 +V\u005c$/.lo/ +p1902 +tp1903 +a(g157 +g1029 +tp1904 +a(g826 +V\u000a\u000a +p1905 +tp1906 +a(g744 +Vif +p1907 +tp1908 +a(g826 +g1040 +tp1909 +a(g681 +Vtest +p1910 +tp1911 +a(g826 +g1040 +tp1912 +a(g826 +V-z +p1913 +tp1914 +a(g826 +g1040 +tp1915 +a(g157 +g1029 +tp1916 +a(g440 +V$max_cmd_len +p1917 +tp1918 +a(g157 +g1029 +tp1919 +a(g705 +g1164 +tp1920 +a(g826 +g1040 +tp1921 +a(g744 +Vthen +p1922 +tp1923 +a(g826 +V\u000a +p1924 +tp1925 +a(g440 +Vi +p1926 +tp1927 +a(g412 +g1010 +tp1928 +a(g32 +g1100 +tp1929 +a(g826 +V\u000a +p1930 +tp1931 +a(g440 +Vtestring +p1932 +tp1933 +a(g412 +g1010 +tp1934 +a(g157 +V"ABCD" +p1935 +tp1936 +a(g826 +V\u000a +p1937 +tp1938 +a(g440 +Vnew_result +p1939 +tp1940 +a(g412 +g1010 +tp1941 +a(g826 +V\u000a \u000a +p1942 +tp1943 +a(g8 +V# If test is not a shell built-in, we'll probably end up computing a\u000a +p1944 +tp1945 +a(g826 +V +p1946 +tp1947 +a(g8 +V# maximum length that is only half of the actual maximum length, but\u000a +p1948 +tp1949 +a(g826 +V +p1950 +tp1951 +a(g8 +V# we can't tell.\u000a +p1952 +tp1953 +a(g826 +V +p1954 +tp1955 +a(g744 +Vwhile +p1956 +tp1957 +a(g826 +g1040 +tp1958 +a(g412 +V( +p1959 +tp1960 +a(g681 +Vtest +p1961 +tp1962 +a(g826 +g1040 +tp1963 +a(g157 +V"X" +p1964 +tp1965 +a(g101 +g1065 +tp1966 +a(g440 +V$SHELL +p1967 +tp1968 +a(g826 +g1040 +tp1969 +a(g440 +V$0 +p1970 +tp1971 +a(g826 +g1040 +tp1972 +a(g826 +V--fallback-echo +p1973 +tp1974 +a(g826 +g1040 +tp1975 +a(g157 +g1029 +tp1976 +a(g157 +g1191 +tp1977 +a(g440 +V$testring +p1978 +tp1979 +a(g157 +g1029 +tp1980 +a(g826 +g1040 +tp1981 +a(g32 +g1782 +tp1982 +a(g826 +V>/dev/null +p1983 +tp1984 +a(g101 +g1065 +tp1985 +a(g826 +g1040 +tp1986 +a(g213 +V\u005c\u000a +p1987 +tp1988 +a(g826 +V +p1989 +tp1990 +a(g412 +g1010 +tp1991 +a(g826 +g1040 +tp1992 +a(g157 +g1029 +tp1993 +a(g157 +VXX +p1994 +tp1995 +a(g440 +V$testring +p1996 +tp1997 +a(g157 +g1029 +tp1998 +a(g412 +g1533 +tp1999 +a(g826 +g1040 +tp2000 +a(g826 +V>/dev/null +p2001 +tp2002 +a(g826 +g1040 +tp2003 +a(g32 +g1782 +tp2004 +a(g826 +g1778 +tp2005 +a(g705 +g1780 +tp2006 +a(g32 +g1107 +tp2007 +a(g826 +g1040 +tp2008 +a(g412 +V&& +p2009 +tp2010 +a(g826 +V\u000a +p2011 +tp2012 +a(g440 +Vnew_result +p2013 +tp2014 +a(g412 +g1010 +tp2015 +a(g101 +g1065 +tp2016 +a(g826 +Vexpr +p2017 +tp2018 +a(g826 +g1040 +tp2019 +a(g157 +g1029 +tp2020 +a(g157 +g1191 +tp2021 +a(g440 +V$testring +p2022 +tp2023 +a(g157 +g1029 +tp2024 +a(g826 +g1040 +tp2025 +a(g826 +g1314 +tp2026 +a(g826 +g1040 +tp2027 +a(g157 +V".*" +p2028 +tp2029 +a(g826 +g1040 +tp2030 +a(g32 +g1782 +tp2031 +a(g826 +g1778 +tp2032 +a(g705 +g1780 +tp2033 +a(g32 +g1107 +tp2034 +a(g101 +g1065 +tp2035 +a(g826 +g1040 +tp2036 +a(g412 +V&& +p2037 +tp2038 +a(g826 +V\u000a +p2039 +tp2040 +a(g440 +Vmax_cmd_len +p2041 +tp2042 +a(g412 +g1010 +tp2043 +a(g157 +g1029 +tp2044 +a(g440 +V$new_result +p2045 +tp2046 +a(g157 +g1029 +tp2047 +a(g826 +g1040 +tp2048 +a(g412 +V&& +p2049 +tp2050 +a(g826 +V\u000a +p2051 +tp2052 +a(g681 +Vtest +p2053 +tp2054 +a(g826 +g1040 +tp2055 +a(g157 +g1029 +tp2056 +a(g440 +V$i +p2057 +tp2058 +a(g157 +g1029 +tp2059 +a(g826 +g1040 +tp2060 +a(g826 +g1738 +tp2061 +a(g412 +g1010 +tp2062 +a(g826 +g1040 +tp2063 +a(g32 +V17 +p2064 +tp2065 +a(g826 +g1040 +tp2066 +a(g8 +V# 1/2 MB should be enough\u000a +p2067 +tp2068 +a(g826 +V +p2069 +tp2070 +a(g744 +Vdo +p2071 +tp2072 +a(g826 +V\u000a +p2073 +tp2074 +a(g440 +g1926 +tp2075 +a(g412 +g1010 +tp2076 +a(g101 +g1065 +tp2077 +a(g826 +Vexpr +p2078 +tp2079 +a(g826 +g1040 +tp2080 +a(g440 +V$i +p2081 +tp2082 +a(g826 +g1040 +tp2083 +a(g826 +g1375 +tp2084 +a(g826 +g1040 +tp2085 +a(g32 +g1107 +tp2086 +a(g101 +g1065 +tp2087 +a(g826 +V\u000a +p2088 +tp2089 +a(g440 +Vtestring +p2090 +tp2091 +a(g412 +g1010 +tp2092 +a(g157 +g1029 +tp2093 +a(g440 +V$testring +p2094 +tp2095 +a(g440 +V$testring +p2096 +tp2097 +a(g157 +g1029 +tp2098 +a(g826 +V\u000a +p2099 +tp2100 +a(g744 +Vdone +p2101 +tp2102 +a(g826 +V\u000a +p2103 +tp2104 +a(g440 +Vtestring +p2105 +tp2106 +a(g412 +g1010 +tp2107 +a(g826 +V\u000a +p2108 +tp2109 +a(g8 +V# Add a significant safety factor because C++ compilers can tack on massive\u000a +p2110 +tp2111 +a(g826 +V +p2112 +tp2113 +a(g8 +V# amounts of additional arguments before passing them to the linker.\u000a +p2114 +tp2115 +a(g826 +V +p2116 +tp2117 +a(g8 +V# It appears as though 1/2 is a usable value.\u000a +p2118 +tp2119 +a(g826 +V +p2120 +tp2121 +a(g440 +Vmax_cmd_len +p2122 +tp2123 +a(g412 +g1010 +tp2124 +a(g101 +g1065 +tp2125 +a(g826 +Vexpr +p2126 +tp2127 +a(g826 +g1040 +tp2128 +a(g440 +V$max_cmd_len +p2129 +tp2130 +a(g826 +g1040 +tp2131 +a(g213 +V\u005c/ +p2132 +tp2133 +a(g826 +g1040 +tp2134 +a(g32 +g1782 +tp2135 +a(g101 +g1065 +tp2136 +a(g826 +V\u000a +p2137 +tp2138 +a(g744 +Vfi +p2139 +tp2140 +a(g826 +V\u000a\u000a +p2141 +tp2142 +a(g8 +V#####################################\u000a +p2143 +tp2144 +a(g8 +V# Shell function definitions:\u000a +p2145 +tp2146 +a(g8 +V# This seems to be the best place for them\u000a +p2147 +tp2148 +a(g826 +V\u000a +p2149 +tp2150 +a(g8 +V# func_mktempdir [string]\u000a +p2151 +tp2152 +a(g8 +V# Make a temporary directory that won't clash with other running\u000a +p2153 +tp2154 +a(g8 +V# libtool processes, and avoids race conditions if possible. If\u000a +p2155 +tp2156 +a(g8 +V# given, STRING is the basename for that directory.\u000a +p2157 +tp2158 +a(g826 +Vfunc_mktempdir +p2159 +tp2160 +a(g826 +g1040 +tp2161 +a(g412 +g1959 +tp2162 +a(g412 +g1533 +tp2163 +a(g826 +V\u000a +p2164 +tp2165 +a(g412 +V{ +p2166 +tp2167 +a(g826 +V\u000a +p2168 +tp2169 +a(g440 +Vmy_template +p2170 +tp2171 +a(g412 +g1010 +tp2172 +a(g157 +g1029 +tp2173 +a(g125 +V${ +p2174 +tp2175 +a(g440 +VTMPDIR +p2176 +tp2177 +a(g705 +V-/tmp +p2178 +tp2179 +a(g125 +g1160 +tp2180 +a(g157 +g1886 +tp2181 +a(g125 +V${ +p2182 +tp2183 +a(g440 +g1107 +tp2184 +a(g705 +V- +p2185 +tp2186 +a(g440 +V$progname +p2187 +tp2188 +a(g125 +g1160 +tp2189 +a(g157 +g1029 +tp2190 +a(g826 +V\u000a\u000a +p2191 +tp2192 +a(g744 +Vif +p2193 +tp2194 +a(g826 +g1040 +tp2195 +a(g681 +Vtest +p2196 +tp2197 +a(g826 +g1040 +tp2198 +a(g157 +g1029 +tp2199 +a(g440 +V$run +p2200 +tp2201 +a(g157 +g1029 +tp2202 +a(g826 +g1040 +tp2203 +a(g412 +g1010 +tp2204 +a(g826 +g1040 +tp2205 +a(g157 +V":" +p2206 +tp2207 +a(g705 +g1164 +tp2208 +a(g826 +g1040 +tp2209 +a(g744 +Vthen +p2210 +tp2211 +a(g826 +V\u000a +p2212 +tp2213 +a(g8 +V# Return a directory name, but don't create it in dry-run mode\u000a +p2214 +tp2215 +a(g826 +V +p2216 +tp2217 +a(g440 +Vmy_tmpdir +p2218 +tp2219 +a(g412 +g1010 +tp2220 +a(g157 +g1029 +tp2221 +a(g125 +V${ +p2222 +tp2223 +a(g440 +Vmy_template +p2224 +tp2225 +a(g125 +g1160 +tp2226 +a(g157 +g2185 +tp2227 +a(g440 +V$$ +p2228 +tp2229 +a(g157 +g1029 +tp2230 +a(g826 +V\u000a +p2231 +tp2232 +a(g744 +Velse +p2233 +tp2234 +a(g826 +V\u000a\u000a +p2235 +tp2236 +a(g8 +V# If mktemp works, use that first and foremost\u000a +p2237 +tp2238 +a(g826 +V +p2239 +tp2240 +a(g440 +Vmy_tmpdir +p2241 +tp2242 +a(g412 +g1010 +tp2243 +a(g101 +g1065 +tp2244 +a(g826 +Vmktemp +p2245 +tp2246 +a(g826 +g1040 +tp2247 +a(g826 +V-d +p2248 +tp2249 +a(g826 +g1040 +tp2250 +a(g157 +g1029 +tp2251 +a(g125 +V${ +p2252 +tp2253 +a(g440 +Vmy_template +p2254 +tp2255 +a(g125 +g1160 +tp2256 +a(g157 +V-XXXXXXXX +p2257 +tp2258 +a(g157 +g1029 +tp2259 +a(g826 +g1040 +tp2260 +a(g32 +g1782 +tp2261 +a(g826 +V>/dev/null +p2262 +tp2263 +a(g101 +g1065 +tp2264 +a(g826 +V\u000a\u000a +p2265 +tp2266 +a(g744 +Vif +p2267 +tp2268 +a(g826 +g1040 +tp2269 +a(g681 +Vtest +p2270 +tp2271 +a(g826 +g1040 +tp2272 +a(g826 +g1738 +tp2273 +a(g826 +g1040 +tp2274 +a(g826 +V-d +p2275 +tp2276 +a(g826 +g1040 +tp2277 +a(g157 +g1029 +tp2278 +a(g440 +V$my_tmpdir +p2279 +tp2280 +a(g157 +g1029 +tp2281 +a(g705 +g1164 +tp2282 +a(g826 +g1040 +tp2283 +a(g744 +Vthen +p2284 +tp2285 +a(g826 +V\u000a +p2286 +tp2287 +a(g8 +V# Failing that, at least try and use $RANDOM to avoid a race\u000a +p2288 +tp2289 +a(g826 +V +p2290 +tp2291 +a(g440 +Vmy_tmpdir +p2292 +tp2293 +a(g412 +g1010 +tp2294 +a(g157 +g1029 +tp2295 +a(g125 +V${ +p2296 +tp2297 +a(g440 +Vmy_template +p2298 +tp2299 +a(g125 +g1160 +tp2300 +a(g157 +g2185 +tp2301 +a(g125 +V${ +p2302 +tp2303 +a(g440 +VRANDOM +p2304 +tp2305 +a(g705 +V-0 +p2306 +tp2307 +a(g125 +g1160 +tp2308 +a(g440 +V$$ +p2309 +tp2310 +a(g157 +g1029 +tp2311 +a(g826 +V\u000a\u000a +p2312 +tp2313 +a(g440 +Vsave_mktempdir_umask +p2314 +tp2315 +a(g412 +g1010 +tp2316 +a(g101 +g1065 +tp2317 +a(g681 +Vumask +p2318 +tp2319 +a(g101 +g1065 +tp2320 +a(g826 +V\u000a +p2321 +tp2322 +a(g681 +Vumask +p2323 +tp2324 +a(g826 +g1040 +tp2325 +a(g32 +V0077 +p2326 +tp2327 +a(g826 +V\u000a +p2328 +tp2329 +a(g440 +V$mkdir +p2330 +tp2331 +a(g826 +g1040 +tp2332 +a(g157 +g1029 +tp2333 +a(g440 +V$my_tmpdir +p2334 +tp2335 +a(g157 +g1029 +tp2336 +a(g826 +V\u000a +p2337 +tp2338 +a(g681 +Vumask +p2339 +tp2340 +a(g826 +g1040 +tp2341 +a(g440 +V$save_mktempdir_umask +p2342 +tp2343 +a(g826 +V\u000a +p2344 +tp2345 +a(g744 +Vfi +p2346 +tp2347 +a(g826 +V\u000a\u000a +p2348 +tp2349 +a(g8 +V# If we're not in dry-run mode, bomb out on failure\u000a +p2350 +tp2351 +a(g826 +V +p2352 +tp2353 +a(g681 +Vtest +p2354 +tp2355 +a(g826 +g1040 +tp2356 +a(g826 +V-d +p2357 +tp2358 +a(g826 +g1040 +tp2359 +a(g157 +g1029 +tp2360 +a(g440 +V$my_tmpdir +p2361 +tp2362 +a(g157 +g1029 +tp2363 +a(g826 +g1040 +tp2364 +a(g412 +V|| +p2365 +tp2366 +a(g826 +g1040 +tp2367 +a(g412 +g2166 +tp2368 +a(g826 +V\u000a +p2369 +tp2370 +a(g440 +V$echo +p2371 +tp2372 +a(g826 +g1040 +tp2373 +a(g157 +g1029 +tp2374 +a(g157 +Vcannot create temporary directory \u005c` +p2375 +tp2376 +a(g440 +V$my_tmpdir +p2377 +tp2378 +a(g157 +V' +p2379 +tp2380 +a(g157 +g1029 +tp2381 +a(g826 +g1040 +tp2382 +a(g32 +g1107 +tp2383 +a(g826 +g1778 +tp2384 +a(g705 +g1780 +tp2385 +a(g32 +g1782 +tp2386 +a(g826 +V\u000a +p2387 +tp2388 +a(g681 +Vexit +p2389 +tp2390 +a(g826 +g1040 +tp2391 +a(g440 +V$EXIT_FAILURE +p2392 +tp2393 +a(g826 +V\u000a +p2394 +tp2395 +a(g412 +g1160 +tp2396 +a(g826 +V\u000a +p2397 +tp2398 +a(g744 +Vfi +p2399 +tp2400 +a(g826 +V\u000a\u000a +p2401 +tp2402 +a(g440 +V$echo +p2403 +tp2404 +a(g826 +g1040 +tp2405 +a(g157 +g1029 +tp2406 +a(g157 +g1191 +tp2407 +a(g440 +V$my_tmpdir +p2408 +tp2409 +a(g157 +g1029 +tp2410 +a(g826 +g1040 +tp2411 +a(g705 +g1075 +tp2412 +a(g826 +g1040 +tp2413 +a(g440 +V$Xsed +p2414 +tp2415 +a(g826 +V\u000a +p2416 +tp2417 +a(g412 +g1160 +tp2418 +a(g826 +V\u000a\u000a\u000a +p2419 +tp2420 +a(g8 +V# func_win32_libid arg\u000a +p2421 +tp2422 +a(g8 +V# return the library type of file 'arg'\u000a +p2423 +tp2424 +a(g8 +V#\u000a +p2425 +tp2426 +a(g8 +V# Need a lot of goo to handle *both* DLLs and import libs\u000a +p2427 +tp2428 +a(g8 +V# Has to be a shell function in order to 'eat' the argument\u000a +p2429 +tp2430 +a(g8 +V# that is supplied when $file_magic_command is called.\u000a +p2431 +tp2432 +a(g826 +Vfunc_win32_libid +p2433 +tp2434 +a(g826 +g1040 +tp2435 +a(g412 +g1959 +tp2436 +a(g412 +g1533 +tp2437 +a(g826 +V\u000a +p2438 +tp2439 +a(g412 +g2166 +tp2440 +a(g826 +V\u000a +p2441 +tp2442 +a(g440 +Vwin32_libid_type +p2443 +tp2444 +a(g412 +g1010 +tp2445 +a(g157 +V"unknown" +p2446 +tp2447 +a(g826 +V\u000a +p2448 +tp2449 +a(g440 +Vwin32_fileres +p2450 +tp2451 +a(g412 +g1010 +tp2452 +a(g101 +g1065 +tp2453 +a(g826 +Vfile +p2454 +tp2455 +a(g826 +g1040 +tp2456 +a(g826 +V-L +p2457 +tp2458 +a(g826 +g1040 +tp2459 +a(g440 +V$1 +p2460 +tp2461 +a(g826 +g1040 +tp2462 +a(g32 +g1782 +tp2463 +a(g826 +V>/dev/null +p2464 +tp2465 +a(g101 +g1065 +tp2466 +a(g826 +V\u000a +p2467 +tp2468 +a(g744 +Vcase +p2469 +tp2470 +a(g826 +g1040 +tp2471 +a(g440 +V$win32_fileres +p2472 +tp2473 +a(g826 +g1040 +tp2474 +a(g826 +Vin +p2475 +tp2476 +a(g826 +V\u000a +p2477 +tp2478 +a(g826 +V*ar +p2479 +tp2480 +a(g213 +V\u005c +p2481 +tp2482 +a(g826 +Varchive +p2483 +tp2484 +a(g213 +V\u005c +p2485 +tp2486 +a(g826 +Vimport +p2487 +tp2488 +a(g213 +V\u005c +p2489 +tp2490 +a(g826 +Vlibrary* +p2491 +tp2492 +a(g412 +g1533 +tp2493 +a(g826 +g1040 +tp2494 +a(g8 +V# definitely import\u000a +p2495 +tp2496 +a(g826 +V +p2497 +tp2498 +a(g440 +Vwin32_libid_type +p2499 +tp2500 +a(g412 +g1010 +tp2501 +a(g157 +V"x86 archive import" +p2502 +tp2503 +a(g826 +V\u000a +p2504 +tp2505 +a(g705 +g1164 +tp2506 +a(g705 +g1164 +tp2507 +a(g826 +V\u000a +p2508 +tp2509 +a(g826 +V*ar +p2510 +tp2511 +a(g213 +V\u005c +p2512 +tp2513 +a(g826 +Varchive* +p2514 +tp2515 +a(g412 +g1533 +tp2516 +a(g826 +g1040 +tp2517 +a(g8 +V# could be an import, or static\u000a +p2518 +tp2519 +a(g826 +V +p2520 +tp2521 +a(g744 +Vif +p2522 +tp2523 +a(g826 +g1040 +tp2524 +a(g681 +Veval +p2525 +tp2526 +a(g826 +g1040 +tp2527 +a(g440 +V$OBJDUMP +p2528 +tp2529 +a(g826 +g1040 +tp2530 +a(g826 +V-f +p2531 +tp2532 +a(g826 +g1040 +tp2533 +a(g440 +V$1 +p2534 +tp2535 +a(g826 +g1040 +tp2536 +a(g705 +g1075 +tp2537 +a(g826 +g1040 +tp2538 +a(g440 +V$SED +p2539 +tp2540 +a(g826 +g1040 +tp2541 +a(g826 +V-e +p2542 +tp2543 +a(g826 +g1040 +tp2544 +a(g229 +V'10q' +p2545 +tp2546 +a(g826 +g1040 +tp2547 +a(g32 +g1782 +tp2548 +a(g826 +V>/dev/null +p2549 +tp2550 +a(g826 +g1040 +tp2551 +a(g705 +g1075 +tp2552 +a(g826 +g1040 +tp2553 +a(g213 +V\u005c\u000a +p2554 +tp2555 +a(g826 +V +p2556 +tp2557 +a(g440 +V$EGREP +p2558 +tp2559 +a(g826 +g1040 +tp2560 +a(g826 +V-e +p2561 +tp2562 +a(g826 +g1040 +tp2563 +a(g229 +V'file format pe-i386(.*architecture: i386)?' +p2564 +tp2565 +a(g826 +g1040 +tp2566 +a(g826 +V>/dev/null +p2567 +tp2568 +a(g826 +g1040 +tp2569 +a(g705 +g1164 +tp2570 +a(g826 +g1040 +tp2571 +a(g744 +Vthen +p2572 +tp2573 +a(g826 +V\u000a +p2574 +tp2575 +a(g440 +Vwin32_nmres +p2576 +tp2577 +a(g412 +g1010 +tp2578 +a(g101 +g1065 +tp2579 +a(g681 +Veval +p2580 +tp2581 +a(g826 +g1040 +tp2582 +a(g440 +V$NM +p2583 +tp2584 +a(g826 +g1040 +tp2585 +a(g826 +V-f +p2586 +tp2587 +a(g826 +g1040 +tp2588 +a(g826 +Vposix +p2589 +tp2590 +a(g826 +g1040 +tp2591 +a(g826 +V-A +p2592 +tp2593 +a(g826 +g1040 +tp2594 +a(g440 +V$1 +p2595 +tp2596 +a(g826 +g1040 +tp2597 +a(g705 +g1075 +tp2598 +a(g826 +g1040 +tp2599 +a(g213 +V\u005c\u000a +p2600 +tp2601 +a(g826 +g2290 +tp2602 +a(g440 +V$SED +p2603 +tp2604 +a(g826 +g1040 +tp2605 +a(g826 +V-n +p2606 +tp2607 +a(g826 +g1040 +tp2608 +a(g826 +V-e +p2609 +tp2610 +a(g826 +g1040 +tp2611 +a(g229 +V'1,100{/ I /{s,.*,import,;p;q;};}' +p2612 +tp2613 +a(g101 +g1065 +tp2614 +a(g826 +V\u000a +p2615 +tp2616 +a(g744 +Vcase +p2617 +tp2618 +a(g826 +g1040 +tp2619 +a(g440 +V$win32_nmres +p2620 +tp2621 +a(g826 +g1040 +tp2622 +a(g826 +Vin +p2623 +tp2624 +a(g826 +V\u000a +p2625 +tp2626 +a(g826 +Vimport* +p2627 +tp2628 +a(g412 +g1533 +tp2629 +a(g826 +V +p2630 +tp2631 +a(g440 +Vwin32_libid_type +p2632 +tp2633 +a(g412 +g1010 +tp2634 +a(g157 +V"x86 archive import" +p2635 +tp2636 +a(g705 +g1164 +tp2637 +a(g705 +g1164 +tp2638 +a(g826 +V\u000a +p2639 +tp2640 +a(g826 +g1562 +tp2641 +a(g412 +g1533 +tp2642 +a(g826 +V +p2643 +tp2644 +a(g440 +Vwin32_libid_type +p2645 +tp2646 +a(g412 +g1010 +tp2647 +a(g157 +V"x86 archive static" +p2648 +tp2649 +a(g705 +g1164 +tp2650 +a(g705 +g1164 +tp2651 +a(g826 +V\u000a +p2652 +tp2653 +a(g744 +Vesac +p2654 +tp2655 +a(g826 +V\u000a +p2656 +tp2657 +a(g744 +Vfi +p2658 +tp2659 +a(g826 +V\u000a +p2660 +tp2661 +a(g705 +g1164 +tp2662 +a(g705 +g1164 +tp2663 +a(g826 +V\u000a +p2664 +tp2665 +a(g826 +V*DLL* +p2666 +tp2667 +a(g412 +g1533 +tp2668 +a(g826 +V\u000a +p2669 +tp2670 +a(g440 +Vwin32_libid_type +p2671 +tp2672 +a(g412 +g1010 +tp2673 +a(g157 +V"x86 DLL" +p2674 +tp2675 +a(g826 +V\u000a +p2676 +tp2677 +a(g705 +g1164 +tp2678 +a(g705 +g1164 +tp2679 +a(g826 +V\u000a +p2680 +tp2681 +a(g826 +V*executable* +p2682 +tp2683 +a(g412 +g1533 +tp2684 +a(g826 +g1040 +tp2685 +a(g8 +V# but shell scripts are "executable" too...\u000a +p2686 +tp2687 +a(g826 +V +p2688 +tp2689 +a(g744 +Vcase +p2690 +tp2691 +a(g826 +g1040 +tp2692 +a(g440 +V$win32_fileres +p2693 +tp2694 +a(g826 +g1040 +tp2695 +a(g826 +Vin +p2696 +tp2697 +a(g826 +V\u000a +p2698 +tp2699 +a(g826 +V*MS +p2700 +tp2701 +a(g213 +V\u005c +p2702 +tp2703 +a(g826 +VWindows +p2704 +tp2705 +a(g213 +V\u005c +p2706 +tp2707 +a(g826 +VPE +p2708 +tp2709 +a(g213 +V\u005c +p2710 +tp2711 +a(g826 +VIntel* +p2712 +tp2713 +a(g412 +g1533 +tp2714 +a(g826 +V\u000a +p2715 +tp2716 +a(g440 +Vwin32_libid_type +p2717 +tp2718 +a(g412 +g1010 +tp2719 +a(g157 +V"x86 DLL" +p2720 +tp2721 +a(g826 +V\u000a +p2722 +tp2723 +a(g705 +g1164 +tp2724 +a(g705 +g1164 +tp2725 +a(g826 +V\u000a +p2726 +tp2727 +a(g744 +Vesac +p2728 +tp2729 +a(g826 +V\u000a +p2730 +tp2731 +a(g705 +g1164 +tp2732 +a(g705 +g1164 +tp2733 +a(g826 +V\u000a +p2734 +tp2735 +a(g744 +Vesac +p2736 +tp2737 +a(g826 +V\u000a +p2738 +tp2739 +a(g440 +V$echo +p2740 +tp2741 +a(g826 +g1040 +tp2742 +a(g440 +V$win32_libid_type +p2743 +tp2744 +a(g826 +V\u000a +p2745 +tp2746 +a(g412 +g1160 +tp2747 +a(g826 +V\u000a\u000a\u000a +p2748 +tp2749 +a(g8 +V# func_infer_tag arg\u000a +p2750 +tp2751 +a(g8 +V# Infer tagged configuration to use if any are available and\u000a +p2752 +tp2753 +a(g8 +V# if one wasn't chosen via the "--tag" command line option.\u000a +p2754 +tp2755 +a(g8 +V# Only attempt this if the compiler in the base compile\u000a +p2756 +tp2757 +a(g8 +V# command doesn't match the default compiler.\u000a +p2758 +tp2759 +a(g8 +V# arg is usually of the form 'gcc ...'\u000a +p2760 +tp2761 +a(g826 +Vfunc_infer_tag +p2762 +tp2763 +a(g826 +g1040 +tp2764 +a(g412 +g1959 +tp2765 +a(g412 +g1533 +tp2766 +a(g826 +V\u000a +p2767 +tp2768 +a(g412 +g2166 +tp2769 +a(g826 +V\u000a +p2770 +tp2771 +a(g744 +Vif +p2772 +tp2773 +a(g826 +g1040 +tp2774 +a(g681 +Vtest +p2775 +tp2776 +a(g826 +g1040 +tp2777 +a(g826 +V-n +p2778 +tp2779 +a(g826 +g1040 +tp2780 +a(g157 +g1029 +tp2781 +a(g440 +V$available_tags +p2782 +tp2783 +a(g157 +g1029 +tp2784 +a(g826 +g1040 +tp2785 +a(g412 +V&& +p2786 +tp2787 +a(g826 +g1040 +tp2788 +a(g681 +Vtest +p2789 +tp2790 +a(g826 +g1040 +tp2791 +a(g826 +V-z +p2792 +tp2793 +a(g826 +g1040 +tp2794 +a(g157 +g1029 +tp2795 +a(g440 +V$tagname +p2796 +tp2797 +a(g157 +g1029 +tp2798 +a(g705 +g1164 +tp2799 +a(g826 +g1040 +tp2800 +a(g744 +Vthen +p2801 +tp2802 +a(g826 +V\u000a +p2803 +tp2804 +a(g440 +VCC_quoted +p2805 +tp2806 +a(g412 +g1010 +tp2807 +a(g826 +V\u000a +p2808 +tp2809 +a(g744 +Vfor +p2810 +tp2811 +a(g826 +g1040 +tp2812 +a(g826 +Varg +p2813 +tp2814 +a(g826 +g1040 +tp2815 +a(g826 +Vin +p2816 +tp2817 +a(g826 +g1040 +tp2818 +a(g440 +V$CC +p2819 +tp2820 +a(g705 +g1164 +tp2821 +a(g826 +g1040 +tp2822 +a(g744 +Vdo +p2823 +tp2824 +a(g826 +V\u000a +p2825 +tp2826 +a(g744 +Vcase +p2827 +tp2828 +a(g826 +g1040 +tp2829 +a(g440 +V$arg +p2830 +tp2831 +a(g826 +g1040 +tp2832 +a(g826 +Vin +p2833 +tp2834 +a(g826 +V\u000a +p2835 +tp2836 +a(g826 +g1562 +tp2837 +a(g412 +V[ +p2838 +tp2839 +a(g213 +V\u005c[ +p2840 +tp2841 +a(g213 +V\u005c~ +p2842 +tp2843 +a(g213 +V\u005c# +p2844 +tp2845 +a(g213 +V\u005c^ +p2846 +tp2847 +a(g213 +V\u005c& +p2848 +tp2849 +a(g213 +V\u005c* +p2850 +tp2851 +a(g213 +V\u005c( +p2852 +tp2853 +a(g213 +V\u005c) +p2854 +tp2855 +a(g213 +V\u005c{ +p2856 +tp2857 +a(g213 +V\u005c} +p2858 +tp2859 +a(g213 +V\u005c| +p2860 +tp2861 +a(g213 +V\u005c; +p2862 +tp2863 +a(g213 +V\u005c< +p2864 +tp2865 +a(g213 +V\u005c> +p2866 +tp2867 +a(g213 +V\u005c? +p2868 +tp2869 +a(g213 +V\u005c' +p2870 +tp2871 +a(g213 +V\u005c +p2872 +tp2873 +a(g213 +V\u005c +p2874 +tp2875 +a(g412 +V] +p2876 +tp2877 +a(g826 +g1562 +tp2878 +a(g705 +g1075 +tp2879 +a(g826 +g1562 +tp2880 +a(g412 +g2876 +tp2881 +a(g826 +g1562 +tp2882 +a(g705 +g1075 +tp2883 +a(g157 +V"" +p2884 +tp2885 +a(g412 +g1533 +tp2886 +a(g826 +V\u000a +p2887 +tp2888 +a(g440 +Varg +p2889 +tp2890 +a(g412 +g1010 +tp2891 +a(g157 +g1029 +tp2892 +a(g157 +V\u005c" +p2893 +tp2894 +a(g440 +V$arg +p2895 +tp2896 +a(g157 +V\u005c" +p2897 +tp2898 +a(g157 +g1029 +tp2899 +a(g826 +V\u000a +p2900 +tp2901 +a(g705 +g1164 +tp2902 +a(g705 +g1164 +tp2903 +a(g826 +V\u000a +p2904 +tp2905 +a(g744 +Vesac +p2906 +tp2907 +a(g826 +V\u000a +p2908 +tp2909 +a(g440 +VCC_quoted +p2910 +tp2911 +a(g412 +g1010 +tp2912 +a(g157 +g1029 +tp2913 +a(g440 +V$CC_quoted +p2914 +tp2915 +a(g157 +g1040 +tp2916 +a(g440 +V$arg +p2917 +tp2918 +a(g157 +g1029 +tp2919 +a(g826 +V\u000a +p2920 +tp2921 +a(g744 +Vdone +p2922 +tp2923 +a(g826 +V\u000a +p2924 +tp2925 +a(g744 +Vcase +p2926 +tp2927 +a(g826 +g1040 +tp2928 +a(g440 +V$@ +p2929 +tp2930 +a(g826 +g1040 +tp2931 +a(g826 +Vin +p2932 +tp2933 +a(g826 +V\u000a +p2934 +tp2935 +a(g8 +V# Blanks in the command may have been stripped by the calling shell,\u000a +p2936 +tp2937 +a(g826 +V +p2938 +tp2939 +a(g8 +V# but not from the CC environment variable when configure was run.\u000a +p2940 +tp2941 +a(g826 +V +p2942 +tp2943 +a(g157 +g1029 +tp2944 +a(g157 +g1040 +tp2945 +a(g440 +V$CC +p2946 +tp2947 +a(g157 +g1040 +tp2948 +a(g157 +g1029 +tp2949 +a(g826 +g1562 +tp2950 +a(g826 +g1040 +tp2951 +a(g705 +g1075 +tp2952 +a(g826 +g1040 +tp2953 +a(g157 +g1029 +tp2954 +a(g440 +V$CC +p2955 +tp2956 +a(g157 +g1040 +tp2957 +a(g157 +g1029 +tp2958 +a(g826 +g1562 +tp2959 +a(g826 +g1040 +tp2960 +a(g705 +g1075 +tp2961 +a(g826 +g1040 +tp2962 +a(g157 +g1029 +tp2963 +a(g157 +V ` +p2964 +tp2965 +a(g440 +V$echo +p2966 +tp2967 +a(g157 +g1040 +tp2968 +a(g440 +V$CC +p2969 +tp2970 +a(g157 +V` +p2971 +tp2972 +a(g157 +g1029 +tp2973 +a(g826 +g1562 +tp2974 +a(g826 +g1040 +tp2975 +a(g705 +g1075 +tp2976 +a(g826 +g1040 +tp2977 +a(g157 +g1029 +tp2978 +a(g157 +g1065 +tp2979 +a(g440 +V$echo +p2980 +tp2981 +a(g157 +g1040 +tp2982 +a(g440 +V$CC +p2983 +tp2984 +a(g157 +V` +p2985 +tp2986 +a(g157 +g1029 +tp2987 +a(g826 +g1562 +tp2988 +a(g826 +g1040 +tp2989 +a(g705 +g1075 +tp2990 +a(g826 +g1040 +tp2991 +a(g157 +g1029 +tp2992 +a(g157 +g1040 +tp2993 +a(g440 +V$CC_quoted +p2994 +tp2995 +a(g157 +g1029 +tp2996 +a(g826 +g1562 +tp2997 +a(g826 +g1040 +tp2998 +a(g705 +g1075 +tp2999 +a(g826 +g1040 +tp3000 +a(g157 +g1029 +tp3001 +a(g440 +V$CC_quoted +p3002 +tp3003 +a(g157 +g1040 +tp3004 +a(g157 +g1029 +tp3005 +a(g826 +g1562 +tp3006 +a(g826 +g1040 +tp3007 +a(g705 +g1075 +tp3008 +a(g826 +g1040 +tp3009 +a(g157 +g1029 +tp3010 +a(g157 +V ` +p3011 +tp3012 +a(g440 +V$echo +p3013 +tp3014 +a(g157 +g1040 +tp3015 +a(g440 +V$CC_quoted +p3016 +tp3017 +a(g157 +V` +p3018 +tp3019 +a(g157 +g1029 +tp3020 +a(g826 +g1562 +tp3021 +a(g826 +g1040 +tp3022 +a(g705 +g1075 +tp3023 +a(g826 +g1040 +tp3024 +a(g157 +g1029 +tp3025 +a(g157 +g1065 +tp3026 +a(g440 +V$echo +p3027 +tp3028 +a(g157 +g1040 +tp3029 +a(g440 +V$CC_quoted +p3030 +tp3031 +a(g157 +V` +p3032 +tp3033 +a(g157 +g1029 +tp3034 +a(g826 +g1562 +tp3035 +a(g412 +g1533 +tp3036 +a(g826 +g1040 +tp3037 +a(g705 +g1164 +tp3038 +a(g705 +g1164 +tp3039 +a(g826 +V\u000a +p3040 +tp3041 +a(g8 +V# Blanks at the start of $base_compile will cause this to fail\u000a +p3042 +tp3043 +a(g826 +V +p3044 +tp3045 +a(g8 +V# if we don't check for them as well.\u000a +p3046 +tp3047 +a(g826 +V +p3048 +tp3049 +a(g826 +g1562 +tp3050 +a(g412 +g1533 +tp3051 +a(g826 +V\u000a +p3052 +tp3053 +a(g744 +Vfor +p3054 +tp3055 +a(g826 +g1040 +tp3056 +a(g826 +Vz +p3057 +tp3058 +a(g826 +g1040 +tp3059 +a(g826 +Vin +p3060 +tp3061 +a(g826 +g1040 +tp3062 +a(g440 +V$available_tags +p3063 +tp3064 +a(g705 +g1164 +tp3065 +a(g826 +g1040 +tp3066 +a(g744 +Vdo +p3067 +tp3068 +a(g826 +V\u000a +p3069 +tp3070 +a(g744 +Vif +p3071 +tp3072 +a(g826 +g1040 +tp3073 +a(g826 +Vgrep +p3074 +tp3075 +a(g826 +g1040 +tp3076 +a(g157 +g1029 +tp3077 +a(g157 +V^# ### BEGIN LIBTOOL TAG CONFIG: +p3078 +tp3079 +a(g440 +V$z +p3080 +tp3081 +a(g826 +V$ +p3082 +tp3083 +a(g157 +g1029 +tp3084 +a(g826 +g1040 +tp3085 +a(g826 +V< +p3086 +tp3087 +a(g826 +g1040 +tp3088 +a(g157 +g1029 +tp3089 +a(g440 +V$progpath +p3090 +tp3091 +a(g157 +g1029 +tp3092 +a(g826 +g1040 +tp3093 +a(g826 +g1778 +tp3094 +a(g826 +g1040 +tp3095 +a(g826 +V/dev/null +p3096 +tp3097 +a(g705 +g1164 +tp3098 +a(g826 +g1040 +tp3099 +a(g744 +Vthen +p3100 +tp3101 +a(g826 +V\u000a +p3102 +tp3103 +a(g8 +V# Evaluate the configuration.\u000a +p3104 +tp3105 +a(g826 +V +p3106 +tp3107 +a(g681 +Veval +p3108 +tp3109 +a(g826 +g1040 +tp3110 +a(g157 +g1029 +tp3111 +a(g157 +g1065 +tp3112 +a(g125 +V${ +p3113 +tp3114 +a(g440 +VSED +p3115 +tp3116 +a(g125 +g1160 +tp3117 +a(g157 +V -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: ' +p3118 +tp3119 +a(g440 +V$z +p3120 +tp3121 +a(g157 +g2379 +tp3122 +a(g826 +g3082 +tp3123 +a(g157 +V/,/^# ### END LIBTOOL TAG CONFIG: ' +p3124 +tp3125 +a(g440 +V$z +p3126 +tp3127 +a(g157 +g2379 +tp3128 +a(g826 +g3082 +tp3129 +a(g157 +V/p' < +p3130 +tp3131 +a(g440 +V$progpath +p3132 +tp3133 +a(g157 +g1065 +tp3134 +a(g157 +g1029 +tp3135 +a(g826 +V\u000a +p3136 +tp3137 +a(g440 +VCC_quoted +p3138 +tp3139 +a(g412 +g1010 +tp3140 +a(g826 +V\u000a +p3141 +tp3142 +a(g744 +Vfor +p3143 +tp3144 +a(g826 +g1040 +tp3145 +a(g826 +Varg +p3146 +tp3147 +a(g826 +g1040 +tp3148 +a(g826 +Vin +p3149 +tp3150 +a(g826 +g1040 +tp3151 +a(g440 +V$CC +p3152 +tp3153 +a(g705 +g1164 +tp3154 +a(g826 +g1040 +tp3155 +a(g744 +Vdo +p3156 +tp3157 +a(g826 +V\u000a +p3158 +tp3159 +a(g8 +V# Double-quote args containing other shell metacharacters.\u000a +p3160 +tp3161 +a(g826 +V +p3162 +tp3163 +a(g744 +Vcase +p3164 +tp3165 +a(g826 +g1040 +tp3166 +a(g440 +V$arg +p3167 +tp3168 +a(g826 +g1040 +tp3169 +a(g826 +Vin +p3170 +tp3171 +a(g826 +V\u000a +p3172 +tp3173 +a(g826 +g1562 +tp3174 +a(g412 +g2838 +tp3175 +a(g213 +V\u005c[ +p3176 +tp3177 +a(g213 +V\u005c~ +p3178 +tp3179 +a(g213 +V\u005c# +p3180 +tp3181 +a(g213 +V\u005c^ +p3182 +tp3183 +a(g213 +V\u005c& +p3184 +tp3185 +a(g213 +V\u005c* +p3186 +tp3187 +a(g213 +V\u005c( +p3188 +tp3189 +a(g213 +V\u005c) +p3190 +tp3191 +a(g213 +V\u005c{ +p3192 +tp3193 +a(g213 +V\u005c} +p3194 +tp3195 +a(g213 +V\u005c| +p3196 +tp3197 +a(g213 +V\u005c; +p3198 +tp3199 +a(g213 +V\u005c< +p3200 +tp3201 +a(g213 +V\u005c> +p3202 +tp3203 +a(g213 +V\u005c? +p3204 +tp3205 +a(g213 +V\u005c' +p3206 +tp3207 +a(g213 +V\u005c +p3208 +tp3209 +a(g213 +V\u005c +p3210 +tp3211 +a(g412 +g2876 +tp3212 +a(g826 +g1562 +tp3213 +a(g705 +g1075 +tp3214 +a(g826 +g1562 +tp3215 +a(g412 +g2876 +tp3216 +a(g826 +g1562 +tp3217 +a(g705 +g1075 +tp3218 +a(g157 +V"" +p3219 +tp3220 +a(g412 +g1533 +tp3221 +a(g826 +V\u000a +p3222 +tp3223 +a(g440 +Varg +p3224 +tp3225 +a(g412 +g1010 +tp3226 +a(g157 +g1029 +tp3227 +a(g157 +V\u005c" +p3228 +tp3229 +a(g440 +V$arg +p3230 +tp3231 +a(g157 +V\u005c" +p3232 +tp3233 +a(g157 +g1029 +tp3234 +a(g826 +V\u000a +p3235 +tp3236 +a(g705 +g1164 +tp3237 +a(g705 +g1164 +tp3238 +a(g826 +V\u000a +p3239 +tp3240 +a(g744 +Vesac +p3241 +tp3242 +a(g826 +V\u000a +p3243 +tp3244 +a(g440 +VCC_quoted +p3245 +tp3246 +a(g412 +g1010 +tp3247 +a(g157 +g1029 +tp3248 +a(g440 +V$CC_quoted +p3249 +tp3250 +a(g157 +g1040 +tp3251 +a(g440 +V$arg +p3252 +tp3253 +a(g157 +g1029 +tp3254 +a(g826 +V\u000a +p3255 +tp3256 +a(g744 +Vdone +p3257 +tp3258 +a(g826 +V\u000a +p3259 +tp3260 +a(g8 +V# user sometimes does CC=-gcc so we need to match that to 'gcc'\u000a +p3261 +tp3262 +a(g826 +V +p3263 +tp3264 +a(g440 +Vtrimedcc +p3265 +tp3266 +a(g412 +g1010 +tp3267 +a(g101 +g1065 +tp3268 +a(g681 +Vecho +p3269 +tp3270 +a(g826 +g1040 +tp3271 +a(g125 +V${ +p3272 +tp3273 +a(g440 +VCC +p3274 +tp3275 +a(g125 +g1160 +tp3276 +a(g826 +g1040 +tp3277 +a(g705 +g1075 +tp3278 +a(g826 +g1040 +tp3279 +a(g440 +V$SED +p3280 +tp3281 +a(g826 +g1040 +tp3282 +a(g826 +V-e +p3283 +tp3284 +a(g826 +g1040 +tp3285 +a(g157 +g1029 +tp3286 +a(g157 +Vs/ +p3287 +tp3288 +a(g125 +V${ +p3289 +tp3290 +a(g440 +Vhost +p3291 +tp3292 +a(g125 +g1160 +tp3293 +a(g157 +V-//g +p3294 +tp3295 +a(g157 +g1029 +tp3296 +a(g101 +g1065 +tp3297 +a(g826 +V\u000a +p3298 +tp3299 +a(g8 +V# and sometimes libtool has CC=-gcc but user does CC=gcc\u000a +p3300 +tp3301 +a(g826 +V +p3302 +tp3303 +a(g440 +Vextendcc +p3304 +tp3305 +a(g412 +g1010 +tp3306 +a(g125 +V${ +p3307 +tp3308 +a(g440 +Vhost +p3309 +tp3310 +a(g125 +g1160 +tp3311 +a(g826 +g2185 +tp3312 +a(g125 +V${ +p3313 +tp3314 +a(g440 +VCC +p3315 +tp3316 +a(g125 +g1160 +tp3317 +a(g826 +V\u000a +p3318 +tp3319 +a(g8 +V# and sometimes libtool has CC=-gcc but user has CC=-gcc \u000a +p3320 +tp3321 +a(g826 +V +p3322 +tp3323 +a(g8 +V# (Gentoo-specific hack because we always export $CHOST)\u000a +p3324 +tp3325 +a(g826 +V +p3326 +tp3327 +a(g440 +Vmungedcc +p3328 +tp3329 +a(g412 +g1010 +tp3330 +a(g125 +V${ +p3331 +tp3332 +a(g440 +VCHOST +p3333 +tp3334 +a(g705 +g2185 +tp3335 +a(g125 +V${ +p3336 +tp3337 +a(g440 +Vhost +p3338 +tp3339 +a(g125 +g1160 +tp3340 +a(g125 +g1160 +tp3341 +a(g826 +g2185 +tp3342 +a(g125 +V${ +p3343 +tp3344 +a(g440 +Vtrimedcc +p3345 +tp3346 +a(g125 +g1160 +tp3347 +a(g826 +V\u000a +p3348 +tp3349 +a(g744 +Vcase +p3350 +tp3351 +a(g826 +g1040 +tp3352 +a(g157 +g1029 +tp3353 +a(g440 +V$@ +p3354 +tp3355 +a(g157 +g1040 +tp3356 +a(g157 +g1029 +tp3357 +a(g826 +g1040 +tp3358 +a(g826 +Vin +p3359 +tp3360 +a(g826 +V\u000a +p3361 +tp3362 +a(g157 +V"cc " +p3363 +tp3364 +a(g826 +g1562 +tp3365 +a(g826 +g1040 +tp3366 +a(g705 +g1075 +tp3367 +a(g826 +g1040 +tp3368 +a(g157 +V" cc " +p3369 +tp3370 +a(g826 +g1562 +tp3371 +a(g826 +g1040 +tp3372 +a(g705 +g1075 +tp3373 +a(g826 +g1040 +tp3374 +a(g157 +g1029 +tp3375 +a(g125 +V${ +p3376 +tp3377 +a(g440 +Vhost +p3378 +tp3379 +a(g125 +g1160 +tp3380 +a(g157 +V-cc +p3381 +tp3382 +a(g157 +g1029 +tp3383 +a(g826 +g1562 +tp3384 +a(g826 +g1040 +tp3385 +a(g705 +g1075 +tp3386 +a(g826 +g1040 +tp3387 +a(g157 +g1029 +tp3388 +a(g157 +g1040 +tp3389 +a(g125 +V${ +p3390 +tp3391 +a(g440 +Vhost +p3392 +tp3393 +a(g125 +g1160 +tp3394 +a(g157 +V-cc +p3395 +tp3396 +a(g157 +g1029 +tp3397 +a(g826 +g1562 +tp3398 +a(g705 +g1075 +tp3399 +a(g213 +V\u005c\u000a +p3400 +tp3401 +a(g826 +V +p3402 +tp3403 +a(g157 +V"gcc " +p3404 +tp3405 +a(g826 +g1562 +tp3406 +a(g826 +g1040 +tp3407 +a(g705 +g1075 +tp3408 +a(g826 +g1040 +tp3409 +a(g157 +V" gcc " +p3410 +tp3411 +a(g826 +g1562 +tp3412 +a(g826 +g1040 +tp3413 +a(g705 +g1075 +tp3414 +a(g826 +g1040 +tp3415 +a(g157 +g1029 +tp3416 +a(g125 +V${ +p3417 +tp3418 +a(g440 +Vhost +p3419 +tp3420 +a(g125 +g1160 +tp3421 +a(g157 +V-gcc +p3422 +tp3423 +a(g157 +g1029 +tp3424 +a(g826 +g1562 +tp3425 +a(g826 +g1040 +tp3426 +a(g705 +g1075 +tp3427 +a(g826 +g1040 +tp3428 +a(g157 +g1029 +tp3429 +a(g157 +g1040 +tp3430 +a(g125 +V${ +p3431 +tp3432 +a(g440 +Vhost +p3433 +tp3434 +a(g125 +g1160 +tp3435 +a(g157 +V-gcc +p3436 +tp3437 +a(g157 +g1029 +tp3438 +a(g826 +g1562 +tp3439 +a(g412 +g1533 +tp3440 +a(g826 +V\u000a +p3441 +tp3442 +a(g440 +Vtagname +p3443 +tp3444 +a(g412 +g1010 +tp3445 +a(g826 +VCC +p3446 +tp3447 +a(g826 +V\u000a +p3448 +tp3449 +a(g681 +Vbreak +p3450 +tp3451 +a(g826 +g1040 +tp3452 +a(g705 +g1164 +tp3453 +a(g705 +g1164 +tp3454 +a(g826 +V\u000a +p3455 +tp3456 +a(g157 +g1029 +tp3457 +a(g440 +V$trimedcc +p3458 +tp3459 +a(g157 +g1040 +tp3460 +a(g157 +g1029 +tp3461 +a(g826 +g1562 +tp3462 +a(g826 +g1040 +tp3463 +a(g705 +g1075 +tp3464 +a(g826 +g1040 +tp3465 +a(g157 +g1029 +tp3466 +a(g157 +g1040 +tp3467 +a(g440 +V$trimedcc +p3468 +tp3469 +a(g157 +g1040 +tp3470 +a(g157 +g1029 +tp3471 +a(g826 +g1562 +tp3472 +a(g826 +g1040 +tp3473 +a(g705 +g1075 +tp3474 +a(g826 +g1040 +tp3475 +a(g157 +g1029 +tp3476 +a(g157 +g1065 +tp3477 +a(g440 +V$echo +p3478 +tp3479 +a(g157 +g1040 +tp3480 +a(g440 +V$trimedcc +p3481 +tp3482 +a(g157 +V` +p3483 +tp3484 +a(g157 +g1029 +tp3485 +a(g826 +g1562 +tp3486 +a(g826 +g1040 +tp3487 +a(g705 +g1075 +tp3488 +a(g826 +g1040 +tp3489 +a(g157 +g1029 +tp3490 +a(g157 +V ` +p3491 +tp3492 +a(g440 +V$echo +p3493 +tp3494 +a(g157 +g1040 +tp3495 +a(g440 +V$trimedcc +p3496 +tp3497 +a(g157 +V` +p3498 +tp3499 +a(g157 +g1029 +tp3500 +a(g826 +g1562 +tp3501 +a(g705 +g1075 +tp3502 +a(g213 +V\u005c\u000a +p3503 +tp3504 +a(g826 +V +p3505 +tp3506 +a(g157 +g1029 +tp3507 +a(g440 +V$extendcc +p3508 +tp3509 +a(g157 +g1040 +tp3510 +a(g157 +g1029 +tp3511 +a(g826 +g1562 +tp3512 +a(g826 +g1040 +tp3513 +a(g705 +g1075 +tp3514 +a(g826 +g1040 +tp3515 +a(g157 +g1029 +tp3516 +a(g157 +g1040 +tp3517 +a(g440 +V$extendcc +p3518 +tp3519 +a(g157 +g1040 +tp3520 +a(g157 +g1029 +tp3521 +a(g826 +g1562 +tp3522 +a(g826 +g1040 +tp3523 +a(g705 +g1075 +tp3524 +a(g826 +g1040 +tp3525 +a(g157 +g1029 +tp3526 +a(g157 +g1065 +tp3527 +a(g440 +V$echo +p3528 +tp3529 +a(g157 +g1040 +tp3530 +a(g440 +V$extendcc +p3531 +tp3532 +a(g157 +V` +p3533 +tp3534 +a(g157 +g1029 +tp3535 +a(g826 +g1562 +tp3536 +a(g826 +g1040 +tp3537 +a(g705 +g1075 +tp3538 +a(g826 +g1040 +tp3539 +a(g157 +g1029 +tp3540 +a(g157 +V ` +p3541 +tp3542 +a(g440 +V$echo +p3543 +tp3544 +a(g157 +g1040 +tp3545 +a(g440 +V$extendcc +p3546 +tp3547 +a(g157 +V` +p3548 +tp3549 +a(g157 +g1029 +tp3550 +a(g826 +g1562 +tp3551 +a(g705 +g1075 +tp3552 +a(g213 +V\u005c\u000a +p3553 +tp3554 +a(g826 +V +p3555 +tp3556 +a(g157 +g1029 +tp3557 +a(g440 +V$mungedcc +p3558 +tp3559 +a(g157 +g1040 +tp3560 +a(g157 +g1029 +tp3561 +a(g826 +g1562 +tp3562 +a(g826 +g1040 +tp3563 +a(g705 +g1075 +tp3564 +a(g826 +g1040 +tp3565 +a(g157 +g1029 +tp3566 +a(g157 +g1040 +tp3567 +a(g440 +V$mungedcc +p3568 +tp3569 +a(g157 +g1040 +tp3570 +a(g157 +g1029 +tp3571 +a(g826 +g1562 +tp3572 +a(g826 +g1040 +tp3573 +a(g705 +g1075 +tp3574 +a(g826 +g1040 +tp3575 +a(g157 +g1029 +tp3576 +a(g157 +g1065 +tp3577 +a(g440 +V$echo +p3578 +tp3579 +a(g157 +g1040 +tp3580 +a(g440 +V$mungedcc +p3581 +tp3582 +a(g157 +V` +p3583 +tp3584 +a(g157 +g1029 +tp3585 +a(g826 +g1562 +tp3586 +a(g826 +g1040 +tp3587 +a(g705 +g1075 +tp3588 +a(g826 +g1040 +tp3589 +a(g157 +g1029 +tp3590 +a(g157 +V ` +p3591 +tp3592 +a(g440 +V$echo +p3593 +tp3594 +a(g157 +g1040 +tp3595 +a(g440 +V$mungedcc +p3596 +tp3597 +a(g157 +V` +p3598 +tp3599 +a(g157 +g1029 +tp3600 +a(g826 +g1562 +tp3601 +a(g705 +g1075 +tp3602 +a(g213 +V\u005c\u000a +p3603 +tp3604 +a(g826 +V +p3605 +tp3606 +a(g157 +g1029 +tp3607 +a(g157 +g1040 +tp3608 +a(g440 +V$CC +p3609 +tp3610 +a(g157 +g1040 +tp3611 +a(g157 +g1029 +tp3612 +a(g826 +g1562 +tp3613 +a(g826 +g1040 +tp3614 +a(g705 +g1075 +tp3615 +a(g826 +g1040 +tp3616 +a(g157 +g1029 +tp3617 +a(g440 +V$CC +p3618 +tp3619 +a(g157 +g1040 +tp3620 +a(g157 +g1029 +tp3621 +a(g826 +g1562 +tp3622 +a(g826 +g1040 +tp3623 +a(g705 +g1075 +tp3624 +a(g826 +g1040 +tp3625 +a(g157 +g1029 +tp3626 +a(g157 +V ` +p3627 +tp3628 +a(g440 +V$echo +p3629 +tp3630 +a(g157 +g1040 +tp3631 +a(g440 +V$CC +p3632 +tp3633 +a(g157 +V` +p3634 +tp3635 +a(g157 +g1029 +tp3636 +a(g826 +g1562 +tp3637 +a(g826 +g1040 +tp3638 +a(g705 +g1075 +tp3639 +a(g826 +g1040 +tp3640 +a(g157 +g1029 +tp3641 +a(g157 +g1065 +tp3642 +a(g440 +V$echo +p3643 +tp3644 +a(g157 +g1040 +tp3645 +a(g440 +V$CC +p3646 +tp3647 +a(g157 +V` +p3648 +tp3649 +a(g157 +g1029 +tp3650 +a(g826 +g1562 +tp3651 +a(g826 +g1040 +tp3652 +a(g705 +g1075 +tp3653 +a(g826 +g1040 +tp3654 +a(g157 +g1029 +tp3655 +a(g157 +g1040 +tp3656 +a(g440 +V$CC_quoted +p3657 +tp3658 +a(g157 +g1029 +tp3659 +a(g826 +g1562 +tp3660 +a(g826 +g1040 +tp3661 +a(g705 +g1075 +tp3662 +a(g826 +g1040 +tp3663 +a(g157 +g1029 +tp3664 +a(g440 +V$CC_quoted +p3665 +tp3666 +a(g157 +g1040 +tp3667 +a(g157 +g1029 +tp3668 +a(g826 +g1562 +tp3669 +a(g826 +g1040 +tp3670 +a(g705 +g1075 +tp3671 +a(g826 +g1040 +tp3672 +a(g157 +g1029 +tp3673 +a(g157 +V ` +p3674 +tp3675 +a(g440 +V$echo +p3676 +tp3677 +a(g157 +g1040 +tp3678 +a(g440 +V$CC_quoted +p3679 +tp3680 +a(g157 +V` +p3681 +tp3682 +a(g157 +g1029 +tp3683 +a(g826 +g1562 +tp3684 +a(g826 +g1040 +tp3685 +a(g705 +g1075 +tp3686 +a(g826 +g1040 +tp3687 +a(g157 +g1029 +tp3688 +a(g157 +g1065 +tp3689 +a(g440 +V$echo +p3690 +tp3691 +a(g157 +g1040 +tp3692 +a(g440 +V$CC_quoted +p3693 +tp3694 +a(g157 +V` +p3695 +tp3696 +a(g157 +g1029 +tp3697 +a(g826 +g1562 +tp3698 +a(g412 +g1533 +tp3699 +a(g826 +V\u000a +p3700 +tp3701 +a(g8 +V# The compiler in the base compile command matches\u000a +p3702 +tp3703 +a(g826 +V +p3704 +tp3705 +a(g8 +V# the one in the tagged configuration.\u000a +p3706 +tp3707 +a(g826 +V +p3708 +tp3709 +a(g8 +V# Assume this is the tagged configuration we want.\u000a +p3710 +tp3711 +a(g826 +V +p3712 +tp3713 +a(g440 +Vtagname +p3714 +tp3715 +a(g412 +g1010 +tp3716 +a(g440 +V$z +p3717 +tp3718 +a(g826 +V\u000a +p3719 +tp3720 +a(g681 +Vbreak +p3721 +tp3722 +a(g826 +V\u000a +p3723 +tp3724 +a(g705 +g1164 +tp3725 +a(g705 +g1164 +tp3726 +a(g826 +V\u000a +p3727 +tp3728 +a(g744 +Vesac +p3729 +tp3730 +a(g826 +V\u000a +p3731 +tp3732 +a(g744 +Vfi +p3733 +tp3734 +a(g826 +V\u000a +p3735 +tp3736 +a(g744 +Vdone +p3737 +tp3738 +a(g826 +V\u000a +p3739 +tp3740 +a(g8 +V# If $tagname still isn't set, then no tagged configuration\u000a +p3741 +tp3742 +a(g826 +g2290 +tp3743 +a(g8 +V# was found and let the user know that the "--tag" command\u000a +p3744 +tp3745 +a(g826 +g2290 +tp3746 +a(g8 +V# line option must be used.\u000a +p3747 +tp3748 +a(g826 +g2290 +tp3749 +a(g744 +Vif +p3750 +tp3751 +a(g826 +g1040 +tp3752 +a(g681 +Vtest +p3753 +tp3754 +a(g826 +g1040 +tp3755 +a(g826 +V-z +p3756 +tp3757 +a(g826 +g1040 +tp3758 +a(g157 +g1029 +tp3759 +a(g440 +V$tagname +p3760 +tp3761 +a(g157 +g1029 +tp3762 +a(g705 +g1164 +tp3763 +a(g826 +g1040 +tp3764 +a(g744 +Vthen +p3765 +tp3766 +a(g826 +V\u000a +p3767 +tp3768 +a(g440 +V$echo +p3769 +tp3770 +a(g826 +g1040 +tp3771 +a(g157 +g1029 +tp3772 +a(g440 +V$modename +p3773 +tp3774 +a(g157 +V: unable to infer tagged configuration +p3775 +tp3776 +a(g157 +g1029 +tp3777 +a(g826 +V\u000a +p3778 +tp3779 +a(g440 +V$echo +p3780 +tp3781 +a(g826 +g1040 +tp3782 +a(g157 +g1029 +tp3783 +a(g440 +V$modename +p3784 +tp3785 +a(g157 +V: specify a tag with \u005c`--tag' +p3786 +tp3787 +a(g157 +g1029 +tp3788 +a(g826 +g1040 +tp3789 +a(g32 +g1107 +tp3790 +a(g826 +g1778 +tp3791 +a(g705 +g1780 +tp3792 +a(g32 +g1782 +tp3793 +a(g826 +V\u000a +p3794 +tp3795 +a(g681 +Vexit +p3796 +tp3797 +a(g826 +g1040 +tp3798 +a(g440 +V$EXIT_FAILURE +p3799 +tp3800 +a(g826 +V\u000a +p3801 +tp3802 +a(g8 +V# else\u000a +p3803 +tp3804 +a(g8 +V# $echo "$modename: using $tagname tagged configuration"\u000a +p3805 +tp3806 +a(g826 +g2290 +tp3807 +a(g744 +Vfi +p3808 +tp3809 +a(g826 +V\u000a +p3810 +tp3811 +a(g705 +g1164 +tp3812 +a(g705 +g1164 +tp3813 +a(g826 +V\u000a +p3814 +tp3815 +a(g744 +Vesac +p3816 +tp3817 +a(g826 +V\u000a +p3818 +tp3819 +a(g744 +Vfi +p3820 +tp3821 +a(g826 +V\u000a +p3822 +tp3823 +a(g412 +g1160 +tp3824 +a(g826 +V\u000a\u000a\u000a +p3825 +tp3826 +a(g8 +V# func_extract_an_archive dir oldlib\u000a +p3827 +tp3828 +a(g826 +Vfunc_extract_an_archive +p3829 +tp3830 +a(g826 +g1040 +tp3831 +a(g412 +g1959 +tp3832 +a(g412 +g1533 +tp3833 +a(g826 +V\u000a +p3834 +tp3835 +a(g412 +g2166 +tp3836 +a(g826 +V\u000a +p3837 +tp3838 +a(g440 +Vf_ex_an_ar_dir +p3839 +tp3840 +a(g412 +g1010 +tp3841 +a(g157 +g1029 +tp3842 +a(g440 +V$1 +p3843 +tp3844 +a(g157 +g1029 +tp3845 +a(g705 +g1164 +tp3846 +a(g826 +g1040 +tp3847 +a(g681 +Vshift +p3848 +tp3849 +a(g826 +V\u000a +p3850 +tp3851 +a(g440 +Vf_ex_an_ar_oldlib +p3852 +tp3853 +a(g412 +g1010 +tp3854 +a(g157 +g1029 +tp3855 +a(g440 +V$1 +p3856 +tp3857 +a(g157 +g1029 +tp3858 +a(g826 +V\u000a\u000a +p3859 +tp3860 +a(g440 +V$show +p3861 +tp3862 +a(g826 +g1040 +tp3863 +a(g157 +g1029 +tp3864 +a(g157 +V(cd +p3865 +tp3866 +a(g440 +V$f_ex_an_ar_dir +p3867 +tp3868 +a(g157 +V && +p3869 +tp3870 +a(g440 +V$AR +p3871 +tp3872 +a(g157 +V x +p3873 +tp3874 +a(g440 +V$f_ex_an_ar_oldlib +p3875 +tp3876 +a(g157 +g1533 +tp3877 +a(g157 +g1029 +tp3878 +a(g826 +V\u000a +p3879 +tp3880 +a(g440 +V$run +p3881 +tp3882 +a(g826 +g1040 +tp3883 +a(g681 +Veval +p3884 +tp3885 +a(g826 +g1040 +tp3886 +a(g157 +g1029 +tp3887 +a(g157 +V(cd \u005c$f_ex_an_ar_dir && +p3888 +tp3889 +a(g440 +V$AR +p3890 +tp3891 +a(g157 +V x \u005c$f_ex_an_ar_oldlib) +p3892 +tp3893 +a(g157 +g1029 +tp3894 +a(g826 +g1040 +tp3895 +a(g412 +V|| +p3896 +tp3897 +a(g826 +g1040 +tp3898 +a(g681 +Vexit +p3899 +tp3900 +a(g826 +g1040 +tp3901 +a(g440 +V$? +p3902 +tp3903 +a(g826 +V\u000a +p3904 +tp3905 +a(g744 +Vif +p3906 +tp3907 +a(g826 +g1040 +tp3908 +a(g412 +g1959 +tp3909 +a(g440 +V$AR +p3910 +tp3911 +a(g826 +g1040 +tp3912 +a(g826 +Vt +p3913 +tp3914 +a(g826 +g1040 +tp3915 +a(g157 +g1029 +tp3916 +a(g440 +V$f_ex_an_ar_oldlib +p3917 +tp3918 +a(g157 +g1029 +tp3919 +a(g826 +g1040 +tp3920 +a(g705 +g1075 +tp3921 +a(g826 +g1040 +tp3922 +a(g826 +Vsort +p3923 +tp3924 +a(g826 +g1040 +tp3925 +a(g705 +g1075 +tp3926 +a(g826 +g1040 +tp3927 +a(g826 +Vsort +p3928 +tp3929 +a(g826 +g1040 +tp3930 +a(g826 +V-uc +p3931 +tp3932 +a(g826 +g1040 +tp3933 +a(g826 +V>/dev/null +p3934 +tp3935 +a(g826 +g1040 +tp3936 +a(g32 +g1782 +tp3937 +a(g826 +g1778 +tp3938 +a(g705 +g1780 +tp3939 +a(g32 +g1107 +tp3940 +a(g412 +g1533 +tp3941 +a(g705 +g1164 +tp3942 +a(g826 +g1040 +tp3943 +a(g744 +Vthen +p3944 +tp3945 +a(g826 +V\u000a +p3946 +tp3947 +a(g826 +g1314 +tp3948 +a(g826 +V\u000a +p3949 +tp3950 +a(g744 +Velse +p3951 +tp3952 +a(g826 +V\u000a +p3953 +tp3954 +a(g440 +V$echo +p3955 +tp3956 +a(g826 +g1040 +tp3957 +a(g157 +g1029 +tp3958 +a(g440 +V$modename +p3959 +tp3960 +a(g157 +V: ERROR: object name conflicts: +p3961 +tp3962 +a(g440 +V$f_ex_an_ar_dir +p3963 +tp3964 +a(g157 +g1886 +tp3965 +a(g440 +V$f_ex_an_ar_oldlib +p3966 +tp3967 +a(g157 +g1029 +tp3968 +a(g826 +g1040 +tp3969 +a(g32 +g1107 +tp3970 +a(g826 +g1778 +tp3971 +a(g705 +g1780 +tp3972 +a(g32 +g1782 +tp3973 +a(g826 +V\u000a +p3974 +tp3975 +a(g681 +Vexit +p3976 +tp3977 +a(g826 +g1040 +tp3978 +a(g440 +V$EXIT_FAILURE +p3979 +tp3980 +a(g826 +V\u000a +p3981 +tp3982 +a(g744 +Vfi +p3983 +tp3984 +a(g826 +V\u000a +p3985 +tp3986 +a(g412 +g1160 +tp3987 +a(g826 +V\u000a\u000a +p3988 +tp3989 +a(g8 +V# func_extract_archives gentop oldlib ...\u000a +p3990 +tp3991 +a(g826 +Vfunc_extract_archives +p3992 +tp3993 +a(g826 +g1040 +tp3994 +a(g412 +g1959 +tp3995 +a(g412 +g1533 +tp3996 +a(g826 +V\u000a +p3997 +tp3998 +a(g412 +g2166 +tp3999 +a(g826 +V\u000a +p4000 +tp4001 +a(g440 +Vmy_gentop +p4002 +tp4003 +a(g412 +g1010 +tp4004 +a(g157 +g1029 +tp4005 +a(g440 +V$1 +p4006 +tp4007 +a(g157 +g1029 +tp4008 +a(g705 +g1164 +tp4009 +a(g826 +g1040 +tp4010 +a(g681 +Vshift +p4011 +tp4012 +a(g826 +V\u000a +p4013 +tp4014 +a(g440 +Vmy_oldlibs +p4015 +tp4016 +a(g412 +g1010 +tp4017 +a(g125 +V${ +p4018 +tp4019 +a(g440 +g1107 +tp4020 +a(g705 +g1375 +tp4021 +a(g157 +g1029 +tp4022 +a(g440 +V$@ +p4023 +tp4024 +a(g157 +g1029 +tp4025 +a(g125 +g1160 +tp4026 +a(g826 +V\u000a +p4027 +tp4028 +a(g440 +Vmy_oldobjs +p4029 +tp4030 +a(g412 +g1010 +tp4031 +a(g157 +V"" +p4032 +tp4033 +a(g826 +V\u000a +p4034 +tp4035 +a(g440 +Vmy_xlib +p4036 +tp4037 +a(g412 +g1010 +tp4038 +a(g157 +V"" +p4039 +tp4040 +a(g826 +V\u000a +p4041 +tp4042 +a(g440 +Vmy_xabs +p4043 +tp4044 +a(g412 +g1010 +tp4045 +a(g157 +V"" +p4046 +tp4047 +a(g826 +V\u000a +p4048 +tp4049 +a(g440 +Vmy_xdir +p4050 +tp4051 +a(g412 +g1010 +tp4052 +a(g157 +V"" +p4053 +tp4054 +a(g826 +V\u000a +p4055 +tp4056 +a(g440 +Vmy_status +p4057 +tp4058 +a(g412 +g1010 +tp4059 +a(g157 +V"" +p4060 +tp4061 +a(g826 +V\u000a\u000a +p4062 +tp4063 +a(g440 +V$show +p4064 +tp4065 +a(g826 +g1040 +tp4066 +a(g157 +g1029 +tp4067 +a(g125 +V${ +p4068 +tp4069 +a(g440 +Vrm +p4070 +tp4071 +a(g125 +g1160 +tp4072 +a(g157 +Vr +p4073 +tp4074 +a(g440 +V$my_gentop +p4075 +tp4076 +a(g157 +g1029 +tp4077 +a(g826 +V\u000a +p4078 +tp4079 +a(g440 +V$run +p4080 +tp4081 +a(g826 +g1040 +tp4082 +a(g125 +V${ +p4083 +tp4084 +a(g440 +Vrm +p4085 +tp4086 +a(g125 +g1160 +tp4087 +a(g826 +Vr +p4088 +tp4089 +a(g826 +g1040 +tp4090 +a(g157 +g1029 +tp4091 +a(g440 +V$my_gentop +p4092 +tp4093 +a(g157 +g1029 +tp4094 +a(g826 +V\u000a +p4095 +tp4096 +a(g440 +V$show +p4097 +tp4098 +a(g826 +g1040 +tp4099 +a(g157 +g1029 +tp4100 +a(g440 +V$mkdir +p4101 +tp4102 +a(g157 +g1040 +tp4103 +a(g440 +V$my_gentop +p4104 +tp4105 +a(g157 +g1029 +tp4106 +a(g826 +V\u000a +p4107 +tp4108 +a(g440 +V$run +p4109 +tp4110 +a(g826 +g1040 +tp4111 +a(g440 +V$mkdir +p4112 +tp4113 +a(g826 +g1040 +tp4114 +a(g157 +g1029 +tp4115 +a(g440 +V$my_gentop +p4116 +tp4117 +a(g157 +g1029 +tp4118 +a(g826 +V\u000a +p4119 +tp4120 +a(g440 +Vmy_status +p4121 +tp4122 +a(g412 +g1010 +tp4123 +a(g440 +V$? +p4124 +tp4125 +a(g826 +V\u000a +p4126 +tp4127 +a(g744 +Vif +p4128 +tp4129 +a(g826 +g1040 +tp4130 +a(g681 +Vtest +p4131 +tp4132 +a(g826 +g1040 +tp4133 +a(g157 +g1029 +tp4134 +a(g440 +V$my_status +p4135 +tp4136 +a(g157 +g1029 +tp4137 +a(g826 +g1040 +tp4138 +a(g826 +V-ne +p4139 +tp4140 +a(g826 +g1040 +tp4141 +a(g32 +g1100 +tp4142 +a(g826 +g1040 +tp4143 +a(g412 +V&& +p4144 +tp4145 +a(g826 +g1040 +tp4146 +a(g681 +Vtest +p4147 +tp4148 +a(g826 +g1040 +tp4149 +a(g826 +g1738 +tp4150 +a(g826 +g1040 +tp4151 +a(g826 +V-d +p4152 +tp4153 +a(g826 +g1040 +tp4154 +a(g157 +g1029 +tp4155 +a(g440 +V$my_gentop +p4156 +tp4157 +a(g157 +g1029 +tp4158 +a(g705 +g1164 +tp4159 +a(g826 +g1040 +tp4160 +a(g744 +Vthen +p4161 +tp4162 +a(g826 +V\u000a +p4163 +tp4164 +a(g681 +Vexit +p4165 +tp4166 +a(g826 +g1040 +tp4167 +a(g440 +V$my_status +p4168 +tp4169 +a(g826 +V\u000a +p4170 +tp4171 +a(g744 +Vfi +p4172 +tp4173 +a(g826 +V\u000a\u000a +p4174 +tp4175 +a(g744 +Vfor +p4176 +tp4177 +a(g826 +g1040 +tp4178 +a(g826 +Vmy_xlib +p4179 +tp4180 +a(g826 +g1040 +tp4181 +a(g826 +Vin +p4182 +tp4183 +a(g826 +g1040 +tp4184 +a(g440 +V$my_oldlibs +p4185 +tp4186 +a(g705 +g1164 +tp4187 +a(g826 +g1040 +tp4188 +a(g744 +Vdo +p4189 +tp4190 +a(g826 +V\u000a +p4191 +tp4192 +a(g8 +V# Extract the objects.\u000a +p4193 +tp4194 +a(g826 +V +p4195 +tp4196 +a(g744 +Vcase +p4197 +tp4198 +a(g826 +g1040 +tp4199 +a(g440 +V$my_xlib +p4200 +tp4201 +a(g826 +g1040 +tp4202 +a(g826 +Vin +p4203 +tp4204 +a(g826 +V\u000a +p4205 +tp4206 +a(g412 +g2838 +tp4207 +a(g213 +V\u005c\u005c +p4208 +tp4209 +a(g826 +g1886 +tp4210 +a(g412 +g2876 +tp4211 +a(g826 +g1562 +tp4212 +a(g826 +g1040 +tp4213 +a(g705 +g1075 +tp4214 +a(g826 +g1040 +tp4215 +a(g412 +g2838 +tp4216 +a(g826 +VA-Za-z +p4217 +tp4218 +a(g412 +g2876 +tp4219 +a(g826 +g1314 +tp4220 +a(g412 +g2838 +tp4221 +a(g213 +V\u005c\u005c +p4222 +tp4223 +a(g826 +g1886 +tp4224 +a(g412 +g2876 +tp4225 +a(g826 +g1562 +tp4226 +a(g412 +g1533 +tp4227 +a(g826 +g1040 +tp4228 +a(g440 +Vmy_xabs +p4229 +tp4230 +a(g412 +g1010 +tp4231 +a(g157 +g1029 +tp4232 +a(g440 +V$my_xlib +p4233 +tp4234 +a(g157 +g1029 +tp4235 +a(g826 +g1040 +tp4236 +a(g705 +g1164 +tp4237 +a(g705 +g1164 +tp4238 +a(g826 +V\u000a +p4239 +tp4240 +a(g826 +g1562 +tp4241 +a(g412 +g1533 +tp4242 +a(g826 +g1040 +tp4243 +a(g440 +Vmy_xabs +p4244 +tp4245 +a(g412 +g1010 +tp4246 +a(g101 +g1065 +tp4247 +a(g681 +Vpwd +p4248 +tp4249 +a(g101 +g1065 +tp4250 +a(g157 +g1029 +tp4251 +a(g157 +g1886 +tp4252 +a(g440 +V$my_xlib +p4253 +tp4254 +a(g157 +g1029 +tp4255 +a(g826 +g1040 +tp4256 +a(g705 +g1164 +tp4257 +a(g705 +g1164 +tp4258 +a(g826 +V\u000a +p4259 +tp4260 +a(g744 +Vesac +p4261 +tp4262 +a(g826 +V\u000a +p4263 +tp4264 +a(g440 +Vmy_xlib +p4265 +tp4266 +a(g412 +g1010 +tp4267 +a(g101 +g1065 +tp4268 +a(g440 +V$echo +p4269 +tp4270 +a(g826 +g1040 +tp4271 +a(g157 +g1029 +tp4272 +a(g157 +g1191 +tp4273 +a(g440 +V$my_xlib +p4274 +tp4275 +a(g157 +g1029 +tp4276 +a(g826 +g1040 +tp4277 +a(g705 +g1075 +tp4278 +a(g826 +g1040 +tp4279 +a(g440 +V$Xsed +p4280 +tp4281 +a(g826 +g1040 +tp4282 +a(g826 +V-e +p4283 +tp4284 +a(g826 +g1040 +tp4285 +a(g229 +V's%^.*/%%' +p4286 +tp4287 +a(g101 +g1065 +tp4288 +a(g826 +V\u000a +p4289 +tp4290 +a(g440 +Vmy_xdir +p4291 +tp4292 +a(g412 +g1010 +tp4293 +a(g157 +g1029 +tp4294 +a(g440 +V$my_gentop +p4295 +tp4296 +a(g157 +g1886 +tp4297 +a(g440 +V$my_xlib +p4298 +tp4299 +a(g157 +g1029 +tp4300 +a(g826 +V\u000a\u000a +p4301 +tp4302 +a(g440 +V$show +p4303 +tp4304 +a(g826 +g1040 +tp4305 +a(g157 +g1029 +tp4306 +a(g125 +V${ +p4307 +tp4308 +a(g440 +Vrm +p4309 +tp4310 +a(g125 +g1160 +tp4311 +a(g157 +Vr +p4312 +tp4313 +a(g440 +V$my_xdir +p4314 +tp4315 +a(g157 +g1029 +tp4316 +a(g826 +V\u000a +p4317 +tp4318 +a(g440 +V$run +p4319 +tp4320 +a(g826 +g1040 +tp4321 +a(g125 +V${ +p4322 +tp4323 +a(g440 +Vrm +p4324 +tp4325 +a(g125 +g1160 +tp4326 +a(g826 +g4088 +tp4327 +a(g826 +g1040 +tp4328 +a(g157 +g1029 +tp4329 +a(g440 +V$my_xdir +p4330 +tp4331 +a(g157 +g1029 +tp4332 +a(g826 +V\u000a +p4333 +tp4334 +a(g440 +V$show +p4335 +tp4336 +a(g826 +g1040 +tp4337 +a(g157 +g1029 +tp4338 +a(g440 +V$mkdir +p4339 +tp4340 +a(g157 +g1040 +tp4341 +a(g440 +V$my_xdir +p4342 +tp4343 +a(g157 +g1029 +tp4344 +a(g826 +V\u000a +p4345 +tp4346 +a(g440 +V$run +p4347 +tp4348 +a(g826 +g1040 +tp4349 +a(g440 +V$mkdir +p4350 +tp4351 +a(g826 +g1040 +tp4352 +a(g157 +g1029 +tp4353 +a(g440 +V$my_xdir +p4354 +tp4355 +a(g157 +g1029 +tp4356 +a(g826 +V\u000a +p4357 +tp4358 +a(g440 +Vexit_status +p4359 +tp4360 +a(g412 +g1010 +tp4361 +a(g440 +V$? +p4362 +tp4363 +a(g826 +V\u000a +p4364 +tp4365 +a(g744 +Vif +p4366 +tp4367 +a(g826 +g1040 +tp4368 +a(g681 +Vtest +p4369 +tp4370 +a(g826 +g1040 +tp4371 +a(g157 +g1029 +tp4372 +a(g440 +V$exit_status +p4373 +tp4374 +a(g157 +g1029 +tp4375 +a(g826 +g1040 +tp4376 +a(g826 +V-ne +p4377 +tp4378 +a(g826 +g1040 +tp4379 +a(g32 +g1100 +tp4380 +a(g826 +g1040 +tp4381 +a(g412 +V&& +p4382 +tp4383 +a(g826 +g1040 +tp4384 +a(g681 +Vtest +p4385 +tp4386 +a(g826 +g1040 +tp4387 +a(g826 +g1738 +tp4388 +a(g826 +g1040 +tp4389 +a(g826 +V-d +p4390 +tp4391 +a(g826 +g1040 +tp4392 +a(g157 +g1029 +tp4393 +a(g440 +V$my_xdir +p4394 +tp4395 +a(g157 +g1029 +tp4396 +a(g705 +g1164 +tp4397 +a(g826 +g1040 +tp4398 +a(g744 +Vthen +p4399 +tp4400 +a(g826 +V\u000a +p4401 +tp4402 +a(g681 +Vexit +p4403 +tp4404 +a(g826 +g1040 +tp4405 +a(g440 +V$exit_status +p4406 +tp4407 +a(g826 +V\u000a +p4408 +tp4409 +a(g744 +Vfi +p4410 +tp4411 +a(g826 +V\u000a +p4412 +tp4413 +a(g744 +Vcase +p4414 +tp4415 +a(g826 +g1040 +tp4416 +a(g440 +V$host +p4417 +tp4418 +a(g826 +g1040 +tp4419 +a(g826 +Vin +p4420 +tp4421 +a(g826 +V\u000a +p4422 +tp4423 +a(g826 +V*-darwin* +p4424 +tp4425 +a(g412 +g1533 +tp4426 +a(g826 +V\u000a +p4427 +tp4428 +a(g440 +V$show +p4429 +tp4430 +a(g826 +g1040 +tp4431 +a(g157 +g1029 +tp4432 +a(g157 +VExtracting +p4433 +tp4434 +a(g440 +V$my_xabs +p4435 +tp4436 +a(g157 +g1029 +tp4437 +a(g826 +V\u000a +p4438 +tp4439 +a(g8 +V# Do not bother doing anything if just a dry run\u000a +p4440 +tp4441 +a(g826 +g2290 +tp4442 +a(g744 +Vif +p4443 +tp4444 +a(g826 +g1040 +tp4445 +a(g681 +Vtest +p4446 +tp4447 +a(g826 +g1040 +tp4448 +a(g826 +V-z +p4449 +tp4450 +a(g826 +g1040 +tp4451 +a(g157 +g1029 +tp4452 +a(g440 +V$run +p4453 +tp4454 +a(g157 +g1029 +tp4455 +a(g705 +g1164 +tp4456 +a(g826 +g1040 +tp4457 +a(g744 +Vthen +p4458 +tp4459 +a(g826 +V\u000a +p4460 +tp4461 +a(g440 +Vdarwin_orig_dir +p4462 +tp4463 +a(g412 +g1010 +tp4464 +a(g101 +g1065 +tp4465 +a(g681 +Vpwd +p4466 +tp4467 +a(g101 +g1065 +tp4468 +a(g826 +V\u000a +p4469 +tp4470 +a(g681 +Vcd +p4471 +tp4472 +a(g826 +g1040 +tp4473 +a(g440 +V$my_xdir +p4474 +tp4475 +a(g826 +g1040 +tp4476 +a(g412 +V|| +p4477 +tp4478 +a(g826 +g1040 +tp4479 +a(g681 +Vexit +p4480 +tp4481 +a(g826 +g1040 +tp4482 +a(g440 +V$? +p4483 +tp4484 +a(g826 +V\u000a +p4485 +tp4486 +a(g440 +Vdarwin_archive +p4487 +tp4488 +a(g412 +g1010 +tp4489 +a(g440 +V$my_xabs +p4490 +tp4491 +a(g826 +V\u000a +p4492 +tp4493 +a(g440 +Vdarwin_curdir +p4494 +tp4495 +a(g412 +g1010 +tp4496 +a(g101 +g1065 +tp4497 +a(g681 +Vpwd +p4498 +tp4499 +a(g101 +g1065 +tp4500 +a(g826 +V\u000a +p4501 +tp4502 +a(g440 +Vdarwin_base_archive +p4503 +tp4504 +a(g412 +g1010 +tp4505 +a(g101 +g1065 +tp4506 +a(g440 +V$echo +p4507 +tp4508 +a(g826 +g1040 +tp4509 +a(g157 +g1029 +tp4510 +a(g157 +g1191 +tp4511 +a(g440 +V$darwin_archive +p4512 +tp4513 +a(g157 +g1029 +tp4514 +a(g826 +g1040 +tp4515 +a(g705 +g1075 +tp4516 +a(g826 +g1040 +tp4517 +a(g440 +V$Xsed +p4518 +tp4519 +a(g826 +g1040 +tp4520 +a(g826 +V-e +p4521 +tp4522 +a(g826 +g1040 +tp4523 +a(g229 +V's%^.*/%%' +p4524 +tp4525 +a(g101 +g1065 +tp4526 +a(g826 +V\u000a +p4527 +tp4528 +a(g440 +Vdarwin_arches +p4529 +tp4530 +a(g412 +g1010 +tp4531 +a(g101 +g1065 +tp4532 +a(g826 +Vlipo +p4533 +tp4534 +a(g826 +g1040 +tp4535 +a(g826 +V-info +p4536 +tp4537 +a(g826 +g1040 +tp4538 +a(g157 +g1029 +tp4539 +a(g440 +V$darwin_archive +p4540 +tp4541 +a(g157 +g1029 +tp4542 +a(g826 +g1040 +tp4543 +a(g32 +g1782 +tp4544 +a(g826 +V>/dev/null +p4545 +tp4546 +a(g826 +g1040 +tp4547 +a(g705 +g1075 +tp4548 +a(g826 +g1040 +tp4549 +a(g440 +V$EGREP +p4550 +tp4551 +a(g826 +g1040 +tp4552 +a(g826 +VArchitectures +p4553 +tp4554 +a(g826 +g1040 +tp4555 +a(g32 +g1782 +tp4556 +a(g826 +V>/dev/null +p4557 +tp4558 +a(g101 +g1065 +tp4559 +a(g826 +V\u000a +p4560 +tp4561 +a(g744 +Vif +p4562 +tp4563 +a(g826 +g1040 +tp4564 +a(g681 +Vtest +p4565 +tp4566 +a(g826 +g1040 +tp4567 +a(g826 +V-n +p4568 +tp4569 +a(g826 +g1040 +tp4570 +a(g157 +g1029 +tp4571 +a(g440 +V$darwin_arches +p4572 +tp4573 +a(g157 +g1029 +tp4574 +a(g705 +g1164 +tp4575 +a(g826 +g1040 +tp4576 +a(g744 +Vthen +p4577 +tp4578 +a(g826 +V \u000a +p4579 +tp4580 +a(g440 +Vdarwin_arches +p4581 +tp4582 +a(g412 +g1010 +tp4583 +a(g101 +g1065 +tp4584 +a(g681 +Vecho +p4585 +tp4586 +a(g826 +g1040 +tp4587 +a(g157 +g1029 +tp4588 +a(g440 +V$darwin_arches +p4589 +tp4590 +a(g157 +g1029 +tp4591 +a(g826 +g1040 +tp4592 +a(g705 +g1075 +tp4593 +a(g826 +g1040 +tp4594 +a(g440 +V$SED +p4595 +tp4596 +a(g826 +g1040 +tp4597 +a(g826 +V-e +p4598 +tp4599 +a(g826 +g1040 +tp4600 +a(g229 +V's/.*are://' +p4601 +tp4602 +a(g101 +g1065 +tp4603 +a(g826 +V\u000a +p4604 +tp4605 +a(g440 +Vdarwin_arch +p4606 +tp4607 +a(g412 +g1010 +tp4608 +a(g826 +V\u000a +p4609 +tp4610 +a(g440 +V$show +p4611 +tp4612 +a(g826 +g1040 +tp4613 +a(g157 +g1029 +tp4614 +a(g440 +V$darwin_base_archive +p4615 +tp4616 +a(g157 +V has multiple architectures +p4617 +tp4618 +a(g440 +V$darwin_arches +p4619 +tp4620 +a(g157 +g1029 +tp4621 +a(g826 +V\u000a +p4622 +tp4623 +a(g744 +Vfor +p4624 +tp4625 +a(g826 +g1040 +tp4626 +a(g826 +Vdarwin_arch +p4627 +tp4628 +a(g826 +g1040 +tp4629 +a(g826 +Vin +p4630 +tp4631 +a(g826 +V +p4632 +tp4633 +a(g440 +V$darwin_arches +p4634 +tp4635 +a(g826 +g1040 +tp4636 +a(g705 +g1164 +tp4637 +a(g826 +g1040 +tp4638 +a(g744 +Vdo +p4639 +tp4640 +a(g826 +V\u000a +p4641 +tp4642 +a(g826 +Vmkdir +p4643 +tp4644 +a(g826 +g1040 +tp4645 +a(g826 +V-p +p4646 +tp4647 +a(g826 +g1040 +tp4648 +a(g157 +g1029 +tp4649 +a(g157 +Vunfat- +p4650 +tp4651 +a(g440 +V$$ +p4652 +tp4653 +a(g157 +g1886 +tp4654 +a(g125 +V${ +p4655 +tp4656 +a(g440 +Vdarwin_base_archive +p4657 +tp4658 +a(g125 +g1160 +tp4659 +a(g157 +g2185 +tp4660 +a(g125 +V${ +p4661 +tp4662 +a(g440 +Vdarwin_arch +p4663 +tp4664 +a(g125 +g1160 +tp4665 +a(g157 +g1029 +tp4666 +a(g826 +V\u000a +p4667 +tp4668 +a(g826 +Vlipo +p4669 +tp4670 +a(g826 +g1040 +tp4671 +a(g826 +V-thin +p4672 +tp4673 +a(g826 +g1040 +tp4674 +a(g440 +V$darwin_arch +p4675 +tp4676 +a(g826 +g1040 +tp4677 +a(g826 +V-output +p4678 +tp4679 +a(g826 +g1040 +tp4680 +a(g157 +g1029 +tp4681 +a(g157 +Vunfat- +p4682 +tp4683 +a(g440 +V$$ +p4684 +tp4685 +a(g157 +g1886 +tp4686 +a(g125 +V${ +p4687 +tp4688 +a(g440 +Vdarwin_base_archive +p4689 +tp4690 +a(g125 +g1160 +tp4691 +a(g157 +g2185 +tp4692 +a(g125 +V${ +p4693 +tp4694 +a(g440 +Vdarwin_arch +p4695 +tp4696 +a(g125 +g1160 +tp4697 +a(g157 +g1886 +tp4698 +a(g125 +V${ +p4699 +tp4700 +a(g440 +Vdarwin_base_archive +p4701 +tp4702 +a(g125 +g1160 +tp4703 +a(g157 +g1029 +tp4704 +a(g826 +g1040 +tp4705 +a(g157 +g1029 +tp4706 +a(g125 +V${ +p4707 +tp4708 +a(g440 +Vdarwin_archive +p4709 +tp4710 +a(g125 +g1160 +tp4711 +a(g157 +g1029 +tp4712 +a(g826 +V\u000a +p4713 +tp4714 +a(g681 +Vcd +p4715 +tp4716 +a(g826 +g1040 +tp4717 +a(g157 +g1029 +tp4718 +a(g157 +Vunfat- +p4719 +tp4720 +a(g440 +V$$ +p4721 +tp4722 +a(g157 +g1886 +tp4723 +a(g125 +V${ +p4724 +tp4725 +a(g440 +Vdarwin_base_archive +p4726 +tp4727 +a(g125 +g1160 +tp4728 +a(g157 +g2185 +tp4729 +a(g125 +V${ +p4730 +tp4731 +a(g440 +Vdarwin_arch +p4732 +tp4733 +a(g125 +g1160 +tp4734 +a(g157 +g1029 +tp4735 +a(g826 +V\u000a +p4736 +tp4737 +a(g826 +Vfunc_extract_an_archive +p4738 +tp4739 +a(g826 +g1040 +tp4740 +a(g157 +V"`pwd`" +p4741 +tp4742 +a(g826 +g1040 +tp4743 +a(g157 +g1029 +tp4744 +a(g125 +V${ +p4745 +tp4746 +a(g440 +Vdarwin_base_archive +p4747 +tp4748 +a(g125 +g1160 +tp4749 +a(g157 +g1029 +tp4750 +a(g826 +V\u000a +p4751 +tp4752 +a(g681 +Vcd +p4753 +tp4754 +a(g826 +g1040 +tp4755 +a(g157 +g1029 +tp4756 +a(g440 +V$darwin_curdir +p4757 +tp4758 +a(g157 +g1029 +tp4759 +a(g826 +V\u000a +p4760 +tp4761 +a(g440 +V$rm +p4762 +tp4763 +a(g826 +g1040 +tp4764 +a(g157 +g1029 +tp4765 +a(g157 +Vunfat- +p4766 +tp4767 +a(g440 +V$$ +p4768 +tp4769 +a(g157 +g1886 +tp4770 +a(g125 +V${ +p4771 +tp4772 +a(g440 +Vdarwin_base_archive +p4773 +tp4774 +a(g125 +g1160 +tp4775 +a(g157 +g2185 +tp4776 +a(g125 +V${ +p4777 +tp4778 +a(g440 +Vdarwin_arch +p4779 +tp4780 +a(g125 +g1160 +tp4781 +a(g157 +g1886 +tp4782 +a(g125 +V${ +p4783 +tp4784 +a(g440 +Vdarwin_base_archive +p4785 +tp4786 +a(g125 +g1160 +tp4787 +a(g157 +g1029 +tp4788 +a(g826 +V\u000a +p4789 +tp4790 +a(g744 +Vdone +p4791 +tp4792 +a(g826 +g1040 +tp4793 +a(g8 +V# $darwin_arches\u000a +p4794 +tp4795 +a(g826 +V +p4796 +tp4797 +a(g8 +V## Okay now we have a bunch of thin objects, gotta fatten them up :)\u000a +p4798 +tp4799 +a(g826 +V +p4800 +tp4801 +a(g440 +Vdarwin_filelist +p4802 +tp4803 +a(g412 +g1010 +tp4804 +a(g101 +g1065 +tp4805 +a(g826 +Vfind +p4806 +tp4807 +a(g826 +g1040 +tp4808 +a(g826 +Vunfat- +p4809 +tp4810 +a(g440 +V$$ +p4811 +tp4812 +a(g826 +g1040 +tp4813 +a(g826 +V-type +p4814 +tp4815 +a(g826 +g1040 +tp4816 +a(g826 +Vf +p4817 +tp4818 +a(g826 +g1040 +tp4819 +a(g826 +V-name +p4820 +tp4821 +a(g826 +g1040 +tp4822 +a(g213 +V\u005c* +p4823 +tp4824 +a(g826 +V.o +p4825 +tp4826 +a(g826 +g1040 +tp4827 +a(g826 +V-print +p4828 +tp4829 +a(g826 +g1040 +tp4830 +a(g826 +V-o +p4831 +tp4832 +a(g826 +g1040 +tp4833 +a(g826 +V-name +p4834 +tp4835 +a(g826 +g1040 +tp4836 +a(g213 +V\u005c* +p4837 +tp4838 +a(g826 +V.lo +p4839 +tp4840 +a(g826 +g1040 +tp4841 +a(g826 +V-print +p4842 +tp4843 +a(g705 +g1075 +tp4844 +a(g826 +g1040 +tp4845 +a(g826 +Vxargs +p4846 +tp4847 +a(g826 +g1040 +tp4848 +a(g826 +Vbasename +p4849 +tp4850 +a(g826 +g1040 +tp4851 +a(g705 +g1075 +tp4852 +a(g826 +g1040 +tp4853 +a(g826 +Vsort +p4854 +tp4855 +a(g826 +g1040 +tp4856 +a(g826 +V-u +p4857 +tp4858 +a(g826 +g1040 +tp4859 +a(g705 +g1075 +tp4860 +a(g826 +g1040 +tp4861 +a(g440 +V$NL2SP +p4862 +tp4863 +a(g101 +g1065 +tp4864 +a(g826 +V\u000a +p4865 +tp4866 +a(g440 +Vdarwin_file +p4867 +tp4868 +a(g412 +g1010 +tp4869 +a(g826 +V\u000a +p4870 +tp4871 +a(g440 +Vdarwin_files +p4872 +tp4873 +a(g412 +g1010 +tp4874 +a(g826 +V\u000a +p4875 +tp4876 +a(g744 +Vfor +p4877 +tp4878 +a(g826 +g1040 +tp4879 +a(g826 +Vdarwin_file +p4880 +tp4881 +a(g826 +g1040 +tp4882 +a(g826 +Vin +p4883 +tp4884 +a(g826 +g1040 +tp4885 +a(g440 +V$darwin_filelist +p4886 +tp4887 +a(g705 +g1164 +tp4888 +a(g826 +g1040 +tp4889 +a(g744 +Vdo +p4890 +tp4891 +a(g826 +V\u000a +p4892 +tp4893 +a(g440 +Vdarwin_files +p4894 +tp4895 +a(g412 +g1010 +tp4896 +a(g101 +g1065 +tp4897 +a(g826 +Vfind +p4898 +tp4899 +a(g826 +g1040 +tp4900 +a(g826 +Vunfat- +p4901 +tp4902 +a(g440 +V$$ +p4903 +tp4904 +a(g826 +g1040 +tp4905 +a(g826 +V-name +p4906 +tp4907 +a(g826 +g1040 +tp4908 +a(g440 +V$darwin_file +p4909 +tp4910 +a(g826 +g1040 +tp4911 +a(g826 +V-print +p4912 +tp4913 +a(g826 +g1040 +tp4914 +a(g705 +g1075 +tp4915 +a(g826 +g1040 +tp4916 +a(g440 +V$NL2SP +p4917 +tp4918 +a(g101 +g1065 +tp4919 +a(g826 +V\u000a +p4920 +tp4921 +a(g826 +Vlipo +p4922 +tp4923 +a(g826 +g1040 +tp4924 +a(g826 +V-create +p4925 +tp4926 +a(g826 +g1040 +tp4927 +a(g826 +V-output +p4928 +tp4929 +a(g826 +g1040 +tp4930 +a(g157 +g1029 +tp4931 +a(g440 +V$darwin_file +p4932 +tp4933 +a(g157 +g1029 +tp4934 +a(g826 +g1040 +tp4935 +a(g440 +V$darwin_files +p4936 +tp4937 +a(g826 +V\u000a +p4938 +tp4939 +a(g744 +Vdone +p4940 +tp4941 +a(g826 +g1040 +tp4942 +a(g8 +V# $darwin_filelist\u000a +p4943 +tp4944 +a(g826 +V +p4945 +tp4946 +a(g125 +V${ +p4947 +tp4948 +a(g440 +Vrm +p4949 +tp4950 +a(g125 +g1160 +tp4951 +a(g826 +g4088 +tp4952 +a(g826 +g1040 +tp4953 +a(g826 +Vunfat- +p4954 +tp4955 +a(g440 +V$$ +p4956 +tp4957 +a(g826 +V\u000a +p4958 +tp4959 +a(g681 +Vcd +p4960 +tp4961 +a(g826 +g1040 +tp4962 +a(g157 +g1029 +tp4963 +a(g440 +V$darwin_orig_dir +p4964 +tp4965 +a(g157 +g1029 +tp4966 +a(g826 +V\u000a +p4967 +tp4968 +a(g744 +Velse +p4969 +tp4970 +a(g826 +V\u000a +p4971 +tp4972 +a(g681 +Vcd +p4973 +tp4974 +a(g826 +g1040 +tp4975 +a(g157 +g1029 +tp4976 +a(g440 +V$darwin_orig_dir +p4977 +tp4978 +a(g157 +g1029 +tp4979 +a(g826 +V\u000a +p4980 +tp4981 +a(g826 +Vfunc_extract_an_archive +p4982 +tp4983 +a(g826 +g1040 +tp4984 +a(g157 +g1029 +tp4985 +a(g440 +V$my_xdir +p4986 +tp4987 +a(g157 +g1029 +tp4988 +a(g826 +g1040 +tp4989 +a(g157 +g1029 +tp4990 +a(g440 +V$my_xabs +p4991 +tp4992 +a(g157 +g1029 +tp4993 +a(g826 +V\u000a +p4994 +tp4995 +a(g744 +Vfi +p4996 +tp4997 +a(g826 +g1040 +tp4998 +a(g8 +V# $darwin_arches\u000a +p4999 +tp5000 +a(g826 +g2290 +tp5001 +a(g744 +Vfi +p5002 +tp5003 +a(g826 +g1040 +tp5004 +a(g8 +V# $run\u000a +p5005 +tp5006 +a(g826 +g2290 +tp5007 +a(g705 +g1164 +tp5008 +a(g705 +g1164 +tp5009 +a(g826 +V\u000a +p5010 +tp5011 +a(g826 +g1562 +tp5012 +a(g412 +g1533 +tp5013 +a(g826 +V\u000a +p5014 +tp5015 +a(g826 +Vfunc_extract_an_archive +p5016 +tp5017 +a(g826 +g1040 +tp5018 +a(g157 +g1029 +tp5019 +a(g440 +V$my_xdir +p5020 +tp5021 +a(g157 +g1029 +tp5022 +a(g826 +g1040 +tp5023 +a(g157 +g1029 +tp5024 +a(g440 +V$my_xabs +p5025 +tp5026 +a(g157 +g1029 +tp5027 +a(g826 +V\u000a +p5028 +tp5029 +a(g705 +g1164 +tp5030 +a(g705 +g1164 +tp5031 +a(g826 +V\u000a +p5032 +tp5033 +a(g744 +Vesac +p5034 +tp5035 +a(g826 +V\u000a +p5036 +tp5037 +a(g440 +Vmy_oldobjs +p5038 +tp5039 +a(g412 +g1010 +tp5040 +a(g157 +g1029 +tp5041 +a(g440 +V$my_oldobjs +p5042 +tp5043 +a(g157 +g1040 +tp5044 +a(g157 +g1029 +tp5045 +a(g101 +g1065 +tp5046 +a(g826 +Vfind +p5047 +tp5048 +a(g826 +g1040 +tp5049 +a(g440 +V$my_xdir +p5050 +tp5051 +a(g826 +g1040 +tp5052 +a(g826 +V-name +p5053 +tp5054 +a(g826 +g1040 +tp5055 +a(g213 +V\u005c* +p5056 +tp5057 +a(g826 +V. +p5058 +tp5059 +a(g440 +V$objext +p5060 +tp5061 +a(g826 +g1040 +tp5062 +a(g826 +V-print +p5063 +tp5064 +a(g826 +g1040 +tp5065 +a(g826 +V-o +p5066 +tp5067 +a(g826 +g1040 +tp5068 +a(g826 +V-name +p5069 +tp5070 +a(g826 +g1040 +tp5071 +a(g213 +V\u005c* +p5072 +tp5073 +a(g826 +V.lo +p5074 +tp5075 +a(g826 +g1040 +tp5076 +a(g826 +V-print +p5077 +tp5078 +a(g826 +g1040 +tp5079 +a(g705 +g1075 +tp5080 +a(g826 +g1040 +tp5081 +a(g440 +V$NL2SP +p5082 +tp5083 +a(g101 +g1065 +tp5084 +a(g826 +V\u000a +p5085 +tp5086 +a(g744 +Vdone +p5087 +tp5088 +a(g826 +V\u000a +p5089 +tp5090 +a(g440 +Vfunc_extract_archives_result +p5091 +tp5092 +a(g412 +g1010 +tp5093 +a(g157 +g1029 +tp5094 +a(g440 +V$my_oldobjs +p5095 +tp5096 +a(g157 +g1029 +tp5097 +a(g826 +V\u000a +p5098 +tp5099 +a(g412 +g1160 +tp5100 +a(g826 +V\u000a +p5101 +tp5102 +a(g8 +V# End of Shell function definitions\u000a +p5103 +tp5104 +a(g8 +V#####################################\u000a +p5105 +tp5106 +a(g826 +V\u000a +p5107 +tp5108 +a(g8 +V# Darwin sucks\u000a +p5109 +tp5110 +a(g681 +Veval +p5111 +tp5112 +a(g826 +g1040 +tp5113 +a(g440 +Vstd_shrext +p5114 +tp5115 +a(g412 +g1010 +tp5116 +a(g213 +V\u005c" +p5117 +tp5118 +a(g440 +V$shrext_cmds +p5119 +tp5120 +a(g213 +V\u005c" +p5121 +tp5122 +a(g826 +V\u000a\u000a +p5123 +tp5124 +a(g440 +Vdisable_libs +p5125 +tp5126 +a(g412 +g1010 +tp5127 +a(g826 +Vno +p5128 +tp5129 +a(g826 +V\u000a\u000a +p5130 +tp5131 +a(g8 +V# Parse our command line options once, thoroughly.\u000a +p5132 +tp5133 +a(g744 +Vwhile +p5134 +tp5135 +a(g826 +g1040 +tp5136 +a(g681 +Vtest +p5137 +tp5138 +a(g826 +g1040 +tp5139 +a(g157 +g1029 +tp5140 +a(g440 +V$# +p5141 +tp5142 +a(g157 +g1029 +tp5143 +a(g826 +g1040 +tp5144 +a(g826 +V-gt +p5145 +tp5146 +a(g826 +g1040 +tp5147 +a(g32 +g1100 +tp5148 +a(g826 +V\u000a +p5149 +tp5150 +a(g744 +Vdo +p5151 +tp5152 +a(g826 +V\u000a +p5153 +tp5154 +a(g440 +Varg +p5155 +tp5156 +a(g412 +g1010 +tp5157 +a(g157 +g1029 +tp5158 +a(g440 +V$1 +p5159 +tp5160 +a(g157 +g1029 +tp5161 +a(g826 +V\u000a +p5162 +tp5163 +a(g681 +Vshift +p5164 +tp5165 +a(g826 +V\u000a\u000a +p5166 +tp5167 +a(g744 +Vcase +p5168 +tp5169 +a(g826 +g1040 +tp5170 +a(g440 +V$arg +p5171 +tp5172 +a(g826 +g1040 +tp5173 +a(g826 +Vin +p5174 +tp5175 +a(g826 +V\u000a +p5176 +tp5177 +a(g826 +V-* +p5178 +tp5179 +a(g412 +g1010 +tp5180 +a(g826 +g1562 +tp5181 +a(g412 +g1533 +tp5182 +a(g826 +g1040 +tp5183 +a(g440 +Voptarg +p5184 +tp5185 +a(g412 +g1010 +tp5186 +a(g101 +g1065 +tp5187 +a(g440 +V$echo +p5188 +tp5189 +a(g826 +g1040 +tp5190 +a(g157 +g1029 +tp5191 +a(g157 +g1191 +tp5192 +a(g440 +V$arg +p5193 +tp5194 +a(g157 +g1029 +tp5195 +a(g826 +g1040 +tp5196 +a(g705 +g1075 +tp5197 +a(g826 +g1040 +tp5198 +a(g440 +V$Xsed +p5199 +tp5200 +a(g826 +g1040 +tp5201 +a(g826 +V-e +p5202 +tp5203 +a(g826 +g1040 +tp5204 +a(g229 +V's/[-_a-zA-Z0-9]*=//' +p5205 +tp5206 +a(g101 +g1065 +tp5207 +a(g826 +g1040 +tp5208 +a(g705 +g1164 +tp5209 +a(g705 +g1164 +tp5210 +a(g826 +V\u000a +p5211 +tp5212 +a(g826 +g1562 +tp5213 +a(g412 +g1533 +tp5214 +a(g826 +g1040 +tp5215 +a(g440 +Voptarg +p5216 +tp5217 +a(g412 +g1010 +tp5218 +a(g826 +g1040 +tp5219 +a(g705 +g1164 +tp5220 +a(g705 +g1164 +tp5221 +a(g826 +V\u000a +p5222 +tp5223 +a(g744 +Vesac +p5224 +tp5225 +a(g826 +V\u000a\u000a +p5226 +tp5227 +a(g8 +V# If the previous option needs an argument, assign it.\u000a +p5228 +tp5229 +a(g826 +V +p5230 +tp5231 +a(g744 +Vif +p5232 +tp5233 +a(g826 +g1040 +tp5234 +a(g681 +Vtest +p5235 +tp5236 +a(g826 +g1040 +tp5237 +a(g826 +V-n +p5238 +tp5239 +a(g826 +g1040 +tp5240 +a(g157 +g1029 +tp5241 +a(g440 +V$prev +p5242 +tp5243 +a(g157 +g1029 +tp5244 +a(g705 +g1164 +tp5245 +a(g826 +g1040 +tp5246 +a(g744 +Vthen +p5247 +tp5248 +a(g826 +V\u000a +p5249 +tp5250 +a(g744 +Vcase +p5251 +tp5252 +a(g826 +g1040 +tp5253 +a(g440 +V$prev +p5254 +tp5255 +a(g826 +g1040 +tp5256 +a(g826 +Vin +p5257 +tp5258 +a(g826 +V\u000a +p5259 +tp5260 +a(g826 +Vexecute_dlfiles +p5261 +tp5262 +a(g412 +g1533 +tp5263 +a(g826 +V\u000a +p5264 +tp5265 +a(g440 +Vexecute_dlfiles +p5266 +tp5267 +a(g412 +g1010 +tp5268 +a(g157 +g1029 +tp5269 +a(g440 +V$execute_dlfiles +p5270 +tp5271 +a(g157 +g1040 +tp5272 +a(g440 +V$arg +p5273 +tp5274 +a(g157 +g1029 +tp5275 +a(g826 +V\u000a +p5276 +tp5277 +a(g705 +g1164 +tp5278 +a(g705 +g1164 +tp5279 +a(g826 +V\u000a +p5280 +tp5281 +a(g826 +Vtag +p5282 +tp5283 +a(g412 +g1533 +tp5284 +a(g826 +V\u000a +p5285 +tp5286 +a(g440 +Vtagname +p5287 +tp5288 +a(g412 +g1010 +tp5289 +a(g157 +g1029 +tp5290 +a(g440 +V$arg +p5291 +tp5292 +a(g157 +g1029 +tp5293 +a(g826 +V\u000a +p5294 +tp5295 +a(g440 +Vpreserve_args +p5296 +tp5297 +a(g412 +g1010 +tp5298 +a(g157 +g1029 +tp5299 +a(g125 +V${ +p5300 +tp5301 +a(g440 +Vpreserve_args +p5302 +tp5303 +a(g125 +g1160 +tp5304 +a(g157 +g1010 +tp5305 +a(g440 +V$arg +p5306 +tp5307 +a(g157 +g1029 +tp5308 +a(g826 +V\u000a\u000a +p5309 +tp5310 +a(g8 +V# Check whether tagname contains only valid characters\u000a +p5311 +tp5312 +a(g826 +V +p5313 +tp5314 +a(g744 +Vcase +p5315 +tp5316 +a(g826 +g1040 +tp5317 +a(g440 +V$tagname +p5318 +tp5319 +a(g826 +g1040 +tp5320 +a(g826 +Vin +p5321 +tp5322 +a(g826 +V\u000a +p5323 +tp5324 +a(g826 +g1562 +tp5325 +a(g412 +g2838 +tp5326 +a(g826 +V!-_A-Za-z0-9,/ +p5327 +tp5328 +a(g412 +g2876 +tp5329 +a(g826 +g1562 +tp5330 +a(g412 +g1533 +tp5331 +a(g826 +V\u000a +p5332 +tp5333 +a(g440 +V$echo +p5334 +tp5335 +a(g826 +g1040 +tp5336 +a(g157 +g1029 +tp5337 +a(g440 +V$progname +p5338 +tp5339 +a(g157 +V: invalid tag name: +p5340 +tp5341 +a(g440 +V$tagname +p5342 +tp5343 +a(g157 +g1029 +tp5344 +a(g826 +g1040 +tp5345 +a(g32 +g1107 +tp5346 +a(g826 +g1778 +tp5347 +a(g705 +g1780 +tp5348 +a(g32 +g1782 +tp5349 +a(g826 +V\u000a +p5350 +tp5351 +a(g681 +Vexit +p5352 +tp5353 +a(g826 +g1040 +tp5354 +a(g440 +V$EXIT_FAILURE +p5355 +tp5356 +a(g826 +V\u000a +p5357 +tp5358 +a(g705 +g1164 +tp5359 +a(g705 +g1164 +tp5360 +a(g826 +V\u000a +p5361 +tp5362 +a(g744 +Vesac +p5363 +tp5364 +a(g826 +V\u000a\u000a +p5365 +tp5366 +a(g744 +Vcase +p5367 +tp5368 +a(g826 +g1040 +tp5369 +a(g440 +V$tagname +p5370 +tp5371 +a(g826 +g1040 +tp5372 +a(g826 +Vin +p5373 +tp5374 +a(g826 +V\u000a +p5375 +tp5376 +a(g826 +VCC +p5377 +tp5378 +a(g412 +g1533 +tp5379 +a(g826 +V\u000a +p5380 +tp5381 +a(g8 +V# Don't test for the "default" C tag, as we know, it's there, but\u000a +p5382 +tp5383 +a(g826 +g2290 +tp5384 +a(g8 +V# not specially marked.\u000a +p5385 +tp5386 +a(g826 +g2290 +tp5387 +a(g705 +g1164 +tp5388 +a(g705 +g1164 +tp5389 +a(g826 +V\u000a +p5390 +tp5391 +a(g826 +g1562 +tp5392 +a(g412 +g1533 +tp5393 +a(g826 +V\u000a +p5394 +tp5395 +a(g744 +Vif +p5396 +tp5397 +a(g826 +g1040 +tp5398 +a(g826 +Vgrep +p5399 +tp5400 +a(g826 +g1040 +tp5401 +a(g157 +g1029 +tp5402 +a(g157 +V^# ### BEGIN LIBTOOL TAG CONFIG: +p5403 +tp5404 +a(g440 +V$tagname +p5405 +tp5406 +a(g826 +g3082 +tp5407 +a(g157 +g1029 +tp5408 +a(g826 +g1040 +tp5409 +a(g826 +g3086 +tp5410 +a(g826 +g1040 +tp5411 +a(g157 +g1029 +tp5412 +a(g440 +V$progpath +p5413 +tp5414 +a(g157 +g1029 +tp5415 +a(g826 +g1040 +tp5416 +a(g826 +g1778 +tp5417 +a(g826 +g1040 +tp5418 +a(g826 +V/dev/null +p5419 +tp5420 +a(g705 +g1164 +tp5421 +a(g826 +g1040 +tp5422 +a(g744 +Vthen +p5423 +tp5424 +a(g826 +V\u000a +p5425 +tp5426 +a(g440 +Vtaglist +p5427 +tp5428 +a(g412 +g1010 +tp5429 +a(g157 +g1029 +tp5430 +a(g440 +V$taglist +p5431 +tp5432 +a(g157 +g1040 +tp5433 +a(g440 +V$tagname +p5434 +tp5435 +a(g157 +g1029 +tp5436 +a(g826 +V\u000a +p5437 +tp5438 +a(g8 +V# Evaluate the configuration.\u000a +p5439 +tp5440 +a(g826 +V +p5441 +tp5442 +a(g681 +Veval +p5443 +tp5444 +a(g826 +g1040 +tp5445 +a(g157 +g1029 +tp5446 +a(g157 +g1065 +tp5447 +a(g125 +V${ +p5448 +tp5449 +a(g440 +VSED +p5450 +tp5451 +a(g125 +g1160 +tp5452 +a(g157 +V -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: ' +p5453 +tp5454 +a(g440 +V$tagname +p5455 +tp5456 +a(g157 +g2379 +tp5457 +a(g826 +g3082 +tp5458 +a(g157 +V/,/^# ### END LIBTOOL TAG CONFIG: ' +p5459 +tp5460 +a(g440 +V$tagname +p5461 +tp5462 +a(g157 +g2379 +tp5463 +a(g826 +g3082 +tp5464 +a(g157 +V/p' < +p5465 +tp5466 +a(g440 +V$progpath +p5467 +tp5468 +a(g157 +g1065 +tp5469 +a(g157 +g1029 +tp5470 +a(g826 +V\u000a +p5471 +tp5472 +a(g744 +Velse +p5473 +tp5474 +a(g826 +V\u000a +p5475 +tp5476 +a(g440 +V$echo +p5477 +tp5478 +a(g826 +g1040 +tp5479 +a(g157 +g1029 +tp5480 +a(g440 +V$progname +p5481 +tp5482 +a(g157 +V: ignoring unknown tag +p5483 +tp5484 +a(g440 +V$tagname +p5485 +tp5486 +a(g157 +g1029 +tp5487 +a(g826 +g1040 +tp5488 +a(g32 +g1107 +tp5489 +a(g826 +g1778 +tp5490 +a(g705 +g1780 +tp5491 +a(g32 +g1782 +tp5492 +a(g826 +V\u000a +p5493 +tp5494 +a(g744 +Vfi +p5495 +tp5496 +a(g826 +V\u000a +p5497 +tp5498 +a(g705 +g1164 +tp5499 +a(g705 +g1164 +tp5500 +a(g826 +V\u000a +p5501 +tp5502 +a(g744 +Vesac +p5503 +tp5504 +a(g826 +V\u000a +p5505 +tp5506 +a(g705 +g1164 +tp5507 +a(g705 +g1164 +tp5508 +a(g826 +V\u000a +p5509 +tp5510 +a(g826 +g1562 +tp5511 +a(g412 +g1533 +tp5512 +a(g826 +V\u000a +p5513 +tp5514 +a(g681 +Veval +p5515 +tp5516 +a(g826 +g1040 +tp5517 +a(g157 +g1029 +tp5518 +a(g440 +V$prev +p5519 +tp5520 +a(g157 +V=\u005c$arg +p5521 +tp5522 +a(g157 +g1029 +tp5523 +a(g826 +V\u000a +p5524 +tp5525 +a(g705 +g1164 +tp5526 +a(g705 +g1164 +tp5527 +a(g826 +V\u000a +p5528 +tp5529 +a(g744 +Vesac +p5530 +tp5531 +a(g826 +V\u000a\u000a +p5532 +tp5533 +a(g440 +Vprev +p5534 +tp5535 +a(g412 +g1010 +tp5536 +a(g826 +V\u000a +p5537 +tp5538 +a(g440 +Vprevopt +p5539 +tp5540 +a(g412 +g1010 +tp5541 +a(g826 +V\u000a +p5542 +tp5543 +a(g744 +Vcontinue +p5544 +tp5545 +a(g826 +V\u000a +p5546 +tp5547 +a(g744 +Vfi +p5548 +tp5549 +a(g826 +V\u000a\u000a +p5550 +tp5551 +a(g8 +V# Have we seen a non-optional argument yet?\u000a +p5552 +tp5553 +a(g826 +V +p5554 +tp5555 +a(g744 +Vcase +p5556 +tp5557 +a(g826 +g1040 +tp5558 +a(g440 +V$arg +p5559 +tp5560 +a(g826 +g1040 +tp5561 +a(g826 +Vin +p5562 +tp5563 +a(g826 +V\u000a +p5564 +tp5565 +a(g826 +V--help +p5566 +tp5567 +a(g412 +g1533 +tp5568 +a(g826 +V\u000a +p5569 +tp5570 +a(g440 +Vshow_help +p5571 +tp5572 +a(g412 +g1010 +tp5573 +a(g826 +Vyes +p5574 +tp5575 +a(g826 +V\u000a +p5576 +tp5577 +a(g705 +g1164 +tp5578 +a(g705 +g1164 +tp5579 +a(g826 +V\u000a\u000a +p5580 +tp5581 +a(g826 +V--version +p5582 +tp5583 +a(g412 +g1533 +tp5584 +a(g826 +V\u000a +p5585 +tp5586 +a(g440 +V$echo +p5587 +tp5588 +a(g826 +g1040 +tp5589 +a(g157 +g1029 +tp5590 +a(g440 +V$PROGRAM +p5591 +tp5592 +a(g157 +V (GNU +p5593 +tp5594 +a(g440 +V$PACKAGE +p5595 +tp5596 +a(g157 +V) +p5597 +tp5598 +a(g440 +V$VERSION +p5599 +tp5600 +a(g440 +V$TIMESTAMP +p5601 +tp5602 +a(g157 +g1029 +tp5603 +a(g826 +V\u000a +p5604 +tp5605 +a(g440 +V$echo +p5606 +tp5607 +a(g826 +V\u000a +p5608 +tp5609 +a(g440 +V$echo +p5610 +tp5611 +a(g826 +g1040 +tp5612 +a(g157 +V"Copyright (C) 2005 Free Software Foundation, Inc." +p5613 +tp5614 +a(g826 +V\u000a +p5615 +tp5616 +a(g440 +V$echo +p5617 +tp5618 +a(g826 +g1040 +tp5619 +a(g157 +V"This is free software; see the source for copying conditions. There is NO" +p5620 +tp5621 +a(g826 +V\u000a +p5622 +tp5623 +a(g440 +V$echo +p5624 +tp5625 +a(g826 +g1040 +tp5626 +a(g157 +V"warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." +p5627 +tp5628 +a(g826 +V\u000a +p5629 +tp5630 +a(g681 +Vexit +p5631 +tp5632 +a(g826 +g1040 +tp5633 +a(g440 +V$? +p5634 +tp5635 +a(g826 +V\u000a +p5636 +tp5637 +a(g705 +g1164 +tp5638 +a(g705 +g1164 +tp5639 +a(g826 +V\u000a\u000a +p5640 +tp5641 +a(g826 +V--config +p5642 +tp5643 +a(g412 +g1533 +tp5644 +a(g826 +V\u000a +p5645 +tp5646 +a(g125 +V${ +p5647 +tp5648 +a(g440 +VSED +p5649 +tp5650 +a(g125 +g1160 +tp5651 +a(g826 +g1040 +tp5652 +a(g826 +V-e +p5653 +tp5654 +a(g826 +g1040 +tp5655 +a(g229 +V'1,/^# ### BEGIN LIBTOOL CONFIG/d' +p5656 +tp5657 +a(g826 +g1040 +tp5658 +a(g826 +V-e +p5659 +tp5660 +a(g826 +g1040 +tp5661 +a(g229 +V'/^# ### END LIBTOOL CONFIG/,$d' +p5662 +tp5663 +a(g826 +g1040 +tp5664 +a(g440 +V$progpath +p5665 +tp5666 +a(g826 +V\u000a +p5667 +tp5668 +a(g8 +V# Now print the configurations for the tags.\u000a +p5669 +tp5670 +a(g826 +V +p5671 +tp5672 +a(g744 +Vfor +p5673 +tp5674 +a(g826 +g1040 +tp5675 +a(g826 +Vtagname +p5676 +tp5677 +a(g826 +g1040 +tp5678 +a(g826 +Vin +p5679 +tp5680 +a(g826 +g1040 +tp5681 +a(g440 +V$taglist +p5682 +tp5683 +a(g705 +g1164 +tp5684 +a(g826 +g1040 +tp5685 +a(g744 +Vdo +p5686 +tp5687 +a(g826 +V\u000a +p5688 +tp5689 +a(g125 +V${ +p5690 +tp5691 +a(g440 +VSED +p5692 +tp5693 +a(g125 +g1160 +tp5694 +a(g826 +g1040 +tp5695 +a(g826 +V-n +p5696 +tp5697 +a(g826 +g1040 +tp5698 +a(g826 +V-e +p5699 +tp5700 +a(g826 +g1040 +tp5701 +a(g157 +g1029 +tp5702 +a(g157 +V/^# ### BEGIN LIBTOOL TAG CONFIG: +p5703 +tp5704 +a(g440 +V$tagname +p5705 +tp5706 +a(g826 +g3082 +tp5707 +a(g157 +V/,/^# ### END LIBTOOL TAG CONFIG: +p5708 +tp5709 +a(g440 +V$tagname +p5710 +tp5711 +a(g826 +g3082 +tp5712 +a(g157 +V/p +p5713 +tp5714 +a(g157 +g1029 +tp5715 +a(g826 +g1040 +tp5716 +a(g826 +g3086 +tp5717 +a(g826 +g1040 +tp5718 +a(g157 +g1029 +tp5719 +a(g440 +V$progpath +p5720 +tp5721 +a(g157 +g1029 +tp5722 +a(g826 +V\u000a +p5723 +tp5724 +a(g744 +Vdone +p5725 +tp5726 +a(g826 +V\u000a +p5727 +tp5728 +a(g681 +Vexit +p5729 +tp5730 +a(g826 +g1040 +tp5731 +a(g440 +V$? +p5732 +tp5733 +a(g826 +V\u000a +p5734 +tp5735 +a(g705 +g1164 +tp5736 +a(g705 +g1164 +tp5737 +a(g826 +V\u000a\u000a +p5738 +tp5739 +a(g826 +V--debug +p5740 +tp5741 +a(g412 +g1533 +tp5742 +a(g826 +V\u000a +p5743 +tp5744 +a(g440 +V$echo +p5745 +tp5746 +a(g826 +g1040 +tp5747 +a(g157 +g1029 +tp5748 +a(g440 +V$progname +p5749 +tp5750 +a(g157 +V: enabling shell trace mode +p5751 +tp5752 +a(g157 +g1029 +tp5753 +a(g826 +V\u000a +p5754 +tp5755 +a(g681 +Vset +p5756 +tp5757 +a(g826 +g1040 +tp5758 +a(g826 +V-x +p5759 +tp5760 +a(g826 +V\u000a +p5761 +tp5762 +a(g440 +Vpreserve_args +p5763 +tp5764 +a(g412 +g1010 +tp5765 +a(g157 +g1029 +tp5766 +a(g440 +V$preserve_args +p5767 +tp5768 +a(g157 +g1040 +tp5769 +a(g440 +V$arg +p5770 +tp5771 +a(g157 +g1029 +tp5772 +a(g826 +V\u000a +p5773 +tp5774 +a(g705 +g1164 +tp5775 +a(g705 +g1164 +tp5776 +a(g826 +V\u000a\u000a +p5777 +tp5778 +a(g826 +V--dry-run +p5779 +tp5780 +a(g826 +g1040 +tp5781 +a(g705 +g1075 +tp5782 +a(g826 +g1040 +tp5783 +a(g826 +V-n +p5784 +tp5785 +a(g412 +g1533 +tp5786 +a(g826 +V\u000a +p5787 +tp5788 +a(g440 +Vrun +p5789 +tp5790 +a(g412 +g1010 +tp5791 +a(g826 +g1314 +tp5792 +a(g826 +V\u000a +p5793 +tp5794 +a(g705 +g1164 +tp5795 +a(g705 +g1164 +tp5796 +a(g826 +V\u000a\u000a +p5797 +tp5798 +a(g826 +V--features +p5799 +tp5800 +a(g412 +g1533 +tp5801 +a(g826 +V\u000a +p5802 +tp5803 +a(g440 +V$echo +p5804 +tp5805 +a(g826 +g1040 +tp5806 +a(g157 +g1029 +tp5807 +a(g157 +Vhost: +p5808 +tp5809 +a(g440 +V$host +p5810 +tp5811 +a(g157 +g1029 +tp5812 +a(g826 +V\u000a +p5813 +tp5814 +a(g744 +Vif +p5815 +tp5816 +a(g826 +g1040 +tp5817 +a(g681 +Vtest +p5818 +tp5819 +a(g826 +g1040 +tp5820 +a(g157 +g1029 +tp5821 +a(g440 +V$build_libtool_libs +p5822 +tp5823 +a(g157 +g1029 +tp5824 +a(g826 +g1040 +tp5825 +a(g412 +g1010 +tp5826 +a(g826 +g1040 +tp5827 +a(g826 +Vyes +p5828 +tp5829 +a(g705 +g1164 +tp5830 +a(g826 +g1040 +tp5831 +a(g744 +Vthen +p5832 +tp5833 +a(g826 +V\u000a +p5834 +tp5835 +a(g440 +V$echo +p5836 +tp5837 +a(g826 +g1040 +tp5838 +a(g157 +V"enable shared libraries" +p5839 +tp5840 +a(g826 +V\u000a +p5841 +tp5842 +a(g744 +Velse +p5843 +tp5844 +a(g826 +V\u000a +p5845 +tp5846 +a(g440 +V$echo +p5847 +tp5848 +a(g826 +g1040 +tp5849 +a(g157 +V"disable shared libraries" +p5850 +tp5851 +a(g826 +V\u000a +p5852 +tp5853 +a(g744 +Vfi +p5854 +tp5855 +a(g826 +V\u000a +p5856 +tp5857 +a(g744 +Vif +p5858 +tp5859 +a(g826 +g1040 +tp5860 +a(g681 +Vtest +p5861 +tp5862 +a(g826 +g1040 +tp5863 +a(g157 +g1029 +tp5864 +a(g440 +V$build_old_libs +p5865 +tp5866 +a(g157 +g1029 +tp5867 +a(g826 +g1040 +tp5868 +a(g412 +g1010 +tp5869 +a(g826 +g1040 +tp5870 +a(g826 +Vyes +p5871 +tp5872 +a(g705 +g1164 +tp5873 +a(g826 +g1040 +tp5874 +a(g744 +Vthen +p5875 +tp5876 +a(g826 +V\u000a +p5877 +tp5878 +a(g440 +V$echo +p5879 +tp5880 +a(g826 +g1040 +tp5881 +a(g157 +V"enable static libraries" +p5882 +tp5883 +a(g826 +V\u000a +p5884 +tp5885 +a(g744 +Velse +p5886 +tp5887 +a(g826 +V\u000a +p5888 +tp5889 +a(g440 +V$echo +p5890 +tp5891 +a(g826 +g1040 +tp5892 +a(g157 +V"disable static libraries" +p5893 +tp5894 +a(g826 +V\u000a +p5895 +tp5896 +a(g744 +Vfi +p5897 +tp5898 +a(g826 +V\u000a +p5899 +tp5900 +a(g681 +Vexit +p5901 +tp5902 +a(g826 +g1040 +tp5903 +a(g440 +V$? +p5904 +tp5905 +a(g826 +V\u000a +p5906 +tp5907 +a(g705 +g1164 +tp5908 +a(g705 +g1164 +tp5909 +a(g826 +V\u000a\u000a +p5910 +tp5911 +a(g826 +V--finish +p5912 +tp5913 +a(g412 +g1533 +tp5914 +a(g826 +g1040 +tp5915 +a(g440 +Vmode +p5916 +tp5917 +a(g412 +g1010 +tp5918 +a(g157 +V"finish" +p5919 +tp5920 +a(g826 +g1040 +tp5921 +a(g705 +g1164 +tp5922 +a(g705 +g1164 +tp5923 +a(g826 +V\u000a\u000a +p5924 +tp5925 +a(g826 +V--mode +p5926 +tp5927 +a(g412 +g1533 +tp5928 +a(g826 +g1040 +tp5929 +a(g440 +Vprevopt +p5930 +tp5931 +a(g412 +g1010 +tp5932 +a(g157 +V"--mode" +p5933 +tp5934 +a(g826 +g1040 +tp5935 +a(g440 +Vprev +p5936 +tp5937 +a(g412 +g1010 +tp5938 +a(g826 +Vmode +p5939 +tp5940 +a(g826 +g1040 +tp5941 +a(g705 +g1164 +tp5942 +a(g705 +g1164 +tp5943 +a(g826 +V\u000a +p5944 +tp5945 +a(g826 +V--mode +p5946 +tp5947 +a(g412 +g1010 +tp5948 +a(g826 +g1562 +tp5949 +a(g412 +g1533 +tp5950 +a(g826 +g1040 +tp5951 +a(g440 +Vmode +p5952 +tp5953 +a(g412 +g1010 +tp5954 +a(g157 +g1029 +tp5955 +a(g440 +V$optarg +p5956 +tp5957 +a(g157 +g1029 +tp5958 +a(g826 +g1040 +tp5959 +a(g705 +g1164 +tp5960 +a(g705 +g1164 +tp5961 +a(g826 +V\u000a\u000a +p5962 +tp5963 +a(g826 +V--preserve-dup-deps +p5964 +tp5965 +a(g412 +g1533 +tp5966 +a(g826 +g1040 +tp5967 +a(g440 +Vduplicate_deps +p5968 +tp5969 +a(g412 +g1010 +tp5970 +a(g157 +V"yes" +p5971 +tp5972 +a(g826 +g1040 +tp5973 +a(g705 +g1164 +tp5974 +a(g705 +g1164 +tp5975 +a(g826 +V\u000a\u000a +p5976 +tp5977 +a(g826 +V--quiet +p5978 +tp5979 +a(g826 +g1040 +tp5980 +a(g705 +g1075 +tp5981 +a(g826 +g1040 +tp5982 +a(g826 +V--silent +p5983 +tp5984 +a(g412 +g1533 +tp5985 +a(g826 +V\u000a +p5986 +tp5987 +a(g440 +Vshow +p5988 +tp5989 +a(g412 +g1010 +tp5990 +a(g826 +g1314 +tp5991 +a(g826 +V\u000a +p5992 +tp5993 +a(g440 +Vpreserve_args +p5994 +tp5995 +a(g412 +g1010 +tp5996 +a(g157 +g1029 +tp5997 +a(g440 +V$preserve_args +p5998 +tp5999 +a(g157 +g1040 +tp6000 +a(g440 +V$arg +p6001 +tp6002 +a(g157 +g1029 +tp6003 +a(g826 +V\u000a +p6004 +tp6005 +a(g705 +g1164 +tp6006 +a(g705 +g1164 +tp6007 +a(g826 +V\u000a\u000a +p6008 +tp6009 +a(g826 +V--tag +p6010 +tp6011 +a(g412 +g1533 +tp6012 +a(g826 +V\u000a +p6013 +tp6014 +a(g440 +Vprevopt +p6015 +tp6016 +a(g412 +g1010 +tp6017 +a(g157 +V"--tag" +p6018 +tp6019 +a(g826 +V\u000a +p6020 +tp6021 +a(g440 +Vprev +p6022 +tp6023 +a(g412 +g1010 +tp6024 +a(g826 +Vtag +p6025 +tp6026 +a(g826 +V\u000a +p6027 +tp6028 +a(g440 +Vpreserve_args +p6029 +tp6030 +a(g412 +g1010 +tp6031 +a(g157 +g1029 +tp6032 +a(g440 +V$preserve_args +p6033 +tp6034 +a(g157 +V --tag +p6035 +tp6036 +a(g157 +g1029 +tp6037 +a(g826 +V\u000a +p6038 +tp6039 +a(g705 +g1164 +tp6040 +a(g705 +g1164 +tp6041 +a(g826 +V\u000a +p6042 +tp6043 +a(g826 +V--tag +p6044 +tp6045 +a(g412 +g1010 +tp6046 +a(g826 +g1562 +tp6047 +a(g412 +g1533 +tp6048 +a(g826 +V\u000a +p6049 +tp6050 +a(g681 +Vset +p6051 +tp6052 +a(g826 +g1040 +tp6053 +a(g826 +Vtag +p6054 +tp6055 +a(g826 +g1040 +tp6056 +a(g157 +g1029 +tp6057 +a(g440 +V$optarg +p6058 +tp6059 +a(g157 +g1029 +tp6060 +a(g826 +g1040 +tp6061 +a(g125 +V${ +p6062 +tp6063 +a(g440 +g1107 +tp6064 +a(g705 +g1375 +tp6065 +a(g157 +g1029 +tp6066 +a(g440 +V$@ +p6067 +tp6068 +a(g157 +g1029 +tp6069 +a(g125 +g1160 +tp6070 +a(g826 +V\u000a +p6071 +tp6072 +a(g681 +Vshift +p6073 +tp6074 +a(g826 +V\u000a +p6075 +tp6076 +a(g440 +Vprev +p6077 +tp6078 +a(g412 +g1010 +tp6079 +a(g826 +Vtag +p6080 +tp6081 +a(g826 +V\u000a +p6082 +tp6083 +a(g440 +Vpreserve_args +p6084 +tp6085 +a(g412 +g1010 +tp6086 +a(g157 +g1029 +tp6087 +a(g440 +V$preserve_args +p6088 +tp6089 +a(g157 +V --tag +p6090 +tp6091 +a(g157 +g1029 +tp6092 +a(g826 +V\u000a +p6093 +tp6094 +a(g705 +g1164 +tp6095 +a(g705 +g1164 +tp6096 +a(g826 +V\u000a\u000a +p6097 +tp6098 +a(g826 +V-dlopen +p6099 +tp6100 +a(g412 +g1533 +tp6101 +a(g826 +V\u000a +p6102 +tp6103 +a(g440 +Vprevopt +p6104 +tp6105 +a(g412 +g1010 +tp6106 +a(g157 +V"-dlopen" +p6107 +tp6108 +a(g826 +V\u000a +p6109 +tp6110 +a(g440 +Vprev +p6111 +tp6112 +a(g412 +g1010 +tp6113 +a(g826 +Vexecute_dlfiles +p6114 +tp6115 +a(g826 +V\u000a +p6116 +tp6117 +a(g705 +g1164 +tp6118 +a(g705 +g1164 +tp6119 +a(g826 +V\u000a\u000a +p6120 +tp6121 +a(g826 +V-* +p6122 +tp6123 +a(g412 +g1533 +tp6124 +a(g826 +V\u000a +p6125 +tp6126 +a(g440 +V$echo +p6127 +tp6128 +a(g826 +g1040 +tp6129 +a(g157 +g1029 +tp6130 +a(g440 +V$modename +p6131 +tp6132 +a(g157 +V: unrecognized option \u005c` +p6133 +tp6134 +a(g440 +V$arg +p6135 +tp6136 +a(g157 +g2379 +tp6137 +a(g157 +g1029 +tp6138 +a(g826 +g1040 +tp6139 +a(g32 +g1107 +tp6140 +a(g826 +g1778 +tp6141 +a(g705 +g1780 +tp6142 +a(g32 +g1782 +tp6143 +a(g826 +V\u000a +p6144 +tp6145 +a(g440 +V$echo +p6146 +tp6147 +a(g826 +g1040 +tp6148 +a(g157 +g1029 +tp6149 +a(g440 +V$help +p6150 +tp6151 +a(g157 +g1029 +tp6152 +a(g826 +g1040 +tp6153 +a(g32 +g1107 +tp6154 +a(g826 +g1778 +tp6155 +a(g705 +g1780 +tp6156 +a(g32 +g1782 +tp6157 +a(g826 +V\u000a +p6158 +tp6159 +a(g681 +Vexit +p6160 +tp6161 +a(g826 +g1040 +tp6162 +a(g440 +V$EXIT_FAILURE +p6163 +tp6164 +a(g826 +V\u000a +p6165 +tp6166 +a(g705 +g1164 +tp6167 +a(g705 +g1164 +tp6168 +a(g826 +V\u000a\u000a +p6169 +tp6170 +a(g826 +g1562 +tp6171 +a(g412 +g1533 +tp6172 +a(g826 +V\u000a +p6173 +tp6174 +a(g440 +Vnonopt +p6175 +tp6176 +a(g412 +g1010 +tp6177 +a(g157 +g1029 +tp6178 +a(g440 +V$arg +p6179 +tp6180 +a(g157 +g1029 +tp6181 +a(g826 +V\u000a +p6182 +tp6183 +a(g681 +Vbreak +p6184 +tp6185 +a(g826 +V\u000a +p6186 +tp6187 +a(g705 +g1164 +tp6188 +a(g705 +g1164 +tp6189 +a(g826 +V\u000a +p6190 +tp6191 +a(g744 +Vesac +p6192 +tp6193 +a(g826 +V\u000a +p6194 +tp6195 +a(g744 +Vdone +p6196 +tp6197 +a(g826 +V\u000a\u000a +p6198 +tp6199 +a(g744 +Vif +p6200 +tp6201 +a(g826 +g1040 +tp6202 +a(g681 +Vtest +p6203 +tp6204 +a(g826 +g1040 +tp6205 +a(g826 +V-n +p6206 +tp6207 +a(g826 +g1040 +tp6208 +a(g157 +g1029 +tp6209 +a(g440 +V$prevopt +p6210 +tp6211 +a(g157 +g1029 +tp6212 +a(g705 +g1164 +tp6213 +a(g826 +g1040 +tp6214 +a(g744 +Vthen +p6215 +tp6216 +a(g826 +V\u000a +p6217 +tp6218 +a(g440 +V$echo +p6219 +tp6220 +a(g826 +g1040 +tp6221 +a(g157 +g1029 +tp6222 +a(g440 +V$modename +p6223 +tp6224 +a(g157 +V: option \u005c` +p6225 +tp6226 +a(g440 +V$prevopt +p6227 +tp6228 +a(g157 +V' requires an argument +p6229 +tp6230 +a(g157 +g1029 +tp6231 +a(g826 +g1040 +tp6232 +a(g32 +g1107 +tp6233 +a(g826 +g1778 +tp6234 +a(g705 +g1780 +tp6235 +a(g32 +g1782 +tp6236 +a(g826 +V\u000a +p6237 +tp6238 +a(g440 +V$echo +p6239 +tp6240 +a(g826 +g1040 +tp6241 +a(g157 +g1029 +tp6242 +a(g440 +V$help +p6243 +tp6244 +a(g157 +g1029 +tp6245 +a(g826 +g1040 +tp6246 +a(g32 +g1107 +tp6247 +a(g826 +g1778 +tp6248 +a(g705 +g1780 +tp6249 +a(g32 +g1782 +tp6250 +a(g826 +V\u000a +p6251 +tp6252 +a(g681 +Vexit +p6253 +tp6254 +a(g826 +g1040 +tp6255 +a(g440 +V$EXIT_FAILURE +p6256 +tp6257 +a(g826 +V\u000a +p6258 +tp6259 +a(g744 +Vfi +p6260 +tp6261 +a(g826 +V\u000a\u000a +p6262 +tp6263 +a(g744 +Vcase +p6264 +tp6265 +a(g826 +g1040 +tp6266 +a(g440 +V$disable_libs +p6267 +tp6268 +a(g826 +g1040 +tp6269 +a(g826 +Vin +p6270 +tp6271 +a(g826 +V\u000a +p6272 +tp6273 +a(g826 +Vno +p6274 +tp6275 +a(g412 +g1533 +tp6276 +a(g826 +V \u000a +p6277 +tp6278 +a(g705 +g1164 +tp6279 +a(g705 +g1164 +tp6280 +a(g826 +V\u000a +p6281 +tp6282 +a(g826 +Vshared +p6283 +tp6284 +a(g412 +g1533 +tp6285 +a(g826 +V\u000a +p6286 +tp6287 +a(g440 +Vbuild_libtool_libs +p6288 +tp6289 +a(g412 +g1010 +tp6290 +a(g826 +Vno +p6291 +tp6292 +a(g826 +V\u000a +p6293 +tp6294 +a(g440 +Vbuild_old_libs +p6295 +tp6296 +a(g412 +g1010 +tp6297 +a(g826 +Vyes +p6298 +tp6299 +a(g826 +V\u000a +p6300 +tp6301 +a(g705 +g1164 +tp6302 +a(g705 +g1164 +tp6303 +a(g826 +V\u000a +p6304 +tp6305 +a(g826 +Vstatic +p6306 +tp6307 +a(g412 +g1533 +tp6308 +a(g826 +V\u000a +p6309 +tp6310 +a(g440 +Vbuild_old_libs +p6311 +tp6312 +a(g412 +g1010 +tp6313 +a(g101 +g1065 +tp6314 +a(g744 +Vcase +p6315 +tp6316 +a(g826 +g1040 +tp6317 +a(g440 +V$build_libtool_libs +p6318 +tp6319 +a(g826 +g1040 +tp6320 +a(g826 +Vin +p6321 +tp6322 +a(g826 +g1040 +tp6323 +a(g826 +Vyes +p6324 +tp6325 +a(g412 +g1533 +tp6326 +a(g826 +g1040 +tp6327 +a(g681 +Vecho +p6328 +tp6329 +a(g826 +g1040 +tp6330 +a(g826 +Vno +p6331 +tp6332 +a(g705 +g1164 +tp6333 +a(g705 +g1164 +tp6334 +a(g826 +g1040 +tp6335 +a(g826 +g1562 +tp6336 +a(g412 +g1533 +tp6337 +a(g826 +g1040 +tp6338 +a(g681 +Vecho +p6339 +tp6340 +a(g826 +g1040 +tp6341 +a(g826 +Vyes +p6342 +tp6343 +a(g705 +g1164 +tp6344 +a(g705 +g1164 +tp6345 +a(g826 +g1040 +tp6346 +a(g744 +Vesac +p6347 +tp6348 +a(g101 +g1065 +tp6349 +a(g826 +V\u000a +p6350 +tp6351 +a(g705 +g1164 +tp6352 +a(g705 +g1164 +tp6353 +a(g826 +V\u000a +p6354 +tp6355 +a(g744 +Vesac +p6356 +tp6357 +a(g826 +V\u000a\u000a +p6358 +tp6359 +a(g8 +V# If this variable is set in any of the actions, the command in it\u000a +p6360 +tp6361 +a(g8 +V# will be execed at the end. This prevents here-documents from being\u000a +p6362 +tp6363 +a(g8 +V# left over by shells.\u000a +p6364 +tp6365 +a(g440 +Vexec_cmd +p6366 +tp6367 +a(g412 +g1010 +tp6368 +a(g826 +V\u000a\u000a +p6369 +tp6370 +a(g744 +Vif +p6371 +tp6372 +a(g826 +g1040 +tp6373 +a(g681 +Vtest +p6374 +tp6375 +a(g826 +g1040 +tp6376 +a(g826 +V-z +p6377 +tp6378 +a(g826 +g1040 +tp6379 +a(g157 +g1029 +tp6380 +a(g440 +V$show_help +p6381 +tp6382 +a(g157 +g1029 +tp6383 +a(g705 +g1164 +tp6384 +a(g826 +g1040 +tp6385 +a(g744 +Vthen +p6386 +tp6387 +a(g826 +V\u000a\u000a +p6388 +tp6389 +a(g8 +V# Infer the operation mode.\u000a +p6390 +tp6391 +a(g826 +V +p6392 +tp6393 +a(g744 +Vif +p6394 +tp6395 +a(g826 +g1040 +tp6396 +a(g681 +Vtest +p6397 +tp6398 +a(g826 +g1040 +tp6399 +a(g826 +V-z +p6400 +tp6401 +a(g826 +g1040 +tp6402 +a(g157 +g1029 +tp6403 +a(g440 +V$mode +p6404 +tp6405 +a(g157 +g1029 +tp6406 +a(g705 +g1164 +tp6407 +a(g826 +g1040 +tp6408 +a(g744 +Vthen +p6409 +tp6410 +a(g826 +V\u000a +p6411 +tp6412 +a(g440 +V$echo +p6413 +tp6414 +a(g826 +g1040 +tp6415 +a(g157 +V"*** Warning: inferring the mode of operation is deprecated." +p6416 +tp6417 +a(g826 +g1040 +tp6418 +a(g32 +g1107 +tp6419 +a(g826 +g1778 +tp6420 +a(g705 +g1780 +tp6421 +a(g32 +g1782 +tp6422 +a(g826 +V\u000a +p6423 +tp6424 +a(g440 +V$echo +p6425 +tp6426 +a(g826 +g1040 +tp6427 +a(g157 +V"*** Future versions of Libtool will require --mode=MODE be specified." +p6428 +tp6429 +a(g826 +g1040 +tp6430 +a(g32 +g1107 +tp6431 +a(g826 +g1778 +tp6432 +a(g705 +g1780 +tp6433 +a(g32 +g1782 +tp6434 +a(g826 +V\u000a +p6435 +tp6436 +a(g744 +Vcase +p6437 +tp6438 +a(g826 +g1040 +tp6439 +a(g440 +V$nonopt +p6440 +tp6441 +a(g826 +g1040 +tp6442 +a(g826 +Vin +p6443 +tp6444 +a(g826 +V\u000a +p6445 +tp6446 +a(g826 +V*cc +p6447 +tp6448 +a(g826 +g1040 +tp6449 +a(g705 +g1075 +tp6450 +a(g826 +g1040 +tp6451 +a(g826 +Vcc* +p6452 +tp6453 +a(g826 +g1040 +tp6454 +a(g705 +g1075 +tp6455 +a(g826 +g1040 +tp6456 +a(g826 +V*++ +p6457 +tp6458 +a(g826 +g1040 +tp6459 +a(g705 +g1075 +tp6460 +a(g826 +g1040 +tp6461 +a(g826 +Vgcc* +p6462 +tp6463 +a(g826 +g1040 +tp6464 +a(g705 +g1075 +tp6465 +a(g826 +g1040 +tp6466 +a(g826 +V*-gcc* +p6467 +tp6468 +a(g826 +g1040 +tp6469 +a(g705 +g1075 +tp6470 +a(g826 +g1040 +tp6471 +a(g826 +Vg++* +p6472 +tp6473 +a(g826 +g1040 +tp6474 +a(g705 +g1075 +tp6475 +a(g826 +g1040 +tp6476 +a(g826 +Vxlc* +p6477 +tp6478 +a(g412 +g1533 +tp6479 +a(g826 +V\u000a +p6480 +tp6481 +a(g440 +Vmode +p6482 +tp6483 +a(g412 +g1010 +tp6484 +a(g826 +Vlink +p6485 +tp6486 +a(g826 +V\u000a +p6487 +tp6488 +a(g744 +Vfor +p6489 +tp6490 +a(g826 +g1040 +tp6491 +a(g826 +Varg +p6492 +tp6493 +a(g826 +V\u000a +p6494 +tp6495 +a(g744 +Vdo +p6496 +tp6497 +a(g826 +V\u000a +p6498 +tp6499 +a(g744 +Vcase +p6500 +tp6501 +a(g826 +g1040 +tp6502 +a(g440 +V$arg +p6503 +tp6504 +a(g826 +g1040 +tp6505 +a(g826 +Vin +p6506 +tp6507 +a(g826 +V\u000a +p6508 +tp6509 +a(g826 +V-c +p6510 +tp6511 +a(g412 +g1533 +tp6512 +a(g826 +V\u000a +p6513 +tp6514 +a(g440 +Vmode +p6515 +tp6516 +a(g412 +g1010 +tp6517 +a(g826 +Vcompile +p6518 +tp6519 +a(g826 +V\u000a +p6520 +tp6521 +a(g681 +Vbreak +p6522 +tp6523 +a(g826 +V\u000a +p6524 +tp6525 +a(g705 +g1164 +tp6526 +a(g705 +g1164 +tp6527 +a(g826 +V\u000a +p6528 +tp6529 +a(g744 +Vesac +p6530 +tp6531 +a(g826 +V\u000a +p6532 +tp6533 +a(g744 +Vdone +p6534 +tp6535 +a(g826 +V\u000a +p6536 +tp6537 +a(g705 +g1164 +tp6538 +a(g705 +g1164 +tp6539 +a(g826 +V\u000a +p6540 +tp6541 +a(g826 +V*db +p6542 +tp6543 +a(g826 +g1040 +tp6544 +a(g705 +g1075 +tp6545 +a(g826 +g1040 +tp6546 +a(g826 +V*dbx +p6547 +tp6548 +a(g826 +g1040 +tp6549 +a(g705 +g1075 +tp6550 +a(g826 +g1040 +tp6551 +a(g826 +V*strace +p6552 +tp6553 +a(g826 +g1040 +tp6554 +a(g705 +g1075 +tp6555 +a(g826 +g1040 +tp6556 +a(g826 +V*truss +p6557 +tp6558 +a(g412 +g1533 +tp6559 +a(g826 +V\u000a +p6560 +tp6561 +a(g440 +Vmode +p6562 +tp6563 +a(g412 +g1010 +tp6564 +a(g826 +Vexecute +p6565 +tp6566 +a(g826 +V\u000a +p6567 +tp6568 +a(g705 +g1164 +tp6569 +a(g705 +g1164 +tp6570 +a(g826 +V\u000a +p6571 +tp6572 +a(g826 +V*install* +p6573 +tp6574 +a(g705 +g1075 +tp6575 +a(g826 +Vcp +p6576 +tp6577 +a(g705 +g1075 +tp6578 +a(g826 +Vmv +p6579 +tp6580 +a(g412 +g1533 +tp6581 +a(g826 +V\u000a +p6582 +tp6583 +a(g440 +Vmode +p6584 +tp6585 +a(g412 +g1010 +tp6586 +a(g826 +Vinstall +p6587 +tp6588 +a(g826 +V\u000a +p6589 +tp6590 +a(g705 +g1164 +tp6591 +a(g705 +g1164 +tp6592 +a(g826 +V\u000a +p6593 +tp6594 +a(g826 +V*rm +p6595 +tp6596 +a(g412 +g1533 +tp6597 +a(g826 +V\u000a +p6598 +tp6599 +a(g440 +Vmode +p6600 +tp6601 +a(g412 +g1010 +tp6602 +a(g826 +Vuninstall +p6603 +tp6604 +a(g826 +V\u000a +p6605 +tp6606 +a(g705 +g1164 +tp6607 +a(g705 +g1164 +tp6608 +a(g826 +V\u000a +p6609 +tp6610 +a(g826 +g1562 +tp6611 +a(g412 +g1533 +tp6612 +a(g826 +V\u000a +p6613 +tp6614 +a(g8 +V# If we have no mode, but dlfiles were specified, then do execute mode.\u000a +p6615 +tp6616 +a(g826 +V +p6617 +tp6618 +a(g681 +Vtest +p6619 +tp6620 +a(g826 +g1040 +tp6621 +a(g826 +V-n +p6622 +tp6623 +a(g826 +g1040 +tp6624 +a(g157 +g1029 +tp6625 +a(g440 +V$execute_dlfiles +p6626 +tp6627 +a(g157 +g1029 +tp6628 +a(g826 +g1040 +tp6629 +a(g412 +V&& +p6630 +tp6631 +a(g826 +g1040 +tp6632 +a(g440 +Vmode +p6633 +tp6634 +a(g412 +g1010 +tp6635 +a(g826 +Vexecute +p6636 +tp6637 +a(g826 +V\u000a\u000a +p6638 +tp6639 +a(g8 +V# Just use the default operation mode.\u000a +p6640 +tp6641 +a(g826 +V +p6642 +tp6643 +a(g744 +Vif +p6644 +tp6645 +a(g826 +g1040 +tp6646 +a(g681 +Vtest +p6647 +tp6648 +a(g826 +g1040 +tp6649 +a(g826 +V-z +p6650 +tp6651 +a(g826 +g1040 +tp6652 +a(g157 +g1029 +tp6653 +a(g440 +V$mode +p6654 +tp6655 +a(g157 +g1029 +tp6656 +a(g705 +g1164 +tp6657 +a(g826 +g1040 +tp6658 +a(g744 +Vthen +p6659 +tp6660 +a(g826 +V\u000a +p6661 +tp6662 +a(g744 +Vif +p6663 +tp6664 +a(g826 +g1040 +tp6665 +a(g681 +Vtest +p6666 +tp6667 +a(g826 +g1040 +tp6668 +a(g826 +V-n +p6669 +tp6670 +a(g826 +g1040 +tp6671 +a(g157 +g1029 +tp6672 +a(g440 +V$nonopt +p6673 +tp6674 +a(g157 +g1029 +tp6675 +a(g705 +g1164 +tp6676 +a(g826 +g1040 +tp6677 +a(g744 +Vthen +p6678 +tp6679 +a(g826 +V\u000a +p6680 +tp6681 +a(g440 +V$echo +p6682 +tp6683 +a(g826 +g1040 +tp6684 +a(g157 +g1029 +tp6685 +a(g440 +V$modename +p6686 +tp6687 +a(g157 +V: warning: cannot infer operation mode from \u005c` +p6688 +tp6689 +a(g440 +V$nonopt +p6690 +tp6691 +a(g157 +g2379 +tp6692 +a(g157 +g1029 +tp6693 +a(g826 +g1040 +tp6694 +a(g32 +g1107 +tp6695 +a(g826 +g1778 +tp6696 +a(g705 +g1780 +tp6697 +a(g32 +g1782 +tp6698 +a(g826 +V\u000a +p6699 +tp6700 +a(g744 +Velse +p6701 +tp6702 +a(g826 +V\u000a +p6703 +tp6704 +a(g440 +V$echo +p6705 +tp6706 +a(g826 +g1040 +tp6707 +a(g157 +g1029 +tp6708 +a(g440 +V$modename +p6709 +tp6710 +a(g157 +V: warning: cannot infer operation mode without MODE-ARGS +p6711 +tp6712 +a(g157 +g1029 +tp6713 +a(g826 +g1040 +tp6714 +a(g32 +g1107 +tp6715 +a(g826 +g1778 +tp6716 +a(g705 +g1780 +tp6717 +a(g32 +g1782 +tp6718 +a(g826 +V\u000a +p6719 +tp6720 +a(g744 +Vfi +p6721 +tp6722 +a(g826 +V\u000a +p6723 +tp6724 +a(g744 +Vfi +p6725 +tp6726 +a(g826 +V\u000a +p6727 +tp6728 +a(g705 +g1164 +tp6729 +a(g705 +g1164 +tp6730 +a(g826 +V\u000a +p6731 +tp6732 +a(g744 +Vesac +p6733 +tp6734 +a(g826 +V\u000a +p6735 +tp6736 +a(g744 +Vfi +p6737 +tp6738 +a(g826 +V\u000a\u000a +p6739 +tp6740 +a(g8 +V# Only execute mode is allowed to have -dlopen flags.\u000a +p6741 +tp6742 +a(g826 +V +p6743 +tp6744 +a(g744 +Vif +p6745 +tp6746 +a(g826 +g1040 +tp6747 +a(g681 +Vtest +p6748 +tp6749 +a(g826 +g1040 +tp6750 +a(g826 +V-n +p6751 +tp6752 +a(g826 +g1040 +tp6753 +a(g157 +g1029 +tp6754 +a(g440 +V$execute_dlfiles +p6755 +tp6756 +a(g157 +g1029 +tp6757 +a(g826 +g1040 +tp6758 +a(g412 +V&& +p6759 +tp6760 +a(g826 +g1040 +tp6761 +a(g681 +Vtest +p6762 +tp6763 +a(g826 +g1040 +tp6764 +a(g157 +g1029 +tp6765 +a(g440 +V$mode +p6766 +tp6767 +a(g157 +g1029 +tp6768 +a(g826 +g1040 +tp6769 +a(g826 +g1738 +tp6770 +a(g412 +g1010 +tp6771 +a(g826 +g1040 +tp6772 +a(g826 +Vexecute +p6773 +tp6774 +a(g705 +g1164 +tp6775 +a(g826 +g1040 +tp6776 +a(g744 +Vthen +p6777 +tp6778 +a(g826 +V\u000a +p6779 +tp6780 +a(g440 +V$echo +p6781 +tp6782 +a(g826 +g1040 +tp6783 +a(g157 +g1029 +tp6784 +a(g440 +V$modename +p6785 +tp6786 +a(g157 +V: unrecognized option \u005c`-dlopen' +p6787 +tp6788 +a(g157 +g1029 +tp6789 +a(g826 +g1040 +tp6790 +a(g32 +g1107 +tp6791 +a(g826 +g1778 +tp6792 +a(g705 +g1780 +tp6793 +a(g32 +g1782 +tp6794 +a(g826 +V\u000a +p6795 +tp6796 +a(g440 +V$echo +p6797 +tp6798 +a(g826 +g1040 +tp6799 +a(g157 +g1029 +tp6800 +a(g440 +V$help +p6801 +tp6802 +a(g157 +g1029 +tp6803 +a(g826 +g1040 +tp6804 +a(g32 +g1107 +tp6805 +a(g826 +g1778 +tp6806 +a(g705 +g1780 +tp6807 +a(g32 +g1782 +tp6808 +a(g826 +V\u000a +p6809 +tp6810 +a(g681 +Vexit +p6811 +tp6812 +a(g826 +g1040 +tp6813 +a(g440 +V$EXIT_FAILURE +p6814 +tp6815 +a(g826 +V\u000a +p6816 +tp6817 +a(g744 +Vfi +p6818 +tp6819 +a(g826 +V\u000a\u000a +p6820 +tp6821 +a(g8 +V# Change the help message to a mode-specific one.\u000a +p6822 +tp6823 +a(g826 +V +p6824 +tp6825 +a(g440 +Vgeneric_help +p6826 +tp6827 +a(g412 +g1010 +tp6828 +a(g157 +g1029 +tp6829 +a(g440 +V$help +p6830 +tp6831 +a(g157 +g1029 +tp6832 +a(g826 +V\u000a +p6833 +tp6834 +a(g440 +Vhelp +p6835 +tp6836 +a(g412 +g1010 +tp6837 +a(g157 +g1029 +tp6838 +a(g157 +VTry \u005c` +p6839 +tp6840 +a(g440 +V$modename +p6841 +tp6842 +a(g157 +V --help --mode= +p6843 +tp6844 +a(g440 +V$mode +p6845 +tp6846 +a(g157 +V' for more information. +p6847 +tp6848 +a(g157 +g1029 +tp6849 +a(g826 +V\u000a\u000a +p6850 +tp6851 +a(g8 +V# These modes are in order of execution frequency so that they run quickly.\u000a +p6852 +tp6853 +a(g826 +V +p6854 +tp6855 +a(g744 +Vcase +p6856 +tp6857 +a(g826 +g1040 +tp6858 +a(g440 +V$mode +p6859 +tp6860 +a(g826 +g1040 +tp6861 +a(g826 +Vin +p6862 +tp6863 +a(g826 +V\u000a +p6864 +tp6865 +a(g8 +V# libtool compile mode\u000a +p6866 +tp6867 +a(g826 +V +p6868 +tp6869 +a(g826 +Vcompile +p6870 +tp6871 +a(g412 +g1533 +tp6872 +a(g826 +V\u000a +p6873 +tp6874 +a(g440 +Vmodename +p6875 +tp6876 +a(g412 +g1010 +tp6877 +a(g157 +g1029 +tp6878 +a(g440 +V$modename +p6879 +tp6880 +a(g157 +V: compile +p6881 +tp6882 +a(g157 +g1029 +tp6883 +a(g826 +V\u000a +p6884 +tp6885 +a(g8 +V# Get the compilation command and the source file.\u000a +p6886 +tp6887 +a(g826 +V +p6888 +tp6889 +a(g440 +Vbase_compile +p6890 +tp6891 +a(g412 +g1010 +tp6892 +a(g826 +V\u000a +p6893 +tp6894 +a(g440 +Vsrcfile +p6895 +tp6896 +a(g412 +g1010 +tp6897 +a(g157 +g1029 +tp6898 +a(g440 +V$nonopt +p6899 +tp6900 +a(g157 +g1029 +tp6901 +a(g826 +V +p6902 +tp6903 +a(g8 +V# always keep a non-empty value in "srcfile"\u000a +p6904 +tp6905 +a(g826 +V +p6906 +tp6907 +a(g440 +Vsuppress_opt +p6908 +tp6909 +a(g412 +g1010 +tp6910 +a(g826 +Vyes +p6911 +tp6912 +a(g826 +V\u000a +p6913 +tp6914 +a(g440 +Vsuppress_output +p6915 +tp6916 +a(g412 +g1010 +tp6917 +a(g826 +V\u000a +p6918 +tp6919 +a(g440 +Varg_mode +p6920 +tp6921 +a(g412 +g1010 +tp6922 +a(g826 +Vnormal +p6923 +tp6924 +a(g826 +V\u000a +p6925 +tp6926 +a(g440 +Vlibobj +p6927 +tp6928 +a(g412 +g1010 +tp6929 +a(g826 +V\u000a +p6930 +tp6931 +a(g440 +Vlater +p6932 +tp6933 +a(g412 +g1010 +tp6934 +a(g826 +V\u000a\u000a +p6935 +tp6936 +a(g744 +Vfor +p6937 +tp6938 +a(g826 +g1040 +tp6939 +a(g826 +Varg +p6940 +tp6941 +a(g826 +V\u000a +p6942 +tp6943 +a(g744 +Vdo +p6944 +tp6945 +a(g826 +V\u000a +p6946 +tp6947 +a(g744 +Vcase +p6948 +tp6949 +a(g826 +g1040 +tp6950 +a(g440 +V$arg_mode +p6951 +tp6952 +a(g826 +g1040 +tp6953 +a(g826 +Vin +p6954 +tp6955 +a(g826 +V\u000a +p6956 +tp6957 +a(g826 +Varg +p6958 +tp6959 +a(g826 +V +p6960 +tp6961 +a(g412 +g1533 +tp6962 +a(g826 +V\u000a +p6963 +tp6964 +a(g8 +V# do not "continue". Instead, add this to base_compile\u000a +p6965 +tp6966 +a(g826 +g2290 +tp6967 +a(g440 +Vlastarg +p6968 +tp6969 +a(g412 +g1010 +tp6970 +a(g157 +g1029 +tp6971 +a(g440 +V$arg +p6972 +tp6973 +a(g157 +g1029 +tp6974 +a(g826 +V\u000a +p6975 +tp6976 +a(g440 +Varg_mode +p6977 +tp6978 +a(g412 +g1010 +tp6979 +a(g826 +Vnormal +p6980 +tp6981 +a(g826 +V\u000a +p6982 +tp6983 +a(g705 +g1164 +tp6984 +a(g705 +g1164 +tp6985 +a(g826 +V\u000a\u000a +p6986 +tp6987 +a(g826 +Vtarget +p6988 +tp6989 +a(g826 +g1040 +tp6990 +a(g412 +g1533 +tp6991 +a(g826 +V\u000a +p6992 +tp6993 +a(g440 +Vlibobj +p6994 +tp6995 +a(g412 +g1010 +tp6996 +a(g157 +g1029 +tp6997 +a(g440 +V$arg +p6998 +tp6999 +a(g157 +g1029 +tp7000 +a(g826 +V\u000a +p7001 +tp7002 +a(g440 +Varg_mode +p7003 +tp7004 +a(g412 +g1010 +tp7005 +a(g826 +Vnormal +p7006 +tp7007 +a(g826 +V\u000a +p7008 +tp7009 +a(g744 +Vcontinue +p7010 +tp7011 +a(g826 +V\u000a +p7012 +tp7013 +a(g705 +g1164 +tp7014 +a(g705 +g1164 +tp7015 +a(g826 +V\u000a\u000a +p7016 +tp7017 +a(g826 +Vnormal +p7018 +tp7019 +a(g826 +g1040 +tp7020 +a(g412 +g1533 +tp7021 +a(g826 +V\u000a +p7022 +tp7023 +a(g8 +V# Accept any command-line options.\u000a +p7024 +tp7025 +a(g826 +g2290 +tp7026 +a(g744 +Vcase +p7027 +tp7028 +a(g826 +g1040 +tp7029 +a(g440 +V$arg +p7030 +tp7031 +a(g826 +g1040 +tp7032 +a(g826 +Vin +p7033 +tp7034 +a(g826 +V\u000a +p7035 +tp7036 +a(g826 +V-o +p7037 +tp7038 +a(g412 +g1533 +tp7039 +a(g826 +V\u000a +p7040 +tp7041 +a(g744 +Vif +p7042 +tp7043 +a(g826 +g1040 +tp7044 +a(g681 +Vtest +p7045 +tp7046 +a(g826 +g1040 +tp7047 +a(g826 +V-n +p7048 +tp7049 +a(g826 +g1040 +tp7050 +a(g157 +g1029 +tp7051 +a(g440 +V$libobj +p7052 +tp7053 +a(g157 +g1029 +tp7054 +a(g826 +g1040 +tp7055 +a(g705 +g1164 +tp7056 +a(g826 +g1040 +tp7057 +a(g744 +Vthen +p7058 +tp7059 +a(g826 +V\u000a +p7060 +tp7061 +a(g440 +V$echo +p7062 +tp7063 +a(g826 +g1040 +tp7064 +a(g157 +g1029 +tp7065 +a(g440 +V$modename +p7066 +tp7067 +a(g157 +V: you cannot specify \u005c`-o' more than once +p7068 +tp7069 +a(g157 +g1029 +tp7070 +a(g826 +g1040 +tp7071 +a(g32 +g1107 +tp7072 +a(g826 +g1778 +tp7073 +a(g705 +g1780 +tp7074 +a(g32 +g1782 +tp7075 +a(g826 +V\u000a +p7076 +tp7077 +a(g681 +Vexit +p7078 +tp7079 +a(g826 +g1040 +tp7080 +a(g440 +V$EXIT_FAILURE +p7081 +tp7082 +a(g826 +V\u000a +p7083 +tp7084 +a(g744 +Vfi +p7085 +tp7086 +a(g826 +V\u000a +p7087 +tp7088 +a(g440 +Varg_mode +p7089 +tp7090 +a(g412 +g1010 +tp7091 +a(g826 +Vtarget +p7092 +tp7093 +a(g826 +V\u000a +p7094 +tp7095 +a(g744 +Vcontinue +p7096 +tp7097 +a(g826 +V\u000a +p7098 +tp7099 +a(g705 +g1164 +tp7100 +a(g705 +g1164 +tp7101 +a(g826 +V\u000a\u000a +p7102 +tp7103 +a(g826 +V-static +p7104 +tp7105 +a(g826 +g1040 +tp7106 +a(g705 +g1075 +tp7107 +a(g826 +g1040 +tp7108 +a(g826 +V-prefer-pic +p7109 +tp7110 +a(g826 +g1040 +tp7111 +a(g705 +g1075 +tp7112 +a(g826 +g1040 +tp7113 +a(g826 +V-prefer-non-pic +p7114 +tp7115 +a(g412 +g1533 +tp7116 +a(g826 +V\u000a +p7117 +tp7118 +a(g440 +Vlater +p7119 +tp7120 +a(g412 +g1010 +tp7121 +a(g157 +g1029 +tp7122 +a(g440 +V$later +p7123 +tp7124 +a(g157 +g1040 +tp7125 +a(g440 +V$arg +p7126 +tp7127 +a(g157 +g1029 +tp7128 +a(g826 +V\u000a +p7129 +tp7130 +a(g744 +Vcontinue +p7131 +tp7132 +a(g826 +V\u000a +p7133 +tp7134 +a(g705 +g1164 +tp7135 +a(g705 +g1164 +tp7136 +a(g826 +V\u000a\u000a +p7137 +tp7138 +a(g826 +V-no-suppress +p7139 +tp7140 +a(g412 +g1533 +tp7141 +a(g826 +V\u000a +p7142 +tp7143 +a(g440 +Vsuppress_opt +p7144 +tp7145 +a(g412 +g1010 +tp7146 +a(g826 +Vno +p7147 +tp7148 +a(g826 +V\u000a +p7149 +tp7150 +a(g744 +Vcontinue +p7151 +tp7152 +a(g826 +V\u000a +p7153 +tp7154 +a(g705 +g1164 +tp7155 +a(g705 +g1164 +tp7156 +a(g826 +V\u000a\u000a +p7157 +tp7158 +a(g826 +V-Xcompiler +p7159 +tp7160 +a(g412 +g1533 +tp7161 +a(g826 +V\u000a +p7162 +tp7163 +a(g440 +Varg_mode +p7164 +tp7165 +a(g412 +g1010 +tp7166 +a(g826 +Varg +p7167 +tp7168 +a(g826 +V +p7169 +tp7170 +a(g8 +V# the next one goes into the "base_compile" arg list\u000a +p7171 +tp7172 +a(g826 +V +p7173 +tp7174 +a(g744 +Vcontinue +p7175 +tp7176 +a(g826 +V +p7177 +tp7178 +a(g8 +V# The current "srcfile" will either be retained or\u000a +p7179 +tp7180 +a(g826 +V +p7181 +tp7182 +a(g705 +g1164 +tp7183 +a(g705 +g1164 +tp7184 +a(g826 +V +p7185 +tp7186 +a(g8 +V# replaced later. I would guess that would be a bug.\u000a +p7187 +tp7188 +a(g826 +V\u000a +p7189 +tp7190 +a(g826 +V-Wc,* +p7191 +tp7192 +a(g412 +g1533 +tp7193 +a(g826 +V\u000a +p7194 +tp7195 +a(g440 +Vargs +p7196 +tp7197 +a(g412 +g1010 +tp7198 +a(g101 +g1065 +tp7199 +a(g440 +V$echo +p7200 +tp7201 +a(g826 +g1040 +tp7202 +a(g157 +g1029 +tp7203 +a(g157 +g1191 +tp7204 +a(g440 +V$arg +p7205 +tp7206 +a(g157 +g1029 +tp7207 +a(g826 +g1040 +tp7208 +a(g705 +g1075 +tp7209 +a(g826 +g1040 +tp7210 +a(g440 +V$Xsed +p7211 +tp7212 +a(g826 +g1040 +tp7213 +a(g826 +V-e +p7214 +tp7215 +a(g826 +g1040 +tp7216 +a(g157 +V"s/^-Wc,//" +p7217 +tp7218 +a(g101 +g1065 +tp7219 +a(g826 +V\u000a +p7220 +tp7221 +a(g440 +Vlastarg +p7222 +tp7223 +a(g412 +g1010 +tp7224 +a(g826 +V\u000a +p7225 +tp7226 +a(g440 +Vsave_ifs +p7227 +tp7228 +a(g412 +g1010 +tp7229 +a(g157 +g1029 +tp7230 +a(g440 +V$IFS +p7231 +tp7232 +a(g157 +g1029 +tp7233 +a(g705 +g1164 +tp7234 +a(g826 +g1040 +tp7235 +a(g440 +VIFS +p7236 +tp7237 +a(g412 +g1010 +tp7238 +a(g229 +V',' +p7239 +tp7240 +a(g826 +V\u000a +p7241 +tp7242 +a(g744 +Vfor +p7243 +tp7244 +a(g826 +g1040 +tp7245 +a(g826 +Varg +p7246 +tp7247 +a(g826 +g1040 +tp7248 +a(g826 +Vin +p7249 +tp7250 +a(g826 +g1040 +tp7251 +a(g440 +V$args +p7252 +tp7253 +a(g705 +g1164 +tp7254 +a(g826 +g1040 +tp7255 +a(g744 +Vdo +p7256 +tp7257 +a(g826 +V\u000a +p7258 +tp7259 +a(g440 +VIFS +p7260 +tp7261 +a(g412 +g1010 +tp7262 +a(g157 +g1029 +tp7263 +a(g440 +V$save_ifs +p7264 +tp7265 +a(g157 +g1029 +tp7266 +a(g826 +V\u000a\u000a +p7267 +tp7268 +a(g8 +V# Double-quote args containing other shell metacharacters.\u000a +p7269 +tp7270 +a(g826 +V +p7271 +tp7272 +a(g8 +V# Many Bourne shells cannot handle close brackets correctly\u000a +p7273 +tp7274 +a(g826 +V +p7275 +tp7276 +a(g8 +V# in scan sets, so we specify it separately.\u000a +p7277 +tp7278 +a(g826 +V +p7279 +tp7280 +a(g744 +Vcase +p7281 +tp7282 +a(g826 +g1040 +tp7283 +a(g440 +V$arg +p7284 +tp7285 +a(g826 +g1040 +tp7286 +a(g826 +Vin +p7287 +tp7288 +a(g826 +V\u000a +p7289 +tp7290 +a(g826 +g1562 +tp7291 +a(g412 +g2838 +tp7292 +a(g213 +V\u005c[ +p7293 +tp7294 +a(g213 +V\u005c~ +p7295 +tp7296 +a(g213 +V\u005c# +p7297 +tp7298 +a(g213 +V\u005c^ +p7299 +tp7300 +a(g213 +V\u005c& +p7301 +tp7302 +a(g213 +V\u005c* +p7303 +tp7304 +a(g213 +V\u005c( +p7305 +tp7306 +a(g213 +V\u005c) +p7307 +tp7308 +a(g213 +V\u005c{ +p7309 +tp7310 +a(g213 +V\u005c} +p7311 +tp7312 +a(g213 +V\u005c| +p7313 +tp7314 +a(g213 +V\u005c; +p7315 +tp7316 +a(g213 +V\u005c< +p7317 +tp7318 +a(g213 +V\u005c> +p7319 +tp7320 +a(g213 +V\u005c? +p7321 +tp7322 +a(g213 +V\u005c' +p7323 +tp7324 +a(g213 +V\u005c +p7325 +tp7326 +a(g213 +V\u005c +p7327 +tp7328 +a(g412 +g2876 +tp7329 +a(g826 +g1562 +tp7330 +a(g705 +g1075 +tp7331 +a(g826 +g1562 +tp7332 +a(g412 +g2876 +tp7333 +a(g826 +g1562 +tp7334 +a(g705 +g1075 +tp7335 +a(g157 +V"" +p7336 +tp7337 +a(g412 +g1533 +tp7338 +a(g826 +V\u000a +p7339 +tp7340 +a(g440 +Varg +p7341 +tp7342 +a(g412 +g1010 +tp7343 +a(g157 +g1029 +tp7344 +a(g157 +V\u005c" +p7345 +tp7346 +a(g440 +V$arg +p7347 +tp7348 +a(g157 +V\u005c" +p7349 +tp7350 +a(g157 +g1029 +tp7351 +a(g826 +V\u000a +p7352 +tp7353 +a(g705 +g1164 +tp7354 +a(g705 +g1164 +tp7355 +a(g826 +V\u000a +p7356 +tp7357 +a(g744 +Vesac +p7358 +tp7359 +a(g826 +V\u000a +p7360 +tp7361 +a(g440 +Vlastarg +p7362 +tp7363 +a(g412 +g1010 +tp7364 +a(g157 +g1029 +tp7365 +a(g440 +V$lastarg +p7366 +tp7367 +a(g157 +g1040 +tp7368 +a(g440 +V$arg +p7369 +tp7370 +a(g157 +g1029 +tp7371 +a(g826 +V\u000a +p7372 +tp7373 +a(g744 +Vdone +p7374 +tp7375 +a(g826 +V\u000a +p7376 +tp7377 +a(g440 +VIFS +p7378 +tp7379 +a(g412 +g1010 +tp7380 +a(g157 +g1029 +tp7381 +a(g440 +V$save_ifs +p7382 +tp7383 +a(g157 +g1029 +tp7384 +a(g826 +V\u000a +p7385 +tp7386 +a(g440 +Vlastarg +p7387 +tp7388 +a(g412 +g1010 +tp7389 +a(g101 +g1065 +tp7390 +a(g440 +V$echo +p7391 +tp7392 +a(g826 +g1040 +tp7393 +a(g157 +g1029 +tp7394 +a(g157 +g1191 +tp7395 +a(g440 +V$lastarg +p7396 +tp7397 +a(g157 +g1029 +tp7398 +a(g826 +g1040 +tp7399 +a(g705 +g1075 +tp7400 +a(g826 +g1040 +tp7401 +a(g440 +V$Xsed +p7402 +tp7403 +a(g826 +g1040 +tp7404 +a(g826 +V-e +p7405 +tp7406 +a(g826 +g1040 +tp7407 +a(g157 +V"s/^ //" +p7408 +tp7409 +a(g101 +g1065 +tp7410 +a(g826 +V\u000a\u000a +p7411 +tp7412 +a(g8 +V# Add the arguments to base_compile.\u000a +p7413 +tp7414 +a(g826 +V +p7415 +tp7416 +a(g440 +Vbase_compile +p7417 +tp7418 +a(g412 +g1010 +tp7419 +a(g157 +g1029 +tp7420 +a(g440 +V$base_compile +p7421 +tp7422 +a(g157 +g1040 +tp7423 +a(g440 +V$lastarg +p7424 +tp7425 +a(g157 +g1029 +tp7426 +a(g826 +V\u000a +p7427 +tp7428 +a(g744 +Vcontinue +p7429 +tp7430 +a(g826 +V\u000a +p7431 +tp7432 +a(g705 +g1164 +tp7433 +a(g705 +g1164 +tp7434 +a(g826 +V\u000a\u000a +p7435 +tp7436 +a(g826 +g1562 +tp7437 +a(g826 +g1040 +tp7438 +a(g412 +g1533 +tp7439 +a(g826 +V\u000a +p7440 +tp7441 +a(g8 +V# Accept the current argument as the source file.\u000a +p7442 +tp7443 +a(g826 +V +p7444 +tp7445 +a(g8 +V# The previous "srcfile" becomes the current argument.\u000a +p7446 +tp7447 +a(g826 +V +p7448 +tp7449 +a(g8 +V#\u000a +p7450 +tp7451 +a(g826 +V +p7452 +tp7453 +a(g440 +Vlastarg +p7454 +tp7455 +a(g412 +g1010 +tp7456 +a(g157 +g1029 +tp7457 +a(g440 +V$srcfile +p7458 +tp7459 +a(g157 +g1029 +tp7460 +a(g826 +V\u000a +p7461 +tp7462 +a(g440 +Vsrcfile +p7463 +tp7464 +a(g412 +g1010 +tp7465 +a(g157 +g1029 +tp7466 +a(g440 +V$arg +p7467 +tp7468 +a(g157 +g1029 +tp7469 +a(g826 +V\u000a +p7470 +tp7471 +a(g705 +g1164 +tp7472 +a(g705 +g1164 +tp7473 +a(g826 +V\u000a +p7474 +tp7475 +a(g744 +Vesac +p7476 +tp7477 +a(g826 +V +p7478 +tp7479 +a(g8 +V# case $arg\u000a +p7480 +tp7481 +a(g826 +g2290 +tp7482 +a(g705 +g1164 +tp7483 +a(g705 +g1164 +tp7484 +a(g826 +V\u000a +p7485 +tp7486 +a(g744 +Vesac +p7487 +tp7488 +a(g826 +V +p7489 +tp7490 +a(g8 +V# case $arg_mode\u000a +p7491 +tp7492 +a(g826 +V\u000a +p7493 +tp7494 +a(g8 +V# Aesthetically quote the previous argument.\u000a +p7495 +tp7496 +a(g826 +V +p7497 +tp7498 +a(g440 +Vlastarg +p7499 +tp7500 +a(g412 +g1010 +tp7501 +a(g101 +g1065 +tp7502 +a(g440 +V$echo +p7503 +tp7504 +a(g826 +g1040 +tp7505 +a(g157 +g1029 +tp7506 +a(g157 +g1191 +tp7507 +a(g440 +V$lastarg +p7508 +tp7509 +a(g157 +g1029 +tp7510 +a(g826 +g1040 +tp7511 +a(g705 +g1075 +tp7512 +a(g826 +g1040 +tp7513 +a(g440 +V$Xsed +p7514 +tp7515 +a(g826 +g1040 +tp7516 +a(g826 +V-e +p7517 +tp7518 +a(g826 +g1040 +tp7519 +a(g157 +g1029 +tp7520 +a(g440 +V$sed_quote_subst +p7521 +tp7522 +a(g157 +g1029 +tp7523 +a(g101 +g1065 +tp7524 +a(g826 +V\u000a\u000a +p7525 +tp7526 +a(g744 +Vcase +p7527 +tp7528 +a(g826 +g1040 +tp7529 +a(g440 +V$lastarg +p7530 +tp7531 +a(g826 +g1040 +tp7532 +a(g826 +Vin +p7533 +tp7534 +a(g826 +V\u000a +p7535 +tp7536 +a(g8 +V# Double-quote args containing other shell metacharacters.\u000a +p7537 +tp7538 +a(g826 +V +p7539 +tp7540 +a(g8 +V# Many Bourne shells cannot handle close brackets correctly\u000a +p7541 +tp7542 +a(g826 +V +p7543 +tp7544 +a(g8 +V# in scan sets, and some SunOS ksh mistreat backslash-escaping\u000a +p7545 +tp7546 +a(g826 +V +p7547 +tp7548 +a(g8 +V# in scan sets (worked around with variable expansion),\u000a +p7549 +tp7550 +a(g826 +V +p7551 +tp7552 +a(g8 +V# and furthermore cannot handle '|' '&' '(' ')' in scan sets \u000a +p7553 +tp7554 +a(g826 +V +p7555 +tp7556 +a(g8 +V# at all, so we specify them separately.\u000a +p7557 +tp7558 +a(g826 +V +p7559 +tp7560 +a(g826 +g1562 +tp7561 +a(g412 +g2838 +tp7562 +a(g213 +V\u005c[ +p7563 +tp7564 +a(g213 +V\u005c~ +p7565 +tp7566 +a(g213 +V\u005c# +p7567 +tp7568 +a(g213 +V\u005c^ +p7569 +tp7570 +a(g213 +V\u005c& +p7571 +tp7572 +a(g213 +V\u005c* +p7573 +tp7574 +a(g213 +V\u005c( +p7575 +tp7576 +a(g213 +V\u005c) +p7577 +tp7578 +a(g213 +V\u005c{ +p7579 +tp7580 +a(g213 +V\u005c} +p7581 +tp7582 +a(g213 +V\u005c| +p7583 +tp7584 +a(g213 +V\u005c; +p7585 +tp7586 +a(g213 +V\u005c< +p7587 +tp7588 +a(g213 +V\u005c> +p7589 +tp7590 +a(g213 +V\u005c? +p7591 +tp7592 +a(g213 +V\u005c' +p7593 +tp7594 +a(g213 +V\u005c +p7595 +tp7596 +a(g213 +V\u005c +p7597 +tp7598 +a(g412 +g2876 +tp7599 +a(g826 +g1562 +tp7600 +a(g705 +g1075 +tp7601 +a(g826 +g1562 +tp7602 +a(g412 +g2876 +tp7603 +a(g826 +g1562 +tp7604 +a(g705 +g1075 +tp7605 +a(g157 +V"" +p7606 +tp7607 +a(g412 +g1533 +tp7608 +a(g826 +V\u000a +p7609 +tp7610 +a(g440 +Vlastarg +p7611 +tp7612 +a(g412 +g1010 +tp7613 +a(g157 +g1029 +tp7614 +a(g157 +V\u005c" +p7615 +tp7616 +a(g440 +V$lastarg +p7617 +tp7618 +a(g157 +V\u005c" +p7619 +tp7620 +a(g157 +g1029 +tp7621 +a(g826 +V\u000a +p7622 +tp7623 +a(g705 +g1164 +tp7624 +a(g705 +g1164 +tp7625 +a(g826 +V\u000a +p7626 +tp7627 +a(g744 +Vesac +p7628 +tp7629 +a(g826 +V\u000a\u000a +p7630 +tp7631 +a(g440 +Vbase_compile +p7632 +tp7633 +a(g412 +g1010 +tp7634 +a(g157 +g1029 +tp7635 +a(g440 +V$base_compile +p7636 +tp7637 +a(g157 +g1040 +tp7638 +a(g440 +V$lastarg +p7639 +tp7640 +a(g157 +g1029 +tp7641 +a(g826 +V\u000a +p7642 +tp7643 +a(g744 +Vdone +p7644 +tp7645 +a(g826 +g1040 +tp7646 +a(g8 +V# for arg\u000a +p7647 +tp7648 +a(g826 +V\u000a +p7649 +tp7650 +a(g744 +Vcase +p7651 +tp7652 +a(g826 +g1040 +tp7653 +a(g440 +V$arg_mode +p7654 +tp7655 +a(g826 +g1040 +tp7656 +a(g826 +Vin +p7657 +tp7658 +a(g826 +V\u000a +p7659 +tp7660 +a(g826 +Varg +p7661 +tp7662 +a(g412 +g1533 +tp7663 +a(g826 +V\u000a +p7664 +tp7665 +a(g440 +V$echo +p7666 +tp7667 +a(g826 +g1040 +tp7668 +a(g157 +g1029 +tp7669 +a(g440 +V$modename +p7670 +tp7671 +a(g157 +V: you must specify an argument for -Xcompile +p7672 +tp7673 +a(g157 +g1029 +tp7674 +a(g826 +V\u000a +p7675 +tp7676 +a(g681 +Vexit +p7677 +tp7678 +a(g826 +g1040 +tp7679 +a(g440 +V$EXIT_FAILURE +p7680 +tp7681 +a(g826 +V\u000a +p7682 +tp7683 +a(g705 +g1164 +tp7684 +a(g705 +g1164 +tp7685 +a(g826 +V\u000a +p7686 +tp7687 +a(g826 +Vtarget +p7688 +tp7689 +a(g412 +g1533 +tp7690 +a(g826 +V\u000a +p7691 +tp7692 +a(g440 +V$echo +p7693 +tp7694 +a(g826 +g1040 +tp7695 +a(g157 +g1029 +tp7696 +a(g440 +V$modename +p7697 +tp7698 +a(g157 +V: you must specify a target with \u005c`-o' +p7699 +tp7700 +a(g157 +g1029 +tp7701 +a(g826 +g1040 +tp7702 +a(g32 +g1107 +tp7703 +a(g826 +g1778 +tp7704 +a(g705 +g1780 +tp7705 +a(g32 +g1782 +tp7706 +a(g826 +V\u000a +p7707 +tp7708 +a(g681 +Vexit +p7709 +tp7710 +a(g826 +g1040 +tp7711 +a(g440 +V$EXIT_FAILURE +p7712 +tp7713 +a(g826 +V\u000a +p7714 +tp7715 +a(g705 +g1164 +tp7716 +a(g705 +g1164 +tp7717 +a(g826 +V\u000a +p7718 +tp7719 +a(g826 +g1562 +tp7720 +a(g412 +g1533 +tp7721 +a(g826 +V\u000a +p7722 +tp7723 +a(g8 +V# Get the name of the library object.\u000a +p7724 +tp7725 +a(g826 +V +p7726 +tp7727 +a(g412 +g2838 +tp7728 +a(g826 +g1040 +tp7729 +a(g826 +V-z +p7730 +tp7731 +a(g826 +g1040 +tp7732 +a(g157 +g1029 +tp7733 +a(g440 +V$libobj +p7734 +tp7735 +a(g157 +g1029 +tp7736 +a(g826 +g1040 +tp7737 +a(g412 +g2876 +tp7738 +a(g826 +g1040 +tp7739 +a(g412 +V&& +p7740 +tp7741 +a(g826 +g1040 +tp7742 +a(g440 +Vlibobj +p7743 +tp7744 +a(g412 +g1010 +tp7745 +a(g101 +g1065 +tp7746 +a(g440 +V$echo +p7747 +tp7748 +a(g826 +g1040 +tp7749 +a(g157 +g1029 +tp7750 +a(g157 +g1191 +tp7751 +a(g440 +V$srcfile +p7752 +tp7753 +a(g157 +g1029 +tp7754 +a(g826 +g1040 +tp7755 +a(g705 +g1075 +tp7756 +a(g826 +g1040 +tp7757 +a(g440 +V$Xsed +p7758 +tp7759 +a(g826 +g1040 +tp7760 +a(g826 +V-e +p7761 +tp7762 +a(g826 +g1040 +tp7763 +a(g229 +V's%^.*/%%' +p7764 +tp7765 +a(g101 +g1065 +tp7766 +a(g826 +V\u000a +p7767 +tp7768 +a(g705 +g1164 +tp7769 +a(g705 +g1164 +tp7770 +a(g826 +V\u000a +p7771 +tp7772 +a(g744 +Vesac +p7773 +tp7774 +a(g826 +V\u000a\u000a +p7775 +tp7776 +a(g8 +V# Recognize several different file suffixes.\u000a +p7777 +tp7778 +a(g826 +V +p7779 +tp7780 +a(g8 +V# If the user specifies -o file.o, it is replaced with file.lo\u000a +p7781 +tp7782 +a(g826 +V +p7783 +tp7784 +a(g440 +Vxform +p7785 +tp7786 +a(g412 +g1010 +tp7787 +a(g229 +V'[cCFSifmso]' +p7788 +tp7789 +a(g826 +V\u000a +p7790 +tp7791 +a(g744 +Vcase +p7792 +tp7793 +a(g826 +g1040 +tp7794 +a(g440 +V$libobj +p7795 +tp7796 +a(g826 +g1040 +tp7797 +a(g826 +Vin +p7798 +tp7799 +a(g826 +V\u000a +p7800 +tp7801 +a(g826 +V*.ada +p7802 +tp7803 +a(g412 +g1533 +tp7804 +a(g826 +g1040 +tp7805 +a(g440 +Vxform +p7806 +tp7807 +a(g412 +g1010 +tp7808 +a(g826 +Vada +p7809 +tp7810 +a(g826 +g1040 +tp7811 +a(g705 +g1164 +tp7812 +a(g705 +g1164 +tp7813 +a(g826 +V\u000a +p7814 +tp7815 +a(g826 +V*.adb +p7816 +tp7817 +a(g412 +g1533 +tp7818 +a(g826 +g1040 +tp7819 +a(g440 +Vxform +p7820 +tp7821 +a(g412 +g1010 +tp7822 +a(g826 +Vadb +p7823 +tp7824 +a(g826 +g1040 +tp7825 +a(g705 +g1164 +tp7826 +a(g705 +g1164 +tp7827 +a(g826 +V\u000a +p7828 +tp7829 +a(g826 +V*.ads +p7830 +tp7831 +a(g412 +g1533 +tp7832 +a(g826 +g1040 +tp7833 +a(g440 +Vxform +p7834 +tp7835 +a(g412 +g1010 +tp7836 +a(g826 +Vads +p7837 +tp7838 +a(g826 +g1040 +tp7839 +a(g705 +g1164 +tp7840 +a(g705 +g1164 +tp7841 +a(g826 +V\u000a +p7842 +tp7843 +a(g826 +V*.asm +p7844 +tp7845 +a(g412 +g1533 +tp7846 +a(g826 +g1040 +tp7847 +a(g440 +Vxform +p7848 +tp7849 +a(g412 +g1010 +tp7850 +a(g826 +Vasm +p7851 +tp7852 +a(g826 +g1040 +tp7853 +a(g705 +g1164 +tp7854 +a(g705 +g1164 +tp7855 +a(g826 +V\u000a +p7856 +tp7857 +a(g826 +V*.c++ +p7858 +tp7859 +a(g412 +g1533 +tp7860 +a(g826 +g1040 +tp7861 +a(g440 +Vxform +p7862 +tp7863 +a(g412 +g1010 +tp7864 +a(g826 +Vc++ +p7865 +tp7866 +a(g826 +g1040 +tp7867 +a(g705 +g1164 +tp7868 +a(g705 +g1164 +tp7869 +a(g826 +V\u000a +p7870 +tp7871 +a(g826 +V*.cc +p7872 +tp7873 +a(g412 +g1533 +tp7874 +a(g826 +g1040 +tp7875 +a(g440 +Vxform +p7876 +tp7877 +a(g412 +g1010 +tp7878 +a(g826 +Vcc +p7879 +tp7880 +a(g826 +g1040 +tp7881 +a(g705 +g1164 +tp7882 +a(g705 +g1164 +tp7883 +a(g826 +V\u000a +p7884 +tp7885 +a(g826 +V*.ii +p7886 +tp7887 +a(g412 +g1533 +tp7888 +a(g826 +g1040 +tp7889 +a(g440 +Vxform +p7890 +tp7891 +a(g412 +g1010 +tp7892 +a(g826 +Vii +p7893 +tp7894 +a(g826 +g1040 +tp7895 +a(g705 +g1164 +tp7896 +a(g705 +g1164 +tp7897 +a(g826 +V\u000a +p7898 +tp7899 +a(g826 +V*.class +p7900 +tp7901 +a(g412 +g1533 +tp7902 +a(g826 +g1040 +tp7903 +a(g440 +Vxform +p7904 +tp7905 +a(g412 +g1010 +tp7906 +a(g826 +Vclass +p7907 +tp7908 +a(g826 +g1040 +tp7909 +a(g705 +g1164 +tp7910 +a(g705 +g1164 +tp7911 +a(g826 +V\u000a +p7912 +tp7913 +a(g826 +V*.cpp +p7914 +tp7915 +a(g412 +g1533 +tp7916 +a(g826 +g1040 +tp7917 +a(g440 +Vxform +p7918 +tp7919 +a(g412 +g1010 +tp7920 +a(g826 +Vcpp +p7921 +tp7922 +a(g826 +g1040 +tp7923 +a(g705 +g1164 +tp7924 +a(g705 +g1164 +tp7925 +a(g826 +V\u000a +p7926 +tp7927 +a(g826 +V*.cxx +p7928 +tp7929 +a(g412 +g1533 +tp7930 +a(g826 +g1040 +tp7931 +a(g440 +Vxform +p7932 +tp7933 +a(g412 +g1010 +tp7934 +a(g826 +Vcxx +p7935 +tp7936 +a(g826 +g1040 +tp7937 +a(g705 +g1164 +tp7938 +a(g705 +g1164 +tp7939 +a(g826 +V\u000a +p7940 +tp7941 +a(g826 +V*.f90 +p7942 +tp7943 +a(g412 +g1533 +tp7944 +a(g826 +g1040 +tp7945 +a(g440 +Vxform +p7946 +tp7947 +a(g412 +g1010 +tp7948 +a(g826 +Vf90 +p7949 +tp7950 +a(g826 +g1040 +tp7951 +a(g705 +g1164 +tp7952 +a(g705 +g1164 +tp7953 +a(g826 +V\u000a +p7954 +tp7955 +a(g826 +V*.for +p7956 +tp7957 +a(g412 +g1533 +tp7958 +a(g826 +g1040 +tp7959 +a(g440 +Vxform +p7960 +tp7961 +a(g412 +g1010 +tp7962 +a(g744 +Vfor +p7963 +tp7964 +a(g826 +g1040 +tp7965 +a(g705 +g1164 +tp7966 +a(g705 +g1164 +tp7967 +a(g826 +V\u000a +p7968 +tp7969 +a(g826 +V*.java +p7970 +tp7971 +a(g412 +g1533 +tp7972 +a(g826 +g1040 +tp7973 +a(g440 +Vxform +p7974 +tp7975 +a(g412 +g1010 +tp7976 +a(g826 +Vjava +p7977 +tp7978 +a(g826 +g1040 +tp7979 +a(g705 +g1164 +tp7980 +a(g705 +g1164 +tp7981 +a(g826 +V\u000a +p7982 +tp7983 +a(g744 +Vesac +p7984 +tp7985 +a(g826 +V\u000a\u000a +p7986 +tp7987 +a(g440 +Vlibobj +p7988 +tp7989 +a(g412 +g1010 +tp7990 +a(g101 +g1065 +tp7991 +a(g440 +V$echo +p7992 +tp7993 +a(g826 +g1040 +tp7994 +a(g157 +g1029 +tp7995 +a(g157 +g1191 +tp7996 +a(g440 +V$libobj +p7997 +tp7998 +a(g157 +g1029 +tp7999 +a(g826 +g1040 +tp8000 +a(g705 +g1075 +tp8001 +a(g826 +g1040 +tp8002 +a(g440 +V$Xsed +p8003 +tp8004 +a(g826 +g1040 +tp8005 +a(g826 +V-e +p8006 +tp8007 +a(g826 +g1040 +tp8008 +a(g157 +g1029 +tp8009 +a(g157 +Vs/\u005c. +p8010 +tp8011 +a(g440 +V$xform +p8012 +tp8013 +a(g826 +g3082 +tp8014 +a(g157 +V/.lo/ +p8015 +tp8016 +a(g157 +g1029 +tp8017 +a(g101 +g1065 +tp8018 +a(g826 +V\u000a\u000a +p8019 +tp8020 +a(g744 +Vcase +p8021 +tp8022 +a(g826 +g1040 +tp8023 +a(g440 +V$libobj +p8024 +tp8025 +a(g826 +g1040 +tp8026 +a(g826 +Vin +p8027 +tp8028 +a(g826 +V\u000a +p8029 +tp8030 +a(g826 +V*.lo +p8031 +tp8032 +a(g412 +g1533 +tp8033 +a(g826 +g1040 +tp8034 +a(g440 +Vobj +p8035 +tp8036 +a(g412 +g1010 +tp8037 +a(g101 +g1065 +tp8038 +a(g440 +V$echo +p8039 +tp8040 +a(g826 +g1040 +tp8041 +a(g157 +g1029 +tp8042 +a(g157 +g1191 +tp8043 +a(g440 +V$libobj +p8044 +tp8045 +a(g157 +g1029 +tp8046 +a(g826 +g1040 +tp8047 +a(g705 +g1075 +tp8048 +a(g826 +g1040 +tp8049 +a(g440 +V$Xsed +p8050 +tp8051 +a(g826 +g1040 +tp8052 +a(g826 +V-e +p8053 +tp8054 +a(g826 +g1040 +tp8055 +a(g157 +g1029 +tp8056 +a(g440 +V$lo2o +p8057 +tp8058 +a(g157 +g1029 +tp8059 +a(g101 +g1065 +tp8060 +a(g826 +g1040 +tp8061 +a(g705 +g1164 +tp8062 +a(g705 +g1164 +tp8063 +a(g826 +V\u000a +p8064 +tp8065 +a(g826 +g1562 +tp8066 +a(g412 +g1533 +tp8067 +a(g826 +V\u000a +p8068 +tp8069 +a(g440 +V$echo +p8070 +tp8071 +a(g826 +g1040 +tp8072 +a(g157 +g1029 +tp8073 +a(g440 +V$modename +p8074 +tp8075 +a(g157 +V: cannot determine name of library object from \u005c` +p8076 +tp8077 +a(g440 +V$libobj +p8078 +tp8079 +a(g157 +g2379 +tp8080 +a(g157 +g1029 +tp8081 +a(g826 +g1040 +tp8082 +a(g32 +g1107 +tp8083 +a(g826 +g1778 +tp8084 +a(g705 +g1780 +tp8085 +a(g32 +g1782 +tp8086 +a(g826 +V\u000a +p8087 +tp8088 +a(g681 +Vexit +p8089 +tp8090 +a(g826 +g1040 +tp8091 +a(g440 +V$EXIT_FAILURE +p8092 +tp8093 +a(g826 +V\u000a +p8094 +tp8095 +a(g705 +g1164 +tp8096 +a(g705 +g1164 +tp8097 +a(g826 +V\u000a +p8098 +tp8099 +a(g744 +Vesac +p8100 +tp8101 +a(g826 +V\u000a\u000a +p8102 +tp8103 +a(g826 +Vfunc_infer_tag +p8104 +tp8105 +a(g826 +g1040 +tp8106 +a(g440 +V$base_compile +p8107 +tp8108 +a(g826 +V\u000a\u000a +p8109 +tp8110 +a(g744 +Vfor +p8111 +tp8112 +a(g826 +g1040 +tp8113 +a(g826 +Varg +p8114 +tp8115 +a(g826 +g1040 +tp8116 +a(g826 +Vin +p8117 +tp8118 +a(g826 +g1040 +tp8119 +a(g440 +V$later +p8120 +tp8121 +a(g705 +g1164 +tp8122 +a(g826 +g1040 +tp8123 +a(g744 +Vdo +p8124 +tp8125 +a(g826 +V\u000a +p8126 +tp8127 +a(g744 +Vcase +p8128 +tp8129 +a(g826 +g1040 +tp8130 +a(g440 +V$arg +p8131 +tp8132 +a(g826 +g1040 +tp8133 +a(g826 +Vin +p8134 +tp8135 +a(g826 +V\u000a +p8136 +tp8137 +a(g826 +V-static +p8138 +tp8139 +a(g412 +g1533 +tp8140 +a(g826 +V\u000a +p8141 +tp8142 +a(g440 +Vbuild_old_libs +p8143 +tp8144 +a(g412 +g1010 +tp8145 +a(g826 +Vyes +p8146 +tp8147 +a(g826 +V\u000a +p8148 +tp8149 +a(g744 +Vcontinue +p8150 +tp8151 +a(g826 +V\u000a +p8152 +tp8153 +a(g705 +g1164 +tp8154 +a(g705 +g1164 +tp8155 +a(g826 +V\u000a\u000a +p8156 +tp8157 +a(g826 +V-prefer-pic +p8158 +tp8159 +a(g412 +g1533 +tp8160 +a(g826 +V\u000a +p8161 +tp8162 +a(g440 +Vpic_mode +p8163 +tp8164 +a(g412 +g1010 +tp8165 +a(g826 +Vyes +p8166 +tp8167 +a(g826 +V\u000a +p8168 +tp8169 +a(g744 +Vcontinue +p8170 +tp8171 +a(g826 +V\u000a +p8172 +tp8173 +a(g705 +g1164 +tp8174 +a(g705 +g1164 +tp8175 +a(g826 +V\u000a\u000a +p8176 +tp8177 +a(g826 +V-prefer-non-pic +p8178 +tp8179 +a(g412 +g1533 +tp8180 +a(g826 +V\u000a +p8181 +tp8182 +a(g440 +Vpic_mode +p8183 +tp8184 +a(g412 +g1010 +tp8185 +a(g826 +Vno +p8186 +tp8187 +a(g826 +V\u000a +p8188 +tp8189 +a(g744 +Vcontinue +p8190 +tp8191 +a(g826 +V\u000a +p8192 +tp8193 +a(g705 +g1164 +tp8194 +a(g705 +g1164 +tp8195 +a(g826 +V\u000a +p8196 +tp8197 +a(g744 +Vesac +p8198 +tp8199 +a(g826 +V\u000a +p8200 +tp8201 +a(g744 +Vdone +p8202 +tp8203 +a(g826 +V\u000a\u000a +p8204 +tp8205 +a(g440 +Vqlibobj +p8206 +tp8207 +a(g412 +g1010 +tp8208 +a(g101 +g1065 +tp8209 +a(g440 +V$echo +p8210 +tp8211 +a(g826 +g1040 +tp8212 +a(g157 +g1029 +tp8213 +a(g157 +g1191 +tp8214 +a(g440 +V$libobj +p8215 +tp8216 +a(g157 +g1029 +tp8217 +a(g826 +g1040 +tp8218 +a(g705 +g1075 +tp8219 +a(g826 +g1040 +tp8220 +a(g440 +V$Xsed +p8221 +tp8222 +a(g826 +g1040 +tp8223 +a(g826 +V-e +p8224 +tp8225 +a(g826 +g1040 +tp8226 +a(g157 +g1029 +tp8227 +a(g440 +V$sed_quote_subst +p8228 +tp8229 +a(g157 +g1029 +tp8230 +a(g101 +g1065 +tp8231 +a(g826 +V\u000a +p8232 +tp8233 +a(g744 +Vcase +p8234 +tp8235 +a(g826 +g1040 +tp8236 +a(g440 +V$qlibobj +p8237 +tp8238 +a(g826 +g1040 +tp8239 +a(g826 +Vin +p8240 +tp8241 +a(g826 +V\u000a +p8242 +tp8243 +a(g826 +g1562 +tp8244 +a(g412 +g2838 +tp8245 +a(g213 +V\u005c[ +p8246 +tp8247 +a(g213 +V\u005c~ +p8248 +tp8249 +a(g213 +V\u005c# +p8250 +tp8251 +a(g213 +V\u005c^ +p8252 +tp8253 +a(g213 +V\u005c& +p8254 +tp8255 +a(g213 +V\u005c* +p8256 +tp8257 +a(g213 +V\u005c( +p8258 +tp8259 +a(g213 +V\u005c) +p8260 +tp8261 +a(g213 +V\u005c{ +p8262 +tp8263 +a(g213 +V\u005c} +p8264 +tp8265 +a(g213 +V\u005c| +p8266 +tp8267 +a(g213 +V\u005c; +p8268 +tp8269 +a(g213 +V\u005c< +p8270 +tp8271 +a(g213 +V\u005c> +p8272 +tp8273 +a(g213 +V\u005c? +p8274 +tp8275 +a(g213 +V\u005c' +p8276 +tp8277 +a(g213 +V\u005c +p8278 +tp8279 +a(g213 +V\u005c +p8280 +tp8281 +a(g412 +g2876 +tp8282 +a(g826 +g1562 +tp8283 +a(g705 +g1075 +tp8284 +a(g826 +g1562 +tp8285 +a(g412 +g2876 +tp8286 +a(g826 +g1562 +tp8287 +a(g705 +g1075 +tp8288 +a(g157 +V"" +p8289 +tp8290 +a(g412 +g1533 +tp8291 +a(g826 +V\u000a +p8292 +tp8293 +a(g440 +Vqlibobj +p8294 +tp8295 +a(g412 +g1010 +tp8296 +a(g157 +g1029 +tp8297 +a(g157 +V\u005c" +p8298 +tp8299 +a(g440 +V$qlibobj +p8300 +tp8301 +a(g157 +V\u005c" +p8302 +tp8303 +a(g157 +g1029 +tp8304 +a(g826 +g1040 +tp8305 +a(g705 +g1164 +tp8306 +a(g705 +g1164 +tp8307 +a(g826 +V\u000a +p8308 +tp8309 +a(g744 +Vesac +p8310 +tp8311 +a(g826 +V\u000a +p8312 +tp8313 +a(g681 +Vtest +p8314 +tp8315 +a(g826 +g1040 +tp8316 +a(g157 +g1029 +tp8317 +a(g157 +g1191 +tp8318 +a(g440 +V$libobj +p8319 +tp8320 +a(g157 +g1029 +tp8321 +a(g826 +g1040 +tp8322 +a(g826 +g1738 +tp8323 +a(g412 +g1010 +tp8324 +a(g826 +g1040 +tp8325 +a(g157 +g1029 +tp8326 +a(g157 +g1191 +tp8327 +a(g440 +V$qlibobj +p8328 +tp8329 +a(g157 +g1029 +tp8330 +a(g826 +g1040 +tp8331 +a(g213 +V\u005c\u000a +p8332 +tp8333 +a(g826 +g2290 +tp8334 +a(g412 +V&& +p8335 +tp8336 +a(g826 +g1040 +tp8337 +a(g440 +V$echo +p8338 +tp8339 +a(g826 +g1040 +tp8340 +a(g157 +g1029 +tp8341 +a(g157 +g1191 +tp8342 +a(g440 +V$libobj +p8343 +tp8344 +a(g157 +g1029 +tp8345 +a(g826 +g1040 +tp8346 +a(g705 +g1075 +tp8347 +a(g826 +g1040 +tp8348 +a(g826 +Vgrep +p8349 +tp8350 +a(g826 +g1040 +tp8351 +a(g229 +V'[]~#^*{};<>?"' +p8352 +tp8353 +a(g157 +V"'" +p8354 +tp8355 +a(g229 +V' &()|`$[]' +p8356 +tp8357 +a(g826 +g1040 +tp8358 +a(g213 +V\u005c\u000a +p8359 +tp8360 +a(g826 +g2290 +tp8361 +a(g412 +V&& +p8362 +tp8363 +a(g826 +g1040 +tp8364 +a(g440 +V$echo +p8365 +tp8366 +a(g826 +g1040 +tp8367 +a(g157 +g1029 +tp8368 +a(g440 +V$modename +p8369 +tp8370 +a(g157 +V: libobj name \u005c` +p8371 +tp8372 +a(g440 +V$libobj +p8373 +tp8374 +a(g157 +V' may not contain shell special characters. +p8375 +tp8376 +a(g157 +g1029 +tp8377 +a(g826 +V\u000a +p8378 +tp8379 +a(g440 +Vobjname +p8380 +tp8381 +a(g412 +g1010 +tp8382 +a(g101 +g1065 +tp8383 +a(g440 +V$echo +p8384 +tp8385 +a(g826 +g1040 +tp8386 +a(g157 +g1029 +tp8387 +a(g157 +g1191 +tp8388 +a(g440 +V$obj +p8389 +tp8390 +a(g157 +g1029 +tp8391 +a(g826 +g1040 +tp8392 +a(g705 +g1075 +tp8393 +a(g826 +g1040 +tp8394 +a(g440 +V$Xsed +p8395 +tp8396 +a(g826 +g1040 +tp8397 +a(g826 +V-e +p8398 +tp8399 +a(g826 +g1040 +tp8400 +a(g229 +V's%^.*/%%' +p8401 +tp8402 +a(g101 +g1065 +tp8403 +a(g826 +V\u000a +p8404 +tp8405 +a(g440 +Vxdir +p8406 +tp8407 +a(g412 +g1010 +tp8408 +a(g101 +g1065 +tp8409 +a(g440 +V$echo +p8410 +tp8411 +a(g826 +g1040 +tp8412 +a(g157 +g1029 +tp8413 +a(g157 +g1191 +tp8414 +a(g440 +V$obj +p8415 +tp8416 +a(g157 +g1029 +tp8417 +a(g826 +g1040 +tp8418 +a(g705 +g1075 +tp8419 +a(g826 +g1040 +tp8420 +a(g440 +V$Xsed +p8421 +tp8422 +a(g826 +g1040 +tp8423 +a(g826 +V-e +p8424 +tp8425 +a(g826 +g1040 +tp8426 +a(g229 +V's%/[^/]*$%%' +p8427 +tp8428 +a(g101 +g1065 +tp8429 +a(g826 +V\u000a +p8430 +tp8431 +a(g744 +Vif +p8432 +tp8433 +a(g826 +g1040 +tp8434 +a(g681 +Vtest +p8435 +tp8436 +a(g826 +g1040 +tp8437 +a(g157 +g1029 +tp8438 +a(g157 +g1191 +tp8439 +a(g440 +V$xdir +p8440 +tp8441 +a(g157 +g1029 +tp8442 +a(g826 +g1040 +tp8443 +a(g412 +g1010 +tp8444 +a(g826 +g1040 +tp8445 +a(g157 +g1029 +tp8446 +a(g157 +g1191 +tp8447 +a(g440 +V$obj +p8448 +tp8449 +a(g157 +g1029 +tp8450 +a(g705 +g1164 +tp8451 +a(g826 +g1040 +tp8452 +a(g744 +Vthen +p8453 +tp8454 +a(g826 +V\u000a +p8455 +tp8456 +a(g440 +Vxdir +p8457 +tp8458 +a(g412 +g1010 +tp8459 +a(g826 +V\u000a +p8460 +tp8461 +a(g744 +Velse +p8462 +tp8463 +a(g826 +V\u000a +p8464 +tp8465 +a(g440 +Vxdir +p8466 +tp8467 +a(g412 +g1010 +tp8468 +a(g440 +V$xdir +p8469 +tp8470 +a(g826 +g1886 +tp8471 +a(g826 +V\u000a +p8472 +tp8473 +a(g744 +Vfi +p8474 +tp8475 +a(g826 +V\u000a +p8476 +tp8477 +a(g440 +Vlobj +p8478 +tp8479 +a(g412 +g1010 +tp8480 +a(g125 +V${ +p8481 +tp8482 +a(g440 +Vxdir +p8483 +tp8484 +a(g125 +g1160 +tp8485 +a(g440 +V$objdir +p8486 +tp8487 +a(g826 +g1886 +tp8488 +a(g440 +V$objname +p8489 +tp8490 +a(g826 +V\u000a\u000a +p8491 +tp8492 +a(g744 +Vif +p8493 +tp8494 +a(g826 +g1040 +tp8495 +a(g681 +Vtest +p8496 +tp8497 +a(g826 +g1040 +tp8498 +a(g826 +V-z +p8499 +tp8500 +a(g826 +g1040 +tp8501 +a(g157 +g1029 +tp8502 +a(g440 +V$base_compile +p8503 +tp8504 +a(g157 +g1029 +tp8505 +a(g705 +g1164 +tp8506 +a(g826 +g1040 +tp8507 +a(g744 +Vthen +p8508 +tp8509 +a(g826 +V\u000a +p8510 +tp8511 +a(g440 +V$echo +p8512 +tp8513 +a(g826 +g1040 +tp8514 +a(g157 +g1029 +tp8515 +a(g440 +V$modename +p8516 +tp8517 +a(g157 +V: you must specify a compilation command +p8518 +tp8519 +a(g157 +g1029 +tp8520 +a(g826 +g1040 +tp8521 +a(g32 +g1107 +tp8522 +a(g826 +g1778 +tp8523 +a(g705 +g1780 +tp8524 +a(g32 +g1782 +tp8525 +a(g826 +V\u000a +p8526 +tp8527 +a(g440 +V$echo +p8528 +tp8529 +a(g826 +g1040 +tp8530 +a(g157 +g1029 +tp8531 +a(g440 +V$help +p8532 +tp8533 +a(g157 +g1029 +tp8534 +a(g826 +g1040 +tp8535 +a(g32 +g1107 +tp8536 +a(g826 +g1778 +tp8537 +a(g705 +g1780 +tp8538 +a(g32 +g1782 +tp8539 +a(g826 +V\u000a +p8540 +tp8541 +a(g681 +Vexit +p8542 +tp8543 +a(g826 +g1040 +tp8544 +a(g440 +V$EXIT_FAILURE +p8545 +tp8546 +a(g826 +V\u000a +p8547 +tp8548 +a(g744 +Vfi +p8549 +tp8550 +a(g826 +V\u000a\u000a +p8551 +tp8552 +a(g8 +V# Delete any leftover library objects.\u000a +p8553 +tp8554 +a(g826 +V +p8555 +tp8556 +a(g744 +Vif +p8557 +tp8558 +a(g826 +g1040 +tp8559 +a(g681 +Vtest +p8560 +tp8561 +a(g826 +g1040 +tp8562 +a(g157 +g1029 +tp8563 +a(g440 +V$build_old_libs +p8564 +tp8565 +a(g157 +g1029 +tp8566 +a(g826 +g1040 +tp8567 +a(g412 +g1010 +tp8568 +a(g826 +g1040 +tp8569 +a(g826 +Vyes +p8570 +tp8571 +a(g705 +g1164 +tp8572 +a(g826 +g1040 +tp8573 +a(g744 +Vthen +p8574 +tp8575 +a(g826 +V\u000a +p8576 +tp8577 +a(g440 +Vremovelist +p8578 +tp8579 +a(g412 +g1010 +tp8580 +a(g157 +g1029 +tp8581 +a(g440 +V$obj +p8582 +tp8583 +a(g157 +g1040 +tp8584 +a(g440 +V$lobj +p8585 +tp8586 +a(g157 +g1040 +tp8587 +a(g440 +V$libobj +p8588 +tp8589 +a(g157 +g1040 +tp8590 +a(g125 +V${ +p8591 +tp8592 +a(g440 +Vlibobj +p8593 +tp8594 +a(g125 +g1160 +tp8595 +a(g157 +VT +p8596 +tp8597 +a(g157 +g1029 +tp8598 +a(g826 +V\u000a +p8599 +tp8600 +a(g744 +Velse +p8601 +tp8602 +a(g826 +V\u000a +p8603 +tp8604 +a(g440 +Vremovelist +p8605 +tp8606 +a(g412 +g1010 +tp8607 +a(g157 +g1029 +tp8608 +a(g440 +V$lobj +p8609 +tp8610 +a(g157 +g1040 +tp8611 +a(g440 +V$libobj +p8612 +tp8613 +a(g157 +g1040 +tp8614 +a(g125 +V${ +p8615 +tp8616 +a(g440 +Vlibobj +p8617 +tp8618 +a(g125 +g1160 +tp8619 +a(g157 +g8596 +tp8620 +a(g157 +g1029 +tp8621 +a(g826 +V\u000a +p8622 +tp8623 +a(g744 +Vfi +p8624 +tp8625 +a(g826 +V\u000a\u000a +p8626 +tp8627 +a(g440 +V$run +p8628 +tp8629 +a(g826 +g1040 +tp8630 +a(g440 +V$rm +p8631 +tp8632 +a(g826 +g1040 +tp8633 +a(g440 +V$removelist +p8634 +tp8635 +a(g826 +V\u000a +p8636 +tp8637 +a(g681 +Vtrap +p8638 +tp8639 +a(g826 +g1040 +tp8640 +a(g157 +g1029 +tp8641 +a(g440 +V$run +p8642 +tp8643 +a(g157 +g1040 +tp8644 +a(g440 +V$rm +p8645 +tp8646 +a(g157 +g1040 +tp8647 +a(g440 +V$removelist +p8648 +tp8649 +a(g157 +V; exit +p8650 +tp8651 +a(g440 +V$EXIT_FAILURE +p8652 +tp8653 +a(g157 +g1029 +tp8654 +a(g826 +g1040 +tp8655 +a(g32 +g1107 +tp8656 +a(g826 +g1040 +tp8657 +a(g32 +g1782 +tp8658 +a(g826 +g1040 +tp8659 +a(g32 +V15 +p8660 +tp8661 +a(g826 +V\u000a\u000a +p8662 +tp8663 +a(g8 +V# On Cygwin there's no "real" PIC flag so we must build both object types\u000a +p8664 +tp8665 +a(g826 +V +p8666 +tp8667 +a(g744 +Vcase +p8668 +tp8669 +a(g826 +g1040 +tp8670 +a(g440 +V$host_os +p8671 +tp8672 +a(g826 +g1040 +tp8673 +a(g826 +Vin +p8674 +tp8675 +a(g826 +V\u000a +p8676 +tp8677 +a(g826 +Vcygwin* +p8678 +tp8679 +a(g826 +g1040 +tp8680 +a(g705 +g1075 +tp8681 +a(g826 +g1040 +tp8682 +a(g826 +Vmingw* +p8683 +tp8684 +a(g826 +g1040 +tp8685 +a(g705 +g1075 +tp8686 +a(g826 +g1040 +tp8687 +a(g826 +Vpw32* +p8688 +tp8689 +a(g826 +g1040 +tp8690 +a(g705 +g1075 +tp8691 +a(g826 +g1040 +tp8692 +a(g826 +Vos2* +p8693 +tp8694 +a(g412 +g1533 +tp8695 +a(g826 +V\u000a +p8696 +tp8697 +a(g440 +Vpic_mode +p8698 +tp8699 +a(g412 +g1010 +tp8700 +a(g826 +Vdefault +p8701 +tp8702 +a(g826 +V\u000a +p8703 +tp8704 +a(g705 +g1164 +tp8705 +a(g705 +g1164 +tp8706 +a(g826 +V\u000a +p8707 +tp8708 +a(g744 +Vesac +p8709 +tp8710 +a(g826 +V\u000a +p8711 +tp8712 +a(g744 +Vif +p8713 +tp8714 +a(g826 +g1040 +tp8715 +a(g681 +Vtest +p8716 +tp8717 +a(g826 +g1040 +tp8718 +a(g157 +g1029 +tp8719 +a(g440 +V$pic_mode +p8720 +tp8721 +a(g157 +g1029 +tp8722 +a(g826 +g1040 +tp8723 +a(g412 +g1010 +tp8724 +a(g826 +g1040 +tp8725 +a(g826 +Vno +p8726 +tp8727 +a(g826 +g1040 +tp8728 +a(g412 +V&& +p8729 +tp8730 +a(g826 +g1040 +tp8731 +a(g681 +Vtest +p8732 +tp8733 +a(g826 +g1040 +tp8734 +a(g157 +g1029 +tp8735 +a(g440 +V$deplibs_check_method +p8736 +tp8737 +a(g157 +g1029 +tp8738 +a(g826 +g1040 +tp8739 +a(g826 +g1738 +tp8740 +a(g412 +g1010 +tp8741 +a(g826 +g1040 +tp8742 +a(g826 +Vpass_all +p8743 +tp8744 +a(g705 +g1164 +tp8745 +a(g826 +g1040 +tp8746 +a(g744 +Vthen +p8747 +tp8748 +a(g826 +V\u000a +p8749 +tp8750 +a(g8 +V# non-PIC code in shared libraries is not supported\u000a +p8751 +tp8752 +a(g826 +V +p8753 +tp8754 +a(g440 +Vpic_mode +p8755 +tp8756 +a(g412 +g1010 +tp8757 +a(g826 +Vdefault +p8758 +tp8759 +a(g826 +V\u000a +p8760 +tp8761 +a(g744 +Vfi +p8762 +tp8763 +a(g826 +V\u000a\u000a +p8764 +tp8765 +a(g8 +V# Calculate the filename of the output object if compiler does\u000a +p8766 +tp8767 +a(g826 +V +p8768 +tp8769 +a(g8 +V# not support -o with -c\u000a +p8770 +tp8771 +a(g826 +V +p8772 +tp8773 +a(g744 +Vif +p8774 +tp8775 +a(g826 +g1040 +tp8776 +a(g681 +Vtest +p8777 +tp8778 +a(g826 +g1040 +tp8779 +a(g157 +g1029 +tp8780 +a(g440 +V$compiler_c_o +p8781 +tp8782 +a(g157 +g1029 +tp8783 +a(g826 +g1040 +tp8784 +a(g412 +g1010 +tp8785 +a(g826 +g1040 +tp8786 +a(g826 +Vno +p8787 +tp8788 +a(g705 +g1164 +tp8789 +a(g826 +g1040 +tp8790 +a(g744 +Vthen +p8791 +tp8792 +a(g826 +V\u000a +p8793 +tp8794 +a(g440 +Voutput_obj +p8795 +tp8796 +a(g412 +g1010 +tp8797 +a(g101 +g1065 +tp8798 +a(g440 +V$echo +p8799 +tp8800 +a(g826 +g1040 +tp8801 +a(g157 +g1029 +tp8802 +a(g157 +g1191 +tp8803 +a(g440 +V$srcfile +p8804 +tp8805 +a(g157 +g1029 +tp8806 +a(g826 +g1040 +tp8807 +a(g705 +g1075 +tp8808 +a(g826 +g1040 +tp8809 +a(g440 +V$Xsed +p8810 +tp8811 +a(g826 +g1040 +tp8812 +a(g826 +V-e +p8813 +tp8814 +a(g826 +g1040 +tp8815 +a(g229 +V's%^.*/%%' +p8816 +tp8817 +a(g826 +g1040 +tp8818 +a(g826 +V-e +p8819 +tp8820 +a(g826 +g1040 +tp8821 +a(g229 +V's%\u005c.[^.]*$%%' +p8822 +tp8823 +a(g101 +g1065 +tp8824 +a(g826 +g5058 +tp8825 +a(g125 +V${ +p8826 +tp8827 +a(g440 +Vobjext +p8828 +tp8829 +a(g125 +g1160 +tp8830 +a(g826 +V\u000a +p8831 +tp8832 +a(g440 +Vlockfile +p8833 +tp8834 +a(g412 +g1010 +tp8835 +a(g157 +g1029 +tp8836 +a(g440 +V$output_obj +p8837 +tp8838 +a(g157 +V.lock +p8839 +tp8840 +a(g157 +g1029 +tp8841 +a(g826 +V\u000a +p8842 +tp8843 +a(g440 +Vremovelist +p8844 +tp8845 +a(g412 +g1010 +tp8846 +a(g157 +g1029 +tp8847 +a(g440 +V$removelist +p8848 +tp8849 +a(g157 +g1040 +tp8850 +a(g440 +V$output_obj +p8851 +tp8852 +a(g157 +g1040 +tp8853 +a(g440 +V$lockfile +p8854 +tp8855 +a(g157 +g1029 +tp8856 +a(g826 +V\u000a +p8857 +tp8858 +a(g681 +Vtrap +p8859 +tp8860 +a(g826 +g1040 +tp8861 +a(g157 +g1029 +tp8862 +a(g440 +V$run +p8863 +tp8864 +a(g157 +g1040 +tp8865 +a(g440 +V$rm +p8866 +tp8867 +a(g157 +g1040 +tp8868 +a(g440 +V$removelist +p8869 +tp8870 +a(g157 +V; exit +p8871 +tp8872 +a(g440 +V$EXIT_FAILURE +p8873 +tp8874 +a(g157 +g1029 +tp8875 +a(g826 +g1040 +tp8876 +a(g32 +g1107 +tp8877 +a(g826 +g1040 +tp8878 +a(g32 +g1782 +tp8879 +a(g826 +g1040 +tp8880 +a(g32 +V15 +p8881 +tp8882 +a(g826 +V\u000a +p8883 +tp8884 +a(g744 +Velse +p8885 +tp8886 +a(g826 +V\u000a +p8887 +tp8888 +a(g440 +Voutput_obj +p8889 +tp8890 +a(g412 +g1010 +tp8891 +a(g826 +V\u000a +p8892 +tp8893 +a(g440 +Vneed_locks +p8894 +tp8895 +a(g412 +g1010 +tp8896 +a(g826 +Vno +p8897 +tp8898 +a(g826 +V\u000a +p8899 +tp8900 +a(g440 +Vlockfile +p8901 +tp8902 +a(g412 +g1010 +tp8903 +a(g826 +V\u000a +p8904 +tp8905 +a(g744 +Vfi +p8906 +tp8907 +a(g826 +V\u000a\u000a +p8908 +tp8909 +a(g8 +V# Lock this critical section if it is needed\u000a +p8910 +tp8911 +a(g826 +V +p8912 +tp8913 +a(g8 +V# We use this script file to make the link, it avoids creating a new file\u000a +p8914 +tp8915 +a(g826 +V +p8916 +tp8917 +a(g744 +Vif +p8918 +tp8919 +a(g826 +g1040 +tp8920 +a(g681 +Vtest +p8921 +tp8922 +a(g826 +g1040 +tp8923 +a(g157 +g1029 +tp8924 +a(g440 +V$need_locks +p8925 +tp8926 +a(g157 +g1029 +tp8927 +a(g826 +g1040 +tp8928 +a(g412 +g1010 +tp8929 +a(g826 +g1040 +tp8930 +a(g826 +Vyes +p8931 +tp8932 +a(g705 +g1164 +tp8933 +a(g826 +g1040 +tp8934 +a(g744 +Vthen +p8935 +tp8936 +a(g826 +V\u000a +p8937 +tp8938 +a(g744 +Vuntil +p8939 +tp8940 +a(g826 +g1040 +tp8941 +a(g440 +V$run +p8942 +tp8943 +a(g826 +g1040 +tp8944 +a(g826 +Vln +p8945 +tp8946 +a(g826 +g1040 +tp8947 +a(g157 +g1029 +tp8948 +a(g440 +V$srcfile +p8949 +tp8950 +a(g157 +g1029 +tp8951 +a(g826 +g1040 +tp8952 +a(g157 +g1029 +tp8953 +a(g440 +V$lockfile +p8954 +tp8955 +a(g157 +g1029 +tp8956 +a(g826 +g1040 +tp8957 +a(g32 +g1782 +tp8958 +a(g826 +V>/dev/null +p8959 +tp8960 +a(g705 +g1164 +tp8961 +a(g826 +g1040 +tp8962 +a(g744 +Vdo +p8963 +tp8964 +a(g826 +V\u000a +p8965 +tp8966 +a(g440 +V$show +p8967 +tp8968 +a(g826 +g1040 +tp8969 +a(g157 +g1029 +tp8970 +a(g157 +VWaiting for +p8971 +tp8972 +a(g440 +V$lockfile +p8973 +tp8974 +a(g157 +V to be removed +p8975 +tp8976 +a(g157 +g1029 +tp8977 +a(g826 +V\u000a +p8978 +tp8979 +a(g826 +Vsleep +p8980 +tp8981 +a(g826 +g1040 +tp8982 +a(g32 +g1782 +tp8983 +a(g826 +V\u000a +p8984 +tp8985 +a(g744 +Vdone +p8986 +tp8987 +a(g826 +V\u000a +p8988 +tp8989 +a(g744 +Velif +p8990 +tp8991 +a(g826 +g1040 +tp8992 +a(g681 +Vtest +p8993 +tp8994 +a(g826 +g1040 +tp8995 +a(g157 +g1029 +tp8996 +a(g440 +V$need_locks +p8997 +tp8998 +a(g157 +g1029 +tp8999 +a(g826 +g1040 +tp9000 +a(g412 +g1010 +tp9001 +a(g826 +g1040 +tp9002 +a(g826 +Vwarn +p9003 +tp9004 +a(g705 +g1164 +tp9005 +a(g826 +g1040 +tp9006 +a(g744 +Vthen +p9007 +tp9008 +a(g826 +V\u000a +p9009 +tp9010 +a(g744 +Vif +p9011 +tp9012 +a(g826 +g1040 +tp9013 +a(g681 +Vtest +p9014 +tp9015 +a(g826 +g1040 +tp9016 +a(g826 +V-f +p9017 +tp9018 +a(g826 +g1040 +tp9019 +a(g157 +g1029 +tp9020 +a(g440 +V$lockfile +p9021 +tp9022 +a(g157 +g1029 +tp9023 +a(g705 +g1164 +tp9024 +a(g826 +g1040 +tp9025 +a(g744 +Vthen +p9026 +tp9027 +a(g826 +V\u000a +p9028 +tp9029 +a(g440 +V$echo +p9030 +tp9031 +a(g826 +g1040 +tp9032 +a(g157 +g1029 +tp9033 +a(g157 +V\u005c\u000a*** ERROR, +p9034 +tp9035 +a(g440 +V$lockfile +p9036 +tp9037 +a(g157 +V exists and contains:\u000a`cat +p9038 +tp9039 +a(g440 +V$lockfile +p9040 +tp9041 +a(g157 +V 2>/dev/null`\u000a\u000aThis indicates that another process is trying to use the same\u000atemporary object file, and libtool could not work around it because\u000ayour compiler does not support \u005c`-c' and \u005c`-o' together. If you\u000arepeat this compilation, it may succeed, by chance, but you had better\u000aavoid parallel builds (make -j) in this platform, or get a better\u000acompiler. +p9042 +tp9043 +a(g157 +g1029 +tp9044 +a(g826 +V\u000a\u000a +p9045 +tp9046 +a(g440 +V$run +p9047 +tp9048 +a(g826 +g1040 +tp9049 +a(g440 +V$rm +p9050 +tp9051 +a(g826 +g1040 +tp9052 +a(g440 +V$removelist +p9053 +tp9054 +a(g826 +V\u000a +p9055 +tp9056 +a(g681 +Vexit +p9057 +tp9058 +a(g826 +g1040 +tp9059 +a(g440 +V$EXIT_FAILURE +p9060 +tp9061 +a(g826 +V\u000a +p9062 +tp9063 +a(g744 +Vfi +p9064 +tp9065 +a(g826 +V\u000a +p9066 +tp9067 +a(g440 +V$echo +p9068 +tp9069 +a(g826 +g1040 +tp9070 +a(g157 +g1029 +tp9071 +a(g440 +V$srcfile +p9072 +tp9073 +a(g157 +g1029 +tp9074 +a(g826 +g1040 +tp9075 +a(g826 +g1778 +tp9076 +a(g826 +g1040 +tp9077 +a(g157 +g1029 +tp9078 +a(g440 +V$lockfile +p9079 +tp9080 +a(g157 +g1029 +tp9081 +a(g826 +V\u000a +p9082 +tp9083 +a(g744 +Vfi +p9084 +tp9085 +a(g826 +V\u000a\u000a +p9086 +tp9087 +a(g744 +Vif +p9088 +tp9089 +a(g826 +g1040 +tp9090 +a(g681 +Vtest +p9091 +tp9092 +a(g826 +g1040 +tp9093 +a(g826 +V-n +p9094 +tp9095 +a(g826 +g1040 +tp9096 +a(g157 +g1029 +tp9097 +a(g440 +V$fix_srcfile_path +p9098 +tp9099 +a(g157 +g1029 +tp9100 +a(g705 +g1164 +tp9101 +a(g826 +g1040 +tp9102 +a(g744 +Vthen +p9103 +tp9104 +a(g826 +V\u000a +p9105 +tp9106 +a(g681 +Veval +p9107 +tp9108 +a(g826 +g1040 +tp9109 +a(g440 +Vsrcfile +p9110 +tp9111 +a(g412 +g1010 +tp9112 +a(g213 +V\u005c" +p9113 +tp9114 +a(g440 +V$fix_srcfile_path +p9115 +tp9116 +a(g213 +V\u005c" +p9117 +tp9118 +a(g826 +V\u000a +p9119 +tp9120 +a(g744 +Vfi +p9121 +tp9122 +a(g826 +V\u000a +p9123 +tp9124 +a(g440 +Vqsrcfile +p9125 +tp9126 +a(g412 +g1010 +tp9127 +a(g101 +g1065 +tp9128 +a(g440 +V$echo +p9129 +tp9130 +a(g826 +g1040 +tp9131 +a(g157 +g1029 +tp9132 +a(g157 +g1191 +tp9133 +a(g440 +V$srcfile +p9134 +tp9135 +a(g157 +g1029 +tp9136 +a(g826 +g1040 +tp9137 +a(g705 +g1075 +tp9138 +a(g826 +g1040 +tp9139 +a(g440 +V$Xsed +p9140 +tp9141 +a(g826 +g1040 +tp9142 +a(g826 +V-e +p9143 +tp9144 +a(g826 +g1040 +tp9145 +a(g157 +g1029 +tp9146 +a(g440 +V$sed_quote_subst +p9147 +tp9148 +a(g157 +g1029 +tp9149 +a(g101 +g1065 +tp9150 +a(g826 +V\u000a +p9151 +tp9152 +a(g744 +Vcase +p9153 +tp9154 +a(g826 +g1040 +tp9155 +a(g440 +V$qsrcfile +p9156 +tp9157 +a(g826 +g1040 +tp9158 +a(g826 +Vin +p9159 +tp9160 +a(g826 +V\u000a +p9161 +tp9162 +a(g826 +g1562 +tp9163 +a(g412 +g2838 +tp9164 +a(g213 +V\u005c[ +p9165 +tp9166 +a(g213 +V\u005c~ +p9167 +tp9168 +a(g213 +V\u005c# +p9169 +tp9170 +a(g213 +V\u005c^ +p9171 +tp9172 +a(g213 +V\u005c& +p9173 +tp9174 +a(g213 +V\u005c* +p9175 +tp9176 +a(g213 +V\u005c( +p9177 +tp9178 +a(g213 +V\u005c) +p9179 +tp9180 +a(g213 +V\u005c{ +p9181 +tp9182 +a(g213 +V\u005c} +p9183 +tp9184 +a(g213 +V\u005c| +p9185 +tp9186 +a(g213 +V\u005c; +p9187 +tp9188 +a(g213 +V\u005c< +p9189 +tp9190 +a(g213 +V\u005c> +p9191 +tp9192 +a(g213 +V\u005c? +p9193 +tp9194 +a(g213 +V\u005c' +p9195 +tp9196 +a(g213 +V\u005c +p9197 +tp9198 +a(g213 +V\u005c +p9199 +tp9200 +a(g412 +g2876 +tp9201 +a(g826 +g1562 +tp9202 +a(g705 +g1075 +tp9203 +a(g826 +g1562 +tp9204 +a(g412 +g2876 +tp9205 +a(g826 +g1562 +tp9206 +a(g705 +g1075 +tp9207 +a(g157 +V"" +p9208 +tp9209 +a(g412 +g1533 +tp9210 +a(g826 +V\u000a +p9211 +tp9212 +a(g440 +Vqsrcfile +p9213 +tp9214 +a(g412 +g1010 +tp9215 +a(g157 +g1029 +tp9216 +a(g157 +V\u005c" +p9217 +tp9218 +a(g440 +V$qsrcfile +p9219 +tp9220 +a(g157 +V\u005c" +p9221 +tp9222 +a(g157 +g1029 +tp9223 +a(g826 +g1040 +tp9224 +a(g705 +g1164 +tp9225 +a(g705 +g1164 +tp9226 +a(g826 +V\u000a +p9227 +tp9228 +a(g744 +Vesac +p9229 +tp9230 +a(g826 +V\u000a\u000a +p9231 +tp9232 +a(g440 +V$run +p9233 +tp9234 +a(g826 +g1040 +tp9235 +a(g440 +V$rm +p9236 +tp9237 +a(g826 +g1040 +tp9238 +a(g157 +g1029 +tp9239 +a(g440 +V$libobj +p9240 +tp9241 +a(g157 +g1029 +tp9242 +a(g826 +g1040 +tp9243 +a(g157 +g1029 +tp9244 +a(g125 +V${ +p9245 +tp9246 +a(g440 +Vlibobj +p9247 +tp9248 +a(g125 +g1160 +tp9249 +a(g157 +g8596 +tp9250 +a(g157 +g1029 +tp9251 +a(g826 +V\u000a\u000a +p9252 +tp9253 +a(g8 +V# Create a libtool object file (analogous to a ".la" file),\u000a +p9254 +tp9255 +a(g826 +V +p9256 +tp9257 +a(g8 +V# but don't create it if we're doing a dry run.\u000a +p9258 +tp9259 +a(g826 +V +p9260 +tp9261 +a(g681 +Vtest +p9262 +tp9263 +a(g826 +g1040 +tp9264 +a(g826 +V-z +p9265 +tp9266 +a(g826 +g1040 +tp9267 +a(g157 +g1029 +tp9268 +a(g440 +V$run +p9269 +tp9270 +a(g157 +g1029 +tp9271 +a(g826 +g1040 +tp9272 +a(g412 +V&& +p9273 +tp9274 +a(g826 +g1040 +tp9275 +a(g826 +Vcat +p9276 +tp9277 +a(g826 +g1040 +tp9278 +a(g826 +g1778 +tp9279 +a(g826 +g1040 +tp9280 +a(g125 +V${ +p9281 +tp9282 +a(g440 +Vlibobj +p9283 +tp9284 +a(g125 +g1160 +tp9285 +a(g826 +g8596 +tp9286 +a(g826 +g1040 +tp9287 +a(g89 +V</dev/null` +p9663 +tp9664 +a(g157 +g1029 +tp9665 +a(g826 +g1040 +tp9666 +a(g826 +g1738 +tp9667 +a(g412 +g1010 +tp9668 +a(g826 +g1040 +tp9669 +a(g157 +g1029 +tp9670 +a(g157 +g1191 +tp9671 +a(g440 +V$srcfile +p9672 +tp9673 +a(g157 +g1029 +tp9674 +a(g705 +g1164 +tp9675 +a(g826 +g1040 +tp9676 +a(g744 +Vthen +p9677 +tp9678 +a(g826 +V\u000a +p9679 +tp9680 +a(g440 +V$echo +p9681 +tp9682 +a(g826 +g1040 +tp9683 +a(g157 +g1029 +tp9684 +a(g157 +V\u005c\u000a*** ERROR, +p9685 +tp9686 +a(g440 +V$lockfile +p9687 +tp9688 +a(g157 +V contains:\u000a`cat +p9689 +tp9690 +a(g440 +V$lockfile +p9691 +tp9692 +a(g157 +V 2>/dev/null`\u000a\u000abut it should contain:\u000a +p9693 +tp9694 +a(g440 +V$srcfile +p9695 +tp9696 +a(g157 +V\u000a\u000aThis indicates that another process is trying to use the same\u000atemporary object file, and libtool could not work around it because\u000ayour compiler does not support \u005c`-c' and \u005c`-o' together. If you\u000arepeat this compilation, it may succeed, by chance, but you had better\u000aavoid parallel builds (make -j) in this platform, or get a better\u000acompiler. +p9697 +tp9698 +a(g157 +g1029 +tp9699 +a(g826 +V\u000a\u000a +p9700 +tp9701 +a(g440 +V$run +p9702 +tp9703 +a(g826 +g1040 +tp9704 +a(g440 +V$rm +p9705 +tp9706 +a(g826 +g1040 +tp9707 +a(g440 +V$removelist +p9708 +tp9709 +a(g826 +V\u000a +p9710 +tp9711 +a(g681 +Vexit +p9712 +tp9713 +a(g826 +g1040 +tp9714 +a(g440 +V$EXIT_FAILURE +p9715 +tp9716 +a(g826 +V\u000a +p9717 +tp9718 +a(g744 +Vfi +p9719 +tp9720 +a(g826 +V\u000a\u000a +p9721 +tp9722 +a(g8 +V# Just move the object if needed, then go on to compile the next one\u000a +p9723 +tp9724 +a(g826 +V +p9725 +tp9726 +a(g744 +Vif +p9727 +tp9728 +a(g826 +g1040 +tp9729 +a(g681 +Vtest +p9730 +tp9731 +a(g826 +g1040 +tp9732 +a(g826 +V-n +p9733 +tp9734 +a(g826 +g1040 +tp9735 +a(g157 +g1029 +tp9736 +a(g440 +V$output_obj +p9737 +tp9738 +a(g157 +g1029 +tp9739 +a(g826 +g1040 +tp9740 +a(g412 +V&& +p9741 +tp9742 +a(g826 +g1040 +tp9743 +a(g681 +Vtest +p9744 +tp9745 +a(g826 +g1040 +tp9746 +a(g157 +g1029 +tp9747 +a(g157 +g1191 +tp9748 +a(g440 +V$output_obj +p9749 +tp9750 +a(g157 +g1029 +tp9751 +a(g826 +g1040 +tp9752 +a(g826 +g1738 +tp9753 +a(g412 +g1010 +tp9754 +a(g826 +g1040 +tp9755 +a(g157 +g1029 +tp9756 +a(g157 +g1191 +tp9757 +a(g440 +V$lobj +p9758 +tp9759 +a(g157 +g1029 +tp9760 +a(g705 +g1164 +tp9761 +a(g826 +g1040 +tp9762 +a(g744 +Vthen +p9763 +tp9764 +a(g826 +V\u000a +p9765 +tp9766 +a(g440 +V$show +p9767 +tp9768 +a(g826 +g1040 +tp9769 +a(g157 +g1029 +tp9770 +a(g440 +V$mv +p9771 +tp9772 +a(g157 +g1040 +tp9773 +a(g440 +V$output_obj +p9774 +tp9775 +a(g157 +g1040 +tp9776 +a(g440 +V$lobj +p9777 +tp9778 +a(g157 +g1029 +tp9779 +a(g826 +V\u000a +p9780 +tp9781 +a(g744 +Vif +p9782 +tp9783 +a(g826 +g1040 +tp9784 +a(g440 +V$run +p9785 +tp9786 +a(g826 +g1040 +tp9787 +a(g440 +V$mv +p9788 +tp9789 +a(g826 +g1040 +tp9790 +a(g440 +V$output_obj +p9791 +tp9792 +a(g826 +g1040 +tp9793 +a(g440 +V$lobj +p9794 +tp9795 +a(g705 +g1164 +tp9796 +a(g826 +g1040 +tp9797 +a(g744 +Vthen +p9798 +tp9799 +a(g826 +g1040 +tp9800 +a(g826 +g1314 +tp9801 +a(g826 +V\u000a +p9802 +tp9803 +a(g744 +Velse +p9804 +tp9805 +a(g826 +V\u000a +p9806 +tp9807 +a(g440 +Verror +p9808 +tp9809 +a(g412 +g1010 +tp9810 +a(g440 +V$? +p9811 +tp9812 +a(g826 +V\u000a +p9813 +tp9814 +a(g440 +V$run +p9815 +tp9816 +a(g826 +g1040 +tp9817 +a(g440 +V$rm +p9818 +tp9819 +a(g826 +g1040 +tp9820 +a(g440 +V$removelist +p9821 +tp9822 +a(g826 +V\u000a +p9823 +tp9824 +a(g681 +Vexit +p9825 +tp9826 +a(g826 +g1040 +tp9827 +a(g440 +V$error +p9828 +tp9829 +a(g826 +V\u000a +p9830 +tp9831 +a(g744 +Vfi +p9832 +tp9833 +a(g826 +V\u000a +p9834 +tp9835 +a(g744 +Vfi +p9836 +tp9837 +a(g826 +V\u000a\u000a +p9838 +tp9839 +a(g8 +V# Append the name of the PIC object to the libtool object file.\u000a +p9840 +tp9841 +a(g826 +V +p9842 +tp9843 +a(g681 +Vtest +p9844 +tp9845 +a(g826 +g1040 +tp9846 +a(g826 +V-z +p9847 +tp9848 +a(g826 +g1040 +tp9849 +a(g157 +g1029 +tp9850 +a(g440 +V$run +p9851 +tp9852 +a(g157 +g1029 +tp9853 +a(g826 +g1040 +tp9854 +a(g412 +V&& +p9855 +tp9856 +a(g826 +g1040 +tp9857 +a(g826 +Vcat +p9858 +tp9859 +a(g826 +g1040 +tp9860 +a(g826 +V>> +p9861 +tp9862 +a(g826 +g1040 +tp9863 +a(g125 +V${ +p9864 +tp9865 +a(g440 +Vlibobj +p9866 +tp9867 +a(g125 +g1160 +tp9868 +a(g826 +g8596 +tp9869 +a(g826 +g1040 +tp9870 +a(g89 +V</dev/null 2>&1' +p9903 +tp9904 +a(g826 +V\u000a +p9905 +tp9906 +a(g744 +Vfi +p9907 +tp9908 +a(g826 +V\u000a +p9909 +tp9910 +a(g744 +Velse +p9911 +tp9912 +a(g826 +V\u000a +p9913 +tp9914 +a(g8 +V# No PIC object so indicate it doesn't exist in the libtool\u000a +p9915 +tp9916 +a(g826 +V +p9917 +tp9918 +a(g8 +V# object file.\u000a +p9919 +tp9920 +a(g826 +V +p9921 +tp9922 +a(g681 +Vtest +p9923 +tp9924 +a(g826 +g1040 +tp9925 +a(g826 +V-z +p9926 +tp9927 +a(g826 +g1040 +tp9928 +a(g157 +g1029 +tp9929 +a(g440 +V$run +p9930 +tp9931 +a(g157 +g1029 +tp9932 +a(g826 +g1040 +tp9933 +a(g412 +V&& +p9934 +tp9935 +a(g826 +g1040 +tp9936 +a(g826 +Vcat +p9937 +tp9938 +a(g826 +g1040 +tp9939 +a(g826 +V>> +p9940 +tp9941 +a(g826 +g1040 +tp9942 +a(g125 +V${ +p9943 +tp9944 +a(g440 +Vlibobj +p9945 +tp9946 +a(g125 +g1160 +tp9947 +a(g826 +g8596 +tp9948 +a(g826 +g1040 +tp9949 +a(g89 +V</dev/null` +p10196 +tp10197 +a(g157 +g1029 +tp10198 +a(g826 +g1040 +tp10199 +a(g826 +g1738 +tp10200 +a(g412 +g1010 +tp10201 +a(g826 +g1040 +tp10202 +a(g157 +g1029 +tp10203 +a(g157 +g1191 +tp10204 +a(g440 +V$srcfile +p10205 +tp10206 +a(g157 +g1029 +tp10207 +a(g705 +g1164 +tp10208 +a(g826 +g1040 +tp10209 +a(g744 +Vthen +p10210 +tp10211 +a(g826 +V\u000a +p10212 +tp10213 +a(g440 +V$echo +p10214 +tp10215 +a(g826 +g1040 +tp10216 +a(g157 +g1029 +tp10217 +a(g157 +V\u005c\u000a*** ERROR, +p10218 +tp10219 +a(g440 +V$lockfile +p10220 +tp10221 +a(g157 +V contains:\u000a`cat +p10222 +tp10223 +a(g440 +V$lockfile +p10224 +tp10225 +a(g157 +V 2>/dev/null`\u000a\u000abut it should contain:\u000a +p10226 +tp10227 +a(g440 +V$srcfile +p10228 +tp10229 +a(g157 +V\u000a\u000aThis indicates that another process is trying to use the same\u000atemporary object file, and libtool could not work around it because\u000ayour compiler does not support \u005c`-c' and \u005c`-o' together. If you\u000arepeat this compilation, it may succeed, by chance, but you had better\u000aavoid parallel builds (make -j) in this platform, or get a better\u000acompiler. +p10230 +tp10231 +a(g157 +g1029 +tp10232 +a(g826 +V\u000a\u000a +p10233 +tp10234 +a(g440 +V$run +p10235 +tp10236 +a(g826 +g1040 +tp10237 +a(g440 +V$rm +p10238 +tp10239 +a(g826 +g1040 +tp10240 +a(g440 +V$removelist +p10241 +tp10242 +a(g826 +V\u000a +p10243 +tp10244 +a(g681 +Vexit +p10245 +tp10246 +a(g826 +g1040 +tp10247 +a(g440 +V$EXIT_FAILURE +p10248 +tp10249 +a(g826 +V\u000a +p10250 +tp10251 +a(g744 +Vfi +p10252 +tp10253 +a(g826 +V\u000a\u000a +p10254 +tp10255 +a(g8 +V# Just move the object if needed\u000a +p10256 +tp10257 +a(g826 +V +p10258 +tp10259 +a(g744 +Vif +p10260 +tp10261 +a(g826 +g1040 +tp10262 +a(g681 +Vtest +p10263 +tp10264 +a(g826 +g1040 +tp10265 +a(g826 +V-n +p10266 +tp10267 +a(g826 +g1040 +tp10268 +a(g157 +g1029 +tp10269 +a(g440 +V$output_obj +p10270 +tp10271 +a(g157 +g1029 +tp10272 +a(g826 +g1040 +tp10273 +a(g412 +V&& +p10274 +tp10275 +a(g826 +g1040 +tp10276 +a(g681 +Vtest +p10277 +tp10278 +a(g826 +g1040 +tp10279 +a(g157 +g1029 +tp10280 +a(g157 +g1191 +tp10281 +a(g440 +V$output_obj +p10282 +tp10283 +a(g157 +g1029 +tp10284 +a(g826 +g1040 +tp10285 +a(g826 +g1738 +tp10286 +a(g412 +g1010 +tp10287 +a(g826 +g1040 +tp10288 +a(g157 +g1029 +tp10289 +a(g157 +g1191 +tp10290 +a(g440 +V$obj +p10291 +tp10292 +a(g157 +g1029 +tp10293 +a(g705 +g1164 +tp10294 +a(g826 +g1040 +tp10295 +a(g744 +Vthen +p10296 +tp10297 +a(g826 +V\u000a +p10298 +tp10299 +a(g440 +V$show +p10300 +tp10301 +a(g826 +g1040 +tp10302 +a(g157 +g1029 +tp10303 +a(g440 +V$mv +p10304 +tp10305 +a(g157 +g1040 +tp10306 +a(g440 +V$output_obj +p10307 +tp10308 +a(g157 +g1040 +tp10309 +a(g440 +V$obj +p10310 +tp10311 +a(g157 +g1029 +tp10312 +a(g826 +V\u000a +p10313 +tp10314 +a(g744 +Vif +p10315 +tp10316 +a(g826 +g1040 +tp10317 +a(g440 +V$run +p10318 +tp10319 +a(g826 +g1040 +tp10320 +a(g440 +V$mv +p10321 +tp10322 +a(g826 +g1040 +tp10323 +a(g440 +V$output_obj +p10324 +tp10325 +a(g826 +g1040 +tp10326 +a(g440 +V$obj +p10327 +tp10328 +a(g705 +g1164 +tp10329 +a(g826 +g1040 +tp10330 +a(g744 +Vthen +p10331 +tp10332 +a(g826 +g1040 +tp10333 +a(g826 +g1314 +tp10334 +a(g826 +V\u000a +p10335 +tp10336 +a(g744 +Velse +p10337 +tp10338 +a(g826 +V\u000a +p10339 +tp10340 +a(g440 +Verror +p10341 +tp10342 +a(g412 +g1010 +tp10343 +a(g440 +V$? +p10344 +tp10345 +a(g826 +V\u000a +p10346 +tp10347 +a(g440 +V$run +p10348 +tp10349 +a(g826 +g1040 +tp10350 +a(g440 +V$rm +p10351 +tp10352 +a(g826 +g1040 +tp10353 +a(g440 +V$removelist +p10354 +tp10355 +a(g826 +V\u000a +p10356 +tp10357 +a(g681 +Vexit +p10358 +tp10359 +a(g826 +g1040 +tp10360 +a(g440 +V$error +p10361 +tp10362 +a(g826 +V\u000a +p10363 +tp10364 +a(g744 +Vfi +p10365 +tp10366 +a(g826 +V\u000a +p10367 +tp10368 +a(g744 +Vfi +p10369 +tp10370 +a(g826 +V\u000a\u000a +p10371 +tp10372 +a(g8 +V# Append the name of the non-PIC object the libtool object file.\u000a +p10373 +tp10374 +a(g826 +V +p10375 +tp10376 +a(g8 +V# Only append if the libtool object file exists.\u000a +p10377 +tp10378 +a(g826 +V +p10379 +tp10380 +a(g681 +Vtest +p10381 +tp10382 +a(g826 +g1040 +tp10383 +a(g826 +V-z +p10384 +tp10385 +a(g826 +g1040 +tp10386 +a(g157 +g1029 +tp10387 +a(g440 +V$run +p10388 +tp10389 +a(g157 +g1029 +tp10390 +a(g826 +g1040 +tp10391 +a(g412 +V&& +p10392 +tp10393 +a(g826 +g1040 +tp10394 +a(g826 +Vcat +p10395 +tp10396 +a(g826 +g1040 +tp10397 +a(g826 +V>> +p10398 +tp10399 +a(g826 +g1040 +tp10400 +a(g125 +V${ +p10401 +tp10402 +a(g440 +Vlibobj +p10403 +tp10404 +a(g125 +g1160 +tp10405 +a(g826 +g8596 +tp10406 +a(g826 +g1040 +tp10407 +a(g89 +V<> +p10441 +tp10442 +a(g826 +g1040 +tp10443 +a(g125 +V${ +p10444 +tp10445 +a(g440 +Vlibobj +p10446 +tp10447 +a(g125 +g1160 +tp10448 +a(g826 +g8596 +tp10449 +a(g826 +g1040 +tp10450 +a(g89 +V< +p11276 +tp11277 +a(g213 +V\u005c? +p11278 +tp11279 +a(g213 +V\u005c' +p11280 +tp11281 +a(g213 +V\u005c +p11282 +tp11283 +a(g213 +V\u005c +p11284 +tp11285 +a(g412 +g2876 +tp11286 +a(g826 +g1562 +tp11287 +a(g705 +g1075 +tp11288 +a(g826 +g1562 +tp11289 +a(g412 +g2876 +tp11290 +a(g826 +g1562 +tp11291 +a(g705 +g1075 +tp11292 +a(g157 +V"" +p11293 +tp11294 +a(g412 +g1533 +tp11295 +a(g826 +V\u000a +p11296 +tp11297 +a(g440 +Vqarg +p11298 +tp11299 +a(g412 +g1010 +tp11300 +a(g213 +V\u005c" +p11301 +tp11302 +a(g101 +g1065 +tp11303 +a(g440 +V$echo +p11304 +tp11305 +a(g826 +g1040 +tp11306 +a(g157 +g1029 +tp11307 +a(g157 +g1191 +tp11308 +a(g440 +V$arg +p11309 +tp11310 +a(g157 +g1029 +tp11311 +a(g826 +g1040 +tp11312 +a(g705 +g1075 +tp11313 +a(g826 +g1040 +tp11314 +a(g440 +V$Xsed +p11315 +tp11316 +a(g826 +g1040 +tp11317 +a(g826 +V-e +p11318 +tp11319 +a(g826 +g1040 +tp11320 +a(g157 +g1029 +tp11321 +a(g440 +V$sed_quote_subst +p11322 +tp11323 +a(g157 +g1029 +tp11324 +a(g101 +g1065 +tp11325 +a(g213 +V\u005c" +p11326 +tp11327 +a(g826 +g1040 +tp11328 +a(g8 +V### testsuite: skip nested quoting test\u000a +p11329 +tp11330 +a(g826 +g2290 +tp11331 +a(g705 +g1164 +tp11332 +a(g705 +g1164 +tp11333 +a(g826 +V\u000a +p11334 +tp11335 +a(g826 +g1562 +tp11336 +a(g412 +g1533 +tp11337 +a(g826 +g1040 +tp11338 +a(g440 +Vqarg +p11339 +tp11340 +a(g412 +g1010 +tp11341 +a(g440 +V$arg +p11342 +tp11343 +a(g826 +g1040 +tp11344 +a(g705 +g1164 +tp11345 +a(g705 +g1164 +tp11346 +a(g826 +V\u000a +p11347 +tp11348 +a(g744 +Vesac +p11349 +tp11350 +a(g826 +V\u000a +p11351 +tp11352 +a(g440 +Vlibtool_args +p11353 +tp11354 +a(g412 +g1010 +tp11355 +a(g157 +g1029 +tp11356 +a(g440 +V$libtool_args +p11357 +tp11358 +a(g157 +g1040 +tp11359 +a(g440 +V$qarg +p11360 +tp11361 +a(g157 +g1029 +tp11362 +a(g826 +V\u000a\u000a +p11363 +tp11364 +a(g8 +V# If the previous option needs an argument, assign it.\u000a +p11365 +tp11366 +a(g826 +V +p11367 +tp11368 +a(g744 +Vif +p11369 +tp11370 +a(g826 +g1040 +tp11371 +a(g681 +Vtest +p11372 +tp11373 +a(g826 +g1040 +tp11374 +a(g826 +V-n +p11375 +tp11376 +a(g826 +g1040 +tp11377 +a(g157 +g1029 +tp11378 +a(g440 +V$prev +p11379 +tp11380 +a(g157 +g1029 +tp11381 +a(g705 +g1164 +tp11382 +a(g826 +g1040 +tp11383 +a(g744 +Vthen +p11384 +tp11385 +a(g826 +V\u000a +p11386 +tp11387 +a(g744 +Vcase +p11388 +tp11389 +a(g826 +g1040 +tp11390 +a(g440 +V$prev +p11391 +tp11392 +a(g826 +g1040 +tp11393 +a(g826 +Vin +p11394 +tp11395 +a(g826 +V\u000a +p11396 +tp11397 +a(g826 +Voutput +p11398 +tp11399 +a(g412 +g1533 +tp11400 +a(g826 +V\u000a +p11401 +tp11402 +a(g440 +Vcompile_command +p11403 +tp11404 +a(g412 +g1010 +tp11405 +a(g157 +g1029 +tp11406 +a(g440 +V$compile_command +p11407 +tp11408 +a(g157 +V @OUTPUT@ +p11409 +tp11410 +a(g157 +g1029 +tp11411 +a(g826 +V\u000a +p11412 +tp11413 +a(g440 +Vfinalize_command +p11414 +tp11415 +a(g412 +g1010 +tp11416 +a(g157 +g1029 +tp11417 +a(g440 +V$finalize_command +p11418 +tp11419 +a(g157 +V @OUTPUT@ +p11420 +tp11421 +a(g157 +g1029 +tp11422 +a(g826 +V\u000a +p11423 +tp11424 +a(g705 +g1164 +tp11425 +a(g705 +g1164 +tp11426 +a(g826 +V\u000a +p11427 +tp11428 +a(g744 +Vesac +p11429 +tp11430 +a(g826 +V\u000a\u000a +p11431 +tp11432 +a(g744 +Vcase +p11433 +tp11434 +a(g826 +g1040 +tp11435 +a(g440 +V$prev +p11436 +tp11437 +a(g826 +g1040 +tp11438 +a(g826 +Vin +p11439 +tp11440 +a(g826 +V\u000a +p11441 +tp11442 +a(g826 +Vdlfiles +p11443 +tp11444 +a(g705 +g1075 +tp11445 +a(g826 +Vdlprefiles +p11446 +tp11447 +a(g412 +g1533 +tp11448 +a(g826 +V\u000a +p11449 +tp11450 +a(g744 +Vif +p11451 +tp11452 +a(g826 +g1040 +tp11453 +a(g681 +Vtest +p11454 +tp11455 +a(g826 +g1040 +tp11456 +a(g157 +g1029 +tp11457 +a(g440 +V$preload +p11458 +tp11459 +a(g157 +g1029 +tp11460 +a(g826 +g1040 +tp11461 +a(g412 +g1010 +tp11462 +a(g826 +g1040 +tp11463 +a(g826 +Vno +p11464 +tp11465 +a(g705 +g1164 +tp11466 +a(g826 +g1040 +tp11467 +a(g744 +Vthen +p11468 +tp11469 +a(g826 +V\u000a +p11470 +tp11471 +a(g8 +V# Add the symbol object into the linking commands.\u000a +p11472 +tp11473 +a(g826 +V +p11474 +tp11475 +a(g440 +Vcompile_command +p11476 +tp11477 +a(g412 +g1010 +tp11478 +a(g157 +g1029 +tp11479 +a(g440 +V$compile_command +p11480 +tp11481 +a(g157 +V @SYMFILE@ +p11482 +tp11483 +a(g157 +g1029 +tp11484 +a(g826 +V\u000a +p11485 +tp11486 +a(g440 +Vfinalize_command +p11487 +tp11488 +a(g412 +g1010 +tp11489 +a(g157 +g1029 +tp11490 +a(g440 +V$finalize_command +p11491 +tp11492 +a(g157 +V @SYMFILE@ +p11493 +tp11494 +a(g157 +g1029 +tp11495 +a(g826 +V\u000a +p11496 +tp11497 +a(g440 +Vpreload +p11498 +tp11499 +a(g412 +g1010 +tp11500 +a(g826 +Vyes +p11501 +tp11502 +a(g826 +V\u000a +p11503 +tp11504 +a(g744 +Vfi +p11505 +tp11506 +a(g826 +V\u000a +p11507 +tp11508 +a(g744 +Vcase +p11509 +tp11510 +a(g826 +g1040 +tp11511 +a(g440 +V$arg +p11512 +tp11513 +a(g826 +g1040 +tp11514 +a(g826 +Vin +p11515 +tp11516 +a(g826 +V\u000a +p11517 +tp11518 +a(g826 +V*.la +p11519 +tp11520 +a(g826 +g1040 +tp11521 +a(g705 +g1075 +tp11522 +a(g826 +g1040 +tp11523 +a(g826 +V*.lo +p11524 +tp11525 +a(g412 +g1533 +tp11526 +a(g826 +g1040 +tp11527 +a(g705 +g1164 +tp11528 +a(g705 +g1164 +tp11529 +a(g826 +V +p11530 +tp11531 +a(g8 +V# We handle these cases below.\u000a +p11532 +tp11533 +a(g826 +V +p11534 +tp11535 +a(g826 +Vforce +p11536 +tp11537 +a(g412 +g1533 +tp11538 +a(g826 +V\u000a +p11539 +tp11540 +a(g744 +Vif +p11541 +tp11542 +a(g826 +g1040 +tp11543 +a(g681 +Vtest +p11544 +tp11545 +a(g826 +g1040 +tp11546 +a(g157 +g1029 +tp11547 +a(g440 +V$dlself +p11548 +tp11549 +a(g157 +g1029 +tp11550 +a(g826 +g1040 +tp11551 +a(g412 +g1010 +tp11552 +a(g826 +g1040 +tp11553 +a(g826 +Vno +p11554 +tp11555 +a(g705 +g1164 +tp11556 +a(g826 +g1040 +tp11557 +a(g744 +Vthen +p11558 +tp11559 +a(g826 +V\u000a +p11560 +tp11561 +a(g440 +Vdlself +p11562 +tp11563 +a(g412 +g1010 +tp11564 +a(g826 +Vneedless +p11565 +tp11566 +a(g826 +V\u000a +p11567 +tp11568 +a(g440 +Vexport_dynamic +p11569 +tp11570 +a(g412 +g1010 +tp11571 +a(g826 +Vyes +p11572 +tp11573 +a(g826 +V\u000a +p11574 +tp11575 +a(g744 +Vfi +p11576 +tp11577 +a(g826 +V\u000a +p11578 +tp11579 +a(g440 +Vprev +p11580 +tp11581 +a(g412 +g1010 +tp11582 +a(g826 +V\u000a +p11583 +tp11584 +a(g744 +Vcontinue +p11585 +tp11586 +a(g826 +V\u000a +p11587 +tp11588 +a(g705 +g1164 +tp11589 +a(g705 +g1164 +tp11590 +a(g826 +V\u000a +p11591 +tp11592 +a(g826 +Vself +p11593 +tp11594 +a(g412 +g1533 +tp11595 +a(g826 +V\u000a +p11596 +tp11597 +a(g744 +Vif +p11598 +tp11599 +a(g826 +g1040 +tp11600 +a(g681 +Vtest +p11601 +tp11602 +a(g826 +g1040 +tp11603 +a(g157 +g1029 +tp11604 +a(g440 +V$prev +p11605 +tp11606 +a(g157 +g1029 +tp11607 +a(g826 +g1040 +tp11608 +a(g412 +g1010 +tp11609 +a(g826 +g1040 +tp11610 +a(g826 +Vdlprefiles +p11611 +tp11612 +a(g705 +g1164 +tp11613 +a(g826 +g1040 +tp11614 +a(g744 +Vthen +p11615 +tp11616 +a(g826 +V\u000a +p11617 +tp11618 +a(g440 +Vdlself +p11619 +tp11620 +a(g412 +g1010 +tp11621 +a(g826 +Vyes +p11622 +tp11623 +a(g826 +V\u000a +p11624 +tp11625 +a(g744 +Velif +p11626 +tp11627 +a(g826 +g1040 +tp11628 +a(g681 +Vtest +p11629 +tp11630 +a(g826 +g1040 +tp11631 +a(g157 +g1029 +tp11632 +a(g440 +V$prev +p11633 +tp11634 +a(g157 +g1029 +tp11635 +a(g826 +g1040 +tp11636 +a(g412 +g1010 +tp11637 +a(g826 +g1040 +tp11638 +a(g826 +Vdlfiles +p11639 +tp11640 +a(g826 +g1040 +tp11641 +a(g412 +V&& +p11642 +tp11643 +a(g826 +g1040 +tp11644 +a(g681 +Vtest +p11645 +tp11646 +a(g826 +g1040 +tp11647 +a(g157 +g1029 +tp11648 +a(g440 +V$dlopen_self +p11649 +tp11650 +a(g157 +g1029 +tp11651 +a(g826 +g1040 +tp11652 +a(g826 +g1738 +tp11653 +a(g412 +g1010 +tp11654 +a(g826 +g1040 +tp11655 +a(g826 +Vyes +p11656 +tp11657 +a(g705 +g1164 +tp11658 +a(g826 +g1040 +tp11659 +a(g744 +Vthen +p11660 +tp11661 +a(g826 +V\u000a +p11662 +tp11663 +a(g440 +Vdlself +p11664 +tp11665 +a(g412 +g1010 +tp11666 +a(g826 +Vyes +p11667 +tp11668 +a(g826 +V\u000a +p11669 +tp11670 +a(g744 +Velse +p11671 +tp11672 +a(g826 +V\u000a +p11673 +tp11674 +a(g440 +Vdlself +p11675 +tp11676 +a(g412 +g1010 +tp11677 +a(g826 +Vneedless +p11678 +tp11679 +a(g826 +V\u000a +p11680 +tp11681 +a(g440 +Vexport_dynamic +p11682 +tp11683 +a(g412 +g1010 +tp11684 +a(g826 +Vyes +p11685 +tp11686 +a(g826 +V\u000a +p11687 +tp11688 +a(g744 +Vfi +p11689 +tp11690 +a(g826 +V\u000a +p11691 +tp11692 +a(g440 +Vprev +p11693 +tp11694 +a(g412 +g1010 +tp11695 +a(g826 +V\u000a +p11696 +tp11697 +a(g744 +Vcontinue +p11698 +tp11699 +a(g826 +V\u000a +p11700 +tp11701 +a(g705 +g1164 +tp11702 +a(g705 +g1164 +tp11703 +a(g826 +V\u000a +p11704 +tp11705 +a(g826 +g1562 +tp11706 +a(g412 +g1533 +tp11707 +a(g826 +V\u000a +p11708 +tp11709 +a(g744 +Vif +p11710 +tp11711 +a(g826 +g1040 +tp11712 +a(g681 +Vtest +p11713 +tp11714 +a(g826 +g1040 +tp11715 +a(g157 +g1029 +tp11716 +a(g440 +V$prev +p11717 +tp11718 +a(g157 +g1029 +tp11719 +a(g826 +g1040 +tp11720 +a(g412 +g1010 +tp11721 +a(g826 +g1040 +tp11722 +a(g826 +Vdlfiles +p11723 +tp11724 +a(g705 +g1164 +tp11725 +a(g826 +g1040 +tp11726 +a(g744 +Vthen +p11727 +tp11728 +a(g826 +V\u000a +p11729 +tp11730 +a(g440 +Vdlfiles +p11731 +tp11732 +a(g412 +g1010 +tp11733 +a(g157 +g1029 +tp11734 +a(g440 +V$dlfiles +p11735 +tp11736 +a(g157 +g1040 +tp11737 +a(g440 +V$arg +p11738 +tp11739 +a(g157 +g1029 +tp11740 +a(g826 +V\u000a +p11741 +tp11742 +a(g744 +Velse +p11743 +tp11744 +a(g826 +V\u000a +p11745 +tp11746 +a(g440 +Vdlprefiles +p11747 +tp11748 +a(g412 +g1010 +tp11749 +a(g157 +g1029 +tp11750 +a(g440 +V$dlprefiles +p11751 +tp11752 +a(g157 +g1040 +tp11753 +a(g440 +V$arg +p11754 +tp11755 +a(g157 +g1029 +tp11756 +a(g826 +V\u000a +p11757 +tp11758 +a(g744 +Vfi +p11759 +tp11760 +a(g826 +V\u000a +p11761 +tp11762 +a(g440 +Vprev +p11763 +tp11764 +a(g412 +g1010 +tp11765 +a(g826 +V\u000a +p11766 +tp11767 +a(g744 +Vcontinue +p11768 +tp11769 +a(g826 +V\u000a +p11770 +tp11771 +a(g705 +g1164 +tp11772 +a(g705 +g1164 +tp11773 +a(g826 +V\u000a +p11774 +tp11775 +a(g744 +Vesac +p11776 +tp11777 +a(g826 +V\u000a +p11778 +tp11779 +a(g705 +g1164 +tp11780 +a(g705 +g1164 +tp11781 +a(g826 +V\u000a +p11782 +tp11783 +a(g826 +Vexpsyms +p11784 +tp11785 +a(g412 +g1533 +tp11786 +a(g826 +V\u000a +p11787 +tp11788 +a(g440 +Vexport_symbols +p11789 +tp11790 +a(g412 +g1010 +tp11791 +a(g157 +g1029 +tp11792 +a(g440 +V$arg +p11793 +tp11794 +a(g157 +g1029 +tp11795 +a(g826 +V\u000a +p11796 +tp11797 +a(g744 +Vif +p11798 +tp11799 +a(g826 +g1040 +tp11800 +a(g681 +Vtest +p11801 +tp11802 +a(g826 +g1040 +tp11803 +a(g826 +g1738 +tp11804 +a(g826 +g1040 +tp11805 +a(g826 +V-f +p11806 +tp11807 +a(g826 +g1040 +tp11808 +a(g157 +g1029 +tp11809 +a(g440 +V$arg +p11810 +tp11811 +a(g157 +g1029 +tp11812 +a(g705 +g1164 +tp11813 +a(g826 +g1040 +tp11814 +a(g744 +Vthen +p11815 +tp11816 +a(g826 +V\u000a +p11817 +tp11818 +a(g440 +V$echo +p11819 +tp11820 +a(g826 +g1040 +tp11821 +a(g157 +g1029 +tp11822 +a(g440 +V$modename +p11823 +tp11824 +a(g157 +V: symbol file \u005c` +p11825 +tp11826 +a(g440 +V$arg +p11827 +tp11828 +a(g157 +V' does not exist +p11829 +tp11830 +a(g157 +g1029 +tp11831 +a(g826 +V\u000a +p11832 +tp11833 +a(g681 +Vexit +p11834 +tp11835 +a(g826 +g1040 +tp11836 +a(g440 +V$EXIT_FAILURE +p11837 +tp11838 +a(g826 +V\u000a +p11839 +tp11840 +a(g744 +Vfi +p11841 +tp11842 +a(g826 +V\u000a +p11843 +tp11844 +a(g440 +Vprev +p11845 +tp11846 +a(g412 +g1010 +tp11847 +a(g826 +V\u000a +p11848 +tp11849 +a(g744 +Vcontinue +p11850 +tp11851 +a(g826 +V\u000a +p11852 +tp11853 +a(g705 +g1164 +tp11854 +a(g705 +g1164 +tp11855 +a(g826 +V\u000a +p11856 +tp11857 +a(g826 +Vexpsyms_regex +p11858 +tp11859 +a(g412 +g1533 +tp11860 +a(g826 +V\u000a +p11861 +tp11862 +a(g440 +Vexport_symbols_regex +p11863 +tp11864 +a(g412 +g1010 +tp11865 +a(g157 +g1029 +tp11866 +a(g440 +V$arg +p11867 +tp11868 +a(g157 +g1029 +tp11869 +a(g826 +V\u000a +p11870 +tp11871 +a(g440 +Vprev +p11872 +tp11873 +a(g412 +g1010 +tp11874 +a(g826 +V\u000a +p11875 +tp11876 +a(g744 +Vcontinue +p11877 +tp11878 +a(g826 +V\u000a +p11879 +tp11880 +a(g705 +g1164 +tp11881 +a(g705 +g1164 +tp11882 +a(g826 +V\u000a +p11883 +tp11884 +a(g826 +Vinst_prefix +p11885 +tp11886 +a(g412 +g1533 +tp11887 +a(g826 +V\u000a +p11888 +tp11889 +a(g440 +Vinst_prefix_dir +p11890 +tp11891 +a(g412 +g1010 +tp11892 +a(g157 +g1029 +tp11893 +a(g440 +V$arg +p11894 +tp11895 +a(g157 +g1029 +tp11896 +a(g826 +V\u000a +p11897 +tp11898 +a(g440 +Vprev +p11899 +tp11900 +a(g412 +g1010 +tp11901 +a(g826 +V\u000a +p11902 +tp11903 +a(g744 +Vcontinue +p11904 +tp11905 +a(g826 +V\u000a +p11906 +tp11907 +a(g705 +g1164 +tp11908 +a(g705 +g1164 +tp11909 +a(g826 +V\u000a +p11910 +tp11911 +a(g826 +Vprecious_regex +p11912 +tp11913 +a(g412 +g1533 +tp11914 +a(g826 +V\u000a +p11915 +tp11916 +a(g440 +Vprecious_files_regex +p11917 +tp11918 +a(g412 +g1010 +tp11919 +a(g157 +g1029 +tp11920 +a(g440 +V$arg +p11921 +tp11922 +a(g157 +g1029 +tp11923 +a(g826 +V\u000a +p11924 +tp11925 +a(g440 +Vprev +p11926 +tp11927 +a(g412 +g1010 +tp11928 +a(g826 +V\u000a +p11929 +tp11930 +a(g744 +Vcontinue +p11931 +tp11932 +a(g826 +V\u000a +p11933 +tp11934 +a(g705 +g1164 +tp11935 +a(g705 +g1164 +tp11936 +a(g826 +V\u000a +p11937 +tp11938 +a(g826 +Vrelease +p11939 +tp11940 +a(g412 +g1533 +tp11941 +a(g826 +V\u000a +p11942 +tp11943 +a(g440 +Vrelease +p11944 +tp11945 +a(g412 +g1010 +tp11946 +a(g157 +g1029 +tp11947 +a(g157 +g2185 +tp11948 +a(g440 +V$arg +p11949 +tp11950 +a(g157 +g1029 +tp11951 +a(g826 +V\u000a +p11952 +tp11953 +a(g440 +Vprev +p11954 +tp11955 +a(g412 +g1010 +tp11956 +a(g826 +V\u000a +p11957 +tp11958 +a(g744 +Vcontinue +p11959 +tp11960 +a(g826 +V\u000a +p11961 +tp11962 +a(g705 +g1164 +tp11963 +a(g705 +g1164 +tp11964 +a(g826 +V\u000a +p11965 +tp11966 +a(g826 +Vobjectlist +p11967 +tp11968 +a(g412 +g1533 +tp11969 +a(g826 +V\u000a +p11970 +tp11971 +a(g744 +Vif +p11972 +tp11973 +a(g826 +g1040 +tp11974 +a(g681 +Vtest +p11975 +tp11976 +a(g826 +g1040 +tp11977 +a(g826 +V-f +p11978 +tp11979 +a(g826 +g1040 +tp11980 +a(g157 +g1029 +tp11981 +a(g440 +V$arg +p11982 +tp11983 +a(g157 +g1029 +tp11984 +a(g705 +g1164 +tp11985 +a(g826 +g1040 +tp11986 +a(g744 +Vthen +p11987 +tp11988 +a(g826 +V\u000a +p11989 +tp11990 +a(g440 +Vsave_arg +p11991 +tp11992 +a(g412 +g1010 +tp11993 +a(g440 +V$arg +p11994 +tp11995 +a(g826 +V\u000a +p11996 +tp11997 +a(g440 +Vmoreargs +p11998 +tp11999 +a(g412 +g1010 +tp12000 +a(g826 +V\u000a +p12001 +tp12002 +a(g744 +Vfor +p12003 +tp12004 +a(g826 +g1040 +tp12005 +a(g826 +Vfil +p12006 +tp12007 +a(g826 +g1040 +tp12008 +a(g826 +Vin +p12009 +tp12010 +a(g826 +g1040 +tp12011 +a(g101 +g1065 +tp12012 +a(g826 +Vcat +p12013 +tp12014 +a(g826 +g1040 +tp12015 +a(g440 +V$save_arg +p12016 +tp12017 +a(g101 +g1065 +tp12018 +a(g826 +V\u000a +p12019 +tp12020 +a(g744 +Vdo +p12021 +tp12022 +a(g826 +V\u000a +p12023 +tp12024 +a(g8 +V# moreargs="$moreargs $fil"\u000a +p12025 +tp12026 +a(g826 +V +p12027 +tp12028 +a(g440 +Varg +p12029 +tp12030 +a(g412 +g1010 +tp12031 +a(g440 +V$fil +p12032 +tp12033 +a(g826 +V\u000a +p12034 +tp12035 +a(g8 +V# A libtool-controlled object.\u000a +p12036 +tp12037 +a(g826 +V\u000a +p12038 +tp12039 +a(g8 +V# Check to see that this really is a libtool object.\u000a +p12040 +tp12041 +a(g826 +V +p12042 +tp12043 +a(g744 +Vif +p12044 +tp12045 +a(g826 +g1040 +tp12046 +a(g412 +g1959 +tp12047 +a(g125 +V${ +p12048 +tp12049 +a(g440 +VSED +p12050 +tp12051 +a(g125 +g1160 +tp12052 +a(g826 +g1040 +tp12053 +a(g826 +V-e +p12054 +tp12055 +a(g826 +g1040 +tp12056 +a(g229 +V'2q' +p12057 +tp12058 +a(g826 +g1040 +tp12059 +a(g440 +V$arg +p12060 +tp12061 +a(g826 +g1040 +tp12062 +a(g705 +g1075 +tp12063 +a(g826 +g1040 +tp12064 +a(g826 +Vgrep +p12065 +tp12066 +a(g826 +g1040 +tp12067 +a(g157 +g1029 +tp12068 +a(g157 +V^# Generated by .* +p12069 +tp12070 +a(g440 +V$PACKAGE +p12071 +tp12072 +a(g157 +g1029 +tp12073 +a(g412 +g1533 +tp12074 +a(g826 +g1040 +tp12075 +a(g826 +V>/dev/null +p12076 +tp12077 +a(g826 +g1040 +tp12078 +a(g32 +g1782 +tp12079 +a(g826 +g1778 +tp12080 +a(g705 +g1780 +tp12081 +a(g32 +g1107 +tp12082 +a(g705 +g1164 +tp12083 +a(g826 +g1040 +tp12084 +a(g744 +Vthen +p12085 +tp12086 +a(g826 +V\u000a +p12087 +tp12088 +a(g440 +Vpic_object +p12089 +tp12090 +a(g412 +g1010 +tp12091 +a(g826 +V\u000a +p12092 +tp12093 +a(g440 +Vnon_pic_object +p12094 +tp12095 +a(g412 +g1010 +tp12096 +a(g826 +V\u000a\u000a +p12097 +tp12098 +a(g8 +V# Read the .lo file\u000a +p12099 +tp12100 +a(g826 +V +p12101 +tp12102 +a(g8 +V# If there is no directory component, then add one.\u000a +p12103 +tp12104 +a(g826 +V +p12105 +tp12106 +a(g744 +Vcase +p12107 +tp12108 +a(g826 +g1040 +tp12109 +a(g440 +V$arg +p12110 +tp12111 +a(g826 +g1040 +tp12112 +a(g826 +Vin +p12113 +tp12114 +a(g826 +V\u000a +p12115 +tp12116 +a(g826 +V*/* +p12117 +tp12118 +a(g826 +g1040 +tp12119 +a(g705 +g1075 +tp12120 +a(g826 +g1040 +tp12121 +a(g826 +g1562 +tp12122 +a(g213 +V\u005c\u005c +p12123 +tp12124 +a(g826 +g1562 +tp12125 +a(g412 +g1533 +tp12126 +a(g826 +g1040 +tp12127 +a(g826 +g5058 +tp12128 +a(g826 +g1040 +tp12129 +a(g440 +V$arg +p12130 +tp12131 +a(g826 +g1040 +tp12132 +a(g705 +g1164 +tp12133 +a(g705 +g1164 +tp12134 +a(g826 +V\u000a +p12135 +tp12136 +a(g826 +g1562 +tp12137 +a(g412 +g1533 +tp12138 +a(g826 +g1040 +tp12139 +a(g826 +g5058 +tp12140 +a(g826 +g1040 +tp12141 +a(g826 +V./ +p12142 +tp12143 +a(g440 +V$arg +p12144 +tp12145 +a(g826 +g1040 +tp12146 +a(g705 +g1164 +tp12147 +a(g705 +g1164 +tp12148 +a(g826 +V\u000a +p12149 +tp12150 +a(g744 +Vesac +p12151 +tp12152 +a(g826 +V\u000a\u000a +p12153 +tp12154 +a(g744 +Vif +p12155 +tp12156 +a(g826 +g1040 +tp12157 +a(g681 +Vtest +p12158 +tp12159 +a(g826 +g1040 +tp12160 +a(g826 +V-z +p12161 +tp12162 +a(g826 +g1040 +tp12163 +a(g157 +g1029 +tp12164 +a(g440 +V$pic_object +p12165 +tp12166 +a(g157 +g1029 +tp12167 +a(g826 +g1040 +tp12168 +a(g412 +V|| +p12169 +tp12170 +a(g826 +g1040 +tp12171 +a(g213 +V\u005c\u000a +p12172 +tp12173 +a(g826 +V +p12174 +tp12175 +a(g681 +Vtest +p12176 +tp12177 +a(g826 +g1040 +tp12178 +a(g826 +V-z +p12179 +tp12180 +a(g826 +g1040 +tp12181 +a(g157 +g1029 +tp12182 +a(g440 +V$non_pic_object +p12183 +tp12184 +a(g157 +g1029 +tp12185 +a(g826 +g1040 +tp12186 +a(g412 +V|| +p12187 +tp12188 +a(g826 +V\u000a +p12189 +tp12190 +a(g681 +Vtest +p12191 +tp12192 +a(g826 +g1040 +tp12193 +a(g157 +g1029 +tp12194 +a(g440 +V$pic_object +p12195 +tp12196 +a(g157 +g1029 +tp12197 +a(g826 +g1040 +tp12198 +a(g412 +g1010 +tp12199 +a(g826 +g1040 +tp12200 +a(g826 +Vnone +p12201 +tp12202 +a(g826 +g1040 +tp12203 +a(g412 +V&& +p12204 +tp12205 +a(g826 +g1040 +tp12206 +a(g213 +V\u005c\u000a +p12207 +tp12208 +a(g826 +V +p12209 +tp12210 +a(g681 +Vtest +p12211 +tp12212 +a(g826 +g1040 +tp12213 +a(g157 +g1029 +tp12214 +a(g440 +V$non_pic_object +p12215 +tp12216 +a(g157 +g1029 +tp12217 +a(g826 +g1040 +tp12218 +a(g412 +g1010 +tp12219 +a(g826 +g1040 +tp12220 +a(g826 +Vnone +p12221 +tp12222 +a(g705 +g1164 +tp12223 +a(g826 +g1040 +tp12224 +a(g744 +Vthen +p12225 +tp12226 +a(g826 +V\u000a +p12227 +tp12228 +a(g440 +V$echo +p12229 +tp12230 +a(g826 +g1040 +tp12231 +a(g157 +g1029 +tp12232 +a(g440 +V$modename +p12233 +tp12234 +a(g157 +V: cannot find name of object for \u005c` +p12235 +tp12236 +a(g440 +V$arg +p12237 +tp12238 +a(g157 +g2379 +tp12239 +a(g157 +g1029 +tp12240 +a(g826 +g1040 +tp12241 +a(g32 +g1107 +tp12242 +a(g826 +g1778 +tp12243 +a(g705 +g1780 +tp12244 +a(g32 +g1782 +tp12245 +a(g826 +V\u000a +p12246 +tp12247 +a(g681 +Vexit +p12248 +tp12249 +a(g826 +g1040 +tp12250 +a(g440 +V$EXIT_FAILURE +p12251 +tp12252 +a(g826 +V\u000a +p12253 +tp12254 +a(g744 +Vfi +p12255 +tp12256 +a(g826 +V\u000a\u000a +p12257 +tp12258 +a(g8 +V# Extract subdirectory from the argument.\u000a +p12259 +tp12260 +a(g826 +V +p12261 +tp12262 +a(g440 +Vxdir +p12263 +tp12264 +a(g412 +g1010 +tp12265 +a(g101 +g1065 +tp12266 +a(g440 +V$echo +p12267 +tp12268 +a(g826 +g1040 +tp12269 +a(g157 +g1029 +tp12270 +a(g157 +g1191 +tp12271 +a(g440 +V$arg +p12272 +tp12273 +a(g157 +g1029 +tp12274 +a(g826 +g1040 +tp12275 +a(g705 +g1075 +tp12276 +a(g826 +g1040 +tp12277 +a(g440 +V$Xsed +p12278 +tp12279 +a(g826 +g1040 +tp12280 +a(g826 +V-e +p12281 +tp12282 +a(g826 +g1040 +tp12283 +a(g229 +V's%/[^/]*$%%' +p12284 +tp12285 +a(g101 +g1065 +tp12286 +a(g826 +V\u000a +p12287 +tp12288 +a(g744 +Vif +p12289 +tp12290 +a(g826 +g1040 +tp12291 +a(g681 +Vtest +p12292 +tp12293 +a(g826 +g1040 +tp12294 +a(g157 +g1029 +tp12295 +a(g157 +g1191 +tp12296 +a(g440 +V$xdir +p12297 +tp12298 +a(g157 +g1029 +tp12299 +a(g826 +g1040 +tp12300 +a(g412 +g1010 +tp12301 +a(g826 +g1040 +tp12302 +a(g157 +g1029 +tp12303 +a(g157 +g1191 +tp12304 +a(g440 +V$arg +p12305 +tp12306 +a(g157 +g1029 +tp12307 +a(g705 +g1164 +tp12308 +a(g826 +g1040 +tp12309 +a(g744 +Vthen +p12310 +tp12311 +a(g826 +V\u000a +p12312 +tp12313 +a(g440 +Vxdir +p12314 +tp12315 +a(g412 +g1010 +tp12316 +a(g826 +V\u000a +p12317 +tp12318 +a(g744 +Velse +p12319 +tp12320 +a(g826 +V\u000a +p12321 +tp12322 +a(g440 +Vxdir +p12323 +tp12324 +a(g412 +g1010 +tp12325 +a(g157 +g1029 +tp12326 +a(g440 +V$xdir +p12327 +tp12328 +a(g157 +g1886 +tp12329 +a(g157 +g1029 +tp12330 +a(g826 +V\u000a +p12331 +tp12332 +a(g744 +Vfi +p12333 +tp12334 +a(g826 +V\u000a\u000a +p12335 +tp12336 +a(g744 +Vif +p12337 +tp12338 +a(g826 +g1040 +tp12339 +a(g681 +Vtest +p12340 +tp12341 +a(g826 +g1040 +tp12342 +a(g157 +g1029 +tp12343 +a(g440 +V$pic_object +p12344 +tp12345 +a(g157 +g1029 +tp12346 +a(g826 +g1040 +tp12347 +a(g826 +g1738 +tp12348 +a(g412 +g1010 +tp12349 +a(g826 +g1040 +tp12350 +a(g826 +Vnone +p12351 +tp12352 +a(g705 +g1164 +tp12353 +a(g826 +g1040 +tp12354 +a(g744 +Vthen +p12355 +tp12356 +a(g826 +V\u000a +p12357 +tp12358 +a(g8 +V# Prepend the subdirectory the object is found in.\u000a +p12359 +tp12360 +a(g826 +V +p12361 +tp12362 +a(g440 +Vpic_object +p12363 +tp12364 +a(g412 +g1010 +tp12365 +a(g157 +g1029 +tp12366 +a(g440 +V$xdir +p12367 +tp12368 +a(g440 +V$pic_object +p12369 +tp12370 +a(g157 +g1029 +tp12371 +a(g826 +V\u000a\u000a +p12372 +tp12373 +a(g744 +Vif +p12374 +tp12375 +a(g826 +g1040 +tp12376 +a(g681 +Vtest +p12377 +tp12378 +a(g826 +g1040 +tp12379 +a(g157 +g1029 +tp12380 +a(g440 +V$prev +p12381 +tp12382 +a(g157 +g1029 +tp12383 +a(g826 +g1040 +tp12384 +a(g412 +g1010 +tp12385 +a(g826 +g1040 +tp12386 +a(g826 +Vdlfiles +p12387 +tp12388 +a(g705 +g1164 +tp12389 +a(g826 +g1040 +tp12390 +a(g744 +Vthen +p12391 +tp12392 +a(g826 +V\u000a +p12393 +tp12394 +a(g744 +Vif +p12395 +tp12396 +a(g826 +g1040 +tp12397 +a(g681 +Vtest +p12398 +tp12399 +a(g826 +g1040 +tp12400 +a(g157 +g1029 +tp12401 +a(g440 +V$build_libtool_libs +p12402 +tp12403 +a(g157 +g1029 +tp12404 +a(g826 +g1040 +tp12405 +a(g412 +g1010 +tp12406 +a(g826 +g1040 +tp12407 +a(g826 +Vyes +p12408 +tp12409 +a(g826 +g1040 +tp12410 +a(g412 +V&& +p12411 +tp12412 +a(g826 +g1040 +tp12413 +a(g681 +Vtest +p12414 +tp12415 +a(g826 +g1040 +tp12416 +a(g157 +g1029 +tp12417 +a(g440 +V$dlopen_support +p12418 +tp12419 +a(g157 +g1029 +tp12420 +a(g826 +g1040 +tp12421 +a(g412 +g1010 +tp12422 +a(g826 +g1040 +tp12423 +a(g826 +Vyes +p12424 +tp12425 +a(g705 +g1164 +tp12426 +a(g826 +g1040 +tp12427 +a(g744 +Vthen +p12428 +tp12429 +a(g826 +V\u000a +p12430 +tp12431 +a(g440 +Vdlfiles +p12432 +tp12433 +a(g412 +g1010 +tp12434 +a(g157 +g1029 +tp12435 +a(g440 +V$dlfiles +p12436 +tp12437 +a(g157 +g1040 +tp12438 +a(g440 +V$pic_object +p12439 +tp12440 +a(g157 +g1029 +tp12441 +a(g826 +V\u000a +p12442 +tp12443 +a(g440 +Vprev +p12444 +tp12445 +a(g412 +g1010 +tp12446 +a(g826 +V\u000a +p12447 +tp12448 +a(g744 +Vcontinue +p12449 +tp12450 +a(g826 +V\u000a +p12451 +tp12452 +a(g744 +Velse +p12453 +tp12454 +a(g826 +V\u000a +p12455 +tp12456 +a(g8 +V# If libtool objects are unsupported, then we need to preload.\u000a +p12457 +tp12458 +a(g826 +V +p12459 +tp12460 +a(g440 +Vprev +p12461 +tp12462 +a(g412 +g1010 +tp12463 +a(g826 +Vdlprefiles +p12464 +tp12465 +a(g826 +V\u000a +p12466 +tp12467 +a(g744 +Vfi +p12468 +tp12469 +a(g826 +V\u000a +p12470 +tp12471 +a(g744 +Vfi +p12472 +tp12473 +a(g826 +V\u000a\u000a +p12474 +tp12475 +a(g8 +V# CHECK ME: I think I busted this. -Ossama\u000a +p12476 +tp12477 +a(g826 +V +p12478 +tp12479 +a(g744 +Vif +p12480 +tp12481 +a(g826 +g1040 +tp12482 +a(g681 +Vtest +p12483 +tp12484 +a(g826 +g1040 +tp12485 +a(g157 +g1029 +tp12486 +a(g440 +V$prev +p12487 +tp12488 +a(g157 +g1029 +tp12489 +a(g826 +g1040 +tp12490 +a(g412 +g1010 +tp12491 +a(g826 +g1040 +tp12492 +a(g826 +Vdlprefiles +p12493 +tp12494 +a(g705 +g1164 +tp12495 +a(g826 +g1040 +tp12496 +a(g744 +Vthen +p12497 +tp12498 +a(g826 +V\u000a +p12499 +tp12500 +a(g8 +V# Preload the old-style object.\u000a +p12501 +tp12502 +a(g826 +V +p12503 +tp12504 +a(g440 +Vdlprefiles +p12505 +tp12506 +a(g412 +g1010 +tp12507 +a(g157 +g1029 +tp12508 +a(g440 +V$dlprefiles +p12509 +tp12510 +a(g157 +g1040 +tp12511 +a(g440 +V$pic_object +p12512 +tp12513 +a(g157 +g1029 +tp12514 +a(g826 +V\u000a +p12515 +tp12516 +a(g440 +Vprev +p12517 +tp12518 +a(g412 +g1010 +tp12519 +a(g826 +V\u000a +p12520 +tp12521 +a(g744 +Vfi +p12522 +tp12523 +a(g826 +V\u000a\u000a +p12524 +tp12525 +a(g8 +V# A PIC object.\u000a +p12526 +tp12527 +a(g826 +V +p12528 +tp12529 +a(g440 +Vlibobjs +p12530 +tp12531 +a(g412 +g1010 +tp12532 +a(g157 +g1029 +tp12533 +a(g440 +V$libobjs +p12534 +tp12535 +a(g157 +g1040 +tp12536 +a(g440 +V$pic_object +p12537 +tp12538 +a(g157 +g1029 +tp12539 +a(g826 +V\u000a +p12540 +tp12541 +a(g440 +Varg +p12542 +tp12543 +a(g412 +g1010 +tp12544 +a(g157 +g1029 +tp12545 +a(g440 +V$pic_object +p12546 +tp12547 +a(g157 +g1029 +tp12548 +a(g826 +V\u000a +p12549 +tp12550 +a(g744 +Vfi +p12551 +tp12552 +a(g826 +V\u000a\u000a +p12553 +tp12554 +a(g8 +V# Non-PIC object.\u000a +p12555 +tp12556 +a(g826 +V +p12557 +tp12558 +a(g744 +Vif +p12559 +tp12560 +a(g826 +g1040 +tp12561 +a(g681 +Vtest +p12562 +tp12563 +a(g826 +g1040 +tp12564 +a(g157 +g1029 +tp12565 +a(g440 +V$non_pic_object +p12566 +tp12567 +a(g157 +g1029 +tp12568 +a(g826 +g1040 +tp12569 +a(g826 +g1738 +tp12570 +a(g412 +g1010 +tp12571 +a(g826 +g1040 +tp12572 +a(g826 +Vnone +p12573 +tp12574 +a(g705 +g1164 +tp12575 +a(g826 +g1040 +tp12576 +a(g744 +Vthen +p12577 +tp12578 +a(g826 +V\u000a +p12579 +tp12580 +a(g8 +V# Prepend the subdirectory the object is found in.\u000a +p12581 +tp12582 +a(g826 +V +p12583 +tp12584 +a(g440 +Vnon_pic_object +p12585 +tp12586 +a(g412 +g1010 +tp12587 +a(g157 +g1029 +tp12588 +a(g440 +V$xdir +p12589 +tp12590 +a(g440 +V$non_pic_object +p12591 +tp12592 +a(g157 +g1029 +tp12593 +a(g826 +V\u000a\u000a +p12594 +tp12595 +a(g8 +V# A standard non-PIC object\u000a +p12596 +tp12597 +a(g826 +V +p12598 +tp12599 +a(g440 +Vnon_pic_objects +p12600 +tp12601 +a(g412 +g1010 +tp12602 +a(g157 +g1029 +tp12603 +a(g440 +V$non_pic_objects +p12604 +tp12605 +a(g157 +g1040 +tp12606 +a(g440 +V$non_pic_object +p12607 +tp12608 +a(g157 +g1029 +tp12609 +a(g826 +V\u000a +p12610 +tp12611 +a(g744 +Vif +p12612 +tp12613 +a(g826 +g1040 +tp12614 +a(g681 +Vtest +p12615 +tp12616 +a(g826 +g1040 +tp12617 +a(g826 +V-z +p12618 +tp12619 +a(g826 +g1040 +tp12620 +a(g157 +g1029 +tp12621 +a(g440 +V$pic_object +p12622 +tp12623 +a(g157 +g1029 +tp12624 +a(g826 +g1040 +tp12625 +a(g412 +V|| +p12626 +tp12627 +a(g826 +g1040 +tp12628 +a(g681 +Vtest +p12629 +tp12630 +a(g826 +g1040 +tp12631 +a(g157 +g1029 +tp12632 +a(g440 +V$pic_object +p12633 +tp12634 +a(g157 +g1029 +tp12635 +a(g826 +g1040 +tp12636 +a(g412 +g1010 +tp12637 +a(g826 +g1040 +tp12638 +a(g826 +Vnone +p12639 +tp12640 +a(g826 +g1040 +tp12641 +a(g705 +g1164 +tp12642 +a(g826 +g1040 +tp12643 +a(g744 +Vthen +p12644 +tp12645 +a(g826 +V\u000a +p12646 +tp12647 +a(g440 +Varg +p12648 +tp12649 +a(g412 +g1010 +tp12650 +a(g157 +g1029 +tp12651 +a(g440 +V$non_pic_object +p12652 +tp12653 +a(g157 +g1029 +tp12654 +a(g826 +V\u000a +p12655 +tp12656 +a(g744 +Vfi +p12657 +tp12658 +a(g826 +V\u000a +p12659 +tp12660 +a(g744 +Velse +p12661 +tp12662 +a(g826 +V\u000a +p12663 +tp12664 +a(g8 +V# If the PIC object exists, use it instead.\u000a +p12665 +tp12666 +a(g826 +V +p12667 +tp12668 +a(g8 +V# $xdir was prepended to $pic_object above.\u000a +p12669 +tp12670 +a(g826 +V +p12671 +tp12672 +a(g440 +Vnon_pic_object +p12673 +tp12674 +a(g412 +g1010 +tp12675 +a(g157 +g1029 +tp12676 +a(g440 +V$pic_object +p12677 +tp12678 +a(g157 +g1029 +tp12679 +a(g826 +V\u000a +p12680 +tp12681 +a(g440 +Vnon_pic_objects +p12682 +tp12683 +a(g412 +g1010 +tp12684 +a(g157 +g1029 +tp12685 +a(g440 +V$non_pic_objects +p12686 +tp12687 +a(g157 +g1040 +tp12688 +a(g440 +V$non_pic_object +p12689 +tp12690 +a(g157 +g1029 +tp12691 +a(g826 +V\u000a +p12692 +tp12693 +a(g744 +Vfi +p12694 +tp12695 +a(g826 +V\u000a +p12696 +tp12697 +a(g744 +Velse +p12698 +tp12699 +a(g826 +V\u000a +p12700 +tp12701 +a(g8 +V# Only an error if not doing a dry-run.\u000a +p12702 +tp12703 +a(g826 +V +p12704 +tp12705 +a(g744 +Vif +p12706 +tp12707 +a(g826 +g1040 +tp12708 +a(g681 +Vtest +p12709 +tp12710 +a(g826 +g1040 +tp12711 +a(g826 +V-z +p12712 +tp12713 +a(g826 +g1040 +tp12714 +a(g157 +g1029 +tp12715 +a(g440 +V$run +p12716 +tp12717 +a(g157 +g1029 +tp12718 +a(g705 +g1164 +tp12719 +a(g826 +g1040 +tp12720 +a(g744 +Vthen +p12721 +tp12722 +a(g826 +V\u000a +p12723 +tp12724 +a(g440 +V$echo +p12725 +tp12726 +a(g826 +g1040 +tp12727 +a(g157 +g1029 +tp12728 +a(g440 +V$modename +p12729 +tp12730 +a(g157 +V: \u005c` +p12731 +tp12732 +a(g440 +V$arg +p12733 +tp12734 +a(g157 +V' is not a valid libtool object +p12735 +tp12736 +a(g157 +g1029 +tp12737 +a(g826 +g1040 +tp12738 +a(g32 +g1107 +tp12739 +a(g826 +g1778 +tp12740 +a(g705 +g1780 +tp12741 +a(g32 +g1782 +tp12742 +a(g826 +V\u000a +p12743 +tp12744 +a(g681 +Vexit +p12745 +tp12746 +a(g826 +g1040 +tp12747 +a(g440 +V$EXIT_FAILURE +p12748 +tp12749 +a(g826 +V\u000a +p12750 +tp12751 +a(g744 +Velse +p12752 +tp12753 +a(g826 +V\u000a +p12754 +tp12755 +a(g8 +V# Dry-run case.\u000a +p12756 +tp12757 +a(g826 +V\u000a +p12758 +tp12759 +a(g8 +V# Extract subdirectory from the argument.\u000a +p12760 +tp12761 +a(g826 +V +p12762 +tp12763 +a(g440 +Vxdir +p12764 +tp12765 +a(g412 +g1010 +tp12766 +a(g101 +g1065 +tp12767 +a(g440 +V$echo +p12768 +tp12769 +a(g826 +g1040 +tp12770 +a(g157 +g1029 +tp12771 +a(g157 +g1191 +tp12772 +a(g440 +V$arg +p12773 +tp12774 +a(g157 +g1029 +tp12775 +a(g826 +g1040 +tp12776 +a(g705 +g1075 +tp12777 +a(g826 +g1040 +tp12778 +a(g440 +V$Xsed +p12779 +tp12780 +a(g826 +g1040 +tp12781 +a(g826 +V-e +p12782 +tp12783 +a(g826 +g1040 +tp12784 +a(g229 +V's%/[^/]*$%%' +p12785 +tp12786 +a(g101 +g1065 +tp12787 +a(g826 +V\u000a +p12788 +tp12789 +a(g744 +Vif +p12790 +tp12791 +a(g826 +g1040 +tp12792 +a(g681 +Vtest +p12793 +tp12794 +a(g826 +g1040 +tp12795 +a(g157 +g1029 +tp12796 +a(g157 +g1191 +tp12797 +a(g440 +V$xdir +p12798 +tp12799 +a(g157 +g1029 +tp12800 +a(g826 +g1040 +tp12801 +a(g412 +g1010 +tp12802 +a(g826 +g1040 +tp12803 +a(g157 +g1029 +tp12804 +a(g157 +g1191 +tp12805 +a(g440 +V$arg +p12806 +tp12807 +a(g157 +g1029 +tp12808 +a(g705 +g1164 +tp12809 +a(g826 +g1040 +tp12810 +a(g744 +Vthen +p12811 +tp12812 +a(g826 +V\u000a +p12813 +tp12814 +a(g440 +Vxdir +p12815 +tp12816 +a(g412 +g1010 +tp12817 +a(g826 +V\u000a +p12818 +tp12819 +a(g744 +Velse +p12820 +tp12821 +a(g826 +V\u000a +p12822 +tp12823 +a(g440 +Vxdir +p12824 +tp12825 +a(g412 +g1010 +tp12826 +a(g157 +g1029 +tp12827 +a(g440 +V$xdir +p12828 +tp12829 +a(g157 +g1886 +tp12830 +a(g157 +g1029 +tp12831 +a(g826 +V\u000a +p12832 +tp12833 +a(g744 +Vfi +p12834 +tp12835 +a(g826 +V\u000a\u000a +p12836 +tp12837 +a(g440 +Vpic_object +p12838 +tp12839 +a(g412 +g1010 +tp12840 +a(g101 +g1065 +tp12841 +a(g440 +V$echo +p12842 +tp12843 +a(g826 +g1040 +tp12844 +a(g157 +g1029 +tp12845 +a(g157 +g1191 +tp12846 +a(g125 +V${ +p12847 +tp12848 +a(g440 +Vxdir +p12849 +tp12850 +a(g125 +g1160 +tp12851 +a(g125 +V${ +p12852 +tp12853 +a(g440 +Vobjdir +p12854 +tp12855 +a(g125 +g1160 +tp12856 +a(g157 +g1886 +tp12857 +a(g125 +V${ +p12858 +tp12859 +a(g440 +Varg +p12860 +tp12861 +a(g125 +g1160 +tp12862 +a(g157 +g1029 +tp12863 +a(g826 +g1040 +tp12864 +a(g705 +g1075 +tp12865 +a(g826 +g1040 +tp12866 +a(g440 +V$Xsed +p12867 +tp12868 +a(g826 +g1040 +tp12869 +a(g826 +V-e +p12870 +tp12871 +a(g826 +g1040 +tp12872 +a(g157 +g1029 +tp12873 +a(g440 +V$lo2o +p12874 +tp12875 +a(g157 +g1029 +tp12876 +a(g101 +g1065 +tp12877 +a(g826 +V\u000a +p12878 +tp12879 +a(g440 +Vnon_pic_object +p12880 +tp12881 +a(g412 +g1010 +tp12882 +a(g101 +g1065 +tp12883 +a(g440 +V$echo +p12884 +tp12885 +a(g826 +g1040 +tp12886 +a(g157 +g1029 +tp12887 +a(g157 +g1191 +tp12888 +a(g125 +V${ +p12889 +tp12890 +a(g440 +Vxdir +p12891 +tp12892 +a(g125 +g1160 +tp12893 +a(g125 +V${ +p12894 +tp12895 +a(g440 +Varg +p12896 +tp12897 +a(g125 +g1160 +tp12898 +a(g157 +g1029 +tp12899 +a(g826 +g1040 +tp12900 +a(g705 +g1075 +tp12901 +a(g826 +g1040 +tp12902 +a(g440 +V$Xsed +p12903 +tp12904 +a(g826 +g1040 +tp12905 +a(g826 +V-e +p12906 +tp12907 +a(g826 +g1040 +tp12908 +a(g157 +g1029 +tp12909 +a(g440 +V$lo2o +p12910 +tp12911 +a(g157 +g1029 +tp12912 +a(g101 +g1065 +tp12913 +a(g826 +V\u000a +p12914 +tp12915 +a(g440 +Vlibobjs +p12916 +tp12917 +a(g412 +g1010 +tp12918 +a(g157 +g1029 +tp12919 +a(g440 +V$libobjs +p12920 +tp12921 +a(g157 +g1040 +tp12922 +a(g440 +V$pic_object +p12923 +tp12924 +a(g157 +g1029 +tp12925 +a(g826 +V\u000a +p12926 +tp12927 +a(g440 +Vnon_pic_objects +p12928 +tp12929 +a(g412 +g1010 +tp12930 +a(g157 +g1029 +tp12931 +a(g440 +V$non_pic_objects +p12932 +tp12933 +a(g157 +g1040 +tp12934 +a(g440 +V$non_pic_object +p12935 +tp12936 +a(g157 +g1029 +tp12937 +a(g826 +V\u000a +p12938 +tp12939 +a(g744 +Vfi +p12940 +tp12941 +a(g826 +V\u000a +p12942 +tp12943 +a(g744 +Vfi +p12944 +tp12945 +a(g826 +V\u000a +p12946 +tp12947 +a(g744 +Vdone +p12948 +tp12949 +a(g826 +V\u000a +p12950 +tp12951 +a(g744 +Velse +p12952 +tp12953 +a(g826 +V\u000a +p12954 +tp12955 +a(g440 +V$echo +p12956 +tp12957 +a(g826 +g1040 +tp12958 +a(g157 +g1029 +tp12959 +a(g440 +V$modename +p12960 +tp12961 +a(g157 +V: link input file \u005c` +p12962 +tp12963 +a(g440 +V$save_arg +p12964 +tp12965 +a(g157 +V' does not exist +p12966 +tp12967 +a(g157 +g1029 +tp12968 +a(g826 +V\u000a +p12969 +tp12970 +a(g681 +Vexit +p12971 +tp12972 +a(g826 +g1040 +tp12973 +a(g440 +V$EXIT_FAILURE +p12974 +tp12975 +a(g826 +V\u000a +p12976 +tp12977 +a(g744 +Vfi +p12978 +tp12979 +a(g826 +V\u000a +p12980 +tp12981 +a(g440 +Varg +p12982 +tp12983 +a(g412 +g1010 +tp12984 +a(g440 +V$save_arg +p12985 +tp12986 +a(g826 +V\u000a +p12987 +tp12988 +a(g440 +Vprev +p12989 +tp12990 +a(g412 +g1010 +tp12991 +a(g826 +V\u000a +p12992 +tp12993 +a(g744 +Vcontinue +p12994 +tp12995 +a(g826 +V\u000a +p12996 +tp12997 +a(g705 +g1164 +tp12998 +a(g705 +g1164 +tp12999 +a(g826 +V\u000a +p13000 +tp13001 +a(g826 +Vrpath +p13002 +tp13003 +a(g826 +g1040 +tp13004 +a(g705 +g1075 +tp13005 +a(g826 +g1040 +tp13006 +a(g826 +Vxrpath +p13007 +tp13008 +a(g412 +g1533 +tp13009 +a(g826 +V\u000a +p13010 +tp13011 +a(g8 +V# We need an absolute path.\u000a +p13012 +tp13013 +a(g826 +V +p13014 +tp13015 +a(g744 +Vcase +p13016 +tp13017 +a(g826 +g1040 +tp13018 +a(g440 +V$arg +p13019 +tp13020 +a(g826 +g1040 +tp13021 +a(g826 +Vin +p13022 +tp13023 +a(g826 +V\u000a +p13024 +tp13025 +a(g412 +g2838 +tp13026 +a(g213 +V\u005c\u005c +p13027 +tp13028 +a(g826 +g1886 +tp13029 +a(g412 +g2876 +tp13030 +a(g826 +g1562 +tp13031 +a(g826 +g1040 +tp13032 +a(g705 +g1075 +tp13033 +a(g826 +g1040 +tp13034 +a(g412 +g2838 +tp13035 +a(g826 +VA-Za-z +p13036 +tp13037 +a(g412 +g2876 +tp13038 +a(g826 +g1314 +tp13039 +a(g412 +g2838 +tp13040 +a(g213 +V\u005c\u005c +p13041 +tp13042 +a(g826 +g1886 +tp13043 +a(g412 +g2876 +tp13044 +a(g826 +g1562 +tp13045 +a(g412 +g1533 +tp13046 +a(g826 +g1040 +tp13047 +a(g705 +g1164 +tp13048 +a(g705 +g1164 +tp13049 +a(g826 +V\u000a +p13050 +tp13051 +a(g826 +g1562 +tp13052 +a(g412 +g1533 +tp13053 +a(g826 +V\u000a +p13054 +tp13055 +a(g440 +V$echo +p13056 +tp13057 +a(g826 +g1040 +tp13058 +a(g157 +g1029 +tp13059 +a(g440 +V$modename +p13060 +tp13061 +a(g157 +V: only absolute run-paths are allowed +p13062 +tp13063 +a(g157 +g1029 +tp13064 +a(g826 +g1040 +tp13065 +a(g32 +g1107 +tp13066 +a(g826 +g1778 +tp13067 +a(g705 +g1780 +tp13068 +a(g32 +g1782 +tp13069 +a(g826 +V\u000a +p13070 +tp13071 +a(g681 +Vexit +p13072 +tp13073 +a(g826 +g1040 +tp13074 +a(g440 +V$EXIT_FAILURE +p13075 +tp13076 +a(g826 +V\u000a +p13077 +tp13078 +a(g705 +g1164 +tp13079 +a(g705 +g1164 +tp13080 +a(g826 +V\u000a +p13081 +tp13082 +a(g744 +Vesac +p13083 +tp13084 +a(g826 +V\u000a +p13085 +tp13086 +a(g744 +Vif +p13087 +tp13088 +a(g826 +g1040 +tp13089 +a(g681 +Vtest +p13090 +tp13091 +a(g826 +g1040 +tp13092 +a(g157 +g1029 +tp13093 +a(g440 +V$prev +p13094 +tp13095 +a(g157 +g1029 +tp13096 +a(g826 +g1040 +tp13097 +a(g412 +g1010 +tp13098 +a(g826 +g1040 +tp13099 +a(g826 +Vrpath +p13100 +tp13101 +a(g705 +g1164 +tp13102 +a(g826 +g1040 +tp13103 +a(g744 +Vthen +p13104 +tp13105 +a(g826 +V\u000a +p13106 +tp13107 +a(g744 +Vcase +p13108 +tp13109 +a(g826 +g1040 +tp13110 +a(g157 +g1029 +tp13111 +a(g440 +V$rpath +p13112 +tp13113 +a(g157 +g1040 +tp13114 +a(g157 +g1029 +tp13115 +a(g826 +g1040 +tp13116 +a(g826 +Vin +p13117 +tp13118 +a(g826 +V\u000a +p13119 +tp13120 +a(g826 +g1562 +tp13121 +a(g157 +g1029 +tp13122 +a(g157 +g1040 +tp13123 +a(g440 +V$arg +p13124 +tp13125 +a(g157 +g1040 +tp13126 +a(g157 +g1029 +tp13127 +a(g826 +g1562 +tp13128 +a(g412 +g1533 +tp13129 +a(g826 +g1040 +tp13130 +a(g705 +g1164 +tp13131 +a(g705 +g1164 +tp13132 +a(g826 +V\u000a +p13133 +tp13134 +a(g826 +g1562 +tp13135 +a(g412 +g1533 +tp13136 +a(g826 +g1040 +tp13137 +a(g440 +Vrpath +p13138 +tp13139 +a(g412 +g1010 +tp13140 +a(g157 +g1029 +tp13141 +a(g440 +V$rpath +p13142 +tp13143 +a(g157 +g1040 +tp13144 +a(g440 +V$arg +p13145 +tp13146 +a(g157 +g1029 +tp13147 +a(g826 +g1040 +tp13148 +a(g705 +g1164 +tp13149 +a(g705 +g1164 +tp13150 +a(g826 +V\u000a +p13151 +tp13152 +a(g744 +Vesac +p13153 +tp13154 +a(g826 +V\u000a +p13155 +tp13156 +a(g744 +Velse +p13157 +tp13158 +a(g826 +V\u000a +p13159 +tp13160 +a(g744 +Vcase +p13161 +tp13162 +a(g826 +g1040 +tp13163 +a(g157 +g1029 +tp13164 +a(g440 +V$xrpath +p13165 +tp13166 +a(g157 +g1040 +tp13167 +a(g157 +g1029 +tp13168 +a(g826 +g1040 +tp13169 +a(g826 +Vin +p13170 +tp13171 +a(g826 +V\u000a +p13172 +tp13173 +a(g826 +g1562 +tp13174 +a(g157 +g1029 +tp13175 +a(g157 +g1040 +tp13176 +a(g440 +V$arg +p13177 +tp13178 +a(g157 +g1040 +tp13179 +a(g157 +g1029 +tp13180 +a(g826 +g1562 +tp13181 +a(g412 +g1533 +tp13182 +a(g826 +g1040 +tp13183 +a(g705 +g1164 +tp13184 +a(g705 +g1164 +tp13185 +a(g826 +V\u000a +p13186 +tp13187 +a(g826 +g1562 +tp13188 +a(g412 +g1533 +tp13189 +a(g826 +g1040 +tp13190 +a(g440 +Vxrpath +p13191 +tp13192 +a(g412 +g1010 +tp13193 +a(g157 +g1029 +tp13194 +a(g440 +V$xrpath +p13195 +tp13196 +a(g157 +g1040 +tp13197 +a(g440 +V$arg +p13198 +tp13199 +a(g157 +g1029 +tp13200 +a(g826 +g1040 +tp13201 +a(g705 +g1164 +tp13202 +a(g705 +g1164 +tp13203 +a(g826 +V\u000a +p13204 +tp13205 +a(g744 +Vesac +p13206 +tp13207 +a(g826 +V\u000a +p13208 +tp13209 +a(g744 +Vfi +p13210 +tp13211 +a(g826 +V\u000a +p13212 +tp13213 +a(g440 +Vprev +p13214 +tp13215 +a(g412 +g1010 +tp13216 +a(g826 +V\u000a +p13217 +tp13218 +a(g744 +Vcontinue +p13219 +tp13220 +a(g826 +V\u000a +p13221 +tp13222 +a(g705 +g1164 +tp13223 +a(g705 +g1164 +tp13224 +a(g826 +V\u000a +p13225 +tp13226 +a(g826 +Vxcompiler +p13227 +tp13228 +a(g412 +g1533 +tp13229 +a(g826 +V\u000a +p13230 +tp13231 +a(g440 +Vcompiler_flags +p13232 +tp13233 +a(g412 +g1010 +tp13234 +a(g157 +g1029 +tp13235 +a(g440 +V$compiler_flags +p13236 +tp13237 +a(g157 +g1040 +tp13238 +a(g440 +V$qarg +p13239 +tp13240 +a(g157 +g1029 +tp13241 +a(g826 +V\u000a +p13242 +tp13243 +a(g440 +Vprev +p13244 +tp13245 +a(g412 +g1010 +tp13246 +a(g826 +V\u000a +p13247 +tp13248 +a(g440 +Vcompile_command +p13249 +tp13250 +a(g412 +g1010 +tp13251 +a(g157 +g1029 +tp13252 +a(g440 +V$compile_command +p13253 +tp13254 +a(g157 +g1040 +tp13255 +a(g440 +V$qarg +p13256 +tp13257 +a(g157 +g1029 +tp13258 +a(g826 +V\u000a +p13259 +tp13260 +a(g440 +Vfinalize_command +p13261 +tp13262 +a(g412 +g1010 +tp13263 +a(g157 +g1029 +tp13264 +a(g440 +V$finalize_command +p13265 +tp13266 +a(g157 +g1040 +tp13267 +a(g440 +V$qarg +p13268 +tp13269 +a(g157 +g1029 +tp13270 +a(g826 +V\u000a +p13271 +tp13272 +a(g744 +Vcontinue +p13273 +tp13274 +a(g826 +V\u000a +p13275 +tp13276 +a(g705 +g1164 +tp13277 +a(g705 +g1164 +tp13278 +a(g826 +V\u000a +p13279 +tp13280 +a(g826 +Vxlinker +p13281 +tp13282 +a(g412 +g1533 +tp13283 +a(g826 +V\u000a +p13284 +tp13285 +a(g440 +Vlinker_flags +p13286 +tp13287 +a(g412 +g1010 +tp13288 +a(g157 +g1029 +tp13289 +a(g440 +V$linker_flags +p13290 +tp13291 +a(g157 +g1040 +tp13292 +a(g440 +V$qarg +p13293 +tp13294 +a(g157 +g1029 +tp13295 +a(g826 +V\u000a +p13296 +tp13297 +a(g440 +Vcompiler_flags +p13298 +tp13299 +a(g412 +g1010 +tp13300 +a(g157 +g1029 +tp13301 +a(g440 +V$compiler_flags +p13302 +tp13303 +a(g157 +g1040 +tp13304 +a(g440 +V$wl +p13305 +tp13306 +a(g440 +V$qarg +p13307 +tp13308 +a(g157 +g1029 +tp13309 +a(g826 +V\u000a +p13310 +tp13311 +a(g440 +Vprev +p13312 +tp13313 +a(g412 +g1010 +tp13314 +a(g826 +V\u000a +p13315 +tp13316 +a(g440 +Vcompile_command +p13317 +tp13318 +a(g412 +g1010 +tp13319 +a(g157 +g1029 +tp13320 +a(g440 +V$compile_command +p13321 +tp13322 +a(g157 +g1040 +tp13323 +a(g440 +V$wl +p13324 +tp13325 +a(g440 +V$qarg +p13326 +tp13327 +a(g157 +g1029 +tp13328 +a(g826 +V\u000a +p13329 +tp13330 +a(g440 +Vfinalize_command +p13331 +tp13332 +a(g412 +g1010 +tp13333 +a(g157 +g1029 +tp13334 +a(g440 +V$finalize_command +p13335 +tp13336 +a(g157 +g1040 +tp13337 +a(g440 +V$wl +p13338 +tp13339 +a(g440 +V$qarg +p13340 +tp13341 +a(g157 +g1029 +tp13342 +a(g826 +V\u000a +p13343 +tp13344 +a(g744 +Vcontinue +p13345 +tp13346 +a(g826 +V\u000a +p13347 +tp13348 +a(g705 +g1164 +tp13349 +a(g705 +g1164 +tp13350 +a(g826 +V\u000a +p13351 +tp13352 +a(g826 +Vxcclinker +p13353 +tp13354 +a(g412 +g1533 +tp13355 +a(g826 +V\u000a +p13356 +tp13357 +a(g440 +Vlinker_flags +p13358 +tp13359 +a(g412 +g1010 +tp13360 +a(g157 +g1029 +tp13361 +a(g440 +V$linker_flags +p13362 +tp13363 +a(g157 +g1040 +tp13364 +a(g440 +V$qarg +p13365 +tp13366 +a(g157 +g1029 +tp13367 +a(g826 +V\u000a +p13368 +tp13369 +a(g440 +Vcompiler_flags +p13370 +tp13371 +a(g412 +g1010 +tp13372 +a(g157 +g1029 +tp13373 +a(g440 +V$compiler_flags +p13374 +tp13375 +a(g157 +g1040 +tp13376 +a(g440 +V$qarg +p13377 +tp13378 +a(g157 +g1029 +tp13379 +a(g826 +V\u000a +p13380 +tp13381 +a(g440 +Vprev +p13382 +tp13383 +a(g412 +g1010 +tp13384 +a(g826 +V\u000a +p13385 +tp13386 +a(g440 +Vcompile_command +p13387 +tp13388 +a(g412 +g1010 +tp13389 +a(g157 +g1029 +tp13390 +a(g440 +V$compile_command +p13391 +tp13392 +a(g157 +g1040 +tp13393 +a(g440 +V$qarg +p13394 +tp13395 +a(g157 +g1029 +tp13396 +a(g826 +V\u000a +p13397 +tp13398 +a(g440 +Vfinalize_command +p13399 +tp13400 +a(g412 +g1010 +tp13401 +a(g157 +g1029 +tp13402 +a(g440 +V$finalize_command +p13403 +tp13404 +a(g157 +g1040 +tp13405 +a(g440 +V$qarg +p13406 +tp13407 +a(g157 +g1029 +tp13408 +a(g826 +V\u000a +p13409 +tp13410 +a(g744 +Vcontinue +p13411 +tp13412 +a(g826 +V\u000a +p13413 +tp13414 +a(g705 +g1164 +tp13415 +a(g705 +g1164 +tp13416 +a(g826 +V\u000a +p13417 +tp13418 +a(g826 +Vshrext +p13419 +tp13420 +a(g412 +g1533 +tp13421 +a(g826 +V\u000a +p13422 +tp13423 +a(g440 +Vshrext_cmds +p13424 +tp13425 +a(g412 +g1010 +tp13426 +a(g157 +g1029 +tp13427 +a(g440 +V$arg +p13428 +tp13429 +a(g157 +g1029 +tp13430 +a(g826 +V\u000a +p13431 +tp13432 +a(g440 +Vprev +p13433 +tp13434 +a(g412 +g1010 +tp13435 +a(g826 +V\u000a +p13436 +tp13437 +a(g744 +Vcontinue +p13438 +tp13439 +a(g826 +V\u000a +p13440 +tp13441 +a(g705 +g1164 +tp13442 +a(g705 +g1164 +tp13443 +a(g826 +V\u000a +p13444 +tp13445 +a(g826 +Vdarwin_framework +p13446 +tp13447 +a(g705 +g1075 +tp13448 +a(g826 +Vdarwin_framework_skip +p13449 +tp13450 +a(g412 +g1533 +tp13451 +a(g826 +V\u000a +p13452 +tp13453 +a(g681 +Vtest +p13454 +tp13455 +a(g826 +g1040 +tp13456 +a(g157 +g1029 +tp13457 +a(g440 +V$prev +p13458 +tp13459 +a(g157 +g1029 +tp13460 +a(g826 +g1040 +tp13461 +a(g412 +g1010 +tp13462 +a(g826 +g1040 +tp13463 +a(g157 +V"darwin_framework" +p13464 +tp13465 +a(g826 +g1040 +tp13466 +a(g412 +V&& +p13467 +tp13468 +a(g826 +g1040 +tp13469 +a(g440 +Vcompiler_flags +p13470 +tp13471 +a(g412 +g1010 +tp13472 +a(g157 +g1029 +tp13473 +a(g440 +V$compiler_flags +p13474 +tp13475 +a(g157 +g1040 +tp13476 +a(g440 +V$arg +p13477 +tp13478 +a(g157 +g1029 +tp13479 +a(g826 +V\u000a +p13480 +tp13481 +a(g440 +Vcompile_command +p13482 +tp13483 +a(g412 +g1010 +tp13484 +a(g157 +g1029 +tp13485 +a(g440 +V$compile_command +p13486 +tp13487 +a(g157 +g1040 +tp13488 +a(g440 +V$arg +p13489 +tp13490 +a(g157 +g1029 +tp13491 +a(g826 +V\u000a +p13492 +tp13493 +a(g440 +Vfinalize_command +p13494 +tp13495 +a(g412 +g1010 +tp13496 +a(g157 +g1029 +tp13497 +a(g440 +V$finalize_command +p13498 +tp13499 +a(g157 +g1040 +tp13500 +a(g440 +V$arg +p13501 +tp13502 +a(g157 +g1029 +tp13503 +a(g826 +V\u000a +p13504 +tp13505 +a(g440 +Vprev +p13506 +tp13507 +a(g412 +g1010 +tp13508 +a(g826 +V\u000a +p13509 +tp13510 +a(g744 +Vcontinue +p13511 +tp13512 +a(g826 +V\u000a +p13513 +tp13514 +a(g705 +g1164 +tp13515 +a(g705 +g1164 +tp13516 +a(g826 +V\u000a +p13517 +tp13518 +a(g826 +g1562 +tp13519 +a(g412 +g1533 +tp13520 +a(g826 +V\u000a +p13521 +tp13522 +a(g681 +Veval +p13523 +tp13524 +a(g826 +g1040 +tp13525 +a(g157 +g1029 +tp13526 +a(g440 +V$prev +p13527 +tp13528 +a(g157 +V=\u005c"\u005c$arg\u005c" +p13529 +tp13530 +a(g157 +g1029 +tp13531 +a(g826 +V\u000a +p13532 +tp13533 +a(g440 +Vprev +p13534 +tp13535 +a(g412 +g1010 +tp13536 +a(g826 +V\u000a +p13537 +tp13538 +a(g744 +Vcontinue +p13539 +tp13540 +a(g826 +V\u000a +p13541 +tp13542 +a(g705 +g1164 +tp13543 +a(g705 +g1164 +tp13544 +a(g826 +V\u000a +p13545 +tp13546 +a(g744 +Vesac +p13547 +tp13548 +a(g826 +V\u000a +p13549 +tp13550 +a(g744 +Vfi +p13551 +tp13552 +a(g826 +g1040 +tp13553 +a(g8 +V# test -n "$prev"\u000a +p13554 +tp13555 +a(g826 +V\u000a +p13556 +tp13557 +a(g440 +Vprevarg +p13558 +tp13559 +a(g412 +g1010 +tp13560 +a(g157 +g1029 +tp13561 +a(g440 +V$arg +p13562 +tp13563 +a(g157 +g1029 +tp13564 +a(g826 +V\u000a\u000a +p13565 +tp13566 +a(g744 +Vcase +p13567 +tp13568 +a(g826 +g1040 +tp13569 +a(g440 +V$arg +p13570 +tp13571 +a(g826 +g1040 +tp13572 +a(g826 +Vin +p13573 +tp13574 +a(g826 +V\u000a +p13575 +tp13576 +a(g826 +V-all-static +p13577 +tp13578 +a(g412 +g1533 +tp13579 +a(g826 +V\u000a +p13580 +tp13581 +a(g744 +Vif +p13582 +tp13583 +a(g826 +g1040 +tp13584 +a(g681 +Vtest +p13585 +tp13586 +a(g826 +g1040 +tp13587 +a(g826 +V-n +p13588 +tp13589 +a(g826 +g1040 +tp13590 +a(g157 +g1029 +tp13591 +a(g440 +V$link_static_flag +p13592 +tp13593 +a(g157 +g1029 +tp13594 +a(g705 +g1164 +tp13595 +a(g826 +g1040 +tp13596 +a(g744 +Vthen +p13597 +tp13598 +a(g826 +V\u000a +p13599 +tp13600 +a(g440 +Vcompile_command +p13601 +tp13602 +a(g412 +g1010 +tp13603 +a(g157 +g1029 +tp13604 +a(g440 +V$compile_command +p13605 +tp13606 +a(g157 +g1040 +tp13607 +a(g440 +V$link_static_flag +p13608 +tp13609 +a(g157 +g1029 +tp13610 +a(g826 +V\u000a +p13611 +tp13612 +a(g440 +Vfinalize_command +p13613 +tp13614 +a(g412 +g1010 +tp13615 +a(g157 +g1029 +tp13616 +a(g440 +V$finalize_command +p13617 +tp13618 +a(g157 +g1040 +tp13619 +a(g440 +V$link_static_flag +p13620 +tp13621 +a(g157 +g1029 +tp13622 +a(g826 +V\u000a +p13623 +tp13624 +a(g744 +Vfi +p13625 +tp13626 +a(g826 +V\u000a +p13627 +tp13628 +a(g744 +Vcontinue +p13629 +tp13630 +a(g826 +V\u000a +p13631 +tp13632 +a(g705 +g1164 +tp13633 +a(g705 +g1164 +tp13634 +a(g826 +V\u000a\u000a +p13635 +tp13636 +a(g826 +V-allow-undefined +p13637 +tp13638 +a(g412 +g1533 +tp13639 +a(g826 +V\u000a +p13640 +tp13641 +a(g8 +V# FIXME: remove this flag sometime in the future.\u000a +p13642 +tp13643 +a(g826 +g2290 +tp13644 +a(g440 +V$echo +p13645 +tp13646 +a(g826 +g1040 +tp13647 +a(g157 +g1029 +tp13648 +a(g440 +V$modename +p13649 +tp13650 +a(g157 +V: \u005c`-allow-undefined' is deprecated because it is the default +p13651 +tp13652 +a(g157 +g1029 +tp13653 +a(g826 +g1040 +tp13654 +a(g32 +g1107 +tp13655 +a(g826 +g1778 +tp13656 +a(g705 +g1780 +tp13657 +a(g32 +g1782 +tp13658 +a(g826 +V\u000a +p13659 +tp13660 +a(g744 +Vcontinue +p13661 +tp13662 +a(g826 +V\u000a +p13663 +tp13664 +a(g705 +g1164 +tp13665 +a(g705 +g1164 +tp13666 +a(g826 +V\u000a\u000a +p13667 +tp13668 +a(g826 +V-avoid-version +p13669 +tp13670 +a(g412 +g1533 +tp13671 +a(g826 +V\u000a +p13672 +tp13673 +a(g440 +Vavoid_version +p13674 +tp13675 +a(g412 +g1010 +tp13676 +a(g826 +Vyes +p13677 +tp13678 +a(g826 +V\u000a +p13679 +tp13680 +a(g744 +Vcontinue +p13681 +tp13682 +a(g826 +V\u000a +p13683 +tp13684 +a(g705 +g1164 +tp13685 +a(g705 +g1164 +tp13686 +a(g826 +V\u000a\u000a +p13687 +tp13688 +a(g826 +V-dlopen +p13689 +tp13690 +a(g412 +g1533 +tp13691 +a(g826 +V\u000a +p13692 +tp13693 +a(g440 +Vprev +p13694 +tp13695 +a(g412 +g1010 +tp13696 +a(g826 +Vdlfiles +p13697 +tp13698 +a(g826 +V\u000a +p13699 +tp13700 +a(g744 +Vcontinue +p13701 +tp13702 +a(g826 +V\u000a +p13703 +tp13704 +a(g705 +g1164 +tp13705 +a(g705 +g1164 +tp13706 +a(g826 +V\u000a\u000a +p13707 +tp13708 +a(g826 +V-dlpreopen +p13709 +tp13710 +a(g412 +g1533 +tp13711 +a(g826 +V\u000a +p13712 +tp13713 +a(g440 +Vprev +p13714 +tp13715 +a(g412 +g1010 +tp13716 +a(g826 +Vdlprefiles +p13717 +tp13718 +a(g826 +V\u000a +p13719 +tp13720 +a(g744 +Vcontinue +p13721 +tp13722 +a(g826 +V\u000a +p13723 +tp13724 +a(g705 +g1164 +tp13725 +a(g705 +g1164 +tp13726 +a(g826 +V\u000a\u000a +p13727 +tp13728 +a(g826 +V-export-dynamic +p13729 +tp13730 +a(g412 +g1533 +tp13731 +a(g826 +V\u000a +p13732 +tp13733 +a(g440 +Vexport_dynamic +p13734 +tp13735 +a(g412 +g1010 +tp13736 +a(g826 +Vyes +p13737 +tp13738 +a(g826 +V\u000a +p13739 +tp13740 +a(g744 +Vcontinue +p13741 +tp13742 +a(g826 +V\u000a +p13743 +tp13744 +a(g705 +g1164 +tp13745 +a(g705 +g1164 +tp13746 +a(g826 +V\u000a\u000a +p13747 +tp13748 +a(g826 +V-export-symbols +p13749 +tp13750 +a(g826 +g1040 +tp13751 +a(g705 +g1075 +tp13752 +a(g826 +g1040 +tp13753 +a(g826 +V-export-symbols-regex +p13754 +tp13755 +a(g412 +g1533 +tp13756 +a(g826 +V\u000a +p13757 +tp13758 +a(g744 +Vif +p13759 +tp13760 +a(g826 +g1040 +tp13761 +a(g681 +Vtest +p13762 +tp13763 +a(g826 +g1040 +tp13764 +a(g826 +V-n +p13765 +tp13766 +a(g826 +g1040 +tp13767 +a(g157 +g1029 +tp13768 +a(g440 +V$export_symbols +p13769 +tp13770 +a(g157 +g1029 +tp13771 +a(g826 +g1040 +tp13772 +a(g412 +V|| +p13773 +tp13774 +a(g826 +g1040 +tp13775 +a(g681 +Vtest +p13776 +tp13777 +a(g826 +g1040 +tp13778 +a(g826 +V-n +p13779 +tp13780 +a(g826 +g1040 +tp13781 +a(g157 +g1029 +tp13782 +a(g440 +V$export_symbols_regex +p13783 +tp13784 +a(g157 +g1029 +tp13785 +a(g705 +g1164 +tp13786 +a(g826 +g1040 +tp13787 +a(g744 +Vthen +p13788 +tp13789 +a(g826 +V\u000a +p13790 +tp13791 +a(g440 +V$echo +p13792 +tp13793 +a(g826 +g1040 +tp13794 +a(g157 +g1029 +tp13795 +a(g440 +V$modename +p13796 +tp13797 +a(g157 +V: more than one -exported-symbols argument is not allowed +p13798 +tp13799 +a(g157 +g1029 +tp13800 +a(g826 +V\u000a +p13801 +tp13802 +a(g681 +Vexit +p13803 +tp13804 +a(g826 +g1040 +tp13805 +a(g440 +V$EXIT_FAILURE +p13806 +tp13807 +a(g826 +V\u000a +p13808 +tp13809 +a(g744 +Vfi +p13810 +tp13811 +a(g826 +V\u000a +p13812 +tp13813 +a(g744 +Vif +p13814 +tp13815 +a(g826 +g1040 +tp13816 +a(g681 +Vtest +p13817 +tp13818 +a(g826 +g1040 +tp13819 +a(g157 +g1029 +tp13820 +a(g157 +g1191 +tp13821 +a(g440 +V$arg +p13822 +tp13823 +a(g157 +g1029 +tp13824 +a(g826 +g1040 +tp13825 +a(g412 +g1010 +tp13826 +a(g826 +g1040 +tp13827 +a(g157 +V"X-export-symbols" +p13828 +tp13829 +a(g705 +g1164 +tp13830 +a(g826 +g1040 +tp13831 +a(g744 +Vthen +p13832 +tp13833 +a(g826 +V\u000a +p13834 +tp13835 +a(g440 +Vprev +p13836 +tp13837 +a(g412 +g1010 +tp13838 +a(g826 +Vexpsyms +p13839 +tp13840 +a(g826 +V\u000a +p13841 +tp13842 +a(g744 +Velse +p13843 +tp13844 +a(g826 +V\u000a +p13845 +tp13846 +a(g440 +Vprev +p13847 +tp13848 +a(g412 +g1010 +tp13849 +a(g826 +Vexpsyms_regex +p13850 +tp13851 +a(g826 +V\u000a +p13852 +tp13853 +a(g744 +Vfi +p13854 +tp13855 +a(g826 +V\u000a +p13856 +tp13857 +a(g744 +Vcontinue +p13858 +tp13859 +a(g826 +V\u000a +p13860 +tp13861 +a(g705 +g1164 +tp13862 +a(g705 +g1164 +tp13863 +a(g826 +V\u000a\u000a +p13864 +tp13865 +a(g826 +V-framework +p13866 +tp13867 +a(g705 +g1075 +tp13868 +a(g826 +V-arch +p13869 +tp13870 +a(g705 +g1075 +tp13871 +a(g826 +V-isysroot +p13872 +tp13873 +a(g412 +g1533 +tp13874 +a(g826 +V\u000a +p13875 +tp13876 +a(g744 +Vcase +p13877 +tp13878 +a(g826 +g1040 +tp13879 +a(g157 +g1029 +tp13880 +a(g157 +g1040 +tp13881 +a(g440 +V$CC +p13882 +tp13883 +a(g157 +g1040 +tp13884 +a(g157 +g1029 +tp13885 +a(g826 +g1040 +tp13886 +a(g826 +Vin +p13887 +tp13888 +a(g826 +V\u000a +p13889 +tp13890 +a(g826 +g1562 +tp13891 +a(g157 +g1029 +tp13892 +a(g157 +g1040 +tp13893 +a(g125 +V${ +p13894 +tp13895 +a(g440 +Varg +p13896 +tp13897 +a(g125 +g1160 +tp13898 +a(g157 +g1040 +tp13899 +a(g125 +V${ +p13900 +tp13901 +a(g440 +g1107 +tp13902 +a(g125 +g1160 +tp13903 +a(g157 +g1040 +tp13904 +a(g157 +g1029 +tp13905 +a(g826 +g1562 +tp13906 +a(g826 +g1040 +tp13907 +a(g705 +g1075 +tp13908 +a(g826 +g1040 +tp13909 +a(g826 +g1562 +tp13910 +a(g157 +g1029 +tp13911 +a(g157 +g1040 +tp13912 +a(g125 +V${ +p13913 +tp13914 +a(g440 +Varg +p13915 +tp13916 +a(g125 +g1160 +tp13917 +a(g157 +g2290 +tp13918 +a(g125 +V${ +p13919 +tp13920 +a(g440 +g1107 +tp13921 +a(g125 +g1160 +tp13922 +a(g157 +g1040 +tp13923 +a(g157 +g1029 +tp13924 +a(g826 +g1562 +tp13925 +a(g412 +g1533 +tp13926 +a(g826 +V \u000a +p13927 +tp13928 +a(g440 +Vprev +p13929 +tp13930 +a(g412 +g1010 +tp13931 +a(g826 +Vdarwin_framework_skip +p13932 +tp13933 +a(g826 +g1040 +tp13934 +a(g705 +g1164 +tp13935 +a(g705 +g1164 +tp13936 +a(g826 +V\u000a +p13937 +tp13938 +a(g826 +g1562 +tp13939 +a(g412 +g1533 +tp13940 +a(g826 +g1040 +tp13941 +a(g440 +Vcompiler_flags +p13942 +tp13943 +a(g412 +g1010 +tp13944 +a(g157 +g1029 +tp13945 +a(g440 +V$compiler_flags +p13946 +tp13947 +a(g157 +g1040 +tp13948 +a(g440 +V$arg +p13949 +tp13950 +a(g157 +g1029 +tp13951 +a(g826 +V\u000a +p13952 +tp13953 +a(g440 +Vprev +p13954 +tp13955 +a(g412 +g1010 +tp13956 +a(g826 +Vdarwin_framework +p13957 +tp13958 +a(g826 +g1040 +tp13959 +a(g705 +g1164 +tp13960 +a(g705 +g1164 +tp13961 +a(g826 +V\u000a +p13962 +tp13963 +a(g744 +Vesac +p13964 +tp13965 +a(g826 +V\u000a +p13966 +tp13967 +a(g440 +Vcompile_command +p13968 +tp13969 +a(g412 +g1010 +tp13970 +a(g157 +g1029 +tp13971 +a(g440 +V$compile_command +p13972 +tp13973 +a(g157 +g1040 +tp13974 +a(g440 +V$arg +p13975 +tp13976 +a(g157 +g1029 +tp13977 +a(g826 +V\u000a +p13978 +tp13979 +a(g440 +Vfinalize_command +p13980 +tp13981 +a(g412 +g1010 +tp13982 +a(g157 +g1029 +tp13983 +a(g440 +V$finalize_command +p13984 +tp13985 +a(g157 +g1040 +tp13986 +a(g440 +V$arg +p13987 +tp13988 +a(g157 +g1029 +tp13989 +a(g826 +V\u000a +p13990 +tp13991 +a(g744 +Vcontinue +p13992 +tp13993 +a(g826 +V\u000a +p13994 +tp13995 +a(g705 +g1164 +tp13996 +a(g705 +g1164 +tp13997 +a(g826 +V\u000a\u000a +p13998 +tp13999 +a(g826 +V-inst-prefix-dir +p14000 +tp14001 +a(g412 +g1533 +tp14002 +a(g826 +V\u000a +p14003 +tp14004 +a(g440 +Vprev +p14005 +tp14006 +a(g412 +g1010 +tp14007 +a(g826 +Vinst_prefix +p14008 +tp14009 +a(g826 +V\u000a +p14010 +tp14011 +a(g744 +Vcontinue +p14012 +tp14013 +a(g826 +V\u000a +p14014 +tp14015 +a(g705 +g1164 +tp14016 +a(g705 +g1164 +tp14017 +a(g826 +V\u000a\u000a +p14018 +tp14019 +a(g8 +V# The native IRIX linker understands -LANG:*, -LIST:* and -LNO:*\u000a +p14020 +tp14021 +a(g826 +V +p14022 +tp14023 +a(g8 +V# so, if we see these flags be careful not to treat them like -L\u000a +p14024 +tp14025 +a(g826 +V +p14026 +tp14027 +a(g826 +V-L +p14028 +tp14029 +a(g412 +g2838 +tp14030 +a(g826 +VA-Z +p14031 +tp14032 +a(g412 +g2876 +tp14033 +a(g412 +g2838 +tp14034 +a(g826 +VA-Z +p14035 +tp14036 +a(g412 +g2876 +tp14037 +a(g826 +V*:* +p14038 +tp14039 +a(g412 +g1533 +tp14040 +a(g826 +V\u000a +p14041 +tp14042 +a(g744 +Vcase +p14043 +tp14044 +a(g826 +g1040 +tp14045 +a(g440 +V$with_gcc +p14046 +tp14047 +a(g826 +g1886 +tp14048 +a(g440 +V$host +p14049 +tp14050 +a(g826 +g1040 +tp14051 +a(g826 +Vin +p14052 +tp14053 +a(g826 +V\u000a +p14054 +tp14055 +a(g826 +Vno/*-*-irix* +p14056 +tp14057 +a(g826 +g1040 +tp14058 +a(g705 +g1075 +tp14059 +a(g826 +g1040 +tp14060 +a(g826 +V/*-*-irix* +p14061 +tp14062 +a(g412 +g1533 +tp14063 +a(g826 +V\u000a +p14064 +tp14065 +a(g440 +Vcompile_command +p14066 +tp14067 +a(g412 +g1010 +tp14068 +a(g157 +g1029 +tp14069 +a(g440 +V$compile_command +p14070 +tp14071 +a(g157 +g1040 +tp14072 +a(g440 +V$arg +p14073 +tp14074 +a(g157 +g1029 +tp14075 +a(g826 +V\u000a +p14076 +tp14077 +a(g440 +Vfinalize_command +p14078 +tp14079 +a(g412 +g1010 +tp14080 +a(g157 +g1029 +tp14081 +a(g440 +V$finalize_command +p14082 +tp14083 +a(g157 +g1040 +tp14084 +a(g440 +V$arg +p14085 +tp14086 +a(g157 +g1029 +tp14087 +a(g826 +V\u000a +p14088 +tp14089 +a(g705 +g1164 +tp14090 +a(g705 +g1164 +tp14091 +a(g826 +V\u000a +p14092 +tp14093 +a(g744 +Vesac +p14094 +tp14095 +a(g826 +V\u000a +p14096 +tp14097 +a(g744 +Vcontinue +p14098 +tp14099 +a(g826 +V\u000a +p14100 +tp14101 +a(g705 +g1164 +tp14102 +a(g705 +g1164 +tp14103 +a(g826 +V\u000a\u000a +p14104 +tp14105 +a(g826 +V-L* +p14106 +tp14107 +a(g412 +g1533 +tp14108 +a(g826 +V\u000a +p14109 +tp14110 +a(g440 +Vdir +p14111 +tp14112 +a(g412 +g1010 +tp14113 +a(g101 +g1065 +tp14114 +a(g440 +V$echo +p14115 +tp14116 +a(g826 +g1040 +tp14117 +a(g157 +g1029 +tp14118 +a(g157 +g1191 +tp14119 +a(g440 +V$arg +p14120 +tp14121 +a(g157 +g1029 +tp14122 +a(g826 +g1040 +tp14123 +a(g705 +g1075 +tp14124 +a(g826 +g1040 +tp14125 +a(g440 +V$Xsed +p14126 +tp14127 +a(g826 +g1040 +tp14128 +a(g826 +V-e +p14129 +tp14130 +a(g826 +g1040 +tp14131 +a(g229 +V's/^-L//' +p14132 +tp14133 +a(g101 +g1065 +tp14134 +a(g826 +V\u000a +p14135 +tp14136 +a(g8 +V# We need an absolute path.\u000a +p14137 +tp14138 +a(g826 +g2290 +tp14139 +a(g744 +Vcase +p14140 +tp14141 +a(g826 +g1040 +tp14142 +a(g440 +V$dir +p14143 +tp14144 +a(g826 +g1040 +tp14145 +a(g826 +Vin +p14146 +tp14147 +a(g826 +V\u000a +p14148 +tp14149 +a(g412 +g2838 +tp14150 +a(g213 +V\u005c\u005c +p14151 +tp14152 +a(g826 +g1886 +tp14153 +a(g412 +g2876 +tp14154 +a(g826 +g1562 +tp14155 +a(g826 +g1040 +tp14156 +a(g705 +g1075 +tp14157 +a(g826 +g1040 +tp14158 +a(g412 +g2838 +tp14159 +a(g826 +VA-Za-z +p14160 +tp14161 +a(g412 +g2876 +tp14162 +a(g826 +g1314 +tp14163 +a(g412 +g2838 +tp14164 +a(g213 +V\u005c\u005c +p14165 +tp14166 +a(g826 +g1886 +tp14167 +a(g412 +g2876 +tp14168 +a(g826 +g1562 +tp14169 +a(g412 +g1533 +tp14170 +a(g826 +g1040 +tp14171 +a(g705 +g1164 +tp14172 +a(g705 +g1164 +tp14173 +a(g826 +V\u000a +p14174 +tp14175 +a(g826 +g1562 +tp14176 +a(g412 +g1533 +tp14177 +a(g826 +V\u000a +p14178 +tp14179 +a(g440 +Vabsdir +p14180 +tp14181 +a(g412 +g1010 +tp14182 +a(g101 +g1065 +tp14183 +a(g681 +Vcd +p14184 +tp14185 +a(g826 +g1040 +tp14186 +a(g157 +g1029 +tp14187 +a(g440 +V$dir +p14188 +tp14189 +a(g157 +g1029 +tp14190 +a(g826 +g1040 +tp14191 +a(g412 +V&& +p14192 +tp14193 +a(g826 +g1040 +tp14194 +a(g681 +Vpwd +p14195 +tp14196 +a(g101 +g1065 +tp14197 +a(g826 +V\u000a +p14198 +tp14199 +a(g744 +Vif +p14200 +tp14201 +a(g826 +g1040 +tp14202 +a(g681 +Vtest +p14203 +tp14204 +a(g826 +g1040 +tp14205 +a(g826 +V-z +p14206 +tp14207 +a(g826 +g1040 +tp14208 +a(g157 +g1029 +tp14209 +a(g440 +V$absdir +p14210 +tp14211 +a(g157 +g1029 +tp14212 +a(g705 +g1164 +tp14213 +a(g826 +g1040 +tp14214 +a(g744 +Vthen +p14215 +tp14216 +a(g826 +V\u000a +p14217 +tp14218 +a(g440 +V$echo +p14219 +tp14220 +a(g826 +g1040 +tp14221 +a(g157 +g1029 +tp14222 +a(g440 +V$modename +p14223 +tp14224 +a(g157 +V: cannot determine absolute directory name of \u005c` +p14225 +tp14226 +a(g440 +V$dir +p14227 +tp14228 +a(g157 +g2379 +tp14229 +a(g157 +g1029 +tp14230 +a(g826 +g1040 +tp14231 +a(g32 +g1107 +tp14232 +a(g826 +g1778 +tp14233 +a(g705 +g1780 +tp14234 +a(g32 +g1782 +tp14235 +a(g826 +V\u000a +p14236 +tp14237 +a(g440 +Vabsdir +p14238 +tp14239 +a(g412 +g1010 +tp14240 +a(g157 +g1029 +tp14241 +a(g440 +V$dir +p14242 +tp14243 +a(g157 +g1029 +tp14244 +a(g826 +V\u000a +p14245 +tp14246 +a(g440 +Vnotinst_path +p14247 +tp14248 +a(g412 +g1010 +tp14249 +a(g157 +g1029 +tp14250 +a(g440 +V$notinst_path +p14251 +tp14252 +a(g157 +g1040 +tp14253 +a(g440 +V$dir +p14254 +tp14255 +a(g157 +g1029 +tp14256 +a(g826 +V\u000a +p14257 +tp14258 +a(g744 +Vfi +p14259 +tp14260 +a(g826 +V\u000a +p14261 +tp14262 +a(g440 +Vdir +p14263 +tp14264 +a(g412 +g1010 +tp14265 +a(g157 +g1029 +tp14266 +a(g440 +V$absdir +p14267 +tp14268 +a(g157 +g1029 +tp14269 +a(g826 +V\u000a +p14270 +tp14271 +a(g705 +g1164 +tp14272 +a(g705 +g1164 +tp14273 +a(g826 +V\u000a +p14274 +tp14275 +a(g744 +Vesac +p14276 +tp14277 +a(g826 +V\u000a +p14278 +tp14279 +a(g744 +Vcase +p14280 +tp14281 +a(g826 +g1040 +tp14282 +a(g157 +g1029 +tp14283 +a(g440 +V$deplibs +p14284 +tp14285 +a(g157 +g1040 +tp14286 +a(g157 +g1029 +tp14287 +a(g826 +g1040 +tp14288 +a(g826 +Vin +p14289 +tp14290 +a(g826 +V\u000a +p14291 +tp14292 +a(g826 +g1562 +tp14293 +a(g157 +g1029 +tp14294 +a(g157 +V -L +p14295 +tp14296 +a(g440 +V$dir +p14297 +tp14298 +a(g157 +g1040 +tp14299 +a(g157 +g1029 +tp14300 +a(g826 +g1562 +tp14301 +a(g412 +g1533 +tp14302 +a(g826 +g1040 +tp14303 +a(g705 +g1164 +tp14304 +a(g705 +g1164 +tp14305 +a(g826 +V\u000a +p14306 +tp14307 +a(g826 +g1562 +tp14308 +a(g412 +g1533 +tp14309 +a(g826 +V\u000a +p14310 +tp14311 +a(g440 +Vdeplibs +p14312 +tp14313 +a(g412 +g1010 +tp14314 +a(g157 +g1029 +tp14315 +a(g440 +V$deplibs +p14316 +tp14317 +a(g157 +V -L +p14318 +tp14319 +a(g440 +V$dir +p14320 +tp14321 +a(g157 +g1029 +tp14322 +a(g826 +V\u000a +p14323 +tp14324 +a(g440 +Vlib_search_path +p14325 +tp14326 +a(g412 +g1010 +tp14327 +a(g157 +g1029 +tp14328 +a(g440 +V$lib_search_path +p14329 +tp14330 +a(g157 +g1040 +tp14331 +a(g440 +V$dir +p14332 +tp14333 +a(g157 +g1029 +tp14334 +a(g826 +V\u000a +p14335 +tp14336 +a(g705 +g1164 +tp14337 +a(g705 +g1164 +tp14338 +a(g826 +V\u000a +p14339 +tp14340 +a(g744 +Vesac +p14341 +tp14342 +a(g826 +V\u000a +p14343 +tp14344 +a(g744 +Vcase +p14345 +tp14346 +a(g826 +g1040 +tp14347 +a(g440 +V$host +p14348 +tp14349 +a(g826 +g1040 +tp14350 +a(g826 +Vin +p14351 +tp14352 +a(g826 +V\u000a +p14353 +tp14354 +a(g826 +V*-*-cygwin* +p14355 +tp14356 +a(g826 +g1040 +tp14357 +a(g705 +g1075 +tp14358 +a(g826 +g1040 +tp14359 +a(g826 +V*-*-mingw* +p14360 +tp14361 +a(g826 +g1040 +tp14362 +a(g705 +g1075 +tp14363 +a(g826 +g1040 +tp14364 +a(g826 +V*-*-pw32* +p14365 +tp14366 +a(g826 +g1040 +tp14367 +a(g705 +g1075 +tp14368 +a(g826 +g1040 +tp14369 +a(g826 +V*-*-os2* +p14370 +tp14371 +a(g412 +g1533 +tp14372 +a(g826 +V\u000a +p14373 +tp14374 +a(g440 +Vtestbindir +p14375 +tp14376 +a(g412 +g1010 +tp14377 +a(g101 +g1065 +tp14378 +a(g440 +V$echo +p14379 +tp14380 +a(g826 +g1040 +tp14381 +a(g157 +g1029 +tp14382 +a(g157 +g1191 +tp14383 +a(g440 +V$dir +p14384 +tp14385 +a(g157 +g1029 +tp14386 +a(g826 +g1040 +tp14387 +a(g705 +g1075 +tp14388 +a(g826 +g1040 +tp14389 +a(g440 +V$Xsed +p14390 +tp14391 +a(g826 +g1040 +tp14392 +a(g826 +V-e +p14393 +tp14394 +a(g826 +g1040 +tp14395 +a(g229 +V's*/lib$*/bin*' +p14396 +tp14397 +a(g101 +g1065 +tp14398 +a(g826 +V\u000a +p14399 +tp14400 +a(g744 +Vcase +p14401 +tp14402 +a(g826 +g1040 +tp14403 +a(g826 +g1314 +tp14404 +a(g440 +V$dllsearchpath +p14405 +tp14406 +a(g826 +g1314 +tp14407 +a(g826 +g1040 +tp14408 +a(g826 +Vin +p14409 +tp14410 +a(g826 +V\u000a +p14411 +tp14412 +a(g826 +g1562 +tp14413 +a(g157 +g1029 +tp14414 +a(g157 +g1314 +tp14415 +a(g440 +V$dir +p14416 +tp14417 +a(g157 +g1314 +tp14418 +a(g157 +g1029 +tp14419 +a(g826 +g1562 +tp14420 +a(g412 +g1533 +tp14421 +a(g826 +g1040 +tp14422 +a(g705 +g1164 +tp14423 +a(g705 +g1164 +tp14424 +a(g826 +V\u000a +p14425 +tp14426 +a(g826 +g1562 +tp14427 +a(g412 +g1533 +tp14428 +a(g826 +g1040 +tp14429 +a(g440 +Vdllsearchpath +p14430 +tp14431 +a(g412 +g1010 +tp14432 +a(g157 +g1029 +tp14433 +a(g440 +V$dllsearchpath +p14434 +tp14435 +a(g157 +g1314 +tp14436 +a(g440 +V$dir +p14437 +tp14438 +a(g157 +g1029 +tp14439 +a(g705 +g1164 +tp14440 +a(g705 +g1164 +tp14441 +a(g826 +V\u000a +p14442 +tp14443 +a(g744 +Vesac +p14444 +tp14445 +a(g826 +V\u000a +p14446 +tp14447 +a(g744 +Vcase +p14448 +tp14449 +a(g826 +g1040 +tp14450 +a(g826 +g1314 +tp14451 +a(g440 +V$dllsearchpath +p14452 +tp14453 +a(g826 +g1314 +tp14454 +a(g826 +g1040 +tp14455 +a(g826 +Vin +p14456 +tp14457 +a(g826 +V\u000a +p14458 +tp14459 +a(g826 +g1562 +tp14460 +a(g157 +g1029 +tp14461 +a(g157 +g1314 +tp14462 +a(g440 +V$testbindir +p14463 +tp14464 +a(g157 +g1314 +tp14465 +a(g157 +g1029 +tp14466 +a(g826 +g1562 +tp14467 +a(g412 +g1533 +tp14468 +a(g826 +g1040 +tp14469 +a(g705 +g1164 +tp14470 +a(g705 +g1164 +tp14471 +a(g826 +V\u000a +p14472 +tp14473 +a(g826 +g1562 +tp14474 +a(g412 +g1533 +tp14475 +a(g826 +g1040 +tp14476 +a(g440 +Vdllsearchpath +p14477 +tp14478 +a(g412 +g1010 +tp14479 +a(g157 +g1029 +tp14480 +a(g440 +V$dllsearchpath +p14481 +tp14482 +a(g157 +g1314 +tp14483 +a(g440 +V$testbindir +p14484 +tp14485 +a(g157 +g1029 +tp14486 +a(g705 +g1164 +tp14487 +a(g705 +g1164 +tp14488 +a(g826 +V\u000a +p14489 +tp14490 +a(g744 +Vesac +p14491 +tp14492 +a(g826 +V\u000a +p14493 +tp14494 +a(g705 +g1164 +tp14495 +a(g705 +g1164 +tp14496 +a(g826 +V\u000a +p14497 +tp14498 +a(g744 +Vesac +p14499 +tp14500 +a(g826 +V\u000a +p14501 +tp14502 +a(g744 +Vcontinue +p14503 +tp14504 +a(g826 +V\u000a +p14505 +tp14506 +a(g705 +g1164 +tp14507 +a(g705 +g1164 +tp14508 +a(g826 +V\u000a\u000a +p14509 +tp14510 +a(g826 +V-l* +p14511 +tp14512 +a(g412 +g1533 +tp14513 +a(g826 +V\u000a +p14514 +tp14515 +a(g744 +Vif +p14516 +tp14517 +a(g826 +g1040 +tp14518 +a(g681 +Vtest +p14519 +tp14520 +a(g826 +g1040 +tp14521 +a(g157 +g1029 +tp14522 +a(g157 +g1191 +tp14523 +a(g440 +V$arg +p14524 +tp14525 +a(g157 +g1029 +tp14526 +a(g826 +g1040 +tp14527 +a(g412 +g1010 +tp14528 +a(g826 +g1040 +tp14529 +a(g157 +V"X-lc" +p14530 +tp14531 +a(g826 +g1040 +tp14532 +a(g412 +V|| +p14533 +tp14534 +a(g826 +g1040 +tp14535 +a(g681 +Vtest +p14536 +tp14537 +a(g826 +g1040 +tp14538 +a(g157 +g1029 +tp14539 +a(g157 +g1191 +tp14540 +a(g440 +V$arg +p14541 +tp14542 +a(g157 +g1029 +tp14543 +a(g826 +g1040 +tp14544 +a(g412 +g1010 +tp14545 +a(g826 +g1040 +tp14546 +a(g157 +V"X-lm" +p14547 +tp14548 +a(g705 +g1164 +tp14549 +a(g826 +g1040 +tp14550 +a(g744 +Vthen +p14551 +tp14552 +a(g826 +V\u000a +p14553 +tp14554 +a(g744 +Vcase +p14555 +tp14556 +a(g826 +g1040 +tp14557 +a(g440 +V$host +p14558 +tp14559 +a(g826 +g1040 +tp14560 +a(g826 +Vin +p14561 +tp14562 +a(g826 +V\u000a +p14563 +tp14564 +a(g826 +V*-*-cygwin* +p14565 +tp14566 +a(g826 +g1040 +tp14567 +a(g705 +g1075 +tp14568 +a(g826 +g1040 +tp14569 +a(g826 +V*-*-mingw* +p14570 +tp14571 +a(g826 +g1040 +tp14572 +a(g705 +g1075 +tp14573 +a(g826 +g1040 +tp14574 +a(g826 +V*-*-pw32* +p14575 +tp14576 +a(g826 +g1040 +tp14577 +a(g705 +g1075 +tp14578 +a(g826 +g1040 +tp14579 +a(g826 +V*-*-beos* +p14580 +tp14581 +a(g412 +g1533 +tp14582 +a(g826 +V\u000a +p14583 +tp14584 +a(g8 +V# These systems don't actually have a C or math library (as such)\u000a +p14585 +tp14586 +a(g826 +V +p14587 +tp14588 +a(g744 +Vcontinue +p14589 +tp14590 +a(g826 +V\u000a +p14591 +tp14592 +a(g705 +g1164 +tp14593 +a(g705 +g1164 +tp14594 +a(g826 +V\u000a +p14595 +tp14596 +a(g826 +V*-*-os2* +p14597 +tp14598 +a(g412 +g1533 +tp14599 +a(g826 +V\u000a +p14600 +tp14601 +a(g8 +V# These systems don't actually have a C library (as such)\u000a +p14602 +tp14603 +a(g826 +V +p14604 +tp14605 +a(g681 +Vtest +p14606 +tp14607 +a(g826 +g1040 +tp14608 +a(g157 +g1029 +tp14609 +a(g157 +g1191 +tp14610 +a(g440 +V$arg +p14611 +tp14612 +a(g157 +g1029 +tp14613 +a(g826 +g1040 +tp14614 +a(g412 +g1010 +tp14615 +a(g826 +g1040 +tp14616 +a(g157 +V"X-lc" +p14617 +tp14618 +a(g826 +g1040 +tp14619 +a(g412 +V&& +p14620 +tp14621 +a(g826 +g1040 +tp14622 +a(g744 +Vcontinue +p14623 +tp14624 +a(g826 +V\u000a +p14625 +tp14626 +a(g705 +g1164 +tp14627 +a(g705 +g1164 +tp14628 +a(g826 +V\u000a +p14629 +tp14630 +a(g826 +V*-*-openbsd* +p14631 +tp14632 +a(g826 +g1040 +tp14633 +a(g705 +g1075 +tp14634 +a(g826 +g1040 +tp14635 +a(g826 +V*-*-freebsd* +p14636 +tp14637 +a(g826 +g1040 +tp14638 +a(g705 +g1075 +tp14639 +a(g826 +g1040 +tp14640 +a(g826 +V*-*-dragonfly* +p14641 +tp14642 +a(g412 +g1533 +tp14643 +a(g826 +V\u000a +p14644 +tp14645 +a(g8 +V# Do not include libc due to us having libc/libc_r.\u000a +p14646 +tp14647 +a(g826 +V +p14648 +tp14649 +a(g681 +Vtest +p14650 +tp14651 +a(g826 +g1040 +tp14652 +a(g157 +g1029 +tp14653 +a(g157 +g1191 +tp14654 +a(g440 +V$arg +p14655 +tp14656 +a(g157 +g1029 +tp14657 +a(g826 +g1040 +tp14658 +a(g412 +g1010 +tp14659 +a(g826 +g1040 +tp14660 +a(g157 +V"X-lc" +p14661 +tp14662 +a(g826 +g1040 +tp14663 +a(g412 +V&& +p14664 +tp14665 +a(g826 +g1040 +tp14666 +a(g744 +Vcontinue +p14667 +tp14668 +a(g826 +V\u000a +p14669 +tp14670 +a(g705 +g1164 +tp14671 +a(g705 +g1164 +tp14672 +a(g826 +V\u000a +p14673 +tp14674 +a(g826 +V*-*-rhapsody* +p14675 +tp14676 +a(g826 +g1040 +tp14677 +a(g705 +g1075 +tp14678 +a(g826 +g1040 +tp14679 +a(g826 +V*-*-darwin1. +p14680 +tp14681 +a(g412 +g2838 +tp14682 +a(g32 +V012 +p14683 +tp14684 +a(g412 +g2876 +tp14685 +a(g412 +g1533 +tp14686 +a(g826 +V\u000a +p14687 +tp14688 +a(g8 +V# Rhapsody C and math libraries are in the System framework\u000a +p14689 +tp14690 +a(g826 +V +p14691 +tp14692 +a(g440 +Vdeplibs +p14693 +tp14694 +a(g412 +g1010 +tp14695 +a(g157 +g1029 +tp14696 +a(g440 +V$deplibs +p14697 +tp14698 +a(g157 +V -framework System +p14699 +tp14700 +a(g157 +g1029 +tp14701 +a(g826 +V\u000a +p14702 +tp14703 +a(g744 +Vcontinue +p14704 +tp14705 +a(g826 +V\u000a +p14706 +tp14707 +a(g705 +g1164 +tp14708 +a(g705 +g1164 +tp14709 +a(g826 +V\u000a +p14710 +tp14711 +a(g826 +V*-*-sco3.2v5* +p14712 +tp14713 +a(g826 +g1040 +tp14714 +a(g705 +g1075 +tp14715 +a(g826 +g1040 +tp14716 +a(g826 +V*-*-sco5v6* +p14717 +tp14718 +a(g412 +g1533 +tp14719 +a(g826 +V\u000a +p14720 +tp14721 +a(g8 +V# Causes problems with __ctype\u000a +p14722 +tp14723 +a(g826 +V +p14724 +tp14725 +a(g681 +Vtest +p14726 +tp14727 +a(g826 +g1040 +tp14728 +a(g157 +g1029 +tp14729 +a(g157 +g1191 +tp14730 +a(g440 +V$arg +p14731 +tp14732 +a(g157 +g1029 +tp14733 +a(g826 +g1040 +tp14734 +a(g412 +g1010 +tp14735 +a(g826 +g1040 +tp14736 +a(g157 +V"X-lc" +p14737 +tp14738 +a(g826 +g1040 +tp14739 +a(g412 +V&& +p14740 +tp14741 +a(g826 +g1040 +tp14742 +a(g744 +Vcontinue +p14743 +tp14744 +a(g826 +V\u000a +p14745 +tp14746 +a(g705 +g1164 +tp14747 +a(g705 +g1164 +tp14748 +a(g826 +V\u000a +p14749 +tp14750 +a(g826 +V*-*-sysv4.2uw2* +p14751 +tp14752 +a(g826 +g1040 +tp14753 +a(g705 +g1075 +tp14754 +a(g826 +g1040 +tp14755 +a(g826 +V*-*-sysv5* +p14756 +tp14757 +a(g826 +g1040 +tp14758 +a(g705 +g1075 +tp14759 +a(g826 +g1040 +tp14760 +a(g826 +V*-*-unixware* +p14761 +tp14762 +a(g826 +g1040 +tp14763 +a(g705 +g1075 +tp14764 +a(g826 +g1040 +tp14765 +a(g826 +V*-*-OpenUNIX* +p14766 +tp14767 +a(g412 +g1533 +tp14768 +a(g826 +V\u000a +p14769 +tp14770 +a(g8 +V# Compiler inserts libc in the correct place for threads to work\u000a +p14771 +tp14772 +a(g826 +V +p14773 +tp14774 +a(g681 +Vtest +p14775 +tp14776 +a(g826 +g1040 +tp14777 +a(g157 +g1029 +tp14778 +a(g157 +g1191 +tp14779 +a(g440 +V$arg +p14780 +tp14781 +a(g157 +g1029 +tp14782 +a(g826 +g1040 +tp14783 +a(g412 +g1010 +tp14784 +a(g826 +g1040 +tp14785 +a(g157 +V"X-lc" +p14786 +tp14787 +a(g826 +g1040 +tp14788 +a(g412 +V&& +p14789 +tp14790 +a(g826 +g1040 +tp14791 +a(g744 +Vcontinue +p14792 +tp14793 +a(g826 +V\u000a +p14794 +tp14795 +a(g705 +g1164 +tp14796 +a(g705 +g1164 +tp14797 +a(g826 +V\u000a +p14798 +tp14799 +a(g744 +Vesac +p14800 +tp14801 +a(g826 +V\u000a +p14802 +tp14803 +a(g744 +Velif +p14804 +tp14805 +a(g826 +g1040 +tp14806 +a(g681 +Vtest +p14807 +tp14808 +a(g826 +g1040 +tp14809 +a(g157 +g1029 +tp14810 +a(g157 +g1191 +tp14811 +a(g440 +V$arg +p14812 +tp14813 +a(g157 +g1029 +tp14814 +a(g826 +g1040 +tp14815 +a(g412 +g1010 +tp14816 +a(g826 +g1040 +tp14817 +a(g157 +V"X-lc_r" +p14818 +tp14819 +a(g705 +g1164 +tp14820 +a(g826 +g1040 +tp14821 +a(g744 +Vthen +p14822 +tp14823 +a(g826 +V\u000a +p14824 +tp14825 +a(g744 +Vcase +p14826 +tp14827 +a(g826 +g1040 +tp14828 +a(g440 +V$host +p14829 +tp14830 +a(g826 +g1040 +tp14831 +a(g826 +Vin +p14832 +tp14833 +a(g826 +V\u000a +p14834 +tp14835 +a(g826 +V*-*-openbsd* +p14836 +tp14837 +a(g826 +g1040 +tp14838 +a(g705 +g1075 +tp14839 +a(g826 +g1040 +tp14840 +a(g826 +V*-*-freebsd* +p14841 +tp14842 +a(g826 +g1040 +tp14843 +a(g705 +g1075 +tp14844 +a(g826 +g1040 +tp14845 +a(g826 +V*-*-dragonfly* +p14846 +tp14847 +a(g412 +g1533 +tp14848 +a(g826 +V\u000a +p14849 +tp14850 +a(g8 +V# Do not include libc_r directly, use -pthread flag.\u000a +p14851 +tp14852 +a(g826 +V +p14853 +tp14854 +a(g744 +Vcontinue +p14855 +tp14856 +a(g826 +V\u000a +p14857 +tp14858 +a(g705 +g1164 +tp14859 +a(g705 +g1164 +tp14860 +a(g826 +V\u000a +p14861 +tp14862 +a(g744 +Vesac +p14863 +tp14864 +a(g826 +V\u000a +p14865 +tp14866 +a(g744 +Vfi +p14867 +tp14868 +a(g826 +V\u000a +p14869 +tp14870 +a(g440 +Vdeplibs +p14871 +tp14872 +a(g412 +g1010 +tp14873 +a(g157 +g1029 +tp14874 +a(g440 +V$deplibs +p14875 +tp14876 +a(g157 +g1040 +tp14877 +a(g440 +V$arg +p14878 +tp14879 +a(g157 +g1029 +tp14880 +a(g826 +V\u000a +p14881 +tp14882 +a(g744 +Vcontinue +p14883 +tp14884 +a(g826 +V\u000a +p14885 +tp14886 +a(g705 +g1164 +tp14887 +a(g705 +g1164 +tp14888 +a(g826 +V\u000a\u000a +p14889 +tp14890 +a(g8 +V# Tru64 UNIX uses -model [arg] to determine the layout of C++\u000a +p14891 +tp14892 +a(g826 +V +p14893 +tp14894 +a(g8 +V# classes, name mangling, and exception handling.\u000a +p14895 +tp14896 +a(g826 +V +p14897 +tp14898 +a(g826 +V-model +p14899 +tp14900 +a(g412 +g1533 +tp14901 +a(g826 +V\u000a +p14902 +tp14903 +a(g440 +Vcompile_command +p14904 +tp14905 +a(g412 +g1010 +tp14906 +a(g157 +g1029 +tp14907 +a(g440 +V$compile_command +p14908 +tp14909 +a(g157 +g1040 +tp14910 +a(g440 +V$arg +p14911 +tp14912 +a(g157 +g1029 +tp14913 +a(g826 +V\u000a +p14914 +tp14915 +a(g440 +Vcompiler_flags +p14916 +tp14917 +a(g412 +g1010 +tp14918 +a(g157 +g1029 +tp14919 +a(g440 +V$compiler_flags +p14920 +tp14921 +a(g157 +g1040 +tp14922 +a(g440 +V$arg +p14923 +tp14924 +a(g157 +g1029 +tp14925 +a(g826 +V\u000a +p14926 +tp14927 +a(g440 +Vfinalize_command +p14928 +tp14929 +a(g412 +g1010 +tp14930 +a(g157 +g1029 +tp14931 +a(g440 +V$finalize_command +p14932 +tp14933 +a(g157 +g1040 +tp14934 +a(g440 +V$arg +p14935 +tp14936 +a(g157 +g1029 +tp14937 +a(g826 +V\u000a +p14938 +tp14939 +a(g440 +Vprev +p14940 +tp14941 +a(g412 +g1010 +tp14942 +a(g826 +Vxcompiler +p14943 +tp14944 +a(g826 +V\u000a +p14945 +tp14946 +a(g744 +Vcontinue +p14947 +tp14948 +a(g826 +V\u000a +p14949 +tp14950 +a(g705 +g1164 +tp14951 +a(g705 +g1164 +tp14952 +a(g826 +V\u000a\u000a +p14953 +tp14954 +a(g826 +V-mt +p14955 +tp14956 +a(g705 +g1075 +tp14957 +a(g826 +V-mthreads +p14958 +tp14959 +a(g705 +g1075 +tp14960 +a(g826 +V-kthread +p14961 +tp14962 +a(g705 +g1075 +tp14963 +a(g826 +V-Kthread +p14964 +tp14965 +a(g705 +g1075 +tp14966 +a(g826 +V-pthread +p14967 +tp14968 +a(g705 +g1075 +tp14969 +a(g826 +V-pthreads +p14970 +tp14971 +a(g705 +g1075 +tp14972 +a(g826 +V--thread-safe +p14973 +tp14974 +a(g412 +g1533 +tp14975 +a(g826 +V\u000a +p14976 +tp14977 +a(g440 +Vcompiler_flags +p14978 +tp14979 +a(g412 +g1010 +tp14980 +a(g157 +g1029 +tp14981 +a(g440 +V$compiler_flags +p14982 +tp14983 +a(g157 +g1040 +tp14984 +a(g440 +V$arg +p14985 +tp14986 +a(g157 +g1029 +tp14987 +a(g826 +V\u000a +p14988 +tp14989 +a(g440 +Vcompile_command +p14990 +tp14991 +a(g412 +g1010 +tp14992 +a(g157 +g1029 +tp14993 +a(g440 +V$compile_command +p14994 +tp14995 +a(g157 +g1040 +tp14996 +a(g440 +V$arg +p14997 +tp14998 +a(g157 +g1029 +tp14999 +a(g826 +V\u000a +p15000 +tp15001 +a(g440 +Vfinalize_command +p15002 +tp15003 +a(g412 +g1010 +tp15004 +a(g157 +g1029 +tp15005 +a(g440 +V$finalize_command +p15006 +tp15007 +a(g157 +g1040 +tp15008 +a(g440 +V$arg +p15009 +tp15010 +a(g157 +g1029 +tp15011 +a(g826 +V\u000a +p15012 +tp15013 +a(g744 +Vcontinue +p15014 +tp15015 +a(g826 +V\u000a +p15016 +tp15017 +a(g705 +g1164 +tp15018 +a(g705 +g1164 +tp15019 +a(g826 +V\u000a\u000a +p15020 +tp15021 +a(g826 +V-module +p15022 +tp15023 +a(g412 +g1533 +tp15024 +a(g826 +V\u000a +p15025 +tp15026 +a(g440 +Vmodule +p15027 +tp15028 +a(g412 +g1010 +tp15029 +a(g826 +Vyes +p15030 +tp15031 +a(g826 +V\u000a +p15032 +tp15033 +a(g744 +Vcontinue +p15034 +tp15035 +a(g826 +V\u000a +p15036 +tp15037 +a(g705 +g1164 +tp15038 +a(g705 +g1164 +tp15039 +a(g826 +V\u000a\u000a +p15040 +tp15041 +a(g8 +V# -64, -mips[0-9] enable 64-bit mode on the SGI compiler\u000a +p15042 +tp15043 +a(g826 +V +p15044 +tp15045 +a(g8 +V# -r[0-9][0-9]* specifies the processor on the SGI compiler\u000a +p15046 +tp15047 +a(g826 +V +p15048 +tp15049 +a(g8 +V# -xarch=*, -xtarget=* enable 64-bit mode on the Sun compiler\u000a +p15050 +tp15051 +a(g826 +V +p15052 +tp15053 +a(g8 +V# +DA*, +DD* enable 64-bit mode on the HP compiler\u000a +p15054 +tp15055 +a(g826 +V +p15056 +tp15057 +a(g8 +V# -q* pass through compiler args for the IBM compiler\u000a +p15058 +tp15059 +a(g826 +V +p15060 +tp15061 +a(g8 +V# -m* pass through architecture-specific compiler args for GCC\u000a +p15062 +tp15063 +a(g826 +V +p15064 +tp15065 +a(g8 +V# -m*, -t[45]*, -txscale* pass through architecture-specific\u000a +p15066 +tp15067 +a(g826 +V +p15068 +tp15069 +a(g8 +V# compiler args for GCC\u000a +p15070 +tp15071 +a(g826 +V +p15072 +tp15073 +a(g8 +V# -pg pass through profiling flag for GCC\u000a +p15074 +tp15075 +a(g826 +V +p15076 +tp15077 +a(g8 +V# @file GCC response files\u000a +p15078 +tp15079 +a(g826 +V +p15080 +tp15081 +a(g826 +V-64 +p15082 +tp15083 +a(g705 +g1075 +tp15084 +a(g826 +V-mips +p15085 +tp15086 +a(g412 +g2838 +tp15087 +a(g32 +g1100 +tp15088 +a(g826 +V-9 +p15089 +tp15090 +a(g412 +g2876 +tp15091 +a(g705 +g1075 +tp15092 +a(g826 +V-r +p15093 +tp15094 +a(g412 +g2838 +tp15095 +a(g32 +g1100 +tp15096 +a(g826 +V-9 +p15097 +tp15098 +a(g412 +g2876 +tp15099 +a(g412 +g2838 +tp15100 +a(g32 +g1100 +tp15101 +a(g826 +V-9 +p15102 +tp15103 +a(g412 +g2876 +tp15104 +a(g826 +g1562 +tp15105 +a(g705 +g1075 +tp15106 +a(g826 +V-xarch +p15107 +tp15108 +a(g412 +g1010 +tp15109 +a(g826 +g1562 +tp15110 +a(g705 +g1075 +tp15111 +a(g826 +V-xtarget +p15112 +tp15113 +a(g412 +g1010 +tp15114 +a(g826 +g1562 +tp15115 +a(g705 +g1075 +tp15116 +a(g826 +V+DA* +p15117 +tp15118 +a(g705 +g1075 +tp15119 +a(g826 +V+DD* +p15120 +tp15121 +a(g705 +g1075 +tp15122 +a(g826 +V-q* +p15123 +tp15124 +a(g705 +g1075 +tp15125 +a(g826 +V-m* +p15126 +tp15127 +a(g705 +g1075 +tp15128 +a(g826 +V-pg +p15129 +tp15130 +a(g705 +g1075 +tp15131 +a(g826 +g1040 +tp15132 +a(g213 +V\u005c\u000a +p15133 +tp15134 +a(g826 +V +p15135 +tp15136 +a(g826 +V-t +p15137 +tp15138 +a(g412 +g2838 +tp15139 +a(g32 +V45 +p15140 +tp15141 +a(g412 +g2876 +tp15142 +a(g826 +g1562 +tp15143 +a(g705 +g1075 +tp15144 +a(g826 +V-txscale* +p15145 +tp15146 +a(g705 +g1075 +tp15147 +a(g826 +V@* +p15148 +tp15149 +a(g412 +g1533 +tp15150 +a(g826 +V\u000a\u000a +p15151 +tp15152 +a(g8 +V# Unknown arguments in both finalize_command and compile_command need\u000a +p15153 +tp15154 +a(g826 +g2290 +tp15155 +a(g8 +V# to be aesthetically quoted because they are evaled later.\u000a +p15156 +tp15157 +a(g826 +g2290 +tp15158 +a(g440 +Varg +p15159 +tp15160 +a(g412 +g1010 +tp15161 +a(g101 +g1065 +tp15162 +a(g440 +V$echo +p15163 +tp15164 +a(g826 +g1040 +tp15165 +a(g157 +g1029 +tp15166 +a(g157 +g1191 +tp15167 +a(g440 +V$arg +p15168 +tp15169 +a(g157 +g1029 +tp15170 +a(g826 +g1040 +tp15171 +a(g705 +g1075 +tp15172 +a(g826 +g1040 +tp15173 +a(g440 +V$Xsed +p15174 +tp15175 +a(g826 +g1040 +tp15176 +a(g826 +V-e +p15177 +tp15178 +a(g826 +g1040 +tp15179 +a(g157 +g1029 +tp15180 +a(g440 +V$sed_quote_subst +p15181 +tp15182 +a(g157 +g1029 +tp15183 +a(g101 +g1065 +tp15184 +a(g826 +V\u000a +p15185 +tp15186 +a(g744 +Vcase +p15187 +tp15188 +a(g826 +g1040 +tp15189 +a(g440 +V$arg +p15190 +tp15191 +a(g826 +g1040 +tp15192 +a(g826 +Vin +p15193 +tp15194 +a(g826 +V\u000a +p15195 +tp15196 +a(g826 +g1562 +tp15197 +a(g412 +g2838 +tp15198 +a(g213 +V\u005c[ +p15199 +tp15200 +a(g213 +V\u005c~ +p15201 +tp15202 +a(g213 +V\u005c# +p15203 +tp15204 +a(g213 +V\u005c^ +p15205 +tp15206 +a(g213 +V\u005c& +p15207 +tp15208 +a(g213 +V\u005c* +p15209 +tp15210 +a(g213 +V\u005c( +p15211 +tp15212 +a(g213 +V\u005c) +p15213 +tp15214 +a(g213 +V\u005c{ +p15215 +tp15216 +a(g213 +V\u005c} +p15217 +tp15218 +a(g213 +V\u005c| +p15219 +tp15220 +a(g213 +V\u005c; +p15221 +tp15222 +a(g213 +V\u005c< +p15223 +tp15224 +a(g213 +V\u005c> +p15225 +tp15226 +a(g213 +V\u005c? +p15227 +tp15228 +a(g213 +V\u005c' +p15229 +tp15230 +a(g213 +V\u005c +p15231 +tp15232 +a(g213 +V\u005c +p15233 +tp15234 +a(g412 +g2876 +tp15235 +a(g826 +g1562 +tp15236 +a(g705 +g1075 +tp15237 +a(g826 +g1562 +tp15238 +a(g412 +g2876 +tp15239 +a(g826 +g1562 +tp15240 +a(g705 +g1075 +tp15241 +a(g157 +V"" +p15242 +tp15243 +a(g412 +g1533 +tp15244 +a(g826 +V\u000a +p15245 +tp15246 +a(g440 +Varg +p15247 +tp15248 +a(g412 +g1010 +tp15249 +a(g157 +g1029 +tp15250 +a(g157 +V\u005c" +p15251 +tp15252 +a(g440 +V$arg +p15253 +tp15254 +a(g157 +V\u005c" +p15255 +tp15256 +a(g157 +g1029 +tp15257 +a(g826 +V\u000a +p15258 +tp15259 +a(g705 +g1164 +tp15260 +a(g705 +g1164 +tp15261 +a(g826 +V\u000a +p15262 +tp15263 +a(g744 +Vesac +p15264 +tp15265 +a(g826 +V\u000a +p15266 +tp15267 +a(g440 +Vcompile_command +p15268 +tp15269 +a(g412 +g1010 +tp15270 +a(g157 +g1029 +tp15271 +a(g440 +V$compile_command +p15272 +tp15273 +a(g157 +g1040 +tp15274 +a(g440 +V$arg +p15275 +tp15276 +a(g157 +g1029 +tp15277 +a(g826 +V\u000a +p15278 +tp15279 +a(g440 +Vfinalize_command +p15280 +tp15281 +a(g412 +g1010 +tp15282 +a(g157 +g1029 +tp15283 +a(g440 +V$finalize_command +p15284 +tp15285 +a(g157 +g1040 +tp15286 +a(g440 +V$arg +p15287 +tp15288 +a(g157 +g1029 +tp15289 +a(g826 +V\u000a +p15290 +tp15291 +a(g440 +Vcompiler_flags +p15292 +tp15293 +a(g412 +g1010 +tp15294 +a(g157 +g1029 +tp15295 +a(g440 +V$compiler_flags +p15296 +tp15297 +a(g157 +g1040 +tp15298 +a(g440 +V$arg +p15299 +tp15300 +a(g157 +g1029 +tp15301 +a(g826 +V\u000a +p15302 +tp15303 +a(g744 +Vcontinue +p15304 +tp15305 +a(g826 +V\u000a +p15306 +tp15307 +a(g705 +g1164 +tp15308 +a(g705 +g1164 +tp15309 +a(g826 +V\u000a\u000a +p15310 +tp15311 +a(g826 +V-shrext +p15312 +tp15313 +a(g412 +g1533 +tp15314 +a(g826 +V\u000a +p15315 +tp15316 +a(g440 +Vprev +p15317 +tp15318 +a(g412 +g1010 +tp15319 +a(g826 +Vshrext +p15320 +tp15321 +a(g826 +V\u000a +p15322 +tp15323 +a(g744 +Vcontinue +p15324 +tp15325 +a(g826 +V\u000a +p15326 +tp15327 +a(g705 +g1164 +tp15328 +a(g705 +g1164 +tp15329 +a(g826 +V\u000a\u000a +p15330 +tp15331 +a(g826 +V-no-fast-install +p15332 +tp15333 +a(g412 +g1533 +tp15334 +a(g826 +V\u000a +p15335 +tp15336 +a(g440 +Vfast_install +p15337 +tp15338 +a(g412 +g1010 +tp15339 +a(g826 +Vno +p15340 +tp15341 +a(g826 +V\u000a +p15342 +tp15343 +a(g744 +Vcontinue +p15344 +tp15345 +a(g826 +V\u000a +p15346 +tp15347 +a(g705 +g1164 +tp15348 +a(g705 +g1164 +tp15349 +a(g826 +V\u000a\u000a +p15350 +tp15351 +a(g826 +V-no-install +p15352 +tp15353 +a(g412 +g1533 +tp15354 +a(g826 +V\u000a +p15355 +tp15356 +a(g744 +Vcase +p15357 +tp15358 +a(g826 +g1040 +tp15359 +a(g440 +V$host +p15360 +tp15361 +a(g826 +g1040 +tp15362 +a(g826 +Vin +p15363 +tp15364 +a(g826 +V\u000a +p15365 +tp15366 +a(g826 +V*-*-cygwin* +p15367 +tp15368 +a(g826 +g1040 +tp15369 +a(g705 +g1075 +tp15370 +a(g826 +g1040 +tp15371 +a(g826 +V*-*-mingw* +p15372 +tp15373 +a(g826 +g1040 +tp15374 +a(g705 +g1075 +tp15375 +a(g826 +g1040 +tp15376 +a(g826 +V*-*-pw32* +p15377 +tp15378 +a(g826 +g1040 +tp15379 +a(g705 +g1075 +tp15380 +a(g826 +g1040 +tp15381 +a(g826 +V*-*-os2* +p15382 +tp15383 +a(g412 +g1533 +tp15384 +a(g826 +V\u000a +p15385 +tp15386 +a(g8 +V# The PATH hackery in wrapper scripts is required on Windows\u000a +p15387 +tp15388 +a(g826 +V +p15389 +tp15390 +a(g8 +V# in order for the loader to find any dlls it needs.\u000a +p15391 +tp15392 +a(g826 +V +p15393 +tp15394 +a(g440 +V$echo +p15395 +tp15396 +a(g826 +g1040 +tp15397 +a(g157 +g1029 +tp15398 +a(g440 +V$modename +p15399 +tp15400 +a(g157 +V: warning: \u005c`-no-install' is ignored for +p15401 +tp15402 +a(g440 +V$host +p15403 +tp15404 +a(g157 +g1029 +tp15405 +a(g826 +g1040 +tp15406 +a(g32 +g1107 +tp15407 +a(g826 +g1778 +tp15408 +a(g705 +g1780 +tp15409 +a(g32 +g1782 +tp15410 +a(g826 +V\u000a +p15411 +tp15412 +a(g440 +V$echo +p15413 +tp15414 +a(g826 +g1040 +tp15415 +a(g157 +g1029 +tp15416 +a(g440 +V$modename +p15417 +tp15418 +a(g157 +V: warning: assuming \u005c`-no-fast-install' instead +p15419 +tp15420 +a(g157 +g1029 +tp15421 +a(g826 +g1040 +tp15422 +a(g32 +g1107 +tp15423 +a(g826 +g1778 +tp15424 +a(g705 +g1780 +tp15425 +a(g32 +g1782 +tp15426 +a(g826 +V\u000a +p15427 +tp15428 +a(g440 +Vfast_install +p15429 +tp15430 +a(g412 +g1010 +tp15431 +a(g826 +Vno +p15432 +tp15433 +a(g826 +V\u000a +p15434 +tp15435 +a(g705 +g1164 +tp15436 +a(g705 +g1164 +tp15437 +a(g826 +V\u000a +p15438 +tp15439 +a(g826 +g1562 +tp15440 +a(g412 +g1533 +tp15441 +a(g826 +g1040 +tp15442 +a(g440 +Vno_install +p15443 +tp15444 +a(g412 +g1010 +tp15445 +a(g826 +Vyes +p15446 +tp15447 +a(g826 +g1040 +tp15448 +a(g705 +g1164 +tp15449 +a(g705 +g1164 +tp15450 +a(g826 +V\u000a +p15451 +tp15452 +a(g744 +Vesac +p15453 +tp15454 +a(g826 +V\u000a +p15455 +tp15456 +a(g744 +Vcontinue +p15457 +tp15458 +a(g826 +V\u000a +p15459 +tp15460 +a(g705 +g1164 +tp15461 +a(g705 +g1164 +tp15462 +a(g826 +V\u000a\u000a +p15463 +tp15464 +a(g826 +V-no-undefined +p15465 +tp15466 +a(g412 +g1533 +tp15467 +a(g826 +V\u000a +p15468 +tp15469 +a(g440 +Vallow_undefined +p15470 +tp15471 +a(g412 +g1010 +tp15472 +a(g826 +Vno +p15473 +tp15474 +a(g826 +V\u000a +p15475 +tp15476 +a(g744 +Vcontinue +p15477 +tp15478 +a(g826 +V\u000a +p15479 +tp15480 +a(g705 +g1164 +tp15481 +a(g705 +g1164 +tp15482 +a(g826 +V\u000a\u000a +p15483 +tp15484 +a(g826 +V-objectlist +p15485 +tp15486 +a(g412 +g1533 +tp15487 +a(g826 +V\u000a +p15488 +tp15489 +a(g440 +Vprev +p15490 +tp15491 +a(g412 +g1010 +tp15492 +a(g826 +Vobjectlist +p15493 +tp15494 +a(g826 +V\u000a +p15495 +tp15496 +a(g744 +Vcontinue +p15497 +tp15498 +a(g826 +V\u000a +p15499 +tp15500 +a(g705 +g1164 +tp15501 +a(g705 +g1164 +tp15502 +a(g826 +V\u000a\u000a +p15503 +tp15504 +a(g826 +V-o +p15505 +tp15506 +a(g412 +g1533 +tp15507 +a(g826 +g1040 +tp15508 +a(g440 +Vprev +p15509 +tp15510 +a(g412 +g1010 +tp15511 +a(g826 +Voutput +p15512 +tp15513 +a(g826 +g1040 +tp15514 +a(g705 +g1164 +tp15515 +a(g705 +g1164 +tp15516 +a(g826 +V\u000a\u000a +p15517 +tp15518 +a(g826 +V-precious-files-regex +p15519 +tp15520 +a(g412 +g1533 +tp15521 +a(g826 +V\u000a +p15522 +tp15523 +a(g440 +Vprev +p15524 +tp15525 +a(g412 +g1010 +tp15526 +a(g826 +Vprecious_regex +p15527 +tp15528 +a(g826 +V\u000a +p15529 +tp15530 +a(g744 +Vcontinue +p15531 +tp15532 +a(g826 +V\u000a +p15533 +tp15534 +a(g705 +g1164 +tp15535 +a(g705 +g1164 +tp15536 +a(g826 +V\u000a\u000a +p15537 +tp15538 +a(g826 +V-release +p15539 +tp15540 +a(g412 +g1533 +tp15541 +a(g826 +V\u000a +p15542 +tp15543 +a(g440 +Vprev +p15544 +tp15545 +a(g412 +g1010 +tp15546 +a(g826 +Vrelease +p15547 +tp15548 +a(g826 +V\u000a +p15549 +tp15550 +a(g744 +Vcontinue +p15551 +tp15552 +a(g826 +V\u000a +p15553 +tp15554 +a(g705 +g1164 +tp15555 +a(g705 +g1164 +tp15556 +a(g826 +V\u000a\u000a +p15557 +tp15558 +a(g826 +V-rpath +p15559 +tp15560 +a(g412 +g1533 +tp15561 +a(g826 +V\u000a +p15562 +tp15563 +a(g440 +Vprev +p15564 +tp15565 +a(g412 +g1010 +tp15566 +a(g826 +Vrpath +p15567 +tp15568 +a(g826 +V\u000a +p15569 +tp15570 +a(g744 +Vcontinue +p15571 +tp15572 +a(g826 +V\u000a +p15573 +tp15574 +a(g705 +g1164 +tp15575 +a(g705 +g1164 +tp15576 +a(g826 +V\u000a\u000a +p15577 +tp15578 +a(g826 +V-R +p15579 +tp15580 +a(g412 +g1533 +tp15581 +a(g826 +V\u000a +p15582 +tp15583 +a(g440 +Vprev +p15584 +tp15585 +a(g412 +g1010 +tp15586 +a(g826 +Vxrpath +p15587 +tp15588 +a(g826 +V\u000a +p15589 +tp15590 +a(g744 +Vcontinue +p15591 +tp15592 +a(g826 +V\u000a +p15593 +tp15594 +a(g705 +g1164 +tp15595 +a(g705 +g1164 +tp15596 +a(g826 +V\u000a\u000a +p15597 +tp15598 +a(g826 +V-R* +p15599 +tp15600 +a(g412 +g1533 +tp15601 +a(g826 +V\u000a +p15602 +tp15603 +a(g440 +Vdir +p15604 +tp15605 +a(g412 +g1010 +tp15606 +a(g101 +g1065 +tp15607 +a(g440 +V$echo +p15608 +tp15609 +a(g826 +g1040 +tp15610 +a(g157 +g1029 +tp15611 +a(g157 +g1191 +tp15612 +a(g440 +V$arg +p15613 +tp15614 +a(g157 +g1029 +tp15615 +a(g826 +g1040 +tp15616 +a(g705 +g1075 +tp15617 +a(g826 +g1040 +tp15618 +a(g440 +V$Xsed +p15619 +tp15620 +a(g826 +g1040 +tp15621 +a(g826 +V-e +p15622 +tp15623 +a(g826 +g1040 +tp15624 +a(g229 +V's/^-R//' +p15625 +tp15626 +a(g101 +g1065 +tp15627 +a(g826 +V\u000a +p15628 +tp15629 +a(g8 +V# We need an absolute path.\u000a +p15630 +tp15631 +a(g826 +g2290 +tp15632 +a(g744 +Vcase +p15633 +tp15634 +a(g826 +g1040 +tp15635 +a(g440 +V$dir +p15636 +tp15637 +a(g826 +g1040 +tp15638 +a(g826 +Vin +p15639 +tp15640 +a(g826 +V\u000a +p15641 +tp15642 +a(g412 +g2838 +tp15643 +a(g213 +V\u005c\u005c +p15644 +tp15645 +a(g826 +g1886 +tp15646 +a(g412 +g2876 +tp15647 +a(g826 +g1562 +tp15648 +a(g826 +g1040 +tp15649 +a(g705 +g1075 +tp15650 +a(g826 +g1040 +tp15651 +a(g412 +g2838 +tp15652 +a(g826 +VA-Za-z +p15653 +tp15654 +a(g412 +g2876 +tp15655 +a(g826 +g1314 +tp15656 +a(g412 +g2838 +tp15657 +a(g213 +V\u005c\u005c +p15658 +tp15659 +a(g826 +g1886 +tp15660 +a(g412 +g2876 +tp15661 +a(g826 +g1562 +tp15662 +a(g412 +g1533 +tp15663 +a(g826 +g1040 +tp15664 +a(g705 +g1164 +tp15665 +a(g705 +g1164 +tp15666 +a(g826 +V\u000a +p15667 +tp15668 +a(g826 +g1562 +tp15669 +a(g412 +g1533 +tp15670 +a(g826 +V\u000a +p15671 +tp15672 +a(g440 +V$echo +p15673 +tp15674 +a(g826 +g1040 +tp15675 +a(g157 +g1029 +tp15676 +a(g440 +V$modename +p15677 +tp15678 +a(g157 +V: only absolute run-paths are allowed +p15679 +tp15680 +a(g157 +g1029 +tp15681 +a(g826 +g1040 +tp15682 +a(g32 +g1107 +tp15683 +a(g826 +g1778 +tp15684 +a(g705 +g1780 +tp15685 +a(g32 +g1782 +tp15686 +a(g826 +V\u000a +p15687 +tp15688 +a(g681 +Vexit +p15689 +tp15690 +a(g826 +g1040 +tp15691 +a(g440 +V$EXIT_FAILURE +p15692 +tp15693 +a(g826 +V\u000a +p15694 +tp15695 +a(g705 +g1164 +tp15696 +a(g705 +g1164 +tp15697 +a(g826 +V\u000a +p15698 +tp15699 +a(g744 +Vesac +p15700 +tp15701 +a(g826 +V\u000a +p15702 +tp15703 +a(g744 +Vcase +p15704 +tp15705 +a(g826 +g1040 +tp15706 +a(g157 +g1029 +tp15707 +a(g440 +V$xrpath +p15708 +tp15709 +a(g157 +g1040 +tp15710 +a(g157 +g1029 +tp15711 +a(g826 +g1040 +tp15712 +a(g826 +Vin +p15713 +tp15714 +a(g826 +V\u000a +p15715 +tp15716 +a(g826 +g1562 +tp15717 +a(g157 +g1029 +tp15718 +a(g157 +g1040 +tp15719 +a(g440 +V$dir +p15720 +tp15721 +a(g157 +g1040 +tp15722 +a(g157 +g1029 +tp15723 +a(g826 +g1562 +tp15724 +a(g412 +g1533 +tp15725 +a(g826 +g1040 +tp15726 +a(g705 +g1164 +tp15727 +a(g705 +g1164 +tp15728 +a(g826 +V\u000a +p15729 +tp15730 +a(g826 +g1562 +tp15731 +a(g412 +g1533 +tp15732 +a(g826 +g1040 +tp15733 +a(g440 +Vxrpath +p15734 +tp15735 +a(g412 +g1010 +tp15736 +a(g157 +g1029 +tp15737 +a(g440 +V$xrpath +p15738 +tp15739 +a(g157 +g1040 +tp15740 +a(g440 +V$dir +p15741 +tp15742 +a(g157 +g1029 +tp15743 +a(g826 +g1040 +tp15744 +a(g705 +g1164 +tp15745 +a(g705 +g1164 +tp15746 +a(g826 +V\u000a +p15747 +tp15748 +a(g744 +Vesac +p15749 +tp15750 +a(g826 +V\u000a +p15751 +tp15752 +a(g744 +Vcontinue +p15753 +tp15754 +a(g826 +V\u000a +p15755 +tp15756 +a(g705 +g1164 +tp15757 +a(g705 +g1164 +tp15758 +a(g826 +V\u000a\u000a +p15759 +tp15760 +a(g826 +V-static +p15761 +tp15762 +a(g412 +g1533 +tp15763 +a(g826 +V\u000a +p15764 +tp15765 +a(g8 +V# The effects of -static are defined in a previous loop.\u000a +p15766 +tp15767 +a(g826 +g2290 +tp15768 +a(g8 +V# We used to do the same as -all-static on platforms that\u000a +p15769 +tp15770 +a(g826 +g2290 +tp15771 +a(g8 +V# didn't have a PIC flag, but the assumption that the effects\u000a +p15772 +tp15773 +a(g826 +g2290 +tp15774 +a(g8 +V# would be equivalent was wrong. It would break on at least\u000a +p15775 +tp15776 +a(g826 +g2290 +tp15777 +a(g8 +V# Digital Unix and AIX.\u000a +p15778 +tp15779 +a(g826 +g2290 +tp15780 +a(g744 +Vcontinue +p15781 +tp15782 +a(g826 +V\u000a +p15783 +tp15784 +a(g705 +g1164 +tp15785 +a(g705 +g1164 +tp15786 +a(g826 +V\u000a\u000a +p15787 +tp15788 +a(g826 +V-thread-safe +p15789 +tp15790 +a(g412 +g1533 +tp15791 +a(g826 +V\u000a +p15792 +tp15793 +a(g440 +Vthread_safe +p15794 +tp15795 +a(g412 +g1010 +tp15796 +a(g826 +Vyes +p15797 +tp15798 +a(g826 +V\u000a +p15799 +tp15800 +a(g744 +Vcontinue +p15801 +tp15802 +a(g826 +V\u000a +p15803 +tp15804 +a(g705 +g1164 +tp15805 +a(g705 +g1164 +tp15806 +a(g826 +V\u000a\u000a +p15807 +tp15808 +a(g826 +V-version-info +p15809 +tp15810 +a(g412 +g1533 +tp15811 +a(g826 +V\u000a +p15812 +tp15813 +a(g440 +Vprev +p15814 +tp15815 +a(g412 +g1010 +tp15816 +a(g826 +Vvinfo +p15817 +tp15818 +a(g826 +V\u000a +p15819 +tp15820 +a(g744 +Vcontinue +p15821 +tp15822 +a(g826 +V\u000a +p15823 +tp15824 +a(g705 +g1164 +tp15825 +a(g705 +g1164 +tp15826 +a(g826 +V\u000a +p15827 +tp15828 +a(g826 +V-version-number +p15829 +tp15830 +a(g412 +g1533 +tp15831 +a(g826 +V\u000a +p15832 +tp15833 +a(g440 +Vprev +p15834 +tp15835 +a(g412 +g1010 +tp15836 +a(g826 +Vvinfo +p15837 +tp15838 +a(g826 +V\u000a +p15839 +tp15840 +a(g440 +Vvinfo_number +p15841 +tp15842 +a(g412 +g1010 +tp15843 +a(g826 +Vyes +p15844 +tp15845 +a(g826 +V\u000a +p15846 +tp15847 +a(g744 +Vcontinue +p15848 +tp15849 +a(g826 +V\u000a +p15850 +tp15851 +a(g705 +g1164 +tp15852 +a(g705 +g1164 +tp15853 +a(g826 +V\u000a\u000a +p15854 +tp15855 +a(g826 +V-Wc,* +p15856 +tp15857 +a(g412 +g1533 +tp15858 +a(g826 +V\u000a +p15859 +tp15860 +a(g440 +Vargs +p15861 +tp15862 +a(g412 +g1010 +tp15863 +a(g101 +g1065 +tp15864 +a(g440 +V$echo +p15865 +tp15866 +a(g826 +g1040 +tp15867 +a(g157 +g1029 +tp15868 +a(g157 +g1191 +tp15869 +a(g440 +V$arg +p15870 +tp15871 +a(g157 +g1029 +tp15872 +a(g826 +g1040 +tp15873 +a(g705 +g1075 +tp15874 +a(g826 +g1040 +tp15875 +a(g440 +V$Xsed +p15876 +tp15877 +a(g826 +g1040 +tp15878 +a(g826 +V-e +p15879 +tp15880 +a(g826 +g1040 +tp15881 +a(g157 +g1029 +tp15882 +a(g440 +V$sed_quote_subst +p15883 +tp15884 +a(g157 +g1029 +tp15885 +a(g826 +g1040 +tp15886 +a(g826 +V-e +p15887 +tp15888 +a(g826 +g1040 +tp15889 +a(g229 +V's/^-Wc,//' +p15890 +tp15891 +a(g101 +g1065 +tp15892 +a(g826 +V\u000a +p15893 +tp15894 +a(g440 +Varg +p15895 +tp15896 +a(g412 +g1010 +tp15897 +a(g826 +V\u000a +p15898 +tp15899 +a(g440 +Vsave_ifs +p15900 +tp15901 +a(g412 +g1010 +tp15902 +a(g157 +g1029 +tp15903 +a(g440 +V$IFS +p15904 +tp15905 +a(g157 +g1029 +tp15906 +a(g705 +g1164 +tp15907 +a(g826 +g1040 +tp15908 +a(g440 +VIFS +p15909 +tp15910 +a(g412 +g1010 +tp15911 +a(g229 +V',' +p15912 +tp15913 +a(g826 +V\u000a +p15914 +tp15915 +a(g744 +Vfor +p15916 +tp15917 +a(g826 +g1040 +tp15918 +a(g826 +Vflag +p15919 +tp15920 +a(g826 +g1040 +tp15921 +a(g826 +Vin +p15922 +tp15923 +a(g826 +g1040 +tp15924 +a(g440 +V$args +p15925 +tp15926 +a(g705 +g1164 +tp15927 +a(g826 +g1040 +tp15928 +a(g744 +Vdo +p15929 +tp15930 +a(g826 +V\u000a +p15931 +tp15932 +a(g440 +VIFS +p15933 +tp15934 +a(g412 +g1010 +tp15935 +a(g157 +g1029 +tp15936 +a(g440 +V$save_ifs +p15937 +tp15938 +a(g157 +g1029 +tp15939 +a(g826 +V\u000a +p15940 +tp15941 +a(g744 +Vcase +p15942 +tp15943 +a(g826 +g1040 +tp15944 +a(g440 +V$flag +p15945 +tp15946 +a(g826 +g1040 +tp15947 +a(g826 +Vin +p15948 +tp15949 +a(g826 +V\u000a +p15950 +tp15951 +a(g826 +g1562 +tp15952 +a(g412 +g2838 +tp15953 +a(g213 +V\u005c[ +p15954 +tp15955 +a(g213 +V\u005c~ +p15956 +tp15957 +a(g213 +V\u005c# +p15958 +tp15959 +a(g213 +V\u005c^ +p15960 +tp15961 +a(g213 +V\u005c& +p15962 +tp15963 +a(g213 +V\u005c* +p15964 +tp15965 +a(g213 +V\u005c( +p15966 +tp15967 +a(g213 +V\u005c) +p15968 +tp15969 +a(g213 +V\u005c{ +p15970 +tp15971 +a(g213 +V\u005c} +p15972 +tp15973 +a(g213 +V\u005c| +p15974 +tp15975 +a(g213 +V\u005c; +p15976 +tp15977 +a(g213 +V\u005c< +p15978 +tp15979 +a(g213 +V\u005c> +p15980 +tp15981 +a(g213 +V\u005c? +p15982 +tp15983 +a(g213 +V\u005c' +p15984 +tp15985 +a(g213 +V\u005c +p15986 +tp15987 +a(g213 +V\u005c +p15988 +tp15989 +a(g412 +g2876 +tp15990 +a(g826 +g1562 +tp15991 +a(g705 +g1075 +tp15992 +a(g826 +g1562 +tp15993 +a(g412 +g2876 +tp15994 +a(g826 +g1562 +tp15995 +a(g705 +g1075 +tp15996 +a(g157 +V"" +p15997 +tp15998 +a(g412 +g1533 +tp15999 +a(g826 +V\u000a +p16000 +tp16001 +a(g440 +Vflag +p16002 +tp16003 +a(g412 +g1010 +tp16004 +a(g157 +g1029 +tp16005 +a(g157 +V\u005c" +p16006 +tp16007 +a(g440 +V$flag +p16008 +tp16009 +a(g157 +V\u005c" +p16010 +tp16011 +a(g157 +g1029 +tp16012 +a(g826 +V\u000a +p16013 +tp16014 +a(g705 +g1164 +tp16015 +a(g705 +g1164 +tp16016 +a(g826 +V\u000a +p16017 +tp16018 +a(g744 +Vesac +p16019 +tp16020 +a(g826 +V\u000a +p16021 +tp16022 +a(g440 +Varg +p16023 +tp16024 +a(g412 +g1010 +tp16025 +a(g157 +g1029 +tp16026 +a(g440 +V$arg +p16027 +tp16028 +a(g157 +g1040 +tp16029 +a(g440 +V$wl +p16030 +tp16031 +a(g440 +V$flag +p16032 +tp16033 +a(g157 +g1029 +tp16034 +a(g826 +V\u000a +p16035 +tp16036 +a(g440 +Vcompiler_flags +p16037 +tp16038 +a(g412 +g1010 +tp16039 +a(g157 +g1029 +tp16040 +a(g440 +V$compiler_flags +p16041 +tp16042 +a(g157 +g1040 +tp16043 +a(g440 +V$flag +p16044 +tp16045 +a(g157 +g1029 +tp16046 +a(g826 +V\u000a +p16047 +tp16048 +a(g744 +Vdone +p16049 +tp16050 +a(g826 +V\u000a +p16051 +tp16052 +a(g440 +VIFS +p16053 +tp16054 +a(g412 +g1010 +tp16055 +a(g157 +g1029 +tp16056 +a(g440 +V$save_ifs +p16057 +tp16058 +a(g157 +g1029 +tp16059 +a(g826 +V\u000a +p16060 +tp16061 +a(g440 +Varg +p16062 +tp16063 +a(g412 +g1010 +tp16064 +a(g101 +g1065 +tp16065 +a(g440 +V$echo +p16066 +tp16067 +a(g826 +g1040 +tp16068 +a(g157 +g1029 +tp16069 +a(g157 +g1191 +tp16070 +a(g440 +V$arg +p16071 +tp16072 +a(g157 +g1029 +tp16073 +a(g826 +g1040 +tp16074 +a(g705 +g1075 +tp16075 +a(g826 +g1040 +tp16076 +a(g440 +V$Xsed +p16077 +tp16078 +a(g826 +g1040 +tp16079 +a(g826 +V-e +p16080 +tp16081 +a(g826 +g1040 +tp16082 +a(g157 +V"s/^ //" +p16083 +tp16084 +a(g101 +g1065 +tp16085 +a(g826 +V\u000a +p16086 +tp16087 +a(g705 +g1164 +tp16088 +a(g705 +g1164 +tp16089 +a(g826 +V\u000a\u000a +p16090 +tp16091 +a(g826 +V-Wl,* +p16092 +tp16093 +a(g412 +g1533 +tp16094 +a(g826 +V\u000a +p16095 +tp16096 +a(g440 +Vargs +p16097 +tp16098 +a(g412 +g1010 +tp16099 +a(g101 +g1065 +tp16100 +a(g440 +V$echo +p16101 +tp16102 +a(g826 +g1040 +tp16103 +a(g157 +g1029 +tp16104 +a(g157 +g1191 +tp16105 +a(g440 +V$arg +p16106 +tp16107 +a(g157 +g1029 +tp16108 +a(g826 +g1040 +tp16109 +a(g705 +g1075 +tp16110 +a(g826 +g1040 +tp16111 +a(g440 +V$Xsed +p16112 +tp16113 +a(g826 +g1040 +tp16114 +a(g826 +V-e +p16115 +tp16116 +a(g826 +g1040 +tp16117 +a(g157 +g1029 +tp16118 +a(g440 +V$sed_quote_subst +p16119 +tp16120 +a(g157 +g1029 +tp16121 +a(g826 +g1040 +tp16122 +a(g826 +V-e +p16123 +tp16124 +a(g826 +g1040 +tp16125 +a(g229 +V's/^-Wl,//' +p16126 +tp16127 +a(g101 +g1065 +tp16128 +a(g826 +V\u000a +p16129 +tp16130 +a(g440 +Varg +p16131 +tp16132 +a(g412 +g1010 +tp16133 +a(g826 +V\u000a +p16134 +tp16135 +a(g440 +Vsave_ifs +p16136 +tp16137 +a(g412 +g1010 +tp16138 +a(g157 +g1029 +tp16139 +a(g440 +V$IFS +p16140 +tp16141 +a(g157 +g1029 +tp16142 +a(g705 +g1164 +tp16143 +a(g826 +g1040 +tp16144 +a(g440 +VIFS +p16145 +tp16146 +a(g412 +g1010 +tp16147 +a(g229 +V',' +p16148 +tp16149 +a(g826 +V\u000a +p16150 +tp16151 +a(g744 +Vfor +p16152 +tp16153 +a(g826 +g1040 +tp16154 +a(g826 +Vflag +p16155 +tp16156 +a(g826 +g1040 +tp16157 +a(g826 +Vin +p16158 +tp16159 +a(g826 +g1040 +tp16160 +a(g440 +V$args +p16161 +tp16162 +a(g705 +g1164 +tp16163 +a(g826 +g1040 +tp16164 +a(g744 +Vdo +p16165 +tp16166 +a(g826 +V\u000a +p16167 +tp16168 +a(g440 +VIFS +p16169 +tp16170 +a(g412 +g1010 +tp16171 +a(g157 +g1029 +tp16172 +a(g440 +V$save_ifs +p16173 +tp16174 +a(g157 +g1029 +tp16175 +a(g826 +V\u000a +p16176 +tp16177 +a(g744 +Vcase +p16178 +tp16179 +a(g826 +g1040 +tp16180 +a(g440 +V$flag +p16181 +tp16182 +a(g826 +g1040 +tp16183 +a(g826 +Vin +p16184 +tp16185 +a(g826 +V\u000a +p16186 +tp16187 +a(g826 +g1562 +tp16188 +a(g412 +g2838 +tp16189 +a(g213 +V\u005c[ +p16190 +tp16191 +a(g213 +V\u005c~ +p16192 +tp16193 +a(g213 +V\u005c# +p16194 +tp16195 +a(g213 +V\u005c^ +p16196 +tp16197 +a(g213 +V\u005c& +p16198 +tp16199 +a(g213 +V\u005c* +p16200 +tp16201 +a(g213 +V\u005c( +p16202 +tp16203 +a(g213 +V\u005c) +p16204 +tp16205 +a(g213 +V\u005c{ +p16206 +tp16207 +a(g213 +V\u005c} +p16208 +tp16209 +a(g213 +V\u005c| +p16210 +tp16211 +a(g213 +V\u005c; +p16212 +tp16213 +a(g213 +V\u005c< +p16214 +tp16215 +a(g213 +V\u005c> +p16216 +tp16217 +a(g213 +V\u005c? +p16218 +tp16219 +a(g213 +V\u005c' +p16220 +tp16221 +a(g213 +V\u005c +p16222 +tp16223 +a(g213 +V\u005c +p16224 +tp16225 +a(g412 +g2876 +tp16226 +a(g826 +g1562 +tp16227 +a(g705 +g1075 +tp16228 +a(g826 +g1562 +tp16229 +a(g412 +g2876 +tp16230 +a(g826 +g1562 +tp16231 +a(g705 +g1075 +tp16232 +a(g157 +V"" +p16233 +tp16234 +a(g412 +g1533 +tp16235 +a(g826 +V\u000a +p16236 +tp16237 +a(g440 +Vflag +p16238 +tp16239 +a(g412 +g1010 +tp16240 +a(g157 +g1029 +tp16241 +a(g157 +V\u005c" +p16242 +tp16243 +a(g440 +V$flag +p16244 +tp16245 +a(g157 +V\u005c" +p16246 +tp16247 +a(g157 +g1029 +tp16248 +a(g826 +V\u000a +p16249 +tp16250 +a(g705 +g1164 +tp16251 +a(g705 +g1164 +tp16252 +a(g826 +V\u000a +p16253 +tp16254 +a(g744 +Vesac +p16255 +tp16256 +a(g826 +V\u000a +p16257 +tp16258 +a(g440 +Varg +p16259 +tp16260 +a(g412 +g1010 +tp16261 +a(g157 +g1029 +tp16262 +a(g440 +V$arg +p16263 +tp16264 +a(g157 +g1040 +tp16265 +a(g440 +V$wl +p16266 +tp16267 +a(g440 +V$flag +p16268 +tp16269 +a(g157 +g1029 +tp16270 +a(g826 +V\u000a +p16271 +tp16272 +a(g440 +Vcompiler_flags +p16273 +tp16274 +a(g412 +g1010 +tp16275 +a(g157 +g1029 +tp16276 +a(g440 +V$compiler_flags +p16277 +tp16278 +a(g157 +g1040 +tp16279 +a(g440 +V$wl +p16280 +tp16281 +a(g440 +V$flag +p16282 +tp16283 +a(g157 +g1029 +tp16284 +a(g826 +V\u000a +p16285 +tp16286 +a(g440 +Vlinker_flags +p16287 +tp16288 +a(g412 +g1010 +tp16289 +a(g157 +g1029 +tp16290 +a(g440 +V$linker_flags +p16291 +tp16292 +a(g157 +g1040 +tp16293 +a(g440 +V$flag +p16294 +tp16295 +a(g157 +g1029 +tp16296 +a(g826 +V\u000a +p16297 +tp16298 +a(g744 +Vdone +p16299 +tp16300 +a(g826 +V\u000a +p16301 +tp16302 +a(g440 +VIFS +p16303 +tp16304 +a(g412 +g1010 +tp16305 +a(g157 +g1029 +tp16306 +a(g440 +V$save_ifs +p16307 +tp16308 +a(g157 +g1029 +tp16309 +a(g826 +V\u000a +p16310 +tp16311 +a(g440 +Varg +p16312 +tp16313 +a(g412 +g1010 +tp16314 +a(g101 +g1065 +tp16315 +a(g440 +V$echo +p16316 +tp16317 +a(g826 +g1040 +tp16318 +a(g157 +g1029 +tp16319 +a(g157 +g1191 +tp16320 +a(g440 +V$arg +p16321 +tp16322 +a(g157 +g1029 +tp16323 +a(g826 +g1040 +tp16324 +a(g705 +g1075 +tp16325 +a(g826 +g1040 +tp16326 +a(g440 +V$Xsed +p16327 +tp16328 +a(g826 +g1040 +tp16329 +a(g826 +V-e +p16330 +tp16331 +a(g826 +g1040 +tp16332 +a(g157 +V"s/^ //" +p16333 +tp16334 +a(g101 +g1065 +tp16335 +a(g826 +V\u000a +p16336 +tp16337 +a(g705 +g1164 +tp16338 +a(g705 +g1164 +tp16339 +a(g826 +V\u000a\u000a +p16340 +tp16341 +a(g826 +V-Xcompiler +p16342 +tp16343 +a(g412 +g1533 +tp16344 +a(g826 +V\u000a +p16345 +tp16346 +a(g440 +Vprev +p16347 +tp16348 +a(g412 +g1010 +tp16349 +a(g826 +Vxcompiler +p16350 +tp16351 +a(g826 +V\u000a +p16352 +tp16353 +a(g744 +Vcontinue +p16354 +tp16355 +a(g826 +V\u000a +p16356 +tp16357 +a(g705 +g1164 +tp16358 +a(g705 +g1164 +tp16359 +a(g826 +V\u000a\u000a +p16360 +tp16361 +a(g826 +V-Xlinker +p16362 +tp16363 +a(g412 +g1533 +tp16364 +a(g826 +V\u000a +p16365 +tp16366 +a(g440 +Vprev +p16367 +tp16368 +a(g412 +g1010 +tp16369 +a(g826 +Vxlinker +p16370 +tp16371 +a(g826 +V\u000a +p16372 +tp16373 +a(g744 +Vcontinue +p16374 +tp16375 +a(g826 +V\u000a +p16376 +tp16377 +a(g705 +g1164 +tp16378 +a(g705 +g1164 +tp16379 +a(g826 +V\u000a\u000a +p16380 +tp16381 +a(g826 +V-XCClinker +p16382 +tp16383 +a(g412 +g1533 +tp16384 +a(g826 +V\u000a +p16385 +tp16386 +a(g440 +Vprev +p16387 +tp16388 +a(g412 +g1010 +tp16389 +a(g826 +Vxcclinker +p16390 +tp16391 +a(g826 +V\u000a +p16392 +tp16393 +a(g744 +Vcontinue +p16394 +tp16395 +a(g826 +V\u000a +p16396 +tp16397 +a(g705 +g1164 +tp16398 +a(g705 +g1164 +tp16399 +a(g826 +V\u000a\u000a +p16400 +tp16401 +a(g8 +V# Some other compiler flag.\u000a +p16402 +tp16403 +a(g826 +V +p16404 +tp16405 +a(g826 +V-* +p16406 +tp16407 +a(g826 +g1040 +tp16408 +a(g705 +g1075 +tp16409 +a(g826 +g1040 +tp16410 +a(g826 +V+* +p16411 +tp16412 +a(g412 +g1533 +tp16413 +a(g826 +V\u000a +p16414 +tp16415 +a(g8 +V# Unknown arguments in both finalize_command and compile_command need\u000a +p16416 +tp16417 +a(g826 +g2290 +tp16418 +a(g8 +V# to be aesthetically quoted because they are evaled later.\u000a +p16419 +tp16420 +a(g826 +g2290 +tp16421 +a(g440 +Varg +p16422 +tp16423 +a(g412 +g1010 +tp16424 +a(g101 +g1065 +tp16425 +a(g440 +V$echo +p16426 +tp16427 +a(g826 +g1040 +tp16428 +a(g157 +g1029 +tp16429 +a(g157 +g1191 +tp16430 +a(g440 +V$arg +p16431 +tp16432 +a(g157 +g1029 +tp16433 +a(g826 +g1040 +tp16434 +a(g705 +g1075 +tp16435 +a(g826 +g1040 +tp16436 +a(g440 +V$Xsed +p16437 +tp16438 +a(g826 +g1040 +tp16439 +a(g826 +V-e +p16440 +tp16441 +a(g826 +g1040 +tp16442 +a(g157 +g1029 +tp16443 +a(g440 +V$sed_quote_subst +p16444 +tp16445 +a(g157 +g1029 +tp16446 +a(g101 +g1065 +tp16447 +a(g826 +V\u000a +p16448 +tp16449 +a(g744 +Vcase +p16450 +tp16451 +a(g826 +g1040 +tp16452 +a(g440 +V$arg +p16453 +tp16454 +a(g826 +g1040 +tp16455 +a(g826 +Vin +p16456 +tp16457 +a(g826 +V\u000a +p16458 +tp16459 +a(g826 +g1562 +tp16460 +a(g412 +g2838 +tp16461 +a(g213 +V\u005c[ +p16462 +tp16463 +a(g213 +V\u005c~ +p16464 +tp16465 +a(g213 +V\u005c# +p16466 +tp16467 +a(g213 +V\u005c^ +p16468 +tp16469 +a(g213 +V\u005c& +p16470 +tp16471 +a(g213 +V\u005c* +p16472 +tp16473 +a(g213 +V\u005c( +p16474 +tp16475 +a(g213 +V\u005c) +p16476 +tp16477 +a(g213 +V\u005c{ +p16478 +tp16479 +a(g213 +V\u005c} +p16480 +tp16481 +a(g213 +V\u005c| +p16482 +tp16483 +a(g213 +V\u005c; +p16484 +tp16485 +a(g213 +V\u005c< +p16486 +tp16487 +a(g213 +V\u005c> +p16488 +tp16489 +a(g213 +V\u005c? +p16490 +tp16491 +a(g213 +V\u005c' +p16492 +tp16493 +a(g213 +V\u005c +p16494 +tp16495 +a(g213 +V\u005c +p16496 +tp16497 +a(g412 +g2876 +tp16498 +a(g826 +g1562 +tp16499 +a(g705 +g1075 +tp16500 +a(g826 +g1562 +tp16501 +a(g412 +g2876 +tp16502 +a(g826 +g1562 +tp16503 +a(g705 +g1075 +tp16504 +a(g157 +V"" +p16505 +tp16506 +a(g412 +g1533 +tp16507 +a(g826 +V\u000a +p16508 +tp16509 +a(g440 +Varg +p16510 +tp16511 +a(g412 +g1010 +tp16512 +a(g157 +g1029 +tp16513 +a(g157 +V\u005c" +p16514 +tp16515 +a(g440 +V$arg +p16516 +tp16517 +a(g157 +V\u005c" +p16518 +tp16519 +a(g157 +g1029 +tp16520 +a(g826 +V\u000a +p16521 +tp16522 +a(g705 +g1164 +tp16523 +a(g705 +g1164 +tp16524 +a(g826 +V\u000a +p16525 +tp16526 +a(g744 +Vesac +p16527 +tp16528 +a(g826 +V\u000a +p16529 +tp16530 +a(g705 +g1164 +tp16531 +a(g705 +g1164 +tp16532 +a(g826 +V\u000a\u000a +p16533 +tp16534 +a(g826 +V*. +p16535 +tp16536 +a(g440 +V$objext +p16537 +tp16538 +a(g412 +g1533 +tp16539 +a(g826 +V\u000a +p16540 +tp16541 +a(g8 +V# A standard object.\u000a +p16542 +tp16543 +a(g826 +g2290 +tp16544 +a(g440 +Vobjs +p16545 +tp16546 +a(g412 +g1010 +tp16547 +a(g157 +g1029 +tp16548 +a(g440 +V$objs +p16549 +tp16550 +a(g157 +g1040 +tp16551 +a(g440 +V$arg +p16552 +tp16553 +a(g157 +g1029 +tp16554 +a(g826 +V\u000a +p16555 +tp16556 +a(g705 +g1164 +tp16557 +a(g705 +g1164 +tp16558 +a(g826 +V\u000a\u000a +p16559 +tp16560 +a(g826 +V*.lo +p16561 +tp16562 +a(g412 +g1533 +tp16563 +a(g826 +V\u000a +p16564 +tp16565 +a(g8 +V# A libtool-controlled object.\u000a +p16566 +tp16567 +a(g826 +V\u000a +p16568 +tp16569 +a(g8 +V# Check to see that this really is a libtool object.\u000a +p16570 +tp16571 +a(g826 +g2290 +tp16572 +a(g744 +Vif +p16573 +tp16574 +a(g826 +g1040 +tp16575 +a(g412 +g1959 +tp16576 +a(g125 +V${ +p16577 +tp16578 +a(g440 +VSED +p16579 +tp16580 +a(g125 +g1160 +tp16581 +a(g826 +g1040 +tp16582 +a(g826 +V-e +p16583 +tp16584 +a(g826 +g1040 +tp16585 +a(g229 +V'2q' +p16586 +tp16587 +a(g826 +g1040 +tp16588 +a(g440 +V$arg +p16589 +tp16590 +a(g826 +g1040 +tp16591 +a(g705 +g1075 +tp16592 +a(g826 +g1040 +tp16593 +a(g826 +Vgrep +p16594 +tp16595 +a(g826 +g1040 +tp16596 +a(g157 +g1029 +tp16597 +a(g157 +V^# Generated by .* +p16598 +tp16599 +a(g440 +V$PACKAGE +p16600 +tp16601 +a(g157 +g1029 +tp16602 +a(g412 +g1533 +tp16603 +a(g826 +g1040 +tp16604 +a(g826 +V>/dev/null +p16605 +tp16606 +a(g826 +g1040 +tp16607 +a(g32 +g1782 +tp16608 +a(g826 +g1778 +tp16609 +a(g705 +g1780 +tp16610 +a(g32 +g1107 +tp16611 +a(g705 +g1164 +tp16612 +a(g826 +g1040 +tp16613 +a(g744 +Vthen +p16614 +tp16615 +a(g826 +V\u000a +p16616 +tp16617 +a(g440 +Vpic_object +p16618 +tp16619 +a(g412 +g1010 +tp16620 +a(g826 +V\u000a +p16621 +tp16622 +a(g440 +Vnon_pic_object +p16623 +tp16624 +a(g412 +g1010 +tp16625 +a(g826 +V\u000a\u000a +p16626 +tp16627 +a(g8 +V# Read the .lo file\u000a +p16628 +tp16629 +a(g826 +V +p16630 +tp16631 +a(g8 +V# If there is no directory component, then add one.\u000a +p16632 +tp16633 +a(g826 +V +p16634 +tp16635 +a(g744 +Vcase +p16636 +tp16637 +a(g826 +g1040 +tp16638 +a(g440 +V$arg +p16639 +tp16640 +a(g826 +g1040 +tp16641 +a(g826 +Vin +p16642 +tp16643 +a(g826 +V\u000a +p16644 +tp16645 +a(g826 +V*/* +p16646 +tp16647 +a(g826 +g1040 +tp16648 +a(g705 +g1075 +tp16649 +a(g826 +g1040 +tp16650 +a(g826 +g1562 +tp16651 +a(g213 +V\u005c\u005c +p16652 +tp16653 +a(g826 +g1562 +tp16654 +a(g412 +g1533 +tp16655 +a(g826 +g1040 +tp16656 +a(g826 +g5058 +tp16657 +a(g826 +g1040 +tp16658 +a(g440 +V$arg +p16659 +tp16660 +a(g826 +g1040 +tp16661 +a(g705 +g1164 +tp16662 +a(g705 +g1164 +tp16663 +a(g826 +V\u000a +p16664 +tp16665 +a(g826 +g1562 +tp16666 +a(g412 +g1533 +tp16667 +a(g826 +g1040 +tp16668 +a(g826 +g5058 +tp16669 +a(g826 +g1040 +tp16670 +a(g826 +V./ +p16671 +tp16672 +a(g440 +V$arg +p16673 +tp16674 +a(g826 +g1040 +tp16675 +a(g705 +g1164 +tp16676 +a(g705 +g1164 +tp16677 +a(g826 +V\u000a +p16678 +tp16679 +a(g744 +Vesac +p16680 +tp16681 +a(g826 +V\u000a\u000a +p16682 +tp16683 +a(g744 +Vif +p16684 +tp16685 +a(g826 +g1040 +tp16686 +a(g681 +Vtest +p16687 +tp16688 +a(g826 +g1040 +tp16689 +a(g826 +V-z +p16690 +tp16691 +a(g826 +g1040 +tp16692 +a(g157 +g1029 +tp16693 +a(g440 +V$pic_object +p16694 +tp16695 +a(g157 +g1029 +tp16696 +a(g826 +g1040 +tp16697 +a(g412 +V|| +p16698 +tp16699 +a(g826 +g1040 +tp16700 +a(g213 +V\u005c\u000a +p16701 +tp16702 +a(g826 +V +p16703 +tp16704 +a(g681 +Vtest +p16705 +tp16706 +a(g826 +g1040 +tp16707 +a(g826 +V-z +p16708 +tp16709 +a(g826 +g1040 +tp16710 +a(g157 +g1029 +tp16711 +a(g440 +V$non_pic_object +p16712 +tp16713 +a(g157 +g1029 +tp16714 +a(g826 +g1040 +tp16715 +a(g412 +V|| +p16716 +tp16717 +a(g826 +V\u000a +p16718 +tp16719 +a(g681 +Vtest +p16720 +tp16721 +a(g826 +g1040 +tp16722 +a(g157 +g1029 +tp16723 +a(g440 +V$pic_object +p16724 +tp16725 +a(g157 +g1029 +tp16726 +a(g826 +g1040 +tp16727 +a(g412 +g1010 +tp16728 +a(g826 +g1040 +tp16729 +a(g826 +Vnone +p16730 +tp16731 +a(g826 +g1040 +tp16732 +a(g412 +V&& +p16733 +tp16734 +a(g826 +g1040 +tp16735 +a(g213 +V\u005c\u000a +p16736 +tp16737 +a(g826 +V +p16738 +tp16739 +a(g681 +Vtest +p16740 +tp16741 +a(g826 +g1040 +tp16742 +a(g157 +g1029 +tp16743 +a(g440 +V$non_pic_object +p16744 +tp16745 +a(g157 +g1029 +tp16746 +a(g826 +g1040 +tp16747 +a(g412 +g1010 +tp16748 +a(g826 +g1040 +tp16749 +a(g826 +Vnone +p16750 +tp16751 +a(g705 +g1164 +tp16752 +a(g826 +g1040 +tp16753 +a(g744 +Vthen +p16754 +tp16755 +a(g826 +V\u000a +p16756 +tp16757 +a(g440 +V$echo +p16758 +tp16759 +a(g826 +g1040 +tp16760 +a(g157 +g1029 +tp16761 +a(g440 +V$modename +p16762 +tp16763 +a(g157 +V: cannot find name of object for \u005c` +p16764 +tp16765 +a(g440 +V$arg +p16766 +tp16767 +a(g157 +g2379 +tp16768 +a(g157 +g1029 +tp16769 +a(g826 +g1040 +tp16770 +a(g32 +g1107 +tp16771 +a(g826 +g1778 +tp16772 +a(g705 +g1780 +tp16773 +a(g32 +g1782 +tp16774 +a(g826 +V\u000a +p16775 +tp16776 +a(g681 +Vexit +p16777 +tp16778 +a(g826 +g1040 +tp16779 +a(g440 +V$EXIT_FAILURE +p16780 +tp16781 +a(g826 +V\u000a +p16782 +tp16783 +a(g744 +Vfi +p16784 +tp16785 +a(g826 +V\u000a\u000a +p16786 +tp16787 +a(g8 +V# Extract subdirectory from the argument.\u000a +p16788 +tp16789 +a(g826 +V +p16790 +tp16791 +a(g440 +Vxdir +p16792 +tp16793 +a(g412 +g1010 +tp16794 +a(g101 +g1065 +tp16795 +a(g440 +V$echo +p16796 +tp16797 +a(g826 +g1040 +tp16798 +a(g157 +g1029 +tp16799 +a(g157 +g1191 +tp16800 +a(g440 +V$arg +p16801 +tp16802 +a(g157 +g1029 +tp16803 +a(g826 +g1040 +tp16804 +a(g705 +g1075 +tp16805 +a(g826 +g1040 +tp16806 +a(g440 +V$Xsed +p16807 +tp16808 +a(g826 +g1040 +tp16809 +a(g826 +V-e +p16810 +tp16811 +a(g826 +g1040 +tp16812 +a(g229 +V's%/[^/]*$%%' +p16813 +tp16814 +a(g101 +g1065 +tp16815 +a(g826 +V\u000a +p16816 +tp16817 +a(g744 +Vif +p16818 +tp16819 +a(g826 +g1040 +tp16820 +a(g681 +Vtest +p16821 +tp16822 +a(g826 +g1040 +tp16823 +a(g157 +g1029 +tp16824 +a(g157 +g1191 +tp16825 +a(g440 +V$xdir +p16826 +tp16827 +a(g157 +g1029 +tp16828 +a(g826 +g1040 +tp16829 +a(g412 +g1010 +tp16830 +a(g826 +g1040 +tp16831 +a(g157 +g1029 +tp16832 +a(g157 +g1191 +tp16833 +a(g440 +V$arg +p16834 +tp16835 +a(g157 +g1029 +tp16836 +a(g705 +g1164 +tp16837 +a(g826 +g1040 +tp16838 +a(g744 +Vthen +p16839 +tp16840 +a(g826 +V\u000a +p16841 +tp16842 +a(g440 +Vxdir +p16843 +tp16844 +a(g412 +g1010 +tp16845 +a(g826 +V\u000a +p16846 +tp16847 +a(g744 +Velse +p16848 +tp16849 +a(g826 +V\u000a +p16850 +tp16851 +a(g440 +Vxdir +p16852 +tp16853 +a(g412 +g1010 +tp16854 +a(g157 +g1029 +tp16855 +a(g440 +V$xdir +p16856 +tp16857 +a(g157 +g1886 +tp16858 +a(g157 +g1029 +tp16859 +a(g826 +V\u000a +p16860 +tp16861 +a(g744 +Vfi +p16862 +tp16863 +a(g826 +V\u000a\u000a +p16864 +tp16865 +a(g744 +Vif +p16866 +tp16867 +a(g826 +g1040 +tp16868 +a(g681 +Vtest +p16869 +tp16870 +a(g826 +g1040 +tp16871 +a(g157 +g1029 +tp16872 +a(g440 +V$pic_object +p16873 +tp16874 +a(g157 +g1029 +tp16875 +a(g826 +g1040 +tp16876 +a(g826 +g1738 +tp16877 +a(g412 +g1010 +tp16878 +a(g826 +g1040 +tp16879 +a(g826 +Vnone +p16880 +tp16881 +a(g705 +g1164 +tp16882 +a(g826 +g1040 +tp16883 +a(g744 +Vthen +p16884 +tp16885 +a(g826 +V\u000a +p16886 +tp16887 +a(g8 +V# Prepend the subdirectory the object is found in.\u000a +p16888 +tp16889 +a(g826 +V +p16890 +tp16891 +a(g440 +Vpic_object +p16892 +tp16893 +a(g412 +g1010 +tp16894 +a(g157 +g1029 +tp16895 +a(g440 +V$xdir +p16896 +tp16897 +a(g440 +V$pic_object +p16898 +tp16899 +a(g157 +g1029 +tp16900 +a(g826 +V\u000a\u000a +p16901 +tp16902 +a(g744 +Vif +p16903 +tp16904 +a(g826 +g1040 +tp16905 +a(g681 +Vtest +p16906 +tp16907 +a(g826 +g1040 +tp16908 +a(g157 +g1029 +tp16909 +a(g440 +V$prev +p16910 +tp16911 +a(g157 +g1029 +tp16912 +a(g826 +g1040 +tp16913 +a(g412 +g1010 +tp16914 +a(g826 +g1040 +tp16915 +a(g826 +Vdlfiles +p16916 +tp16917 +a(g705 +g1164 +tp16918 +a(g826 +g1040 +tp16919 +a(g744 +Vthen +p16920 +tp16921 +a(g826 +V\u000a +p16922 +tp16923 +a(g744 +Vif +p16924 +tp16925 +a(g826 +g1040 +tp16926 +a(g681 +Vtest +p16927 +tp16928 +a(g826 +g1040 +tp16929 +a(g157 +g1029 +tp16930 +a(g440 +V$build_libtool_libs +p16931 +tp16932 +a(g157 +g1029 +tp16933 +a(g826 +g1040 +tp16934 +a(g412 +g1010 +tp16935 +a(g826 +g1040 +tp16936 +a(g826 +Vyes +p16937 +tp16938 +a(g826 +g1040 +tp16939 +a(g412 +V&& +p16940 +tp16941 +a(g826 +g1040 +tp16942 +a(g681 +Vtest +p16943 +tp16944 +a(g826 +g1040 +tp16945 +a(g157 +g1029 +tp16946 +a(g440 +V$dlopen_support +p16947 +tp16948 +a(g157 +g1029 +tp16949 +a(g826 +g1040 +tp16950 +a(g412 +g1010 +tp16951 +a(g826 +g1040 +tp16952 +a(g826 +Vyes +p16953 +tp16954 +a(g705 +g1164 +tp16955 +a(g826 +g1040 +tp16956 +a(g744 +Vthen +p16957 +tp16958 +a(g826 +V\u000a +p16959 +tp16960 +a(g440 +Vdlfiles +p16961 +tp16962 +a(g412 +g1010 +tp16963 +a(g157 +g1029 +tp16964 +a(g440 +V$dlfiles +p16965 +tp16966 +a(g157 +g1040 +tp16967 +a(g440 +V$pic_object +p16968 +tp16969 +a(g157 +g1029 +tp16970 +a(g826 +V\u000a +p16971 +tp16972 +a(g440 +Vprev +p16973 +tp16974 +a(g412 +g1010 +tp16975 +a(g826 +V\u000a +p16976 +tp16977 +a(g744 +Vcontinue +p16978 +tp16979 +a(g826 +V\u000a +p16980 +tp16981 +a(g744 +Velse +p16982 +tp16983 +a(g826 +V\u000a +p16984 +tp16985 +a(g8 +V# If libtool objects are unsupported, then we need to preload.\u000a +p16986 +tp16987 +a(g826 +V +p16988 +tp16989 +a(g440 +Vprev +p16990 +tp16991 +a(g412 +g1010 +tp16992 +a(g826 +Vdlprefiles +p16993 +tp16994 +a(g826 +V\u000a +p16995 +tp16996 +a(g744 +Vfi +p16997 +tp16998 +a(g826 +V\u000a +p16999 +tp17000 +a(g744 +Vfi +p17001 +tp17002 +a(g826 +V\u000a\u000a +p17003 +tp17004 +a(g8 +V# CHECK ME: I think I busted this. -Ossama\u000a +p17005 +tp17006 +a(g826 +V +p17007 +tp17008 +a(g744 +Vif +p17009 +tp17010 +a(g826 +g1040 +tp17011 +a(g681 +Vtest +p17012 +tp17013 +a(g826 +g1040 +tp17014 +a(g157 +g1029 +tp17015 +a(g440 +V$prev +p17016 +tp17017 +a(g157 +g1029 +tp17018 +a(g826 +g1040 +tp17019 +a(g412 +g1010 +tp17020 +a(g826 +g1040 +tp17021 +a(g826 +Vdlprefiles +p17022 +tp17023 +a(g705 +g1164 +tp17024 +a(g826 +g1040 +tp17025 +a(g744 +Vthen +p17026 +tp17027 +a(g826 +V\u000a +p17028 +tp17029 +a(g8 +V# Preload the old-style object.\u000a +p17030 +tp17031 +a(g826 +V +p17032 +tp17033 +a(g440 +Vdlprefiles +p17034 +tp17035 +a(g412 +g1010 +tp17036 +a(g157 +g1029 +tp17037 +a(g440 +V$dlprefiles +p17038 +tp17039 +a(g157 +g1040 +tp17040 +a(g440 +V$pic_object +p17041 +tp17042 +a(g157 +g1029 +tp17043 +a(g826 +V\u000a +p17044 +tp17045 +a(g440 +Vprev +p17046 +tp17047 +a(g412 +g1010 +tp17048 +a(g826 +V\u000a +p17049 +tp17050 +a(g744 +Vfi +p17051 +tp17052 +a(g826 +V\u000a\u000a +p17053 +tp17054 +a(g8 +V# A PIC object.\u000a +p17055 +tp17056 +a(g826 +V +p17057 +tp17058 +a(g440 +Vlibobjs +p17059 +tp17060 +a(g412 +g1010 +tp17061 +a(g157 +g1029 +tp17062 +a(g440 +V$libobjs +p17063 +tp17064 +a(g157 +g1040 +tp17065 +a(g440 +V$pic_object +p17066 +tp17067 +a(g157 +g1029 +tp17068 +a(g826 +V\u000a +p17069 +tp17070 +a(g440 +Varg +p17071 +tp17072 +a(g412 +g1010 +tp17073 +a(g157 +g1029 +tp17074 +a(g440 +V$pic_object +p17075 +tp17076 +a(g157 +g1029 +tp17077 +a(g826 +V\u000a +p17078 +tp17079 +a(g744 +Vfi +p17080 +tp17081 +a(g826 +V\u000a\u000a +p17082 +tp17083 +a(g8 +V# Non-PIC object.\u000a +p17084 +tp17085 +a(g826 +V +p17086 +tp17087 +a(g744 +Vif +p17088 +tp17089 +a(g826 +g1040 +tp17090 +a(g681 +Vtest +p17091 +tp17092 +a(g826 +g1040 +tp17093 +a(g157 +g1029 +tp17094 +a(g440 +V$non_pic_object +p17095 +tp17096 +a(g157 +g1029 +tp17097 +a(g826 +g1040 +tp17098 +a(g826 +g1738 +tp17099 +a(g412 +g1010 +tp17100 +a(g826 +g1040 +tp17101 +a(g826 +Vnone +p17102 +tp17103 +a(g705 +g1164 +tp17104 +a(g826 +g1040 +tp17105 +a(g744 +Vthen +p17106 +tp17107 +a(g826 +V\u000a +p17108 +tp17109 +a(g8 +V# Prepend the subdirectory the object is found in.\u000a +p17110 +tp17111 +a(g826 +V +p17112 +tp17113 +a(g440 +Vnon_pic_object +p17114 +tp17115 +a(g412 +g1010 +tp17116 +a(g157 +g1029 +tp17117 +a(g440 +V$xdir +p17118 +tp17119 +a(g440 +V$non_pic_object +p17120 +tp17121 +a(g157 +g1029 +tp17122 +a(g826 +V\u000a\u000a +p17123 +tp17124 +a(g8 +V# A standard non-PIC object\u000a +p17125 +tp17126 +a(g826 +V +p17127 +tp17128 +a(g440 +Vnon_pic_objects +p17129 +tp17130 +a(g412 +g1010 +tp17131 +a(g157 +g1029 +tp17132 +a(g440 +V$non_pic_objects +p17133 +tp17134 +a(g157 +g1040 +tp17135 +a(g440 +V$non_pic_object +p17136 +tp17137 +a(g157 +g1029 +tp17138 +a(g826 +V\u000a +p17139 +tp17140 +a(g744 +Vif +p17141 +tp17142 +a(g826 +g1040 +tp17143 +a(g681 +Vtest +p17144 +tp17145 +a(g826 +g1040 +tp17146 +a(g826 +V-z +p17147 +tp17148 +a(g826 +g1040 +tp17149 +a(g157 +g1029 +tp17150 +a(g440 +V$pic_object +p17151 +tp17152 +a(g157 +g1029 +tp17153 +a(g826 +g1040 +tp17154 +a(g412 +V|| +p17155 +tp17156 +a(g826 +g1040 +tp17157 +a(g681 +Vtest +p17158 +tp17159 +a(g826 +g1040 +tp17160 +a(g157 +g1029 +tp17161 +a(g440 +V$pic_object +p17162 +tp17163 +a(g157 +g1029 +tp17164 +a(g826 +g1040 +tp17165 +a(g412 +g1010 +tp17166 +a(g826 +g1040 +tp17167 +a(g826 +Vnone +p17168 +tp17169 +a(g826 +g1040 +tp17170 +a(g705 +g1164 +tp17171 +a(g826 +g1040 +tp17172 +a(g744 +Vthen +p17173 +tp17174 +a(g826 +V\u000a +p17175 +tp17176 +a(g440 +Varg +p17177 +tp17178 +a(g412 +g1010 +tp17179 +a(g157 +g1029 +tp17180 +a(g440 +V$non_pic_object +p17181 +tp17182 +a(g157 +g1029 +tp17183 +a(g826 +V\u000a +p17184 +tp17185 +a(g744 +Vfi +p17186 +tp17187 +a(g826 +V\u000a +p17188 +tp17189 +a(g744 +Velse +p17190 +tp17191 +a(g826 +V\u000a +p17192 +tp17193 +a(g8 +V# If the PIC object exists, use it instead.\u000a +p17194 +tp17195 +a(g826 +V +p17196 +tp17197 +a(g8 +V# $xdir was prepended to $pic_object above.\u000a +p17198 +tp17199 +a(g826 +V +p17200 +tp17201 +a(g440 +Vnon_pic_object +p17202 +tp17203 +a(g412 +g1010 +tp17204 +a(g157 +g1029 +tp17205 +a(g440 +V$pic_object +p17206 +tp17207 +a(g157 +g1029 +tp17208 +a(g826 +V\u000a +p17209 +tp17210 +a(g440 +Vnon_pic_objects +p17211 +tp17212 +a(g412 +g1010 +tp17213 +a(g157 +g1029 +tp17214 +a(g440 +V$non_pic_objects +p17215 +tp17216 +a(g157 +g1040 +tp17217 +a(g440 +V$non_pic_object +p17218 +tp17219 +a(g157 +g1029 +tp17220 +a(g826 +V\u000a +p17221 +tp17222 +a(g744 +Vfi +p17223 +tp17224 +a(g826 +V\u000a +p17225 +tp17226 +a(g744 +Velse +p17227 +tp17228 +a(g826 +V\u000a +p17229 +tp17230 +a(g8 +V# Only an error if not doing a dry-run.\u000a +p17231 +tp17232 +a(g826 +V +p17233 +tp17234 +a(g744 +Vif +p17235 +tp17236 +a(g826 +g1040 +tp17237 +a(g681 +Vtest +p17238 +tp17239 +a(g826 +g1040 +tp17240 +a(g826 +V-z +p17241 +tp17242 +a(g826 +g1040 +tp17243 +a(g157 +g1029 +tp17244 +a(g440 +V$run +p17245 +tp17246 +a(g157 +g1029 +tp17247 +a(g705 +g1164 +tp17248 +a(g826 +g1040 +tp17249 +a(g744 +Vthen +p17250 +tp17251 +a(g826 +V\u000a +p17252 +tp17253 +a(g440 +V$echo +p17254 +tp17255 +a(g826 +g1040 +tp17256 +a(g157 +g1029 +tp17257 +a(g440 +V$modename +p17258 +tp17259 +a(g157 +V: \u005c` +p17260 +tp17261 +a(g440 +V$arg +p17262 +tp17263 +a(g157 +V' is not a valid libtool object +p17264 +tp17265 +a(g157 +g1029 +tp17266 +a(g826 +g1040 +tp17267 +a(g32 +g1107 +tp17268 +a(g826 +g1778 +tp17269 +a(g705 +g1780 +tp17270 +a(g32 +g1782 +tp17271 +a(g826 +V\u000a +p17272 +tp17273 +a(g681 +Vexit +p17274 +tp17275 +a(g826 +g1040 +tp17276 +a(g440 +V$EXIT_FAILURE +p17277 +tp17278 +a(g826 +V\u000a +p17279 +tp17280 +a(g744 +Velse +p17281 +tp17282 +a(g826 +V\u000a +p17283 +tp17284 +a(g8 +V# Dry-run case.\u000a +p17285 +tp17286 +a(g826 +V\u000a +p17287 +tp17288 +a(g8 +V# Extract subdirectory from the argument.\u000a +p17289 +tp17290 +a(g826 +V +p17291 +tp17292 +a(g440 +Vxdir +p17293 +tp17294 +a(g412 +g1010 +tp17295 +a(g101 +g1065 +tp17296 +a(g440 +V$echo +p17297 +tp17298 +a(g826 +g1040 +tp17299 +a(g157 +g1029 +tp17300 +a(g157 +g1191 +tp17301 +a(g440 +V$arg +p17302 +tp17303 +a(g157 +g1029 +tp17304 +a(g826 +g1040 +tp17305 +a(g705 +g1075 +tp17306 +a(g826 +g1040 +tp17307 +a(g440 +V$Xsed +p17308 +tp17309 +a(g826 +g1040 +tp17310 +a(g826 +V-e +p17311 +tp17312 +a(g826 +g1040 +tp17313 +a(g229 +V's%/[^/]*$%%' +p17314 +tp17315 +a(g101 +g1065 +tp17316 +a(g826 +V\u000a +p17317 +tp17318 +a(g744 +Vif +p17319 +tp17320 +a(g826 +g1040 +tp17321 +a(g681 +Vtest +p17322 +tp17323 +a(g826 +g1040 +tp17324 +a(g157 +g1029 +tp17325 +a(g157 +g1191 +tp17326 +a(g440 +V$xdir +p17327 +tp17328 +a(g157 +g1029 +tp17329 +a(g826 +g1040 +tp17330 +a(g412 +g1010 +tp17331 +a(g826 +g1040 +tp17332 +a(g157 +g1029 +tp17333 +a(g157 +g1191 +tp17334 +a(g440 +V$arg +p17335 +tp17336 +a(g157 +g1029 +tp17337 +a(g705 +g1164 +tp17338 +a(g826 +g1040 +tp17339 +a(g744 +Vthen +p17340 +tp17341 +a(g826 +V\u000a +p17342 +tp17343 +a(g440 +Vxdir +p17344 +tp17345 +a(g412 +g1010 +tp17346 +a(g826 +V\u000a +p17347 +tp17348 +a(g744 +Velse +p17349 +tp17350 +a(g826 +V\u000a +p17351 +tp17352 +a(g440 +Vxdir +p17353 +tp17354 +a(g412 +g1010 +tp17355 +a(g157 +g1029 +tp17356 +a(g440 +V$xdir +p17357 +tp17358 +a(g157 +g1886 +tp17359 +a(g157 +g1029 +tp17360 +a(g826 +V\u000a +p17361 +tp17362 +a(g744 +Vfi +p17363 +tp17364 +a(g826 +V\u000a\u000a +p17365 +tp17366 +a(g440 +Vpic_object +p17367 +tp17368 +a(g412 +g1010 +tp17369 +a(g101 +g1065 +tp17370 +a(g440 +V$echo +p17371 +tp17372 +a(g826 +g1040 +tp17373 +a(g157 +g1029 +tp17374 +a(g157 +g1191 +tp17375 +a(g125 +V${ +p17376 +tp17377 +a(g440 +Vxdir +p17378 +tp17379 +a(g125 +g1160 +tp17380 +a(g125 +V${ +p17381 +tp17382 +a(g440 +Vobjdir +p17383 +tp17384 +a(g125 +g1160 +tp17385 +a(g157 +g1886 +tp17386 +a(g125 +V${ +p17387 +tp17388 +a(g440 +Varg +p17389 +tp17390 +a(g125 +g1160 +tp17391 +a(g157 +g1029 +tp17392 +a(g826 +g1040 +tp17393 +a(g705 +g1075 +tp17394 +a(g826 +g1040 +tp17395 +a(g440 +V$Xsed +p17396 +tp17397 +a(g826 +g1040 +tp17398 +a(g826 +V-e +p17399 +tp17400 +a(g826 +g1040 +tp17401 +a(g157 +g1029 +tp17402 +a(g440 +V$lo2o +p17403 +tp17404 +a(g157 +g1029 +tp17405 +a(g101 +g1065 +tp17406 +a(g826 +V\u000a +p17407 +tp17408 +a(g440 +Vnon_pic_object +p17409 +tp17410 +a(g412 +g1010 +tp17411 +a(g101 +g1065 +tp17412 +a(g440 +V$echo +p17413 +tp17414 +a(g826 +g1040 +tp17415 +a(g157 +g1029 +tp17416 +a(g157 +g1191 +tp17417 +a(g125 +V${ +p17418 +tp17419 +a(g440 +Vxdir +p17420 +tp17421 +a(g125 +g1160 +tp17422 +a(g125 +V${ +p17423 +tp17424 +a(g440 +Varg +p17425 +tp17426 +a(g125 +g1160 +tp17427 +a(g157 +g1029 +tp17428 +a(g826 +g1040 +tp17429 +a(g705 +g1075 +tp17430 +a(g826 +g1040 +tp17431 +a(g440 +V$Xsed +p17432 +tp17433 +a(g826 +g1040 +tp17434 +a(g826 +V-e +p17435 +tp17436 +a(g826 +g1040 +tp17437 +a(g157 +g1029 +tp17438 +a(g440 +V$lo2o +p17439 +tp17440 +a(g157 +g1029 +tp17441 +a(g101 +g1065 +tp17442 +a(g826 +V\u000a +p17443 +tp17444 +a(g440 +Vlibobjs +p17445 +tp17446 +a(g412 +g1010 +tp17447 +a(g157 +g1029 +tp17448 +a(g440 +V$libobjs +p17449 +tp17450 +a(g157 +g1040 +tp17451 +a(g440 +V$pic_object +p17452 +tp17453 +a(g157 +g1029 +tp17454 +a(g826 +V\u000a +p17455 +tp17456 +a(g440 +Vnon_pic_objects +p17457 +tp17458 +a(g412 +g1010 +tp17459 +a(g157 +g1029 +tp17460 +a(g440 +V$non_pic_objects +p17461 +tp17462 +a(g157 +g1040 +tp17463 +a(g440 +V$non_pic_object +p17464 +tp17465 +a(g157 +g1029 +tp17466 +a(g826 +V\u000a +p17467 +tp17468 +a(g744 +Vfi +p17469 +tp17470 +a(g826 +V\u000a +p17471 +tp17472 +a(g744 +Vfi +p17473 +tp17474 +a(g826 +V\u000a +p17475 +tp17476 +a(g705 +g1164 +tp17477 +a(g705 +g1164 +tp17478 +a(g826 +V\u000a\u000a +p17479 +tp17480 +a(g826 +V*. +p17481 +tp17482 +a(g440 +V$libext +p17483 +tp17484 +a(g412 +g1533 +tp17485 +a(g826 +V\u000a +p17486 +tp17487 +a(g8 +V# An archive.\u000a +p17488 +tp17489 +a(g826 +g2290 +tp17490 +a(g440 +Vdeplibs +p17491 +tp17492 +a(g412 +g1010 +tp17493 +a(g157 +g1029 +tp17494 +a(g440 +V$deplibs +p17495 +tp17496 +a(g157 +g1040 +tp17497 +a(g440 +V$arg +p17498 +tp17499 +a(g157 +g1029 +tp17500 +a(g826 +V\u000a +p17501 +tp17502 +a(g440 +Vold_deplibs +p17503 +tp17504 +a(g412 +g1010 +tp17505 +a(g157 +g1029 +tp17506 +a(g440 +V$old_deplibs +p17507 +tp17508 +a(g157 +g1040 +tp17509 +a(g440 +V$arg +p17510 +tp17511 +a(g157 +g1029 +tp17512 +a(g826 +V\u000a +p17513 +tp17514 +a(g744 +Vcontinue +p17515 +tp17516 +a(g826 +V\u000a +p17517 +tp17518 +a(g705 +g1164 +tp17519 +a(g705 +g1164 +tp17520 +a(g826 +V\u000a\u000a +p17521 +tp17522 +a(g826 +V*.la +p17523 +tp17524 +a(g412 +g1533 +tp17525 +a(g826 +V\u000a +p17526 +tp17527 +a(g8 +V# A libtool-controlled library.\u000a +p17528 +tp17529 +a(g826 +V\u000a +p17530 +tp17531 +a(g744 +Vif +p17532 +tp17533 +a(g826 +g1040 +tp17534 +a(g681 +Vtest +p17535 +tp17536 +a(g826 +g1040 +tp17537 +a(g157 +g1029 +tp17538 +a(g440 +V$prev +p17539 +tp17540 +a(g157 +g1029 +tp17541 +a(g826 +g1040 +tp17542 +a(g412 +g1010 +tp17543 +a(g826 +g1040 +tp17544 +a(g826 +Vdlfiles +p17545 +tp17546 +a(g705 +g1164 +tp17547 +a(g826 +g1040 +tp17548 +a(g744 +Vthen +p17549 +tp17550 +a(g826 +V\u000a +p17551 +tp17552 +a(g8 +V# This library was specified with -dlopen.\u000a +p17553 +tp17554 +a(g826 +V +p17555 +tp17556 +a(g440 +Vdlfiles +p17557 +tp17558 +a(g412 +g1010 +tp17559 +a(g157 +g1029 +tp17560 +a(g440 +V$dlfiles +p17561 +tp17562 +a(g157 +g1040 +tp17563 +a(g440 +V$arg +p17564 +tp17565 +a(g157 +g1029 +tp17566 +a(g826 +V\u000a +p17567 +tp17568 +a(g440 +Vprev +p17569 +tp17570 +a(g412 +g1010 +tp17571 +a(g826 +V\u000a +p17572 +tp17573 +a(g744 +Velif +p17574 +tp17575 +a(g826 +g1040 +tp17576 +a(g681 +Vtest +p17577 +tp17578 +a(g826 +g1040 +tp17579 +a(g157 +g1029 +tp17580 +a(g440 +V$prev +p17581 +tp17582 +a(g157 +g1029 +tp17583 +a(g826 +g1040 +tp17584 +a(g412 +g1010 +tp17585 +a(g826 +g1040 +tp17586 +a(g826 +Vdlprefiles +p17587 +tp17588 +a(g705 +g1164 +tp17589 +a(g826 +g1040 +tp17590 +a(g744 +Vthen +p17591 +tp17592 +a(g826 +V\u000a +p17593 +tp17594 +a(g8 +V# The library was specified with -dlpreopen.\u000a +p17595 +tp17596 +a(g826 +V +p17597 +tp17598 +a(g440 +Vdlprefiles +p17599 +tp17600 +a(g412 +g1010 +tp17601 +a(g157 +g1029 +tp17602 +a(g440 +V$dlprefiles +p17603 +tp17604 +a(g157 +g1040 +tp17605 +a(g440 +V$arg +p17606 +tp17607 +a(g157 +g1029 +tp17608 +a(g826 +V\u000a +p17609 +tp17610 +a(g440 +Vprev +p17611 +tp17612 +a(g412 +g1010 +tp17613 +a(g826 +V\u000a +p17614 +tp17615 +a(g744 +Velse +p17616 +tp17617 +a(g826 +V\u000a +p17618 +tp17619 +a(g440 +Vdeplibs +p17620 +tp17621 +a(g412 +g1010 +tp17622 +a(g157 +g1029 +tp17623 +a(g440 +V$deplibs +p17624 +tp17625 +a(g157 +g1040 +tp17626 +a(g440 +V$arg +p17627 +tp17628 +a(g157 +g1029 +tp17629 +a(g826 +V\u000a +p17630 +tp17631 +a(g744 +Vfi +p17632 +tp17633 +a(g826 +V\u000a +p17634 +tp17635 +a(g744 +Vcontinue +p17636 +tp17637 +a(g826 +V\u000a +p17638 +tp17639 +a(g705 +g1164 +tp17640 +a(g705 +g1164 +tp17641 +a(g826 +V\u000a\u000a +p17642 +tp17643 +a(g8 +V# Some other compiler argument.\u000a +p17644 +tp17645 +a(g826 +V +p17646 +tp17647 +a(g826 +g1562 +tp17648 +a(g412 +g1533 +tp17649 +a(g826 +V\u000a +p17650 +tp17651 +a(g8 +V# Unknown arguments in both finalize_command and compile_command need\u000a +p17652 +tp17653 +a(g826 +g2290 +tp17654 +a(g8 +V# to be aesthetically quoted because they are evaled later.\u000a +p17655 +tp17656 +a(g826 +g2290 +tp17657 +a(g440 +Varg +p17658 +tp17659 +a(g412 +g1010 +tp17660 +a(g101 +g1065 +tp17661 +a(g440 +V$echo +p17662 +tp17663 +a(g826 +g1040 +tp17664 +a(g157 +g1029 +tp17665 +a(g157 +g1191 +tp17666 +a(g440 +V$arg +p17667 +tp17668 +a(g157 +g1029 +tp17669 +a(g826 +g1040 +tp17670 +a(g705 +g1075 +tp17671 +a(g826 +g1040 +tp17672 +a(g440 +V$Xsed +p17673 +tp17674 +a(g826 +g1040 +tp17675 +a(g826 +V-e +p17676 +tp17677 +a(g826 +g1040 +tp17678 +a(g157 +g1029 +tp17679 +a(g440 +V$sed_quote_subst +p17680 +tp17681 +a(g157 +g1029 +tp17682 +a(g101 +g1065 +tp17683 +a(g826 +V\u000a +p17684 +tp17685 +a(g744 +Vcase +p17686 +tp17687 +a(g826 +g1040 +tp17688 +a(g440 +V$arg +p17689 +tp17690 +a(g826 +g1040 +tp17691 +a(g826 +Vin +p17692 +tp17693 +a(g826 +V\u000a +p17694 +tp17695 +a(g826 +g1562 +tp17696 +a(g412 +g2838 +tp17697 +a(g213 +V\u005c[ +p17698 +tp17699 +a(g213 +V\u005c~ +p17700 +tp17701 +a(g213 +V\u005c# +p17702 +tp17703 +a(g213 +V\u005c^ +p17704 +tp17705 +a(g213 +V\u005c& +p17706 +tp17707 +a(g213 +V\u005c* +p17708 +tp17709 +a(g213 +V\u005c( +p17710 +tp17711 +a(g213 +V\u005c) +p17712 +tp17713 +a(g213 +V\u005c{ +p17714 +tp17715 +a(g213 +V\u005c} +p17716 +tp17717 +a(g213 +V\u005c| +p17718 +tp17719 +a(g213 +V\u005c; +p17720 +tp17721 +a(g213 +V\u005c< +p17722 +tp17723 +a(g213 +V\u005c> +p17724 +tp17725 +a(g213 +V\u005c? +p17726 +tp17727 +a(g213 +V\u005c' +p17728 +tp17729 +a(g213 +V\u005c +p17730 +tp17731 +a(g213 +V\u005c +p17732 +tp17733 +a(g412 +g2876 +tp17734 +a(g826 +g1562 +tp17735 +a(g705 +g1075 +tp17736 +a(g826 +g1562 +tp17737 +a(g412 +g2876 +tp17738 +a(g826 +g1562 +tp17739 +a(g705 +g1075 +tp17740 +a(g157 +V"" +p17741 +tp17742 +a(g412 +g1533 +tp17743 +a(g826 +V\u000a +p17744 +tp17745 +a(g440 +Varg +p17746 +tp17747 +a(g412 +g1010 +tp17748 +a(g157 +g1029 +tp17749 +a(g157 +V\u005c" +p17750 +tp17751 +a(g440 +V$arg +p17752 +tp17753 +a(g157 +V\u005c" +p17754 +tp17755 +a(g157 +g1029 +tp17756 +a(g826 +V\u000a +p17757 +tp17758 +a(g705 +g1164 +tp17759 +a(g705 +g1164 +tp17760 +a(g826 +V\u000a +p17761 +tp17762 +a(g744 +Vesac +p17763 +tp17764 +a(g826 +V\u000a +p17765 +tp17766 +a(g705 +g1164 +tp17767 +a(g705 +g1164 +tp17768 +a(g826 +V\u000a +p17769 +tp17770 +a(g744 +Vesac +p17771 +tp17772 +a(g826 +g1040 +tp17773 +a(g8 +V# arg\u000a +p17774 +tp17775 +a(g826 +V\u000a +p17776 +tp17777 +a(g8 +V# Now actually substitute the argument into the commands.\u000a +p17778 +tp17779 +a(g826 +V +p17780 +tp17781 +a(g744 +Vif +p17782 +tp17783 +a(g826 +g1040 +tp17784 +a(g681 +Vtest +p17785 +tp17786 +a(g826 +g1040 +tp17787 +a(g826 +V-n +p17788 +tp17789 +a(g826 +g1040 +tp17790 +a(g157 +g1029 +tp17791 +a(g440 +V$arg +p17792 +tp17793 +a(g157 +g1029 +tp17794 +a(g705 +g1164 +tp17795 +a(g826 +g1040 +tp17796 +a(g744 +Vthen +p17797 +tp17798 +a(g826 +V\u000a +p17799 +tp17800 +a(g440 +Vcompile_command +p17801 +tp17802 +a(g412 +g1010 +tp17803 +a(g157 +g1029 +tp17804 +a(g440 +V$compile_command +p17805 +tp17806 +a(g157 +g1040 +tp17807 +a(g440 +V$arg +p17808 +tp17809 +a(g157 +g1029 +tp17810 +a(g826 +V\u000a +p17811 +tp17812 +a(g440 +Vfinalize_command +p17813 +tp17814 +a(g412 +g1010 +tp17815 +a(g157 +g1029 +tp17816 +a(g440 +V$finalize_command +p17817 +tp17818 +a(g157 +g1040 +tp17819 +a(g440 +V$arg +p17820 +tp17821 +a(g157 +g1029 +tp17822 +a(g826 +V\u000a +p17823 +tp17824 +a(g744 +Vfi +p17825 +tp17826 +a(g826 +V\u000a +p17827 +tp17828 +a(g744 +Vdone +p17829 +tp17830 +a(g826 +g1040 +tp17831 +a(g8 +V# argument parsing loop\u000a +p17832 +tp17833 +a(g826 +V\u000a +p17834 +tp17835 +a(g744 +Vif +p17836 +tp17837 +a(g826 +g1040 +tp17838 +a(g681 +Vtest +p17839 +tp17840 +a(g826 +g1040 +tp17841 +a(g826 +V-n +p17842 +tp17843 +a(g826 +g1040 +tp17844 +a(g157 +g1029 +tp17845 +a(g440 +V$prev +p17846 +tp17847 +a(g157 +g1029 +tp17848 +a(g705 +g1164 +tp17849 +a(g826 +g1040 +tp17850 +a(g744 +Vthen +p17851 +tp17852 +a(g826 +V\u000a +p17853 +tp17854 +a(g440 +V$echo +p17855 +tp17856 +a(g826 +g1040 +tp17857 +a(g157 +g1029 +tp17858 +a(g440 +V$modename +p17859 +tp17860 +a(g157 +V: the \u005c` +p17861 +tp17862 +a(g440 +V$prevarg +p17863 +tp17864 +a(g157 +V' option requires an argument +p17865 +tp17866 +a(g157 +g1029 +tp17867 +a(g826 +g1040 +tp17868 +a(g32 +g1107 +tp17869 +a(g826 +g1778 +tp17870 +a(g705 +g1780 +tp17871 +a(g32 +g1782 +tp17872 +a(g826 +V\u000a +p17873 +tp17874 +a(g440 +V$echo +p17875 +tp17876 +a(g826 +g1040 +tp17877 +a(g157 +g1029 +tp17878 +a(g440 +V$help +p17879 +tp17880 +a(g157 +g1029 +tp17881 +a(g826 +g1040 +tp17882 +a(g32 +g1107 +tp17883 +a(g826 +g1778 +tp17884 +a(g705 +g1780 +tp17885 +a(g32 +g1782 +tp17886 +a(g826 +V\u000a +p17887 +tp17888 +a(g681 +Vexit +p17889 +tp17890 +a(g826 +g1040 +tp17891 +a(g440 +V$EXIT_FAILURE +p17892 +tp17893 +a(g826 +V\u000a +p17894 +tp17895 +a(g744 +Vfi +p17896 +tp17897 +a(g826 +V\u000a\u000a +p17898 +tp17899 +a(g744 +Vif +p17900 +tp17901 +a(g826 +g1040 +tp17902 +a(g681 +Vtest +p17903 +tp17904 +a(g826 +g1040 +tp17905 +a(g157 +g1029 +tp17906 +a(g440 +V$export_dynamic +p17907 +tp17908 +a(g157 +g1029 +tp17909 +a(g826 +g1040 +tp17910 +a(g412 +g1010 +tp17911 +a(g826 +g1040 +tp17912 +a(g826 +Vyes +p17913 +tp17914 +a(g826 +g1040 +tp17915 +a(g412 +V&& +p17916 +tp17917 +a(g826 +g1040 +tp17918 +a(g681 +Vtest +p17919 +tp17920 +a(g826 +g1040 +tp17921 +a(g826 +V-n +p17922 +tp17923 +a(g826 +g1040 +tp17924 +a(g157 +g1029 +tp17925 +a(g440 +V$export_dynamic_flag_spec +p17926 +tp17927 +a(g157 +g1029 +tp17928 +a(g705 +g1164 +tp17929 +a(g826 +g1040 +tp17930 +a(g744 +Vthen +p17931 +tp17932 +a(g826 +V\u000a +p17933 +tp17934 +a(g681 +Veval +p17935 +tp17936 +a(g826 +g1040 +tp17937 +a(g440 +Varg +p17938 +tp17939 +a(g412 +g1010 +tp17940 +a(g213 +V\u005c" +p17941 +tp17942 +a(g440 +V$export_dynamic_flag_spec +p17943 +tp17944 +a(g213 +V\u005c" +p17945 +tp17946 +a(g826 +V\u000a +p17947 +tp17948 +a(g440 +Vcompile_command +p17949 +tp17950 +a(g412 +g1010 +tp17951 +a(g157 +g1029 +tp17952 +a(g440 +V$compile_command +p17953 +tp17954 +a(g157 +g1040 +tp17955 +a(g440 +V$arg +p17956 +tp17957 +a(g157 +g1029 +tp17958 +a(g826 +V\u000a +p17959 +tp17960 +a(g440 +Vfinalize_command +p17961 +tp17962 +a(g412 +g1010 +tp17963 +a(g157 +g1029 +tp17964 +a(g440 +V$finalize_command +p17965 +tp17966 +a(g157 +g1040 +tp17967 +a(g440 +V$arg +p17968 +tp17969 +a(g157 +g1029 +tp17970 +a(g826 +V\u000a +p17971 +tp17972 +a(g744 +Vfi +p17973 +tp17974 +a(g826 +V\u000a\u000a +p17975 +tp17976 +a(g440 +Voldlibs +p17977 +tp17978 +a(g412 +g1010 +tp17979 +a(g826 +V\u000a +p17980 +tp17981 +a(g8 +V# calculate the name of the file, without its directory\u000a +p17982 +tp17983 +a(g826 +V +p17984 +tp17985 +a(g440 +Voutputname +p17986 +tp17987 +a(g412 +g1010 +tp17988 +a(g101 +g1065 +tp17989 +a(g440 +V$echo +p17990 +tp17991 +a(g826 +g1040 +tp17992 +a(g157 +g1029 +tp17993 +a(g157 +g1191 +tp17994 +a(g440 +V$output +p17995 +tp17996 +a(g157 +g1029 +tp17997 +a(g826 +g1040 +tp17998 +a(g705 +g1075 +tp17999 +a(g826 +g1040 +tp18000 +a(g440 +V$Xsed +p18001 +tp18002 +a(g826 +g1040 +tp18003 +a(g826 +V-e +p18004 +tp18005 +a(g826 +g1040 +tp18006 +a(g229 +V's%^.*/%%' +p18007 +tp18008 +a(g101 +g1065 +tp18009 +a(g826 +V\u000a +p18010 +tp18011 +a(g440 +Vlibobjs_save +p18012 +tp18013 +a(g412 +g1010 +tp18014 +a(g157 +g1029 +tp18015 +a(g440 +V$libobjs +p18016 +tp18017 +a(g157 +g1029 +tp18018 +a(g826 +V\u000a\u000a +p18019 +tp18020 +a(g744 +Vif +p18021 +tp18022 +a(g826 +g1040 +tp18023 +a(g681 +Vtest +p18024 +tp18025 +a(g826 +g1040 +tp18026 +a(g826 +V-n +p18027 +tp18028 +a(g826 +g1040 +tp18029 +a(g157 +g1029 +tp18030 +a(g440 +V$shlibpath_var +p18031 +tp18032 +a(g157 +g1029 +tp18033 +a(g705 +g1164 +tp18034 +a(g826 +g1040 +tp18035 +a(g744 +Vthen +p18036 +tp18037 +a(g826 +V\u000a +p18038 +tp18039 +a(g8 +V# get the directories listed in $shlibpath_var\u000a +p18040 +tp18041 +a(g826 +V +p18042 +tp18043 +a(g681 +Veval +p18044 +tp18045 +a(g826 +g1040 +tp18046 +a(g440 +Vshlib_search_path +p18047 +tp18048 +a(g412 +g1010 +tp18049 +a(g213 +V\u005c` +p18050 +tp18051 +a(g213 +V\u005c$ +p18052 +tp18053 +a(g681 +Vecho +p18054 +tp18055 +a(g826 +g1040 +tp18056 +a(g213 +V\u005c" +p18057 +tp18058 +a(g826 +g1191 +tp18059 +a(g213 +V\u005c$ +p18060 +tp18061 +a(g412 +g2166 +tp18062 +a(g440 +V$shlibpath_var +p18063 +tp18064 +a(g412 +g1160 +tp18065 +a(g213 +V\u005c" +p18066 +tp18067 +a(g826 +g1040 +tp18068 +a(g213 +V\u005c| +p18069 +tp18070 +a(g826 +g1040 +tp18071 +a(g213 +V\u005c$ +p18072 +tp18073 +a(g826 +VXsed +p18074 +tp18075 +a(g826 +g1040 +tp18076 +a(g826 +V-e +p18077 +tp18078 +a(g826 +g1040 +tp18079 +a(g213 +V\u005c' +p18080 +tp18081 +a(g826 +Vs/:/ +p18082 +tp18083 +a(g826 +g1040 +tp18084 +a(g826 +V/g +p18085 +tp18086 +a(g213 +V\u005c' +p18087 +tp18088 +a(g213 +V\u005c` +p18089 +tp18090 +a(g826 +V\u000a +p18091 +tp18092 +a(g744 +Velse +p18093 +tp18094 +a(g826 +V\u000a +p18095 +tp18096 +a(g440 +Vshlib_search_path +p18097 +tp18098 +a(g412 +g1010 +tp18099 +a(g826 +V\u000a +p18100 +tp18101 +a(g744 +Vfi +p18102 +tp18103 +a(g826 +V\u000a +p18104 +tp18105 +a(g681 +Veval +p18106 +tp18107 +a(g826 +g1040 +tp18108 +a(g440 +Vsys_lib_search_path +p18109 +tp18110 +a(g412 +g1010 +tp18111 +a(g213 +V\u005c" +p18112 +tp18113 +a(g440 +V$sys_lib_search_path_spec +p18114 +tp18115 +a(g213 +V\u005c" +p18116 +tp18117 +a(g826 +V\u000a +p18118 +tp18119 +a(g681 +Veval +p18120 +tp18121 +a(g826 +g1040 +tp18122 +a(g440 +Vsys_lib_dlsearch_path +p18123 +tp18124 +a(g412 +g1010 +tp18125 +a(g213 +V\u005c" +p18126 +tp18127 +a(g440 +V$sys_lib_dlsearch_path_spec +p18128 +tp18129 +a(g213 +V\u005c" +p18130 +tp18131 +a(g826 +V\u000a\u000a +p18132 +tp18133 +a(g440 +Voutput_objdir +p18134 +tp18135 +a(g412 +g1010 +tp18136 +a(g101 +g1065 +tp18137 +a(g440 +V$echo +p18138 +tp18139 +a(g826 +g1040 +tp18140 +a(g157 +g1029 +tp18141 +a(g157 +g1191 +tp18142 +a(g440 +V$output +p18143 +tp18144 +a(g157 +g1029 +tp18145 +a(g826 +g1040 +tp18146 +a(g705 +g1075 +tp18147 +a(g826 +g1040 +tp18148 +a(g440 +V$Xsed +p18149 +tp18150 +a(g826 +g1040 +tp18151 +a(g826 +V-e +p18152 +tp18153 +a(g826 +g1040 +tp18154 +a(g229 +V's%/[^/]*$%%' +p18155 +tp18156 +a(g101 +g1065 +tp18157 +a(g826 +V\u000a +p18158 +tp18159 +a(g744 +Vif +p18160 +tp18161 +a(g826 +g1040 +tp18162 +a(g681 +Vtest +p18163 +tp18164 +a(g826 +g1040 +tp18165 +a(g157 +g1029 +tp18166 +a(g157 +g1191 +tp18167 +a(g440 +V$output_objdir +p18168 +tp18169 +a(g157 +g1029 +tp18170 +a(g826 +g1040 +tp18171 +a(g412 +g1010 +tp18172 +a(g826 +g1040 +tp18173 +a(g157 +g1029 +tp18174 +a(g157 +g1191 +tp18175 +a(g440 +V$output +p18176 +tp18177 +a(g157 +g1029 +tp18178 +a(g705 +g1164 +tp18179 +a(g826 +g1040 +tp18180 +a(g744 +Vthen +p18181 +tp18182 +a(g826 +V\u000a +p18183 +tp18184 +a(g440 +Voutput_objdir +p18185 +tp18186 +a(g412 +g1010 +tp18187 +a(g157 +g1029 +tp18188 +a(g440 +V$objdir +p18189 +tp18190 +a(g157 +g1029 +tp18191 +a(g826 +V\u000a +p18192 +tp18193 +a(g744 +Velse +p18194 +tp18195 +a(g826 +V\u000a +p18196 +tp18197 +a(g440 +Voutput_objdir +p18198 +tp18199 +a(g412 +g1010 +tp18200 +a(g157 +g1029 +tp18201 +a(g440 +V$output_objdir +p18202 +tp18203 +a(g157 +g1886 +tp18204 +a(g440 +V$objdir +p18205 +tp18206 +a(g157 +g1029 +tp18207 +a(g826 +V\u000a +p18208 +tp18209 +a(g744 +Vfi +p18210 +tp18211 +a(g826 +V\u000a +p18212 +tp18213 +a(g8 +V# Create the object directory.\u000a +p18214 +tp18215 +a(g826 +V +p18216 +tp18217 +a(g744 +Vif +p18218 +tp18219 +a(g826 +g1040 +tp18220 +a(g681 +Vtest +p18221 +tp18222 +a(g826 +g1040 +tp18223 +a(g826 +g1738 +tp18224 +a(g826 +g1040 +tp18225 +a(g826 +V-d +p18226 +tp18227 +a(g826 +g1040 +tp18228 +a(g157 +g1029 +tp18229 +a(g440 +V$output_objdir +p18230 +tp18231 +a(g157 +g1029 +tp18232 +a(g705 +g1164 +tp18233 +a(g826 +g1040 +tp18234 +a(g744 +Vthen +p18235 +tp18236 +a(g826 +V\u000a +p18237 +tp18238 +a(g440 +V$show +p18239 +tp18240 +a(g826 +g1040 +tp18241 +a(g157 +g1029 +tp18242 +a(g440 +V$mkdir +p18243 +tp18244 +a(g157 +g1040 +tp18245 +a(g440 +V$output_objdir +p18246 +tp18247 +a(g157 +g1029 +tp18248 +a(g826 +V\u000a +p18249 +tp18250 +a(g440 +V$run +p18251 +tp18252 +a(g826 +g1040 +tp18253 +a(g440 +V$mkdir +p18254 +tp18255 +a(g826 +g1040 +tp18256 +a(g440 +V$output_objdir +p18257 +tp18258 +a(g826 +V\u000a +p18259 +tp18260 +a(g440 +Vexit_status +p18261 +tp18262 +a(g412 +g1010 +tp18263 +a(g440 +V$? +p18264 +tp18265 +a(g826 +V\u000a +p18266 +tp18267 +a(g744 +Vif +p18268 +tp18269 +a(g826 +g1040 +tp18270 +a(g681 +Vtest +p18271 +tp18272 +a(g826 +g1040 +tp18273 +a(g157 +g1029 +tp18274 +a(g440 +V$exit_status +p18275 +tp18276 +a(g157 +g1029 +tp18277 +a(g826 +g1040 +tp18278 +a(g826 +V-ne +p18279 +tp18280 +a(g826 +g1040 +tp18281 +a(g32 +g1100 +tp18282 +a(g826 +g1040 +tp18283 +a(g412 +V&& +p18284 +tp18285 +a(g826 +g1040 +tp18286 +a(g681 +Vtest +p18287 +tp18288 +a(g826 +g1040 +tp18289 +a(g826 +g1738 +tp18290 +a(g826 +g1040 +tp18291 +a(g826 +V-d +p18292 +tp18293 +a(g826 +g1040 +tp18294 +a(g157 +g1029 +tp18295 +a(g440 +V$output_objdir +p18296 +tp18297 +a(g157 +g1029 +tp18298 +a(g705 +g1164 +tp18299 +a(g826 +g1040 +tp18300 +a(g744 +Vthen +p18301 +tp18302 +a(g826 +V\u000a +p18303 +tp18304 +a(g681 +Vexit +p18305 +tp18306 +a(g826 +g1040 +tp18307 +a(g440 +V$exit_status +p18308 +tp18309 +a(g826 +V\u000a +p18310 +tp18311 +a(g744 +Vfi +p18312 +tp18313 +a(g826 +V\u000a +p18314 +tp18315 +a(g744 +Vfi +p18316 +tp18317 +a(g826 +V\u000a\u000a +p18318 +tp18319 +a(g8 +V# Determine the type of output\u000a +p18320 +tp18321 +a(g826 +V +p18322 +tp18323 +a(g744 +Vcase +p18324 +tp18325 +a(g826 +g1040 +tp18326 +a(g440 +V$output +p18327 +tp18328 +a(g826 +g1040 +tp18329 +a(g826 +Vin +p18330 +tp18331 +a(g826 +V\u000a +p18332 +tp18333 +a(g157 +V"" +p18334 +tp18335 +a(g412 +g1533 +tp18336 +a(g826 +V\u000a +p18337 +tp18338 +a(g440 +V$echo +p18339 +tp18340 +a(g826 +g1040 +tp18341 +a(g157 +g1029 +tp18342 +a(g440 +V$modename +p18343 +tp18344 +a(g157 +V: you must specify an output file +p18345 +tp18346 +a(g157 +g1029 +tp18347 +a(g826 +g1040 +tp18348 +a(g32 +g1107 +tp18349 +a(g826 +g1778 +tp18350 +a(g705 +g1780 +tp18351 +a(g32 +g1782 +tp18352 +a(g826 +V\u000a +p18353 +tp18354 +a(g440 +V$echo +p18355 +tp18356 +a(g826 +g1040 +tp18357 +a(g157 +g1029 +tp18358 +a(g440 +V$help +p18359 +tp18360 +a(g157 +g1029 +tp18361 +a(g826 +g1040 +tp18362 +a(g32 +g1107 +tp18363 +a(g826 +g1778 +tp18364 +a(g705 +g1780 +tp18365 +a(g32 +g1782 +tp18366 +a(g826 +V\u000a +p18367 +tp18368 +a(g681 +Vexit +p18369 +tp18370 +a(g826 +g1040 +tp18371 +a(g440 +V$EXIT_FAILURE +p18372 +tp18373 +a(g826 +V\u000a +p18374 +tp18375 +a(g705 +g1164 +tp18376 +a(g705 +g1164 +tp18377 +a(g826 +V\u000a +p18378 +tp18379 +a(g826 +V*. +p18380 +tp18381 +a(g440 +V$libext +p18382 +tp18383 +a(g412 +g1533 +tp18384 +a(g826 +g1040 +tp18385 +a(g440 +Vlinkmode +p18386 +tp18387 +a(g412 +g1010 +tp18388 +a(g826 +Voldlib +p18389 +tp18390 +a(g826 +g1040 +tp18391 +a(g705 +g1164 +tp18392 +a(g705 +g1164 +tp18393 +a(g826 +V\u000a +p18394 +tp18395 +a(g826 +V*.lo +p18396 +tp18397 +a(g826 +g1040 +tp18398 +a(g705 +g1075 +tp18399 +a(g826 +g1040 +tp18400 +a(g826 +V*. +p18401 +tp18402 +a(g440 +V$objext +p18403 +tp18404 +a(g412 +g1533 +tp18405 +a(g826 +g1040 +tp18406 +a(g440 +Vlinkmode +p18407 +tp18408 +a(g412 +g1010 +tp18409 +a(g826 +Vobj +p18410 +tp18411 +a(g826 +g1040 +tp18412 +a(g705 +g1164 +tp18413 +a(g705 +g1164 +tp18414 +a(g826 +V\u000a +p18415 +tp18416 +a(g826 +V*.la +p18417 +tp18418 +a(g412 +g1533 +tp18419 +a(g826 +g1040 +tp18420 +a(g440 +Vlinkmode +p18421 +tp18422 +a(g412 +g1010 +tp18423 +a(g826 +Vlib +p18424 +tp18425 +a(g826 +g1040 +tp18426 +a(g705 +g1164 +tp18427 +a(g705 +g1164 +tp18428 +a(g826 +V\u000a +p18429 +tp18430 +a(g826 +g1562 +tp18431 +a(g412 +g1533 +tp18432 +a(g826 +g1040 +tp18433 +a(g440 +Vlinkmode +p18434 +tp18435 +a(g412 +g1010 +tp18436 +a(g826 +Vprog +p18437 +tp18438 +a(g826 +g1040 +tp18439 +a(g705 +g1164 +tp18440 +a(g705 +g1164 +tp18441 +a(g826 +g1040 +tp18442 +a(g8 +V# Anything else should be a program.\u000a +p18443 +tp18444 +a(g826 +V +p18445 +tp18446 +a(g744 +Vesac +p18447 +tp18448 +a(g826 +V\u000a\u000a +p18449 +tp18450 +a(g744 +Vcase +p18451 +tp18452 +a(g826 +g1040 +tp18453 +a(g440 +V$host +p18454 +tp18455 +a(g826 +g1040 +tp18456 +a(g826 +Vin +p18457 +tp18458 +a(g826 +V\u000a +p18459 +tp18460 +a(g826 +V*cygwin* +p18461 +tp18462 +a(g826 +g1040 +tp18463 +a(g705 +g1075 +tp18464 +a(g826 +g1040 +tp18465 +a(g826 +V*mingw* +p18466 +tp18467 +a(g826 +g1040 +tp18468 +a(g705 +g1075 +tp18469 +a(g826 +g1040 +tp18470 +a(g826 +V*pw32* +p18471 +tp18472 +a(g412 +g1533 +tp18473 +a(g826 +V\u000a +p18474 +tp18475 +a(g8 +V# don't eliminate duplications in $postdeps and $predeps\u000a +p18476 +tp18477 +a(g826 +V +p18478 +tp18479 +a(g440 +Vduplicate_compiler_generated_deps +p18480 +tp18481 +a(g412 +g1010 +tp18482 +a(g826 +Vyes +p18483 +tp18484 +a(g826 +V\u000a +p18485 +tp18486 +a(g705 +g1164 +tp18487 +a(g705 +g1164 +tp18488 +a(g826 +V\u000a +p18489 +tp18490 +a(g826 +g1562 +tp18491 +a(g412 +g1533 +tp18492 +a(g826 +V\u000a +p18493 +tp18494 +a(g440 +Vduplicate_compiler_generated_deps +p18495 +tp18496 +a(g412 +g1010 +tp18497 +a(g440 +V$duplicate_deps +p18498 +tp18499 +a(g826 +V\u000a +p18500 +tp18501 +a(g705 +g1164 +tp18502 +a(g705 +g1164 +tp18503 +a(g826 +V\u000a +p18504 +tp18505 +a(g744 +Vesac +p18506 +tp18507 +a(g826 +V\u000a +p18508 +tp18509 +a(g440 +Vspecialdeplibs +p18510 +tp18511 +a(g412 +g1010 +tp18512 +a(g826 +V\u000a\u000a +p18513 +tp18514 +a(g440 +Vlibs +p18515 +tp18516 +a(g412 +g1010 +tp18517 +a(g826 +V\u000a +p18518 +tp18519 +a(g8 +V# Find all interdependent deplibs by searching for libraries\u000a +p18520 +tp18521 +a(g826 +V +p18522 +tp18523 +a(g8 +V# that are linked more than once (e.g. -la -lb -la)\u000a +p18524 +tp18525 +a(g826 +V +p18526 +tp18527 +a(g744 +Vfor +p18528 +tp18529 +a(g826 +g1040 +tp18530 +a(g826 +Vdeplib +p18531 +tp18532 +a(g826 +g1040 +tp18533 +a(g826 +Vin +p18534 +tp18535 +a(g826 +g1040 +tp18536 +a(g440 +V$deplibs +p18537 +tp18538 +a(g705 +g1164 +tp18539 +a(g826 +g1040 +tp18540 +a(g744 +Vdo +p18541 +tp18542 +a(g826 +V\u000a +p18543 +tp18544 +a(g744 +Vif +p18545 +tp18546 +a(g826 +g1040 +tp18547 +a(g681 +Vtest +p18548 +tp18549 +a(g826 +g1040 +tp18550 +a(g157 +g1029 +tp18551 +a(g157 +g1191 +tp18552 +a(g440 +V$duplicate_deps +p18553 +tp18554 +a(g157 +g1029 +tp18555 +a(g826 +g1040 +tp18556 +a(g412 +g1010 +tp18557 +a(g826 +g1040 +tp18558 +a(g157 +V"Xyes" +p18559 +tp18560 +a(g826 +g1040 +tp18561 +a(g705 +g1164 +tp18562 +a(g826 +g1040 +tp18563 +a(g744 +Vthen +p18564 +tp18565 +a(g826 +V\u000a +p18566 +tp18567 +a(g744 +Vcase +p18568 +tp18569 +a(g826 +g1040 +tp18570 +a(g157 +g1029 +tp18571 +a(g440 +V$libs +p18572 +tp18573 +a(g157 +g1040 +tp18574 +a(g157 +g1029 +tp18575 +a(g826 +g1040 +tp18576 +a(g826 +Vin +p18577 +tp18578 +a(g826 +V\u000a +p18579 +tp18580 +a(g826 +g1562 +tp18581 +a(g157 +g1029 +tp18582 +a(g157 +g1040 +tp18583 +a(g440 +V$deplib +p18584 +tp18585 +a(g157 +g1040 +tp18586 +a(g157 +g1029 +tp18587 +a(g826 +g1562 +tp18588 +a(g412 +g1533 +tp18589 +a(g826 +g1040 +tp18590 +a(g440 +Vspecialdeplibs +p18591 +tp18592 +a(g412 +g1010 +tp18593 +a(g157 +g1029 +tp18594 +a(g440 +V$specialdeplibs +p18595 +tp18596 +a(g157 +g1040 +tp18597 +a(g440 +V$deplib +p18598 +tp18599 +a(g157 +g1029 +tp18600 +a(g826 +g1040 +tp18601 +a(g705 +g1164 +tp18602 +a(g705 +g1164 +tp18603 +a(g826 +V\u000a +p18604 +tp18605 +a(g744 +Vesac +p18606 +tp18607 +a(g826 +V\u000a +p18608 +tp18609 +a(g744 +Vfi +p18610 +tp18611 +a(g826 +V\u000a +p18612 +tp18613 +a(g440 +Vlibs +p18614 +tp18615 +a(g412 +g1010 +tp18616 +a(g157 +g1029 +tp18617 +a(g440 +V$libs +p18618 +tp18619 +a(g157 +g1040 +tp18620 +a(g440 +V$deplib +p18621 +tp18622 +a(g157 +g1029 +tp18623 +a(g826 +V\u000a +p18624 +tp18625 +a(g744 +Vdone +p18626 +tp18627 +a(g826 +V\u000a\u000a +p18628 +tp18629 +a(g744 +Vif +p18630 +tp18631 +a(g826 +g1040 +tp18632 +a(g681 +Vtest +p18633 +tp18634 +a(g826 +g1040 +tp18635 +a(g157 +g1029 +tp18636 +a(g440 +V$linkmode +p18637 +tp18638 +a(g157 +g1029 +tp18639 +a(g826 +g1040 +tp18640 +a(g412 +g1010 +tp18641 +a(g826 +g1040 +tp18642 +a(g826 +Vlib +p18643 +tp18644 +a(g705 +g1164 +tp18645 +a(g826 +g1040 +tp18646 +a(g744 +Vthen +p18647 +tp18648 +a(g826 +V\u000a +p18649 +tp18650 +a(g440 +Vlibs +p18651 +tp18652 +a(g412 +g1010 +tp18653 +a(g157 +g1029 +tp18654 +a(g440 +V$predeps +p18655 +tp18656 +a(g157 +g1040 +tp18657 +a(g440 +V$libs +p18658 +tp18659 +a(g157 +g1040 +tp18660 +a(g440 +V$compiler_lib_search_path +p18661 +tp18662 +a(g157 +g1040 +tp18663 +a(g440 +V$postdeps +p18664 +tp18665 +a(g157 +g1029 +tp18666 +a(g826 +V\u000a\u000a +p18667 +tp18668 +a(g8 +V# Compute libraries that are listed more than once in $predeps\u000a +p18669 +tp18670 +a(g826 +V +p18671 +tp18672 +a(g8 +V# $postdeps and mark them as special (i.e., whose duplicates are\u000a +p18673 +tp18674 +a(g826 +V +p18675 +tp18676 +a(g8 +V# not to be eliminated).\u000a +p18677 +tp18678 +a(g826 +V +p18679 +tp18680 +a(g440 +Vpre_post_deps +p18681 +tp18682 +a(g412 +g1010 +tp18683 +a(g826 +V\u000a +p18684 +tp18685 +a(g744 +Vif +p18686 +tp18687 +a(g826 +g1040 +tp18688 +a(g681 +Vtest +p18689 +tp18690 +a(g826 +g1040 +tp18691 +a(g157 +g1029 +tp18692 +a(g157 +g1191 +tp18693 +a(g440 +V$duplicate_compiler_generated_deps +p18694 +tp18695 +a(g157 +g1029 +tp18696 +a(g826 +g1040 +tp18697 +a(g412 +g1010 +tp18698 +a(g826 +g1040 +tp18699 +a(g157 +V"Xyes" +p18700 +tp18701 +a(g826 +g1040 +tp18702 +a(g705 +g1164 +tp18703 +a(g826 +g1040 +tp18704 +a(g744 +Vthen +p18705 +tp18706 +a(g826 +V\u000a +p18707 +tp18708 +a(g744 +Vfor +p18709 +tp18710 +a(g826 +g1040 +tp18711 +a(g826 +Vpre_post_dep +p18712 +tp18713 +a(g826 +g1040 +tp18714 +a(g826 +Vin +p18715 +tp18716 +a(g826 +g1040 +tp18717 +a(g440 +V$predeps +p18718 +tp18719 +a(g826 +g1040 +tp18720 +a(g440 +V$postdeps +p18721 +tp18722 +a(g705 +g1164 +tp18723 +a(g826 +g1040 +tp18724 +a(g744 +Vdo +p18725 +tp18726 +a(g826 +V\u000a +p18727 +tp18728 +a(g744 +Vcase +p18729 +tp18730 +a(g826 +g1040 +tp18731 +a(g157 +g1029 +tp18732 +a(g440 +V$pre_post_deps +p18733 +tp18734 +a(g157 +g1040 +tp18735 +a(g157 +g1029 +tp18736 +a(g826 +g1040 +tp18737 +a(g826 +Vin +p18738 +tp18739 +a(g826 +V\u000a +p18740 +tp18741 +a(g826 +g1562 +tp18742 +a(g157 +g1029 +tp18743 +a(g157 +g1040 +tp18744 +a(g440 +V$pre_post_dep +p18745 +tp18746 +a(g157 +g1040 +tp18747 +a(g157 +g1029 +tp18748 +a(g826 +g1562 +tp18749 +a(g412 +g1533 +tp18750 +a(g826 +g1040 +tp18751 +a(g440 +Vspecialdeplibs +p18752 +tp18753 +a(g412 +g1010 +tp18754 +a(g157 +g1029 +tp18755 +a(g440 +V$specialdeplibs +p18756 +tp18757 +a(g157 +g1040 +tp18758 +a(g440 +V$pre_post_deps +p18759 +tp18760 +a(g157 +g1029 +tp18761 +a(g826 +g1040 +tp18762 +a(g705 +g1164 +tp18763 +a(g705 +g1164 +tp18764 +a(g826 +V\u000a +p18765 +tp18766 +a(g744 +Vesac +p18767 +tp18768 +a(g826 +V\u000a +p18769 +tp18770 +a(g440 +Vpre_post_deps +p18771 +tp18772 +a(g412 +g1010 +tp18773 +a(g157 +g1029 +tp18774 +a(g440 +V$pre_post_deps +p18775 +tp18776 +a(g157 +g1040 +tp18777 +a(g440 +V$pre_post_dep +p18778 +tp18779 +a(g157 +g1029 +tp18780 +a(g826 +V\u000a +p18781 +tp18782 +a(g744 +Vdone +p18783 +tp18784 +a(g826 +V\u000a +p18785 +tp18786 +a(g744 +Vfi +p18787 +tp18788 +a(g826 +V\u000a +p18789 +tp18790 +a(g440 +Vpre_post_deps +p18791 +tp18792 +a(g412 +g1010 +tp18793 +a(g826 +V\u000a +p18794 +tp18795 +a(g744 +Vfi +p18796 +tp18797 +a(g826 +V\u000a\u000a +p18798 +tp18799 +a(g440 +Vdeplibs +p18800 +tp18801 +a(g412 +g1010 +tp18802 +a(g826 +V\u000a +p18803 +tp18804 +a(g440 +Vnewdependency_libs +p18805 +tp18806 +a(g412 +g1010 +tp18807 +a(g826 +V\u000a +p18808 +tp18809 +a(g440 +Vnewlib_search_path +p18810 +tp18811 +a(g412 +g1010 +tp18812 +a(g826 +V\u000a +p18813 +tp18814 +a(g440 +Vneed_relink +p18815 +tp18816 +a(g412 +g1010 +tp18817 +a(g826 +Vno +p18818 +tp18819 +a(g826 +g1040 +tp18820 +a(g8 +V# whether we're linking any uninstalled libtool libraries\u000a +p18821 +tp18822 +a(g826 +V +p18823 +tp18824 +a(g440 +Vnotinst_deplibs +p18825 +tp18826 +a(g412 +g1010 +tp18827 +a(g826 +g1040 +tp18828 +a(g8 +V# not-installed libtool libraries\u000a +p18829 +tp18830 +a(g826 +V +p18831 +tp18832 +a(g744 +Vcase +p18833 +tp18834 +a(g826 +g1040 +tp18835 +a(g440 +V$linkmode +p18836 +tp18837 +a(g826 +g1040 +tp18838 +a(g826 +Vin +p18839 +tp18840 +a(g826 +V\u000a +p18841 +tp18842 +a(g826 +Vlib +p18843 +tp18844 +a(g412 +g1533 +tp18845 +a(g826 +V\u000a +p18846 +tp18847 +a(g440 +Vpasses +p18848 +tp18849 +a(g412 +g1010 +tp18850 +a(g157 +V"conv link" +p18851 +tp18852 +a(g826 +V\u000a +p18853 +tp18854 +a(g744 +Vfor +p18855 +tp18856 +a(g826 +g1040 +tp18857 +a(g826 +Vfile +p18858 +tp18859 +a(g826 +g1040 +tp18860 +a(g826 +Vin +p18861 +tp18862 +a(g826 +g1040 +tp18863 +a(g440 +V$dlfiles +p18864 +tp18865 +a(g826 +g1040 +tp18866 +a(g440 +V$dlprefiles +p18867 +tp18868 +a(g705 +g1164 +tp18869 +a(g826 +g1040 +tp18870 +a(g744 +Vdo +p18871 +tp18872 +a(g826 +V\u000a +p18873 +tp18874 +a(g744 +Vcase +p18875 +tp18876 +a(g826 +g1040 +tp18877 +a(g440 +V$file +p18878 +tp18879 +a(g826 +g1040 +tp18880 +a(g826 +Vin +p18881 +tp18882 +a(g826 +V\u000a +p18883 +tp18884 +a(g826 +V*.la +p18885 +tp18886 +a(g412 +g1533 +tp18887 +a(g826 +g1040 +tp18888 +a(g705 +g1164 +tp18889 +a(g705 +g1164 +tp18890 +a(g826 +V\u000a +p18891 +tp18892 +a(g826 +g1562 +tp18893 +a(g412 +g1533 +tp18894 +a(g826 +V\u000a +p18895 +tp18896 +a(g440 +V$echo +p18897 +tp18898 +a(g826 +g1040 +tp18899 +a(g157 +g1029 +tp18900 +a(g440 +V$modename +p18901 +tp18902 +a(g157 +V: libraries can \u005c`-dlopen' only libtool libraries: +p18903 +tp18904 +a(g440 +V$file +p18905 +tp18906 +a(g157 +g1029 +tp18907 +a(g826 +g1040 +tp18908 +a(g32 +g1107 +tp18909 +a(g826 +g1778 +tp18910 +a(g705 +g1780 +tp18911 +a(g32 +g1782 +tp18912 +a(g826 +V\u000a +p18913 +tp18914 +a(g681 +Vexit +p18915 +tp18916 +a(g826 +g1040 +tp18917 +a(g440 +V$EXIT_FAILURE +p18918 +tp18919 +a(g826 +V\u000a +p18920 +tp18921 +a(g705 +g1164 +tp18922 +a(g705 +g1164 +tp18923 +a(g826 +V\u000a +p18924 +tp18925 +a(g744 +Vesac +p18926 +tp18927 +a(g826 +V\u000a +p18928 +tp18929 +a(g744 +Vdone +p18930 +tp18931 +a(g826 +V\u000a +p18932 +tp18933 +a(g705 +g1164 +tp18934 +a(g705 +g1164 +tp18935 +a(g826 +V\u000a +p18936 +tp18937 +a(g826 +Vprog +p18938 +tp18939 +a(g412 +g1533 +tp18940 +a(g826 +V\u000a +p18941 +tp18942 +a(g440 +Vcompile_deplibs +p18943 +tp18944 +a(g412 +g1010 +tp18945 +a(g826 +V\u000a +p18946 +tp18947 +a(g440 +Vfinalize_deplibs +p18948 +tp18949 +a(g412 +g1010 +tp18950 +a(g826 +V\u000a +p18951 +tp18952 +a(g440 +Valldeplibs +p18953 +tp18954 +a(g412 +g1010 +tp18955 +a(g826 +Vno +p18956 +tp18957 +a(g826 +V\u000a +p18958 +tp18959 +a(g440 +Vnewdlfiles +p18960 +tp18961 +a(g412 +g1010 +tp18962 +a(g826 +V\u000a +p18963 +tp18964 +a(g440 +Vnewdlprefiles +p18965 +tp18966 +a(g412 +g1010 +tp18967 +a(g826 +V\u000a +p18968 +tp18969 +a(g440 +Vpasses +p18970 +tp18971 +a(g412 +g1010 +tp18972 +a(g157 +V"conv scan dlopen dlpreopen link" +p18973 +tp18974 +a(g826 +V\u000a +p18975 +tp18976 +a(g705 +g1164 +tp18977 +a(g705 +g1164 +tp18978 +a(g826 +V\u000a +p18979 +tp18980 +a(g826 +g1562 +tp18981 +a(g412 +g1533 +tp18982 +a(g826 +V +p18983 +tp18984 +a(g440 +Vpasses +p18985 +tp18986 +a(g412 +g1010 +tp18987 +a(g157 +V"conv" +p18988 +tp18989 +a(g826 +V\u000a +p18990 +tp18991 +a(g705 +g1164 +tp18992 +a(g705 +g1164 +tp18993 +a(g826 +V\u000a +p18994 +tp18995 +a(g744 +Vesac +p18996 +tp18997 +a(g826 +V\u000a +p18998 +tp18999 +a(g744 +Vfor +p19000 +tp19001 +a(g826 +g1040 +tp19002 +a(g826 +Vpass +p19003 +tp19004 +a(g826 +g1040 +tp19005 +a(g826 +Vin +p19006 +tp19007 +a(g826 +g1040 +tp19008 +a(g440 +V$passes +p19009 +tp19010 +a(g705 +g1164 +tp19011 +a(g826 +g1040 +tp19012 +a(g744 +Vdo +p19013 +tp19014 +a(g826 +V\u000a +p19015 +tp19016 +a(g744 +Vif +p19017 +tp19018 +a(g826 +g1040 +tp19019 +a(g681 +Vtest +p19020 +tp19021 +a(g826 +g1040 +tp19022 +a(g157 +g1029 +tp19023 +a(g440 +V$linkmode +p19024 +tp19025 +a(g157 +V, +p19026 +tp19027 +a(g440 +V$pass +p19028 +tp19029 +a(g157 +g1029 +tp19030 +a(g826 +g1040 +tp19031 +a(g412 +g1010 +tp19032 +a(g826 +g1040 +tp19033 +a(g157 +V"lib,link" +p19034 +tp19035 +a(g826 +g1040 +tp19036 +a(g412 +V|| +p19037 +tp19038 +a(g826 +V\u000a +p19039 +tp19040 +a(g681 +Vtest +p19041 +tp19042 +a(g826 +g1040 +tp19043 +a(g157 +g1029 +tp19044 +a(g440 +V$linkmode +p19045 +tp19046 +a(g157 +g19026 +tp19047 +a(g440 +V$pass +p19048 +tp19049 +a(g157 +g1029 +tp19050 +a(g826 +g1040 +tp19051 +a(g412 +g1010 +tp19052 +a(g826 +g1040 +tp19053 +a(g157 +V"prog,scan" +p19054 +tp19055 +a(g705 +g1164 +tp19056 +a(g826 +g1040 +tp19057 +a(g744 +Vthen +p19058 +tp19059 +a(g826 +V\u000a +p19060 +tp19061 +a(g440 +Vlibs +p19062 +tp19063 +a(g412 +g1010 +tp19064 +a(g157 +g1029 +tp19065 +a(g440 +V$deplibs +p19066 +tp19067 +a(g157 +g1029 +tp19068 +a(g826 +V\u000a +p19069 +tp19070 +a(g440 +Vdeplibs +p19071 +tp19072 +a(g412 +g1010 +tp19073 +a(g826 +V\u000a +p19074 +tp19075 +a(g744 +Vfi +p19076 +tp19077 +a(g826 +V\u000a +p19078 +tp19079 +a(g744 +Vif +p19080 +tp19081 +a(g826 +g1040 +tp19082 +a(g681 +Vtest +p19083 +tp19084 +a(g826 +g1040 +tp19085 +a(g157 +g1029 +tp19086 +a(g440 +V$linkmode +p19087 +tp19088 +a(g157 +g1029 +tp19089 +a(g826 +g1040 +tp19090 +a(g412 +g1010 +tp19091 +a(g826 +g1040 +tp19092 +a(g826 +Vprog +p19093 +tp19094 +a(g705 +g1164 +tp19095 +a(g826 +g1040 +tp19096 +a(g744 +Vthen +p19097 +tp19098 +a(g826 +V\u000a +p19099 +tp19100 +a(g744 +Vcase +p19101 +tp19102 +a(g826 +g1040 +tp19103 +a(g440 +V$pass +p19104 +tp19105 +a(g826 +g1040 +tp19106 +a(g826 +Vin +p19107 +tp19108 +a(g826 +V\u000a +p19109 +tp19110 +a(g826 +Vdlopen +p19111 +tp19112 +a(g412 +g1533 +tp19113 +a(g826 +g1040 +tp19114 +a(g440 +Vlibs +p19115 +tp19116 +a(g412 +g1010 +tp19117 +a(g157 +g1029 +tp19118 +a(g440 +V$dlfiles +p19119 +tp19120 +a(g157 +g1029 +tp19121 +a(g826 +g1040 +tp19122 +a(g705 +g1164 +tp19123 +a(g705 +g1164 +tp19124 +a(g826 +V\u000a +p19125 +tp19126 +a(g826 +Vdlpreopen +p19127 +tp19128 +a(g412 +g1533 +tp19129 +a(g826 +g1040 +tp19130 +a(g440 +Vlibs +p19131 +tp19132 +a(g412 +g1010 +tp19133 +a(g157 +g1029 +tp19134 +a(g440 +V$dlprefiles +p19135 +tp19136 +a(g157 +g1029 +tp19137 +a(g826 +g1040 +tp19138 +a(g705 +g1164 +tp19139 +a(g705 +g1164 +tp19140 +a(g826 +V\u000a +p19141 +tp19142 +a(g826 +Vlink +p19143 +tp19144 +a(g412 +g1533 +tp19145 +a(g826 +g1040 +tp19146 +a(g440 +Vlibs +p19147 +tp19148 +a(g412 +g1010 +tp19149 +a(g157 +g1029 +tp19150 +a(g440 +V$deplibs +p19151 +tp19152 +a(g157 +V %DEPLIBS% +p19153 +tp19154 +a(g440 +V$dependency_libs +p19155 +tp19156 +a(g157 +g1029 +tp19157 +a(g826 +g1040 +tp19158 +a(g705 +g1164 +tp19159 +a(g705 +g1164 +tp19160 +a(g826 +V\u000a +p19161 +tp19162 +a(g744 +Vesac +p19163 +tp19164 +a(g826 +V\u000a +p19165 +tp19166 +a(g744 +Vfi +p19167 +tp19168 +a(g826 +V\u000a +p19169 +tp19170 +a(g744 +Vif +p19171 +tp19172 +a(g826 +g1040 +tp19173 +a(g681 +Vtest +p19174 +tp19175 +a(g826 +g1040 +tp19176 +a(g157 +g1029 +tp19177 +a(g440 +V$pass +p19178 +tp19179 +a(g157 +g1029 +tp19180 +a(g826 +g1040 +tp19181 +a(g412 +g1010 +tp19182 +a(g826 +g1040 +tp19183 +a(g826 +Vdlopen +p19184 +tp19185 +a(g705 +g1164 +tp19186 +a(g826 +g1040 +tp19187 +a(g744 +Vthen +p19188 +tp19189 +a(g826 +V\u000a +p19190 +tp19191 +a(g8 +V# Collect dlpreopened libraries\u000a +p19192 +tp19193 +a(g826 +g2290 +tp19194 +a(g440 +Vsave_deplibs +p19195 +tp19196 +a(g412 +g1010 +tp19197 +a(g157 +g1029 +tp19198 +a(g440 +V$deplibs +p19199 +tp19200 +a(g157 +g1029 +tp19201 +a(g826 +V\u000a +p19202 +tp19203 +a(g440 +Vdeplibs +p19204 +tp19205 +a(g412 +g1010 +tp19206 +a(g826 +V\u000a +p19207 +tp19208 +a(g744 +Vfi +p19209 +tp19210 +a(g826 +V\u000a +p19211 +tp19212 +a(g744 +Vfor +p19213 +tp19214 +a(g826 +g1040 +tp19215 +a(g826 +Vdeplib +p19216 +tp19217 +a(g826 +g1040 +tp19218 +a(g826 +Vin +p19219 +tp19220 +a(g826 +g1040 +tp19221 +a(g440 +V$libs +p19222 +tp19223 +a(g705 +g1164 +tp19224 +a(g826 +g1040 +tp19225 +a(g744 +Vdo +p19226 +tp19227 +a(g826 +V\u000a +p19228 +tp19229 +a(g440 +Vlib +p19230 +tp19231 +a(g412 +g1010 +tp19232 +a(g826 +V\u000a +p19233 +tp19234 +a(g440 +Vfound +p19235 +tp19236 +a(g412 +g1010 +tp19237 +a(g826 +Vno +p19238 +tp19239 +a(g826 +V\u000a +p19240 +tp19241 +a(g744 +Vcase +p19242 +tp19243 +a(g826 +g1040 +tp19244 +a(g440 +V$deplib +p19245 +tp19246 +a(g826 +g1040 +tp19247 +a(g826 +Vin +p19248 +tp19249 +a(g826 +V\u000a +p19250 +tp19251 +a(g826 +V-mt +p19252 +tp19253 +a(g705 +g1075 +tp19254 +a(g826 +V-mthreads +p19255 +tp19256 +a(g705 +g1075 +tp19257 +a(g826 +V-kthread +p19258 +tp19259 +a(g705 +g1075 +tp19260 +a(g826 +V-Kthread +p19261 +tp19262 +a(g705 +g1075 +tp19263 +a(g826 +V-pthread +p19264 +tp19265 +a(g705 +g1075 +tp19266 +a(g826 +V-pthreads +p19267 +tp19268 +a(g705 +g1075 +tp19269 +a(g826 +V--thread-safe +p19270 +tp19271 +a(g412 +g1533 +tp19272 +a(g826 +V\u000a +p19273 +tp19274 +a(g744 +Vif +p19275 +tp19276 +a(g826 +g1040 +tp19277 +a(g681 +Vtest +p19278 +tp19279 +a(g826 +g1040 +tp19280 +a(g157 +g1029 +tp19281 +a(g440 +V$linkmode +p19282 +tp19283 +a(g157 +g19026 +tp19284 +a(g440 +V$pass +p19285 +tp19286 +a(g157 +g1029 +tp19287 +a(g826 +g1040 +tp19288 +a(g412 +g1010 +tp19289 +a(g826 +g1040 +tp19290 +a(g157 +V"prog,link" +p19291 +tp19292 +a(g705 +g1164 +tp19293 +a(g826 +g1040 +tp19294 +a(g744 +Vthen +p19295 +tp19296 +a(g826 +V\u000a +p19297 +tp19298 +a(g440 +Vcompile_deplibs +p19299 +tp19300 +a(g412 +g1010 +tp19301 +a(g157 +g1029 +tp19302 +a(g440 +V$deplib +p19303 +tp19304 +a(g157 +g1040 +tp19305 +a(g440 +V$compile_deplibs +p19306 +tp19307 +a(g157 +g1029 +tp19308 +a(g826 +V\u000a +p19309 +tp19310 +a(g440 +Vfinalize_deplibs +p19311 +tp19312 +a(g412 +g1010 +tp19313 +a(g157 +g1029 +tp19314 +a(g440 +V$deplib +p19315 +tp19316 +a(g157 +g1040 +tp19317 +a(g440 +V$finalize_deplibs +p19318 +tp19319 +a(g157 +g1029 +tp19320 +a(g826 +V\u000a +p19321 +tp19322 +a(g744 +Velse +p19323 +tp19324 +a(g826 +V\u000a +p19325 +tp19326 +a(g440 +Vcompiler_flags +p19327 +tp19328 +a(g412 +g1010 +tp19329 +a(g157 +g1029 +tp19330 +a(g440 +V$compiler_flags +p19331 +tp19332 +a(g157 +g1040 +tp19333 +a(g440 +V$deplib +p19334 +tp19335 +a(g157 +g1029 +tp19336 +a(g826 +V\u000a +p19337 +tp19338 +a(g744 +Vfi +p19339 +tp19340 +a(g826 +V\u000a +p19341 +tp19342 +a(g744 +Vcontinue +p19343 +tp19344 +a(g826 +V\u000a +p19345 +tp19346 +a(g705 +g1164 +tp19347 +a(g705 +g1164 +tp19348 +a(g826 +V\u000a +p19349 +tp19350 +a(g826 +V-l* +p19351 +tp19352 +a(g412 +g1533 +tp19353 +a(g826 +V\u000a +p19354 +tp19355 +a(g744 +Vif +p19356 +tp19357 +a(g826 +g1040 +tp19358 +a(g681 +Vtest +p19359 +tp19360 +a(g826 +g1040 +tp19361 +a(g157 +g1029 +tp19362 +a(g440 +V$linkmode +p19363 +tp19364 +a(g157 +g1029 +tp19365 +a(g826 +g1040 +tp19366 +a(g826 +g1738 +tp19367 +a(g412 +g1010 +tp19368 +a(g826 +g1040 +tp19369 +a(g826 +Vlib +p19370 +tp19371 +a(g826 +g1040 +tp19372 +a(g412 +V&& +p19373 +tp19374 +a(g826 +g1040 +tp19375 +a(g681 +Vtest +p19376 +tp19377 +a(g826 +g1040 +tp19378 +a(g157 +g1029 +tp19379 +a(g440 +V$linkmode +p19380 +tp19381 +a(g157 +g1029 +tp19382 +a(g826 +g1040 +tp19383 +a(g826 +g1738 +tp19384 +a(g412 +g1010 +tp19385 +a(g826 +g1040 +tp19386 +a(g826 +Vprog +p19387 +tp19388 +a(g705 +g1164 +tp19389 +a(g826 +g1040 +tp19390 +a(g744 +Vthen +p19391 +tp19392 +a(g826 +V\u000a +p19393 +tp19394 +a(g440 +V$echo +p19395 +tp19396 +a(g826 +g1040 +tp19397 +a(g157 +g1029 +tp19398 +a(g440 +V$modename +p19399 +tp19400 +a(g157 +V: warning: \u005c`-l' is ignored for archives/objects +p19401 +tp19402 +a(g157 +g1029 +tp19403 +a(g826 +g1040 +tp19404 +a(g32 +g1107 +tp19405 +a(g826 +g1778 +tp19406 +a(g705 +g1780 +tp19407 +a(g32 +g1782 +tp19408 +a(g826 +V\u000a +p19409 +tp19410 +a(g744 +Vcontinue +p19411 +tp19412 +a(g826 +V\u000a +p19413 +tp19414 +a(g744 +Vfi +p19415 +tp19416 +a(g826 +V\u000a +p19417 +tp19418 +a(g440 +Vname +p19419 +tp19420 +a(g412 +g1010 +tp19421 +a(g101 +g1065 +tp19422 +a(g440 +V$echo +p19423 +tp19424 +a(g826 +g1040 +tp19425 +a(g157 +g1029 +tp19426 +a(g157 +g1191 +tp19427 +a(g440 +V$deplib +p19428 +tp19429 +a(g157 +g1029 +tp19430 +a(g826 +g1040 +tp19431 +a(g705 +g1075 +tp19432 +a(g826 +g1040 +tp19433 +a(g440 +V$Xsed +p19434 +tp19435 +a(g826 +g1040 +tp19436 +a(g826 +V-e +p19437 +tp19438 +a(g826 +g1040 +tp19439 +a(g229 +V's/^-l//' +p19440 +tp19441 +a(g101 +g1065 +tp19442 +a(g826 +V\u000a +p19443 +tp19444 +a(g744 +Vfor +p19445 +tp19446 +a(g826 +g1040 +tp19447 +a(g826 +Vsearchdir +p19448 +tp19449 +a(g826 +g1040 +tp19450 +a(g826 +Vin +p19451 +tp19452 +a(g826 +g1040 +tp19453 +a(g440 +V$newlib_search_path +p19454 +tp19455 +a(g826 +g1040 +tp19456 +a(g440 +V$lib_search_path +p19457 +tp19458 +a(g826 +g1040 +tp19459 +a(g440 +V$sys_lib_search_path +p19460 +tp19461 +a(g826 +g1040 +tp19462 +a(g440 +V$shlib_search_path +p19463 +tp19464 +a(g705 +g1164 +tp19465 +a(g826 +g1040 +tp19466 +a(g744 +Vdo +p19467 +tp19468 +a(g826 +V\u000a +p19469 +tp19470 +a(g744 +Vfor +p19471 +tp19472 +a(g826 +g1040 +tp19473 +a(g826 +Vsearch_ext +p19474 +tp19475 +a(g826 +g1040 +tp19476 +a(g826 +Vin +p19477 +tp19478 +a(g826 +g1040 +tp19479 +a(g826 +V.la +p19480 +tp19481 +a(g826 +g1040 +tp19482 +a(g440 +V$std_shrext +p19483 +tp19484 +a(g826 +g1040 +tp19485 +a(g826 +V.so +p19486 +tp19487 +a(g826 +g1040 +tp19488 +a(g826 +V.a +p19489 +tp19490 +a(g705 +g1164 +tp19491 +a(g826 +g1040 +tp19492 +a(g744 +Vdo +p19493 +tp19494 +a(g826 +V\u000a +p19495 +tp19496 +a(g8 +V# Search the libtool library\u000a +p19497 +tp19498 +a(g826 +V +p19499 +tp19500 +a(g440 +Vlib +p19501 +tp19502 +a(g412 +g1010 +tp19503 +a(g157 +g1029 +tp19504 +a(g440 +V$searchdir +p19505 +tp19506 +a(g157 +V/lib +p19507 +tp19508 +a(g125 +V${ +p19509 +tp19510 +a(g440 +Vname +p19511 +tp19512 +a(g125 +g1160 +tp19513 +a(g125 +V${ +p19514 +tp19515 +a(g440 +Vsearch_ext +p19516 +tp19517 +a(g125 +g1160 +tp19518 +a(g157 +g1029 +tp19519 +a(g826 +V\u000a +p19520 +tp19521 +a(g744 +Vif +p19522 +tp19523 +a(g826 +g1040 +tp19524 +a(g681 +Vtest +p19525 +tp19526 +a(g826 +g1040 +tp19527 +a(g826 +V-f +p19528 +tp19529 +a(g826 +g1040 +tp19530 +a(g157 +g1029 +tp19531 +a(g440 +V$lib +p19532 +tp19533 +a(g157 +g1029 +tp19534 +a(g705 +g1164 +tp19535 +a(g826 +g1040 +tp19536 +a(g744 +Vthen +p19537 +tp19538 +a(g826 +V\u000a +p19539 +tp19540 +a(g744 +Vif +p19541 +tp19542 +a(g826 +g1040 +tp19543 +a(g681 +Vtest +p19544 +tp19545 +a(g826 +g1040 +tp19546 +a(g157 +g1029 +tp19547 +a(g440 +V$search_ext +p19548 +tp19549 +a(g157 +g1029 +tp19550 +a(g826 +g1040 +tp19551 +a(g412 +g1010 +tp19552 +a(g826 +g1040 +tp19553 +a(g157 +V".la" +p19554 +tp19555 +a(g705 +g1164 +tp19556 +a(g826 +g1040 +tp19557 +a(g744 +Vthen +p19558 +tp19559 +a(g826 +V\u000a +p19560 +tp19561 +a(g440 +Vfound +p19562 +tp19563 +a(g412 +g1010 +tp19564 +a(g826 +Vyes +p19565 +tp19566 +a(g826 +V\u000a +p19567 +tp19568 +a(g744 +Velse +p19569 +tp19570 +a(g826 +V\u000a +p19571 +tp19572 +a(g440 +Vfound +p19573 +tp19574 +a(g412 +g1010 +tp19575 +a(g826 +Vno +p19576 +tp19577 +a(g826 +V\u000a +p19578 +tp19579 +a(g744 +Vfi +p19580 +tp19581 +a(g826 +V\u000a +p19582 +tp19583 +a(g681 +Vbreak +p19584 +tp19585 +a(g826 +g1040 +tp19586 +a(g32 +g1782 +tp19587 +a(g826 +V\u000a +p19588 +tp19589 +a(g744 +Vfi +p19590 +tp19591 +a(g826 +V\u000a +p19592 +tp19593 +a(g744 +Vdone +p19594 +tp19595 +a(g826 +V\u000a +p19596 +tp19597 +a(g744 +Vdone +p19598 +tp19599 +a(g826 +V\u000a +p19600 +tp19601 +a(g744 +Vif +p19602 +tp19603 +a(g826 +g1040 +tp19604 +a(g681 +Vtest +p19605 +tp19606 +a(g826 +g1040 +tp19607 +a(g157 +g1029 +tp19608 +a(g440 +V$found +p19609 +tp19610 +a(g157 +g1029 +tp19611 +a(g826 +g1040 +tp19612 +a(g826 +g1738 +tp19613 +a(g412 +g1010 +tp19614 +a(g826 +g1040 +tp19615 +a(g826 +Vyes +p19616 +tp19617 +a(g705 +g1164 +tp19618 +a(g826 +g1040 +tp19619 +a(g744 +Vthen +p19620 +tp19621 +a(g826 +V\u000a +p19622 +tp19623 +a(g8 +V# deplib doesn't seem to be a libtool library\u000a +p19624 +tp19625 +a(g826 +V +p19626 +tp19627 +a(g744 +Vif +p19628 +tp19629 +a(g826 +g1040 +tp19630 +a(g681 +Vtest +p19631 +tp19632 +a(g826 +g1040 +tp19633 +a(g157 +g1029 +tp19634 +a(g440 +V$linkmode +p19635 +tp19636 +a(g157 +g19026 +tp19637 +a(g440 +V$pass +p19638 +tp19639 +a(g157 +g1029 +tp19640 +a(g826 +g1040 +tp19641 +a(g412 +g1010 +tp19642 +a(g826 +g1040 +tp19643 +a(g157 +V"prog,link" +p19644 +tp19645 +a(g705 +g1164 +tp19646 +a(g826 +g1040 +tp19647 +a(g744 +Vthen +p19648 +tp19649 +a(g826 +V\u000a +p19650 +tp19651 +a(g440 +Vcompile_deplibs +p19652 +tp19653 +a(g412 +g1010 +tp19654 +a(g157 +g1029 +tp19655 +a(g440 +V$deplib +p19656 +tp19657 +a(g157 +g1040 +tp19658 +a(g440 +V$compile_deplibs +p19659 +tp19660 +a(g157 +g1029 +tp19661 +a(g826 +V\u000a +p19662 +tp19663 +a(g440 +Vfinalize_deplibs +p19664 +tp19665 +a(g412 +g1010 +tp19666 +a(g157 +g1029 +tp19667 +a(g440 +V$deplib +p19668 +tp19669 +a(g157 +g1040 +tp19670 +a(g440 +V$finalize_deplibs +p19671 +tp19672 +a(g157 +g1029 +tp19673 +a(g826 +V\u000a +p19674 +tp19675 +a(g744 +Velse +p19676 +tp19677 +a(g826 +V\u000a +p19678 +tp19679 +a(g440 +Vdeplibs +p19680 +tp19681 +a(g412 +g1010 +tp19682 +a(g157 +g1029 +tp19683 +a(g440 +V$deplib +p19684 +tp19685 +a(g157 +g1040 +tp19686 +a(g440 +V$deplibs +p19687 +tp19688 +a(g157 +g1029 +tp19689 +a(g826 +V\u000a +p19690 +tp19691 +a(g681 +Vtest +p19692 +tp19693 +a(g826 +g1040 +tp19694 +a(g157 +g1029 +tp19695 +a(g440 +V$linkmode +p19696 +tp19697 +a(g157 +g1029 +tp19698 +a(g826 +g1040 +tp19699 +a(g412 +g1010 +tp19700 +a(g826 +g1040 +tp19701 +a(g826 +Vlib +p19702 +tp19703 +a(g826 +g1040 +tp19704 +a(g412 +V&& +p19705 +tp19706 +a(g826 +g1040 +tp19707 +a(g440 +Vnewdependency_libs +p19708 +tp19709 +a(g412 +g1010 +tp19710 +a(g157 +g1029 +tp19711 +a(g440 +V$deplib +p19712 +tp19713 +a(g157 +g1040 +tp19714 +a(g440 +V$newdependency_libs +p19715 +tp19716 +a(g157 +g1029 +tp19717 +a(g826 +V\u000a +p19718 +tp19719 +a(g744 +Vfi +p19720 +tp19721 +a(g826 +V\u000a +p19722 +tp19723 +a(g744 +Vcontinue +p19724 +tp19725 +a(g826 +V\u000a +p19726 +tp19727 +a(g744 +Velse +p19728 +tp19729 +a(g826 +g1040 +tp19730 +a(g8 +V# deplib is a libtool library\u000a +p19731 +tp19732 +a(g826 +V +p19733 +tp19734 +a(g8 +V# If $allow_libtool_libs_with_static_runtimes && $deplib is a stdlib,\u000a +p19735 +tp19736 +a(g826 +V +p19737 +tp19738 +a(g8 +V# We need to do some special things here, and not later.\u000a +p19739 +tp19740 +a(g826 +V +p19741 +tp19742 +a(g744 +Vif +p19743 +tp19744 +a(g826 +g1040 +tp19745 +a(g681 +Vtest +p19746 +tp19747 +a(g826 +g1040 +tp19748 +a(g157 +g1029 +tp19749 +a(g157 +g1191 +tp19750 +a(g440 +V$allow_libtool_libs_with_static_runtimes +p19751 +tp19752 +a(g157 +g1029 +tp19753 +a(g826 +g1040 +tp19754 +a(g412 +g1010 +tp19755 +a(g826 +g1040 +tp19756 +a(g157 +V"Xyes" +p19757 +tp19758 +a(g826 +g1040 +tp19759 +a(g705 +g1164 +tp19760 +a(g826 +g1040 +tp19761 +a(g744 +Vthen +p19762 +tp19763 +a(g826 +V\u000a +p19764 +tp19765 +a(g744 +Vcase +p19766 +tp19767 +a(g826 +g1040 +tp19768 +a(g157 +g1029 +tp19769 +a(g157 +g1040 +tp19770 +a(g440 +V$predeps +p19771 +tp19772 +a(g157 +g1040 +tp19773 +a(g440 +V$postdeps +p19774 +tp19775 +a(g157 +g1040 +tp19776 +a(g157 +g1029 +tp19777 +a(g826 +g1040 +tp19778 +a(g826 +Vin +p19779 +tp19780 +a(g826 +V\u000a +p19781 +tp19782 +a(g826 +g1562 +tp19783 +a(g157 +g1029 +tp19784 +a(g157 +g1040 +tp19785 +a(g440 +V$deplib +p19786 +tp19787 +a(g157 +g1040 +tp19788 +a(g157 +g1029 +tp19789 +a(g826 +g1562 +tp19790 +a(g412 +g1533 +tp19791 +a(g826 +V\u000a +p19792 +tp19793 +a(g744 +Vif +p19794 +tp19795 +a(g826 +g1040 +tp19796 +a(g412 +g1959 +tp19797 +a(g125 +V${ +p19798 +tp19799 +a(g440 +VSED +p19800 +tp19801 +a(g125 +g1160 +tp19802 +a(g826 +g1040 +tp19803 +a(g826 +V-e +p19804 +tp19805 +a(g826 +g1040 +tp19806 +a(g229 +V'2q' +p19807 +tp19808 +a(g826 +g1040 +tp19809 +a(g440 +V$lib +p19810 +tp19811 +a(g826 +g1040 +tp19812 +a(g705 +g1075 +tp19813 +a(g826 +V\u000a +p19814 +tp19815 +a(g826 +Vgrep +p19816 +tp19817 +a(g826 +g1040 +tp19818 +a(g157 +g1029 +tp19819 +a(g157 +V^# Generated by .* +p19820 +tp19821 +a(g440 +V$PACKAGE +p19822 +tp19823 +a(g157 +g1029 +tp19824 +a(g412 +g1533 +tp19825 +a(g826 +g1040 +tp19826 +a(g826 +V>/dev/null +p19827 +tp19828 +a(g826 +g1040 +tp19829 +a(g32 +g1782 +tp19830 +a(g826 +g1778 +tp19831 +a(g705 +g1780 +tp19832 +a(g32 +g1107 +tp19833 +a(g705 +g1164 +tp19834 +a(g826 +g1040 +tp19835 +a(g744 +Vthen +p19836 +tp19837 +a(g826 +V\u000a +p19838 +tp19839 +a(g440 +Vlibrary_names +p19840 +tp19841 +a(g412 +g1010 +tp19842 +a(g826 +V\u000a +p19843 +tp19844 +a(g440 +Vold_library +p19845 +tp19846 +a(g412 +g1010 +tp19847 +a(g826 +V\u000a +p19848 +tp19849 +a(g744 +Vcase +p19850 +tp19851 +a(g826 +g1040 +tp19852 +a(g440 +V$lib +p19853 +tp19854 +a(g826 +g1040 +tp19855 +a(g826 +Vin +p19856 +tp19857 +a(g826 +V\u000a +p19858 +tp19859 +a(g826 +V*/* +p19860 +tp19861 +a(g826 +g1040 +tp19862 +a(g705 +g1075 +tp19863 +a(g826 +g1040 +tp19864 +a(g826 +g1562 +tp19865 +a(g213 +V\u005c\u005c +p19866 +tp19867 +a(g826 +g1562 +tp19868 +a(g412 +g1533 +tp19869 +a(g826 +g1040 +tp19870 +a(g826 +g5058 +tp19871 +a(g826 +g1040 +tp19872 +a(g440 +V$lib +p19873 +tp19874 +a(g826 +g1040 +tp19875 +a(g705 +g1164 +tp19876 +a(g705 +g1164 +tp19877 +a(g826 +V\u000a +p19878 +tp19879 +a(g826 +g1562 +tp19880 +a(g412 +g1533 +tp19881 +a(g826 +g1040 +tp19882 +a(g826 +g5058 +tp19883 +a(g826 +g1040 +tp19884 +a(g826 +V./ +p19885 +tp19886 +a(g440 +V$lib +p19887 +tp19888 +a(g826 +g1040 +tp19889 +a(g705 +g1164 +tp19890 +a(g705 +g1164 +tp19891 +a(g826 +V\u000a +p19892 +tp19893 +a(g744 +Vesac +p19894 +tp19895 +a(g826 +V\u000a +p19896 +tp19897 +a(g744 +Vfor +p19898 +tp19899 +a(g826 +g1040 +tp19900 +a(g826 +Vl +p19901 +tp19902 +a(g826 +g1040 +tp19903 +a(g826 +Vin +p19904 +tp19905 +a(g826 +g1040 +tp19906 +a(g440 +V$old_library +p19907 +tp19908 +a(g826 +g1040 +tp19909 +a(g440 +V$library_names +p19910 +tp19911 +a(g705 +g1164 +tp19912 +a(g826 +g1040 +tp19913 +a(g744 +Vdo +p19914 +tp19915 +a(g826 +V\u000a +p19916 +tp19917 +a(g440 +Vll +p19918 +tp19919 +a(g412 +g1010 +tp19920 +a(g157 +g1029 +tp19921 +a(g440 +V$l +p19922 +tp19923 +a(g157 +g1029 +tp19924 +a(g826 +V\u000a +p19925 +tp19926 +a(g744 +Vdone +p19927 +tp19928 +a(g826 +V\u000a +p19929 +tp19930 +a(g744 +Vif +p19931 +tp19932 +a(g826 +g1040 +tp19933 +a(g681 +Vtest +p19934 +tp19935 +a(g826 +g1040 +tp19936 +a(g157 +g1029 +tp19937 +a(g157 +g1191 +tp19938 +a(g440 +V$ll +p19939 +tp19940 +a(g157 +g1029 +tp19941 +a(g826 +g1040 +tp19942 +a(g412 +g1010 +tp19943 +a(g826 +g1040 +tp19944 +a(g157 +g1029 +tp19945 +a(g157 +g1191 +tp19946 +a(g440 +V$old_library +p19947 +tp19948 +a(g157 +g1029 +tp19949 +a(g826 +g1040 +tp19950 +a(g705 +g1164 +tp19951 +a(g826 +g1040 +tp19952 +a(g744 +Vthen +p19953 +tp19954 +a(g826 +g1040 +tp19955 +a(g8 +V# only static version available\u000a +p19956 +tp19957 +a(g826 +V +p19958 +tp19959 +a(g440 +Vfound +p19960 +tp19961 +a(g412 +g1010 +tp19962 +a(g826 +Vno +p19963 +tp19964 +a(g826 +V\u000a +p19965 +tp19966 +a(g440 +Vladir +p19967 +tp19968 +a(g412 +g1010 +tp19969 +a(g101 +g1065 +tp19970 +a(g440 +V$echo +p19971 +tp19972 +a(g826 +g1040 +tp19973 +a(g157 +g1029 +tp19974 +a(g157 +g1191 +tp19975 +a(g440 +V$lib +p19976 +tp19977 +a(g157 +g1029 +tp19978 +a(g826 +g1040 +tp19979 +a(g705 +g1075 +tp19980 +a(g826 +g1040 +tp19981 +a(g440 +V$Xsed +p19982 +tp19983 +a(g826 +g1040 +tp19984 +a(g826 +V-e +p19985 +tp19986 +a(g826 +g1040 +tp19987 +a(g229 +V's%/[^/]*$%%' +p19988 +tp19989 +a(g101 +g1065 +tp19990 +a(g826 +V\u000a +p19991 +tp19992 +a(g681 +Vtest +p19993 +tp19994 +a(g826 +g1040 +tp19995 +a(g157 +g1029 +tp19996 +a(g157 +g1191 +tp19997 +a(g440 +V$ladir +p19998 +tp19999 +a(g157 +g1029 +tp20000 +a(g826 +g1040 +tp20001 +a(g412 +g1010 +tp20002 +a(g826 +g1040 +tp20003 +a(g157 +g1029 +tp20004 +a(g157 +g1191 +tp20005 +a(g440 +V$lib +p20006 +tp20007 +a(g157 +g1029 +tp20008 +a(g826 +g1040 +tp20009 +a(g412 +V&& +p20010 +tp20011 +a(g826 +g1040 +tp20012 +a(g440 +Vladir +p20013 +tp20014 +a(g412 +g1010 +tp20015 +a(g157 +V"." +p20016 +tp20017 +a(g826 +V\u000a +p20018 +tp20019 +a(g440 +Vlib +p20020 +tp20021 +a(g412 +g1010 +tp20022 +a(g440 +V$ladir +p20023 +tp20024 +a(g826 +g1886 +tp20025 +a(g440 +V$old_library +p20026 +tp20027 +a(g826 +V\u000a +p20028 +tp20029 +a(g744 +Vif +p20030 +tp20031 +a(g826 +g1040 +tp20032 +a(g681 +Vtest +p20033 +tp20034 +a(g826 +g1040 +tp20035 +a(g157 +g1029 +tp20036 +a(g440 +V$linkmode +p20037 +tp20038 +a(g157 +g19026 +tp20039 +a(g440 +V$pass +p20040 +tp20041 +a(g157 +g1029 +tp20042 +a(g826 +g1040 +tp20043 +a(g412 +g1010 +tp20044 +a(g826 +g1040 +tp20045 +a(g157 +V"prog,link" +p20046 +tp20047 +a(g705 +g1164 +tp20048 +a(g826 +g1040 +tp20049 +a(g744 +Vthen +p20050 +tp20051 +a(g826 +V\u000a +p20052 +tp20053 +a(g440 +Vcompile_deplibs +p20054 +tp20055 +a(g412 +g1010 +tp20056 +a(g157 +g1029 +tp20057 +a(g440 +V$deplib +p20058 +tp20059 +a(g157 +g1040 +tp20060 +a(g440 +V$compile_deplibs +p20061 +tp20062 +a(g157 +g1029 +tp20063 +a(g826 +V\u000a +p20064 +tp20065 +a(g440 +Vfinalize_deplibs +p20066 +tp20067 +a(g412 +g1010 +tp20068 +a(g157 +g1029 +tp20069 +a(g440 +V$deplib +p20070 +tp20071 +a(g157 +g1040 +tp20072 +a(g440 +V$finalize_deplibs +p20073 +tp20074 +a(g157 +g1029 +tp20075 +a(g826 +V\u000a +p20076 +tp20077 +a(g744 +Velse +p20078 +tp20079 +a(g826 +V\u000a +p20080 +tp20081 +a(g440 +Vdeplibs +p20082 +tp20083 +a(g412 +g1010 +tp20084 +a(g157 +g1029 +tp20085 +a(g440 +V$deplib +p20086 +tp20087 +a(g157 +g1040 +tp20088 +a(g440 +V$deplibs +p20089 +tp20090 +a(g157 +g1029 +tp20091 +a(g826 +V\u000a +p20092 +tp20093 +a(g681 +Vtest +p20094 +tp20095 +a(g826 +g1040 +tp20096 +a(g157 +g1029 +tp20097 +a(g440 +V$linkmode +p20098 +tp20099 +a(g157 +g1029 +tp20100 +a(g826 +g1040 +tp20101 +a(g412 +g1010 +tp20102 +a(g826 +g1040 +tp20103 +a(g826 +Vlib +p20104 +tp20105 +a(g826 +g1040 +tp20106 +a(g412 +V&& +p20107 +tp20108 +a(g826 +g1040 +tp20109 +a(g440 +Vnewdependency_libs +p20110 +tp20111 +a(g412 +g1010 +tp20112 +a(g157 +g1029 +tp20113 +a(g440 +V$deplib +p20114 +tp20115 +a(g157 +g1040 +tp20116 +a(g440 +V$newdependency_libs +p20117 +tp20118 +a(g157 +g1029 +tp20119 +a(g826 +V\u000a +p20120 +tp20121 +a(g744 +Vfi +p20122 +tp20123 +a(g826 +V\u000a +p20124 +tp20125 +a(g744 +Vcontinue +p20126 +tp20127 +a(g826 +V\u000a +p20128 +tp20129 +a(g744 +Vfi +p20130 +tp20131 +a(g826 +V\u000a +p20132 +tp20133 +a(g744 +Vfi +p20134 +tp20135 +a(g826 +V\u000a +p20136 +tp20137 +a(g705 +g1164 +tp20138 +a(g705 +g1164 +tp20139 +a(g826 +V\u000a +p20140 +tp20141 +a(g826 +g1562 +tp20142 +a(g412 +g1533 +tp20143 +a(g826 +g1040 +tp20144 +a(g705 +g1164 +tp20145 +a(g705 +g1164 +tp20146 +a(g826 +V\u000a +p20147 +tp20148 +a(g744 +Vesac +p20149 +tp20150 +a(g826 +V\u000a +p20151 +tp20152 +a(g744 +Vfi +p20153 +tp20154 +a(g826 +V\u000a +p20155 +tp20156 +a(g744 +Vfi +p20157 +tp20158 +a(g826 +V\u000a +p20159 +tp20160 +a(g705 +g1164 +tp20161 +a(g705 +g1164 +tp20162 +a(g826 +g1040 +tp20163 +a(g8 +V# -l\u000a +p20164 +tp20165 +a(g826 +g2290 +tp20166 +a(g826 +V-L* +p20167 +tp20168 +a(g412 +g1533 +tp20169 +a(g826 +V\u000a +p20170 +tp20171 +a(g744 +Vcase +p20172 +tp20173 +a(g826 +g1040 +tp20174 +a(g440 +V$linkmode +p20175 +tp20176 +a(g826 +g1040 +tp20177 +a(g826 +Vin +p20178 +tp20179 +a(g826 +V\u000a +p20180 +tp20181 +a(g826 +Vlib +p20182 +tp20183 +a(g412 +g1533 +tp20184 +a(g826 +V\u000a +p20185 +tp20186 +a(g440 +Vdeplibs +p20187 +tp20188 +a(g412 +g1010 +tp20189 +a(g157 +g1029 +tp20190 +a(g440 +V$deplib +p20191 +tp20192 +a(g157 +g1040 +tp20193 +a(g440 +V$deplibs +p20194 +tp20195 +a(g157 +g1029 +tp20196 +a(g826 +V\u000a +p20197 +tp20198 +a(g681 +Vtest +p20199 +tp20200 +a(g826 +g1040 +tp20201 +a(g157 +g1029 +tp20202 +a(g440 +V$pass +p20203 +tp20204 +a(g157 +g1029 +tp20205 +a(g826 +g1040 +tp20206 +a(g412 +g1010 +tp20207 +a(g826 +g1040 +tp20208 +a(g826 +Vconv +p20209 +tp20210 +a(g826 +g1040 +tp20211 +a(g412 +V&& +p20212 +tp20213 +a(g826 +g1040 +tp20214 +a(g744 +Vcontinue +p20215 +tp20216 +a(g826 +V\u000a +p20217 +tp20218 +a(g440 +Vnewdependency_libs +p20219 +tp20220 +a(g412 +g1010 +tp20221 +a(g157 +g1029 +tp20222 +a(g440 +V$deplib +p20223 +tp20224 +a(g157 +g1040 +tp20225 +a(g440 +V$newdependency_libs +p20226 +tp20227 +a(g157 +g1029 +tp20228 +a(g826 +V\u000a +p20229 +tp20230 +a(g440 +Vnewlib_search_path +p20231 +tp20232 +a(g412 +g1010 +tp20233 +a(g157 +g1029 +tp20234 +a(g440 +V$newlib_search_path +p20235 +tp20236 +a(g157 +g1040 +tp20237 +a(g157 +g1029 +tp20238 +a(g101 +g1065 +tp20239 +a(g440 +V$echo +p20240 +tp20241 +a(g826 +g1040 +tp20242 +a(g157 +g1029 +tp20243 +a(g157 +g1191 +tp20244 +a(g440 +V$deplib +p20245 +tp20246 +a(g157 +g1029 +tp20247 +a(g826 +g1040 +tp20248 +a(g705 +g1075 +tp20249 +a(g826 +g1040 +tp20250 +a(g440 +V$Xsed +p20251 +tp20252 +a(g826 +g1040 +tp20253 +a(g826 +V-e +p20254 +tp20255 +a(g826 +g1040 +tp20256 +a(g229 +V's/^-L//' +p20257 +tp20258 +a(g101 +g1065 +tp20259 +a(g826 +V\u000a +p20260 +tp20261 +a(g705 +g1164 +tp20262 +a(g705 +g1164 +tp20263 +a(g826 +V\u000a +p20264 +tp20265 +a(g826 +Vprog +p20266 +tp20267 +a(g412 +g1533 +tp20268 +a(g826 +V\u000a +p20269 +tp20270 +a(g744 +Vif +p20271 +tp20272 +a(g826 +g1040 +tp20273 +a(g681 +Vtest +p20274 +tp20275 +a(g826 +g1040 +tp20276 +a(g157 +g1029 +tp20277 +a(g440 +V$pass +p20278 +tp20279 +a(g157 +g1029 +tp20280 +a(g826 +g1040 +tp20281 +a(g412 +g1010 +tp20282 +a(g826 +g1040 +tp20283 +a(g826 +Vconv +p20284 +tp20285 +a(g705 +g1164 +tp20286 +a(g826 +g1040 +tp20287 +a(g744 +Vthen +p20288 +tp20289 +a(g826 +V\u000a +p20290 +tp20291 +a(g440 +Vdeplibs +p20292 +tp20293 +a(g412 +g1010 +tp20294 +a(g157 +g1029 +tp20295 +a(g440 +V$deplib +p20296 +tp20297 +a(g157 +g1040 +tp20298 +a(g440 +V$deplibs +p20299 +tp20300 +a(g157 +g1029 +tp20301 +a(g826 +V\u000a +p20302 +tp20303 +a(g744 +Vcontinue +p20304 +tp20305 +a(g826 +V\u000a +p20306 +tp20307 +a(g744 +Vfi +p20308 +tp20309 +a(g826 +V\u000a +p20310 +tp20311 +a(g744 +Vif +p20312 +tp20313 +a(g826 +g1040 +tp20314 +a(g681 +Vtest +p20315 +tp20316 +a(g826 +g1040 +tp20317 +a(g157 +g1029 +tp20318 +a(g440 +V$pass +p20319 +tp20320 +a(g157 +g1029 +tp20321 +a(g826 +g1040 +tp20322 +a(g412 +g1010 +tp20323 +a(g826 +g1040 +tp20324 +a(g826 +Vscan +p20325 +tp20326 +a(g705 +g1164 +tp20327 +a(g826 +g1040 +tp20328 +a(g744 +Vthen +p20329 +tp20330 +a(g826 +V\u000a +p20331 +tp20332 +a(g440 +Vdeplibs +p20333 +tp20334 +a(g412 +g1010 +tp20335 +a(g157 +g1029 +tp20336 +a(g440 +V$deplib +p20337 +tp20338 +a(g157 +g1040 +tp20339 +a(g440 +V$deplibs +p20340 +tp20341 +a(g157 +g1029 +tp20342 +a(g826 +V\u000a +p20343 +tp20344 +a(g744 +Velse +p20345 +tp20346 +a(g826 +V\u000a +p20347 +tp20348 +a(g440 +Vcompile_deplibs +p20349 +tp20350 +a(g412 +g1010 +tp20351 +a(g157 +g1029 +tp20352 +a(g440 +V$deplib +p20353 +tp20354 +a(g157 +g1040 +tp20355 +a(g440 +V$compile_deplibs +p20356 +tp20357 +a(g157 +g1029 +tp20358 +a(g826 +V\u000a +p20359 +tp20360 +a(g440 +Vfinalize_deplibs +p20361 +tp20362 +a(g412 +g1010 +tp20363 +a(g157 +g1029 +tp20364 +a(g440 +V$deplib +p20365 +tp20366 +a(g157 +g1040 +tp20367 +a(g440 +V$finalize_deplibs +p20368 +tp20369 +a(g157 +g1029 +tp20370 +a(g826 +V\u000a +p20371 +tp20372 +a(g744 +Vfi +p20373 +tp20374 +a(g826 +V\u000a +p20375 +tp20376 +a(g440 +Vnewlib_search_path +p20377 +tp20378 +a(g412 +g1010 +tp20379 +a(g157 +g1029 +tp20380 +a(g440 +V$newlib_search_path +p20381 +tp20382 +a(g157 +g1040 +tp20383 +a(g157 +g1029 +tp20384 +a(g101 +g1065 +tp20385 +a(g440 +V$echo +p20386 +tp20387 +a(g826 +g1040 +tp20388 +a(g157 +g1029 +tp20389 +a(g157 +g1191 +tp20390 +a(g440 +V$deplib +p20391 +tp20392 +a(g157 +g1029 +tp20393 +a(g826 +g1040 +tp20394 +a(g705 +g1075 +tp20395 +a(g826 +g1040 +tp20396 +a(g440 +V$Xsed +p20397 +tp20398 +a(g826 +g1040 +tp20399 +a(g826 +V-e +p20400 +tp20401 +a(g826 +g1040 +tp20402 +a(g229 +V's/^-L//' +p20403 +tp20404 +a(g101 +g1065 +tp20405 +a(g826 +V\u000a +p20406 +tp20407 +a(g705 +g1164 +tp20408 +a(g705 +g1164 +tp20409 +a(g826 +V\u000a +p20410 +tp20411 +a(g826 +g1562 +tp20412 +a(g412 +g1533 +tp20413 +a(g826 +V\u000a +p20414 +tp20415 +a(g440 +V$echo +p20416 +tp20417 +a(g826 +g1040 +tp20418 +a(g157 +g1029 +tp20419 +a(g440 +V$modename +p20420 +tp20421 +a(g157 +V: warning: \u005c`-L' is ignored for archives/objects +p20422 +tp20423 +a(g157 +g1029 +tp20424 +a(g826 +g1040 +tp20425 +a(g32 +g1107 +tp20426 +a(g826 +g1778 +tp20427 +a(g705 +g1780 +tp20428 +a(g32 +g1782 +tp20429 +a(g826 +V\u000a +p20430 +tp20431 +a(g705 +g1164 +tp20432 +a(g705 +g1164 +tp20433 +a(g826 +V\u000a +p20434 +tp20435 +a(g744 +Vesac +p20436 +tp20437 +a(g826 +g1040 +tp20438 +a(g8 +V# linkmode\u000a +p20439 +tp20440 +a(g826 +V +p20441 +tp20442 +a(g744 +Vcontinue +p20443 +tp20444 +a(g826 +V\u000a +p20445 +tp20446 +a(g705 +g1164 +tp20447 +a(g705 +g1164 +tp20448 +a(g826 +g1040 +tp20449 +a(g8 +V# -L\u000a +p20450 +tp20451 +a(g826 +g2290 +tp20452 +a(g826 +V-R* +p20453 +tp20454 +a(g412 +g1533 +tp20455 +a(g826 +V\u000a +p20456 +tp20457 +a(g744 +Vif +p20458 +tp20459 +a(g826 +g1040 +tp20460 +a(g681 +Vtest +p20461 +tp20462 +a(g826 +g1040 +tp20463 +a(g157 +g1029 +tp20464 +a(g440 +V$pass +p20465 +tp20466 +a(g157 +g1029 +tp20467 +a(g826 +g1040 +tp20468 +a(g412 +g1010 +tp20469 +a(g826 +g1040 +tp20470 +a(g826 +Vlink +p20471 +tp20472 +a(g705 +g1164 +tp20473 +a(g826 +g1040 +tp20474 +a(g744 +Vthen +p20475 +tp20476 +a(g826 +V\u000a +p20477 +tp20478 +a(g440 +Vdir +p20479 +tp20480 +a(g412 +g1010 +tp20481 +a(g101 +g1065 +tp20482 +a(g440 +V$echo +p20483 +tp20484 +a(g826 +g1040 +tp20485 +a(g157 +g1029 +tp20486 +a(g157 +g1191 +tp20487 +a(g440 +V$deplib +p20488 +tp20489 +a(g157 +g1029 +tp20490 +a(g826 +g1040 +tp20491 +a(g705 +g1075 +tp20492 +a(g826 +g1040 +tp20493 +a(g440 +V$Xsed +p20494 +tp20495 +a(g826 +g1040 +tp20496 +a(g826 +V-e +p20497 +tp20498 +a(g826 +g1040 +tp20499 +a(g229 +V's/^-R//' +p20500 +tp20501 +a(g101 +g1065 +tp20502 +a(g826 +V\u000a +p20503 +tp20504 +a(g8 +V# Make sure the xrpath contains only unique directories.\u000a +p20505 +tp20506 +a(g826 +V +p20507 +tp20508 +a(g744 +Vcase +p20509 +tp20510 +a(g826 +g1040 +tp20511 +a(g157 +g1029 +tp20512 +a(g440 +V$xrpath +p20513 +tp20514 +a(g157 +g1040 +tp20515 +a(g157 +g1029 +tp20516 +a(g826 +g1040 +tp20517 +a(g826 +Vin +p20518 +tp20519 +a(g826 +V\u000a +p20520 +tp20521 +a(g826 +g1562 +tp20522 +a(g157 +g1029 +tp20523 +a(g157 +g1040 +tp20524 +a(g440 +V$dir +p20525 +tp20526 +a(g157 +g1040 +tp20527 +a(g157 +g1029 +tp20528 +a(g826 +g1562 +tp20529 +a(g412 +g1533 +tp20530 +a(g826 +g1040 +tp20531 +a(g705 +g1164 +tp20532 +a(g705 +g1164 +tp20533 +a(g826 +V\u000a +p20534 +tp20535 +a(g826 +g1562 +tp20536 +a(g412 +g1533 +tp20537 +a(g826 +g1040 +tp20538 +a(g440 +Vxrpath +p20539 +tp20540 +a(g412 +g1010 +tp20541 +a(g157 +g1029 +tp20542 +a(g440 +V$xrpath +p20543 +tp20544 +a(g157 +g1040 +tp20545 +a(g440 +V$dir +p20546 +tp20547 +a(g157 +g1029 +tp20548 +a(g826 +g1040 +tp20549 +a(g705 +g1164 +tp20550 +a(g705 +g1164 +tp20551 +a(g826 +V\u000a +p20552 +tp20553 +a(g744 +Vesac +p20554 +tp20555 +a(g826 +V\u000a +p20556 +tp20557 +a(g744 +Vfi +p20558 +tp20559 +a(g826 +V\u000a +p20560 +tp20561 +a(g440 +Vdeplibs +p20562 +tp20563 +a(g412 +g1010 +tp20564 +a(g157 +g1029 +tp20565 +a(g440 +V$deplib +p20566 +tp20567 +a(g157 +g1040 +tp20568 +a(g440 +V$deplibs +p20569 +tp20570 +a(g157 +g1029 +tp20571 +a(g826 +V\u000a +p20572 +tp20573 +a(g744 +Vcontinue +p20574 +tp20575 +a(g826 +V\u000a +p20576 +tp20577 +a(g705 +g1164 +tp20578 +a(g705 +g1164 +tp20579 +a(g826 +V\u000a +p20580 +tp20581 +a(g826 +V*.la +p20582 +tp20583 +a(g412 +g1533 +tp20584 +a(g826 +g1040 +tp20585 +a(g440 +Vlib +p20586 +tp20587 +a(g412 +g1010 +tp20588 +a(g157 +g1029 +tp20589 +a(g440 +V$deplib +p20590 +tp20591 +a(g157 +g1029 +tp20592 +a(g826 +g1040 +tp20593 +a(g705 +g1164 +tp20594 +a(g705 +g1164 +tp20595 +a(g826 +V\u000a +p20596 +tp20597 +a(g826 +V*. +p20598 +tp20599 +a(g440 +V$libext +p20600 +tp20601 +a(g412 +g1533 +tp20602 +a(g826 +V\u000a +p20603 +tp20604 +a(g744 +Vif +p20605 +tp20606 +a(g826 +g1040 +tp20607 +a(g681 +Vtest +p20608 +tp20609 +a(g826 +g1040 +tp20610 +a(g157 +g1029 +tp20611 +a(g440 +V$pass +p20612 +tp20613 +a(g157 +g1029 +tp20614 +a(g826 +g1040 +tp20615 +a(g412 +g1010 +tp20616 +a(g826 +g1040 +tp20617 +a(g826 +Vconv +p20618 +tp20619 +a(g705 +g1164 +tp20620 +a(g826 +g1040 +tp20621 +a(g744 +Vthen +p20622 +tp20623 +a(g826 +V\u000a +p20624 +tp20625 +a(g440 +Vdeplibs +p20626 +tp20627 +a(g412 +g1010 +tp20628 +a(g157 +g1029 +tp20629 +a(g440 +V$deplib +p20630 +tp20631 +a(g157 +g1040 +tp20632 +a(g440 +V$deplibs +p20633 +tp20634 +a(g157 +g1029 +tp20635 +a(g826 +V\u000a +p20636 +tp20637 +a(g744 +Vcontinue +p20638 +tp20639 +a(g826 +V\u000a +p20640 +tp20641 +a(g744 +Vfi +p20642 +tp20643 +a(g826 +V\u000a +p20644 +tp20645 +a(g744 +Vcase +p20646 +tp20647 +a(g826 +g1040 +tp20648 +a(g440 +V$linkmode +p20649 +tp20650 +a(g826 +g1040 +tp20651 +a(g826 +Vin +p20652 +tp20653 +a(g826 +V\u000a +p20654 +tp20655 +a(g826 +Vlib +p20656 +tp20657 +a(g412 +g1533 +tp20658 +a(g826 +V\u000a +p20659 +tp20660 +a(g440 +Vvalid_a_lib +p20661 +tp20662 +a(g412 +g1010 +tp20663 +a(g826 +Vno +p20664 +tp20665 +a(g826 +V\u000a +p20666 +tp20667 +a(g744 +Vcase +p20668 +tp20669 +a(g826 +g1040 +tp20670 +a(g440 +V$deplibs_check_method +p20671 +tp20672 +a(g826 +g1040 +tp20673 +a(g826 +Vin +p20674 +tp20675 +a(g826 +V\u000a +p20676 +tp20677 +a(g826 +Vmatch_pattern* +p20678 +tp20679 +a(g412 +g1533 +tp20680 +a(g826 +V\u000a +p20681 +tp20682 +a(g681 +Vset +p20683 +tp20684 +a(g826 +g1040 +tp20685 +a(g826 +Vdummy +p20686 +tp20687 +a(g826 +g1040 +tp20688 +a(g440 +V$deplibs_check_method +p20689 +tp20690 +a(g826 +V\u000a +p20691 +tp20692 +a(g440 +Vmatch_pattern_regex +p20693 +tp20694 +a(g412 +g1010 +tp20695 +a(g101 +g1065 +tp20696 +a(g826 +Vexpr +p20697 +tp20698 +a(g826 +g1040 +tp20699 +a(g157 +g1029 +tp20700 +a(g440 +V$deplibs_check_method +p20701 +tp20702 +a(g157 +g1029 +tp20703 +a(g826 +g1040 +tp20704 +a(g826 +g1314 +tp20705 +a(g826 +g1040 +tp20706 +a(g157 +g1029 +tp20707 +a(g440 +V$2 +p20708 +tp20709 +a(g157 +V \u005c(.*\u005c) +p20710 +tp20711 +a(g157 +g1029 +tp20712 +a(g101 +g1065 +tp20713 +a(g826 +V\u000a +p20714 +tp20715 +a(g744 +Vif +p20716 +tp20717 +a(g826 +g1040 +tp20718 +a(g681 +Veval +p20719 +tp20720 +a(g826 +g1040 +tp20721 +a(g440 +V$echo +p20722 +tp20723 +a(g826 +g1040 +tp20724 +a(g213 +V\u005c" +p20725 +tp20726 +a(g440 +V$deplib +p20727 +tp20728 +a(g213 +V\u005c" +p20729 +tp20730 +a(g826 +g1040 +tp20731 +a(g32 +g1782 +tp20732 +a(g826 +V>/dev/null +p20733 +tp20734 +a(g826 +g1040 +tp20735 +a(g213 +V\u005c\u000a +p20736 +tp20737 +a(g826 +V +p20738 +tp20739 +a(g705 +g1075 +tp20740 +a(g826 +g1040 +tp20741 +a(g440 +V$SED +p20742 +tp20743 +a(g826 +g1040 +tp20744 +a(g826 +V10q +p20745 +tp20746 +a(g826 +g1040 +tp20747 +a(g213 +V\u005c\u000a +p20748 +tp20749 +a(g826 +V +p20750 +tp20751 +a(g705 +g1075 +tp20752 +a(g826 +g1040 +tp20753 +a(g440 +V$EGREP +p20754 +tp20755 +a(g826 +g1040 +tp20756 +a(g157 +g1029 +tp20757 +a(g440 +V$match_pattern_regex +p20758 +tp20759 +a(g157 +g1029 +tp20760 +a(g826 +g1040 +tp20761 +a(g826 +g1778 +tp20762 +a(g826 +g1040 +tp20763 +a(g826 +V/dev/null +p20764 +tp20765 +a(g705 +g1164 +tp20766 +a(g826 +g1040 +tp20767 +a(g744 +Vthen +p20768 +tp20769 +a(g826 +V\u000a +p20770 +tp20771 +a(g440 +Vvalid_a_lib +p20772 +tp20773 +a(g412 +g1010 +tp20774 +a(g826 +Vyes +p20775 +tp20776 +a(g826 +V\u000a +p20777 +tp20778 +a(g744 +Vfi +p20779 +tp20780 +a(g826 +V\u000a +p20781 +tp20782 +a(g705 +g1164 +tp20783 +a(g705 +g1164 +tp20784 +a(g826 +V\u000a +p20785 +tp20786 +a(g826 +Vpass_all +p20787 +tp20788 +a(g412 +g1533 +tp20789 +a(g826 +V\u000a +p20790 +tp20791 +a(g440 +Vvalid_a_lib +p20792 +tp20793 +a(g412 +g1010 +tp20794 +a(g826 +Vyes +p20795 +tp20796 +a(g826 +V\u000a +p20797 +tp20798 +a(g705 +g1164 +tp20799 +a(g705 +g1164 +tp20800 +a(g826 +V\u000a +p20801 +tp20802 +a(g744 +Vesac +p20803 +tp20804 +a(g826 +V\u000a +p20805 +tp20806 +a(g744 +Vif +p20807 +tp20808 +a(g826 +g1040 +tp20809 +a(g681 +Vtest +p20810 +tp20811 +a(g826 +g1040 +tp20812 +a(g157 +g1029 +tp20813 +a(g440 +V$valid_a_lib +p20814 +tp20815 +a(g157 +g1029 +tp20816 +a(g826 +g1040 +tp20817 +a(g826 +g1738 +tp20818 +a(g412 +g1010 +tp20819 +a(g826 +g1040 +tp20820 +a(g826 +Vyes +p20821 +tp20822 +a(g705 +g1164 +tp20823 +a(g826 +g1040 +tp20824 +a(g744 +Vthen +p20825 +tp20826 +a(g826 +V\u000a +p20827 +tp20828 +a(g440 +V$echo +p20829 +tp20830 +a(g826 +V\u000a +p20831 +tp20832 +a(g440 +V$echo +p20833 +tp20834 +a(g826 +g1040 +tp20835 +a(g157 +g1029 +tp20836 +a(g157 +V*** Warning: Trying to link with static lib archive +p20837 +tp20838 +a(g440 +V$deplib +p20839 +tp20840 +a(g157 +g5058 +tp20841 +a(g157 +g1029 +tp20842 +a(g826 +V\u000a +p20843 +tp20844 +a(g440 +V$echo +p20845 +tp20846 +a(g826 +g1040 +tp20847 +a(g157 +V"*** I have the capability to make that library automatically link in when" +p20848 +tp20849 +a(g826 +V\u000a +p20850 +tp20851 +a(g440 +V$echo +p20852 +tp20853 +a(g826 +g1040 +tp20854 +a(g157 +V"*** you link to this library. But I can only do this if you have a" +p20855 +tp20856 +a(g826 +V\u000a +p20857 +tp20858 +a(g440 +V$echo +p20859 +tp20860 +a(g826 +g1040 +tp20861 +a(g157 +V"*** shared version of the library, which you do not appear to have" +p20862 +tp20863 +a(g826 +V\u000a +p20864 +tp20865 +a(g440 +V$echo +p20866 +tp20867 +a(g826 +g1040 +tp20868 +a(g157 +g1029 +tp20869 +a(g157 +V*** because the file extensions . +p20870 +tp20871 +a(g440 +V$libext +p20872 +tp20873 +a(g157 +V of this argument makes me believe +p20874 +tp20875 +a(g157 +g1029 +tp20876 +a(g826 +V\u000a +p20877 +tp20878 +a(g440 +V$echo +p20879 +tp20880 +a(g826 +g1040 +tp20881 +a(g157 +V"*** that it is just a static archive that I should not used here." +p20882 +tp20883 +a(g826 +V\u000a +p20884 +tp20885 +a(g744 +Velse +p20886 +tp20887 +a(g826 +V\u000a +p20888 +tp20889 +a(g440 +V$echo +p20890 +tp20891 +a(g826 +V\u000a +p20892 +tp20893 +a(g440 +V$echo +p20894 +tp20895 +a(g826 +g1040 +tp20896 +a(g157 +g1029 +tp20897 +a(g157 +V*** Warning: Linking the shared library +p20898 +tp20899 +a(g440 +V$output +p20900 +tp20901 +a(g157 +V against the +p20902 +tp20903 +a(g157 +g1029 +tp20904 +a(g826 +V\u000a +p20905 +tp20906 +a(g440 +V$echo +p20907 +tp20908 +a(g826 +g1040 +tp20909 +a(g157 +g1029 +tp20910 +a(g157 +V*** static library +p20911 +tp20912 +a(g440 +V$deplib +p20913 +tp20914 +a(g157 +V is not portable! +p20915 +tp20916 +a(g157 +g1029 +tp20917 +a(g826 +V\u000a +p20918 +tp20919 +a(g440 +Vdeplibs +p20920 +tp20921 +a(g412 +g1010 +tp20922 +a(g157 +g1029 +tp20923 +a(g440 +V$deplib +p20924 +tp20925 +a(g157 +g1040 +tp20926 +a(g440 +V$deplibs +p20927 +tp20928 +a(g157 +g1029 +tp20929 +a(g826 +V\u000a +p20930 +tp20931 +a(g744 +Vfi +p20932 +tp20933 +a(g826 +V\u000a +p20934 +tp20935 +a(g744 +Vcontinue +p20936 +tp20937 +a(g826 +V\u000a +p20938 +tp20939 +a(g705 +g1164 +tp20940 +a(g705 +g1164 +tp20941 +a(g826 +V\u000a +p20942 +tp20943 +a(g826 +Vprog +p20944 +tp20945 +a(g412 +g1533 +tp20946 +a(g826 +V\u000a +p20947 +tp20948 +a(g744 +Vif +p20949 +tp20950 +a(g826 +g1040 +tp20951 +a(g681 +Vtest +p20952 +tp20953 +a(g826 +g1040 +tp20954 +a(g157 +g1029 +tp20955 +a(g440 +V$pass +p20956 +tp20957 +a(g157 +g1029 +tp20958 +a(g826 +g1040 +tp20959 +a(g826 +g1738 +tp20960 +a(g412 +g1010 +tp20961 +a(g826 +g1040 +tp20962 +a(g826 +Vlink +p20963 +tp20964 +a(g705 +g1164 +tp20965 +a(g826 +g1040 +tp20966 +a(g744 +Vthen +p20967 +tp20968 +a(g826 +V\u000a +p20969 +tp20970 +a(g440 +Vdeplibs +p20971 +tp20972 +a(g412 +g1010 +tp20973 +a(g157 +g1029 +tp20974 +a(g440 +V$deplib +p20975 +tp20976 +a(g157 +g1040 +tp20977 +a(g440 +V$deplibs +p20978 +tp20979 +a(g157 +g1029 +tp20980 +a(g826 +V\u000a +p20981 +tp20982 +a(g744 +Velse +p20983 +tp20984 +a(g826 +V\u000a +p20985 +tp20986 +a(g440 +Vcompile_deplibs +p20987 +tp20988 +a(g412 +g1010 +tp20989 +a(g157 +g1029 +tp20990 +a(g440 +V$deplib +p20991 +tp20992 +a(g157 +g1040 +tp20993 +a(g440 +V$compile_deplibs +p20994 +tp20995 +a(g157 +g1029 +tp20996 +a(g826 +V\u000a +p20997 +tp20998 +a(g440 +Vfinalize_deplibs +p20999 +tp21000 +a(g412 +g1010 +tp21001 +a(g157 +g1029 +tp21002 +a(g440 +V$deplib +p21003 +tp21004 +a(g157 +g1040 +tp21005 +a(g440 +V$finalize_deplibs +p21006 +tp21007 +a(g157 +g1029 +tp21008 +a(g826 +V\u000a +p21009 +tp21010 +a(g744 +Vfi +p21011 +tp21012 +a(g826 +V\u000a +p21013 +tp21014 +a(g744 +Vcontinue +p21015 +tp21016 +a(g826 +V\u000a +p21017 +tp21018 +a(g705 +g1164 +tp21019 +a(g705 +g1164 +tp21020 +a(g826 +V\u000a +p21021 +tp21022 +a(g744 +Vesac +p21023 +tp21024 +a(g826 +g1040 +tp21025 +a(g8 +V# linkmode\u000a +p21026 +tp21027 +a(g826 +V +p21028 +tp21029 +a(g705 +g1164 +tp21030 +a(g705 +g1164 +tp21031 +a(g826 +g1040 +tp21032 +a(g8 +V# *.$libext\u000a +p21033 +tp21034 +a(g826 +g2290 +tp21035 +a(g826 +V*.lo +p21036 +tp21037 +a(g826 +g1040 +tp21038 +a(g705 +g1075 +tp21039 +a(g826 +g1040 +tp21040 +a(g826 +V*. +p21041 +tp21042 +a(g440 +V$objext +p21043 +tp21044 +a(g412 +g1533 +tp21045 +a(g826 +V\u000a +p21046 +tp21047 +a(g744 +Vif +p21048 +tp21049 +a(g826 +g1040 +tp21050 +a(g681 +Vtest +p21051 +tp21052 +a(g826 +g1040 +tp21053 +a(g157 +g1029 +tp21054 +a(g440 +V$pass +p21055 +tp21056 +a(g157 +g1029 +tp21057 +a(g826 +g1040 +tp21058 +a(g412 +g1010 +tp21059 +a(g826 +g1040 +tp21060 +a(g826 +Vconv +p21061 +tp21062 +a(g705 +g1164 +tp21063 +a(g826 +g1040 +tp21064 +a(g744 +Vthen +p21065 +tp21066 +a(g826 +V\u000a +p21067 +tp21068 +a(g440 +Vdeplibs +p21069 +tp21070 +a(g412 +g1010 +tp21071 +a(g157 +g1029 +tp21072 +a(g440 +V$deplib +p21073 +tp21074 +a(g157 +g1040 +tp21075 +a(g440 +V$deplibs +p21076 +tp21077 +a(g157 +g1029 +tp21078 +a(g826 +V\u000a +p21079 +tp21080 +a(g744 +Velif +p21081 +tp21082 +a(g826 +g1040 +tp21083 +a(g681 +Vtest +p21084 +tp21085 +a(g826 +g1040 +tp21086 +a(g157 +g1029 +tp21087 +a(g440 +V$linkmode +p21088 +tp21089 +a(g157 +g1029 +tp21090 +a(g826 +g1040 +tp21091 +a(g412 +g1010 +tp21092 +a(g826 +g1040 +tp21093 +a(g826 +Vprog +p21094 +tp21095 +a(g705 +g1164 +tp21096 +a(g826 +g1040 +tp21097 +a(g744 +Vthen +p21098 +tp21099 +a(g826 +V\u000a +p21100 +tp21101 +a(g744 +Vif +p21102 +tp21103 +a(g826 +g1040 +tp21104 +a(g681 +Vtest +p21105 +tp21106 +a(g826 +g1040 +tp21107 +a(g157 +g1029 +tp21108 +a(g440 +V$pass +p21109 +tp21110 +a(g157 +g1029 +tp21111 +a(g826 +g1040 +tp21112 +a(g412 +g1010 +tp21113 +a(g826 +g1040 +tp21114 +a(g826 +Vdlpreopen +p21115 +tp21116 +a(g826 +g1040 +tp21117 +a(g412 +V|| +p21118 +tp21119 +a(g826 +g1040 +tp21120 +a(g681 +Vtest +p21121 +tp21122 +a(g826 +g1040 +tp21123 +a(g157 +g1029 +tp21124 +a(g440 +V$dlopen_support +p21125 +tp21126 +a(g157 +g1029 +tp21127 +a(g826 +g1040 +tp21128 +a(g826 +g1738 +tp21129 +a(g412 +g1010 +tp21130 +a(g826 +g1040 +tp21131 +a(g826 +Vyes +p21132 +tp21133 +a(g826 +g1040 +tp21134 +a(g412 +V|| +p21135 +tp21136 +a(g826 +g1040 +tp21137 +a(g681 +Vtest +p21138 +tp21139 +a(g826 +g1040 +tp21140 +a(g157 +g1029 +tp21141 +a(g440 +V$build_libtool_libs +p21142 +tp21143 +a(g157 +g1029 +tp21144 +a(g826 +g1040 +tp21145 +a(g412 +g1010 +tp21146 +a(g826 +g1040 +tp21147 +a(g826 +Vno +p21148 +tp21149 +a(g705 +g1164 +tp21150 +a(g826 +g1040 +tp21151 +a(g744 +Vthen +p21152 +tp21153 +a(g826 +V\u000a +p21154 +tp21155 +a(g8 +V# If there is no dlopen support or we're linking statically,\u000a +p21156 +tp21157 +a(g826 +V +p21158 +tp21159 +a(g8 +V# we need to preload.\u000a +p21160 +tp21161 +a(g826 +V +p21162 +tp21163 +a(g440 +Vnewdlprefiles +p21164 +tp21165 +a(g412 +g1010 +tp21166 +a(g157 +g1029 +tp21167 +a(g440 +V$newdlprefiles +p21168 +tp21169 +a(g157 +g1040 +tp21170 +a(g440 +V$deplib +p21171 +tp21172 +a(g157 +g1029 +tp21173 +a(g826 +V\u000a +p21174 +tp21175 +a(g440 +Vcompile_deplibs +p21176 +tp21177 +a(g412 +g1010 +tp21178 +a(g157 +g1029 +tp21179 +a(g440 +V$deplib +p21180 +tp21181 +a(g157 +g1040 +tp21182 +a(g440 +V$compile_deplibs +p21183 +tp21184 +a(g157 +g1029 +tp21185 +a(g826 +V\u000a +p21186 +tp21187 +a(g440 +Vfinalize_deplibs +p21188 +tp21189 +a(g412 +g1010 +tp21190 +a(g157 +g1029 +tp21191 +a(g440 +V$deplib +p21192 +tp21193 +a(g157 +g1040 +tp21194 +a(g440 +V$finalize_deplibs +p21195 +tp21196 +a(g157 +g1029 +tp21197 +a(g826 +V\u000a +p21198 +tp21199 +a(g744 +Velse +p21200 +tp21201 +a(g826 +V\u000a +p21202 +tp21203 +a(g440 +Vnewdlfiles +p21204 +tp21205 +a(g412 +g1010 +tp21206 +a(g157 +g1029 +tp21207 +a(g440 +V$newdlfiles +p21208 +tp21209 +a(g157 +g1040 +tp21210 +a(g440 +V$deplib +p21211 +tp21212 +a(g157 +g1029 +tp21213 +a(g826 +V\u000a +p21214 +tp21215 +a(g744 +Vfi +p21216 +tp21217 +a(g826 +V\u000a +p21218 +tp21219 +a(g744 +Vfi +p21220 +tp21221 +a(g826 +V\u000a +p21222 +tp21223 +a(g744 +Vcontinue +p21224 +tp21225 +a(g826 +V\u000a +p21226 +tp21227 +a(g705 +g1164 +tp21228 +a(g705 +g1164 +tp21229 +a(g826 +V\u000a +p21230 +tp21231 +a(g826 +V%DEPLIBS% +p21232 +tp21233 +a(g412 +g1533 +tp21234 +a(g826 +V\u000a +p21235 +tp21236 +a(g440 +Valldeplibs +p21237 +tp21238 +a(g412 +g1010 +tp21239 +a(g826 +Vyes +p21240 +tp21241 +a(g826 +V\u000a +p21242 +tp21243 +a(g744 +Vcontinue +p21244 +tp21245 +a(g826 +V\u000a +p21246 +tp21247 +a(g705 +g1164 +tp21248 +a(g705 +g1164 +tp21249 +a(g826 +V\u000a +p21250 +tp21251 +a(g744 +Vesac +p21252 +tp21253 +a(g826 +g1040 +tp21254 +a(g8 +V# case $deplib\u000a +p21255 +tp21256 +a(g826 +g2290 +tp21257 +a(g744 +Vif +p21258 +tp21259 +a(g826 +g1040 +tp21260 +a(g681 +Vtest +p21261 +tp21262 +a(g826 +g1040 +tp21263 +a(g157 +g1029 +tp21264 +a(g440 +V$found +p21265 +tp21266 +a(g157 +g1029 +tp21267 +a(g826 +g1040 +tp21268 +a(g412 +g1010 +tp21269 +a(g826 +g1040 +tp21270 +a(g826 +Vyes +p21271 +tp21272 +a(g826 +g1040 +tp21273 +a(g412 +V|| +p21274 +tp21275 +a(g826 +g1040 +tp21276 +a(g681 +Vtest +p21277 +tp21278 +a(g826 +g1040 +tp21279 +a(g826 +V-f +p21280 +tp21281 +a(g826 +g1040 +tp21282 +a(g157 +g1029 +tp21283 +a(g440 +V$lib +p21284 +tp21285 +a(g157 +g1029 +tp21286 +a(g705 +g1164 +tp21287 +a(g826 +g1040 +tp21288 +a(g744 +Vthen +p21289 +tp21290 +a(g826 +g1040 +tp21291 +a(g826 +g1314 +tp21292 +a(g826 +V\u000a +p21293 +tp21294 +a(g744 +Velse +p21295 +tp21296 +a(g826 +V\u000a +p21297 +tp21298 +a(g440 +V$echo +p21299 +tp21300 +a(g826 +g1040 +tp21301 +a(g157 +g1029 +tp21302 +a(g440 +V$modename +p21303 +tp21304 +a(g157 +V: cannot find the library \u005c` +p21305 +tp21306 +a(g440 +V$lib +p21307 +tp21308 +a(g157 +V' or unhandled argument \u005c` +p21309 +tp21310 +a(g440 +V$deplib +p21311 +tp21312 +a(g157 +g2379 +tp21313 +a(g157 +g1029 +tp21314 +a(g826 +g1040 +tp21315 +a(g32 +g1107 +tp21316 +a(g826 +g1778 +tp21317 +a(g705 +g1780 +tp21318 +a(g32 +g1782 +tp21319 +a(g826 +V\u000a +p21320 +tp21321 +a(g681 +Vexit +p21322 +tp21323 +a(g826 +g1040 +tp21324 +a(g440 +V$EXIT_FAILURE +p21325 +tp21326 +a(g826 +V\u000a +p21327 +tp21328 +a(g744 +Vfi +p21329 +tp21330 +a(g826 +V\u000a\u000a +p21331 +tp21332 +a(g8 +V# Check to see that this really is a libtool archive.\u000a +p21333 +tp21334 +a(g826 +g2290 +tp21335 +a(g744 +Vif +p21336 +tp21337 +a(g826 +g1040 +tp21338 +a(g412 +g1959 +tp21339 +a(g125 +V${ +p21340 +tp21341 +a(g440 +VSED +p21342 +tp21343 +a(g125 +g1160 +tp21344 +a(g826 +g1040 +tp21345 +a(g826 +V-e +p21346 +tp21347 +a(g826 +g1040 +tp21348 +a(g229 +V'2q' +p21349 +tp21350 +a(g826 +g1040 +tp21351 +a(g440 +V$lib +p21352 +tp21353 +a(g826 +g1040 +tp21354 +a(g705 +g1075 +tp21355 +a(g826 +g1040 +tp21356 +a(g826 +Vgrep +p21357 +tp21358 +a(g826 +g1040 +tp21359 +a(g157 +g1029 +tp21360 +a(g157 +V^# Generated by .* +p21361 +tp21362 +a(g440 +V$PACKAGE +p21363 +tp21364 +a(g157 +g1029 +tp21365 +a(g412 +g1533 +tp21366 +a(g826 +g1040 +tp21367 +a(g826 +V>/dev/null +p21368 +tp21369 +a(g826 +g1040 +tp21370 +a(g32 +g1782 +tp21371 +a(g826 +g1778 +tp21372 +a(g705 +g1780 +tp21373 +a(g32 +g1107 +tp21374 +a(g705 +g1164 +tp21375 +a(g826 +g1040 +tp21376 +a(g744 +Vthen +p21377 +tp21378 +a(g826 +g1040 +tp21379 +a(g826 +g1314 +tp21380 +a(g826 +V\u000a +p21381 +tp21382 +a(g744 +Velse +p21383 +tp21384 +a(g826 +V\u000a +p21385 +tp21386 +a(g440 +V$echo +p21387 +tp21388 +a(g826 +g1040 +tp21389 +a(g157 +g1029 +tp21390 +a(g440 +V$modename +p21391 +tp21392 +a(g157 +V: \u005c` +p21393 +tp21394 +a(g440 +V$lib +p21395 +tp21396 +a(g157 +V' is not a valid libtool archive +p21397 +tp21398 +a(g157 +g1029 +tp21399 +a(g826 +g1040 +tp21400 +a(g32 +g1107 +tp21401 +a(g826 +g1778 +tp21402 +a(g705 +g1780 +tp21403 +a(g32 +g1782 +tp21404 +a(g826 +V\u000a +p21405 +tp21406 +a(g681 +Vexit +p21407 +tp21408 +a(g826 +g1040 +tp21409 +a(g440 +V$EXIT_FAILURE +p21410 +tp21411 +a(g826 +V\u000a +p21412 +tp21413 +a(g744 +Vfi +p21414 +tp21415 +a(g826 +V\u000a\u000a +p21416 +tp21417 +a(g440 +Vladir +p21418 +tp21419 +a(g412 +g1010 +tp21420 +a(g101 +g1065 +tp21421 +a(g440 +V$echo +p21422 +tp21423 +a(g826 +g1040 +tp21424 +a(g157 +g1029 +tp21425 +a(g157 +g1191 +tp21426 +a(g440 +V$lib +p21427 +tp21428 +a(g157 +g1029 +tp21429 +a(g826 +g1040 +tp21430 +a(g705 +g1075 +tp21431 +a(g826 +g1040 +tp21432 +a(g440 +V$Xsed +p21433 +tp21434 +a(g826 +g1040 +tp21435 +a(g826 +V-e +p21436 +tp21437 +a(g826 +g1040 +tp21438 +a(g229 +V's%/[^/]*$%%' +p21439 +tp21440 +a(g101 +g1065 +tp21441 +a(g826 +V\u000a +p21442 +tp21443 +a(g681 +Vtest +p21444 +tp21445 +a(g826 +g1040 +tp21446 +a(g157 +g1029 +tp21447 +a(g157 +g1191 +tp21448 +a(g440 +V$ladir +p21449 +tp21450 +a(g157 +g1029 +tp21451 +a(g826 +g1040 +tp21452 +a(g412 +g1010 +tp21453 +a(g826 +g1040 +tp21454 +a(g157 +g1029 +tp21455 +a(g157 +g1191 +tp21456 +a(g440 +V$lib +p21457 +tp21458 +a(g157 +g1029 +tp21459 +a(g826 +g1040 +tp21460 +a(g412 +V&& +p21461 +tp21462 +a(g826 +g1040 +tp21463 +a(g440 +Vladir +p21464 +tp21465 +a(g412 +g1010 +tp21466 +a(g157 +V"." +p21467 +tp21468 +a(g826 +V\u000a\u000a +p21469 +tp21470 +a(g440 +Vdlname +p21471 +tp21472 +a(g412 +g1010 +tp21473 +a(g826 +V\u000a +p21474 +tp21475 +a(g440 +Vdlopen +p21476 +tp21477 +a(g412 +g1010 +tp21478 +a(g826 +V\u000a +p21479 +tp21480 +a(g440 +Vdlpreopen +p21481 +tp21482 +a(g412 +g1010 +tp21483 +a(g826 +V\u000a +p21484 +tp21485 +a(g440 +Vlibdir +p21486 +tp21487 +a(g412 +g1010 +tp21488 +a(g826 +V\u000a +p21489 +tp21490 +a(g440 +Vlibrary_names +p21491 +tp21492 +a(g412 +g1010 +tp21493 +a(g826 +V\u000a +p21494 +tp21495 +a(g440 +Vold_library +p21496 +tp21497 +a(g412 +g1010 +tp21498 +a(g826 +V\u000a +p21499 +tp21500 +a(g8 +V# If the library was installed with an old release of libtool,\u000a +p21501 +tp21502 +a(g826 +g2290 +tp21503 +a(g8 +V# it will not redefine variables installed, or shouldnotlink\u000a +p21504 +tp21505 +a(g826 +g2290 +tp21506 +a(g440 +Vinstalled +p21507 +tp21508 +a(g412 +g1010 +tp21509 +a(g826 +Vyes +p21510 +tp21511 +a(g826 +V\u000a +p21512 +tp21513 +a(g440 +Vshouldnotlink +p21514 +tp21515 +a(g412 +g1010 +tp21516 +a(g826 +Vno +p21517 +tp21518 +a(g826 +V\u000a +p21519 +tp21520 +a(g440 +Vavoidtemprpath +p21521 +tp21522 +a(g412 +g1010 +tp21523 +a(g826 +V\u000a\u000a\u000a +p21524 +tp21525 +a(g8 +V# Read the .la file\u000a +p21526 +tp21527 +a(g826 +g2290 +tp21528 +a(g744 +Vcase +p21529 +tp21530 +a(g826 +g1040 +tp21531 +a(g440 +V$lib +p21532 +tp21533 +a(g826 +g1040 +tp21534 +a(g826 +Vin +p21535 +tp21536 +a(g826 +V\u000a +p21537 +tp21538 +a(g826 +V*/* +p21539 +tp21540 +a(g826 +g1040 +tp21541 +a(g705 +g1075 +tp21542 +a(g826 +g1040 +tp21543 +a(g826 +g1562 +tp21544 +a(g213 +V\u005c\u005c +p21545 +tp21546 +a(g826 +g1562 +tp21547 +a(g412 +g1533 +tp21548 +a(g826 +g1040 +tp21549 +a(g826 +g5058 +tp21550 +a(g826 +g1040 +tp21551 +a(g440 +V$lib +p21552 +tp21553 +a(g826 +g1040 +tp21554 +a(g705 +g1164 +tp21555 +a(g705 +g1164 +tp21556 +a(g826 +V\u000a +p21557 +tp21558 +a(g826 +g1562 +tp21559 +a(g412 +g1533 +tp21560 +a(g826 +g1040 +tp21561 +a(g826 +g5058 +tp21562 +a(g826 +g1040 +tp21563 +a(g826 +V./ +p21564 +tp21565 +a(g440 +V$lib +p21566 +tp21567 +a(g826 +g1040 +tp21568 +a(g705 +g1164 +tp21569 +a(g705 +g1164 +tp21570 +a(g826 +V\u000a +p21571 +tp21572 +a(g744 +Vesac +p21573 +tp21574 +a(g826 +V\u000a\u000a +p21575 +tp21576 +a(g744 +Vif +p21577 +tp21578 +a(g826 +g1040 +tp21579 +a(g681 +Vtest +p21580 +tp21581 +a(g826 +g1040 +tp21582 +a(g157 +g1029 +tp21583 +a(g440 +V$linkmode +p21584 +tp21585 +a(g157 +g19026 +tp21586 +a(g440 +V$pass +p21587 +tp21588 +a(g157 +g1029 +tp21589 +a(g826 +g1040 +tp21590 +a(g412 +g1010 +tp21591 +a(g826 +g1040 +tp21592 +a(g157 +V"lib,link" +p21593 +tp21594 +a(g826 +g1040 +tp21595 +a(g412 +V|| +p21596 +tp21597 +a(g826 +V\u000a +p21598 +tp21599 +a(g681 +Vtest +p21600 +tp21601 +a(g826 +g1040 +tp21602 +a(g157 +g1029 +tp21603 +a(g440 +V$linkmode +p21604 +tp21605 +a(g157 +g19026 +tp21606 +a(g440 +V$pass +p21607 +tp21608 +a(g157 +g1029 +tp21609 +a(g826 +g1040 +tp21610 +a(g412 +g1010 +tp21611 +a(g826 +g1040 +tp21612 +a(g157 +V"prog,scan" +p21613 +tp21614 +a(g826 +g1040 +tp21615 +a(g412 +V|| +p21616 +tp21617 +a(g826 +V\u000a +p21618 +tp21619 +a(g412 +g2166 +tp21620 +a(g826 +g1040 +tp21621 +a(g681 +Vtest +p21622 +tp21623 +a(g826 +g1040 +tp21624 +a(g157 +g1029 +tp21625 +a(g440 +V$linkmode +p21626 +tp21627 +a(g157 +g1029 +tp21628 +a(g826 +g1040 +tp21629 +a(g826 +g1738 +tp21630 +a(g412 +g1010 +tp21631 +a(g826 +g1040 +tp21632 +a(g826 +Vprog +p21633 +tp21634 +a(g826 +g1040 +tp21635 +a(g412 +V&& +p21636 +tp21637 +a(g826 +g1040 +tp21638 +a(g681 +Vtest +p21639 +tp21640 +a(g826 +g1040 +tp21641 +a(g157 +g1029 +tp21642 +a(g440 +V$linkmode +p21643 +tp21644 +a(g157 +g1029 +tp21645 +a(g826 +g1040 +tp21646 +a(g826 +g1738 +tp21647 +a(g412 +g1010 +tp21648 +a(g826 +g1040 +tp21649 +a(g826 +Vlib +p21650 +tp21651 +a(g705 +g1164 +tp21652 +a(g826 +g1040 +tp21653 +a(g412 +g1160 +tp21654 +a(g705 +g1164 +tp21655 +a(g826 +g1040 +tp21656 +a(g744 +Vthen +p21657 +tp21658 +a(g826 +V\u000a +p21659 +tp21660 +a(g681 +Vtest +p21661 +tp21662 +a(g826 +g1040 +tp21663 +a(g826 +V-n +p21664 +tp21665 +a(g826 +g1040 +tp21666 +a(g157 +g1029 +tp21667 +a(g440 +V$dlopen +p21668 +tp21669 +a(g157 +g1029 +tp21670 +a(g826 +g1040 +tp21671 +a(g412 +V&& +p21672 +tp21673 +a(g826 +g1040 +tp21674 +a(g440 +Vdlfiles +p21675 +tp21676 +a(g412 +g1010 +tp21677 +a(g157 +g1029 +tp21678 +a(g440 +V$dlfiles +p21679 +tp21680 +a(g157 +g1040 +tp21681 +a(g440 +V$dlopen +p21682 +tp21683 +a(g157 +g1029 +tp21684 +a(g826 +V\u000a +p21685 +tp21686 +a(g681 +Vtest +p21687 +tp21688 +a(g826 +g1040 +tp21689 +a(g826 +V-n +p21690 +tp21691 +a(g826 +g1040 +tp21692 +a(g157 +g1029 +tp21693 +a(g440 +V$dlpreopen +p21694 +tp21695 +a(g157 +g1029 +tp21696 +a(g826 +g1040 +tp21697 +a(g412 +V&& +p21698 +tp21699 +a(g826 +g1040 +tp21700 +a(g440 +Vdlprefiles +p21701 +tp21702 +a(g412 +g1010 +tp21703 +a(g157 +g1029 +tp21704 +a(g440 +V$dlprefiles +p21705 +tp21706 +a(g157 +g1040 +tp21707 +a(g440 +V$dlpreopen +p21708 +tp21709 +a(g157 +g1029 +tp21710 +a(g826 +V\u000a +p21711 +tp21712 +a(g744 +Vfi +p21713 +tp21714 +a(g826 +V\u000a\u000a +p21715 +tp21716 +a(g744 +Vif +p21717 +tp21718 +a(g826 +g1040 +tp21719 +a(g681 +Vtest +p21720 +tp21721 +a(g826 +g1040 +tp21722 +a(g157 +g1029 +tp21723 +a(g440 +V$pass +p21724 +tp21725 +a(g157 +g1029 +tp21726 +a(g826 +g1040 +tp21727 +a(g412 +g1010 +tp21728 +a(g826 +g1040 +tp21729 +a(g826 +Vconv +p21730 +tp21731 +a(g705 +g1164 +tp21732 +a(g826 +g1040 +tp21733 +a(g744 +Vthen +p21734 +tp21735 +a(g826 +V\u000a +p21736 +tp21737 +a(g8 +V# Only check for convenience libraries\u000a +p21738 +tp21739 +a(g826 +V +p21740 +tp21741 +a(g440 +Vdeplibs +p21742 +tp21743 +a(g412 +g1010 +tp21744 +a(g157 +g1029 +tp21745 +a(g440 +V$lib +p21746 +tp21747 +a(g157 +g1040 +tp21748 +a(g440 +V$deplibs +p21749 +tp21750 +a(g157 +g1029 +tp21751 +a(g826 +V\u000a +p21752 +tp21753 +a(g744 +Vif +p21754 +tp21755 +a(g826 +g1040 +tp21756 +a(g681 +Vtest +p21757 +tp21758 +a(g826 +g1040 +tp21759 +a(g826 +V-z +p21760 +tp21761 +a(g826 +g1040 +tp21762 +a(g157 +g1029 +tp21763 +a(g440 +V$libdir +p21764 +tp21765 +a(g157 +g1029 +tp21766 +a(g705 +g1164 +tp21767 +a(g826 +g1040 +tp21768 +a(g744 +Vthen +p21769 +tp21770 +a(g826 +V\u000a +p21771 +tp21772 +a(g744 +Vif +p21773 +tp21774 +a(g826 +g1040 +tp21775 +a(g681 +Vtest +p21776 +tp21777 +a(g826 +g1040 +tp21778 +a(g826 +V-z +p21779 +tp21780 +a(g826 +g1040 +tp21781 +a(g157 +g1029 +tp21782 +a(g440 +V$old_library +p21783 +tp21784 +a(g157 +g1029 +tp21785 +a(g705 +g1164 +tp21786 +a(g826 +g1040 +tp21787 +a(g744 +Vthen +p21788 +tp21789 +a(g826 +V\u000a +p21790 +tp21791 +a(g440 +V$echo +p21792 +tp21793 +a(g826 +g1040 +tp21794 +a(g157 +g1029 +tp21795 +a(g440 +V$modename +p21796 +tp21797 +a(g157 +V: cannot find name of link library for \u005c` +p21798 +tp21799 +a(g440 +V$lib +p21800 +tp21801 +a(g157 +g2379 +tp21802 +a(g157 +g1029 +tp21803 +a(g826 +g1040 +tp21804 +a(g32 +g1107 +tp21805 +a(g826 +g1778 +tp21806 +a(g705 +g1780 +tp21807 +a(g32 +g1782 +tp21808 +a(g826 +V\u000a +p21809 +tp21810 +a(g681 +Vexit +p21811 +tp21812 +a(g826 +g1040 +tp21813 +a(g440 +V$EXIT_FAILURE +p21814 +tp21815 +a(g826 +V\u000a +p21816 +tp21817 +a(g744 +Vfi +p21818 +tp21819 +a(g826 +V\u000a +p21820 +tp21821 +a(g8 +V# It is a libtool convenience library, so add in its objects.\u000a +p21822 +tp21823 +a(g826 +V +p21824 +tp21825 +a(g440 +Vconvenience +p21826 +tp21827 +a(g412 +g1010 +tp21828 +a(g157 +g1029 +tp21829 +a(g440 +V$convenience +p21830 +tp21831 +a(g157 +g1040 +tp21832 +a(g440 +V$ladir +p21833 +tp21834 +a(g157 +g1886 +tp21835 +a(g440 +V$objdir +p21836 +tp21837 +a(g157 +g1886 +tp21838 +a(g440 +V$old_library +p21839 +tp21840 +a(g157 +g1029 +tp21841 +a(g826 +V\u000a +p21842 +tp21843 +a(g440 +Vold_convenience +p21844 +tp21845 +a(g412 +g1010 +tp21846 +a(g157 +g1029 +tp21847 +a(g440 +V$old_convenience +p21848 +tp21849 +a(g157 +g1040 +tp21850 +a(g440 +V$ladir +p21851 +tp21852 +a(g157 +g1886 +tp21853 +a(g440 +V$objdir +p21854 +tp21855 +a(g157 +g1886 +tp21856 +a(g440 +V$old_library +p21857 +tp21858 +a(g157 +g1029 +tp21859 +a(g826 +V\u000a +p21860 +tp21861 +a(g440 +Vtmp_libs +p21862 +tp21863 +a(g412 +g1010 +tp21864 +a(g826 +V\u000a +p21865 +tp21866 +a(g744 +Vfor +p21867 +tp21868 +a(g826 +g1040 +tp21869 +a(g826 +Vdeplib +p21870 +tp21871 +a(g826 +g1040 +tp21872 +a(g826 +Vin +p21873 +tp21874 +a(g826 +g1040 +tp21875 +a(g440 +V$dependency_libs +p21876 +tp21877 +a(g705 +g1164 +tp21878 +a(g826 +g1040 +tp21879 +a(g744 +Vdo +p21880 +tp21881 +a(g826 +V\u000a +p21882 +tp21883 +a(g440 +Vdeplibs +p21884 +tp21885 +a(g412 +g1010 +tp21886 +a(g157 +g1029 +tp21887 +a(g440 +V$deplib +p21888 +tp21889 +a(g157 +g1040 +tp21890 +a(g440 +V$deplibs +p21891 +tp21892 +a(g157 +g1029 +tp21893 +a(g826 +V\u000a +p21894 +tp21895 +a(g744 +Vif +p21896 +tp21897 +a(g826 +g1040 +tp21898 +a(g681 +Vtest +p21899 +tp21900 +a(g826 +g1040 +tp21901 +a(g157 +g1029 +tp21902 +a(g157 +g1191 +tp21903 +a(g440 +V$duplicate_deps +p21904 +tp21905 +a(g157 +g1029 +tp21906 +a(g826 +g1040 +tp21907 +a(g412 +g1010 +tp21908 +a(g826 +g1040 +tp21909 +a(g157 +V"Xyes" +p21910 +tp21911 +a(g826 +g1040 +tp21912 +a(g705 +g1164 +tp21913 +a(g826 +g1040 +tp21914 +a(g744 +Vthen +p21915 +tp21916 +a(g826 +V\u000a +p21917 +tp21918 +a(g744 +Vcase +p21919 +tp21920 +a(g826 +g1040 +tp21921 +a(g157 +g1029 +tp21922 +a(g440 +V$tmp_libs +p21923 +tp21924 +a(g157 +g1040 +tp21925 +a(g157 +g1029 +tp21926 +a(g826 +g1040 +tp21927 +a(g826 +Vin +p21928 +tp21929 +a(g826 +V\u000a +p21930 +tp21931 +a(g826 +g1562 +tp21932 +a(g157 +g1029 +tp21933 +a(g157 +g1040 +tp21934 +a(g440 +V$deplib +p21935 +tp21936 +a(g157 +g1040 +tp21937 +a(g157 +g1029 +tp21938 +a(g826 +g1562 +tp21939 +a(g412 +g1533 +tp21940 +a(g826 +g1040 +tp21941 +a(g440 +Vspecialdeplibs +p21942 +tp21943 +a(g412 +g1010 +tp21944 +a(g157 +g1029 +tp21945 +a(g440 +V$specialdeplibs +p21946 +tp21947 +a(g157 +g1040 +tp21948 +a(g440 +V$deplib +p21949 +tp21950 +a(g157 +g1029 +tp21951 +a(g826 +g1040 +tp21952 +a(g705 +g1164 +tp21953 +a(g705 +g1164 +tp21954 +a(g826 +V\u000a +p21955 +tp21956 +a(g744 +Vesac +p21957 +tp21958 +a(g826 +V\u000a +p21959 +tp21960 +a(g744 +Vfi +p21961 +tp21962 +a(g826 +V\u000a +p21963 +tp21964 +a(g440 +Vtmp_libs +p21965 +tp21966 +a(g412 +g1010 +tp21967 +a(g157 +g1029 +tp21968 +a(g440 +V$tmp_libs +p21969 +tp21970 +a(g157 +g1040 +tp21971 +a(g440 +V$deplib +p21972 +tp21973 +a(g157 +g1029 +tp21974 +a(g826 +V\u000a +p21975 +tp21976 +a(g744 +Vdone +p21977 +tp21978 +a(g826 +V\u000a +p21979 +tp21980 +a(g744 +Velif +p21981 +tp21982 +a(g826 +g1040 +tp21983 +a(g681 +Vtest +p21984 +tp21985 +a(g826 +g1040 +tp21986 +a(g157 +g1029 +tp21987 +a(g440 +V$linkmode +p21988 +tp21989 +a(g157 +g1029 +tp21990 +a(g826 +g1040 +tp21991 +a(g826 +g1738 +tp21992 +a(g412 +g1010 +tp21993 +a(g826 +g1040 +tp21994 +a(g826 +Vprog +p21995 +tp21996 +a(g826 +g1040 +tp21997 +a(g412 +V&& +p21998 +tp21999 +a(g826 +g1040 +tp22000 +a(g681 +Vtest +p22001 +tp22002 +a(g826 +g1040 +tp22003 +a(g157 +g1029 +tp22004 +a(g440 +V$linkmode +p22005 +tp22006 +a(g157 +g1029 +tp22007 +a(g826 +g1040 +tp22008 +a(g826 +g1738 +tp22009 +a(g412 +g1010 +tp22010 +a(g826 +g1040 +tp22011 +a(g826 +Vlib +p22012 +tp22013 +a(g705 +g1164 +tp22014 +a(g826 +g1040 +tp22015 +a(g744 +Vthen +p22016 +tp22017 +a(g826 +V\u000a +p22018 +tp22019 +a(g440 +V$echo +p22020 +tp22021 +a(g826 +g1040 +tp22022 +a(g157 +g1029 +tp22023 +a(g440 +V$modename +p22024 +tp22025 +a(g157 +V: \u005c` +p22026 +tp22027 +a(g440 +V$lib +p22028 +tp22029 +a(g157 +V' is not a convenience library +p22030 +tp22031 +a(g157 +g1029 +tp22032 +a(g826 +g1040 +tp22033 +a(g32 +g1107 +tp22034 +a(g826 +g1778 +tp22035 +a(g705 +g1780 +tp22036 +a(g32 +g1782 +tp22037 +a(g826 +V\u000a +p22038 +tp22039 +a(g681 +Vexit +p22040 +tp22041 +a(g826 +g1040 +tp22042 +a(g440 +V$EXIT_FAILURE +p22043 +tp22044 +a(g826 +V\u000a +p22045 +tp22046 +a(g744 +Vfi +p22047 +tp22048 +a(g826 +V\u000a +p22049 +tp22050 +a(g744 +Vcontinue +p22051 +tp22052 +a(g826 +V\u000a +p22053 +tp22054 +a(g744 +Vfi +p22055 +tp22056 +a(g826 +g1040 +tp22057 +a(g8 +V# $pass = conv\u000a +p22058 +tp22059 +a(g826 +V\u000a\u000a +p22060 +tp22061 +a(g8 +V# Get the name of the library we link against.\u000a +p22062 +tp22063 +a(g826 +g2290 +tp22064 +a(g440 +Vlinklib +p22065 +tp22066 +a(g412 +g1010 +tp22067 +a(g826 +V\u000a +p22068 +tp22069 +a(g744 +Vfor +p22070 +tp22071 +a(g826 +g1040 +tp22072 +a(g826 +g19901 +tp22073 +a(g826 +g1040 +tp22074 +a(g826 +Vin +p22075 +tp22076 +a(g826 +g1040 +tp22077 +a(g440 +V$old_library +p22078 +tp22079 +a(g826 +g1040 +tp22080 +a(g440 +V$library_names +p22081 +tp22082 +a(g705 +g1164 +tp22083 +a(g826 +g1040 +tp22084 +a(g744 +Vdo +p22085 +tp22086 +a(g826 +V\u000a +p22087 +tp22088 +a(g440 +Vlinklib +p22089 +tp22090 +a(g412 +g1010 +tp22091 +a(g157 +g1029 +tp22092 +a(g440 +V$l +p22093 +tp22094 +a(g157 +g1029 +tp22095 +a(g826 +V\u000a +p22096 +tp22097 +a(g744 +Vdone +p22098 +tp22099 +a(g826 +V\u000a +p22100 +tp22101 +a(g744 +Vif +p22102 +tp22103 +a(g826 +g1040 +tp22104 +a(g681 +Vtest +p22105 +tp22106 +a(g826 +g1040 +tp22107 +a(g826 +V-z +p22108 +tp22109 +a(g826 +g1040 +tp22110 +a(g157 +g1029 +tp22111 +a(g440 +V$linklib +p22112 +tp22113 +a(g157 +g1029 +tp22114 +a(g705 +g1164 +tp22115 +a(g826 +g1040 +tp22116 +a(g744 +Vthen +p22117 +tp22118 +a(g826 +V\u000a +p22119 +tp22120 +a(g440 +V$echo +p22121 +tp22122 +a(g826 +g1040 +tp22123 +a(g157 +g1029 +tp22124 +a(g440 +V$modename +p22125 +tp22126 +a(g157 +V: cannot find name of link library for \u005c` +p22127 +tp22128 +a(g440 +V$lib +p22129 +tp22130 +a(g157 +g2379 +tp22131 +a(g157 +g1029 +tp22132 +a(g826 +g1040 +tp22133 +a(g32 +g1107 +tp22134 +a(g826 +g1778 +tp22135 +a(g705 +g1780 +tp22136 +a(g32 +g1782 +tp22137 +a(g826 +V\u000a +p22138 +tp22139 +a(g681 +Vexit +p22140 +tp22141 +a(g826 +g1040 +tp22142 +a(g440 +V$EXIT_FAILURE +p22143 +tp22144 +a(g826 +V\u000a +p22145 +tp22146 +a(g744 +Vfi +p22147 +tp22148 +a(g826 +V\u000a\u000a +p22149 +tp22150 +a(g8 +V# This library was specified with -dlopen.\u000a +p22151 +tp22152 +a(g826 +g2290 +tp22153 +a(g744 +Vif +p22154 +tp22155 +a(g826 +g1040 +tp22156 +a(g681 +Vtest +p22157 +tp22158 +a(g826 +g1040 +tp22159 +a(g157 +g1029 +tp22160 +a(g440 +V$pass +p22161 +tp22162 +a(g157 +g1029 +tp22163 +a(g826 +g1040 +tp22164 +a(g412 +g1010 +tp22165 +a(g826 +g1040 +tp22166 +a(g826 +Vdlopen +p22167 +tp22168 +a(g705 +g1164 +tp22169 +a(g826 +g1040 +tp22170 +a(g744 +Vthen +p22171 +tp22172 +a(g826 +V\u000a +p22173 +tp22174 +a(g744 +Vif +p22175 +tp22176 +a(g826 +g1040 +tp22177 +a(g681 +Vtest +p22178 +tp22179 +a(g826 +g1040 +tp22180 +a(g826 +V-z +p22181 +tp22182 +a(g826 +g1040 +tp22183 +a(g157 +g1029 +tp22184 +a(g440 +V$libdir +p22185 +tp22186 +a(g157 +g1029 +tp22187 +a(g705 +g1164 +tp22188 +a(g826 +g1040 +tp22189 +a(g744 +Vthen +p22190 +tp22191 +a(g826 +V\u000a +p22192 +tp22193 +a(g440 +V$echo +p22194 +tp22195 +a(g826 +g1040 +tp22196 +a(g157 +g1029 +tp22197 +a(g440 +V$modename +p22198 +tp22199 +a(g157 +V: cannot -dlopen a convenience library: \u005c` +p22200 +tp22201 +a(g440 +V$lib +p22202 +tp22203 +a(g157 +g2379 +tp22204 +a(g157 +g1029 +tp22205 +a(g826 +g1040 +tp22206 +a(g32 +g1107 +tp22207 +a(g826 +g1778 +tp22208 +a(g705 +g1780 +tp22209 +a(g32 +g1782 +tp22210 +a(g826 +V\u000a +p22211 +tp22212 +a(g681 +Vexit +p22213 +tp22214 +a(g826 +g1040 +tp22215 +a(g440 +V$EXIT_FAILURE +p22216 +tp22217 +a(g826 +V\u000a +p22218 +tp22219 +a(g744 +Vfi +p22220 +tp22221 +a(g826 +V\u000a +p22222 +tp22223 +a(g744 +Vif +p22224 +tp22225 +a(g826 +g1040 +tp22226 +a(g681 +Vtest +p22227 +tp22228 +a(g826 +g1040 +tp22229 +a(g826 +V-z +p22230 +tp22231 +a(g826 +g1040 +tp22232 +a(g157 +g1029 +tp22233 +a(g440 +V$dlname +p22234 +tp22235 +a(g157 +g1029 +tp22236 +a(g826 +g1040 +tp22237 +a(g412 +V|| +p22238 +tp22239 +a(g826 +V\u000a +p22240 +tp22241 +a(g681 +Vtest +p22242 +tp22243 +a(g826 +g1040 +tp22244 +a(g157 +g1029 +tp22245 +a(g440 +V$dlopen_support +p22246 +tp22247 +a(g157 +g1029 +tp22248 +a(g826 +g1040 +tp22249 +a(g826 +g1738 +tp22250 +a(g412 +g1010 +tp22251 +a(g826 +g1040 +tp22252 +a(g826 +Vyes +p22253 +tp22254 +a(g826 +g1040 +tp22255 +a(g412 +V|| +p22256 +tp22257 +a(g826 +V\u000a +p22258 +tp22259 +a(g681 +Vtest +p22260 +tp22261 +a(g826 +g1040 +tp22262 +a(g157 +g1029 +tp22263 +a(g440 +V$build_libtool_libs +p22264 +tp22265 +a(g157 +g1029 +tp22266 +a(g826 +g1040 +tp22267 +a(g412 +g1010 +tp22268 +a(g826 +g1040 +tp22269 +a(g826 +Vno +p22270 +tp22271 +a(g705 +g1164 +tp22272 +a(g826 +g1040 +tp22273 +a(g744 +Vthen +p22274 +tp22275 +a(g826 +V\u000a +p22276 +tp22277 +a(g8 +V# If there is no dlname, no dlopen support or we're linking\u000a +p22278 +tp22279 +a(g826 +V +p22280 +tp22281 +a(g8 +V# statically, we need to preload. We also need to preload any\u000a +p22282 +tp22283 +a(g826 +V +p22284 +tp22285 +a(g8 +V# dependent libraries so libltdl's deplib preloader doesn't\u000a +p22286 +tp22287 +a(g826 +V +p22288 +tp22289 +a(g8 +V# bomb out in the load deplibs phase.\u000a +p22290 +tp22291 +a(g826 +V +p22292 +tp22293 +a(g440 +Vdlprefiles +p22294 +tp22295 +a(g412 +g1010 +tp22296 +a(g157 +g1029 +tp22297 +a(g440 +V$dlprefiles +p22298 +tp22299 +a(g157 +g1040 +tp22300 +a(g440 +V$lib +p22301 +tp22302 +a(g157 +g1040 +tp22303 +a(g440 +V$dependency_libs +p22304 +tp22305 +a(g157 +g1029 +tp22306 +a(g826 +V\u000a +p22307 +tp22308 +a(g744 +Velse +p22309 +tp22310 +a(g826 +V\u000a +p22311 +tp22312 +a(g440 +Vnewdlfiles +p22313 +tp22314 +a(g412 +g1010 +tp22315 +a(g157 +g1029 +tp22316 +a(g440 +V$newdlfiles +p22317 +tp22318 +a(g157 +g1040 +tp22319 +a(g440 +V$lib +p22320 +tp22321 +a(g157 +g1029 +tp22322 +a(g826 +V\u000a +p22323 +tp22324 +a(g744 +Vfi +p22325 +tp22326 +a(g826 +V\u000a +p22327 +tp22328 +a(g744 +Vcontinue +p22329 +tp22330 +a(g826 +V\u000a +p22331 +tp22332 +a(g744 +Vfi +p22333 +tp22334 +a(g826 +g1040 +tp22335 +a(g8 +V# $pass = dlopen\u000a +p22336 +tp22337 +a(g826 +V\u000a +p22338 +tp22339 +a(g8 +V# We need an absolute path.\u000a +p22340 +tp22341 +a(g826 +g2290 +tp22342 +a(g744 +Vcase +p22343 +tp22344 +a(g826 +g1040 +tp22345 +a(g440 +V$ladir +p22346 +tp22347 +a(g826 +g1040 +tp22348 +a(g826 +Vin +p22349 +tp22350 +a(g826 +V\u000a +p22351 +tp22352 +a(g412 +g2838 +tp22353 +a(g213 +V\u005c\u005c +p22354 +tp22355 +a(g826 +g1886 +tp22356 +a(g412 +g2876 +tp22357 +a(g826 +g1562 +tp22358 +a(g826 +g1040 +tp22359 +a(g705 +g1075 +tp22360 +a(g826 +g1040 +tp22361 +a(g412 +g2838 +tp22362 +a(g826 +VA-Za-z +p22363 +tp22364 +a(g412 +g2876 +tp22365 +a(g826 +g1314 +tp22366 +a(g412 +g2838 +tp22367 +a(g213 +V\u005c\u005c +p22368 +tp22369 +a(g826 +g1886 +tp22370 +a(g412 +g2876 +tp22371 +a(g826 +g1562 +tp22372 +a(g412 +g1533 +tp22373 +a(g826 +g1040 +tp22374 +a(g440 +Vabs_ladir +p22375 +tp22376 +a(g412 +g1010 +tp22377 +a(g157 +g1029 +tp22378 +a(g440 +V$ladir +p22379 +tp22380 +a(g157 +g1029 +tp22381 +a(g826 +g1040 +tp22382 +a(g705 +g1164 +tp22383 +a(g705 +g1164 +tp22384 +a(g826 +V\u000a +p22385 +tp22386 +a(g826 +g1562 +tp22387 +a(g412 +g1533 +tp22388 +a(g826 +V\u000a +p22389 +tp22390 +a(g440 +Vabs_ladir +p22391 +tp22392 +a(g412 +g1010 +tp22393 +a(g101 +g1065 +tp22394 +a(g681 +Vcd +p22395 +tp22396 +a(g826 +g1040 +tp22397 +a(g157 +g1029 +tp22398 +a(g440 +V$ladir +p22399 +tp22400 +a(g157 +g1029 +tp22401 +a(g826 +g1040 +tp22402 +a(g412 +V&& +p22403 +tp22404 +a(g826 +g1040 +tp22405 +a(g681 +Vpwd +p22406 +tp22407 +a(g101 +g1065 +tp22408 +a(g826 +V\u000a +p22409 +tp22410 +a(g744 +Vif +p22411 +tp22412 +a(g826 +g1040 +tp22413 +a(g681 +Vtest +p22414 +tp22415 +a(g826 +g1040 +tp22416 +a(g826 +V-z +p22417 +tp22418 +a(g826 +g1040 +tp22419 +a(g157 +g1029 +tp22420 +a(g440 +V$abs_ladir +p22421 +tp22422 +a(g157 +g1029 +tp22423 +a(g705 +g1164 +tp22424 +a(g826 +g1040 +tp22425 +a(g744 +Vthen +p22426 +tp22427 +a(g826 +V\u000a +p22428 +tp22429 +a(g440 +V$echo +p22430 +tp22431 +a(g826 +g1040 +tp22432 +a(g157 +g1029 +tp22433 +a(g440 +V$modename +p22434 +tp22435 +a(g157 +V: warning: cannot determine absolute directory name of \u005c` +p22436 +tp22437 +a(g440 +V$ladir +p22438 +tp22439 +a(g157 +g2379 +tp22440 +a(g157 +g1029 +tp22441 +a(g826 +g1040 +tp22442 +a(g32 +g1107 +tp22443 +a(g826 +g1778 +tp22444 +a(g705 +g1780 +tp22445 +a(g32 +g1782 +tp22446 +a(g826 +V\u000a +p22447 +tp22448 +a(g440 +V$echo +p22449 +tp22450 +a(g826 +g1040 +tp22451 +a(g157 +g1029 +tp22452 +a(g440 +V$modename +p22453 +tp22454 +a(g157 +V: passing it literally to the linker, although it might fail +p22455 +tp22456 +a(g157 +g1029 +tp22457 +a(g826 +g1040 +tp22458 +a(g32 +g1107 +tp22459 +a(g826 +g1778 +tp22460 +a(g705 +g1780 +tp22461 +a(g32 +g1782 +tp22462 +a(g826 +V\u000a +p22463 +tp22464 +a(g440 +Vabs_ladir +p22465 +tp22466 +a(g412 +g1010 +tp22467 +a(g157 +g1029 +tp22468 +a(g440 +V$ladir +p22469 +tp22470 +a(g157 +g1029 +tp22471 +a(g826 +V\u000a +p22472 +tp22473 +a(g744 +Vfi +p22474 +tp22475 +a(g826 +V\u000a +p22476 +tp22477 +a(g705 +g1164 +tp22478 +a(g705 +g1164 +tp22479 +a(g826 +V\u000a +p22480 +tp22481 +a(g744 +Vesac +p22482 +tp22483 +a(g826 +V\u000a +p22484 +tp22485 +a(g440 +Vlaname +p22486 +tp22487 +a(g412 +g1010 +tp22488 +a(g101 +g1065 +tp22489 +a(g440 +V$echo +p22490 +tp22491 +a(g826 +g1040 +tp22492 +a(g157 +g1029 +tp22493 +a(g157 +g1191 +tp22494 +a(g440 +V$lib +p22495 +tp22496 +a(g157 +g1029 +tp22497 +a(g826 +g1040 +tp22498 +a(g705 +g1075 +tp22499 +a(g826 +g1040 +tp22500 +a(g440 +V$Xsed +p22501 +tp22502 +a(g826 +g1040 +tp22503 +a(g826 +V-e +p22504 +tp22505 +a(g826 +g1040 +tp22506 +a(g229 +V's%^.*/%%' +p22507 +tp22508 +a(g101 +g1065 +tp22509 +a(g826 +V\u000a\u000a +p22510 +tp22511 +a(g8 +V# Find the relevant object directory and library name.\u000a +p22512 +tp22513 +a(g826 +g2290 +tp22514 +a(g744 +Vif +p22515 +tp22516 +a(g826 +g1040 +tp22517 +a(g681 +Vtest +p22518 +tp22519 +a(g826 +g1040 +tp22520 +a(g157 +g1029 +tp22521 +a(g157 +g1191 +tp22522 +a(g440 +V$installed +p22523 +tp22524 +a(g157 +g1029 +tp22525 +a(g826 +g1040 +tp22526 +a(g412 +g1010 +tp22527 +a(g826 +g1040 +tp22528 +a(g826 +VXyes +p22529 +tp22530 +a(g705 +g1164 +tp22531 +a(g826 +g1040 +tp22532 +a(g744 +Vthen +p22533 +tp22534 +a(g826 +V\u000a +p22535 +tp22536 +a(g744 +Vif +p22537 +tp22538 +a(g826 +g1040 +tp22539 +a(g681 +Vtest +p22540 +tp22541 +a(g826 +g1040 +tp22542 +a(g826 +g1738 +tp22543 +a(g826 +g1040 +tp22544 +a(g826 +V-f +p22545 +tp22546 +a(g826 +g1040 +tp22547 +a(g157 +g1029 +tp22548 +a(g440 +V$libdir +p22549 +tp22550 +a(g157 +g1886 +tp22551 +a(g440 +V$linklib +p22552 +tp22553 +a(g157 +g1029 +tp22554 +a(g826 +g1040 +tp22555 +a(g412 +V&& +p22556 +tp22557 +a(g826 +g1040 +tp22558 +a(g681 +Vtest +p22559 +tp22560 +a(g826 +g1040 +tp22561 +a(g826 +V-f +p22562 +tp22563 +a(g826 +g1040 +tp22564 +a(g157 +g1029 +tp22565 +a(g440 +V$abs_ladir +p22566 +tp22567 +a(g157 +g1886 +tp22568 +a(g440 +V$linklib +p22569 +tp22570 +a(g157 +g1029 +tp22571 +a(g705 +g1164 +tp22572 +a(g826 +g1040 +tp22573 +a(g744 +Vthen +p22574 +tp22575 +a(g826 +V\u000a +p22576 +tp22577 +a(g440 +V$echo +p22578 +tp22579 +a(g826 +g1040 +tp22580 +a(g157 +g1029 +tp22581 +a(g440 +V$modename +p22582 +tp22583 +a(g157 +V: warning: library \u005c` +p22584 +tp22585 +a(g440 +V$lib +p22586 +tp22587 +a(g157 +V' was moved. +p22588 +tp22589 +a(g157 +g1029 +tp22590 +a(g826 +g1040 +tp22591 +a(g32 +g1107 +tp22592 +a(g826 +g1778 +tp22593 +a(g705 +g1780 +tp22594 +a(g32 +g1782 +tp22595 +a(g826 +V\u000a +p22596 +tp22597 +a(g440 +Vdir +p22598 +tp22599 +a(g412 +g1010 +tp22600 +a(g157 +g1029 +tp22601 +a(g440 +V$ladir +p22602 +tp22603 +a(g157 +g1029 +tp22604 +a(g826 +V\u000a +p22605 +tp22606 +a(g440 +Vabsdir +p22607 +tp22608 +a(g412 +g1010 +tp22609 +a(g157 +g1029 +tp22610 +a(g440 +V$abs_ladir +p22611 +tp22612 +a(g157 +g1029 +tp22613 +a(g826 +V\u000a +p22614 +tp22615 +a(g440 +Vlibdir +p22616 +tp22617 +a(g412 +g1010 +tp22618 +a(g157 +g1029 +tp22619 +a(g440 +V$abs_ladir +p22620 +tp22621 +a(g157 +g1029 +tp22622 +a(g826 +V\u000a +p22623 +tp22624 +a(g744 +Velse +p22625 +tp22626 +a(g826 +V\u000a +p22627 +tp22628 +a(g440 +Vdir +p22629 +tp22630 +a(g412 +g1010 +tp22631 +a(g157 +g1029 +tp22632 +a(g440 +V$libdir +p22633 +tp22634 +a(g157 +g1029 +tp22635 +a(g826 +V\u000a +p22636 +tp22637 +a(g440 +Vabsdir +p22638 +tp22639 +a(g412 +g1010 +tp22640 +a(g157 +g1029 +tp22641 +a(g440 +V$libdir +p22642 +tp22643 +a(g157 +g1029 +tp22644 +a(g826 +V\u000a +p22645 +tp22646 +a(g744 +Vfi +p22647 +tp22648 +a(g826 +V\u000a +p22649 +tp22650 +a(g681 +Vtest +p22651 +tp22652 +a(g826 +g1040 +tp22653 +a(g157 +g1029 +tp22654 +a(g157 +g1191 +tp22655 +a(g440 +V$hardcode_automatic +p22656 +tp22657 +a(g157 +g1029 +tp22658 +a(g826 +g1040 +tp22659 +a(g412 +g1010 +tp22660 +a(g826 +g1040 +tp22661 +a(g826 +VXyes +p22662 +tp22663 +a(g826 +g1040 +tp22664 +a(g412 +V&& +p22665 +tp22666 +a(g826 +g1040 +tp22667 +a(g440 +Vavoidtemprpath +p22668 +tp22669 +a(g412 +g1010 +tp22670 +a(g826 +Vyes +p22671 +tp22672 +a(g826 +V\u000a +p22673 +tp22674 +a(g744 +Velse +p22675 +tp22676 +a(g826 +V\u000a +p22677 +tp22678 +a(g744 +Vif +p22679 +tp22680 +a(g826 +g1040 +tp22681 +a(g681 +Vtest +p22682 +tp22683 +a(g826 +g1040 +tp22684 +a(g826 +g1738 +tp22685 +a(g826 +g1040 +tp22686 +a(g826 +V-f +p22687 +tp22688 +a(g826 +g1040 +tp22689 +a(g157 +g1029 +tp22690 +a(g440 +V$ladir +p22691 +tp22692 +a(g157 +g1886 +tp22693 +a(g440 +V$objdir +p22694 +tp22695 +a(g157 +g1886 +tp22696 +a(g440 +V$linklib +p22697 +tp22698 +a(g157 +g1029 +tp22699 +a(g826 +g1040 +tp22700 +a(g412 +V&& +p22701 +tp22702 +a(g826 +g1040 +tp22703 +a(g681 +Vtest +p22704 +tp22705 +a(g826 +g1040 +tp22706 +a(g826 +V-f +p22707 +tp22708 +a(g826 +g1040 +tp22709 +a(g157 +g1029 +tp22710 +a(g440 +V$abs_ladir +p22711 +tp22712 +a(g157 +g1886 +tp22713 +a(g440 +V$linklib +p22714 +tp22715 +a(g157 +g1029 +tp22716 +a(g705 +g1164 +tp22717 +a(g826 +g1040 +tp22718 +a(g744 +Vthen +p22719 +tp22720 +a(g826 +V\u000a +p22721 +tp22722 +a(g440 +Vdir +p22723 +tp22724 +a(g412 +g1010 +tp22725 +a(g157 +g1029 +tp22726 +a(g440 +V$ladir +p22727 +tp22728 +a(g157 +g1029 +tp22729 +a(g826 +V\u000a +p22730 +tp22731 +a(g440 +Vabsdir +p22732 +tp22733 +a(g412 +g1010 +tp22734 +a(g157 +g1029 +tp22735 +a(g440 +V$abs_ladir +p22736 +tp22737 +a(g157 +g1029 +tp22738 +a(g826 +V\u000a +p22739 +tp22740 +a(g8 +V# Remove this search path later\u000a +p22741 +tp22742 +a(g826 +V +p22743 +tp22744 +a(g440 +Vnotinst_path +p22745 +tp22746 +a(g412 +g1010 +tp22747 +a(g157 +g1029 +tp22748 +a(g440 +V$notinst_path +p22749 +tp22750 +a(g157 +g1040 +tp22751 +a(g440 +V$abs_ladir +p22752 +tp22753 +a(g157 +g1029 +tp22754 +a(g826 +V\u000a +p22755 +tp22756 +a(g744 +Velse +p22757 +tp22758 +a(g826 +V\u000a +p22759 +tp22760 +a(g440 +Vdir +p22761 +tp22762 +a(g412 +g1010 +tp22763 +a(g157 +g1029 +tp22764 +a(g440 +V$ladir +p22765 +tp22766 +a(g157 +g1886 +tp22767 +a(g440 +V$objdir +p22768 +tp22769 +a(g157 +g1029 +tp22770 +a(g826 +V\u000a +p22771 +tp22772 +a(g440 +Vabsdir +p22773 +tp22774 +a(g412 +g1010 +tp22775 +a(g157 +g1029 +tp22776 +a(g440 +V$abs_ladir +p22777 +tp22778 +a(g157 +g1886 +tp22779 +a(g440 +V$objdir +p22780 +tp22781 +a(g157 +g1029 +tp22782 +a(g826 +V\u000a +p22783 +tp22784 +a(g8 +V# Remove this search path later\u000a +p22785 +tp22786 +a(g826 +V +p22787 +tp22788 +a(g440 +Vnotinst_path +p22789 +tp22790 +a(g412 +g1010 +tp22791 +a(g157 +g1029 +tp22792 +a(g440 +V$notinst_path +p22793 +tp22794 +a(g157 +g1040 +tp22795 +a(g440 +V$abs_ladir +p22796 +tp22797 +a(g157 +g1029 +tp22798 +a(g826 +V\u000a +p22799 +tp22800 +a(g744 +Vfi +p22801 +tp22802 +a(g826 +V\u000a +p22803 +tp22804 +a(g744 +Vfi +p22805 +tp22806 +a(g826 +g1040 +tp22807 +a(g8 +V# $installed = yes\u000a +p22808 +tp22809 +a(g826 +g2290 +tp22810 +a(g440 +Vname +p22811 +tp22812 +a(g412 +g1010 +tp22813 +a(g101 +g1065 +tp22814 +a(g440 +V$echo +p22815 +tp22816 +a(g826 +g1040 +tp22817 +a(g157 +g1029 +tp22818 +a(g157 +g1191 +tp22819 +a(g440 +V$laname +p22820 +tp22821 +a(g157 +g1029 +tp22822 +a(g826 +g1040 +tp22823 +a(g705 +g1075 +tp22824 +a(g826 +g1040 +tp22825 +a(g440 +V$Xsed +p22826 +tp22827 +a(g826 +g1040 +tp22828 +a(g826 +V-e +p22829 +tp22830 +a(g826 +g1040 +tp22831 +a(g229 +V's/\u005c.la$//' +p22832 +tp22833 +a(g826 +g1040 +tp22834 +a(g826 +V-e +p22835 +tp22836 +a(g826 +g1040 +tp22837 +a(g229 +V's/^lib//' +p22838 +tp22839 +a(g101 +g1065 +tp22840 +a(g826 +V\u000a\u000a +p22841 +tp22842 +a(g8 +V# This library was specified with -dlpreopen.\u000a +p22843 +tp22844 +a(g826 +g2290 +tp22845 +a(g744 +Vif +p22846 +tp22847 +a(g826 +g1040 +tp22848 +a(g681 +Vtest +p22849 +tp22850 +a(g826 +g1040 +tp22851 +a(g157 +g1029 +tp22852 +a(g440 +V$pass +p22853 +tp22854 +a(g157 +g1029 +tp22855 +a(g826 +g1040 +tp22856 +a(g412 +g1010 +tp22857 +a(g826 +g1040 +tp22858 +a(g826 +Vdlpreopen +p22859 +tp22860 +a(g705 +g1164 +tp22861 +a(g826 +g1040 +tp22862 +a(g744 +Vthen +p22863 +tp22864 +a(g826 +V\u000a +p22865 +tp22866 +a(g744 +Vif +p22867 +tp22868 +a(g826 +g1040 +tp22869 +a(g681 +Vtest +p22870 +tp22871 +a(g826 +g1040 +tp22872 +a(g826 +V-z +p22873 +tp22874 +a(g826 +g1040 +tp22875 +a(g157 +g1029 +tp22876 +a(g440 +V$libdir +p22877 +tp22878 +a(g157 +g1029 +tp22879 +a(g705 +g1164 +tp22880 +a(g826 +g1040 +tp22881 +a(g744 +Vthen +p22882 +tp22883 +a(g826 +V\u000a +p22884 +tp22885 +a(g440 +V$echo +p22886 +tp22887 +a(g826 +g1040 +tp22888 +a(g157 +g1029 +tp22889 +a(g440 +V$modename +p22890 +tp22891 +a(g157 +V: cannot -dlpreopen a convenience library: \u005c` +p22892 +tp22893 +a(g440 +V$lib +p22894 +tp22895 +a(g157 +g2379 +tp22896 +a(g157 +g1029 +tp22897 +a(g826 +g1040 +tp22898 +a(g32 +g1107 +tp22899 +a(g826 +g1778 +tp22900 +a(g705 +g1780 +tp22901 +a(g32 +g1782 +tp22902 +a(g826 +V\u000a +p22903 +tp22904 +a(g681 +Vexit +p22905 +tp22906 +a(g826 +g1040 +tp22907 +a(g440 +V$EXIT_FAILURE +p22908 +tp22909 +a(g826 +V\u000a +p22910 +tp22911 +a(g744 +Vfi +p22912 +tp22913 +a(g826 +V\u000a +p22914 +tp22915 +a(g8 +V# Prefer using a static library (so that no silly _DYNAMIC symbols\u000a +p22916 +tp22917 +a(g826 +V +p22918 +tp22919 +a(g8 +V# are required to link).\u000a +p22920 +tp22921 +a(g826 +V +p22922 +tp22923 +a(g744 +Vif +p22924 +tp22925 +a(g826 +g1040 +tp22926 +a(g681 +Vtest +p22927 +tp22928 +a(g826 +g1040 +tp22929 +a(g826 +V-n +p22930 +tp22931 +a(g826 +g1040 +tp22932 +a(g157 +g1029 +tp22933 +a(g440 +V$old_library +p22934 +tp22935 +a(g157 +g1029 +tp22936 +a(g705 +g1164 +tp22937 +a(g826 +g1040 +tp22938 +a(g744 +Vthen +p22939 +tp22940 +a(g826 +V\u000a +p22941 +tp22942 +a(g440 +Vnewdlprefiles +p22943 +tp22944 +a(g412 +g1010 +tp22945 +a(g157 +g1029 +tp22946 +a(g440 +V$newdlprefiles +p22947 +tp22948 +a(g157 +g1040 +tp22949 +a(g440 +V$dir +p22950 +tp22951 +a(g157 +g1886 +tp22952 +a(g440 +V$old_library +p22953 +tp22954 +a(g157 +g1029 +tp22955 +a(g826 +V\u000a +p22956 +tp22957 +a(g8 +V# Otherwise, use the dlname, so that lt_dlopen finds it.\u000a +p22958 +tp22959 +a(g826 +V +p22960 +tp22961 +a(g744 +Velif +p22962 +tp22963 +a(g826 +g1040 +tp22964 +a(g681 +Vtest +p22965 +tp22966 +a(g826 +g1040 +tp22967 +a(g826 +V-n +p22968 +tp22969 +a(g826 +g1040 +tp22970 +a(g157 +g1029 +tp22971 +a(g440 +V$dlname +p22972 +tp22973 +a(g157 +g1029 +tp22974 +a(g705 +g1164 +tp22975 +a(g826 +g1040 +tp22976 +a(g744 +Vthen +p22977 +tp22978 +a(g826 +V\u000a +p22979 +tp22980 +a(g440 +Vnewdlprefiles +p22981 +tp22982 +a(g412 +g1010 +tp22983 +a(g157 +g1029 +tp22984 +a(g440 +V$newdlprefiles +p22985 +tp22986 +a(g157 +g1040 +tp22987 +a(g440 +V$dir +p22988 +tp22989 +a(g157 +g1886 +tp22990 +a(g440 +V$dlname +p22991 +tp22992 +a(g157 +g1029 +tp22993 +a(g826 +V\u000a +p22994 +tp22995 +a(g744 +Velse +p22996 +tp22997 +a(g826 +V\u000a +p22998 +tp22999 +a(g440 +Vnewdlprefiles +p23000 +tp23001 +a(g412 +g1010 +tp23002 +a(g157 +g1029 +tp23003 +a(g440 +V$newdlprefiles +p23004 +tp23005 +a(g157 +g1040 +tp23006 +a(g440 +V$dir +p23007 +tp23008 +a(g157 +g1886 +tp23009 +a(g440 +V$linklib +p23010 +tp23011 +a(g157 +g1029 +tp23012 +a(g826 +V\u000a +p23013 +tp23014 +a(g744 +Vfi +p23015 +tp23016 +a(g826 +V\u000a +p23017 +tp23018 +a(g744 +Vfi +p23019 +tp23020 +a(g826 +g1040 +tp23021 +a(g8 +V# $pass = dlpreopen\u000a +p23022 +tp23023 +a(g826 +V\u000a +p23024 +tp23025 +a(g744 +Vif +p23026 +tp23027 +a(g826 +g1040 +tp23028 +a(g681 +Vtest +p23029 +tp23030 +a(g826 +g1040 +tp23031 +a(g826 +V-z +p23032 +tp23033 +a(g826 +g1040 +tp23034 +a(g157 +g1029 +tp23035 +a(g440 +V$libdir +p23036 +tp23037 +a(g157 +g1029 +tp23038 +a(g705 +g1164 +tp23039 +a(g826 +g1040 +tp23040 +a(g744 +Vthen +p23041 +tp23042 +a(g826 +V\u000a +p23043 +tp23044 +a(g8 +V# Link the convenience library\u000a +p23045 +tp23046 +a(g826 +V +p23047 +tp23048 +a(g744 +Vif +p23049 +tp23050 +a(g826 +g1040 +tp23051 +a(g681 +Vtest +p23052 +tp23053 +a(g826 +g1040 +tp23054 +a(g157 +g1029 +tp23055 +a(g440 +V$linkmode +p23056 +tp23057 +a(g157 +g1029 +tp23058 +a(g826 +g1040 +tp23059 +a(g412 +g1010 +tp23060 +a(g826 +g1040 +tp23061 +a(g826 +Vlib +p23062 +tp23063 +a(g705 +g1164 +tp23064 +a(g826 +g1040 +tp23065 +a(g744 +Vthen +p23066 +tp23067 +a(g826 +V\u000a +p23068 +tp23069 +a(g440 +Vdeplibs +p23070 +tp23071 +a(g412 +g1010 +tp23072 +a(g157 +g1029 +tp23073 +a(g440 +V$dir +p23074 +tp23075 +a(g157 +g1886 +tp23076 +a(g440 +V$old_library +p23077 +tp23078 +a(g157 +g1040 +tp23079 +a(g440 +V$deplibs +p23080 +tp23081 +a(g157 +g1029 +tp23082 +a(g826 +V\u000a +p23083 +tp23084 +a(g744 +Velif +p23085 +tp23086 +a(g826 +g1040 +tp23087 +a(g681 +Vtest +p23088 +tp23089 +a(g826 +g1040 +tp23090 +a(g157 +g1029 +tp23091 +a(g440 +V$linkmode +p23092 +tp23093 +a(g157 +g19026 +tp23094 +a(g440 +V$pass +p23095 +tp23096 +a(g157 +g1029 +tp23097 +a(g826 +g1040 +tp23098 +a(g412 +g1010 +tp23099 +a(g826 +g1040 +tp23100 +a(g157 +V"prog,link" +p23101 +tp23102 +a(g705 +g1164 +tp23103 +a(g826 +g1040 +tp23104 +a(g744 +Vthen +p23105 +tp23106 +a(g826 +V\u000a +p23107 +tp23108 +a(g440 +Vcompile_deplibs +p23109 +tp23110 +a(g412 +g1010 +tp23111 +a(g157 +g1029 +tp23112 +a(g440 +V$dir +p23113 +tp23114 +a(g157 +g1886 +tp23115 +a(g440 +V$old_library +p23116 +tp23117 +a(g157 +g1040 +tp23118 +a(g440 +V$compile_deplibs +p23119 +tp23120 +a(g157 +g1029 +tp23121 +a(g826 +V\u000a +p23122 +tp23123 +a(g440 +Vfinalize_deplibs +p23124 +tp23125 +a(g412 +g1010 +tp23126 +a(g157 +g1029 +tp23127 +a(g440 +V$dir +p23128 +tp23129 +a(g157 +g1886 +tp23130 +a(g440 +V$old_library +p23131 +tp23132 +a(g157 +g1040 +tp23133 +a(g440 +V$finalize_deplibs +p23134 +tp23135 +a(g157 +g1029 +tp23136 +a(g826 +V\u000a +p23137 +tp23138 +a(g744 +Velse +p23139 +tp23140 +a(g826 +V\u000a +p23141 +tp23142 +a(g440 +Vdeplibs +p23143 +tp23144 +a(g412 +g1010 +tp23145 +a(g157 +g1029 +tp23146 +a(g440 +V$lib +p23147 +tp23148 +a(g157 +g1040 +tp23149 +a(g440 +V$deplibs +p23150 +tp23151 +a(g157 +g1029 +tp23152 +a(g826 +g1040 +tp23153 +a(g8 +V# used for prog,scan pass\u000a +p23154 +tp23155 +a(g826 +V +p23156 +tp23157 +a(g744 +Vfi +p23158 +tp23159 +a(g826 +V\u000a +p23160 +tp23161 +a(g744 +Vcontinue +p23162 +tp23163 +a(g826 +V\u000a +p23164 +tp23165 +a(g744 +Vfi +p23166 +tp23167 +a(g826 +V\u000a\u000a\u000a +p23168 +tp23169 +a(g744 +Vif +p23170 +tp23171 +a(g826 +g1040 +tp23172 +a(g681 +Vtest +p23173 +tp23174 +a(g826 +g1040 +tp23175 +a(g157 +g1029 +tp23176 +a(g440 +V$linkmode +p23177 +tp23178 +a(g157 +g1029 +tp23179 +a(g826 +g1040 +tp23180 +a(g412 +g1010 +tp23181 +a(g826 +g1040 +tp23182 +a(g826 +Vprog +p23183 +tp23184 +a(g826 +g1040 +tp23185 +a(g412 +V&& +p23186 +tp23187 +a(g826 +g1040 +tp23188 +a(g681 +Vtest +p23189 +tp23190 +a(g826 +g1040 +tp23191 +a(g157 +g1029 +tp23192 +a(g440 +V$pass +p23193 +tp23194 +a(g157 +g1029 +tp23195 +a(g826 +g1040 +tp23196 +a(g826 +g1738 +tp23197 +a(g412 +g1010 +tp23198 +a(g826 +g1040 +tp23199 +a(g826 +Vlink +p23200 +tp23201 +a(g705 +g1164 +tp23202 +a(g826 +g1040 +tp23203 +a(g744 +Vthen +p23204 +tp23205 +a(g826 +V\u000a +p23206 +tp23207 +a(g440 +Vnewlib_search_path +p23208 +tp23209 +a(g412 +g1010 +tp23210 +a(g157 +g1029 +tp23211 +a(g440 +V$newlib_search_path +p23212 +tp23213 +a(g157 +g1040 +tp23214 +a(g440 +V$ladir +p23215 +tp23216 +a(g157 +g1029 +tp23217 +a(g826 +V\u000a +p23218 +tp23219 +a(g440 +Vdeplibs +p23220 +tp23221 +a(g412 +g1010 +tp23222 +a(g157 +g1029 +tp23223 +a(g440 +V$lib +p23224 +tp23225 +a(g157 +g1040 +tp23226 +a(g440 +V$deplibs +p23227 +tp23228 +a(g157 +g1029 +tp23229 +a(g826 +V\u000a\u000a +p23230 +tp23231 +a(g440 +Vlinkalldeplibs +p23232 +tp23233 +a(g412 +g1010 +tp23234 +a(g826 +Vno +p23235 +tp23236 +a(g826 +V\u000a +p23237 +tp23238 +a(g744 +Vif +p23239 +tp23240 +a(g826 +g1040 +tp23241 +a(g681 +Vtest +p23242 +tp23243 +a(g826 +g1040 +tp23244 +a(g157 +g1029 +tp23245 +a(g440 +V$link_all_deplibs +p23246 +tp23247 +a(g157 +g1029 +tp23248 +a(g826 +g1040 +tp23249 +a(g826 +g1738 +tp23250 +a(g412 +g1010 +tp23251 +a(g826 +g1040 +tp23252 +a(g826 +Vno +p23253 +tp23254 +a(g826 +g1040 +tp23255 +a(g412 +V|| +p23256 +tp23257 +a(g826 +g1040 +tp23258 +a(g681 +Vtest +p23259 +tp23260 +a(g826 +g1040 +tp23261 +a(g826 +V-z +p23262 +tp23263 +a(g826 +g1040 +tp23264 +a(g157 +g1029 +tp23265 +a(g440 +V$library_names +p23266 +tp23267 +a(g157 +g1029 +tp23268 +a(g826 +g1040 +tp23269 +a(g412 +V|| +p23270 +tp23271 +a(g826 +V\u000a +p23272 +tp23273 +a(g681 +Vtest +p23274 +tp23275 +a(g826 +g1040 +tp23276 +a(g157 +g1029 +tp23277 +a(g440 +V$build_libtool_libs +p23278 +tp23279 +a(g157 +g1029 +tp23280 +a(g826 +g1040 +tp23281 +a(g412 +g1010 +tp23282 +a(g826 +g1040 +tp23283 +a(g826 +Vno +p23284 +tp23285 +a(g705 +g1164 +tp23286 +a(g826 +g1040 +tp23287 +a(g744 +Vthen +p23288 +tp23289 +a(g826 +V\u000a +p23290 +tp23291 +a(g440 +Vlinkalldeplibs +p23292 +tp23293 +a(g412 +g1010 +tp23294 +a(g826 +Vyes +p23295 +tp23296 +a(g826 +V\u000a +p23297 +tp23298 +a(g744 +Vfi +p23299 +tp23300 +a(g826 +V\u000a\u000a +p23301 +tp23302 +a(g440 +Vtmp_libs +p23303 +tp23304 +a(g412 +g1010 +tp23305 +a(g826 +V\u000a +p23306 +tp23307 +a(g744 +Vfor +p23308 +tp23309 +a(g826 +g1040 +tp23310 +a(g826 +Vdeplib +p23311 +tp23312 +a(g826 +g1040 +tp23313 +a(g826 +Vin +p23314 +tp23315 +a(g826 +g1040 +tp23316 +a(g440 +V$dependency_libs +p23317 +tp23318 +a(g705 +g1164 +tp23319 +a(g826 +g1040 +tp23320 +a(g744 +Vdo +p23321 +tp23322 +a(g826 +V\u000a +p23323 +tp23324 +a(g744 +Vcase +p23325 +tp23326 +a(g826 +g1040 +tp23327 +a(g440 +V$deplib +p23328 +tp23329 +a(g826 +g1040 +tp23330 +a(g826 +Vin +p23331 +tp23332 +a(g826 +V\u000a +p23333 +tp23334 +a(g826 +V-L* +p23335 +tp23336 +a(g412 +g1533 +tp23337 +a(g826 +g1040 +tp23338 +a(g440 +Vnewlib_search_path +p23339 +tp23340 +a(g412 +g1010 +tp23341 +a(g157 +g1029 +tp23342 +a(g440 +V$newlib_search_path +p23343 +tp23344 +a(g157 +g1040 +tp23345 +a(g157 +g1029 +tp23346 +a(g101 +g1065 +tp23347 +a(g440 +V$echo +p23348 +tp23349 +a(g826 +g1040 +tp23350 +a(g157 +g1029 +tp23351 +a(g157 +g1191 +tp23352 +a(g440 +V$deplib +p23353 +tp23354 +a(g157 +g1029 +tp23355 +a(g826 +g1040 +tp23356 +a(g705 +g1075 +tp23357 +a(g826 +g1040 +tp23358 +a(g440 +V$Xsed +p23359 +tp23360 +a(g826 +g1040 +tp23361 +a(g826 +V-e +p23362 +tp23363 +a(g826 +g1040 +tp23364 +a(g229 +V's/^-L//' +p23365 +tp23366 +a(g101 +g1065 +tp23367 +a(g705 +g1164 +tp23368 +a(g705 +g1164 +tp23369 +a(g826 +g1040 +tp23370 +a(g8 +V### testsuite: skip nested quoting test\u000a +p23371 +tp23372 +a(g826 +V +p23373 +tp23374 +a(g744 +Vesac +p23375 +tp23376 +a(g826 +V\u000a +p23377 +tp23378 +a(g8 +V# Need to link against all dependency_libs?\u000a +p23379 +tp23380 +a(g826 +V +p23381 +tp23382 +a(g744 +Vif +p23383 +tp23384 +a(g826 +g1040 +tp23385 +a(g681 +Vtest +p23386 +tp23387 +a(g826 +g1040 +tp23388 +a(g157 +g1029 +tp23389 +a(g440 +V$linkalldeplibs +p23390 +tp23391 +a(g157 +g1029 +tp23392 +a(g826 +g1040 +tp23393 +a(g412 +g1010 +tp23394 +a(g826 +g1040 +tp23395 +a(g826 +Vyes +p23396 +tp23397 +a(g705 +g1164 +tp23398 +a(g826 +g1040 +tp23399 +a(g744 +Vthen +p23400 +tp23401 +a(g826 +V\u000a +p23402 +tp23403 +a(g440 +Vdeplibs +p23404 +tp23405 +a(g412 +g1010 +tp23406 +a(g157 +g1029 +tp23407 +a(g440 +V$deplib +p23408 +tp23409 +a(g157 +g1040 +tp23410 +a(g440 +V$deplibs +p23411 +tp23412 +a(g157 +g1029 +tp23413 +a(g826 +V\u000a +p23414 +tp23415 +a(g744 +Velse +p23416 +tp23417 +a(g826 +V\u000a +p23418 +tp23419 +a(g8 +V# Need to hardcode shared library paths\u000a +p23420 +tp23421 +a(g826 +V +p23422 +tp23423 +a(g8 +V# or/and link against static libraries\u000a +p23424 +tp23425 +a(g826 +V +p23426 +tp23427 +a(g440 +Vnewdependency_libs +p23428 +tp23429 +a(g412 +g1010 +tp23430 +a(g157 +g1029 +tp23431 +a(g440 +V$deplib +p23432 +tp23433 +a(g157 +g1040 +tp23434 +a(g440 +V$newdependency_libs +p23435 +tp23436 +a(g157 +g1029 +tp23437 +a(g826 +V\u000a +p23438 +tp23439 +a(g744 +Vfi +p23440 +tp23441 +a(g826 +V\u000a +p23442 +tp23443 +a(g744 +Vif +p23444 +tp23445 +a(g826 +g1040 +tp23446 +a(g681 +Vtest +p23447 +tp23448 +a(g826 +g1040 +tp23449 +a(g157 +g1029 +tp23450 +a(g157 +g1191 +tp23451 +a(g440 +V$duplicate_deps +p23452 +tp23453 +a(g157 +g1029 +tp23454 +a(g826 +g1040 +tp23455 +a(g412 +g1010 +tp23456 +a(g826 +g1040 +tp23457 +a(g157 +V"Xyes" +p23458 +tp23459 +a(g826 +g1040 +tp23460 +a(g705 +g1164 +tp23461 +a(g826 +g1040 +tp23462 +a(g744 +Vthen +p23463 +tp23464 +a(g826 +V\u000a +p23465 +tp23466 +a(g744 +Vcase +p23467 +tp23468 +a(g826 +g1040 +tp23469 +a(g157 +g1029 +tp23470 +a(g440 +V$tmp_libs +p23471 +tp23472 +a(g157 +g1040 +tp23473 +a(g157 +g1029 +tp23474 +a(g826 +g1040 +tp23475 +a(g826 +Vin +p23476 +tp23477 +a(g826 +V\u000a +p23478 +tp23479 +a(g826 +g1562 +tp23480 +a(g157 +g1029 +tp23481 +a(g157 +g1040 +tp23482 +a(g440 +V$deplib +p23483 +tp23484 +a(g157 +g1040 +tp23485 +a(g157 +g1029 +tp23486 +a(g826 +g1562 +tp23487 +a(g412 +g1533 +tp23488 +a(g826 +g1040 +tp23489 +a(g440 +Vspecialdeplibs +p23490 +tp23491 +a(g412 +g1010 +tp23492 +a(g157 +g1029 +tp23493 +a(g440 +V$specialdeplibs +p23494 +tp23495 +a(g157 +g1040 +tp23496 +a(g440 +V$deplib +p23497 +tp23498 +a(g157 +g1029 +tp23499 +a(g826 +g1040 +tp23500 +a(g705 +g1164 +tp23501 +a(g705 +g1164 +tp23502 +a(g826 +V\u000a +p23503 +tp23504 +a(g744 +Vesac +p23505 +tp23506 +a(g826 +V\u000a +p23507 +tp23508 +a(g744 +Vfi +p23509 +tp23510 +a(g826 +V\u000a +p23511 +tp23512 +a(g440 +Vtmp_libs +p23513 +tp23514 +a(g412 +g1010 +tp23515 +a(g157 +g1029 +tp23516 +a(g440 +V$tmp_libs +p23517 +tp23518 +a(g157 +g1040 +tp23519 +a(g440 +V$deplib +p23520 +tp23521 +a(g157 +g1029 +tp23522 +a(g826 +V\u000a +p23523 +tp23524 +a(g744 +Vdone +p23525 +tp23526 +a(g826 +g1040 +tp23527 +a(g8 +V# for deplib\u000a +p23528 +tp23529 +a(g826 +V +p23530 +tp23531 +a(g744 +Vcontinue +p23532 +tp23533 +a(g826 +V\u000a +p23534 +tp23535 +a(g744 +Vfi +p23536 +tp23537 +a(g826 +g1040 +tp23538 +a(g8 +V# $linkmode = prog...\u000a +p23539 +tp23540 +a(g826 +V\u000a +p23541 +tp23542 +a(g744 +Vif +p23543 +tp23544 +a(g826 +g1040 +tp23545 +a(g681 +Vtest +p23546 +tp23547 +a(g826 +g1040 +tp23548 +a(g157 +g1029 +tp23549 +a(g440 +V$linkmode +p23550 +tp23551 +a(g157 +g19026 +tp23552 +a(g440 +V$pass +p23553 +tp23554 +a(g157 +g1029 +tp23555 +a(g826 +g1040 +tp23556 +a(g412 +g1010 +tp23557 +a(g826 +g1040 +tp23558 +a(g157 +V"prog,link" +p23559 +tp23560 +a(g705 +g1164 +tp23561 +a(g826 +g1040 +tp23562 +a(g744 +Vthen +p23563 +tp23564 +a(g826 +V\u000a +p23565 +tp23566 +a(g744 +Vif +p23567 +tp23568 +a(g826 +g1040 +tp23569 +a(g681 +Vtest +p23570 +tp23571 +a(g826 +g1040 +tp23572 +a(g826 +V-n +p23573 +tp23574 +a(g826 +g1040 +tp23575 +a(g157 +g1029 +tp23576 +a(g440 +V$library_names +p23577 +tp23578 +a(g157 +g1029 +tp23579 +a(g826 +g1040 +tp23580 +a(g412 +V&& +p23581 +tp23582 +a(g826 +V\u000a +p23583 +tp23584 +a(g412 +g2166 +tp23585 +a(g826 +g1040 +tp23586 +a(g681 +Vtest +p23587 +tp23588 +a(g826 +g1040 +tp23589 +a(g157 +g1029 +tp23590 +a(g440 +V$prefer_static_libs +p23591 +tp23592 +a(g157 +g1029 +tp23593 +a(g826 +g1040 +tp23594 +a(g412 +g1010 +tp23595 +a(g826 +g1040 +tp23596 +a(g826 +Vno +p23597 +tp23598 +a(g826 +g1040 +tp23599 +a(g412 +V|| +p23600 +tp23601 +a(g826 +g1040 +tp23602 +a(g681 +Vtest +p23603 +tp23604 +a(g826 +g1040 +tp23605 +a(g826 +V-z +p23606 +tp23607 +a(g826 +g1040 +tp23608 +a(g157 +g1029 +tp23609 +a(g440 +V$old_library +p23610 +tp23611 +a(g157 +g1029 +tp23612 +a(g705 +g1164 +tp23613 +a(g826 +g1040 +tp23614 +a(g412 +g1160 +tp23615 +a(g705 +g1164 +tp23616 +a(g826 +g1040 +tp23617 +a(g744 +Vthen +p23618 +tp23619 +a(g826 +V\u000a +p23620 +tp23621 +a(g8 +V# We need to hardcode the library path\u000a +p23622 +tp23623 +a(g826 +V +p23624 +tp23625 +a(g744 +Vif +p23626 +tp23627 +a(g826 +g1040 +tp23628 +a(g681 +Vtest +p23629 +tp23630 +a(g826 +g1040 +tp23631 +a(g826 +V-n +p23632 +tp23633 +a(g826 +g1040 +tp23634 +a(g157 +g1029 +tp23635 +a(g440 +V$shlibpath_var +p23636 +tp23637 +a(g157 +g1029 +tp23638 +a(g826 +g1040 +tp23639 +a(g412 +V&& +p23640 +tp23641 +a(g826 +g1040 +tp23642 +a(g681 +Vtest +p23643 +tp23644 +a(g826 +g1040 +tp23645 +a(g826 +V-z +p23646 +tp23647 +a(g826 +g1040 +tp23648 +a(g157 +g1029 +tp23649 +a(g440 +V$avoidtemprpath +p23650 +tp23651 +a(g157 +g1029 +tp23652 +a(g826 +g1040 +tp23653 +a(g705 +g1164 +tp23654 +a(g826 +g1040 +tp23655 +a(g744 +Vthen +p23656 +tp23657 +a(g826 +V\u000a +p23658 +tp23659 +a(g8 +V# Make sure the rpath contains only unique directories.\u000a +p23660 +tp23661 +a(g826 +V +p23662 +tp23663 +a(g744 +Vcase +p23664 +tp23665 +a(g826 +g1040 +tp23666 +a(g157 +g1029 +tp23667 +a(g440 +V$temp_rpath +p23668 +tp23669 +a(g157 +g1040 +tp23670 +a(g157 +g1029 +tp23671 +a(g826 +g1040 +tp23672 +a(g826 +Vin +p23673 +tp23674 +a(g826 +V\u000a +p23675 +tp23676 +a(g826 +g1562 +tp23677 +a(g157 +g1029 +tp23678 +a(g157 +g1040 +tp23679 +a(g440 +V$dir +p23680 +tp23681 +a(g157 +g1040 +tp23682 +a(g157 +g1029 +tp23683 +a(g826 +g1562 +tp23684 +a(g412 +g1533 +tp23685 +a(g826 +g1040 +tp23686 +a(g705 +g1164 +tp23687 +a(g705 +g1164 +tp23688 +a(g826 +V\u000a +p23689 +tp23690 +a(g826 +g1562 +tp23691 +a(g157 +g1029 +tp23692 +a(g157 +g1040 +tp23693 +a(g440 +V$absdir +p23694 +tp23695 +a(g157 +g1040 +tp23696 +a(g157 +g1029 +tp23697 +a(g826 +g1562 +tp23698 +a(g412 +g1533 +tp23699 +a(g826 +g1040 +tp23700 +a(g705 +g1164 +tp23701 +a(g705 +g1164 +tp23702 +a(g826 +V\u000a +p23703 +tp23704 +a(g826 +g1562 +tp23705 +a(g412 +g1533 +tp23706 +a(g826 +g1040 +tp23707 +a(g440 +Vtemp_rpath +p23708 +tp23709 +a(g412 +g1010 +tp23710 +a(g157 +g1029 +tp23711 +a(g440 +V$temp_rpath +p23712 +tp23713 +a(g157 +g1040 +tp23714 +a(g440 +V$absdir +p23715 +tp23716 +a(g157 +g1029 +tp23717 +a(g826 +g1040 +tp23718 +a(g705 +g1164 +tp23719 +a(g705 +g1164 +tp23720 +a(g826 +V\u000a +p23721 +tp23722 +a(g744 +Vesac +p23723 +tp23724 +a(g826 +V\u000a +p23725 +tp23726 +a(g744 +Vfi +p23727 +tp23728 +a(g826 +V\u000a\u000a +p23729 +tp23730 +a(g8 +V# Hardcode the library path.\u000a +p23731 +tp23732 +a(g826 +V +p23733 +tp23734 +a(g8 +V# Skip directories that are in the system default run-time\u000a +p23735 +tp23736 +a(g826 +V +p23737 +tp23738 +a(g8 +V# search path.\u000a +p23739 +tp23740 +a(g826 +V +p23741 +tp23742 +a(g744 +Vcase +p23743 +tp23744 +a(g826 +g1040 +tp23745 +a(g157 +g1029 +tp23746 +a(g157 +g1040 +tp23747 +a(g440 +V$sys_lib_dlsearch_path +p23748 +tp23749 +a(g157 +g1040 +tp23750 +a(g157 +g1029 +tp23751 +a(g826 +g1040 +tp23752 +a(g826 +Vin +p23753 +tp23754 +a(g826 +V\u000a +p23755 +tp23756 +a(g826 +g1562 +tp23757 +a(g157 +g1029 +tp23758 +a(g157 +g1040 +tp23759 +a(g440 +V$absdir +p23760 +tp23761 +a(g157 +g1040 +tp23762 +a(g157 +g1029 +tp23763 +a(g826 +g1562 +tp23764 +a(g412 +g1533 +tp23765 +a(g826 +g1040 +tp23766 +a(g705 +g1164 +tp23767 +a(g705 +g1164 +tp23768 +a(g826 +V\u000a +p23769 +tp23770 +a(g826 +g1562 +tp23771 +a(g412 +g1533 +tp23772 +a(g826 +V\u000a +p23773 +tp23774 +a(g744 +Vcase +p23775 +tp23776 +a(g826 +g1040 +tp23777 +a(g157 +g1029 +tp23778 +a(g440 +V$compile_rpath +p23779 +tp23780 +a(g157 +g1040 +tp23781 +a(g157 +g1029 +tp23782 +a(g826 +g1040 +tp23783 +a(g826 +Vin +p23784 +tp23785 +a(g826 +V\u000a +p23786 +tp23787 +a(g826 +g1562 +tp23788 +a(g157 +g1029 +tp23789 +a(g157 +g1040 +tp23790 +a(g440 +V$absdir +p23791 +tp23792 +a(g157 +g1040 +tp23793 +a(g157 +g1029 +tp23794 +a(g826 +g1562 +tp23795 +a(g412 +g1533 +tp23796 +a(g826 +g1040 +tp23797 +a(g705 +g1164 +tp23798 +a(g705 +g1164 +tp23799 +a(g826 +V\u000a +p23800 +tp23801 +a(g826 +g1562 +tp23802 +a(g412 +g1533 +tp23803 +a(g826 +g1040 +tp23804 +a(g440 +Vcompile_rpath +p23805 +tp23806 +a(g412 +g1010 +tp23807 +a(g157 +g1029 +tp23808 +a(g440 +V$compile_rpath +p23809 +tp23810 +a(g157 +g1040 +tp23811 +a(g440 +V$absdir +p23812 +tp23813 +a(g157 +g1029 +tp23814 +a(g826 +V\u000a +p23815 +tp23816 +a(g744 +Vesac +p23817 +tp23818 +a(g826 +V\u000a +p23819 +tp23820 +a(g705 +g1164 +tp23821 +a(g705 +g1164 +tp23822 +a(g826 +V\u000a +p23823 +tp23824 +a(g744 +Vesac +p23825 +tp23826 +a(g826 +V\u000a +p23827 +tp23828 +a(g744 +Vcase +p23829 +tp23830 +a(g826 +g1040 +tp23831 +a(g157 +g1029 +tp23832 +a(g157 +g1040 +tp23833 +a(g440 +V$sys_lib_dlsearch_path +p23834 +tp23835 +a(g157 +g1040 +tp23836 +a(g157 +g1029 +tp23837 +a(g826 +g1040 +tp23838 +a(g826 +Vin +p23839 +tp23840 +a(g826 +V\u000a +p23841 +tp23842 +a(g826 +g1562 +tp23843 +a(g157 +g1029 +tp23844 +a(g157 +g1040 +tp23845 +a(g440 +V$libdir +p23846 +tp23847 +a(g157 +g1040 +tp23848 +a(g157 +g1029 +tp23849 +a(g826 +g1562 +tp23850 +a(g412 +g1533 +tp23851 +a(g826 +g1040 +tp23852 +a(g705 +g1164 +tp23853 +a(g705 +g1164 +tp23854 +a(g826 +V\u000a +p23855 +tp23856 +a(g826 +g1562 +tp23857 +a(g412 +g1533 +tp23858 +a(g826 +V\u000a +p23859 +tp23860 +a(g744 +Vcase +p23861 +tp23862 +a(g826 +g1040 +tp23863 +a(g157 +g1029 +tp23864 +a(g440 +V$finalize_rpath +p23865 +tp23866 +a(g157 +g1040 +tp23867 +a(g157 +g1029 +tp23868 +a(g826 +g1040 +tp23869 +a(g826 +Vin +p23870 +tp23871 +a(g826 +V\u000a +p23872 +tp23873 +a(g826 +g1562 +tp23874 +a(g157 +g1029 +tp23875 +a(g157 +g1040 +tp23876 +a(g440 +V$libdir +p23877 +tp23878 +a(g157 +g1040 +tp23879 +a(g157 +g1029 +tp23880 +a(g826 +g1562 +tp23881 +a(g412 +g1533 +tp23882 +a(g826 +g1040 +tp23883 +a(g705 +g1164 +tp23884 +a(g705 +g1164 +tp23885 +a(g826 +V\u000a +p23886 +tp23887 +a(g826 +g1562 +tp23888 +a(g412 +g1533 +tp23889 +a(g826 +g1040 +tp23890 +a(g440 +Vfinalize_rpath +p23891 +tp23892 +a(g412 +g1010 +tp23893 +a(g157 +g1029 +tp23894 +a(g440 +V$finalize_rpath +p23895 +tp23896 +a(g157 +g1040 +tp23897 +a(g440 +V$libdir +p23898 +tp23899 +a(g157 +g1029 +tp23900 +a(g826 +V\u000a +p23901 +tp23902 +a(g744 +Vesac +p23903 +tp23904 +a(g826 +V\u000a +p23905 +tp23906 +a(g705 +g1164 +tp23907 +a(g705 +g1164 +tp23908 +a(g826 +V\u000a +p23909 +tp23910 +a(g744 +Vesac +p23911 +tp23912 +a(g826 +V\u000a +p23913 +tp23914 +a(g744 +Vfi +p23915 +tp23916 +a(g826 +g1040 +tp23917 +a(g8 +V# $linkmode,$pass = prog,link...\u000a +p23918 +tp23919 +a(g826 +V\u000a +p23920 +tp23921 +a(g744 +Vif +p23922 +tp23923 +a(g826 +g1040 +tp23924 +a(g681 +Vtest +p23925 +tp23926 +a(g826 +g1040 +tp23927 +a(g157 +g1029 +tp23928 +a(g440 +V$alldeplibs +p23929 +tp23930 +a(g157 +g1029 +tp23931 +a(g826 +g1040 +tp23932 +a(g412 +g1010 +tp23933 +a(g826 +g1040 +tp23934 +a(g826 +Vyes +p23935 +tp23936 +a(g826 +g1040 +tp23937 +a(g412 +V&& +p23938 +tp23939 +a(g826 +V\u000a +p23940 +tp23941 +a(g412 +g2166 +tp23942 +a(g826 +g1040 +tp23943 +a(g681 +Vtest +p23944 +tp23945 +a(g826 +g1040 +tp23946 +a(g157 +g1029 +tp23947 +a(g440 +V$deplibs_check_method +p23948 +tp23949 +a(g157 +g1029 +tp23950 +a(g826 +g1040 +tp23951 +a(g412 +g1010 +tp23952 +a(g826 +g1040 +tp23953 +a(g826 +Vpass_all +p23954 +tp23955 +a(g826 +g1040 +tp23956 +a(g412 +V|| +p23957 +tp23958 +a(g826 +V\u000a +p23959 +tp23960 +a(g412 +g2166 +tp23961 +a(g826 +g1040 +tp23962 +a(g681 +Vtest +p23963 +tp23964 +a(g826 +g1040 +tp23965 +a(g157 +g1029 +tp23966 +a(g440 +V$build_libtool_libs +p23967 +tp23968 +a(g157 +g1029 +tp23969 +a(g826 +g1040 +tp23970 +a(g412 +g1010 +tp23971 +a(g826 +g1040 +tp23972 +a(g826 +Vyes +p23973 +tp23974 +a(g826 +g1040 +tp23975 +a(g412 +V&& +p23976 +tp23977 +a(g826 +V\u000a +p23978 +tp23979 +a(g681 +Vtest +p23980 +tp23981 +a(g826 +g1040 +tp23982 +a(g826 +V-n +p23983 +tp23984 +a(g826 +g1040 +tp23985 +a(g157 +g1029 +tp23986 +a(g440 +V$library_names +p23987 +tp23988 +a(g157 +g1029 +tp23989 +a(g705 +g1164 +tp23990 +a(g826 +g1040 +tp23991 +a(g412 +g1160 +tp23992 +a(g705 +g1164 +tp23993 +a(g826 +g1040 +tp23994 +a(g412 +g1160 +tp23995 +a(g705 +g1164 +tp23996 +a(g826 +g1040 +tp23997 +a(g744 +Vthen +p23998 +tp23999 +a(g826 +V\u000a +p24000 +tp24001 +a(g8 +V# We only need to search for static libraries\u000a +p24002 +tp24003 +a(g826 +V +p24004 +tp24005 +a(g744 +Vcontinue +p24006 +tp24007 +a(g826 +V\u000a +p24008 +tp24009 +a(g744 +Vfi +p24010 +tp24011 +a(g826 +V\u000a +p24012 +tp24013 +a(g744 +Vfi +p24014 +tp24015 +a(g826 +V\u000a\u000a +p24016 +tp24017 +a(g440 +Vlink_static +p24018 +tp24019 +a(g412 +g1010 +tp24020 +a(g826 +Vno +p24021 +tp24022 +a(g826 +g1040 +tp24023 +a(g8 +V# Whether the deplib will be linked statically\u000a +p24024 +tp24025 +a(g826 +g2290 +tp24026 +a(g440 +Vuse_static_libs +p24027 +tp24028 +a(g412 +g1010 +tp24029 +a(g440 +V$prefer_static_libs +p24030 +tp24031 +a(g826 +V\u000a +p24032 +tp24033 +a(g744 +Vif +p24034 +tp24035 +a(g826 +g1040 +tp24036 +a(g681 +Vtest +p24037 +tp24038 +a(g826 +g1040 +tp24039 +a(g157 +g1029 +tp24040 +a(g440 +V$use_static_libs +p24041 +tp24042 +a(g157 +g1029 +tp24043 +a(g826 +g1040 +tp24044 +a(g412 +g1010 +tp24045 +a(g826 +g1040 +tp24046 +a(g826 +Vbuilt +p24047 +tp24048 +a(g826 +g1040 +tp24049 +a(g412 +V&& +p24050 +tp24051 +a(g826 +g1040 +tp24052 +a(g681 +Vtest +p24053 +tp24054 +a(g826 +g1040 +tp24055 +a(g157 +g1029 +tp24056 +a(g440 +V$installed +p24057 +tp24058 +a(g157 +g1029 +tp24059 +a(g826 +g1040 +tp24060 +a(g412 +g1010 +tp24061 +a(g826 +g1040 +tp24062 +a(g826 +Vyes +p24063 +tp24064 +a(g826 +g1040 +tp24065 +a(g705 +g1164 +tp24066 +a(g826 +g1040 +tp24067 +a(g744 +Vthen +p24068 +tp24069 +a(g826 +V\u000a +p24070 +tp24071 +a(g440 +Vuse_static_libs +p24072 +tp24073 +a(g412 +g1010 +tp24074 +a(g826 +Vno +p24075 +tp24076 +a(g826 +V\u000a +p24077 +tp24078 +a(g744 +Vfi +p24079 +tp24080 +a(g826 +V\u000a +p24081 +tp24082 +a(g744 +Vif +p24083 +tp24084 +a(g826 +g1040 +tp24085 +a(g681 +Vtest +p24086 +tp24087 +a(g826 +g1040 +tp24088 +a(g826 +V-n +p24089 +tp24090 +a(g826 +g1040 +tp24091 +a(g157 +g1029 +tp24092 +a(g440 +V$library_names +p24093 +tp24094 +a(g157 +g1029 +tp24095 +a(g826 +g1040 +tp24096 +a(g412 +V&& +p24097 +tp24098 +a(g826 +V\u000a +p24099 +tp24100 +a(g412 +g2166 +tp24101 +a(g826 +g1040 +tp24102 +a(g681 +Vtest +p24103 +tp24104 +a(g826 +g1040 +tp24105 +a(g157 +g1029 +tp24106 +a(g440 +V$use_static_libs +p24107 +tp24108 +a(g157 +g1029 +tp24109 +a(g826 +g1040 +tp24110 +a(g412 +g1010 +tp24111 +a(g826 +g1040 +tp24112 +a(g826 +Vno +p24113 +tp24114 +a(g826 +g1040 +tp24115 +a(g412 +V|| +p24116 +tp24117 +a(g826 +g1040 +tp24118 +a(g681 +Vtest +p24119 +tp24120 +a(g826 +g1040 +tp24121 +a(g826 +V-z +p24122 +tp24123 +a(g826 +g1040 +tp24124 +a(g157 +g1029 +tp24125 +a(g440 +V$old_library +p24126 +tp24127 +a(g157 +g1029 +tp24128 +a(g705 +g1164 +tp24129 +a(g826 +g1040 +tp24130 +a(g412 +g1160 +tp24131 +a(g705 +g1164 +tp24132 +a(g826 +g1040 +tp24133 +a(g744 +Vthen +p24134 +tp24135 +a(g826 +V\u000a +p24136 +tp24137 +a(g744 +Vif +p24138 +tp24139 +a(g826 +g1040 +tp24140 +a(g681 +Vtest +p24141 +tp24142 +a(g826 +g1040 +tp24143 +a(g157 +g1029 +tp24144 +a(g440 +V$installed +p24145 +tp24146 +a(g157 +g1029 +tp24147 +a(g826 +g1040 +tp24148 +a(g412 +g1010 +tp24149 +a(g826 +g1040 +tp24150 +a(g826 +Vno +p24151 +tp24152 +a(g705 +g1164 +tp24153 +a(g826 +g1040 +tp24154 +a(g744 +Vthen +p24155 +tp24156 +a(g826 +V\u000a +p24157 +tp24158 +a(g440 +Vnotinst_deplibs +p24159 +tp24160 +a(g412 +g1010 +tp24161 +a(g157 +g1029 +tp24162 +a(g440 +V$notinst_deplibs +p24163 +tp24164 +a(g157 +g1040 +tp24165 +a(g440 +V$lib +p24166 +tp24167 +a(g157 +g1029 +tp24168 +a(g826 +V\u000a +p24169 +tp24170 +a(g440 +Vneed_relink +p24171 +tp24172 +a(g412 +g1010 +tp24173 +a(g826 +Vyes +p24174 +tp24175 +a(g826 +V\u000a +p24176 +tp24177 +a(g744 +Vfi +p24178 +tp24179 +a(g826 +V\u000a +p24180 +tp24181 +a(g8 +V# This is a shared library\u000a +p24182 +tp24183 +a(g826 +V\u000a +p24184 +tp24185 +a(g8 +V# Warn about portability, can't link against -module's on\u000a +p24186 +tp24187 +a(g826 +V +p24188 +tp24189 +a(g8 +V# some systems (darwin)\u000a +p24190 +tp24191 +a(g826 +V +p24192 +tp24193 +a(g744 +Vif +p24194 +tp24195 +a(g826 +g1040 +tp24196 +a(g681 +Vtest +p24197 +tp24198 +a(g826 +g1040 +tp24199 +a(g157 +g1029 +tp24200 +a(g440 +V$shouldnotlink +p24201 +tp24202 +a(g157 +g1029 +tp24203 +a(g826 +g1040 +tp24204 +a(g412 +g1010 +tp24205 +a(g826 +g1040 +tp24206 +a(g826 +Vyes +p24207 +tp24208 +a(g826 +g1040 +tp24209 +a(g412 +V&& +p24210 +tp24211 +a(g826 +g1040 +tp24212 +a(g681 +Vtest +p24213 +tp24214 +a(g826 +g1040 +tp24215 +a(g157 +g1029 +tp24216 +a(g440 +V$pass +p24217 +tp24218 +a(g157 +g1029 +tp24219 +a(g826 +g1040 +tp24220 +a(g412 +g1010 +tp24221 +a(g826 +g1040 +tp24222 +a(g826 +Vlink +p24223 +tp24224 +a(g826 +g1040 +tp24225 +a(g705 +g1164 +tp24226 +a(g826 +g1040 +tp24227 +a(g744 +Vthen +p24228 +tp24229 +a(g826 +V\u000a +p24230 +tp24231 +a(g440 +V$echo +p24232 +tp24233 +a(g826 +V\u000a +p24234 +tp24235 +a(g744 +Vif +p24236 +tp24237 +a(g826 +g1040 +tp24238 +a(g681 +Vtest +p24239 +tp24240 +a(g826 +g1040 +tp24241 +a(g157 +g1029 +tp24242 +a(g440 +V$linkmode +p24243 +tp24244 +a(g157 +g1029 +tp24245 +a(g826 +g1040 +tp24246 +a(g412 +g1010 +tp24247 +a(g826 +g1040 +tp24248 +a(g826 +Vprog +p24249 +tp24250 +a(g705 +g1164 +tp24251 +a(g826 +g1040 +tp24252 +a(g744 +Vthen +p24253 +tp24254 +a(g826 +V\u000a +p24255 +tp24256 +a(g440 +V$echo +p24257 +tp24258 +a(g826 +g1040 +tp24259 +a(g157 +g1029 +tp24260 +a(g157 +V*** Warning: Linking the executable +p24261 +tp24262 +a(g440 +V$output +p24263 +tp24264 +a(g157 +V against the loadable module +p24265 +tp24266 +a(g157 +g1029 +tp24267 +a(g826 +V\u000a +p24268 +tp24269 +a(g744 +Velse +p24270 +tp24271 +a(g826 +V\u000a +p24272 +tp24273 +a(g440 +V$echo +p24274 +tp24275 +a(g826 +g1040 +tp24276 +a(g157 +g1029 +tp24277 +a(g157 +V*** Warning: Linking the shared library +p24278 +tp24279 +a(g440 +V$output +p24280 +tp24281 +a(g157 +V against the loadable module +p24282 +tp24283 +a(g157 +g1029 +tp24284 +a(g826 +V\u000a +p24285 +tp24286 +a(g744 +Vfi +p24287 +tp24288 +a(g826 +V\u000a +p24289 +tp24290 +a(g440 +V$echo +p24291 +tp24292 +a(g826 +g1040 +tp24293 +a(g157 +g1029 +tp24294 +a(g157 +V*** +p24295 +tp24296 +a(g440 +V$linklib +p24297 +tp24298 +a(g157 +V is not portable! +p24299 +tp24300 +a(g157 +g1029 +tp24301 +a(g826 +V\u000a +p24302 +tp24303 +a(g744 +Vfi +p24304 +tp24305 +a(g826 +V\u000a +p24306 +tp24307 +a(g744 +Vif +p24308 +tp24309 +a(g826 +g1040 +tp24310 +a(g681 +Vtest +p24311 +tp24312 +a(g826 +g1040 +tp24313 +a(g157 +g1029 +tp24314 +a(g440 +V$linkmode +p24315 +tp24316 +a(g157 +g1029 +tp24317 +a(g826 +g1040 +tp24318 +a(g412 +g1010 +tp24319 +a(g826 +g1040 +tp24320 +a(g826 +Vlib +p24321 +tp24322 +a(g826 +g1040 +tp24323 +a(g412 +V&& +p24324 +tp24325 +a(g826 +V\u000a +p24326 +tp24327 +a(g681 +Vtest +p24328 +tp24329 +a(g826 +g1040 +tp24330 +a(g157 +g1029 +tp24331 +a(g440 +V$hardcode_into_libs +p24332 +tp24333 +a(g157 +g1029 +tp24334 +a(g826 +g1040 +tp24335 +a(g412 +g1010 +tp24336 +a(g826 +g1040 +tp24337 +a(g826 +Vyes +p24338 +tp24339 +a(g705 +g1164 +tp24340 +a(g826 +g1040 +tp24341 +a(g744 +Vthen +p24342 +tp24343 +a(g826 +V\u000a +p24344 +tp24345 +a(g8 +V# Hardcode the library path.\u000a +p24346 +tp24347 +a(g826 +V +p24348 +tp24349 +a(g8 +V# Skip directories that are in the system default run-time\u000a +p24350 +tp24351 +a(g826 +V +p24352 +tp24353 +a(g8 +V# search path.\u000a +p24354 +tp24355 +a(g826 +V +p24356 +tp24357 +a(g744 +Vcase +p24358 +tp24359 +a(g826 +g1040 +tp24360 +a(g157 +g1029 +tp24361 +a(g157 +g1040 +tp24362 +a(g440 +V$sys_lib_dlsearch_path +p24363 +tp24364 +a(g157 +g1040 +tp24365 +a(g157 +g1029 +tp24366 +a(g826 +g1040 +tp24367 +a(g826 +Vin +p24368 +tp24369 +a(g826 +V\u000a +p24370 +tp24371 +a(g826 +g1562 +tp24372 +a(g157 +g1029 +tp24373 +a(g157 +g1040 +tp24374 +a(g440 +V$absdir +p24375 +tp24376 +a(g157 +g1040 +tp24377 +a(g157 +g1029 +tp24378 +a(g826 +g1562 +tp24379 +a(g412 +g1533 +tp24380 +a(g826 +g1040 +tp24381 +a(g705 +g1164 +tp24382 +a(g705 +g1164 +tp24383 +a(g826 +V\u000a +p24384 +tp24385 +a(g826 +g1562 +tp24386 +a(g412 +g1533 +tp24387 +a(g826 +V\u000a +p24388 +tp24389 +a(g744 +Vcase +p24390 +tp24391 +a(g826 +g1040 +tp24392 +a(g157 +g1029 +tp24393 +a(g440 +V$compile_rpath +p24394 +tp24395 +a(g157 +g1040 +tp24396 +a(g157 +g1029 +tp24397 +a(g826 +g1040 +tp24398 +a(g826 +Vin +p24399 +tp24400 +a(g826 +V\u000a +p24401 +tp24402 +a(g826 +g1562 +tp24403 +a(g157 +g1029 +tp24404 +a(g157 +g1040 +tp24405 +a(g440 +V$absdir +p24406 +tp24407 +a(g157 +g1040 +tp24408 +a(g157 +g1029 +tp24409 +a(g826 +g1562 +tp24410 +a(g412 +g1533 +tp24411 +a(g826 +g1040 +tp24412 +a(g705 +g1164 +tp24413 +a(g705 +g1164 +tp24414 +a(g826 +V\u000a +p24415 +tp24416 +a(g826 +g1562 +tp24417 +a(g412 +g1533 +tp24418 +a(g826 +g1040 +tp24419 +a(g440 +Vcompile_rpath +p24420 +tp24421 +a(g412 +g1010 +tp24422 +a(g157 +g1029 +tp24423 +a(g440 +V$compile_rpath +p24424 +tp24425 +a(g157 +g1040 +tp24426 +a(g440 +V$absdir +p24427 +tp24428 +a(g157 +g1029 +tp24429 +a(g826 +V\u000a +p24430 +tp24431 +a(g744 +Vesac +p24432 +tp24433 +a(g826 +V\u000a +p24434 +tp24435 +a(g705 +g1164 +tp24436 +a(g705 +g1164 +tp24437 +a(g826 +V\u000a +p24438 +tp24439 +a(g744 +Vesac +p24440 +tp24441 +a(g826 +V\u000a +p24442 +tp24443 +a(g744 +Vcase +p24444 +tp24445 +a(g826 +g1040 +tp24446 +a(g157 +g1029 +tp24447 +a(g157 +g1040 +tp24448 +a(g440 +V$sys_lib_dlsearch_path +p24449 +tp24450 +a(g157 +g1040 +tp24451 +a(g157 +g1029 +tp24452 +a(g826 +g1040 +tp24453 +a(g826 +Vin +p24454 +tp24455 +a(g826 +V\u000a +p24456 +tp24457 +a(g826 +g1562 +tp24458 +a(g157 +g1029 +tp24459 +a(g157 +g1040 +tp24460 +a(g440 +V$libdir +p24461 +tp24462 +a(g157 +g1040 +tp24463 +a(g157 +g1029 +tp24464 +a(g826 +g1562 +tp24465 +a(g412 +g1533 +tp24466 +a(g826 +g1040 +tp24467 +a(g705 +g1164 +tp24468 +a(g705 +g1164 +tp24469 +a(g826 +V\u000a +p24470 +tp24471 +a(g826 +g1562 +tp24472 +a(g412 +g1533 +tp24473 +a(g826 +V\u000a +p24474 +tp24475 +a(g744 +Vcase +p24476 +tp24477 +a(g826 +g1040 +tp24478 +a(g157 +g1029 +tp24479 +a(g440 +V$finalize_rpath +p24480 +tp24481 +a(g157 +g1040 +tp24482 +a(g157 +g1029 +tp24483 +a(g826 +g1040 +tp24484 +a(g826 +Vin +p24485 +tp24486 +a(g826 +V\u000a +p24487 +tp24488 +a(g826 +g1562 +tp24489 +a(g157 +g1029 +tp24490 +a(g157 +g1040 +tp24491 +a(g440 +V$libdir +p24492 +tp24493 +a(g157 +g1040 +tp24494 +a(g157 +g1029 +tp24495 +a(g826 +g1562 +tp24496 +a(g412 +g1533 +tp24497 +a(g826 +g1040 +tp24498 +a(g705 +g1164 +tp24499 +a(g705 +g1164 +tp24500 +a(g826 +V\u000a +p24501 +tp24502 +a(g826 +g1562 +tp24503 +a(g412 +g1533 +tp24504 +a(g826 +g1040 +tp24505 +a(g440 +Vfinalize_rpath +p24506 +tp24507 +a(g412 +g1010 +tp24508 +a(g157 +g1029 +tp24509 +a(g440 +V$finalize_rpath +p24510 +tp24511 +a(g157 +g1040 +tp24512 +a(g440 +V$libdir +p24513 +tp24514 +a(g157 +g1029 +tp24515 +a(g826 +V\u000a +p24516 +tp24517 +a(g744 +Vesac +p24518 +tp24519 +a(g826 +V\u000a +p24520 +tp24521 +a(g705 +g1164 +tp24522 +a(g705 +g1164 +tp24523 +a(g826 +V\u000a +p24524 +tp24525 +a(g744 +Vesac +p24526 +tp24527 +a(g826 +V\u000a +p24528 +tp24529 +a(g744 +Vfi +p24530 +tp24531 +a(g826 +V\u000a\u000a +p24532 +tp24533 +a(g744 +Vif +p24534 +tp24535 +a(g826 +g1040 +tp24536 +a(g681 +Vtest +p24537 +tp24538 +a(g826 +g1040 +tp24539 +a(g826 +V-n +p24540 +tp24541 +a(g826 +g1040 +tp24542 +a(g157 +g1029 +tp24543 +a(g440 +V$old_archive_from_expsyms_cmds +p24544 +tp24545 +a(g157 +g1029 +tp24546 +a(g705 +g1164 +tp24547 +a(g826 +g1040 +tp24548 +a(g744 +Vthen +p24549 +tp24550 +a(g826 +V\u000a +p24551 +tp24552 +a(g8 +V# figure out the soname\u000a +p24553 +tp24554 +a(g826 +V +p24555 +tp24556 +a(g681 +Vset +p24557 +tp24558 +a(g826 +g1040 +tp24559 +a(g826 +Vdummy +p24560 +tp24561 +a(g826 +g1040 +tp24562 +a(g440 +V$library_names +p24563 +tp24564 +a(g826 +V\u000a +p24565 +tp24566 +a(g440 +Vrealname +p24567 +tp24568 +a(g412 +g1010 +tp24569 +a(g157 +g1029 +tp24570 +a(g440 +V$2 +p24571 +tp24572 +a(g157 +g1029 +tp24573 +a(g826 +V\u000a +p24574 +tp24575 +a(g826 +Vshift +p24576 +tp24577 +a(g705 +g1164 +tp24578 +a(g826 +g1040 +tp24579 +a(g681 +Vshift +p24580 +tp24581 +a(g826 +V\u000a +p24582 +tp24583 +a(g440 +Vlibname +p24584 +tp24585 +a(g412 +g1010 +tp24586 +a(g101 +g1065 +tp24587 +a(g681 +Veval +p24588 +tp24589 +a(g826 +g1040 +tp24590 +a(g213 +V\u005c\u005c +p24591 +tp24592 +a(g440 +V$echo +p24593 +tp24594 +a(g826 +g1040 +tp24595 +a(g213 +V\u005c" +p24596 +tp24597 +a(g440 +V$libname_spec +p24598 +tp24599 +a(g213 +V\u005c" +p24600 +tp24601 +a(g101 +g1065 +tp24602 +a(g826 +V\u000a +p24603 +tp24604 +a(g8 +V# use dlname if we got it. it's perfectly good, no?\u000a +p24605 +tp24606 +a(g826 +V +p24607 +tp24608 +a(g744 +Vif +p24609 +tp24610 +a(g826 +g1040 +tp24611 +a(g681 +Vtest +p24612 +tp24613 +a(g826 +g1040 +tp24614 +a(g826 +V-n +p24615 +tp24616 +a(g826 +g1040 +tp24617 +a(g157 +g1029 +tp24618 +a(g440 +V$dlname +p24619 +tp24620 +a(g157 +g1029 +tp24621 +a(g705 +g1164 +tp24622 +a(g826 +g1040 +tp24623 +a(g744 +Vthen +p24624 +tp24625 +a(g826 +V\u000a +p24626 +tp24627 +a(g440 +Vsoname +p24628 +tp24629 +a(g412 +g1010 +tp24630 +a(g157 +g1029 +tp24631 +a(g440 +V$dlname +p24632 +tp24633 +a(g157 +g1029 +tp24634 +a(g826 +V\u000a +p24635 +tp24636 +a(g744 +Velif +p24637 +tp24638 +a(g826 +g1040 +tp24639 +a(g681 +Vtest +p24640 +tp24641 +a(g826 +g1040 +tp24642 +a(g826 +V-n +p24643 +tp24644 +a(g826 +g1040 +tp24645 +a(g157 +g1029 +tp24646 +a(g440 +V$soname_spec +p24647 +tp24648 +a(g157 +g1029 +tp24649 +a(g705 +g1164 +tp24650 +a(g826 +g1040 +tp24651 +a(g744 +Vthen +p24652 +tp24653 +a(g826 +V\u000a +p24654 +tp24655 +a(g8 +V# bleh windows\u000a +p24656 +tp24657 +a(g826 +V +p24658 +tp24659 +a(g744 +Vcase +p24660 +tp24661 +a(g826 +g1040 +tp24662 +a(g440 +V$host +p24663 +tp24664 +a(g826 +g1040 +tp24665 +a(g826 +Vin +p24666 +tp24667 +a(g826 +V\u000a +p24668 +tp24669 +a(g826 +V*cygwin* +p24670 +tp24671 +a(g826 +g1040 +tp24672 +a(g705 +g1075 +tp24673 +a(g826 +g1040 +tp24674 +a(g826 +Vmingw* +p24675 +tp24676 +a(g412 +g1533 +tp24677 +a(g826 +V\u000a +p24678 +tp24679 +a(g440 +Vmajor +p24680 +tp24681 +a(g412 +g1010 +tp24682 +a(g101 +g1065 +tp24683 +a(g826 +Vexpr +p24684 +tp24685 +a(g826 +g1040 +tp24686 +a(g440 +V$current +p24687 +tp24688 +a(g826 +g1040 +tp24689 +a(g826 +g2185 +tp24690 +a(g826 +g1040 +tp24691 +a(g440 +V$age +p24692 +tp24693 +a(g101 +g1065 +tp24694 +a(g826 +V\u000a +p24695 +tp24696 +a(g440 +Vversuffix +p24697 +tp24698 +a(g412 +g1010 +tp24699 +a(g157 +g1029 +tp24700 +a(g157 +g2185 +tp24701 +a(g440 +V$major +p24702 +tp24703 +a(g157 +g1029 +tp24704 +a(g826 +V\u000a +p24705 +tp24706 +a(g705 +g1164 +tp24707 +a(g705 +g1164 +tp24708 +a(g826 +V\u000a +p24709 +tp24710 +a(g744 +Vesac +p24711 +tp24712 +a(g826 +V\u000a +p24713 +tp24714 +a(g681 +Veval +p24715 +tp24716 +a(g826 +g1040 +tp24717 +a(g440 +Vsoname +p24718 +tp24719 +a(g412 +g1010 +tp24720 +a(g213 +V\u005c" +p24721 +tp24722 +a(g440 +V$soname_spec +p24723 +tp24724 +a(g213 +V\u005c" +p24725 +tp24726 +a(g826 +V\u000a +p24727 +tp24728 +a(g744 +Velse +p24729 +tp24730 +a(g826 +V\u000a +p24731 +tp24732 +a(g440 +Vsoname +p24733 +tp24734 +a(g412 +g1010 +tp24735 +a(g157 +g1029 +tp24736 +a(g440 +V$realname +p24737 +tp24738 +a(g157 +g1029 +tp24739 +a(g826 +V\u000a +p24740 +tp24741 +a(g744 +Vfi +p24742 +tp24743 +a(g826 +V\u000a\u000a +p24744 +tp24745 +a(g8 +V# Make a new name for the extract_expsyms_cmds to use\u000a +p24746 +tp24747 +a(g826 +V +p24748 +tp24749 +a(g440 +Vsoroot +p24750 +tp24751 +a(g412 +g1010 +tp24752 +a(g157 +g1029 +tp24753 +a(g440 +V$soname +p24754 +tp24755 +a(g157 +g1029 +tp24756 +a(g826 +V\u000a +p24757 +tp24758 +a(g440 +Vsoname +p24759 +tp24760 +a(g412 +g1010 +tp24761 +a(g101 +g1065 +tp24762 +a(g440 +V$echo +p24763 +tp24764 +a(g826 +g1040 +tp24765 +a(g440 +V$soroot +p24766 +tp24767 +a(g826 +g1040 +tp24768 +a(g705 +g1075 +tp24769 +a(g826 +g1040 +tp24770 +a(g125 +V${ +p24771 +tp24772 +a(g440 +VSED +p24773 +tp24774 +a(g125 +g1160 +tp24775 +a(g826 +g1040 +tp24776 +a(g826 +V-e +p24777 +tp24778 +a(g826 +g1040 +tp24779 +a(g229 +V's/^.*\u005c///' +p24780 +tp24781 +a(g101 +g1065 +tp24782 +a(g826 +V\u000a +p24783 +tp24784 +a(g440 +Vnewlib +p24785 +tp24786 +a(g412 +g1010 +tp24787 +a(g157 +g1029 +tp24788 +a(g157 +Vlibimp-` +p24789 +tp24790 +a(g440 +V$echo +p24791 +tp24792 +a(g157 +g1040 +tp24793 +a(g440 +V$soname +p24794 +tp24795 +a(g157 +V | +p24796 +tp24797 +a(g125 +V${ +p24798 +tp24799 +a(g440 +VSED +p24800 +tp24801 +a(g125 +g1160 +tp24802 +a(g157 +V 's/^lib//;s/\u005c.dll +p24803 +tp24804 +a(g826 +g3082 +tp24805 +a(g157 +V//'`.a +p24806 +tp24807 +a(g157 +g1029 +tp24808 +a(g826 +V\u000a\u000a +p24809 +tp24810 +a(g8 +V# If the library has no export list, then create one now\u000a +p24811 +tp24812 +a(g826 +V +p24813 +tp24814 +a(g744 +Vif +p24815 +tp24816 +a(g826 +g1040 +tp24817 +a(g681 +Vtest +p24818 +tp24819 +a(g826 +g1040 +tp24820 +a(g826 +V-f +p24821 +tp24822 +a(g826 +g1040 +tp24823 +a(g157 +g1029 +tp24824 +a(g440 +V$output_objdir +p24825 +tp24826 +a(g157 +g1886 +tp24827 +a(g440 +V$soname +p24828 +tp24829 +a(g157 +V-def +p24830 +tp24831 +a(g157 +g1029 +tp24832 +a(g705 +g1164 +tp24833 +a(g826 +g1040 +tp24834 +a(g744 +Vthen +p24835 +tp24836 +a(g826 +g1040 +tp24837 +a(g826 +g1314 +tp24838 +a(g826 +V\u000a +p24839 +tp24840 +a(g744 +Velse +p24841 +tp24842 +a(g826 +V\u000a +p24843 +tp24844 +a(g440 +V$show +p24845 +tp24846 +a(g826 +g1040 +tp24847 +a(g157 +g1029 +tp24848 +a(g157 +Vextracting exported symbol list from \u005c` +p24849 +tp24850 +a(g440 +V$soname +p24851 +tp24852 +a(g157 +g2379 +tp24853 +a(g157 +g1029 +tp24854 +a(g826 +V\u000a +p24855 +tp24856 +a(g440 +Vsave_ifs +p24857 +tp24858 +a(g412 +g1010 +tp24859 +a(g157 +g1029 +tp24860 +a(g440 +V$IFS +p24861 +tp24862 +a(g157 +g1029 +tp24863 +a(g705 +g1164 +tp24864 +a(g826 +g1040 +tp24865 +a(g440 +VIFS +p24866 +tp24867 +a(g412 +g1010 +tp24868 +a(g229 +V'~' +p24869 +tp24870 +a(g826 +V\u000a +p24871 +tp24872 +a(g440 +Vcmds +p24873 +tp24874 +a(g412 +g1010 +tp24875 +a(g440 +V$extract_expsyms_cmds +p24876 +tp24877 +a(g826 +V\u000a +p24878 +tp24879 +a(g744 +Vfor +p24880 +tp24881 +a(g826 +g1040 +tp24882 +a(g826 +Vcmd +p24883 +tp24884 +a(g826 +g1040 +tp24885 +a(g826 +Vin +p24886 +tp24887 +a(g826 +g1040 +tp24888 +a(g440 +V$cmds +p24889 +tp24890 +a(g705 +g1164 +tp24891 +a(g826 +g1040 +tp24892 +a(g744 +Vdo +p24893 +tp24894 +a(g826 +V\u000a +p24895 +tp24896 +a(g440 +VIFS +p24897 +tp24898 +a(g412 +g1010 +tp24899 +a(g157 +g1029 +tp24900 +a(g440 +V$save_ifs +p24901 +tp24902 +a(g157 +g1029 +tp24903 +a(g826 +V\u000a +p24904 +tp24905 +a(g681 +Veval +p24906 +tp24907 +a(g826 +g1040 +tp24908 +a(g440 +Vcmd +p24909 +tp24910 +a(g412 +g1010 +tp24911 +a(g213 +V\u005c" +p24912 +tp24913 +a(g440 +V$cmd +p24914 +tp24915 +a(g213 +V\u005c" +p24916 +tp24917 +a(g826 +V\u000a +p24918 +tp24919 +a(g440 +V$show +p24920 +tp24921 +a(g826 +g1040 +tp24922 +a(g157 +g1029 +tp24923 +a(g440 +V$cmd +p24924 +tp24925 +a(g157 +g1029 +tp24926 +a(g826 +V\u000a +p24927 +tp24928 +a(g440 +V$run +p24929 +tp24930 +a(g826 +g1040 +tp24931 +a(g681 +Veval +p24932 +tp24933 +a(g826 +g1040 +tp24934 +a(g157 +g1029 +tp24935 +a(g440 +V$cmd +p24936 +tp24937 +a(g157 +g1029 +tp24938 +a(g826 +g1040 +tp24939 +a(g412 +V|| +p24940 +tp24941 +a(g826 +g1040 +tp24942 +a(g681 +Vexit +p24943 +tp24944 +a(g826 +g1040 +tp24945 +a(g440 +V$? +p24946 +tp24947 +a(g826 +V\u000a +p24948 +tp24949 +a(g744 +Vdone +p24950 +tp24951 +a(g826 +V\u000a +p24952 +tp24953 +a(g440 +VIFS +p24954 +tp24955 +a(g412 +g1010 +tp24956 +a(g157 +g1029 +tp24957 +a(g440 +V$save_ifs +p24958 +tp24959 +a(g157 +g1029 +tp24960 +a(g826 +V\u000a +p24961 +tp24962 +a(g744 +Vfi +p24963 +tp24964 +a(g826 +V\u000a\u000a +p24965 +tp24966 +a(g8 +V# Create $newlib\u000a +p24967 +tp24968 +a(g826 +V +p24969 +tp24970 +a(g744 +Vif +p24971 +tp24972 +a(g826 +g1040 +tp24973 +a(g681 +Vtest +p24974 +tp24975 +a(g826 +g1040 +tp24976 +a(g826 +V-f +p24977 +tp24978 +a(g826 +g1040 +tp24979 +a(g157 +g1029 +tp24980 +a(g440 +V$output_objdir +p24981 +tp24982 +a(g157 +g1886 +tp24983 +a(g440 +V$newlib +p24984 +tp24985 +a(g157 +g1029 +tp24986 +a(g705 +g1164 +tp24987 +a(g826 +g1040 +tp24988 +a(g744 +Vthen +p24989 +tp24990 +a(g826 +g1040 +tp24991 +a(g826 +g1314 +tp24992 +a(g705 +g1164 +tp24993 +a(g826 +g1040 +tp24994 +a(g744 +Velse +p24995 +tp24996 +a(g826 +V\u000a +p24997 +tp24998 +a(g440 +V$show +p24999 +tp25000 +a(g826 +g1040 +tp25001 +a(g157 +g1029 +tp25002 +a(g157 +Vgenerating import library for \u005c` +p25003 +tp25004 +a(g440 +V$soname +p25005 +tp25006 +a(g157 +g2379 +tp25007 +a(g157 +g1029 +tp25008 +a(g826 +V\u000a +p25009 +tp25010 +a(g440 +Vsave_ifs +p25011 +tp25012 +a(g412 +g1010 +tp25013 +a(g157 +g1029 +tp25014 +a(g440 +V$IFS +p25015 +tp25016 +a(g157 +g1029 +tp25017 +a(g705 +g1164 +tp25018 +a(g826 +g1040 +tp25019 +a(g440 +VIFS +p25020 +tp25021 +a(g412 +g1010 +tp25022 +a(g229 +V'~' +p25023 +tp25024 +a(g826 +V\u000a +p25025 +tp25026 +a(g440 +Vcmds +p25027 +tp25028 +a(g412 +g1010 +tp25029 +a(g440 +V$old_archive_from_expsyms_cmds +p25030 +tp25031 +a(g826 +V\u000a +p25032 +tp25033 +a(g744 +Vfor +p25034 +tp25035 +a(g826 +g1040 +tp25036 +a(g826 +Vcmd +p25037 +tp25038 +a(g826 +g1040 +tp25039 +a(g826 +Vin +p25040 +tp25041 +a(g826 +g1040 +tp25042 +a(g440 +V$cmds +p25043 +tp25044 +a(g705 +g1164 +tp25045 +a(g826 +g1040 +tp25046 +a(g744 +Vdo +p25047 +tp25048 +a(g826 +V\u000a +p25049 +tp25050 +a(g440 +VIFS +p25051 +tp25052 +a(g412 +g1010 +tp25053 +a(g157 +g1029 +tp25054 +a(g440 +V$save_ifs +p25055 +tp25056 +a(g157 +g1029 +tp25057 +a(g826 +V\u000a +p25058 +tp25059 +a(g681 +Veval +p25060 +tp25061 +a(g826 +g1040 +tp25062 +a(g440 +Vcmd +p25063 +tp25064 +a(g412 +g1010 +tp25065 +a(g213 +V\u005c" +p25066 +tp25067 +a(g440 +V$cmd +p25068 +tp25069 +a(g213 +V\u005c" +p25070 +tp25071 +a(g826 +V\u000a +p25072 +tp25073 +a(g440 +V$show +p25074 +tp25075 +a(g826 +g1040 +tp25076 +a(g157 +g1029 +tp25077 +a(g440 +V$cmd +p25078 +tp25079 +a(g157 +g1029 +tp25080 +a(g826 +V\u000a +p25081 +tp25082 +a(g440 +V$run +p25083 +tp25084 +a(g826 +g1040 +tp25085 +a(g681 +Veval +p25086 +tp25087 +a(g826 +g1040 +tp25088 +a(g157 +g1029 +tp25089 +a(g440 +V$cmd +p25090 +tp25091 +a(g157 +g1029 +tp25092 +a(g826 +g1040 +tp25093 +a(g412 +V|| +p25094 +tp25095 +a(g826 +g1040 +tp25096 +a(g681 +Vexit +p25097 +tp25098 +a(g826 +g1040 +tp25099 +a(g440 +V$? +p25100 +tp25101 +a(g826 +V\u000a +p25102 +tp25103 +a(g744 +Vdone +p25104 +tp25105 +a(g826 +V\u000a +p25106 +tp25107 +a(g440 +VIFS +p25108 +tp25109 +a(g412 +g1010 +tp25110 +a(g157 +g1029 +tp25111 +a(g440 +V$save_ifs +p25112 +tp25113 +a(g157 +g1029 +tp25114 +a(g826 +V\u000a +p25115 +tp25116 +a(g744 +Vfi +p25117 +tp25118 +a(g826 +V\u000a +p25119 +tp25120 +a(g8 +V# make sure the library variables are pointing to the new library\u000a +p25121 +tp25122 +a(g826 +V +p25123 +tp25124 +a(g440 +Vdir +p25125 +tp25126 +a(g412 +g1010 +tp25127 +a(g440 +V$output_objdir +p25128 +tp25129 +a(g826 +V\u000a +p25130 +tp25131 +a(g440 +Vlinklib +p25132 +tp25133 +a(g412 +g1010 +tp25134 +a(g440 +V$newlib +p25135 +tp25136 +a(g826 +V\u000a +p25137 +tp25138 +a(g744 +Vfi +p25139 +tp25140 +a(g826 +g1040 +tp25141 +a(g8 +V# test -n "$old_archive_from_expsyms_cmds"\u000a +p25142 +tp25143 +a(g826 +V\u000a +p25144 +tp25145 +a(g744 +Vif +p25146 +tp25147 +a(g826 +g1040 +tp25148 +a(g681 +Vtest +p25149 +tp25150 +a(g826 +g1040 +tp25151 +a(g157 +g1029 +tp25152 +a(g440 +V$linkmode +p25153 +tp25154 +a(g157 +g1029 +tp25155 +a(g826 +g1040 +tp25156 +a(g412 +g1010 +tp25157 +a(g826 +g1040 +tp25158 +a(g826 +Vprog +p25159 +tp25160 +a(g826 +g1040 +tp25161 +a(g412 +V|| +p25162 +tp25163 +a(g826 +g1040 +tp25164 +a(g681 +Vtest +p25165 +tp25166 +a(g826 +g1040 +tp25167 +a(g157 +g1029 +tp25168 +a(g440 +V$mode +p25169 +tp25170 +a(g157 +g1029 +tp25171 +a(g826 +g1040 +tp25172 +a(g826 +g1738 +tp25173 +a(g412 +g1010 +tp25174 +a(g826 +g1040 +tp25175 +a(g826 +Vrelink +p25176 +tp25177 +a(g705 +g1164 +tp25178 +a(g826 +g1040 +tp25179 +a(g744 +Vthen +p25180 +tp25181 +a(g826 +V\u000a +p25182 +tp25183 +a(g440 +Vadd_shlibpath +p25184 +tp25185 +a(g412 +g1010 +tp25186 +a(g826 +V\u000a +p25187 +tp25188 +a(g440 +Vadd_dir +p25189 +tp25190 +a(g412 +g1010 +tp25191 +a(g826 +V\u000a +p25192 +tp25193 +a(g440 +Vadd +p25194 +tp25195 +a(g412 +g1010 +tp25196 +a(g826 +V\u000a +p25197 +tp25198 +a(g440 +Vlib_linked +p25199 +tp25200 +a(g412 +g1010 +tp25201 +a(g826 +Vyes +p25202 +tp25203 +a(g826 +V\u000a +p25204 +tp25205 +a(g744 +Vcase +p25206 +tp25207 +a(g826 +g1040 +tp25208 +a(g440 +V$hardcode_action +p25209 +tp25210 +a(g826 +g1040 +tp25211 +a(g826 +Vin +p25212 +tp25213 +a(g826 +V\u000a +p25214 +tp25215 +a(g826 +Vimmediate +p25216 +tp25217 +a(g826 +g1040 +tp25218 +a(g705 +g1075 +tp25219 +a(g826 +g1040 +tp25220 +a(g826 +Vunsupported +p25221 +tp25222 +a(g412 +g1533 +tp25223 +a(g826 +V\u000a +p25224 +tp25225 +a(g744 +Vif +p25226 +tp25227 +a(g826 +g1040 +tp25228 +a(g681 +Vtest +p25229 +tp25230 +a(g826 +g1040 +tp25231 +a(g157 +g1029 +tp25232 +a(g440 +V$hardcode_direct +p25233 +tp25234 +a(g157 +g1029 +tp25235 +a(g826 +g1040 +tp25236 +a(g412 +g1010 +tp25237 +a(g826 +g1040 +tp25238 +a(g826 +Vno +p25239 +tp25240 +a(g705 +g1164 +tp25241 +a(g826 +g1040 +tp25242 +a(g744 +Vthen +p25243 +tp25244 +a(g826 +V\u000a +p25245 +tp25246 +a(g440 +Vadd +p25247 +tp25248 +a(g412 +g1010 +tp25249 +a(g157 +g1029 +tp25250 +a(g440 +V$dir +p25251 +tp25252 +a(g157 +g1886 +tp25253 +a(g440 +V$linklib +p25254 +tp25255 +a(g157 +g1029 +tp25256 +a(g826 +V\u000a +p25257 +tp25258 +a(g744 +Vcase +p25259 +tp25260 +a(g826 +g1040 +tp25261 +a(g440 +V$host +p25262 +tp25263 +a(g826 +g1040 +tp25264 +a(g826 +Vin +p25265 +tp25266 +a(g826 +V\u000a +p25267 +tp25268 +a(g826 +V*-*-sco3.2v5.0. +p25269 +tp25270 +a(g412 +g2838 +tp25271 +a(g32 +V024 +p25272 +tp25273 +a(g412 +g2876 +tp25274 +a(g826 +g1562 +tp25275 +a(g412 +g1533 +tp25276 +a(g826 +g1040 +tp25277 +a(g440 +Vadd_dir +p25278 +tp25279 +a(g412 +g1010 +tp25280 +a(g157 +g1029 +tp25281 +a(g157 +V-L +p25282 +tp25283 +a(g440 +V$dir +p25284 +tp25285 +a(g157 +g1029 +tp25286 +a(g826 +g1040 +tp25287 +a(g705 +g1164 +tp25288 +a(g705 +g1164 +tp25289 +a(g826 +V\u000a +p25290 +tp25291 +a(g826 +V*-*-sysv4*uw2* +p25292 +tp25293 +a(g412 +g1533 +tp25294 +a(g826 +g1040 +tp25295 +a(g440 +Vadd_dir +p25296 +tp25297 +a(g412 +g1010 +tp25298 +a(g157 +g1029 +tp25299 +a(g157 +V-L +p25300 +tp25301 +a(g440 +V$dir +p25302 +tp25303 +a(g157 +g1029 +tp25304 +a(g826 +g1040 +tp25305 +a(g705 +g1164 +tp25306 +a(g705 +g1164 +tp25307 +a(g826 +V\u000a +p25308 +tp25309 +a(g826 +V*-*-sysv5OpenUNIX* +p25310 +tp25311 +a(g826 +g1040 +tp25312 +a(g705 +g1075 +tp25313 +a(g826 +g1040 +tp25314 +a(g826 +V*-*-sysv5UnixWare7. +p25315 +tp25316 +a(g412 +g2838 +tp25317 +a(g32 +V01 +p25318 +tp25319 +a(g412 +g2876 +tp25320 +a(g826 +g5058 +tp25321 +a(g412 +g2838 +tp25322 +a(g32 +V10 +p25323 +tp25324 +a(g412 +g2876 +tp25325 +a(g826 +g1562 +tp25326 +a(g826 +g1040 +tp25327 +a(g705 +g1075 +tp25328 +a(g826 +g1040 +tp25329 +a(g213 +V\u005c\u000a +p25330 +tp25331 +a(g826 +V +p25332 +tp25333 +a(g826 +V*-*-unixware7* +p25334 +tp25335 +a(g412 +g1533 +tp25336 +a(g826 +g1040 +tp25337 +a(g440 +Vadd_dir +p25338 +tp25339 +a(g412 +g1010 +tp25340 +a(g157 +g1029 +tp25341 +a(g157 +V-L +p25342 +tp25343 +a(g440 +V$dir +p25344 +tp25345 +a(g157 +g1029 +tp25346 +a(g826 +g1040 +tp25347 +a(g705 +g1164 +tp25348 +a(g705 +g1164 +tp25349 +a(g826 +V\u000a +p25350 +tp25351 +a(g826 +V*-*-darwin* +p25352 +tp25353 +a(g826 +g1040 +tp25354 +a(g412 +g1533 +tp25355 +a(g826 +V\u000a +p25356 +tp25357 +a(g8 +V# if the lib is a module then we can not link against\u000a +p25358 +tp25359 +a(g826 +V +p25360 +tp25361 +a(g8 +V# it, someone is ignoring the new warnings I added\u000a +p25362 +tp25363 +a(g826 +V +p25364 +tp25365 +a(g744 +Vif +p25366 +tp25367 +a(g826 +g1040 +tp25368 +a(g826 +V/usr/bin/file +p25369 +tp25370 +a(g826 +g1040 +tp25371 +a(g826 +V-L +p25372 +tp25373 +a(g826 +g1040 +tp25374 +a(g440 +V$add +p25375 +tp25376 +a(g826 +g1040 +tp25377 +a(g32 +g1782 +tp25378 +a(g826 +g1778 +tp25379 +a(g826 +g1040 +tp25380 +a(g826 +V/dev/null +p25381 +tp25382 +a(g826 +g1040 +tp25383 +a(g705 +g1075 +tp25384 +a(g826 +V\u000a +p25385 +tp25386 +a(g440 +V$EGREP +p25387 +tp25388 +a(g826 +g1040 +tp25389 +a(g157 +V": [^:]* bundle" +p25390 +tp25391 +a(g826 +g1040 +tp25392 +a(g826 +V>/dev/null +p25393 +tp25394 +a(g826 +g1040 +tp25395 +a(g705 +g1164 +tp25396 +a(g826 +g1040 +tp25397 +a(g744 +Vthen +p25398 +tp25399 +a(g826 +V\u000a +p25400 +tp25401 +a(g440 +V$echo +p25402 +tp25403 +a(g826 +g1040 +tp25404 +a(g157 +g1029 +tp25405 +a(g157 +V** Warning, lib +p25406 +tp25407 +a(g440 +V$linklib +p25408 +tp25409 +a(g157 +V is a module, not a shared library +p25410 +tp25411 +a(g157 +g1029 +tp25412 +a(g826 +V\u000a +p25413 +tp25414 +a(g744 +Vif +p25415 +tp25416 +a(g826 +g1040 +tp25417 +a(g681 +Vtest +p25418 +tp25419 +a(g826 +g1040 +tp25420 +a(g826 +V-z +p25421 +tp25422 +a(g826 +g1040 +tp25423 +a(g157 +g1029 +tp25424 +a(g440 +V$old_library +p25425 +tp25426 +a(g157 +g1029 +tp25427 +a(g826 +g1040 +tp25428 +a(g705 +g1164 +tp25429 +a(g826 +g1040 +tp25430 +a(g744 +Vthen +p25431 +tp25432 +a(g826 +V\u000a +p25433 +tp25434 +a(g440 +V$echo +p25435 +tp25436 +a(g826 +V\u000a +p25437 +tp25438 +a(g440 +V$echo +p25439 +tp25440 +a(g826 +g1040 +tp25441 +a(g157 +V"** And there doesn't seem to be a static archive available" +p25442 +tp25443 +a(g826 +V\u000a +p25444 +tp25445 +a(g440 +V$echo +p25446 +tp25447 +a(g826 +g1040 +tp25448 +a(g157 +V"** The link will probably fail, sorry" +p25449 +tp25450 +a(g826 +V\u000a +p25451 +tp25452 +a(g744 +Velse +p25453 +tp25454 +a(g826 +V\u000a +p25455 +tp25456 +a(g440 +Vadd +p25457 +tp25458 +a(g412 +g1010 +tp25459 +a(g157 +g1029 +tp25460 +a(g440 +V$dir +p25461 +tp25462 +a(g157 +g1886 +tp25463 +a(g440 +V$old_library +p25464 +tp25465 +a(g157 +g1029 +tp25466 +a(g826 +V\u000a +p25467 +tp25468 +a(g744 +Vfi +p25469 +tp25470 +a(g826 +V\u000a +p25471 +tp25472 +a(g744 +Vfi +p25473 +tp25474 +a(g826 +V\u000a +p25475 +tp25476 +a(g744 +Vesac +p25477 +tp25478 +a(g826 +V\u000a +p25479 +tp25480 +a(g744 +Velif +p25481 +tp25482 +a(g826 +g1040 +tp25483 +a(g681 +Vtest +p25484 +tp25485 +a(g826 +g1040 +tp25486 +a(g157 +g1029 +tp25487 +a(g440 +V$hardcode_minus_L +p25488 +tp25489 +a(g157 +g1029 +tp25490 +a(g826 +g1040 +tp25491 +a(g412 +g1010 +tp25492 +a(g826 +g1040 +tp25493 +a(g826 +Vno +p25494 +tp25495 +a(g705 +g1164 +tp25496 +a(g826 +g1040 +tp25497 +a(g744 +Vthen +p25498 +tp25499 +a(g826 +V\u000a +p25500 +tp25501 +a(g744 +Vcase +p25502 +tp25503 +a(g826 +g1040 +tp25504 +a(g440 +V$host +p25505 +tp25506 +a(g826 +g1040 +tp25507 +a(g826 +Vin +p25508 +tp25509 +a(g826 +V\u000a +p25510 +tp25511 +a(g826 +V*-*-sunos* +p25512 +tp25513 +a(g412 +g1533 +tp25514 +a(g826 +g1040 +tp25515 +a(g440 +Vadd_shlibpath +p25516 +tp25517 +a(g412 +g1010 +tp25518 +a(g157 +g1029 +tp25519 +a(g440 +V$dir +p25520 +tp25521 +a(g157 +g1029 +tp25522 +a(g826 +g1040 +tp25523 +a(g705 +g1164 +tp25524 +a(g705 +g1164 +tp25525 +a(g826 +V\u000a +p25526 +tp25527 +a(g744 +Vesac +p25528 +tp25529 +a(g826 +V\u000a +p25530 +tp25531 +a(g440 +Vadd_dir +p25532 +tp25533 +a(g412 +g1010 +tp25534 +a(g157 +g1029 +tp25535 +a(g157 +V-L +p25536 +tp25537 +a(g440 +V$dir +p25538 +tp25539 +a(g157 +g1029 +tp25540 +a(g826 +V\u000a +p25541 +tp25542 +a(g440 +Vadd +p25543 +tp25544 +a(g412 +g1010 +tp25545 +a(g157 +g1029 +tp25546 +a(g157 +V-l +p25547 +tp25548 +a(g440 +V$name +p25549 +tp25550 +a(g157 +g1029 +tp25551 +a(g826 +V\u000a +p25552 +tp25553 +a(g744 +Velif +p25554 +tp25555 +a(g826 +g1040 +tp25556 +a(g681 +Vtest +p25557 +tp25558 +a(g826 +g1040 +tp25559 +a(g157 +g1029 +tp25560 +a(g440 +V$hardcode_shlibpath_var +p25561 +tp25562 +a(g157 +g1029 +tp25563 +a(g826 +g1040 +tp25564 +a(g412 +g1010 +tp25565 +a(g826 +g1040 +tp25566 +a(g826 +Vno +p25567 +tp25568 +a(g705 +g1164 +tp25569 +a(g826 +g1040 +tp25570 +a(g744 +Vthen +p25571 +tp25572 +a(g826 +V\u000a +p25573 +tp25574 +a(g440 +Vadd_shlibpath +p25575 +tp25576 +a(g412 +g1010 +tp25577 +a(g157 +g1029 +tp25578 +a(g440 +V$dir +p25579 +tp25580 +a(g157 +g1029 +tp25581 +a(g826 +V\u000a +p25582 +tp25583 +a(g440 +Vadd +p25584 +tp25585 +a(g412 +g1010 +tp25586 +a(g157 +g1029 +tp25587 +a(g157 +V-l +p25588 +tp25589 +a(g440 +V$name +p25590 +tp25591 +a(g157 +g1029 +tp25592 +a(g826 +V\u000a +p25593 +tp25594 +a(g744 +Velse +p25595 +tp25596 +a(g826 +V\u000a +p25597 +tp25598 +a(g440 +Vlib_linked +p25599 +tp25600 +a(g412 +g1010 +tp25601 +a(g826 +Vno +p25602 +tp25603 +a(g826 +V\u000a +p25604 +tp25605 +a(g744 +Vfi +p25606 +tp25607 +a(g826 +V\u000a +p25608 +tp25609 +a(g705 +g1164 +tp25610 +a(g705 +g1164 +tp25611 +a(g826 +V\u000a +p25612 +tp25613 +a(g826 +Vrelink +p25614 +tp25615 +a(g412 +g1533 +tp25616 +a(g826 +V\u000a +p25617 +tp25618 +a(g744 +Vif +p25619 +tp25620 +a(g826 +g1040 +tp25621 +a(g681 +Vtest +p25622 +tp25623 +a(g826 +g1040 +tp25624 +a(g157 +g1029 +tp25625 +a(g440 +V$hardcode_direct +p25626 +tp25627 +a(g157 +g1029 +tp25628 +a(g826 +g1040 +tp25629 +a(g412 +g1010 +tp25630 +a(g826 +g1040 +tp25631 +a(g826 +Vyes +p25632 +tp25633 +a(g705 +g1164 +tp25634 +a(g826 +g1040 +tp25635 +a(g744 +Vthen +p25636 +tp25637 +a(g826 +V\u000a +p25638 +tp25639 +a(g440 +Vadd +p25640 +tp25641 +a(g412 +g1010 +tp25642 +a(g157 +g1029 +tp25643 +a(g440 +V$dir +p25644 +tp25645 +a(g157 +g1886 +tp25646 +a(g440 +V$linklib +p25647 +tp25648 +a(g157 +g1029 +tp25649 +a(g826 +V\u000a +p25650 +tp25651 +a(g744 +Velif +p25652 +tp25653 +a(g826 +g1040 +tp25654 +a(g681 +Vtest +p25655 +tp25656 +a(g826 +g1040 +tp25657 +a(g157 +g1029 +tp25658 +a(g440 +V$hardcode_minus_L +p25659 +tp25660 +a(g157 +g1029 +tp25661 +a(g826 +g1040 +tp25662 +a(g412 +g1010 +tp25663 +a(g826 +g1040 +tp25664 +a(g826 +Vyes +p25665 +tp25666 +a(g705 +g1164 +tp25667 +a(g826 +g1040 +tp25668 +a(g744 +Vthen +p25669 +tp25670 +a(g826 +V\u000a +p25671 +tp25672 +a(g440 +Vadd_dir +p25673 +tp25674 +a(g412 +g1010 +tp25675 +a(g157 +g1029 +tp25676 +a(g157 +V-L +p25677 +tp25678 +a(g440 +V$dir +p25679 +tp25680 +a(g157 +g1029 +tp25681 +a(g826 +V\u000a +p25682 +tp25683 +a(g8 +V# Try looking first in the location we're being installed to.\u000a +p25684 +tp25685 +a(g826 +V +p25686 +tp25687 +a(g744 +Vif +p25688 +tp25689 +a(g826 +g1040 +tp25690 +a(g681 +Vtest +p25691 +tp25692 +a(g826 +g1040 +tp25693 +a(g826 +V-n +p25694 +tp25695 +a(g826 +g1040 +tp25696 +a(g157 +g1029 +tp25697 +a(g440 +V$inst_prefix_dir +p25698 +tp25699 +a(g157 +g1029 +tp25700 +a(g705 +g1164 +tp25701 +a(g826 +g1040 +tp25702 +a(g744 +Vthen +p25703 +tp25704 +a(g826 +V\u000a +p25705 +tp25706 +a(g744 +Vcase +p25707 +tp25708 +a(g826 +g1040 +tp25709 +a(g440 +V$libdir +p25710 +tp25711 +a(g826 +g1040 +tp25712 +a(g826 +Vin +p25713 +tp25714 +a(g826 +V\u000a +p25715 +tp25716 +a(g412 +g2838 +tp25717 +a(g213 +V\u005c\u005c +p25718 +tp25719 +a(g826 +g1886 +tp25720 +a(g412 +g2876 +tp25721 +a(g826 +g1562 +tp25722 +a(g412 +g1533 +tp25723 +a(g826 +V\u000a +p25724 +tp25725 +a(g440 +Vadd_dir +p25726 +tp25727 +a(g412 +g1010 +tp25728 +a(g157 +g1029 +tp25729 +a(g440 +V$add_dir +p25730 +tp25731 +a(g157 +V -L +p25732 +tp25733 +a(g440 +V$inst_prefix_dir +p25734 +tp25735 +a(g440 +V$libdir +p25736 +tp25737 +a(g157 +g1029 +tp25738 +a(g826 +V\u000a +p25739 +tp25740 +a(g705 +g1164 +tp25741 +a(g705 +g1164 +tp25742 +a(g826 +V\u000a +p25743 +tp25744 +a(g744 +Vesac +p25745 +tp25746 +a(g826 +V\u000a +p25747 +tp25748 +a(g744 +Vfi +p25749 +tp25750 +a(g826 +V\u000a +p25751 +tp25752 +a(g440 +Vadd +p25753 +tp25754 +a(g412 +g1010 +tp25755 +a(g157 +g1029 +tp25756 +a(g157 +V-l +p25757 +tp25758 +a(g440 +V$name +p25759 +tp25760 +a(g157 +g1029 +tp25761 +a(g826 +V\u000a +p25762 +tp25763 +a(g744 +Velif +p25764 +tp25765 +a(g826 +g1040 +tp25766 +a(g681 +Vtest +p25767 +tp25768 +a(g826 +g1040 +tp25769 +a(g157 +g1029 +tp25770 +a(g440 +V$hardcode_shlibpath_var +p25771 +tp25772 +a(g157 +g1029 +tp25773 +a(g826 +g1040 +tp25774 +a(g412 +g1010 +tp25775 +a(g826 +g1040 +tp25776 +a(g826 +Vyes +p25777 +tp25778 +a(g705 +g1164 +tp25779 +a(g826 +g1040 +tp25780 +a(g744 +Vthen +p25781 +tp25782 +a(g826 +V\u000a +p25783 +tp25784 +a(g440 +Vadd_shlibpath +p25785 +tp25786 +a(g412 +g1010 +tp25787 +a(g157 +g1029 +tp25788 +a(g440 +V$dir +p25789 +tp25790 +a(g157 +g1029 +tp25791 +a(g826 +V\u000a +p25792 +tp25793 +a(g440 +Vadd +p25794 +tp25795 +a(g412 +g1010 +tp25796 +a(g157 +g1029 +tp25797 +a(g157 +V-l +p25798 +tp25799 +a(g440 +V$name +p25800 +tp25801 +a(g157 +g1029 +tp25802 +a(g826 +V\u000a +p25803 +tp25804 +a(g744 +Velse +p25805 +tp25806 +a(g826 +V\u000a +p25807 +tp25808 +a(g440 +Vlib_linked +p25809 +tp25810 +a(g412 +g1010 +tp25811 +a(g826 +Vno +p25812 +tp25813 +a(g826 +V\u000a +p25814 +tp25815 +a(g744 +Vfi +p25816 +tp25817 +a(g826 +V\u000a +p25818 +tp25819 +a(g705 +g1164 +tp25820 +a(g705 +g1164 +tp25821 +a(g826 +V\u000a +p25822 +tp25823 +a(g826 +g1562 +tp25824 +a(g412 +g1533 +tp25825 +a(g826 +g1040 +tp25826 +a(g440 +Vlib_linked +p25827 +tp25828 +a(g412 +g1010 +tp25829 +a(g826 +Vno +p25830 +tp25831 +a(g826 +g1040 +tp25832 +a(g705 +g1164 +tp25833 +a(g705 +g1164 +tp25834 +a(g826 +V\u000a +p25835 +tp25836 +a(g744 +Vesac +p25837 +tp25838 +a(g826 +V\u000a\u000a +p25839 +tp25840 +a(g744 +Vif +p25841 +tp25842 +a(g826 +g1040 +tp25843 +a(g681 +Vtest +p25844 +tp25845 +a(g826 +g1040 +tp25846 +a(g157 +g1029 +tp25847 +a(g440 +V$lib_linked +p25848 +tp25849 +a(g157 +g1029 +tp25850 +a(g826 +g1040 +tp25851 +a(g826 +g1738 +tp25852 +a(g412 +g1010 +tp25853 +a(g826 +g1040 +tp25854 +a(g826 +Vyes +p25855 +tp25856 +a(g705 +g1164 +tp25857 +a(g826 +g1040 +tp25858 +a(g744 +Vthen +p25859 +tp25860 +a(g826 +V\u000a +p25861 +tp25862 +a(g440 +V$echo +p25863 +tp25864 +a(g826 +g1040 +tp25865 +a(g157 +g1029 +tp25866 +a(g440 +V$modename +p25867 +tp25868 +a(g157 +V: configuration error: unsupported hardcode properties +p25869 +tp25870 +a(g157 +g1029 +tp25871 +a(g826 +V\u000a +p25872 +tp25873 +a(g681 +Vexit +p25874 +tp25875 +a(g826 +g1040 +tp25876 +a(g440 +V$EXIT_FAILURE +p25877 +tp25878 +a(g826 +V\u000a +p25879 +tp25880 +a(g744 +Vfi +p25881 +tp25882 +a(g826 +V\u000a\u000a +p25883 +tp25884 +a(g744 +Vif +p25885 +tp25886 +a(g826 +g1040 +tp25887 +a(g681 +Vtest +p25888 +tp25889 +a(g826 +g1040 +tp25890 +a(g826 +V-n +p25891 +tp25892 +a(g826 +g1040 +tp25893 +a(g157 +g1029 +tp25894 +a(g440 +V$add_shlibpath +p25895 +tp25896 +a(g157 +g1029 +tp25897 +a(g705 +g1164 +tp25898 +a(g826 +g1040 +tp25899 +a(g744 +Vthen +p25900 +tp25901 +a(g826 +V\u000a +p25902 +tp25903 +a(g744 +Vcase +p25904 +tp25905 +a(g826 +g1040 +tp25906 +a(g826 +g1314 +tp25907 +a(g440 +V$compile_shlibpath +p25908 +tp25909 +a(g826 +g1314 +tp25910 +a(g826 +g1040 +tp25911 +a(g826 +Vin +p25912 +tp25913 +a(g826 +V\u000a +p25914 +tp25915 +a(g826 +g1562 +tp25916 +a(g157 +g1029 +tp25917 +a(g157 +g1314 +tp25918 +a(g440 +V$add_shlibpath +p25919 +tp25920 +a(g157 +g1314 +tp25921 +a(g157 +g1029 +tp25922 +a(g826 +g1562 +tp25923 +a(g412 +g1533 +tp25924 +a(g826 +g1040 +tp25925 +a(g705 +g1164 +tp25926 +a(g705 +g1164 +tp25927 +a(g826 +V\u000a +p25928 +tp25929 +a(g826 +g1562 +tp25930 +a(g412 +g1533 +tp25931 +a(g826 +g1040 +tp25932 +a(g440 +Vcompile_shlibpath +p25933 +tp25934 +a(g412 +g1010 +tp25935 +a(g157 +g1029 +tp25936 +a(g440 +V$compile_shlibpath +p25937 +tp25938 +a(g440 +V$add_shlibpath +p25939 +tp25940 +a(g157 +g1314 +tp25941 +a(g157 +g1029 +tp25942 +a(g826 +g1040 +tp25943 +a(g705 +g1164 +tp25944 +a(g705 +g1164 +tp25945 +a(g826 +V\u000a +p25946 +tp25947 +a(g744 +Vesac +p25948 +tp25949 +a(g826 +V\u000a +p25950 +tp25951 +a(g744 +Vfi +p25952 +tp25953 +a(g826 +V\u000a +p25954 +tp25955 +a(g744 +Vif +p25956 +tp25957 +a(g826 +g1040 +tp25958 +a(g681 +Vtest +p25959 +tp25960 +a(g826 +g1040 +tp25961 +a(g157 +g1029 +tp25962 +a(g440 +V$linkmode +p25963 +tp25964 +a(g157 +g1029 +tp25965 +a(g826 +g1040 +tp25966 +a(g412 +g1010 +tp25967 +a(g826 +g1040 +tp25968 +a(g826 +Vprog +p25969 +tp25970 +a(g705 +g1164 +tp25971 +a(g826 +g1040 +tp25972 +a(g744 +Vthen +p25973 +tp25974 +a(g826 +V\u000a +p25975 +tp25976 +a(g681 +Vtest +p25977 +tp25978 +a(g826 +g1040 +tp25979 +a(g826 +V-n +p25980 +tp25981 +a(g826 +g1040 +tp25982 +a(g157 +g1029 +tp25983 +a(g440 +V$add_dir +p25984 +tp25985 +a(g157 +g1029 +tp25986 +a(g826 +g1040 +tp25987 +a(g412 +V&& +p25988 +tp25989 +a(g826 +g1040 +tp25990 +a(g440 +Vcompile_deplibs +p25991 +tp25992 +a(g412 +g1010 +tp25993 +a(g157 +g1029 +tp25994 +a(g440 +V$add_dir +p25995 +tp25996 +a(g157 +g1040 +tp25997 +a(g440 +V$compile_deplibs +p25998 +tp25999 +a(g157 +g1029 +tp26000 +a(g826 +V\u000a +p26001 +tp26002 +a(g681 +Vtest +p26003 +tp26004 +a(g826 +g1040 +tp26005 +a(g826 +V-n +p26006 +tp26007 +a(g826 +g1040 +tp26008 +a(g157 +g1029 +tp26009 +a(g440 +V$add +p26010 +tp26011 +a(g157 +g1029 +tp26012 +a(g826 +g1040 +tp26013 +a(g412 +V&& +p26014 +tp26015 +a(g826 +g1040 +tp26016 +a(g440 +Vcompile_deplibs +p26017 +tp26018 +a(g412 +g1010 +tp26019 +a(g157 +g1029 +tp26020 +a(g440 +V$add +p26021 +tp26022 +a(g157 +g1040 +tp26023 +a(g440 +V$compile_deplibs +p26024 +tp26025 +a(g157 +g1029 +tp26026 +a(g826 +V\u000a +p26027 +tp26028 +a(g744 +Velse +p26029 +tp26030 +a(g826 +V\u000a +p26031 +tp26032 +a(g681 +Vtest +p26033 +tp26034 +a(g826 +g1040 +tp26035 +a(g826 +V-n +p26036 +tp26037 +a(g826 +g1040 +tp26038 +a(g157 +g1029 +tp26039 +a(g440 +V$add_dir +p26040 +tp26041 +a(g157 +g1029 +tp26042 +a(g826 +g1040 +tp26043 +a(g412 +V&& +p26044 +tp26045 +a(g826 +g1040 +tp26046 +a(g440 +Vdeplibs +p26047 +tp26048 +a(g412 +g1010 +tp26049 +a(g157 +g1029 +tp26050 +a(g440 +V$add_dir +p26051 +tp26052 +a(g157 +g1040 +tp26053 +a(g440 +V$deplibs +p26054 +tp26055 +a(g157 +g1029 +tp26056 +a(g826 +V\u000a +p26057 +tp26058 +a(g681 +Vtest +p26059 +tp26060 +a(g826 +g1040 +tp26061 +a(g826 +V-n +p26062 +tp26063 +a(g826 +g1040 +tp26064 +a(g157 +g1029 +tp26065 +a(g440 +V$add +p26066 +tp26067 +a(g157 +g1029 +tp26068 +a(g826 +g1040 +tp26069 +a(g412 +V&& +p26070 +tp26071 +a(g826 +g1040 +tp26072 +a(g440 +Vdeplibs +p26073 +tp26074 +a(g412 +g1010 +tp26075 +a(g157 +g1029 +tp26076 +a(g440 +V$add +p26077 +tp26078 +a(g157 +g1040 +tp26079 +a(g440 +V$deplibs +p26080 +tp26081 +a(g157 +g1029 +tp26082 +a(g826 +V\u000a +p26083 +tp26084 +a(g744 +Vif +p26085 +tp26086 +a(g826 +g1040 +tp26087 +a(g681 +Vtest +p26088 +tp26089 +a(g826 +g1040 +tp26090 +a(g157 +g1029 +tp26091 +a(g440 +V$hardcode_direct +p26092 +tp26093 +a(g157 +g1029 +tp26094 +a(g826 +g1040 +tp26095 +a(g826 +g1738 +tp26096 +a(g412 +g1010 +tp26097 +a(g826 +g1040 +tp26098 +a(g826 +Vyes +p26099 +tp26100 +a(g826 +g1040 +tp26101 +a(g412 +V&& +p26102 +tp26103 +a(g826 +g1040 +tp26104 +a(g213 +V\u005c\u000a +p26105 +tp26106 +a(g826 +V +p26107 +tp26108 +a(g681 +Vtest +p26109 +tp26110 +a(g826 +g1040 +tp26111 +a(g157 +g1029 +tp26112 +a(g440 +V$hardcode_minus_L +p26113 +tp26114 +a(g157 +g1029 +tp26115 +a(g826 +g1040 +tp26116 +a(g826 +g1738 +tp26117 +a(g412 +g1010 +tp26118 +a(g826 +g1040 +tp26119 +a(g826 +Vyes +p26120 +tp26121 +a(g826 +g1040 +tp26122 +a(g412 +V&& +p26123 +tp26124 +a(g826 +g1040 +tp26125 +a(g213 +V\u005c\u000a +p26126 +tp26127 +a(g826 +V +p26128 +tp26129 +a(g681 +Vtest +p26130 +tp26131 +a(g826 +g1040 +tp26132 +a(g157 +g1029 +tp26133 +a(g440 +V$hardcode_shlibpath_var +p26134 +tp26135 +a(g157 +g1029 +tp26136 +a(g826 +g1040 +tp26137 +a(g412 +g1010 +tp26138 +a(g826 +g1040 +tp26139 +a(g826 +Vyes +p26140 +tp26141 +a(g705 +g1164 +tp26142 +a(g826 +g1040 +tp26143 +a(g744 +Vthen +p26144 +tp26145 +a(g826 +V\u000a +p26146 +tp26147 +a(g744 +Vcase +p26148 +tp26149 +a(g826 +g1040 +tp26150 +a(g826 +g1314 +tp26151 +a(g440 +V$finalize_shlibpath +p26152 +tp26153 +a(g826 +g1314 +tp26154 +a(g826 +g1040 +tp26155 +a(g826 +Vin +p26156 +tp26157 +a(g826 +V\u000a +p26158 +tp26159 +a(g826 +g1562 +tp26160 +a(g157 +g1029 +tp26161 +a(g157 +g1314 +tp26162 +a(g440 +V$libdir +p26163 +tp26164 +a(g157 +g1314 +tp26165 +a(g157 +g1029 +tp26166 +a(g826 +g1562 +tp26167 +a(g412 +g1533 +tp26168 +a(g826 +g1040 +tp26169 +a(g705 +g1164 +tp26170 +a(g705 +g1164 +tp26171 +a(g826 +V\u000a +p26172 +tp26173 +a(g826 +g1562 +tp26174 +a(g412 +g1533 +tp26175 +a(g826 +g1040 +tp26176 +a(g440 +Vfinalize_shlibpath +p26177 +tp26178 +a(g412 +g1010 +tp26179 +a(g157 +g1029 +tp26180 +a(g440 +V$finalize_shlibpath +p26181 +tp26182 +a(g440 +V$libdir +p26183 +tp26184 +a(g157 +g1314 +tp26185 +a(g157 +g1029 +tp26186 +a(g826 +g1040 +tp26187 +a(g705 +g1164 +tp26188 +a(g705 +g1164 +tp26189 +a(g826 +V\u000a +p26190 +tp26191 +a(g744 +Vesac +p26192 +tp26193 +a(g826 +V\u000a +p26194 +tp26195 +a(g744 +Vfi +p26196 +tp26197 +a(g826 +V\u000a +p26198 +tp26199 +a(g744 +Vfi +p26200 +tp26201 +a(g826 +V\u000a +p26202 +tp26203 +a(g744 +Vfi +p26204 +tp26205 +a(g826 +V\u000a\u000a +p26206 +tp26207 +a(g744 +Vif +p26208 +tp26209 +a(g826 +g1040 +tp26210 +a(g681 +Vtest +p26211 +tp26212 +a(g826 +g1040 +tp26213 +a(g157 +g1029 +tp26214 +a(g440 +V$linkmode +p26215 +tp26216 +a(g157 +g1029 +tp26217 +a(g826 +g1040 +tp26218 +a(g412 +g1010 +tp26219 +a(g826 +g1040 +tp26220 +a(g826 +Vprog +p26221 +tp26222 +a(g826 +g1040 +tp26223 +a(g412 +V|| +p26224 +tp26225 +a(g826 +g1040 +tp26226 +a(g681 +Vtest +p26227 +tp26228 +a(g826 +g1040 +tp26229 +a(g157 +g1029 +tp26230 +a(g440 +V$mode +p26231 +tp26232 +a(g157 +g1029 +tp26233 +a(g826 +g1040 +tp26234 +a(g412 +g1010 +tp26235 +a(g826 +g1040 +tp26236 +a(g826 +Vrelink +p26237 +tp26238 +a(g705 +g1164 +tp26239 +a(g826 +g1040 +tp26240 +a(g744 +Vthen +p26241 +tp26242 +a(g826 +V\u000a +p26243 +tp26244 +a(g440 +Vadd_shlibpath +p26245 +tp26246 +a(g412 +g1010 +tp26247 +a(g826 +V\u000a +p26248 +tp26249 +a(g440 +Vadd_dir +p26250 +tp26251 +a(g412 +g1010 +tp26252 +a(g826 +V\u000a +p26253 +tp26254 +a(g440 +Vadd +p26255 +tp26256 +a(g412 +g1010 +tp26257 +a(g826 +V\u000a +p26258 +tp26259 +a(g8 +V# Finalize command for both is simple: just hardcode it.\u000a +p26260 +tp26261 +a(g826 +V +p26262 +tp26263 +a(g744 +Vif +p26264 +tp26265 +a(g826 +g1040 +tp26266 +a(g681 +Vtest +p26267 +tp26268 +a(g826 +g1040 +tp26269 +a(g157 +g1029 +tp26270 +a(g440 +V$hardcode_direct +p26271 +tp26272 +a(g157 +g1029 +tp26273 +a(g826 +g1040 +tp26274 +a(g412 +g1010 +tp26275 +a(g826 +g1040 +tp26276 +a(g826 +Vyes +p26277 +tp26278 +a(g705 +g1164 +tp26279 +a(g826 +g1040 +tp26280 +a(g744 +Vthen +p26281 +tp26282 +a(g826 +V\u000a +p26283 +tp26284 +a(g440 +Vadd +p26285 +tp26286 +a(g412 +g1010 +tp26287 +a(g157 +g1029 +tp26288 +a(g440 +V$libdir +p26289 +tp26290 +a(g157 +g1886 +tp26291 +a(g440 +V$linklib +p26292 +tp26293 +a(g157 +g1029 +tp26294 +a(g826 +V\u000a +p26295 +tp26296 +a(g744 +Velif +p26297 +tp26298 +a(g826 +g1040 +tp26299 +a(g681 +Vtest +p26300 +tp26301 +a(g826 +g1040 +tp26302 +a(g157 +g1029 +tp26303 +a(g440 +V$hardcode_minus_L +p26304 +tp26305 +a(g157 +g1029 +tp26306 +a(g826 +g1040 +tp26307 +a(g412 +g1010 +tp26308 +a(g826 +g1040 +tp26309 +a(g826 +Vyes +p26310 +tp26311 +a(g705 +g1164 +tp26312 +a(g826 +g1040 +tp26313 +a(g744 +Vthen +p26314 +tp26315 +a(g826 +V\u000a +p26316 +tp26317 +a(g440 +Vadd_dir +p26318 +tp26319 +a(g412 +g1010 +tp26320 +a(g157 +g1029 +tp26321 +a(g157 +V-L +p26322 +tp26323 +a(g440 +V$libdir +p26324 +tp26325 +a(g157 +g1029 +tp26326 +a(g826 +V\u000a +p26327 +tp26328 +a(g440 +Vadd +p26329 +tp26330 +a(g412 +g1010 +tp26331 +a(g157 +g1029 +tp26332 +a(g157 +V-l +p26333 +tp26334 +a(g440 +V$name +p26335 +tp26336 +a(g157 +g1029 +tp26337 +a(g826 +V\u000a +p26338 +tp26339 +a(g744 +Velif +p26340 +tp26341 +a(g826 +g1040 +tp26342 +a(g681 +Vtest +p26343 +tp26344 +a(g826 +g1040 +tp26345 +a(g157 +g1029 +tp26346 +a(g440 +V$hardcode_shlibpath_var +p26347 +tp26348 +a(g157 +g1029 +tp26349 +a(g826 +g1040 +tp26350 +a(g412 +g1010 +tp26351 +a(g826 +g1040 +tp26352 +a(g826 +Vyes +p26353 +tp26354 +a(g705 +g1164 +tp26355 +a(g826 +g1040 +tp26356 +a(g744 +Vthen +p26357 +tp26358 +a(g826 +V\u000a +p26359 +tp26360 +a(g744 +Vcase +p26361 +tp26362 +a(g826 +g1040 +tp26363 +a(g826 +g1314 +tp26364 +a(g440 +V$finalize_shlibpath +p26365 +tp26366 +a(g826 +g1314 +tp26367 +a(g826 +g1040 +tp26368 +a(g826 +Vin +p26369 +tp26370 +a(g826 +V\u000a +p26371 +tp26372 +a(g826 +g1562 +tp26373 +a(g157 +g1029 +tp26374 +a(g157 +g1314 +tp26375 +a(g440 +V$libdir +p26376 +tp26377 +a(g157 +g1314 +tp26378 +a(g157 +g1029 +tp26379 +a(g826 +g1562 +tp26380 +a(g412 +g1533 +tp26381 +a(g826 +g1040 +tp26382 +a(g705 +g1164 +tp26383 +a(g705 +g1164 +tp26384 +a(g826 +V\u000a +p26385 +tp26386 +a(g826 +g1562 +tp26387 +a(g412 +g1533 +tp26388 +a(g826 +g1040 +tp26389 +a(g440 +Vfinalize_shlibpath +p26390 +tp26391 +a(g412 +g1010 +tp26392 +a(g157 +g1029 +tp26393 +a(g440 +V$finalize_shlibpath +p26394 +tp26395 +a(g440 +V$libdir +p26396 +tp26397 +a(g157 +g1314 +tp26398 +a(g157 +g1029 +tp26399 +a(g826 +g1040 +tp26400 +a(g705 +g1164 +tp26401 +a(g705 +g1164 +tp26402 +a(g826 +V\u000a +p26403 +tp26404 +a(g744 +Vesac +p26405 +tp26406 +a(g826 +V\u000a +p26407 +tp26408 +a(g440 +Vadd +p26409 +tp26410 +a(g412 +g1010 +tp26411 +a(g157 +g1029 +tp26412 +a(g157 +V-l +p26413 +tp26414 +a(g440 +V$name +p26415 +tp26416 +a(g157 +g1029 +tp26417 +a(g826 +V\u000a +p26418 +tp26419 +a(g744 +Velif +p26420 +tp26421 +a(g826 +g1040 +tp26422 +a(g681 +Vtest +p26423 +tp26424 +a(g826 +g1040 +tp26425 +a(g157 +g1029 +tp26426 +a(g440 +V$hardcode_automatic +p26427 +tp26428 +a(g157 +g1029 +tp26429 +a(g826 +g1040 +tp26430 +a(g412 +g1010 +tp26431 +a(g826 +g1040 +tp26432 +a(g826 +Vyes +p26433 +tp26434 +a(g705 +g1164 +tp26435 +a(g826 +g1040 +tp26436 +a(g744 +Vthen +p26437 +tp26438 +a(g826 +V\u000a +p26439 +tp26440 +a(g744 +Vif +p26441 +tp26442 +a(g826 +g1040 +tp26443 +a(g681 +Vtest +p26444 +tp26445 +a(g826 +g1040 +tp26446 +a(g826 +V-n +p26447 +tp26448 +a(g826 +g1040 +tp26449 +a(g157 +g1029 +tp26450 +a(g440 +V$inst_prefix_dir +p26451 +tp26452 +a(g157 +g1029 +tp26453 +a(g826 +g1040 +tp26454 +a(g412 +V&& +p26455 +tp26456 +a(g826 +V\u000a +p26457 +tp26458 +a(g681 +Vtest +p26459 +tp26460 +a(g826 +g1040 +tp26461 +a(g826 +V-f +p26462 +tp26463 +a(g826 +g1040 +tp26464 +a(g157 +g1029 +tp26465 +a(g440 +V$inst_prefix_dir +p26466 +tp26467 +a(g440 +V$libdir +p26468 +tp26469 +a(g157 +g1886 +tp26470 +a(g440 +V$linklib +p26471 +tp26472 +a(g157 +g1029 +tp26473 +a(g826 +g1040 +tp26474 +a(g705 +g1164 +tp26475 +a(g826 +g1040 +tp26476 +a(g744 +Vthen +p26477 +tp26478 +a(g826 +V\u000a +p26479 +tp26480 +a(g440 +Vadd +p26481 +tp26482 +a(g412 +g1010 +tp26483 +a(g157 +g1029 +tp26484 +a(g440 +V$inst_prefix_dir +p26485 +tp26486 +a(g440 +V$libdir +p26487 +tp26488 +a(g157 +g1886 +tp26489 +a(g440 +V$linklib +p26490 +tp26491 +a(g157 +g1029 +tp26492 +a(g826 +V\u000a +p26493 +tp26494 +a(g744 +Velse +p26495 +tp26496 +a(g826 +V\u000a +p26497 +tp26498 +a(g440 +Vadd +p26499 +tp26500 +a(g412 +g1010 +tp26501 +a(g157 +g1029 +tp26502 +a(g440 +V$libdir +p26503 +tp26504 +a(g157 +g1886 +tp26505 +a(g440 +V$linklib +p26506 +tp26507 +a(g157 +g1029 +tp26508 +a(g826 +V\u000a +p26509 +tp26510 +a(g744 +Vfi +p26511 +tp26512 +a(g826 +V\u000a +p26513 +tp26514 +a(g744 +Velse +p26515 +tp26516 +a(g826 +V\u000a +p26517 +tp26518 +a(g8 +V# We cannot seem to hardcode it, guess we'll fake it.\u000a +p26519 +tp26520 +a(g826 +V +p26521 +tp26522 +a(g440 +Vadd_dir +p26523 +tp26524 +a(g412 +g1010 +tp26525 +a(g157 +g1029 +tp26526 +a(g157 +V-L +p26527 +tp26528 +a(g440 +V$libdir +p26529 +tp26530 +a(g157 +g1029 +tp26531 +a(g826 +V\u000a +p26532 +tp26533 +a(g8 +V# Try looking first in the location we're being installed to.\u000a +p26534 +tp26535 +a(g826 +V +p26536 +tp26537 +a(g744 +Vif +p26538 +tp26539 +a(g826 +g1040 +tp26540 +a(g681 +Vtest +p26541 +tp26542 +a(g826 +g1040 +tp26543 +a(g826 +V-n +p26544 +tp26545 +a(g826 +g1040 +tp26546 +a(g157 +g1029 +tp26547 +a(g440 +V$inst_prefix_dir +p26548 +tp26549 +a(g157 +g1029 +tp26550 +a(g705 +g1164 +tp26551 +a(g826 +g1040 +tp26552 +a(g744 +Vthen +p26553 +tp26554 +a(g826 +V\u000a +p26555 +tp26556 +a(g744 +Vcase +p26557 +tp26558 +a(g826 +g1040 +tp26559 +a(g440 +V$libdir +p26560 +tp26561 +a(g826 +g1040 +tp26562 +a(g826 +Vin +p26563 +tp26564 +a(g826 +V\u000a +p26565 +tp26566 +a(g412 +g2838 +tp26567 +a(g213 +V\u005c\u005c +p26568 +tp26569 +a(g826 +g1886 +tp26570 +a(g412 +g2876 +tp26571 +a(g826 +g1562 +tp26572 +a(g412 +g1533 +tp26573 +a(g826 +V\u000a +p26574 +tp26575 +a(g440 +Vadd_dir +p26576 +tp26577 +a(g412 +g1010 +tp26578 +a(g157 +g1029 +tp26579 +a(g440 +V$add_dir +p26580 +tp26581 +a(g157 +V -L +p26582 +tp26583 +a(g440 +V$inst_prefix_dir +p26584 +tp26585 +a(g440 +V$libdir +p26586 +tp26587 +a(g157 +g1029 +tp26588 +a(g826 +V\u000a +p26589 +tp26590 +a(g705 +g1164 +tp26591 +a(g705 +g1164 +tp26592 +a(g826 +V\u000a +p26593 +tp26594 +a(g744 +Vesac +p26595 +tp26596 +a(g826 +V\u000a +p26597 +tp26598 +a(g744 +Vfi +p26599 +tp26600 +a(g826 +V\u000a +p26601 +tp26602 +a(g440 +Vadd +p26603 +tp26604 +a(g412 +g1010 +tp26605 +a(g157 +g1029 +tp26606 +a(g157 +V-l +p26607 +tp26608 +a(g440 +V$name +p26609 +tp26610 +a(g157 +g1029 +tp26611 +a(g826 +V\u000a +p26612 +tp26613 +a(g744 +Vfi +p26614 +tp26615 +a(g826 +V\u000a\u000a +p26616 +tp26617 +a(g744 +Vif +p26618 +tp26619 +a(g826 +g1040 +tp26620 +a(g681 +Vtest +p26621 +tp26622 +a(g826 +g1040 +tp26623 +a(g157 +g1029 +tp26624 +a(g440 +V$linkmode +p26625 +tp26626 +a(g157 +g1029 +tp26627 +a(g826 +g1040 +tp26628 +a(g412 +g1010 +tp26629 +a(g826 +g1040 +tp26630 +a(g826 +Vprog +p26631 +tp26632 +a(g705 +g1164 +tp26633 +a(g826 +g1040 +tp26634 +a(g744 +Vthen +p26635 +tp26636 +a(g826 +V\u000a +p26637 +tp26638 +a(g681 +Vtest +p26639 +tp26640 +a(g826 +g1040 +tp26641 +a(g826 +V-n +p26642 +tp26643 +a(g826 +g1040 +tp26644 +a(g157 +g1029 +tp26645 +a(g440 +V$add_dir +p26646 +tp26647 +a(g157 +g1029 +tp26648 +a(g826 +g1040 +tp26649 +a(g412 +V&& +p26650 +tp26651 +a(g826 +g1040 +tp26652 +a(g440 +Vfinalize_deplibs +p26653 +tp26654 +a(g412 +g1010 +tp26655 +a(g157 +g1029 +tp26656 +a(g440 +V$add_dir +p26657 +tp26658 +a(g157 +g1040 +tp26659 +a(g440 +V$finalize_deplibs +p26660 +tp26661 +a(g157 +g1029 +tp26662 +a(g826 +V\u000a +p26663 +tp26664 +a(g681 +Vtest +p26665 +tp26666 +a(g826 +g1040 +tp26667 +a(g826 +V-n +p26668 +tp26669 +a(g826 +g1040 +tp26670 +a(g157 +g1029 +tp26671 +a(g440 +V$add +p26672 +tp26673 +a(g157 +g1029 +tp26674 +a(g826 +g1040 +tp26675 +a(g412 +V&& +p26676 +tp26677 +a(g826 +g1040 +tp26678 +a(g440 +Vfinalize_deplibs +p26679 +tp26680 +a(g412 +g1010 +tp26681 +a(g157 +g1029 +tp26682 +a(g440 +V$add +p26683 +tp26684 +a(g157 +g1040 +tp26685 +a(g440 +V$finalize_deplibs +p26686 +tp26687 +a(g157 +g1029 +tp26688 +a(g826 +V\u000a +p26689 +tp26690 +a(g744 +Velse +p26691 +tp26692 +a(g826 +V\u000a +p26693 +tp26694 +a(g681 +Vtest +p26695 +tp26696 +a(g826 +g1040 +tp26697 +a(g826 +V-n +p26698 +tp26699 +a(g826 +g1040 +tp26700 +a(g157 +g1029 +tp26701 +a(g440 +V$add_dir +p26702 +tp26703 +a(g157 +g1029 +tp26704 +a(g826 +g1040 +tp26705 +a(g412 +V&& +p26706 +tp26707 +a(g826 +g1040 +tp26708 +a(g440 +Vdeplibs +p26709 +tp26710 +a(g412 +g1010 +tp26711 +a(g157 +g1029 +tp26712 +a(g440 +V$add_dir +p26713 +tp26714 +a(g157 +g1040 +tp26715 +a(g440 +V$deplibs +p26716 +tp26717 +a(g157 +g1029 +tp26718 +a(g826 +V\u000a +p26719 +tp26720 +a(g681 +Vtest +p26721 +tp26722 +a(g826 +g1040 +tp26723 +a(g826 +V-n +p26724 +tp26725 +a(g826 +g1040 +tp26726 +a(g157 +g1029 +tp26727 +a(g440 +V$add +p26728 +tp26729 +a(g157 +g1029 +tp26730 +a(g826 +g1040 +tp26731 +a(g412 +V&& +p26732 +tp26733 +a(g826 +g1040 +tp26734 +a(g440 +Vdeplibs +p26735 +tp26736 +a(g412 +g1010 +tp26737 +a(g157 +g1029 +tp26738 +a(g440 +V$add +p26739 +tp26740 +a(g157 +g1040 +tp26741 +a(g440 +V$deplibs +p26742 +tp26743 +a(g157 +g1029 +tp26744 +a(g826 +V\u000a +p26745 +tp26746 +a(g744 +Vfi +p26747 +tp26748 +a(g826 +V\u000a +p26749 +tp26750 +a(g744 +Vfi +p26751 +tp26752 +a(g826 +V\u000a +p26753 +tp26754 +a(g744 +Velif +p26755 +tp26756 +a(g826 +g1040 +tp26757 +a(g681 +Vtest +p26758 +tp26759 +a(g826 +g1040 +tp26760 +a(g157 +g1029 +tp26761 +a(g440 +V$linkmode +p26762 +tp26763 +a(g157 +g1029 +tp26764 +a(g826 +g1040 +tp26765 +a(g412 +g1010 +tp26766 +a(g826 +g1040 +tp26767 +a(g826 +Vprog +p26768 +tp26769 +a(g705 +g1164 +tp26770 +a(g826 +g1040 +tp26771 +a(g744 +Vthen +p26772 +tp26773 +a(g826 +V\u000a +p26774 +tp26775 +a(g8 +V# Here we assume that one of hardcode_direct or hardcode_minus_L\u000a +p26776 +tp26777 +a(g826 +V +p26778 +tp26779 +a(g8 +V# is not unsupported. This is valid on all known static and\u000a +p26780 +tp26781 +a(g826 +V +p26782 +tp26783 +a(g8 +V# shared platforms.\u000a +p26784 +tp26785 +a(g826 +V +p26786 +tp26787 +a(g744 +Vif +p26788 +tp26789 +a(g826 +g1040 +tp26790 +a(g681 +Vtest +p26791 +tp26792 +a(g826 +g1040 +tp26793 +a(g157 +g1029 +tp26794 +a(g440 +V$hardcode_direct +p26795 +tp26796 +a(g157 +g1029 +tp26797 +a(g826 +g1040 +tp26798 +a(g826 +g1738 +tp26799 +a(g412 +g1010 +tp26800 +a(g826 +g1040 +tp26801 +a(g826 +Vunsupported +p26802 +tp26803 +a(g705 +g1164 +tp26804 +a(g826 +g1040 +tp26805 +a(g744 +Vthen +p26806 +tp26807 +a(g826 +V\u000a +p26808 +tp26809 +a(g681 +Vtest +p26810 +tp26811 +a(g826 +g1040 +tp26812 +a(g826 +V-n +p26813 +tp26814 +a(g826 +g1040 +tp26815 +a(g157 +g1029 +tp26816 +a(g440 +V$old_library +p26817 +tp26818 +a(g157 +g1029 +tp26819 +a(g826 +g1040 +tp26820 +a(g412 +V&& +p26821 +tp26822 +a(g826 +g1040 +tp26823 +a(g440 +Vlinklib +p26824 +tp26825 +a(g412 +g1010 +tp26826 +a(g157 +g1029 +tp26827 +a(g440 +V$old_library +p26828 +tp26829 +a(g157 +g1029 +tp26830 +a(g826 +V\u000a +p26831 +tp26832 +a(g440 +Vcompile_deplibs +p26833 +tp26834 +a(g412 +g1010 +tp26835 +a(g157 +g1029 +tp26836 +a(g440 +V$dir +p26837 +tp26838 +a(g157 +g1886 +tp26839 +a(g440 +V$linklib +p26840 +tp26841 +a(g157 +g1040 +tp26842 +a(g440 +V$compile_deplibs +p26843 +tp26844 +a(g157 +g1029 +tp26845 +a(g826 +V\u000a +p26846 +tp26847 +a(g440 +Vfinalize_deplibs +p26848 +tp26849 +a(g412 +g1010 +tp26850 +a(g157 +g1029 +tp26851 +a(g440 +V$dir +p26852 +tp26853 +a(g157 +g1886 +tp26854 +a(g440 +V$linklib +p26855 +tp26856 +a(g157 +g1040 +tp26857 +a(g440 +V$finalize_deplibs +p26858 +tp26859 +a(g157 +g1029 +tp26860 +a(g826 +V\u000a +p26861 +tp26862 +a(g744 +Velse +p26863 +tp26864 +a(g826 +V\u000a +p26865 +tp26866 +a(g440 +Vcompile_deplibs +p26867 +tp26868 +a(g412 +g1010 +tp26869 +a(g157 +g1029 +tp26870 +a(g157 +V-l +p26871 +tp26872 +a(g440 +V$name +p26873 +tp26874 +a(g157 +V -L +p26875 +tp26876 +a(g440 +V$dir +p26877 +tp26878 +a(g157 +g1040 +tp26879 +a(g440 +V$compile_deplibs +p26880 +tp26881 +a(g157 +g1029 +tp26882 +a(g826 +V\u000a +p26883 +tp26884 +a(g440 +Vfinalize_deplibs +p26885 +tp26886 +a(g412 +g1010 +tp26887 +a(g157 +g1029 +tp26888 +a(g157 +V-l +p26889 +tp26890 +a(g440 +V$name +p26891 +tp26892 +a(g157 +V -L +p26893 +tp26894 +a(g440 +V$dir +p26895 +tp26896 +a(g157 +g1040 +tp26897 +a(g440 +V$finalize_deplibs +p26898 +tp26899 +a(g157 +g1029 +tp26900 +a(g826 +V\u000a +p26901 +tp26902 +a(g744 +Vfi +p26903 +tp26904 +a(g826 +V\u000a +p26905 +tp26906 +a(g744 +Velif +p26907 +tp26908 +a(g826 +g1040 +tp26909 +a(g681 +Vtest +p26910 +tp26911 +a(g826 +g1040 +tp26912 +a(g157 +g1029 +tp26913 +a(g440 +V$build_libtool_libs +p26914 +tp26915 +a(g157 +g1029 +tp26916 +a(g826 +g1040 +tp26917 +a(g412 +g1010 +tp26918 +a(g826 +g1040 +tp26919 +a(g826 +Vyes +p26920 +tp26921 +a(g705 +g1164 +tp26922 +a(g826 +g1040 +tp26923 +a(g744 +Vthen +p26924 +tp26925 +a(g826 +V\u000a +p26926 +tp26927 +a(g8 +V# Not a shared library\u000a +p26928 +tp26929 +a(g826 +V +p26930 +tp26931 +a(g744 +Vif +p26932 +tp26933 +a(g826 +g1040 +tp26934 +a(g681 +Vtest +p26935 +tp26936 +a(g826 +g1040 +tp26937 +a(g157 +g1029 +tp26938 +a(g440 +V$deplibs_check_method +p26939 +tp26940 +a(g157 +g1029 +tp26941 +a(g826 +g1040 +tp26942 +a(g826 +g1738 +tp26943 +a(g412 +g1010 +tp26944 +a(g826 +g1040 +tp26945 +a(g826 +Vpass_all +p26946 +tp26947 +a(g705 +g1164 +tp26948 +a(g826 +g1040 +tp26949 +a(g744 +Vthen +p26950 +tp26951 +a(g826 +V\u000a +p26952 +tp26953 +a(g8 +V# We're trying link a shared library against a static one\u000a +p26954 +tp26955 +a(g826 +V +p26956 +tp26957 +a(g8 +V# but the system doesn't support it.\u000a +p26958 +tp26959 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/main.cmake b/tests/examplefiles/output/main.cmake new file mode 100644 index 0000000..b6c156f --- /dev/null +++ b/tests/examplefiles/output/main.cmake @@ -0,0 +1,3594 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Builtin' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g247 +g15 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g254 +atp260 +Rp261 +sbag148 +ag8 +ag187 +ag124 +ag100 +atp262 +Rp263 +sg10 +g1 +(g2 +g3 +(ttp264 +Rp265 +(dp266 +S'Number' +p267 +g1 +(g2 +g3 +(S'Literal' +p268 +g267 +tp269 +tp270 +Rp271 +(dp272 +S'Integer' +p273 +g1 +(g2 +g3 +(g268 +g267 +g273 +tp274 +tp275 +Rp276 +(dp277 +g10 +g271 +sS'Long' +p278 +g1 +(g2 +g3 +(g268 +g267 +g273 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g276 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g281 +atp287 +Rp288 +sbsg10 +g1 +(g2 +g3 +(g268 +tp289 +tp290 +Rp291 +(dp292 +S'Scalar' +p293 +g1 +(g2 +g3 +(g268 +g293 +tp294 +tp295 +Rp296 +(dp297 +g10 +g291 +sg25 +g26 +((lp298 +g1 +(g2 +g3 +(g268 +g293 +S'Plain' +p299 +tp300 +tp301 +Rp302 +(dp303 +g10 +g296 +sg25 +g26 +((lp304 +tp305 +Rp306 +sbatp307 +Rp308 +sg299 +g302 +sbsg267 +g271 +sg10 +g265 +sg231 +g1 +(g2 +g3 +(g268 +g231 +tp309 +tp310 +Rp311 +(dp312 +g10 +g291 +sg25 +g26 +((lp313 +tp314 +Rp315 +sbsS'Char' +p316 +g1 +(g2 +g3 +(g268 +g316 +tp317 +tp318 +Rp319 +(dp320 +g10 +g291 +sg25 +g26 +((lp321 +tp322 +Rp323 +sbsS'String' +p324 +g1 +(g2 +g3 +(g268 +g324 +tp325 +tp326 +Rp327 +(dp328 +g316 +g1 +(g2 +g3 +(g268 +g324 +g316 +tp329 +tp330 +Rp331 +(dp332 +g10 +g327 +sg25 +g26 +((lp333 +tp334 +Rp335 +sbsS'Backtick' +p336 +g1 +(g2 +g3 +(g268 +g324 +g336 +tp337 +tp338 +Rp339 +(dp340 +g10 +g327 +sg25 +g26 +((lp341 +tp342 +Rp343 +sbsS'Heredoc' +p344 +g1 +(g2 +g3 +(g268 +g324 +g344 +tp345 +tp346 +Rp347 +(dp348 +g10 +g327 +sg25 +g26 +((lp349 +tp350 +Rp351 +sbsg97 +g1 +(g2 +g3 +(g268 +g324 +g97 +tp352 +tp353 +Rp354 +(dp355 +g10 +g327 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsS'Interpol' +p359 +g1 +(g2 +g3 +(g268 +g324 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g327 +sg25 +g26 +((lp364 +tp365 +Rp366 +sbsS'Delimiter' +p367 +g1 +(g2 +g3 +(g268 +g324 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g327 +sg25 +g26 +((lp372 +tp373 +Rp374 +sbsS'Boolean' +p375 +g1 +(g2 +g3 +(g268 +g324 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g327 +sg25 +g26 +((lp380 +tp381 +Rp382 +sbsS'Character' +p383 +g1 +(g2 +g3 +(g268 +g324 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g327 +sg25 +g26 +((lp388 +tp389 +Rp390 +sbsS'Double' +p391 +g1 +(g2 +g3 +(g268 +g324 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g327 +sg25 +g26 +((lp396 +tp397 +Rp398 +sbsS'Delimeter' +p399 +g1 +(g2 +g3 +(g268 +g324 +g399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g327 +sg25 +g26 +((lp404 +tp405 +Rp406 +sbsS'Atom' +p407 +g1 +(g2 +g3 +(g268 +g324 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g327 +sg25 +g26 +((lp412 +tp413 +Rp414 +sbsS'Affix' +p415 +g1 +(g2 +g3 +(g268 +g324 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g327 +sg25 +g26 +((lp420 +tp421 +Rp422 +sbsg4 +g1 +(g2 +g3 +(g268 +g324 +g4 +tp423 +tp424 +Rp425 +(dp426 +g10 +g327 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsS'Regex' +p430 +g1 +(g2 +g3 +(g268 +g324 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g327 +sg25 +g26 +((lp435 +tp436 +Rp437 +sbsS'Interp' +p438 +g1 +(g2 +g3 +(g268 +g324 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g327 +sg25 +g26 +((lp443 +tp444 +Rp445 +sbsS'Escape' +p446 +g1 +(g2 +g3 +(g268 +g324 +g446 +tp447 +tp448 +Rp449 +(dp450 +g10 +g327 +sg25 +g26 +((lp451 +tp452 +Rp453 +sbsg25 +g26 +((lp454 +g370 +ag354 +ag433 +ag1 +(g2 +g3 +(g268 +g324 +S'Doc' +p455 +tp456 +tp457 +Rp458 +(dp459 +g10 +g327 +sg25 +g26 +((lp460 +tp461 +Rp462 +sbag386 +ag378 +ag394 +ag362 +ag410 +ag402 +ag425 +ag449 +ag1 +(g2 +g3 +(g268 +g324 +S'Single' +p463 +tp464 +tp465 +Rp466 +(dp467 +g10 +g327 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbag1 +(g2 +g3 +(g268 +g324 +g231 +tp471 +tp472 +Rp473 +(dp474 +g10 +g327 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag441 +ag339 +ag418 +ag1 +(g2 +g3 +(g268 +g324 +S'Moment' +p478 +tp479 +tp480 +Rp481 +(dp482 +g10 +g327 +sg25 +g26 +((lp483 +tp484 +Rp485 +sbag331 +ag347 +atp486 +Rp487 +sg463 +g466 +sg478 +g481 +sg10 +g291 +sg231 +g473 +sg455 +g458 +sbsg25 +g26 +((lp488 +g327 +ag319 +ag311 +ag1 +(g2 +g3 +(g268 +S'Date' +p489 +tp490 +tp491 +Rp492 +(dp493 +g10 +g291 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbag296 +ag271 +atp497 +Rp498 +sg489 +g492 +sbsS'Bin' +p499 +g1 +(g2 +g3 +(g268 +g267 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g271 +sg25 +g26 +((lp504 +tp505 +Rp506 +sbsS'Radix' +p507 +g1 +(g2 +g3 +(g268 +g267 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g271 +sg25 +g26 +((lp512 +tp513 +Rp514 +sbsS'Oct' +p515 +g1 +(g2 +g3 +(g268 +g267 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g271 +sg25 +g26 +((lp520 +tp521 +Rp522 +sbsS'Dec' +p523 +g1 +(g2 +g3 +(g268 +g267 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g271 +sg25 +g26 +((lp528 +tp529 +Rp530 +sbsS'Hex' +p531 +g1 +(g2 +g3 +(g268 +g267 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g271 +sg25 +g26 +((lp536 +tp537 +Rp538 +sbsg25 +g26 +((lp539 +g276 +ag510 +ag526 +ag1 +(g2 +g3 +(g268 +g267 +S'Decimal' +p540 +tp541 +tp542 +Rp543 +(dp544 +g10 +g271 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbag502 +ag1 +(g2 +g3 +(g268 +g267 +S'Float' +p548 +tp549 +tp550 +Rp551 +(dp552 +g10 +g271 +sg25 +g26 +((lp553 +tp554 +Rp555 +sbag518 +ag534 +atp556 +Rp557 +sg540 +g543 +sg548 +g551 +sbsS'Generic' +p558 +g1 +(g2 +g3 +(g558 +tp559 +tp560 +Rp561 +(dp562 +g10 +g265 +sS'Deleted' +p563 +g1 +(g2 +g3 +(g558 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g561 +sg25 +g26 +((lp568 +tp569 +Rp570 +sbsS'Subheading' +p571 +g1 +(g2 +g3 +(g558 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g561 +sg25 +g26 +((lp576 +tp577 +Rp578 +sbsS'Heading' +p579 +g1 +(g2 +g3 +(g558 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g561 +sg25 +g26 +((lp584 +tp585 +Rp586 +sbsS'Emph' +p587 +g1 +(g2 +g3 +(g558 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g561 +sg25 +g26 +((lp592 +tp593 +Rp594 +sbsS'Prompt' +p595 +g1 +(g2 +g3 +(g558 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g561 +sg25 +g26 +((lp600 +tp601 +Rp602 +sbsS'Inserted' +p603 +g1 +(g2 +g3 +(g558 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g561 +sg25 +g26 +((lp608 +tp609 +Rp610 +sbsS'Strong' +p611 +g1 +(g2 +g3 +(g558 +g611 +tp612 +tp613 +Rp614 +(dp615 +g10 +g561 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsS'Error' +p619 +g1 +(g2 +g3 +(g558 +g619 +tp620 +tp621 +Rp622 +(dp623 +g10 +g561 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Traceback' +p627 +g1 +(g2 +g3 +(g558 +g627 +tp628 +tp629 +Rp630 +(dp631 +g10 +g561 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsg25 +g26 +((lp635 +g582 +ag574 +ag1 +(g2 +g3 +(g558 +S'Output' +p636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g561 +sg25 +g26 +((lp641 +tp642 +Rp643 +sbag614 +ag590 +ag622 +ag630 +ag606 +ag598 +ag566 +atp644 +Rp645 +sg636 +g639 +sbsg65 +g1 +(g2 +g3 +(g65 +tp646 +tp647 +Rp648 +(dp649 +g10 +g265 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp650 +tp651 +Rp652 +(dp653 +g10 +g648 +sg25 +g26 +((lp654 +tp655 +Rp656 +sbsg25 +g26 +((lp657 +g652 +ag1 +(g2 +g3 +(g65 +S'Word' +p658 +tp659 +tp660 +Rp661 +(dp662 +g10 +g648 +sg25 +g26 +((lp663 +tp664 +Rp665 +sbatp666 +Rp667 +sg658 +g661 +sbsg324 +g327 +sg4 +g13 +sS'Punctuation' +p668 +g1 +(g2 +g3 +(g668 +tp669 +tp670 +Rp671 +(dp672 +g10 +g265 +sg25 +g26 +((lp673 +g1 +(g2 +g3 +(g668 +S'Indicator' +p674 +tp675 +tp676 +Rp677 +(dp678 +g10 +g671 +sg25 +g26 +((lp679 +tp680 +Rp681 +sbatp682 +Rp683 +sg674 +g677 +sbsS'Comment' +p684 +g1 +(g2 +g3 +(g684 +tp685 +tp686 +Rp687 +(dp688 +S'Multi' +p689 +g1 +(g2 +g3 +(g684 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g687 +sg25 +g26 +((lp694 +tp695 +Rp696 +sbsg10 +g265 +sS'Special' +p697 +g1 +(g2 +g3 +(g684 +g697 +tp698 +tp699 +Rp700 +(dp701 +g10 +g687 +sg25 +g26 +((lp702 +tp703 +Rp704 +sbsS'Hashbang' +p705 +g1 +(g2 +g3 +(g684 +g705 +tp706 +tp707 +Rp708 +(dp709 +g10 +g687 +sg25 +g26 +((lp710 +tp711 +Rp712 +sbsS'Preproc' +p713 +g1 +(g2 +g3 +(g684 +g713 +tp714 +tp715 +Rp716 +(dp717 +g10 +g687 +sg25 +g26 +((lp718 +tp719 +Rp720 +sbsg463 +g1 +(g2 +g3 +(g684 +g463 +tp721 +tp722 +Rp723 +(dp724 +g10 +g687 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsS'Directive' +p728 +g1 +(g2 +g3 +(g684 +g728 +tp729 +tp730 +Rp731 +(dp732 +g10 +g687 +sg25 +g26 +((lp733 +tp734 +Rp735 +sbsg455 +g1 +(g2 +g3 +(g684 +g455 +tp736 +tp737 +Rp738 +(dp739 +g10 +g687 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsS'Singleline' +p743 +g1 +(g2 +g3 +(g684 +g743 +tp744 +tp745 +Rp746 +(dp747 +g10 +g687 +sg25 +g26 +((lp748 +tp749 +Rp750 +sbsS'Multiline' +p751 +g1 +(g2 +g3 +(g684 +g751 +tp752 +tp753 +Rp754 +(dp755 +g10 +g687 +sg25 +g26 +((lp756 +tp757 +Rp758 +sbsg25 +g26 +((lp759 +g738 +ag731 +ag708 +ag692 +ag746 +ag716 +ag754 +ag723 +ag1 +(g2 +g3 +(g684 +S'PreprocFile' +p760 +tp761 +tp762 +Rp763 +(dp764 +g10 +g687 +sg25 +g26 +((lp765 +tp766 +Rp767 +sbag1 +(g2 +g3 +(g684 +S'SingleLine' +p768 +tp769 +tp770 +Rp771 +(dp772 +g10 +g687 +sg25 +g26 +((lp773 +tp774 +Rp775 +sbag700 +atp776 +Rp777 +sg760 +g763 +sg768 +g771 +sbsg268 +g291 +sg231 +g1 +(g2 +g3 +(g231 +tp778 +tp779 +Rp780 +(dp781 +g10 +g265 +sg25 +g26 +((lp782 +tp783 +Rp784 +sbsg619 +g1 +(g2 +g3 +(g619 +tp785 +tp786 +Rp787 +(dp788 +g10 +g265 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsS'Token' +p792 +g265 +sg446 +g1 +(g2 +g3 +(g446 +tp793 +tp794 +Rp795 +(dp796 +g10 +g265 +sg25 +g26 +((lp797 +tp798 +Rp799 +sbsg25 +g26 +((lp800 +g13 +ag780 +ag1 +(g2 +g3 +(S'Keyword' +p801 +tp802 +tp803 +Rp804 +(dp805 +g10 +g265 +sg121 +g1 +(g2 +g3 +(g801 +g121 +tp806 +tp807 +Rp808 +(dp809 +g10 +g804 +sg25 +g26 +((lp810 +tp811 +Rp812 +sbsS'Control' +p813 +g1 +(g2 +g3 +(g801 +g813 +tp814 +tp815 +Rp816 +(dp817 +g10 +g804 +sg25 +g26 +((lp818 +tp819 +Rp820 +sbsg145 +g1 +(g2 +g3 +(g801 +g145 +tp821 +tp822 +Rp823 +(dp824 +g10 +g804 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg200 +g1 +(g2 +g3 +(g801 +g200 +tp828 +tp829 +Rp830 +(dp831 +g10 +g804 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsS'PreProc' +p835 +g1 +(g2 +g3 +(g801 +g835 +tp836 +tp837 +Rp838 +(dp839 +g10 +g804 +sg25 +g26 +((lp840 +tp841 +Rp842 +sbsg113 +g1 +(g2 +g3 +(g801 +g113 +tp843 +tp844 +Rp845 +(dp846 +g10 +g804 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsS'Reserved' +p850 +g1 +(g2 +g3 +(g801 +g850 +tp851 +tp852 +Rp853 +(dp854 +g10 +g804 +sg25 +g26 +((lp855 +tp856 +Rp857 +sbsg25 +g26 +((lp858 +g830 +ag1 +(g2 +g3 +(g801 +g658 +tp859 +tp860 +Rp861 +(dp862 +g10 +g804 +sg25 +g26 +((lp863 +tp864 +Rp865 +sbag816 +ag1 +(g2 +g3 +(g801 +S'Declaration' +p866 +tp867 +tp868 +Rp869 +(dp870 +g10 +g804 +sg25 +g26 +((lp871 +tp872 +Rp873 +sbag1 +(g2 +g3 +(g801 +g801 +tp874 +tp875 +Rp876 +(dp877 +g10 +g804 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag845 +ag823 +ag808 +ag853 +ag838 +atp881 +Rp882 +sg801 +g876 +sg866 +g869 +sg658 +g861 +sbag561 +ag1 +(g2 +g3 +(S'Text' +p883 +tp884 +tp885 +Rp886 +(dp887 +S'Beer' +p888 +g1 +(g2 +g3 +(g883 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g886 +sg25 +g26 +((lp893 +tp894 +Rp895 +sbsS'Whitespace' +p896 +g1 +(g2 +g3 +(g883 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g886 +sg25 +g26 +((lp901 +tp902 +Rp903 +sbsg10 +g265 +sS'Root' +p904 +g1 +(g2 +g3 +(g883 +g904 +tp905 +tp906 +Rp907 +(dp908 +g10 +g886 +sg25 +g26 +((lp909 +tp910 +Rp911 +sbsg97 +g1 +(g2 +g3 +(g883 +g97 +tp912 +tp913 +Rp914 +(dp915 +g10 +g886 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg668 +g1 +(g2 +g3 +(g883 +g668 +tp919 +tp920 +Rp921 +(dp922 +g10 +g886 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g907 +ag914 +ag921 +ag899 +ag891 +ag1 +(g2 +g3 +(g883 +S'Rag' +p927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g886 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbatp935 +Rp936 +sg927 +g930 +sbag648 +ag795 +ag671 +ag687 +ag787 +ag291 +atp937 +Rp938 +sg801 +g804 +sg883 +g886 +sbsg20 +g211 +sg5 +g8 +sg247 +g250 +sg231 +g234 +sbsg121 +g1 +(g2 +g3 +(g4 +g5 +g121 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg25 +g26 +((lp943 +tp944 +Rp945 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g113 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbag941 +atp954 +Rp955 +sg113 +g949 +sbVCMAKE_MINIMUM_REQUIRED +p956 +tp957 +a(g671 +V( +p958 +tp959 +a(g327 +VVERSION +p960 +tp961 +a(g886 +V +p962 +tp963 +a(g327 +V2.6 +p964 +tp965 +a(g886 +g962 +tp966 +a(g327 +VFATAL_ERROR +p967 +tp968 +a(g671 +V) +p969 +tp970 +a(g886 +V\u000a +p971 +tp972 +a(g886 +V\u000a +p973 +tp974 +a(g8 +VSET +p975 +tp976 +a(g671 +g958 +tp977 +a(g886 +g962 +tp978 +a(g327 +VSOURCES +p979 +tp980 +a(g886 +g962 +tp981 +a(g327 +Vback.c +p982 +tp983 +a(g886 +g962 +tp984 +a(g327 +Vio.c +p985 +tp986 +a(g886 +g962 +tp987 +a(g327 +Vmain.c +p988 +tp989 +a(g886 +g962 +tp990 +a(g671 +g969 +tp991 +a(g886 +V\u000a +p992 +tp993 +a(g8 +VSET +p994 +tp995 +a(g671 +g958 +tp996 +a(g886 +g962 +tp997 +a(g327 +VPATH +p998 +tp999 +a(g886 +g962 +tp1000 +a(g648 +V$ENV{ +p1001 +tp1002 +a(g18 +VPATH +p1003 +tp1004 +a(g648 +V} +p1005 +tp1006 +a(g886 +g962 +tp1007 +a(g671 +g969 +tp1008 +a(g886 +V\u000a +p1009 +tp1010 +a(g8 +VMESSAGE +p1011 +tp1012 +a(g671 +g958 +tp1013 +a(g886 +g962 +tp1014 +a(g648 +V${ +p1015 +tp1016 +a(g18 +VSOURCES +p1017 +tp1018 +a(g648 +g1005 +tp1019 +a(g886 +V +p1020 +tp1021 +a(g671 +g969 +tp1022 +a(g886 +V +p1023 +tp1024 +a(g687 +V# three arguments, prints "back.cio.cmain.c"\u000a +p1025 +tp1026 +a(g8 +VMESSAGE +p1027 +tp1028 +a(g671 +g958 +tp1029 +a(g886 +g962 +tp1030 +a(g394 +V"${SOURCES}" +p1031 +tp1032 +a(g886 +g962 +tp1033 +a(g671 +g969 +tp1034 +a(g886 +V +p1035 +tp1036 +a(g687 +V# one argument, prints "back.c;io.c;main.c"\u000a +p1037 +tp1038 +a(g8 +VMESSAGE +p1039 +tp1040 +a(g671 +g958 +tp1041 +a(g886 +g962 +tp1042 +a(g394 +V"" +p1043 +tp1044 +a(g886 +g962 +tp1045 +a(g671 +g969 +tp1046 +a(g886 +V +p1047 +tp1048 +a(g687 +V# one argument, prints "" an empty line\u000a +p1049 +tp1050 +a(g8 +VMESSAGE +p1051 +tp1052 +a(g671 +g958 +tp1053 +a(g886 +g962 +tp1054 +a(g394 +V"${EMPTY_STRING}" +p1055 +tp1056 +a(g886 +g962 +tp1057 +a(g671 +g969 +tp1058 +a(g886 +g962 +tp1059 +a(g687 +V# one argument, prints "" an empty line\u000a +p1060 +tp1061 +a(g8 +VMESSAGE +p1062 +tp1063 +a(g671 +g958 +tp1064 +a(g886 +g962 +tp1065 +a(g648 +V${ +p1066 +tp1067 +a(g18 +VEMPTY_STRING +p1068 +tp1069 +a(g648 +g1005 +tp1070 +a(g886 +g962 +tp1071 +a(g671 +g969 +tp1072 +a(g886 +V +p1073 +tp1074 +a(g687 +V# zero arguments, causes CMake Error\u000a +p1075 +tp1076 +a(g886 +V +p1077 +tp1078 +a(g687 +V# "MESSAGE called with incorrect number of arguments"\u000a +p1079 +tp1080 +a(g8 +VMESSAGE +p1081 +tp1082 +a(g671 +g958 +tp1083 +a(g886 +g962 +tp1084 +a(g327 +V\u005c\u005c\u005c"\u005c +p1085 +tp1086 +a(g886 +g962 +tp1087 +a(g327 +V\u005c(\u005c)\u005c#\u005c$\u005c^ +p1088 +tp1089 +a(g886 +g962 +tp1090 +a(g671 +g969 +tp1091 +a(g886 +g962 +tp1092 +a(g687 +V# this message contains literal characters\u000a +p1093 +tp1094 +a(g886 +V\u000a +p1095 +tp1096 +a(g8 +VMESSAGE +p1097 +tp1098 +a(g671 +g958 +tp1099 +a(g886 +g962 +tp1100 +a(g394 +V"This is practice." +p1101 +tp1102 +a(g886 +g962 +tp1103 +a(g671 +g969 +tp1104 +a(g886 +V +p1105 +tp1106 +a(g687 +V# prints "This is practice."\u000a +p1107 +tp1108 +a(g8 +VMESSAGE +p1109 +tp1110 +a(g671 +g958 +tp1111 +a(g886 +g962 +tp1112 +a(g394 +V"This;is;practice." +p1113 +tp1114 +a(g886 +g962 +tp1115 +a(g671 +g969 +tp1116 +a(g886 +V +p1117 +tp1118 +a(g687 +V# prints "This;is;practice."\u000a +p1119 +tp1120 +a(g8 +VMESSAGE +p1121 +tp1122 +a(g671 +g958 +tp1123 +a(g886 +g962 +tp1124 +a(g394 +V"Hi. ) MESSAGE( x )" +p1125 +tp1126 +a(g886 +g962 +tp1127 +a(g671 +g969 +tp1128 +a(g886 +g962 +tp1129 +a(g687 +V# prints "Hi. ) MESSAGE( x )"\u000a +p1130 +tp1131 +a(g886 +V\u000a +p1132 +tp1133 +a(g8 +VMESSAGE +p1134 +tp1135 +a(g671 +g958 +tp1136 +a(g886 +g962 +tp1137 +a(g394 +V"Welc" +p1138 +tp1139 +a(g327 +Vome +p1140 +tp1141 +a(g886 +g962 +tp1142 +a(g671 +g969 +tp1143 +a(g886 +g962 +tp1144 +a(g687 +V# rule 1\u000a +p1145 +tp1146 +a(g8 +VMESSAGE +p1147 +tp1148 +a(g671 +g958 +tp1149 +a(g886 +g962 +tp1150 +a(g327 +VWelc +p1151 +tp1152 +a(g394 +V"ome" +p1153 +tp1154 +a(g886 +g962 +tp1155 +a(g671 +g969 +tp1156 +a(g886 +g962 +tp1157 +a(g687 +V# rule 3\u000a +p1158 +tp1159 +a(g8 +VMESSAGE +p1160 +tp1161 +a(g671 +g958 +tp1162 +a(g886 +g962 +tp1163 +a(g327 +VWelc +p1164 +tp1165 +a(g394 +V"ome)" +p1166 +tp1167 +a(g886 +g962 +tp1168 +a(g671 +g969 +tp1169 +a(g886 +g962 +tp1170 +a(g687 +V# rule 2\u000a +p1171 +tp1172 +a(g8 +VMESSAGE +p1173 +tp1174 +a(g671 +g958 +tp1175 +a(g886 +g962 +tp1176 +a(g394 +V"" +p1177 +tp1178 +a(g327 +VThanks +p1179 +tp1180 +a(g886 +g962 +tp1181 +a(g671 +g969 +tp1182 +a(g886 +g962 +tp1183 +a(g687 +V# rule 1\u000a +p1184 +tp1185 +a(g8 +VMESSAGE +p1186 +tp1187 +a(g671 +g958 +tp1188 +a(g886 +g962 +tp1189 +a(g327 +VThanks +p1190 +tp1191 +a(g394 +V"" +p1192 +tp1193 +a(g886 +g962 +tp1194 +a(g671 +g969 +tp1195 +a(g886 +g962 +tp1196 +a(g687 +V# rule 3\u000a +p1197 +tp1198 +a(g886 +V\u000a +p1199 +tp1200 +a(g8 +VSET +p1201 +tp1202 +a(g671 +g958 +tp1203 +a(g886 +g962 +tp1204 +a(g327 +Vx +p1205 +tp1206 +a(g886 +g962 +tp1207 +a(g327 +Vy +p1208 +tp1209 +a(g886 +g962 +tp1210 +a(g327 +VA +p1211 +tp1212 +a(g886 +g962 +tp1213 +a(g327 +VB +p1214 +tp1215 +a(g886 +g962 +tp1216 +a(g327 +VC +p1217 +tp1218 +a(g886 +g962 +tp1219 +a(g671 +g969 +tp1220 +a(g886 +V +p1221 +tp1222 +a(g687 +V# stores "y;A;B;C" in x (without quote)\u000a +p1223 +tp1224 +a(g8 +VSET +p1225 +tp1226 +a(g671 +g958 +tp1227 +a(g886 +g962 +tp1228 +a(g648 +V${ +p1229 +tp1230 +a(g18 +g1205 +tp1231 +a(g648 +g1005 +tp1232 +a(g886 +g962 +tp1233 +a(g671 +g969 +tp1234 +a(g886 +V +p1235 +tp1236 +a(g687 +V# => SET( y;A;B;C ) => SET( y A B C)\u000a +p1237 +tp1238 +a(g8 +VMESSAGE +p1239 +tp1240 +a(g671 +g958 +tp1241 +a(g886 +g962 +tp1242 +a(g648 +V${ +p1243 +tp1244 +a(g18 +g1208 +tp1245 +a(g648 +g1005 +tp1246 +a(g886 +g962 +tp1247 +a(g671 +g969 +tp1248 +a(g886 +V +p1249 +tp1250 +a(g687 +V# prints "ABC" to stdout (without quotes)\u000a +p1251 +tp1252 +a(g8 +VSET +p1253 +tp1254 +a(g671 +g958 +tp1255 +a(g886 +g962 +tp1256 +a(g327 +g1208 +tp1257 +a(g886 +g962 +tp1258 +a(g327 +g1205 +tp1259 +a(g886 +g962 +tp1260 +a(g671 +g969 +tp1261 +a(g886 +V +p1262 +tp1263 +a(g687 +V# stores "x" in y (without quotes)\u000a +p1264 +tp1265 +a(g8 +VSET +p1266 +tp1267 +a(g671 +g958 +tp1268 +a(g886 +g962 +tp1269 +a(g648 +V${ +p1270 +tp1271 +a(g18 +g1208 +tp1272 +a(g648 +g1005 +tp1273 +a(g886 +g962 +tp1274 +a(g327 +g1208 +tp1275 +a(g886 +g962 +tp1276 +a(g327 +V= +p1277 +tp1278 +a(g886 +g962 +tp1279 +a(g327 +g1205 +tp1280 +a(g886 +g962 +tp1281 +a(g671 +g969 +tp1282 +a(g886 +V +p1283 +tp1284 +a(g687 +V# => SET( x y )\u000a +p1285 +tp1286 +a(g8 +VMESSAGE +p1287 +tp1288 +a(g671 +g958 +tp1289 +a(g886 +g962 +tp1290 +a(g394 +V"\u005c${x} = '${x}'" +p1291 +tp1292 +a(g886 +g962 +tp1293 +a(g671 +g969 +tp1294 +a(g886 +V +p1295 +tp1296 +a(g687 +V# prints "${x} = 'y;=;x'" to stdout (without quotes)\u000a +p1297 +tp1298 +a(g8 +VSET +p1299 +tp1300 +a(g671 +g958 +tp1301 +a(g886 +g962 +tp1302 +a(g327 +g1208 +tp1303 +a(g886 +g962 +tp1304 +a(g648 +V${ +p1305 +tp1306 +a(g18 +g1205 +tp1307 +a(g648 +g1005 +tp1308 +a(g886 +g962 +tp1309 +a(g671 +g969 +tp1310 +a(g886 +V +p1311 +tp1312 +a(g687 +V# => SET( y y = x ) => stores "y;=;x" in y (without quotes)\u000a +p1313 +tp1314 +a(g8 +VMESSAGE +p1315 +tp1316 +a(g671 +g958 +tp1317 +a(g886 +g962 +tp1318 +a(g648 +V${ +p1319 +tp1320 +a(g18 +g1208 +tp1321 +a(g648 +g1005 +tp1322 +a(g886 +g962 +tp1323 +a(g671 +g969 +tp1324 +a(g886 +V +p1325 +tp1326 +a(g687 +V# prints "y=x" to stdout (without quotes)\u000a +p1327 +tp1328 +a(g886 +V\u000a +p1329 +tp1330 +a(g8 +VSET +p1331 +tp1332 +a(g671 +g958 +tp1333 +a(g886 +g962 +tp1334 +a(g327 +g1205 +tp1335 +a(g886 +g962 +tp1336 +a(g327 +Va +p1337 +tp1338 +a(g886 +g962 +tp1339 +a(g327 +Vb +p1340 +tp1341 +a(g886 +g962 +tp1342 +a(g327 +Vc +p1343 +tp1344 +a(g886 +V +p1345 +tp1346 +a(g671 +g969 +tp1347 +a(g886 +g962 +tp1348 +a(g687 +V# stores "a;b;c" in x (without quotes)\u000a +p1349 +tp1350 +a(g8 +VSET +p1351 +tp1352 +a(g671 +g958 +tp1353 +a(g886 +g962 +tp1354 +a(g327 +g1208 +tp1355 +a(g886 +g962 +tp1356 +a(g394 +V"a b c" +p1357 +tp1358 +a(g886 +g962 +tp1359 +a(g671 +g969 +tp1360 +a(g886 +g962 +tp1361 +a(g687 +V# stores "a b c" in y (without quotes)\u000a +p1362 +tp1363 +a(g8 +VMESSAGE +p1364 +tp1365 +a(g671 +g958 +tp1366 +a(g886 +g962 +tp1367 +a(g327 +g1337 +tp1368 +a(g886 +g962 +tp1369 +a(g327 +g1340 +tp1370 +a(g886 +g962 +tp1371 +a(g327 +g1343 +tp1372 +a(g886 +g962 +tp1373 +a(g671 +g969 +tp1374 +a(g886 +g962 +tp1375 +a(g687 +V# prints "abc" to stdout (without quotes)\u000a +p1376 +tp1377 +a(g8 +VMESSAGE +p1378 +tp1379 +a(g671 +g958 +tp1380 +a(g886 +g962 +tp1381 +a(g648 +V${ +p1382 +tp1383 +a(g18 +g1205 +tp1384 +a(g648 +g1005 +tp1385 +a(g886 +g962 +tp1386 +a(g671 +g969 +tp1387 +a(g886 +V +p1388 +tp1389 +a(g687 +V# prints "abc" to stdout (without quotes)\u000a +p1390 +tp1391 +a(g8 +VMESSAGE +p1392 +tp1393 +a(g671 +g958 +tp1394 +a(g394 +V"${x}" +p1395 +tp1396 +a(g671 +g969 +tp1397 +a(g886 +V +p1398 +tp1399 +a(g687 +V# prints "a;b;c" to stdout (without quotes)\u000a +p1400 +tp1401 +a(g8 +VMESSAGE +p1402 +tp1403 +a(g671 +g958 +tp1404 +a(g886 +g962 +tp1405 +a(g648 +V${ +p1406 +tp1407 +a(g18 +g1208 +tp1408 +a(g648 +g1005 +tp1409 +a(g886 +g962 +tp1410 +a(g671 +g969 +tp1411 +a(g886 +V +p1412 +tp1413 +a(g687 +V# prints "a b c" to stdout (without quotes)\u000a +p1414 +tp1415 +a(g8 +VMESSAGE +p1416 +tp1417 +a(g671 +g958 +tp1418 +a(g394 +V"${y}" +p1419 +tp1420 +a(g671 +g969 +tp1421 +a(g886 +V +p1422 +tp1423 +a(g687 +V# prints "a b c" to stdout (without quotes)\u000a +p1424 +tp1425 +a(g886 +V\u000a +p1426 +tp1427 +a(g687 +V# This is a comment.\u000a +p1428 +tp1429 +a(g8 +VCOMMAND +p1430 +tp1431 +a(g671 +g958 +tp1432 +a(g886 +g962 +tp1433 +a(g327 +Varguments +p1434 +tp1435 +a(g886 +g962 +tp1436 +a(g327 +Vgo +p1437 +tp1438 +a(g886 +g962 +tp1439 +a(g327 +Vhere +p1440 +tp1441 +a(g886 +g962 +tp1442 +a(g671 +g969 +tp1443 +a(g886 +V\u000a +p1444 +tp1445 +a(g8 +VANOTHER_COMMAND +p1446 +tp1447 +a(g671 +g958 +tp1448 +a(g671 +g969 +tp1449 +a(g886 +g962 +tp1450 +a(g687 +V# this command has no arguments\u000a +p1451 +tp1452 +a(g8 +VYET_ANOTHER_COMMAND +p1453 +tp1454 +a(g671 +g958 +tp1455 +a(g886 +g962 +tp1456 +a(g327 +Vthese +p1457 +tp1458 +a(g886 +V\u000a +p1459 +tp1460 +a(g886 +V +p1461 +tp1462 +a(g327 +Varguments +p1463 +tp1464 +a(g886 +g962 +tp1465 +a(g327 +Vare +p1466 +tp1467 +a(g886 +g962 +tp1468 +a(g327 +Vspread +p1469 +tp1470 +a(g886 +V +p1471 +tp1472 +a(g687 +V# another comment\u000a +p1473 +tp1474 +a(g886 +V +p1475 +tp1476 +a(g327 +Vover +p1477 +tp1478 +a(g886 +g962 +tp1479 +a(g327 +Vseveral +p1480 +tp1481 +a(g886 +g962 +tp1482 +a(g327 +Vlines +p1483 +tp1484 +a(g886 +g962 +tp1485 +a(g671 +g969 +tp1486 +a(g886 +V\u000a +p1487 +tp1488 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/markdown.lsp b/tests/examplefiles/output/markdown.lsp new file mode 100644 index 0000000..7476e4f --- /dev/null +++ b/tests/examplefiles/output/markdown.lsp @@ -0,0 +1,19933 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/usr/bin/env newlisp +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g898 +V;; @module markdown +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g898 +V;; @author cormullion +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g898 +V;; @description a port of John Gruber's Markdown to newLISP +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g898 +V;; @location http://unbalanced-parentheses.nfshost.com/ +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g898 +V;; @version of date 2011-10-02 22:36:02 +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g898 +V;; version history: at the end +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g898 +V;; a port of John Gruber's Markdown.pl (http://daringfireball.net/markdown) script to newLISP... +p984 +tp985 +a(g827 +V\u000a +p986 +tp987 +a(g898 +V;; see his original Perl script for explanations of the fearsome regexen and +p988 +tp989 +a(g827 +V\u000a +p990 +tp991 +a(g898 +V;; byzantine logic, etc... +p992 +tp993 +a(g827 +V\u000a +p994 +tp995 +a(g898 +V;; TODO: +p996 +tp997 +a(g827 +V\u000a +p998 +tp999 +a(g898 +V;; the following Markdown tests fail: +p1000 +tp1001 +a(g827 +V\u000a +p1002 +tp1003 +a(g898 +V;; Inline HTML (Advanced) ... FAILED +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g898 +V;; Links, reference style ... FAILED -- nested brackets +p1008 +tp1009 +a(g827 +V\u000a +p1010 +tp1011 +a(g898 +V;; Links, shortcut references ... FAILED +p1012 +tp1013 +a(g827 +V\u000a +p1014 +tp1015 +a(g898 +V;; Markdown Documentation - Syntax ... FAILED +p1016 +tp1017 +a(g827 +V\u000a +p1018 +tp1019 +a(g898 +V;; Ordered and unordered lists ... FAILED -- a nested ordered list error +p1020 +tp1021 +a(g827 +V\u000a +p1022 +tp1023 +a(g898 +V;; parens in url : ![this is a stupid URL](http://example.com/(parens).jpg) see (Images.text) +p1024 +tp1025 +a(g827 +V\u000a +p1026 +tp1027 +a(g898 +V;; Add: email address scrambling +p1028 +tp1029 +a(g827 +V\u000a\u000a +p1030 +tp1031 +a(g706 +V( +p1032 +tp1033 +a(g745 +Vcontext +p1034 +tp1035 +a(g827 +V +p1036 +tp1037 +a(g413 +V' +p1038 +tp1039 +a(g117 +VHash +p1040 +tp1041 +a(g706 +V) +p1042 +tp1043 +a(g827 +V\u000a +p1044 +tp1045 +a(g706 +g1032 +tp1046 +a(g745 +Vdefine +p1047 +tp1048 +a(g827 +g1036 +tp1049 +a(g117 +VHashTable +p1050 +tp1051 +a(g413 +V: +p1052 +tp1053 +a(g117 +VHashTable +p1054 +tp1055 +a(g706 +g1042 +tp1056 +a(g827 +V\u000a\u000a +p1057 +tp1058 +a(g706 +g1032 +tp1059 +a(g745 +Vdefine +p1060 +tp1061 +a(g827 +g1036 +tp1062 +a(g706 +g1032 +tp1063 +a(g441 +Vbuild-escape-table +p1064 +tp1065 +a(g706 +g1042 +tp1066 +a(g827 +V\u000a +p1067 +tp1068 +a(g706 +g1032 +tp1069 +a(g745 +Vset +p1070 +tp1071 +a(g827 +g1036 +tp1072 +a(g413 +g1038 +tp1073 +a(g745 +V* +p1074 +tp1075 +a(g117 +Vescape-chars* +p1076 +tp1077 +a(g827 +g1036 +tp1078 +a(g89 +V[text] +p1079 +tp1080 +a(g89 +V\u005c`*_{}[]()>#+-.![/text] +p1081 +tp1082 +a(g706 +g1042 +tp1083 +a(g827 +V \u000a +p1084 +tp1085 +a(g706 +g1032 +tp1086 +a(g745 +Vdolist +p1087 +tp1088 +a(g827 +g1036 +tp1089 +a(g706 +g1032 +tp1090 +a(g441 +Vc +p1091 +tp1092 +a(g827 +g1036 +tp1093 +a(g706 +g1032 +tp1094 +a(g745 +Vexplode +p1095 +tp1096 +a(g827 +g1036 +tp1097 +a(g745 +g1074 +tp1098 +a(g117 +Vescape-chars* +p1099 +tp1100 +a(g706 +g1042 +tp1101 +a(g706 +g1042 +tp1102 +a(g827 +V\u000a +p1103 +tp1104 +a(g706 +g1032 +tp1105 +a(g441 +VHashTable +p1106 +tp1107 +a(g827 +g1036 +tp1108 +a(g117 +g1091 +tp1109 +a(g827 +g1036 +tp1110 +a(g706 +g1032 +tp1111 +a(g441 +Vhash +p1112 +tp1113 +a(g827 +g1036 +tp1114 +a(g117 +g1091 +tp1115 +a(g706 +g1042 +tp1116 +a(g706 +g1042 +tp1117 +a(g706 +g1042 +tp1118 +a(g706 +g1042 +tp1119 +a(g827 +V\u000a\u000a +p1120 +tp1121 +a(g706 +g1032 +tp1122 +a(g745 +Vdefine +p1123 +tp1124 +a(g827 +g1036 +tp1125 +a(g706 +g1032 +tp1126 +a(g441 +Vinit-hash +p1127 +tp1128 +a(g827 +g1036 +tp1129 +a(g117 +Vtxt +p1130 +tp1131 +a(g706 +g1042 +tp1132 +a(g827 +V\u000a +p1133 +tp1134 +a(g898 +V; finds a hash identifier that doesn't occur anywhere in the text +p1135 +tp1136 +a(g827 +V\u000a +p1137 +tp1138 +a(g706 +g1032 +tp1139 +a(g745 +Vset +p1140 +tp1141 +a(g827 +g1036 +tp1142 +a(g413 +g1038 +tp1143 +a(g117 +Vcounter +p1144 +tp1145 +a(g827 +g1036 +tp1146 +a(g117 +V0 +p1147 +tp1148 +a(g706 +g1042 +tp1149 +a(g827 +V\u000a +p1150 +tp1151 +a(g706 +g1032 +tp1152 +a(g745 +Vset +p1153 +tp1154 +a(g827 +g1036 +tp1155 +a(g413 +g1038 +tp1156 +a(g117 +Vhash-prefix +p1157 +tp1158 +a(g827 +g1036 +tp1159 +a(g89 +V"HASH" +p1160 +tp1161 +a(g706 +g1042 +tp1162 +a(g827 +V\u000a +p1163 +tp1164 +a(g706 +g1032 +tp1165 +a(g745 +Vset +p1166 +tp1167 +a(g827 +g1036 +tp1168 +a(g413 +g1038 +tp1169 +a(g117 +Vhash-id +p1170 +tp1171 +a(g827 +g1036 +tp1172 +a(g706 +g1032 +tp1173 +a(g745 +Vstring +p1174 +tp1175 +a(g827 +g1036 +tp1176 +a(g117 +Vhash-prefix +p1177 +tp1178 +a(g827 +g1036 +tp1179 +a(g117 +Vcounter +p1180 +tp1181 +a(g706 +g1042 +tp1182 +a(g706 +g1042 +tp1183 +a(g827 +V\u000a +p1184 +tp1185 +a(g706 +g1032 +tp1186 +a(g745 +Vdo-while +p1187 +tp1188 +a(g827 +g1036 +tp1189 +a(g706 +g1032 +tp1190 +a(g745 +Vfind +p1191 +tp1192 +a(g827 +g1036 +tp1193 +a(g117 +Vhash-id +p1194 +tp1195 +a(g827 +g1036 +tp1196 +a(g117 +Vtxt +p1197 +tp1198 +a(g706 +g1042 +tp1199 +a(g827 +V\u000a +p1200 +tp1201 +a(g706 +g1032 +tp1202 +a(g745 +Vset +p1203 +tp1204 +a(g827 +g1036 +tp1205 +a(g413 +g1038 +tp1206 +a(g117 +Vhash-id +p1207 +tp1208 +a(g827 +g1036 +tp1209 +a(g706 +g1032 +tp1210 +a(g745 +Vstring +p1211 +tp1212 +a(g827 +g1036 +tp1213 +a(g117 +Vhash-prefix +p1214 +tp1215 +a(g827 +g1036 +tp1216 +a(g706 +g1032 +tp1217 +a(g745 +Vinc +p1218 +tp1219 +a(g827 +g1036 +tp1220 +a(g117 +Vcounter +p1221 +tp1222 +a(g706 +g1042 +tp1223 +a(g706 +g1042 +tp1224 +a(g706 +g1042 +tp1225 +a(g706 +g1042 +tp1226 +a(g827 +V\u000a +p1227 +tp1228 +a(g706 +g1032 +tp1229 +a(g441 +VHash +p1230 +tp1231 +a(g413 +g1052 +tp1232 +a(g117 +Vbuild-escape-table +p1233 +tp1234 +a(g706 +g1042 +tp1235 +a(g706 +g1042 +tp1236 +a(g827 +V\u000a\u000a +p1237 +tp1238 +a(g706 +g1032 +tp1239 +a(g745 +Vdefine +p1240 +tp1241 +a(g827 +g1036 +tp1242 +a(g706 +g1032 +tp1243 +a(g441 +Vhash +p1244 +tp1245 +a(g827 +g1036 +tp1246 +a(g117 +Vs +p1247 +tp1248 +a(g706 +g1042 +tp1249 +a(g827 +V\u000a +p1250 +tp1251 +a(g706 +g1032 +tp1252 +a(g441 +VHashTable +p1253 +tp1254 +a(g827 +g1036 +tp1255 +a(g117 +g1247 +tp1256 +a(g827 +g1036 +tp1257 +a(g706 +g1032 +tp1258 +a(g745 +Vstring +p1259 +tp1260 +a(g827 +g1036 +tp1261 +a(g117 +Vhash-id +p1262 +tp1263 +a(g827 +g1036 +tp1264 +a(g706 +g1032 +tp1265 +a(g745 +Vinc +p1266 +tp1267 +a(g827 +g1036 +tp1268 +a(g117 +Vcounter +p1269 +tp1270 +a(g706 +g1042 +tp1271 +a(g706 +g1042 +tp1272 +a(g706 +g1042 +tp1273 +a(g706 +g1042 +tp1274 +a(g827 +V\u000a\u000a +p1275 +tp1276 +a(g706 +g1032 +tp1277 +a(g745 +Vcontext +p1278 +tp1279 +a(g827 +g1036 +tp1280 +a(g413 +g1038 +tp1281 +a(g117 +Vmarkdown +p1282 +tp1283 +a(g706 +g1042 +tp1284 +a(g827 +V\u000a\u000a +p1285 +tp1286 +a(g706 +g1032 +tp1287 +a(g745 +Vdefine +p1288 +tp1289 +a(g827 +g1036 +tp1290 +a(g706 +g1032 +tp1291 +a(g441 +Vmarkdown +p1292 +tp1293 +a(g413 +g1052 +tp1294 +a(g117 +Vmarkdown +p1295 +tp1296 +a(g827 +g1036 +tp1297 +a(g117 +Vtxt +p1298 +tp1299 +a(g706 +g1042 +tp1300 +a(g827 +V\u000a +p1301 +tp1302 +a(g706 +g1032 +tp1303 +a(g441 +Vinitialize +p1304 +tp1305 +a(g706 +g1042 +tp1306 +a(g827 +V\u000a +p1307 +tp1308 +a(g706 +g1032 +tp1309 +a(g441 +VHash +p1310 +tp1311 +a(g413 +g1052 +tp1312 +a(g117 +Vinit-hash +p1313 +tp1314 +a(g827 +g1036 +tp1315 +a(g117 +Vtxt +p1316 +tp1317 +a(g706 +g1042 +tp1318 +a(g827 +V\u000a +p1319 +tp1320 +a(g706 +g1032 +tp1321 +a(g441 +Vunescape-special-chars +p1322 +tp1323 +a(g827 +V \u000a +p1324 +tp1325 +a(g706 +g1032 +tp1326 +a(g441 +Vblock-transforms +p1327 +tp1328 +a(g827 +V \u000a +p1329 +tp1330 +a(g706 +g1032 +tp1331 +a(g441 +Vstrip-link-definitions +p1332 +tp1333 +a(g827 +V \u000a +p1334 +tp1335 +a(g706 +g1032 +tp1336 +a(g441 +Vprotect +p1337 +tp1338 +a(g827 +V \u000a +p1339 +tp1340 +a(g706 +g1032 +tp1341 +a(g441 +Vcleanup +p1342 +tp1343 +a(g827 +g1036 +tp1344 +a(g117 +Vtxt +p1345 +tp1346 +a(g706 +g1042 +tp1347 +a(g706 +g1042 +tp1348 +a(g706 +g1042 +tp1349 +a(g706 +g1042 +tp1350 +a(g706 +g1042 +tp1351 +a(g706 +g1042 +tp1352 +a(g827 +V\u000a\u000a +p1353 +tp1354 +a(g706 +g1032 +tp1355 +a(g745 +Vdefine +p1356 +tp1357 +a(g827 +g1036 +tp1358 +a(g706 +g1032 +tp1359 +a(g441 +Vinitialize +p1360 +tp1361 +a(g706 +g1042 +tp1362 +a(g827 +V\u000a +p1363 +tp1364 +a(g706 +g1032 +tp1365 +a(g745 +Vset +p1366 +tp1367 +a(g827 +g1036 +tp1368 +a(g413 +g1038 +tp1369 +a(g745 +g1074 +tp1370 +a(g117 +Vescape-pairs* +p1371 +tp1372 +a(g827 +V +p1373 +tp1374 +a(g413 +g1038 +tp1375 +a(g706 +g1032 +tp1376 +a(g827 +V\u000a +p1377 +tp1378 +a(g706 +g1032 +tp1379 +a(g89 +V{ +p1380 +tp1381 +a(g89 +V\u005c\u005c\u005c\u005c +p1382 +tp1383 +a(g89 +V} +p1384 +tp1385 +a(g827 +g1036 +tp1386 +a(g89 +g1380 +tp1387 +a(g89 +V\u005c +p1388 +tp1389 +a(g89 +g1384 +tp1390 +a(g706 +g1042 +tp1391 +a(g827 +V\u000a +p1392 +tp1393 +a(g706 +g1032 +tp1394 +a(g89 +g1380 +tp1395 +a(g89 +V\u005c\u005c` +p1396 +tp1397 +a(g89 +g1384 +tp1398 +a(g827 +V +p1399 +tp1400 +a(g89 +g1380 +tp1401 +a(g89 +V` +p1402 +tp1403 +a(g89 +g1384 +tp1404 +a(g706 +g1042 +tp1405 +a(g827 +V\u000a +p1406 +tp1407 +a(g706 +g1032 +tp1408 +a(g89 +g1380 +tp1409 +a(g89 +V\u005c\u005c\u005c* +p1410 +tp1411 +a(g89 +g1384 +tp1412 +a(g827 +g1036 +tp1413 +a(g89 +g1380 +tp1414 +a(g89 +g1074 +tp1415 +a(g89 +g1384 +tp1416 +a(g706 +g1042 +tp1417 +a(g827 +V \u000a +p1418 +tp1419 +a(g706 +g1032 +tp1420 +a(g89 +g1380 +tp1421 +a(g89 +V\u005c\u005c_ +p1422 +tp1423 +a(g89 +g1384 +tp1424 +a(g827 +V +p1425 +tp1426 +a(g89 +g1380 +tp1427 +a(g89 +V_ +p1428 +tp1429 +a(g89 +g1384 +tp1430 +a(g706 +g1042 +tp1431 +a(g827 +V\u000a +p1432 +tp1433 +a(g706 +g1032 +tp1434 +a(g89 +V[text] +p1435 +tp1436 +a(g89 +V\u005c\u005c\u005c{[/text] +p1437 +tp1438 +a(g827 +g1036 +tp1439 +a(g89 +V[text] +p1440 +tp1441 +a(g89 +V{[/text] +p1442 +tp1443 +a(g706 +g1042 +tp1444 +a(g827 +V\u000a +p1445 +tp1446 +a(g706 +g1032 +tp1447 +a(g89 +V[text] +p1448 +tp1449 +a(g89 +V\u005c\u005c\u005c}[/text] +p1450 +tp1451 +a(g827 +g1036 +tp1452 +a(g89 +V[text] +p1453 +tp1454 +a(g89 +V}[/text] +p1455 +tp1456 +a(g706 +g1042 +tp1457 +a(g827 +V\u000a +p1458 +tp1459 +a(g706 +g1032 +tp1460 +a(g89 +g1380 +tp1461 +a(g89 +V\u005c\u005c\u005c[ +p1462 +tp1463 +a(g89 +g1384 +tp1464 +a(g827 +g1036 +tp1465 +a(g89 +g1380 +tp1466 +a(g89 +V[ +p1467 +tp1468 +a(g89 +g1384 +tp1469 +a(g706 +g1042 +tp1470 +a(g827 +V\u000a +p1471 +tp1472 +a(g706 +g1032 +tp1473 +a(g89 +g1380 +tp1474 +a(g89 +V\u005c\u005c\u005c] +p1475 +tp1476 +a(g89 +g1384 +tp1477 +a(g827 +g1036 +tp1478 +a(g89 +g1380 +tp1479 +a(g89 +V] +p1480 +tp1481 +a(g89 +g1384 +tp1482 +a(g706 +g1042 +tp1483 +a(g827 +V\u000a +p1484 +tp1485 +a(g706 +g1032 +tp1486 +a(g89 +g1380 +tp1487 +a(g89 +V\u005c\u005c\u005c( +p1488 +tp1489 +a(g89 +g1384 +tp1490 +a(g827 +g1036 +tp1491 +a(g89 +g1380 +tp1492 +a(g89 +g1032 +tp1493 +a(g89 +g1384 +tp1494 +a(g706 +g1042 +tp1495 +a(g827 +V\u000a +p1496 +tp1497 +a(g706 +g1032 +tp1498 +a(g89 +g1380 +tp1499 +a(g89 +V\u005c\u005c\u005c) +p1500 +tp1501 +a(g89 +g1384 +tp1502 +a(g827 +g1036 +tp1503 +a(g89 +g1380 +tp1504 +a(g89 +g1042 +tp1505 +a(g89 +g1384 +tp1506 +a(g706 +g1042 +tp1507 +a(g827 +V\u000a +p1508 +tp1509 +a(g706 +g1032 +tp1510 +a(g89 +g1380 +tp1511 +a(g89 +V\u005c\u005c> +p1512 +tp1513 +a(g89 +g1384 +tp1514 +a(g827 +V +p1515 +tp1516 +a(g89 +g1380 +tp1517 +a(g89 +V> +p1518 +tp1519 +a(g89 +g1384 +tp1520 +a(g706 +g1042 +tp1521 +a(g827 +V\u000a +p1522 +tp1523 +a(g706 +g1032 +tp1524 +a(g89 +g1380 +tp1525 +a(g89 +V\u005c\u005c\u005c# +p1526 +tp1527 +a(g89 +g1384 +tp1528 +a(g827 +g1036 +tp1529 +a(g89 +g1380 +tp1530 +a(g89 +V# +p1531 +tp1532 +a(g89 +g1384 +tp1533 +a(g706 +g1042 +tp1534 +a(g827 +V\u000a +p1535 +tp1536 +a(g706 +g1032 +tp1537 +a(g89 +g1380 +tp1538 +a(g89 +V\u005c\u005c\u005c+ +p1539 +tp1540 +a(g89 +g1384 +tp1541 +a(g827 +g1036 +tp1542 +a(g89 +g1380 +tp1543 +a(g89 +V+ +p1544 +tp1545 +a(g89 +g1384 +tp1546 +a(g706 +g1042 +tp1547 +a(g827 +V\u000a +p1548 +tp1549 +a(g706 +g1032 +tp1550 +a(g89 +g1380 +tp1551 +a(g89 +V\u005c\u005c\u005c- +p1552 +tp1553 +a(g89 +g1384 +tp1554 +a(g827 +g1036 +tp1555 +a(g89 +g1380 +tp1556 +a(g89 +V- +p1557 +tp1558 +a(g89 +g1384 +tp1559 +a(g706 +g1042 +tp1560 +a(g827 +V\u000a +p1561 +tp1562 +a(g706 +g1032 +tp1563 +a(g89 +g1380 +tp1564 +a(g89 +V\u005c\u005c\u005c. +p1565 +tp1566 +a(g89 +g1384 +tp1567 +a(g827 +g1036 +tp1568 +a(g89 +g1380 +tp1569 +a(g89 +V. +p1570 +tp1571 +a(g89 +g1384 +tp1572 +a(g706 +g1042 +tp1573 +a(g827 +V\u000a +p1574 +tp1575 +a(g706 +g1032 +tp1576 +a(g89 +g1380 +tp1577 +a(g89 +V\u005c\u005c! +p1578 +tp1579 +a(g89 +g1384 +tp1580 +a(g827 +V +p1581 +tp1582 +a(g89 +g1380 +tp1583 +a(g89 +V! +p1584 +tp1585 +a(g89 +g1384 +tp1586 +a(g706 +g1042 +tp1587 +a(g706 +g1042 +tp1588 +a(g706 +g1042 +tp1589 +a(g827 +V\u000a +p1590 +tp1591 +a(g706 +g1032 +tp1592 +a(g745 +Vset +p1593 +tp1594 +a(g827 +g1036 +tp1595 +a(g413 +g1038 +tp1596 +a(g745 +g1074 +tp1597 +a(g117 +Vhashed-html-blocks* +p1598 +tp1599 +a(g827 +g1036 +tp1600 +a(g413 +g1038 +tp1601 +a(g706 +g1032 +tp1602 +a(g706 +g1042 +tp1603 +a(g706 +g1042 +tp1604 +a(g827 +V\u000a +p1605 +tp1606 +a(g706 +g1032 +tp1607 +a(g745 +Vset +p1608 +tp1609 +a(g827 +g1036 +tp1610 +a(g413 +g1038 +tp1611 +a(g745 +g1074 +tp1612 +a(g745 +Vlist +p1613 +tp1614 +a(g745 +g1557 +tp1615 +a(g117 +Vlevel* +p1616 +tp1617 +a(g827 +g1036 +tp1618 +a(g117 +g1147 +tp1619 +a(g706 +g1042 +tp1620 +a(g706 +g1042 +tp1621 +a(g827 +V\u000a\u000a +p1622 +tp1623 +a(g706 +g1032 +tp1624 +a(g745 +Vdefine +p1625 +tp1626 +a(g827 +g1036 +tp1627 +a(g706 +g1032 +tp1628 +a(g441 +Vblock-transforms +p1629 +tp1630 +a(g827 +g1036 +tp1631 +a(g117 +Vtxt +p1632 +tp1633 +a(g706 +g1042 +tp1634 +a(g827 +V\u000a +p1635 +tp1636 +a(g706 +g1032 +tp1637 +a(g441 +Vform-paragraphs +p1638 +tp1639 +a(g827 +V \u000a +p1640 +tp1641 +a(g706 +g1032 +tp1642 +a(g441 +Vprotect +p1643 +tp1644 +a(g827 +V \u000a +p1645 +tp1646 +a(g706 +g1032 +tp1647 +a(g441 +Vblock-quotes +p1648 +tp1649 +a(g827 +V \u000a +p1650 +tp1651 +a(g706 +g1032 +tp1652 +a(g441 +Vcode-blocks +p1653 +tp1654 +a(g827 +V \u000a +p1655 +tp1656 +a(g706 +g1032 +tp1657 +a(g441 +Vlists +p1658 +tp1659 +a(g827 +V \u000a +p1660 +tp1661 +a(g706 +g1032 +tp1662 +a(g441 +Vhorizontal-rules +p1663 +tp1664 +a(g827 +V \u000a +p1665 +tp1666 +a(g706 +g1032 +tp1667 +a(g441 +Vheaders +p1668 +tp1669 +a(g827 +g1036 +tp1670 +a(g117 +Vtxt +p1671 +tp1672 +a(g706 +g1042 +tp1673 +a(g706 +g1042 +tp1674 +a(g706 +g1042 +tp1675 +a(g706 +g1042 +tp1676 +a(g706 +g1042 +tp1677 +a(g706 +g1042 +tp1678 +a(g706 +g1042 +tp1679 +a(g706 +g1042 +tp1680 +a(g827 +V\u000a\u000a +p1681 +tp1682 +a(g706 +g1032 +tp1683 +a(g745 +Vdefine +p1684 +tp1685 +a(g827 +g1036 +tp1686 +a(g706 +g1032 +tp1687 +a(g441 +Vspan-transforms +p1688 +tp1689 +a(g827 +g1036 +tp1690 +a(g117 +Vtxt +p1691 +tp1692 +a(g706 +g1042 +tp1693 +a(g827 +V\u000a +p1694 +tp1695 +a(g706 +g1032 +tp1696 +a(g441 +Vline-breaks +p1697 +tp1698 +a(g827 +V \u000a +p1699 +tp1700 +a(g706 +g1032 +tp1701 +a(g441 +Vemphasis +p1702 +tp1703 +a(g827 +V \u000a +p1704 +tp1705 +a(g706 +g1032 +tp1706 +a(g441 +Vamps-and-angles +p1707 +tp1708 +a(g827 +V \u000a +p1709 +tp1710 +a(g706 +g1032 +tp1711 +a(g441 +Vauto-links +p1712 +tp1713 +a(g827 +V \u000a +p1714 +tp1715 +a(g706 +g1032 +tp1716 +a(g441 +Vanchors +p1717 +tp1718 +a(g827 +V \u000a +p1719 +tp1720 +a(g706 +g1032 +tp1721 +a(g441 +Vimages +p1722 +tp1723 +a(g827 +V \u000a +p1724 +tp1725 +a(g706 +g1032 +tp1726 +a(g441 +Vescape-special-chars +p1727 +tp1728 +a(g827 +V \u000a +p1729 +tp1730 +a(g706 +g1032 +tp1731 +a(g441 +Vescape-special-chars +p1732 +tp1733 +a(g827 +g1036 +tp1734 +a(g706 +g1032 +tp1735 +a(g441 +Vcode-spans +p1736 +tp1737 +a(g827 +g1036 +tp1738 +a(g117 +Vtxt +p1739 +tp1740 +a(g706 +g1042 +tp1741 +a(g827 +g1036 +tp1742 +a(g413 +g1038 +tp1743 +a(g117 +Vinside-attributes +p1744 +tp1745 +a(g706 +g1042 +tp1746 +a(g706 +g1042 +tp1747 +a(g706 +g1042 +tp1748 +a(g706 +g1042 +tp1749 +a(g706 +g1042 +tp1750 +a(g706 +g1042 +tp1751 +a(g706 +g1042 +tp1752 +a(g706 +g1042 +tp1753 +a(g706 +g1042 +tp1754 +a(g827 +V\u000a\u000a +p1755 +tp1756 +a(g706 +g1032 +tp1757 +a(g745 +Vdefine +p1758 +tp1759 +a(g827 +g1036 +tp1760 +a(g706 +g1032 +tp1761 +a(g441 +Vtokenize-html +p1762 +tp1763 +a(g827 +g1036 +tp1764 +a(g117 +Vxhtml +p1765 +tp1766 +a(g706 +g1042 +tp1767 +a(g827 +V\u000a +p1768 +tp1769 +a(g898 +V; return list of tag/text portions of xhtml text +p1770 +tp1771 +a(g827 +V\u000a +p1772 +tp1773 +a(g706 +g1032 +tp1774 +a(g745 +Vletn +p1775 +tp1776 +a(g827 +g1036 +tp1777 +a(g706 +g1032 +tp1778 +a(g827 +V\u000a +p1779 +tp1780 +a(g706 +g1032 +tp1781 +a(g441 +Vtag-match +p1782 +tp1783 +a(g827 +g1036 +tp1784 +a(g89 +V[text] +p1785 +tp1786 +a(g89 +V((?s:)|\u000a(?s:<\u005c?.*?\u005c?>)|\u000a(?:<[a-z/!$](?:[^<>]|\u000a(?:<[a-z/!$](?:[^<>]|\u000a(?:<[a-z/!$](?:[^<>]|\u000a(?:<[a-z/!$](?:[^<>]|\u000a(?:<[a-z/!$](?:[^<>]|\u000a(?:<[a-z/!$](?:[^<>])*>))*>))*>))*>))*>))*>))[/text] +p1787 +tp1788 +a(g706 +g1042 +tp1789 +a(g827 +g1036 +tp1790 +a(g898 +V; yeah, well... +p1791 +tp1792 +a(g827 +V\u000a +p1793 +tp1794 +a(g706 +g1032 +tp1795 +a(g441 +Vstr +p1796 +tp1797 +a(g827 +g1036 +tp1798 +a(g117 +Vxhtml +p1799 +tp1800 +a(g706 +g1042 +tp1801 +a(g827 +V\u000a +p1802 +tp1803 +a(g706 +g1032 +tp1804 +a(g441 +Vlen +p1805 +tp1806 +a(g827 +g1036 +tp1807 +a(g706 +g1032 +tp1808 +a(g745 +Vlength +p1809 +tp1810 +a(g827 +g1036 +tp1811 +a(g117 +Vstr +p1812 +tp1813 +a(g706 +g1042 +tp1814 +a(g706 +g1042 +tp1815 +a(g827 +V\u000a +p1816 +tp1817 +a(g706 +g1032 +tp1818 +a(g441 +Vpos +p1819 +tp1820 +a(g827 +g1036 +tp1821 +a(g117 +g1147 +tp1822 +a(g706 +g1042 +tp1823 +a(g827 +V\u000a +p1824 +tp1825 +a(g706 +g1032 +tp1826 +a(g441 +Vtokens +p1827 +tp1828 +a(g827 +g1036 +tp1829 +a(g413 +g1038 +tp1830 +a(g706 +g1032 +tp1831 +a(g706 +g1042 +tp1832 +a(g706 +g1042 +tp1833 +a(g706 +g1042 +tp1834 +a(g827 +V\u000a +p1835 +tp1836 +a(g706 +g1032 +tp1837 +a(g745 +Vwhile +p1838 +tp1839 +a(g827 +g1036 +tp1840 +a(g706 +g1032 +tp1841 +a(g745 +Vset +p1842 +tp1843 +a(g827 +g1036 +tp1844 +a(g413 +g1038 +tp1845 +a(g117 +Vtag-start +p1846 +tp1847 +a(g827 +g1036 +tp1848 +a(g706 +g1032 +tp1849 +a(g745 +Vfind +p1850 +tp1851 +a(g827 +g1036 +tp1852 +a(g117 +Vtag-match +p1853 +tp1854 +a(g827 +g1036 +tp1855 +a(g117 +Vstr +p1856 +tp1857 +a(g827 +g1036 +tp1858 +a(g117 +V8 +p1859 +tp1860 +a(g706 +g1042 +tp1861 +a(g706 +g1042 +tp1862 +a(g827 +V\u000a +p1863 +tp1864 +a(g706 +g1032 +tp1865 +a(g745 +Vif +p1866 +tp1867 +a(g827 +g1036 +tp1868 +a(g706 +g1032 +tp1869 +a(g441 +V< +p1870 +tp1871 +a(g827 +g1036 +tp1872 +a(g117 +Vpos +p1873 +tp1874 +a(g827 +g1036 +tp1875 +a(g117 +Vtag-start +p1876 +tp1877 +a(g706 +g1042 +tp1878 +a(g827 +V\u000a +p1879 +tp1880 +a(g706 +g1032 +tp1881 +a(g745 +Vpush +p1882 +tp1883 +a(g827 +g1036 +tp1884 +a(g706 +g1032 +tp1885 +a(g745 +Vlist +p1886 +tp1887 +a(g827 +g1036 +tp1888 +a(g413 +g1038 +tp1889 +a(g117 +Vtext +p1890 +tp1891 +a(g827 +g1036 +tp1892 +a(g706 +g1032 +tp1893 +a(g745 +Vslice +p1894 +tp1895 +a(g827 +g1036 +tp1896 +a(g117 +Vstr +p1897 +tp1898 +a(g827 +g1036 +tp1899 +a(g117 +Vpos +p1900 +tp1901 +a(g827 +g1036 +tp1902 +a(g706 +g1032 +tp1903 +a(g441 +g1557 +tp1904 +a(g827 +g1036 +tp1905 +a(g117 +Vtag-start +p1906 +tp1907 +a(g827 +g1036 +tp1908 +a(g117 +Vpos +p1909 +tp1910 +a(g706 +g1042 +tp1911 +a(g706 +g1042 +tp1912 +a(g706 +g1042 +tp1913 +a(g827 +g1036 +tp1914 +a(g117 +Vtokens +p1915 +tp1916 +a(g827 +g1036 +tp1917 +a(g745 +g1557 +tp1918 +a(g117 +V1 +p1919 +tp1920 +a(g706 +g1042 +tp1921 +a(g706 +g1042 +tp1922 +a(g827 +V\u000a +p1923 +tp1924 +a(g706 +g1032 +tp1925 +a(g745 +Vpush +p1926 +tp1927 +a(g827 +g1036 +tp1928 +a(g706 +g1032 +tp1929 +a(g745 +Vlist +p1930 +tp1931 +a(g827 +g1036 +tp1932 +a(g413 +g1038 +tp1933 +a(g117 +Vtag +p1934 +tp1935 +a(g827 +g1036 +tp1936 +a(g745 +V$0 +p1937 +tp1938 +a(g706 +g1042 +tp1939 +a(g827 +g1036 +tp1940 +a(g117 +Vtokens +p1941 +tp1942 +a(g827 +g1036 +tp1943 +a(g745 +g1557 +tp1944 +a(g117 +g1919 +tp1945 +a(g706 +g1042 +tp1946 +a(g827 +V\u000a +p1947 +tp1948 +a(g706 +g1032 +tp1949 +a(g745 +Vset +p1950 +tp1951 +a(g827 +g1036 +tp1952 +a(g413 +g1038 +tp1953 +a(g117 +Vstr +p1954 +tp1955 +a(g827 +g1036 +tp1956 +a(g706 +g1032 +tp1957 +a(g745 +Vslice +p1958 +tp1959 +a(g827 +g1036 +tp1960 +a(g117 +Vstr +p1961 +tp1962 +a(g827 +g1036 +tp1963 +a(g706 +g1032 +tp1964 +a(g441 +g1544 +tp1965 +a(g827 +g1036 +tp1966 +a(g117 +Vtag-start +p1967 +tp1968 +a(g827 +g1036 +tp1969 +a(g706 +g1032 +tp1970 +a(g745 +Vlength +p1971 +tp1972 +a(g827 +g1036 +tp1973 +a(g745 +V$0 +p1974 +tp1975 +a(g706 +g1042 +tp1976 +a(g706 +g1042 +tp1977 +a(g706 +g1042 +tp1978 +a(g706 +g1042 +tp1979 +a(g827 +V\u000a +p1980 +tp1981 +a(g706 +g1032 +tp1982 +a(g745 +Vset +p1983 +tp1984 +a(g827 +g1036 +tp1985 +a(g413 +g1038 +tp1986 +a(g117 +Vpos +p1987 +tp1988 +a(g827 +g1036 +tp1989 +a(g117 +g1147 +tp1990 +a(g706 +g1042 +tp1991 +a(g706 +g1042 +tp1992 +a(g827 +V\u000a +p1993 +tp1994 +a(g898 +V; leftovers +p1995 +tp1996 +a(g827 +V\u000a +p1997 +tp1998 +a(g706 +g1032 +tp1999 +a(g745 +Vif +p2000 +tp2001 +a(g827 +g1036 +tp2002 +a(g706 +g1032 +tp2003 +a(g441 +g1870 +tp2004 +a(g827 +g1036 +tp2005 +a(g117 +Vpos +p2006 +tp2007 +a(g827 +g1036 +tp2008 +a(g117 +Vlen +p2009 +tp2010 +a(g706 +g1042 +tp2011 +a(g827 +V\u000a +p2012 +tp2013 +a(g706 +g1032 +tp2014 +a(g745 +Vpush +p2015 +tp2016 +a(g827 +g1036 +tp2017 +a(g706 +g1032 +tp2018 +a(g745 +Vlist +p2019 +tp2020 +a(g827 +g1036 +tp2021 +a(g413 +g1038 +tp2022 +a(g117 +Vtext +p2023 +tp2024 +a(g827 +g1036 +tp2025 +a(g706 +g1032 +tp2026 +a(g745 +Vslice +p2027 +tp2028 +a(g827 +g1036 +tp2029 +a(g117 +Vstr +p2030 +tp2031 +a(g827 +g1036 +tp2032 +a(g117 +Vpos +p2033 +tp2034 +a(g827 +g1036 +tp2035 +a(g706 +g1032 +tp2036 +a(g441 +g1557 +tp2037 +a(g827 +g1036 +tp2038 +a(g117 +Vlen +p2039 +tp2040 +a(g827 +g1036 +tp2041 +a(g117 +Vpos +p2042 +tp2043 +a(g706 +g1042 +tp2044 +a(g706 +g1042 +tp2045 +a(g706 +g1042 +tp2046 +a(g827 +g1036 +tp2047 +a(g117 +Vtokens +p2048 +tp2049 +a(g827 +g1036 +tp2050 +a(g745 +g1557 +tp2051 +a(g117 +g1919 +tp2052 +a(g706 +g1042 +tp2053 +a(g706 +g1042 +tp2054 +a(g827 +V\u000a +p2055 +tp2056 +a(g117 +Vtokens +p2057 +tp2058 +a(g706 +g1042 +tp2059 +a(g706 +g1042 +tp2060 +a(g827 +V\u000a\u000a +p2061 +tp2062 +a(g706 +g1032 +tp2063 +a(g745 +Vdefine +p2064 +tp2065 +a(g827 +g1036 +tp2066 +a(g706 +g1032 +tp2067 +a(g441 +Vescape-special-chars +p2068 +tp2069 +a(g827 +g1036 +tp2070 +a(g117 +Vtxt +p2071 +tp2072 +a(g827 +g1036 +tp2073 +a(g706 +g1032 +tp2074 +a(g441 +Vwithin-tag-attributes +p2075 +tp2076 +a(g827 +g1036 +tp2077 +a(g745 +Vnil +p2078 +tp2079 +a(g706 +g1042 +tp2080 +a(g706 +g1042 +tp2081 +a(g827 +V\u000a +p2082 +tp2083 +a(g706 +g1032 +tp2084 +a(g745 +Vlet +p2085 +tp2086 +a(g827 +g1036 +tp2087 +a(g706 +g1032 +tp2088 +a(g706 +g1032 +tp2089 +a(g441 +Vtemp +p2090 +tp2091 +a(g827 +g1036 +tp2092 +a(g706 +g1032 +tp2093 +a(g441 +Vtokenize-html +p2094 +tp2095 +a(g827 +g1036 +tp2096 +a(g117 +Vtxt +p2097 +tp2098 +a(g706 +g1042 +tp2099 +a(g706 +g1042 +tp2100 +a(g827 +V\u000a +p2101 +tp2102 +a(g706 +g1032 +tp2103 +a(g745 +Vnew +p2104 +tp2105 +a(g745 +g1557 +tp2106 +a(g117 +Vtext +p2107 +tp2108 +a(g827 +g1036 +tp2109 +a(g89 +g1380 +tp2110 +a(g89 +g1384 +tp2111 +a(g706 +g1042 +tp2112 +a(g706 +g1042 +tp2113 +a(g827 +V \u000a +p2114 +tp2115 +a(g706 +g1032 +tp2116 +a(g745 +Vdolist +p2117 +tp2118 +a(g827 +g1036 +tp2119 +a(g706 +g1032 +tp2120 +a(g441 +Vpair +p2121 +tp2122 +a(g827 +g1036 +tp2123 +a(g117 +Vtemp +p2124 +tp2125 +a(g706 +g1042 +tp2126 +a(g827 +V\u000a +p2127 +tp2128 +a(g706 +g1032 +tp2129 +a(g745 +Vif +p2130 +tp2131 +a(g827 +g1036 +tp2132 +a(g706 +g1032 +tp2133 +a(g441 +V= +p2134 +tp2135 +a(g827 +g1036 +tp2136 +a(g706 +g1032 +tp2137 +a(g745 +Vfirst +p2138 +tp2139 +a(g827 +g1036 +tp2140 +a(g117 +Vpair +p2141 +tp2142 +a(g706 +g1042 +tp2143 +a(g827 +g1036 +tp2144 +a(g413 +g1038 +tp2145 +a(g117 +Vtag +p2146 +tp2147 +a(g706 +g1042 +tp2148 +a(g827 +V\u000a +p2149 +tp2150 +a(g898 +V; 'tag +p2151 +tp2152 +a(g827 +V\u000a +p2153 +tp2154 +a(g706 +g1032 +tp2155 +a(g745 +Vbegin +p2156 +tp2157 +a(g827 +V \u000a +p2158 +tp2159 +a(g706 +g1032 +tp2160 +a(g745 +Vset +p2161 +tp2162 +a(g827 +g1036 +tp2163 +a(g413 +g1038 +tp2164 +a(g745 +Vnew +p2165 +tp2166 +a(g745 +g1557 +tp2167 +a(g117 +Vtext +p2168 +tp2169 +a(g827 +g1036 +tp2170 +a(g706 +g1032 +tp2171 +a(g745 +Vreplace +p2172 +tp2173 +a(g827 +g1036 +tp2174 +a(g89 +g1380 +tp2175 +a(g89 +V\u005c\u005c +p2176 +tp2177 +a(g89 +g1384 +tp2178 +a(g827 +g1036 +tp2179 +a(g706 +g1032 +tp2180 +a(g745 +Vlast +p2181 +tp2182 +a(g827 +g1036 +tp2183 +a(g117 +Vpair +p2184 +tp2185 +a(g706 +g1042 +tp2186 +a(g827 +g1036 +tp2187 +a(g706 +g1032 +tp2188 +a(g441 +VHashTable +p2189 +tp2190 +a(g827 +g1036 +tp2191 +a(g89 +g1380 +tp2192 +a(g89 +V\u005c\u005c +p2193 +tp2194 +a(g89 +g1384 +tp2195 +a(g706 +g1042 +tp2196 +a(g827 +g1036 +tp2197 +a(g117 +g1147 +tp2198 +a(g706 +g1042 +tp2199 +a(g706 +g1042 +tp2200 +a(g827 +V\u000a +p2201 +tp2202 +a(g706 +g1032 +tp2203 +a(g745 +Vreplace +p2204 +tp2205 +a(g827 +g1036 +tp2206 +a(g89 +V[text] +p2207 +tp2208 +a(g89 +V(?<=.)(?=.)[/text] +p2209 +tp2210 +a(g827 +g1036 +tp2211 +a(g745 +Vnew +p2212 +tp2213 +a(g745 +g1557 +tp2214 +a(g117 +Vtext +p2215 +tp2216 +a(g827 +g1036 +tp2217 +a(g706 +g1032 +tp2218 +a(g441 +VHashTable +p2219 +tp2220 +a(g827 +g1036 +tp2221 +a(g89 +g1380 +tp2222 +a(g89 +g1402 +tp2223 +a(g89 +g1384 +tp2224 +a(g706 +g1042 +tp2225 +a(g827 +g1036 +tp2226 +a(g117 +g1147 +tp2227 +a(g706 +g1042 +tp2228 +a(g827 +V\u000a +p2229 +tp2230 +a(g706 +g1032 +tp2231 +a(g745 +Vreplace +p2232 +tp2233 +a(g827 +g1036 +tp2234 +a(g89 +g1380 +tp2235 +a(g89 +V\u005c* +p2236 +tp2237 +a(g89 +g1384 +tp2238 +a(g827 +g1036 +tp2239 +a(g745 +Vnew +p2240 +tp2241 +a(g745 +g1557 +tp2242 +a(g117 +Vtext +p2243 +tp2244 +a(g827 +g1036 +tp2245 +a(g706 +g1032 +tp2246 +a(g441 +VHashTable +p2247 +tp2248 +a(g827 +g1036 +tp2249 +a(g89 +g1380 +tp2250 +a(g89 +g1074 +tp2251 +a(g89 +g1384 +tp2252 +a(g706 +g1042 +tp2253 +a(g827 +g1036 +tp2254 +a(g117 +g1147 +tp2255 +a(g706 +g1042 +tp2256 +a(g827 +V\u000a +p2257 +tp2258 +a(g706 +g1032 +tp2259 +a(g745 +Vreplace +p2260 +tp2261 +a(g827 +g1036 +tp2262 +a(g89 +g1380 +tp2263 +a(g89 +g1428 +tp2264 +a(g89 +g1384 +tp2265 +a(g827 +g1036 +tp2266 +a(g745 +Vnew +p2267 +tp2268 +a(g745 +g1557 +tp2269 +a(g117 +Vtext +p2270 +tp2271 +a(g827 +g1036 +tp2272 +a(g706 +g1032 +tp2273 +a(g441 +VHashTable +p2274 +tp2275 +a(g827 +g1036 +tp2276 +a(g89 +g1380 +tp2277 +a(g89 +g1428 +tp2278 +a(g89 +g1384 +tp2279 +a(g827 +g1036 +tp2280 +a(g706 +g1042 +tp2281 +a(g827 +g1036 +tp2282 +a(g117 +g1147 +tp2283 +a(g706 +g1042 +tp2284 +a(g706 +g1042 +tp2285 +a(g827 +V\u000a +p2286 +tp2287 +a(g898 +V; 'text +p2288 +tp2289 +a(g827 +V\u000a +p2290 +tp2291 +a(g706 +g1032 +tp2292 +a(g745 +Vif +p2293 +tp2294 +a(g827 +V +p2295 +tp2296 +a(g117 +Vwithin-tag-attributes +p2297 +tp2298 +a(g827 +V\u000a +p2299 +tp2300 +a(g706 +g1032 +tp2301 +a(g745 +Vset +p2302 +tp2303 +a(g827 +g1036 +tp2304 +a(g413 +g1038 +tp2305 +a(g745 +Vnew +p2306 +tp2307 +a(g745 +g1557 +tp2308 +a(g117 +Vtext +p2309 +tp2310 +a(g827 +g1036 +tp2311 +a(g706 +g1032 +tp2312 +a(g745 +Vlast +p2313 +tp2314 +a(g827 +g1036 +tp2315 +a(g117 +Vpair +p2316 +tp2317 +a(g706 +g1042 +tp2318 +a(g706 +g1042 +tp2319 +a(g827 +V\u000a +p2320 +tp2321 +a(g706 +g1032 +tp2322 +a(g745 +Vset +p2323 +tp2324 +a(g827 +g1036 +tp2325 +a(g413 +g1038 +tp2326 +a(g745 +Vnew +p2327 +tp2328 +a(g745 +g1557 +tp2329 +a(g117 +Vtext +p2330 +tp2331 +a(g827 +g1036 +tp2332 +a(g706 +g1032 +tp2333 +a(g441 +Vencode-backslash-escapes +p2334 +tp2335 +a(g827 +g1036 +tp2336 +a(g706 +g1032 +tp2337 +a(g745 +Vlast +p2338 +tp2339 +a(g827 +g1036 +tp2340 +a(g117 +Vpair +p2341 +tp2342 +a(g706 +g1042 +tp2343 +a(g706 +g1042 +tp2344 +a(g706 +g1042 +tp2345 +a(g706 +g1042 +tp2346 +a(g706 +g1042 +tp2347 +a(g827 +V\u000a +p2348 +tp2349 +a(g706 +g1032 +tp2350 +a(g745 +Vsetf +p2351 +tp2352 +a(g827 +g1036 +tp2353 +a(g706 +g1032 +tp2354 +a(g441 +Vtemp +p2355 +tp2356 +a(g827 +g1036 +tp2357 +a(g745 +V$idx +p2358 +tp2359 +a(g706 +g1042 +tp2360 +a(g827 +g1036 +tp2361 +a(g706 +g1032 +tp2362 +a(g745 +Vlist +p2363 +tp2364 +a(g827 +g1036 +tp2365 +a(g706 +g1032 +tp2366 +a(g745 +Vfirst +p2367 +tp2368 +a(g827 +g1036 +tp2369 +a(g117 +Vpair +p2370 +tp2371 +a(g706 +g1042 +tp2372 +a(g827 +g1036 +tp2373 +a(g745 +Vnew +p2374 +tp2375 +a(g745 +g1557 +tp2376 +a(g117 +Vtext +p2377 +tp2378 +a(g706 +g1042 +tp2379 +a(g706 +g1042 +tp2380 +a(g706 +g1042 +tp2381 +a(g827 +V\u000a +p2382 +tp2383 +a(g898 +V; return as text +p2384 +tp2385 +a(g827 +V\u000a +p2386 +tp2387 +a(g706 +g1032 +tp2388 +a(g745 +Vjoin +p2389 +tp2390 +a(g827 +g1036 +tp2391 +a(g706 +g1032 +tp2392 +a(g745 +Vmap +p2393 +tp2394 +a(g827 +g1036 +tp2395 +a(g745 +Vlast +p2396 +tp2397 +a(g827 +g1036 +tp2398 +a(g117 +Vtemp +p2399 +tp2400 +a(g706 +g1042 +tp2401 +a(g706 +g1042 +tp2402 +a(g706 +g1042 +tp2403 +a(g706 +g1042 +tp2404 +a(g827 +V\u000a\u000a +p2405 +tp2406 +a(g706 +g1032 +tp2407 +a(g745 +Vdefine +p2408 +tp2409 +a(g827 +g1036 +tp2410 +a(g706 +g1032 +tp2411 +a(g441 +Vencode-backslash-escapes +p2412 +tp2413 +a(g827 +g1036 +tp2414 +a(g117 +Vt +p2415 +tp2416 +a(g706 +g1042 +tp2417 +a(g827 +V\u000a +p2418 +tp2419 +a(g706 +g1032 +tp2420 +a(g745 +Vdolist +p2421 +tp2422 +a(g827 +g1036 +tp2423 +a(g706 +g1032 +tp2424 +a(g441 +Vpair +p2425 +tp2426 +a(g827 +g1036 +tp2427 +a(g745 +g1074 +tp2428 +a(g117 +Vescape-pairs* +p2429 +tp2430 +a(g706 +g1042 +tp2431 +a(g827 +V\u000a +p2432 +tp2433 +a(g706 +g1032 +tp2434 +a(g745 +Vreplace +p2435 +tp2436 +a(g827 +g1036 +tp2437 +a(g706 +g1032 +tp2438 +a(g745 +Vfirst +p2439 +tp2440 +a(g827 +g1036 +tp2441 +a(g117 +Vpair +p2442 +tp2443 +a(g706 +g1042 +tp2444 +a(g827 +g1036 +tp2445 +a(g117 +g2415 +tp2446 +a(g827 +g1036 +tp2447 +a(g706 +g1032 +tp2448 +a(g441 +VHashTable +p2449 +tp2450 +a(g827 +g1036 +tp2451 +a(g706 +g1032 +tp2452 +a(g745 +Vlast +p2453 +tp2454 +a(g827 +g1036 +tp2455 +a(g117 +Vpair +p2456 +tp2457 +a(g706 +g1042 +tp2458 +a(g706 +g1042 +tp2459 +a(g827 +g1036 +tp2460 +a(g117 +V14 +p2461 +tp2462 +a(g706 +g1042 +tp2463 +a(g706 +g1042 +tp2464 +a(g706 +g1042 +tp2465 +a(g827 +V\u000a\u000a +p2466 +tp2467 +a(g706 +g1032 +tp2468 +a(g745 +Vdefine +p2469 +tp2470 +a(g827 +g1036 +tp2471 +a(g706 +g1032 +tp2472 +a(g441 +Vencode-code +p2473 +tp2474 +a(g827 +g1036 +tp2475 +a(g117 +g1247 +tp2476 +a(g706 +g1042 +tp2477 +a(g827 +V\u000a +p2478 +tp2479 +a(g898 +V; encode/escape certain characters inside Markdown code runs +p2480 +tp2481 +a(g827 +V\u000a +p2482 +tp2483 +a(g706 +g1032 +tp2484 +a(g745 +Vreplace +p2485 +tp2486 +a(g827 +g1036 +tp2487 +a(g89 +g1380 +tp2488 +a(g89 +V& +p2489 +tp2490 +a(g89 +g1384 +tp2491 +a(g827 +V +p2492 +tp2493 +a(g117 +g1247 +tp2494 +a(g827 +V +p2495 +tp2496 +a(g89 +V"&" +p2497 +tp2498 +a(g827 +g1036 +tp2499 +a(g117 +g1147 +tp2500 +a(g706 +g1042 +tp2501 +a(g827 +V\u000a +p2502 +tp2503 +a(g706 +g1032 +tp2504 +a(g745 +Vreplace +p2505 +tp2506 +a(g827 +g1036 +tp2507 +a(g89 +g1380 +tp2508 +a(g89 +g1870 +tp2509 +a(g89 +g1384 +tp2510 +a(g827 +V +p2511 +tp2512 +a(g117 +g1247 +tp2513 +a(g827 +V +p2514 +tp2515 +a(g89 +V"<" +p2516 +tp2517 +a(g827 +g1036 +tp2518 +a(g117 +g1147 +tp2519 +a(g706 +g1042 +tp2520 +a(g827 +V\u000a +p2521 +tp2522 +a(g706 +g1032 +tp2523 +a(g745 +Vreplace +p2524 +tp2525 +a(g827 +g1036 +tp2526 +a(g89 +g1380 +tp2527 +a(g89 +g1518 +tp2528 +a(g89 +g1384 +tp2529 +a(g827 +V +p2530 +tp2531 +a(g117 +g1247 +tp2532 +a(g827 +V +p2533 +tp2534 +a(g89 +V">" +p2535 +tp2536 +a(g827 +g1036 +tp2537 +a(g117 +g1147 +tp2538 +a(g706 +g1042 +tp2539 +a(g827 +V\u000a +p2540 +tp2541 +a(g706 +g1032 +tp2542 +a(g745 +Vreplace +p2543 +tp2544 +a(g827 +g1036 +tp2545 +a(g89 +g1380 +tp2546 +a(g89 +V\u005c* +p2547 +tp2548 +a(g89 +g1384 +tp2549 +a(g827 +g1036 +tp2550 +a(g117 +g1247 +tp2551 +a(g827 +V +p2552 +tp2553 +a(g706 +g1032 +tp2554 +a(g441 +VHashTable +p2555 +tp2556 +a(g827 +g1036 +tp2557 +a(g89 +g1380 +tp2558 +a(g89 +V\u005c\u005c +p2559 +tp2560 +a(g89 +g1384 +tp2561 +a(g706 +g1042 +tp2562 +a(g827 +g1036 +tp2563 +a(g117 +g1147 +tp2564 +a(g706 +g1042 +tp2565 +a(g827 +V\u000a +p2566 +tp2567 +a(g706 +g1032 +tp2568 +a(g745 +Vreplace +p2569 +tp2570 +a(g827 +g1036 +tp2571 +a(g89 +g1380 +tp2572 +a(g89 +g1428 +tp2573 +a(g89 +g1384 +tp2574 +a(g827 +V +p2575 +tp2576 +a(g117 +g1247 +tp2577 +a(g827 +V +p2578 +tp2579 +a(g706 +g1032 +tp2580 +a(g441 +VHashTable +p2581 +tp2582 +a(g827 +g1036 +tp2583 +a(g89 +g1380 +tp2584 +a(g89 +g1428 +tp2585 +a(g89 +g1384 +tp2586 +a(g706 +g1042 +tp2587 +a(g827 +g1036 +tp2588 +a(g117 +g1147 +tp2589 +a(g706 +g1042 +tp2590 +a(g827 +V\u000a +p2591 +tp2592 +a(g706 +g1032 +tp2593 +a(g745 +Vreplace +p2594 +tp2595 +a(g827 +g1036 +tp2596 +a(g89 +V"{" +p2597 +tp2598 +a(g827 +V +p2599 +tp2600 +a(g117 +g1247 +tp2601 +a(g827 +V +p2602 +tp2603 +a(g706 +g1032 +tp2604 +a(g441 +VHashTable +p2605 +tp2606 +a(g827 +g1036 +tp2607 +a(g89 +V"{" +p2608 +tp2609 +a(g706 +g1042 +tp2610 +a(g827 +g1036 +tp2611 +a(g117 +g1147 +tp2612 +a(g706 +g1042 +tp2613 +a(g827 +V\u000a +p2614 +tp2615 +a(g706 +g1032 +tp2616 +a(g745 +Vreplace +p2617 +tp2618 +a(g827 +g1036 +tp2619 +a(g89 +g1380 +tp2620 +a(g89 +V\u005c[ +p2621 +tp2622 +a(g89 +g1384 +tp2623 +a(g827 +g1036 +tp2624 +a(g117 +g1247 +tp2625 +a(g827 +V +p2626 +tp2627 +a(g706 +g1032 +tp2628 +a(g441 +VHashTable +p2629 +tp2630 +a(g827 +g1036 +tp2631 +a(g89 +g1380 +tp2632 +a(g89 +g1467 +tp2633 +a(g89 +g1384 +tp2634 +a(g706 +g1042 +tp2635 +a(g827 +g1036 +tp2636 +a(g117 +g1147 +tp2637 +a(g706 +g1042 +tp2638 +a(g827 +V\u000a +p2639 +tp2640 +a(g706 +g1032 +tp2641 +a(g745 +Vreplace +p2642 +tp2643 +a(g827 +g1036 +tp2644 +a(g89 +g1380 +tp2645 +a(g89 +V\u005c] +p2646 +tp2647 +a(g89 +g1384 +tp2648 +a(g827 +g1036 +tp2649 +a(g117 +g1247 +tp2650 +a(g827 +V +p2651 +tp2652 +a(g706 +g1032 +tp2653 +a(g441 +VHashTable +p2654 +tp2655 +a(g827 +g1036 +tp2656 +a(g89 +g1380 +tp2657 +a(g89 +g1480 +tp2658 +a(g89 +g1384 +tp2659 +a(g706 +g1042 +tp2660 +a(g827 +g1036 +tp2661 +a(g117 +g1147 +tp2662 +a(g706 +g1042 +tp2663 +a(g827 +V\u000a +p2664 +tp2665 +a(g706 +g1032 +tp2666 +a(g745 +Vreplace +p2667 +tp2668 +a(g827 +g1036 +tp2669 +a(g89 +g1380 +tp2670 +a(g89 +V\u005c\u005c +p2671 +tp2672 +a(g89 +g1384 +tp2673 +a(g827 +g1036 +tp2674 +a(g117 +g1247 +tp2675 +a(g827 +V +p2676 +tp2677 +a(g706 +g1032 +tp2678 +a(g441 +VHashTable +p2679 +tp2680 +a(g827 +g1036 +tp2681 +a(g89 +V"\u005c\u005c" +p2682 +tp2683 +a(g706 +g1042 +tp2684 +a(g827 +g1036 +tp2685 +a(g117 +g1147 +tp2686 +a(g706 +g1042 +tp2687 +a(g706 +g1042 +tp2688 +a(g827 +V\u000a\u000a +p2689 +tp2690 +a(g706 +g1032 +tp2691 +a(g745 +Vdefine +p2692 +tp2693 +a(g827 +g1036 +tp2694 +a(g706 +g1032 +tp2695 +a(g441 +Vcode-spans +p2696 +tp2697 +a(g827 +g1036 +tp2698 +a(g117 +g1247 +tp2699 +a(g706 +g1042 +tp2700 +a(g827 +V\u000a +p2701 +tp2702 +a(g706 +g1032 +tp2703 +a(g745 +Vreplace +p2704 +tp2705 +a(g827 +V \u000a +p2706 +tp2707 +a(g89 +g1380 +tp2708 +a(g89 +V(? +p2722 +tp2723 +a(g89 +g1384 +tp2724 +a(g827 +g1036 +tp2725 +a(g706 +g1032 +tp2726 +a(g441 +Vencode-code +p2727 +tp2728 +a(g827 +g1036 +tp2729 +a(g706 +g1032 +tp2730 +a(g745 +Vtrim +p2731 +tp2732 +a(g827 +g1036 +tp2733 +a(g745 +V$2 +p2734 +tp2735 +a(g706 +g1042 +tp2736 +a(g706 +g1042 +tp2737 +a(g827 +g1036 +tp2738 +a(g89 +g1380 +tp2739 +a(g89 +V +p2740 +tp2741 +a(g89 +g1384 +tp2742 +a(g706 +g1042 +tp2743 +a(g827 +V \u000a +p2744 +tp2745 +a(g117 +V2 +p2746 +tp2747 +a(g706 +g1042 +tp2748 +a(g706 +g1042 +tp2749 +a(g827 +V\u000a\u000a +p2750 +tp2751 +a(g706 +g1032 +tp2752 +a(g745 +Vdefine +p2753 +tp2754 +a(g827 +g1036 +tp2755 +a(g706 +g1032 +tp2756 +a(g441 +Vencode-alt +p2757 +tp2758 +a(g827 +g1036 +tp2759 +a(g117 +g1247 +tp2760 +a(g706 +g1042 +tp2761 +a(g827 +V\u000a +p2762 +tp2763 +a(g706 +g1032 +tp2764 +a(g745 +Vreplace +p2765 +tp2766 +a(g827 +g1036 +tp2767 +a(g89 +g1380 +tp2768 +a(g89 +g2489 +tp2769 +a(g89 +g1384 +tp2770 +a(g827 +g1036 +tp2771 +a(g117 +g1247 +tp2772 +a(g827 +g1036 +tp2773 +a(g89 +V"&" +p2774 +tp2775 +a(g827 +g1036 +tp2776 +a(g117 +g1147 +tp2777 +a(g706 +g1042 +tp2778 +a(g827 +V\u000a +p2779 +tp2780 +a(g706 +g1032 +tp2781 +a(g745 +Vreplace +p2782 +tp2783 +a(g827 +g1036 +tp2784 +a(g89 +g1380 +tp2785 +a(g89 +V" +p2786 +tp2787 +a(g89 +g1384 +tp2788 +a(g827 +g1036 +tp2789 +a(g117 +g1247 +tp2790 +a(g827 +g1036 +tp2791 +a(g89 +V""" +p2792 +tp2793 +a(g827 +g1036 +tp2794 +a(g117 +g1147 +tp2795 +a(g706 +g1042 +tp2796 +a(g706 +g1042 +tp2797 +a(g827 +V\u000a\u000a +p2798 +tp2799 +a(g706 +g1032 +tp2800 +a(g745 +Vdefine +p2801 +tp2802 +a(g827 +g1036 +tp2803 +a(g706 +g1032 +tp2804 +a(g441 +Vimages +p2805 +tp2806 +a(g827 +g1036 +tp2807 +a(g117 +Vtxt +p2808 +tp2809 +a(g706 +g1042 +tp2810 +a(g827 +V\u000a +p2811 +tp2812 +a(g706 +g1032 +tp2813 +a(g745 +Vlet +p2814 +tp2815 +a(g827 +g1036 +tp2816 +a(g706 +g1032 +tp2817 +a(g706 +g1032 +tp2818 +a(g441 +Valt-text +p2819 +tp2820 +a(g827 +g1036 +tp2821 +a(g89 +g1380 +tp2822 +a(g89 +g1384 +tp2823 +a(g706 +g1042 +tp2824 +a(g827 +V\u000a +p2825 +tp2826 +a(g706 +g1032 +tp2827 +a(g441 +Vurl +p2828 +tp2829 +a(g827 +g1036 +tp2830 +a(g89 +g1380 +tp2831 +a(g89 +g1384 +tp2832 +a(g706 +g1042 +tp2833 +a(g827 +V\u000a +p2834 +tp2835 +a(g706 +g1032 +tp2836 +a(g441 +Vtitle +p2837 +tp2838 +a(g827 +g1036 +tp2839 +a(g89 +g1380 +tp2840 +a(g89 +g1384 +tp2841 +a(g706 +g1042 +tp2842 +a(g827 +V\u000a +p2843 +tp2844 +a(g706 +g1032 +tp2845 +a(g745 +Vref +p2846 +tp2847 +a(g745 +g1557 +tp2848 +a(g745 +Vregex +p2849 +tp2850 +a(g827 +V +p2851 +tp2852 +a(g89 +g1380 +tp2853 +a(g89 +V(!\u005c[(.*?)\u005c][ ]?(?:\u005cn[ ]*)?\u005c[(.*?)\u005c]) +p2854 +tp2855 +a(g89 +g1384 +tp2856 +a(g706 +g1042 +tp2857 +a(g827 +V\u000a +p2858 +tp2859 +a(g706 +g1032 +tp2860 +a(g441 +Vinline-regex +p2861 +tp2862 +a(g827 +g1036 +tp2863 +a(g89 +g1380 +tp2864 +a(g89 +V(!\u005c[(.*?)\u005c]\u005c([ \u005ct]*?[ \u005ct]*((['"])(.*?)\u005c5[ \u005ct]*)?\u005c)) +p2865 +tp2866 +a(g89 +g1384 +tp2867 +a(g706 +g1042 +tp2868 +a(g827 +V\u000a +p2869 +tp2870 +a(g706 +g1032 +tp2871 +a(g441 +Vwhole-match +p2872 +tp2873 +a(g827 +V +p2874 +tp2875 +a(g89 +g1380 +tp2876 +a(g89 +g1384 +tp2877 +a(g706 +g1042 +tp2878 +a(g827 +V\u000a +p2879 +tp2880 +a(g706 +g1032 +tp2881 +a(g441 +Vresult +p2882 +tp2883 +a(g827 +g1036 +tp2884 +a(g89 +g1380 +tp2885 +a(g89 +g1384 +tp2886 +a(g706 +g1042 +tp2887 +a(g827 +V\u000a +p2888 +tp2889 +a(g706 +g1032 +tp2890 +a(g441 +Vid-ref +p2891 +tp2892 +a(g827 +g1036 +tp2893 +a(g89 +g1380 +tp2894 +a(g89 +g1384 +tp2895 +a(g706 +g1042 +tp2896 +a(g827 +V\u000a +p2897 +tp2898 +a(g706 +g1032 +tp2899 +a(g441 +Vurl +p2900 +tp2901 +a(g827 +V +p2902 +tp2903 +a(g89 +g1380 +tp2904 +a(g89 +g1384 +tp2905 +a(g706 +g1042 +tp2906 +a(g706 +g1042 +tp2907 +a(g827 +V\u000a +p2908 +tp2909 +a(g898 +V; reference links ![alt text][id] +p2910 +tp2911 +a(g827 +V\u000a +p2912 +tp2913 +a(g706 +g1032 +tp2914 +a(g745 +Vreplace +p2915 +tp2916 +a(g827 +V \u000a +p2917 +tp2918 +a(g745 +Vref +p2919 +tp2920 +a(g745 +g1557 +tp2921 +a(g745 +Vregex +p2922 +tp2923 +a(g827 +V \u000a +p2924 +tp2925 +a(g117 +Vtxt +p2926 +tp2927 +a(g827 +V \u000a +p2928 +tp2929 +a(g706 +g1032 +tp2930 +a(g745 +Vbegin +p2931 +tp2932 +a(g827 +V\u000a +p2933 +tp2934 +a(g706 +g1032 +tp2935 +a(g745 +Vset +p2936 +tp2937 +a(g827 +g1036 +tp2938 +a(g413 +g1038 +tp2939 +a(g117 +Vwhole-match +p2940 +tp2941 +a(g827 +g1036 +tp2942 +a(g745 +V$1 +p2943 +tp2944 +a(g827 +g1036 +tp2945 +a(g413 +g1038 +tp2946 +a(g117 +Valt-text +p2947 +tp2948 +a(g827 +g1036 +tp2949 +a(g745 +V$2 +p2950 +tp2951 +a(g827 +g1036 +tp2952 +a(g413 +g1038 +tp2953 +a(g117 +Vid-ref +p2954 +tp2955 +a(g827 +g1036 +tp2956 +a(g745 +V$3 +p2957 +tp2958 +a(g706 +g1042 +tp2959 +a(g827 +V \u000a +p2960 +tp2961 +a(g706 +g1032 +tp2962 +a(g745 +Vif +p2963 +tp2964 +a(g827 +g1036 +tp2965 +a(g117 +Valt-text +p2966 +tp2967 +a(g827 +V\u000a +p2968 +tp2969 +a(g706 +g1032 +tp2970 +a(g745 +Vreplace +p2971 +tp2972 +a(g827 +g1036 +tp2973 +a(g89 +g1380 +tp2974 +a(g89 +g2786 +tp2975 +a(g89 +g1384 +tp2976 +a(g827 +g1036 +tp2977 +a(g117 +Valt-text +p2978 +tp2979 +a(g827 +g1036 +tp2980 +a(g89 +g1380 +tp2981 +a(g89 +V" +p2982 +tp2983 +a(g89 +g1384 +tp2984 +a(g827 +g1036 +tp2985 +a(g117 +g1147 +tp2986 +a(g706 +g1042 +tp2987 +a(g706 +g1042 +tp2988 +a(g827 +V\u000a +p2989 +tp2990 +a(g706 +g1032 +tp2991 +a(g745 +Vif +p2992 +tp2993 +a(g827 +g1036 +tp2994 +a(g706 +g1032 +tp2995 +a(g441 +Vempty? +p2996 +tp2997 +a(g827 +g1036 +tp2998 +a(g117 +Vid-ref +p2999 +tp3000 +a(g706 +g1042 +tp3001 +a(g827 +V\u000a +p3002 +tp3003 +a(g706 +g1032 +tp3004 +a(g745 +Vset +p3005 +tp3006 +a(g827 +g1036 +tp3007 +a(g413 +g1038 +tp3008 +a(g117 +Vid-ref +p3009 +tp3010 +a(g827 +g1036 +tp3011 +a(g706 +g1032 +tp3012 +a(g745 +Vlower-case +p3013 +tp3014 +a(g827 +g1036 +tp3015 +a(g117 +Valt-text +p3016 +tp3017 +a(g706 +g1042 +tp3018 +a(g706 +g1042 +tp3019 +a(g706 +g1042 +tp3020 +a(g827 +V \u000a +p3021 +tp3022 +a(g706 +g1032 +tp3023 +a(g745 +Vif +p3024 +tp3025 +a(g827 +g1036 +tp3026 +a(g706 +g1032 +tp3027 +a(g745 +Vlookup +p3028 +tp3029 +a(g827 +g1036 +tp3030 +a(g117 +Vid-ref +p3031 +tp3032 +a(g827 +g1036 +tp3033 +a(g745 +g1074 +tp3034 +a(g117 +Vlink-database* +p3035 +tp3036 +a(g706 +g1042 +tp3037 +a(g827 +V\u000a +p3038 +tp3039 +a(g706 +g1032 +tp3040 +a(g745 +Vset +p3041 +tp3042 +a(g827 +g1036 +tp3043 +a(g413 +g1038 +tp3044 +a(g117 +Vurl +p3045 +tp3046 +a(g827 +g1036 +tp3047 +a(g706 +g1032 +tp3048 +a(g745 +Vfirst +p3049 +tp3050 +a(g827 +g1036 +tp3051 +a(g706 +g1032 +tp3052 +a(g745 +Vlookup +p3053 +tp3054 +a(g827 +g1036 +tp3055 +a(g117 +Vid-ref +p3056 +tp3057 +a(g827 +g1036 +tp3058 +a(g745 +g1074 +tp3059 +a(g117 +Vlink-database* +p3060 +tp3061 +a(g706 +g1042 +tp3062 +a(g706 +g1042 +tp3063 +a(g706 +g1042 +tp3064 +a(g827 +V\u000a +p3065 +tp3066 +a(g706 +g1032 +tp3067 +a(g745 +Vset +p3068 +tp3069 +a(g827 +g1036 +tp3070 +a(g413 +g1038 +tp3071 +a(g117 +Vurl +p3072 +tp3073 +a(g827 +g1036 +tp3074 +a(g745 +Vnil +p3075 +tp3076 +a(g706 +g1042 +tp3077 +a(g706 +g1042 +tp3078 +a(g827 +V\u000a +p3079 +tp3080 +a(g706 +g1032 +tp3081 +a(g745 +Vif +p3082 +tp3083 +a(g827 +g1036 +tp3084 +a(g117 +Vurl +p3085 +tp3086 +a(g827 +V\u000a +p3087 +tp3088 +a(g706 +g1032 +tp3089 +a(g745 +Vbegin +p3090 +tp3091 +a(g827 +V \u000a +p3092 +tp3093 +a(g706 +g1032 +tp3094 +a(g745 +Vreplace +p3095 +tp3096 +a(g827 +g1036 +tp3097 +a(g89 +g1380 +tp3098 +a(g89 +V\u005c* +p3099 +tp3100 +a(g89 +g1384 +tp3101 +a(g827 +g1036 +tp3102 +a(g117 +Vurl +p3103 +tp3104 +a(g827 +g1036 +tp3105 +a(g706 +g1032 +tp3106 +a(g441 +VHashTable +p3107 +tp3108 +a(g827 +g1036 +tp3109 +a(g89 +g1380 +tp3110 +a(g89 +g1074 +tp3111 +a(g89 +g1384 +tp3112 +a(g706 +g1042 +tp3113 +a(g827 +g1036 +tp3114 +a(g117 +g1147 +tp3115 +a(g706 +g1042 +tp3116 +a(g827 +V\u000a +p3117 +tp3118 +a(g706 +g1032 +tp3119 +a(g745 +Vreplace +p3120 +tp3121 +a(g827 +g1036 +tp3122 +a(g89 +g1380 +tp3123 +a(g89 +g1428 +tp3124 +a(g89 +g1384 +tp3125 +a(g827 +V +p3126 +tp3127 +a(g117 +Vurl +p3128 +tp3129 +a(g827 +g1036 +tp3130 +a(g706 +g1032 +tp3131 +a(g441 +VHashTable +p3132 +tp3133 +a(g827 +g1036 +tp3134 +a(g89 +g1380 +tp3135 +a(g89 +g1428 +tp3136 +a(g89 +g1384 +tp3137 +a(g706 +g1042 +tp3138 +a(g827 +g1036 +tp3139 +a(g117 +g1147 +tp3140 +a(g706 +g1042 +tp3141 +a(g827 +V \u000a +p3142 +tp3143 +a(g706 +g1042 +tp3144 +a(g706 +g1042 +tp3145 +a(g827 +V \u000a +p3146 +tp3147 +a(g706 +g1032 +tp3148 +a(g745 +Vif +p3149 +tp3150 +a(g827 +g1036 +tp3151 +a(g706 +g1032 +tp3152 +a(g745 +Vlast +p3153 +tp3154 +a(g827 +g1036 +tp3155 +a(g706 +g1032 +tp3156 +a(g745 +Vlookup +p3157 +tp3158 +a(g827 +g1036 +tp3159 +a(g117 +Vid-ref +p3160 +tp3161 +a(g827 +g1036 +tp3162 +a(g745 +g1074 +tp3163 +a(g117 +Vlink-database* +p3164 +tp3165 +a(g706 +g1042 +tp3166 +a(g706 +g1042 +tp3167 +a(g827 +V\u000a +p3168 +tp3169 +a(g898 +V; title +p3170 +tp3171 +a(g827 +V\u000a +p3172 +tp3173 +a(g706 +g1032 +tp3174 +a(g745 +Vbegin +p3175 +tp3176 +a(g827 +V\u000a +p3177 +tp3178 +a(g706 +g1032 +tp3179 +a(g745 +Vset +p3180 +tp3181 +a(g827 +g1036 +tp3182 +a(g413 +g1038 +tp3183 +a(g117 +Vtitle +p3184 +tp3185 +a(g827 +g1036 +tp3186 +a(g706 +g1032 +tp3187 +a(g745 +Vlast +p3188 +tp3189 +a(g827 +g1036 +tp3190 +a(g706 +g1032 +tp3191 +a(g745 +Vlookup +p3192 +tp3193 +a(g827 +g1036 +tp3194 +a(g117 +Vid-ref +p3195 +tp3196 +a(g827 +g1036 +tp3197 +a(g745 +g1074 +tp3198 +a(g117 +Vlink-database* +p3199 +tp3200 +a(g706 +g1042 +tp3201 +a(g706 +g1042 +tp3202 +a(g706 +g1042 +tp3203 +a(g827 +V\u000a +p3204 +tp3205 +a(g706 +g1032 +tp3206 +a(g745 +Vreplace +p3207 +tp3208 +a(g827 +g1036 +tp3209 +a(g89 +g1380 +tp3210 +a(g89 +g2786 +tp3211 +a(g89 +g1384 +tp3212 +a(g827 +V +p3213 +tp3214 +a(g117 +Vtitle +p3215 +tp3216 +a(g827 +g1036 +tp3217 +a(g89 +g1380 +tp3218 +a(g89 +V" +p3219 +tp3220 +a(g89 +g1384 +tp3221 +a(g827 +g1036 +tp3222 +a(g117 +g1147 +tp3223 +a(g706 +g1042 +tp3224 +a(g827 +V\u000a +p3225 +tp3226 +a(g706 +g1032 +tp3227 +a(g745 +Vreplace +p3228 +tp3229 +a(g827 +g1036 +tp3230 +a(g89 +g1380 +tp3231 +a(g89 +V\u005c* +p3232 +tp3233 +a(g89 +g1384 +tp3234 +a(g827 +g1036 +tp3235 +a(g117 +Vtitle +p3236 +tp3237 +a(g827 +g1036 +tp3238 +a(g706 +g1032 +tp3239 +a(g441 +VHashTable +p3240 +tp3241 +a(g827 +g1036 +tp3242 +a(g89 +g1380 +tp3243 +a(g89 +g1074 +tp3244 +a(g89 +g1384 +tp3245 +a(g706 +g1042 +tp3246 +a(g827 +g1036 +tp3247 +a(g117 +g1147 +tp3248 +a(g706 +g1042 +tp3249 +a(g827 +V\u000a +p3250 +tp3251 +a(g706 +g1032 +tp3252 +a(g745 +Vreplace +p3253 +tp3254 +a(g827 +g1036 +tp3255 +a(g89 +g1380 +tp3256 +a(g89 +g1428 +tp3257 +a(g89 +g1384 +tp3258 +a(g827 +V +p3259 +tp3260 +a(g117 +Vtitle +p3261 +tp3262 +a(g827 +g1036 +tp3263 +a(g706 +g1032 +tp3264 +a(g441 +VHashTable +p3265 +tp3266 +a(g827 +g1036 +tp3267 +a(g89 +g1380 +tp3268 +a(g89 +g1428 +tp3269 +a(g89 +g1384 +tp3270 +a(g706 +g1042 +tp3271 +a(g827 +g1036 +tp3272 +a(g117 +g1147 +tp3273 +a(g706 +g1042 +tp3274 +a(g706 +g1042 +tp3275 +a(g827 +V\u000a +p3276 +tp3277 +a(g898 +V; no title +p3278 +tp3279 +a(g827 +V\u000a +p3280 +tp3281 +a(g706 +g1032 +tp3282 +a(g745 +Vset +p3283 +tp3284 +a(g827 +g1036 +tp3285 +a(g413 +g1038 +tp3286 +a(g117 +Vtitle +p3287 +tp3288 +a(g827 +g1036 +tp3289 +a(g89 +g1380 +tp3290 +a(g89 +g1384 +tp3291 +a(g706 +g1042 +tp3292 +a(g827 +V\u000a +p3293 +tp3294 +a(g706 +g1042 +tp3295 +a(g827 +V \u000a +p3296 +tp3297 +a(g706 +g1032 +tp3298 +a(g745 +Vif +p3299 +tp3300 +a(g827 +g1036 +tp3301 +a(g117 +Vurl +p3302 +tp3303 +a(g827 +V\u000a +p3304 +tp3305 +a(g706 +g1032 +tp3306 +a(g745 +Vset +p3307 +tp3308 +a(g827 +g1036 +tp3309 +a(g413 +g1038 +tp3310 +a(g117 +Vresult +p3311 +tp3312 +a(g827 +g1036 +tp3313 +a(g706 +g1032 +tp3314 +a(g745 +Vstring +p3315 +tp3316 +a(g827 +V \u000a +p3317 +tp3318 +a(g89 +g1380 +tp3319 +a(g89 +V
+p3335
+tp3336
+a(g89
+g1384
+tp3337
+a(g827
+V \u000a          
+p3338
+tp3339
+a(g117
+Valt-text
+p3340
+tp3341
+a(g827
+g1036
+tp3342
+a(g89
+g1380
+tp3343
+a(g89
+V +p3808 +tp3809 +a(g89 +g1384 +tp3810 +a(g706 +g1042 +tp3811 +a(g827 +V\u000a +p3812 +tp3813 +a(g117 +g1147 +tp3814 +a(g706 +g1042 +tp3815 +a(g706 +g1042 +tp3816 +a(g706 +g1042 +tp3817 +a(g827 +V\u000a\u000a +p3818 +tp3819 +a(g706 +g1032 +tp3820 +a(g745 +Vdefine +p3821 +tp3822 +a(g827 +g1036 +tp3823 +a(g706 +g1032 +tp3824 +a(g441 +Vmake-anchor +p3825 +tp3826 +a(g827 +g1036 +tp3827 +a(g117 +Vlink-text +p3828 +tp3829 +a(g827 +g1036 +tp3830 +a(g117 +Vid-ref +p3831 +tp3832 +a(g827 +g1036 +tp3833 +a(g706 +g1042 +tp3834 +a(g827 +V\u000a +p3835 +tp3836 +a(g898 +V; Link defs are in the form: ^[id]: url "optional title" +p3837 +tp3838 +a(g827 +V\u000a +p3839 +tp3840 +a(g898 +V; stored in link db list as (id (url title)) +p3841 +tp3842 +a(g827 +V\u000a +p3843 +tp3844 +a(g898 +V; params are text to be linked and the id of the link in the db +p3845 +tp3846 +a(g827 +V\u000a +p3847 +tp3848 +a(g898 +V; eg bar 1 for [bar][1] +p3849 +tp3850 +a(g827 +V\u000a\u000a +p3851 +tp3852 +a(g706 +g1032 +tp3853 +a(g745 +Vlet +p3854 +tp3855 +a(g827 +g1036 +tp3856 +a(g706 +g1032 +tp3857 +a(g706 +g1032 +tp3858 +a(g441 +Vtitle +p3859 +tp3860 +a(g827 +g1036 +tp3861 +a(g89 +g1380 +tp3862 +a(g89 +g1384 +tp3863 +a(g706 +g1042 +tp3864 +a(g827 +V\u000a +p3865 +tp3866 +a(g706 +g1032 +tp3867 +a(g441 +Vid +p3868 +tp3869 +a(g827 +g1036 +tp3870 +a(g117 +Vid-ref +p3871 +tp3872 +a(g706 +g1042 +tp3873 +a(g827 +V\u000a +p3874 +tp3875 +a(g706 +g1032 +tp3876 +a(g441 +Vurl +p3877 +tp3878 +a(g827 +g1036 +tp3879 +a(g745 +Vnil +p3880 +tp3881 +a(g706 +g1042 +tp3882 +a(g706 +g1042 +tp3883 +a(g827 +V\u000a +p3884 +tp3885 +a(g706 +g1032 +tp3886 +a(g745 +Vif +p3887 +tp3888 +a(g827 +g1036 +tp3889 +a(g117 +Vlink-text +p3890 +tp3891 +a(g827 +V\u000a +p3892 +tp3893 +a(g706 +g1032 +tp3894 +a(g745 +Vbegin +p3895 +tp3896 +a(g827 +V\u000a +p3897 +tp3898 +a(g706 +g1032 +tp3899 +a(g745 +Vreplace +p3900 +tp3901 +a(g827 +g1036 +tp3902 +a(g89 +g1380 +tp3903 +a(g89 +g2786 +tp3904 +a(g89 +g1384 +tp3905 +a(g827 +g1036 +tp3906 +a(g117 +Vlink-text +p3907 +tp3908 +a(g827 +g1036 +tp3909 +a(g89 +g1380 +tp3910 +a(g89 +V" +p3911 +tp3912 +a(g89 +g1384 +tp3913 +a(g827 +g1036 +tp3914 +a(g117 +g1147 +tp3915 +a(g706 +g1042 +tp3916 +a(g827 +V\u000a +p3917 +tp3918 +a(g706 +g1032 +tp3919 +a(g745 +Vreplace +p3920 +tp3921 +a(g827 +g1036 +tp3922 +a(g89 +g1380 +tp3923 +a(g89 +V\u005cn +p3924 +tp3925 +a(g89 +g1384 +tp3926 +a(g827 +g1036 +tp3927 +a(g117 +Vlink-text +p3928 +tp3929 +a(g827 +g1036 +tp3930 +a(g89 +g1380 +tp3931 +a(g89 +g1036 +tp3932 +a(g89 +g1384 +tp3933 +a(g827 +g1036 +tp3934 +a(g117 +g1147 +tp3935 +a(g706 +g1042 +tp3936 +a(g827 +V\u000a +p3937 +tp3938 +a(g706 +g1032 +tp3939 +a(g745 +Vreplace +p3940 +tp3941 +a(g827 +g1036 +tp3942 +a(g89 +g1380 +tp3943 +a(g89 +V[ ]?\u005cn +p3944 +tp3945 +a(g89 +g1384 +tp3946 +a(g827 +g1036 +tp3947 +a(g117 +Vlink-text +p3948 +tp3949 +a(g827 +g1036 +tp3950 +a(g89 +g1380 +tp3951 +a(g89 +g1036 +tp3952 +a(g89 +g1384 +tp3953 +a(g827 +g1036 +tp3954 +a(g117 +g1147 +tp3955 +a(g706 +g1042 +tp3956 +a(g706 +g1042 +tp3957 +a(g706 +g1042 +tp3958 +a(g827 +V \u000a +p3959 +tp3960 +a(g706 +g1032 +tp3961 +a(g745 +Vif +p3962 +tp3963 +a(g827 +g1036 +tp3964 +a(g706 +g1032 +tp3965 +a(g441 +Vnull? +p3966 +tp3967 +a(g827 +g1036 +tp3968 +a(g117 +Vid +p3969 +tp3970 +a(g827 +g1036 +tp3971 +a(g706 +g1042 +tp3972 +a(g827 +g1036 +tp3973 +a(g706 +g1032 +tp3974 +a(g745 +Vset +p3975 +tp3976 +a(g827 +g1036 +tp3977 +a(g413 +g1038 +tp3978 +a(g117 +Vid +p3979 +tp3980 +a(g827 +V +p3981 +tp3982 +a(g706 +g1032 +tp3983 +a(g745 +Vlower-case +p3984 +tp3985 +a(g827 +g1036 +tp3986 +a(g117 +Vlink-text +p3987 +tp3988 +a(g706 +g1042 +tp3989 +a(g706 +g1042 +tp3990 +a(g706 +g1042 +tp3991 +a(g827 +V\u000a +p3992 +tp3993 +a(g706 +g1032 +tp3994 +a(g745 +Vif +p3995 +tp3996 +a(g827 +g1036 +tp3997 +a(g706 +g1032 +tp3998 +a(g745 +Vnot +p3999 +tp4000 +a(g827 +g1036 +tp4001 +a(g706 +g1032 +tp4002 +a(g745 +Vnil +p4003 +tp4004 +a(g117 +V? +p4005 +tp4006 +a(g827 +g1036 +tp4007 +a(g706 +g1032 +tp4008 +a(g745 +Vlookup +p4009 +tp4010 +a(g827 +g1036 +tp4011 +a(g117 +Vid +p4012 +tp4013 +a(g827 +g1036 +tp4014 +a(g745 +g1074 +tp4015 +a(g117 +Vlink-database* +p4016 +tp4017 +a(g706 +g1042 +tp4018 +a(g706 +g1042 +tp4019 +a(g706 +g1042 +tp4020 +a(g827 +V\u000a +p4021 +tp4022 +a(g706 +g1032 +tp4023 +a(g745 +Vbegin +p4024 +tp4025 +a(g827 +V\u000a +p4026 +tp4027 +a(g706 +g1032 +tp4028 +a(g745 +Vset +p4029 +tp4030 +a(g827 +g1036 +tp4031 +a(g413 +g1038 +tp4032 +a(g117 +Vurl +p4033 +tp4034 +a(g827 +g1036 +tp4035 +a(g706 +g1032 +tp4036 +a(g745 +Vfirst +p4037 +tp4038 +a(g827 +g1036 +tp4039 +a(g706 +g1032 +tp4040 +a(g745 +Vlookup +p4041 +tp4042 +a(g827 +g1036 +tp4043 +a(g117 +Vid +p4044 +tp4045 +a(g827 +V +p4046 +tp4047 +a(g745 +g1074 +tp4048 +a(g117 +Vlink-database* +p4049 +tp4050 +a(g706 +g1042 +tp4051 +a(g706 +g1042 +tp4052 +a(g706 +g1042 +tp4053 +a(g827 +V\u000a +p4054 +tp4055 +a(g706 +g1032 +tp4056 +a(g745 +Vreplace +p4057 +tp4058 +a(g827 +g1036 +tp4059 +a(g89 +g1380 +tp4060 +a(g89 +V\u005c* +p4061 +tp4062 +a(g89 +g1384 +tp4063 +a(g827 +g1036 +tp4064 +a(g117 +Vurl +p4065 +tp4066 +a(g827 +g1036 +tp4067 +a(g706 +g1032 +tp4068 +a(g441 +VHashTable +p4069 +tp4070 +a(g827 +g1036 +tp4071 +a(g89 +g1380 +tp4072 +a(g89 +g1074 +tp4073 +a(g89 +g1384 +tp4074 +a(g706 +g1042 +tp4075 +a(g827 +g1036 +tp4076 +a(g117 +g1147 +tp4077 +a(g706 +g1042 +tp4078 +a(g827 +V\u000a +p4079 +tp4080 +a(g706 +g1032 +tp4081 +a(g745 +Vreplace +p4082 +tp4083 +a(g827 +g1036 +tp4084 +a(g89 +g1380 +tp4085 +a(g89 +g1428 +tp4086 +a(g89 +g1384 +tp4087 +a(g827 +V +p4088 +tp4089 +a(g117 +Vurl +p4090 +tp4091 +a(g827 +g1036 +tp4092 +a(g706 +g1032 +tp4093 +a(g441 +VHashTable +p4094 +tp4095 +a(g827 +g1036 +tp4096 +a(g89 +g1380 +tp4097 +a(g89 +g1428 +tp4098 +a(g89 +g1384 +tp4099 +a(g706 +g1042 +tp4100 +a(g827 +g1036 +tp4101 +a(g117 +g1147 +tp4102 +a(g706 +g1042 +tp4103 +a(g827 +V\u000a +p4104 +tp4105 +a(g706 +g1032 +tp4106 +a(g745 +Vif +p4107 +tp4108 +a(g827 +g1036 +tp4109 +a(g706 +g1032 +tp4110 +a(g745 +Vset +p4111 +tp4112 +a(g827 +g1036 +tp4113 +a(g413 +g1038 +tp4114 +a(g117 +Vtitle +p4115 +tp4116 +a(g827 +g1036 +tp4117 +a(g706 +g1032 +tp4118 +a(g745 +Vlast +p4119 +tp4120 +a(g827 +g1036 +tp4121 +a(g706 +g1032 +tp4122 +a(g745 +Vlookup +p4123 +tp4124 +a(g827 +g1036 +tp4125 +a(g117 +Vid +p4126 +tp4127 +a(g827 +V +p4128 +tp4129 +a(g745 +g1074 +tp4130 +a(g117 +Vlink-database* +p4131 +tp4132 +a(g706 +g1042 +tp4133 +a(g706 +g1042 +tp4134 +a(g706 +g1042 +tp4135 +a(g827 +V\u000a +p4136 +tp4137 +a(g706 +g1032 +tp4138 +a(g745 +Vbegin +p4139 +tp4140 +a(g827 +V \u000a +p4141 +tp4142 +a(g706 +g1032 +tp4143 +a(g745 +Vreplace +p4144 +tp4145 +a(g827 +g1036 +tp4146 +a(g89 +g1380 +tp4147 +a(g89 +g2786 +tp4148 +a(g89 +g1384 +tp4149 +a(g827 +V +p4150 +tp4151 +a(g117 +Vtitle +p4152 +tp4153 +a(g827 +g1036 +tp4154 +a(g89 +g1380 +tp4155 +a(g89 +V" +p4156 +tp4157 +a(g89 +g1384 +tp4158 +a(g827 +g1036 +tp4159 +a(g117 +g1147 +tp4160 +a(g706 +g1042 +tp4161 +a(g827 +V\u000a +p4162 +tp4163 +a(g706 +g1032 +tp4164 +a(g745 +Vreplace +p4165 +tp4166 +a(g827 +g1036 +tp4167 +a(g89 +g1380 +tp4168 +a(g89 +V\u005c* +p4169 +tp4170 +a(g89 +g1384 +tp4171 +a(g827 +g1036 +tp4172 +a(g117 +Vtitle +p4173 +tp4174 +a(g827 +g1036 +tp4175 +a(g706 +g1032 +tp4176 +a(g441 +VHashTable +p4177 +tp4178 +a(g827 +g1036 +tp4179 +a(g89 +g1380 +tp4180 +a(g89 +g1074 +tp4181 +a(g89 +g1384 +tp4182 +a(g706 +g1042 +tp4183 +a(g827 +g1036 +tp4184 +a(g117 +g1147 +tp4185 +a(g706 +g1042 +tp4186 +a(g827 +V\u000a +p4187 +tp4188 +a(g706 +g1032 +tp4189 +a(g745 +Vreplace +p4190 +tp4191 +a(g827 +g1036 +tp4192 +a(g89 +g1380 +tp4193 +a(g89 +g1428 +tp4194 +a(g89 +g1384 +tp4195 +a(g827 +V +p4196 +tp4197 +a(g117 +Vtitle +p4198 +tp4199 +a(g827 +g1036 +tp4200 +a(g706 +g1032 +tp4201 +a(g441 +VHashTable +p4202 +tp4203 +a(g827 +g1036 +tp4204 +a(g89 +g1380 +tp4205 +a(g89 +g1428 +tp4206 +a(g89 +g1384 +tp4207 +a(g706 +g1042 +tp4208 +a(g827 +g1036 +tp4209 +a(g117 +g1147 +tp4210 +a(g706 +g1042 +tp4211 +a(g706 +g1042 +tp4212 +a(g827 +V\u000a +p4213 +tp4214 +a(g706 +g1032 +tp4215 +a(g745 +Vset +p4216 +tp4217 +a(g827 +g1036 +tp4218 +a(g413 +g1038 +tp4219 +a(g117 +Vtitle +p4220 +tp4221 +a(g827 +g1036 +tp4222 +a(g89 +g1380 +tp4223 +a(g89 +g1384 +tp4224 +a(g706 +g1042 +tp4225 +a(g706 +g1042 +tp4226 +a(g706 +g1042 +tp4227 +a(g827 +V\u000a +p4228 +tp4229 +a(g706 +g1032 +tp4230 +a(g745 +Vset +p4231 +tp4232 +a(g827 +g1036 +tp4233 +a(g413 +g1038 +tp4234 +a(g117 +Vurl +p4235 +tp4236 +a(g827 +g1036 +tp4237 +a(g745 +Vnil +p4238 +tp4239 +a(g706 +g1042 +tp4240 +a(g706 +g1042 +tp4241 +a(g827 +V\u000a +p4242 +tp4243 +a(g706 +g1032 +tp4244 +a(g745 +Vif +p4245 +tp4246 +a(g827 +g1036 +tp4247 +a(g117 +Vurl +p4248 +tp4249 +a(g827 +V\u000a +p4250 +tp4251 +a(g706 +g1032 +tp4252 +a(g745 +Vstring +p4253 +tp4254 +a(g827 +g1036 +tp4255 +a(g89 +g1380 +tp4256 +a(g89 +V +p4329 +tp4330 +a(g89 +g1384 +tp4331 +a(g706 +g1042 +tp4332 +a(g827 +V\u000a +p4333 +tp4334 +a(g706 +g1032 +tp4335 +a(g745 +Vstring +p4336 +tp4337 +a(g827 +g1036 +tp4338 +a(g89 +g1380 +tp4339 +a(g89 +g1467 +tp4340 +a(g89 +g1384 +tp4341 +a(g827 +g1036 +tp4342 +a(g117 +Vlink-text +p4343 +tp4344 +a(g827 +g1036 +tp4345 +a(g89 +g1380 +tp4346 +a(g89 +V][ +p4347 +tp4348 +a(g89 +g1384 +tp4349 +a(g827 +g1036 +tp4350 +a(g117 +Vid-ref +p4351 +tp4352 +a(g827 +g1036 +tp4353 +a(g89 +g1380 +tp4354 +a(g89 +g1480 +tp4355 +a(g89 +g1384 +tp4356 +a(g706 +g1042 +tp4357 +a(g706 +g1042 +tp4358 +a(g706 +g1042 +tp4359 +a(g706 +g1042 +tp4360 +a(g827 +V\u000a\u000a +p4361 +tp4362 +a(g706 +g1032 +tp4363 +a(g745 +Vdefine +p4364 +tp4365 +a(g827 +g1036 +tp4366 +a(g706 +g1032 +tp4367 +a(g441 +Vanchors +p4368 +tp4369 +a(g827 +g1036 +tp4370 +a(g117 +Vtxt +p4371 +tp4372 +a(g706 +g1042 +tp4373 +a(g827 +V\u000a +p4374 +tp4375 +a(g706 +g1032 +tp4376 +a(g745 +Vletn +p4377 +tp4378 +a(g827 +g1036 +tp4379 +a(g706 +g1032 +tp4380 +a(g706 +g1032 +tp4381 +a(g441 +Vnested-brackets +p4382 +tp4383 +a(g827 +g1036 +tp4384 +a(g89 +g1380 +tp4385 +a(g89 +V(?>[^\u005c[\u005c]]+)* +p4386 +tp4387 +a(g89 +g1384 +tp4388 +a(g706 +g1042 +tp4389 +a(g827 +V\u000a +p4390 +tp4391 +a(g706 +g1032 +tp4392 +a(g745 +Vref +p4393 +tp4394 +a(g745 +g1557 +tp4395 +a(g117 +Vlink-regex +p4396 +tp4397 +a(g827 +g1036 +tp4398 +a(g706 +g1032 +tp4399 +a(g745 +Vstring +p4400 +tp4401 +a(g827 +g1036 +tp4402 +a(g89 +g1380 +tp4403 +a(g89 +V(\u005c[( +p4404 +tp4405 +a(g89 +g1384 +tp4406 +a(g827 +g1036 +tp4407 +a(g117 +Vnested-brackets +p4408 +tp4409 +a(g827 +g1036 +tp4410 +a(g89 +g1380 +tp4411 +a(g89 +V)\u005c][ ]?(?:\u005cn[ ]*)?\u005c[(.*?)\u005c]) +p4412 +tp4413 +a(g89 +g1384 +tp4414 +a(g706 +g1042 +tp4415 +a(g706 +g1042 +tp4416 +a(g827 +V\u000a +p4417 +tp4418 +a(g706 +g1032 +tp4419 +a(g441 +Vinline-regex +p4420 +tp4421 +a(g827 +g1036 +tp4422 +a(g89 +g1380 +tp4423 +a(g89 +V(\u005c[(.*?)\u005c]\u005c([ ]*?[ ]*((['"])(.*?)\u005c5[ \u005ct]*)?\u005c)) +p4424 +tp4425 +a(g89 +g1384 +tp4426 +a(g706 +g1042 +tp4427 +a(g827 +V\u000a +p4428 +tp4429 +a(g706 +g1032 +tp4430 +a(g441 +Vlink-text +p4431 +tp4432 +a(g827 +g1036 +tp4433 +a(g89 +g1380 +tp4434 +a(g89 +g1384 +tp4435 +a(g706 +g1042 +tp4436 +a(g827 +V\u000a +p4437 +tp4438 +a(g706 +g1032 +tp4439 +a(g441 +Vurl +p4440 +tp4441 +a(g827 +g1036 +tp4442 +a(g89 +g1380 +tp4443 +a(g89 +g1384 +tp4444 +a(g706 +g1042 +tp4445 +a(g827 +V\u000a +p4446 +tp4447 +a(g706 +g1032 +tp4448 +a(g441 +Vtitle +p4449 +tp4450 +a(g827 +g1036 +tp4451 +a(g89 +g1380 +tp4452 +a(g89 +g1384 +tp4453 +a(g706 +g1042 +tp4454 +a(g706 +g1042 +tp4455 +a(g827 +V \u000a +p4456 +tp4457 +a(g898 +V; reference-style links: [link text] [id] +p4458 +tp4459 +a(g827 +V\u000a +p4460 +tp4461 +a(g706 +g1032 +tp4462 +a(g745 +Vset +p4463 +tp4464 +a(g827 +g1036 +tp4465 +a(g413 +g1038 +tp4466 +a(g745 +V$1 +p4467 +tp4468 +a(g827 +g1036 +tp4469 +a(g89 +g1380 +tp4470 +a(g89 +g1384 +tp4471 +a(g827 +g1036 +tp4472 +a(g413 +g1038 +tp4473 +a(g745 +V$2 +p4474 +tp4475 +a(g827 +g1036 +tp4476 +a(g89 +g1380 +tp4477 +a(g89 +g1384 +tp4478 +a(g827 +g1036 +tp4479 +a(g413 +g1038 +tp4480 +a(g745 +V$3 +p4481 +tp4482 +a(g827 +g1036 +tp4483 +a(g89 +g1380 +tp4484 +a(g89 +g1384 +tp4485 +a(g827 +g1036 +tp4486 +a(g413 +g1038 +tp4487 +a(g745 +V$4 +p4488 +tp4489 +a(g827 +g1036 +tp4490 +a(g89 +g1380 +tp4491 +a(g89 +g1384 +tp4492 +a(g827 +g1036 +tp4493 +a(g413 +g1038 +tp4494 +a(g745 +V$5 +p4495 +tp4496 +a(g827 +g1036 +tp4497 +a(g89 +g1380 +tp4498 +a(g89 +g1384 +tp4499 +a(g827 +g1036 +tp4500 +a(g413 +g1038 +tp4501 +a(g745 +V$6 +p4502 +tp4503 +a(g827 +g1036 +tp4504 +a(g89 +g1380 +tp4505 +a(g89 +g1384 +tp4506 +a(g706 +g1042 +tp4507 +a(g827 +V +p4508 +tp4509 +a(g898 +V; i still don't think I should have to do this... +p4510 +tp4511 +a(g827 +V\u000a \u000a +p4512 +tp4513 +a(g898 +V; what about this regex instead? +p4514 +tp4515 +a(g827 +V\u000a +p4516 +tp4517 +a(g706 +g1032 +tp4518 +a(g745 +Vset +p4519 +tp4520 +a(g827 +g1036 +tp4521 +a(g413 +g1038 +tp4522 +a(g745 +Vref +p4523 +tp4524 +a(g745 +g1557 +tp4525 +a(g117 +Vlink-regex +p4526 +tp4527 +a(g827 +g1036 +tp4528 +a(g89 +g1380 +tp4529 +a(g89 +V(\u005c[(.*?)\u005c][ ]?\u005c[(.*?)\u005c]) +p4530 +tp4531 +a(g89 +g1384 +tp4532 +a(g706 +g1042 +tp4533 +a(g827 +V\u000a \u000a +p4534 +tp4535 +a(g706 +g1032 +tp4536 +a(g745 +Vreplace +p4537 +tp4538 +a(g827 +g1036 +tp4539 +a(g745 +Vref +p4540 +tp4541 +a(g745 +g1557 +tp4542 +a(g117 +Vlink-regex +p4543 +tp4544 +a(g827 +g1036 +tp4545 +a(g117 +Vtxt +p4546 +tp4547 +a(g827 +g1036 +tp4548 +a(g706 +g1032 +tp4549 +a(g441 +Vmake-anchor +p4550 +tp4551 +a(g827 +g1036 +tp4552 +a(g745 +V$2 +p4553 +tp4554 +a(g827 +g1036 +tp4555 +a(g745 +V$3 +p4556 +tp4557 +a(g706 +g1042 +tp4558 +a(g827 +g1036 +tp4559 +a(g117 +g1859 +tp4560 +a(g706 +g1042 +tp4561 +a(g827 +g1036 +tp4562 +a(g898 +V; $2 is link text, $3 is id +p4563 +tp4564 +a(g827 +V\u000a +p4565 +tp4566 +a(g898 +V; inline links: [link text](url "optional title") +p4567 +tp4568 +a(g827 +V\u000a +p4569 +tp4570 +a(g706 +g1032 +tp4571 +a(g745 +Vset +p4572 +tp4573 +a(g827 +g1036 +tp4574 +a(g413 +g1038 +tp4575 +a(g745 +V$1 +p4576 +tp4577 +a(g827 +g1036 +tp4578 +a(g89 +g1380 +tp4579 +a(g89 +g1384 +tp4580 +a(g827 +g1036 +tp4581 +a(g413 +g1038 +tp4582 +a(g745 +V$2 +p4583 +tp4584 +a(g827 +g1036 +tp4585 +a(g89 +g1380 +tp4586 +a(g89 +g1384 +tp4587 +a(g827 +g1036 +tp4588 +a(g413 +g1038 +tp4589 +a(g745 +V$3 +p4590 +tp4591 +a(g827 +g1036 +tp4592 +a(g89 +g1380 +tp4593 +a(g89 +g1384 +tp4594 +a(g827 +g1036 +tp4595 +a(g413 +g1038 +tp4596 +a(g745 +V$4 +p4597 +tp4598 +a(g827 +g1036 +tp4599 +a(g89 +g1380 +tp4600 +a(g89 +g1384 +tp4601 +a(g827 +g1036 +tp4602 +a(g413 +g1038 +tp4603 +a(g745 +V$5 +p4604 +tp4605 +a(g827 +g1036 +tp4606 +a(g89 +g1380 +tp4607 +a(g89 +g1384 +tp4608 +a(g827 +g1036 +tp4609 +a(g413 +g1038 +tp4610 +a(g745 +V$6 +p4611 +tp4612 +a(g827 +g1036 +tp4613 +a(g89 +g1380 +tp4614 +a(g89 +g1384 +tp4615 +a(g706 +g1042 +tp4616 +a(g827 +V\u000a +p4617 +tp4618 +a(g706 +g1032 +tp4619 +a(g745 +Vreplace +p4620 +tp4621 +a(g827 +V \u000a +p4622 +tp4623 +a(g117 +Vinline-regex +p4624 +tp4625 +a(g827 +V \u000a +p4626 +tp4627 +a(g117 +Vtxt +p4628 +tp4629 +a(g827 +V \u000a +p4630 +tp4631 +a(g706 +g1032 +tp4632 +a(g745 +Vbegin +p4633 +tp4634 +a(g827 +V\u000a +p4635 +tp4636 +a(g706 +g1032 +tp4637 +a(g745 +Vset +p4638 +tp4639 +a(g827 +g1036 +tp4640 +a(g413 +g1038 +tp4641 +a(g117 +Vlink-text +p4642 +tp4643 +a(g827 +g1036 +tp4644 +a(g745 +V$2 +p4645 +tp4646 +a(g706 +g1042 +tp4647 +a(g827 +V\u000a +p4648 +tp4649 +a(g706 +g1032 +tp4650 +a(g745 +Vset +p4651 +tp4652 +a(g827 +g1036 +tp4653 +a(g413 +g1038 +tp4654 +a(g117 +Vurl +p4655 +tp4656 +a(g827 +g1036 +tp4657 +a(g745 +V$3 +p4658 +tp4659 +a(g706 +g1042 +tp4660 +a(g827 +V\u000a +p4661 +tp4662 +a(g706 +g1032 +tp4663 +a(g745 +Vset +p4664 +tp4665 +a(g827 +g1036 +tp4666 +a(g413 +g1038 +tp4667 +a(g117 +Vtitle +p4668 +tp4669 +a(g827 +g1036 +tp4670 +a(g745 +V$6 +p4671 +tp4672 +a(g706 +g1042 +tp4673 +a(g827 +V\u000a +p4674 +tp4675 +a(g706 +g1032 +tp4676 +a(g745 +Vif +p4677 +tp4678 +a(g827 +g1036 +tp4679 +a(g117 +Vlink-text +p4680 +tp4681 +a(g827 +g1036 +tp4682 +a(g706 +g1032 +tp4683 +a(g745 +Vreplace +p4684 +tp4685 +a(g827 +g1036 +tp4686 +a(g89 +g1380 +tp4687 +a(g89 +g2786 +tp4688 +a(g89 +g1384 +tp4689 +a(g827 +g1036 +tp4690 +a(g117 +Vlink-text +p4691 +tp4692 +a(g827 +g1036 +tp4693 +a(g89 +g1380 +tp4694 +a(g89 +V" +p4695 +tp4696 +a(g89 +g1384 +tp4697 +a(g827 +g1036 +tp4698 +a(g117 +g1147 +tp4699 +a(g706 +g1042 +tp4700 +a(g706 +g1042 +tp4701 +a(g827 +V \u000a +p4702 +tp4703 +a(g706 +g1032 +tp4704 +a(g745 +Vif +p4705 +tp4706 +a(g827 +g1036 +tp4707 +a(g117 +Vtitle +p4708 +tp4709 +a(g827 +V \u000a +p4710 +tp4711 +a(g706 +g1032 +tp4712 +a(g745 +Vbegin +p4713 +tp4714 +a(g827 +V \u000a +p4715 +tp4716 +a(g706 +g1032 +tp4717 +a(g745 +Vreplace +p4718 +tp4719 +a(g827 +g1036 +tp4720 +a(g89 +g1380 +tp4721 +a(g89 +g2786 +tp4722 +a(g89 +g1384 +tp4723 +a(g827 +V +p4724 +tp4725 +a(g117 +Vtitle +p4726 +tp4727 +a(g827 +g1036 +tp4728 +a(g89 +g1380 +tp4729 +a(g89 +V" +p4730 +tp4731 +a(g89 +g1384 +tp4732 +a(g827 +g1036 +tp4733 +a(g117 +g1147 +tp4734 +a(g706 +g1042 +tp4735 +a(g827 +V\u000a +p4736 +tp4737 +a(g706 +g1032 +tp4738 +a(g745 +Vreplace +p4739 +tp4740 +a(g827 +g1036 +tp4741 +a(g89 +g1380 +tp4742 +a(g89 +V\u005c* +p4743 +tp4744 +a(g89 +g1384 +tp4745 +a(g827 +g1036 +tp4746 +a(g117 +Vtitle +p4747 +tp4748 +a(g827 +V +p4749 +tp4750 +a(g706 +g1032 +tp4751 +a(g441 +VHashTable +p4752 +tp4753 +a(g827 +g1036 +tp4754 +a(g89 +g1380 +tp4755 +a(g89 +g1074 +tp4756 +a(g89 +g1384 +tp4757 +a(g706 +g1042 +tp4758 +a(g827 +g1036 +tp4759 +a(g117 +g1147 +tp4760 +a(g706 +g1042 +tp4761 +a(g827 +V\u000a +p4762 +tp4763 +a(g706 +g1032 +tp4764 +a(g745 +Vreplace +p4765 +tp4766 +a(g827 +g1036 +tp4767 +a(g89 +g1380 +tp4768 +a(g89 +g1428 +tp4769 +a(g89 +g1384 +tp4770 +a(g827 +V +p4771 +tp4772 +a(g117 +Vtitle +p4773 +tp4774 +a(g827 +V +p4775 +tp4776 +a(g706 +g1032 +tp4777 +a(g441 +VHashTable +p4778 +tp4779 +a(g827 +g1036 +tp4780 +a(g89 +g1380 +tp4781 +a(g89 +g1428 +tp4782 +a(g89 +g1384 +tp4783 +a(g706 +g1042 +tp4784 +a(g827 +g1036 +tp4785 +a(g117 +g1147 +tp4786 +a(g706 +g1042 +tp4787 +a(g706 +g1042 +tp4788 +a(g827 +V\u000a +p4789 +tp4790 +a(g706 +g1032 +tp4791 +a(g745 +Vset +p4792 +tp4793 +a(g827 +g1036 +tp4794 +a(g413 +g1038 +tp4795 +a(g117 +Vtitle +p4796 +tp4797 +a(g827 +g1036 +tp4798 +a(g89 +g1380 +tp4799 +a(g89 +g1384 +tp4800 +a(g706 +g1042 +tp4801 +a(g706 +g1042 +tp4802 +a(g827 +V \u000a +p4803 +tp4804 +a(g706 +g1032 +tp4805 +a(g745 +Vreplace +p4806 +tp4807 +a(g827 +g1036 +tp4808 +a(g89 +g1380 +tp4809 +a(g89 +V\u005c* +p4810 +tp4811 +a(g89 +g1384 +tp4812 +a(g827 +g1036 +tp4813 +a(g117 +Vurl +p4814 +tp4815 +a(g827 +g1036 +tp4816 +a(g706 +g1032 +tp4817 +a(g441 +VHashTable +p4818 +tp4819 +a(g827 +g1036 +tp4820 +a(g89 +g1380 +tp4821 +a(g89 +g1074 +tp4822 +a(g89 +g1384 +tp4823 +a(g706 +g1042 +tp4824 +a(g827 +g1036 +tp4825 +a(g117 +g1147 +tp4826 +a(g706 +g1042 +tp4827 +a(g827 +V\u000a +p4828 +tp4829 +a(g706 +g1032 +tp4830 +a(g745 +Vreplace +p4831 +tp4832 +a(g827 +g1036 +tp4833 +a(g89 +g1380 +tp4834 +a(g89 +g1428 +tp4835 +a(g89 +g1384 +tp4836 +a(g827 +V +p4837 +tp4838 +a(g117 +Vurl +p4839 +tp4840 +a(g827 +g1036 +tp4841 +a(g706 +g1032 +tp4842 +a(g441 +VHashTable +p4843 +tp4844 +a(g827 +g1036 +tp4845 +a(g89 +g1380 +tp4846 +a(g89 +g1428 +tp4847 +a(g89 +g1384 +tp4848 +a(g706 +g1042 +tp4849 +a(g827 +g1036 +tp4850 +a(g117 +g1147 +tp4851 +a(g706 +g1042 +tp4852 +a(g827 +V\u000a +p4853 +tp4854 +a(g706 +g1032 +tp4855 +a(g745 +Vreplace +p4856 +tp4857 +a(g827 +g1036 +tp4858 +a(g89 +g1380 +tp4859 +a(g89 +V^<(.*)>$ +p4860 +tp4861 +a(g89 +g1384 +tp4862 +a(g827 +g1036 +tp4863 +a(g117 +Vurl +p4864 +tp4865 +a(g827 +g1036 +tp4866 +a(g745 +V$1 +p4867 +tp4868 +a(g827 +g1036 +tp4869 +a(g117 +g1147 +tp4870 +a(g706 +g1042 +tp4871 +a(g827 +V\u000a +p4872 +tp4873 +a(g706 +g1032 +tp4874 +a(g745 +Vstring +p4875 +tp4876 +a(g827 +V \u000a +p4877 +tp4878 +a(g89 +g1380 +tp4879 +a(g89 +V +p4955 +tp4956 +a(g89 +g1384 +tp4957 +a(g827 +V\u000a +p4958 +tp4959 +a(g706 +g1042 +tp4960 +a(g706 +g1042 +tp4961 +a(g827 +V\u000a +p4962 +tp4963 +a(g117 +g1859 +tp4964 +a(g827 +V\u000a +p4965 +tp4966 +a(g706 +g1042 +tp4967 +a(g827 +g1036 +tp4968 +a(g898 +V; replace +p4969 +tp4970 +a(g827 +V\u000a +p4971 +tp4972 +a(g706 +g1042 +tp4973 +a(g827 +g1036 +tp4974 +a(g117 +Vtxt +p4975 +tp4976 +a(g706 +g1042 +tp4977 +a(g827 +V\u000a\u000a +p4978 +tp4979 +a(g706 +g1032 +tp4980 +a(g745 +Vdefine +p4981 +tp4982 +a(g827 +g1036 +tp4983 +a(g706 +g1032 +tp4984 +a(g441 +Vauto-links +p4985 +tp4986 +a(g827 +g1036 +tp4987 +a(g117 +Vtxt +p4988 +tp4989 +a(g706 +g1042 +tp4990 +a(g827 +V\u000a +p4991 +tp4992 +a(g706 +g1032 +tp4993 +a(g745 +Vreplace +p4994 +tp4995 +a(g827 +V \u000a +p4996 +tp4997 +a(g89 +V[text] +p4998 +tp4999 +a(g89 +V<((https?|ftp):[^'">\u005cs]+)>[/text] +p5000 +tp5001 +a(g827 +V \u000a +p5002 +tp5003 +a(g117 +Vtxt +p5004 +tp5005 +a(g827 +V \u000a +p5006 +tp5007 +a(g706 +g1032 +tp5008 +a(g745 +Vstring +p5009 +tp5010 +a(g827 +g1036 +tp5011 +a(g89 +g1380 +tp5012 +a(g89 +V +p5021 +tp5022 +a(g89 +g1384 +tp5023 +a(g827 +g1036 +tp5024 +a(g745 +V$1 +p5025 +tp5026 +a(g827 +g1036 +tp5027 +a(g89 +g1380 +tp5028 +a(g89 +V +p5029 +tp5030 +a(g89 +g1384 +tp5031 +a(g706 +g1042 +tp5032 +a(g827 +V \u000a +p5033 +tp5034 +a(g117 +g1147 +tp5035 +a(g827 +V\u000a +p5036 +tp5037 +a(g706 +g1042 +tp5038 +a(g827 +V\u000a +p5039 +tp5040 +a(g898 +V; to-do: email ... +p5041 +tp5042 +a(g827 +V\u000a +p5043 +tp5044 +a(g706 +g1042 +tp5045 +a(g827 +V\u000a\u000a +p5046 +tp5047 +a(g706 +g1032 +tp5048 +a(g745 +Vdefine +p5049 +tp5050 +a(g827 +g1036 +tp5051 +a(g706 +g1032 +tp5052 +a(g441 +Vamps-and-angles +p5053 +tp5054 +a(g827 +g1036 +tp5055 +a(g117 +Vtxt +p5056 +tp5057 +a(g706 +g1042 +tp5058 +a(g827 +V\u000a +p5059 +tp5060 +a(g898 +V; Smart processing for ampersands and angle brackets +p5061 +tp5062 +a(g827 +V\u000a +p5063 +tp5064 +a(g706 +g1032 +tp5065 +a(g745 +Vreplace +p5066 +tp5067 +a(g827 +V \u000a +p5068 +tp5069 +a(g89 +V[text] +p5070 +tp5071 +a(g89 +V&(?!\u005c#?[xX]?(?:[0-9a-fA-F]+|\u005cw+);)[/text] +p5072 +tp5073 +a(g827 +V\u000a +p5074 +tp5075 +a(g117 +Vtxt +p5076 +tp5077 +a(g827 +V\u000a +p5078 +tp5079 +a(g89 +g1380 +tp5080 +a(g89 +V& +p5081 +tp5082 +a(g89 +g1384 +tp5083 +a(g827 +V\u000a +p5084 +tp5085 +a(g117 +V10 +p5086 +tp5087 +a(g827 +V\u000a +p5088 +tp5089 +a(g706 +g1042 +tp5090 +a(g827 +V\u000a +p5091 +tp5092 +a(g706 +g1032 +tp5093 +a(g745 +Vreplace +p5094 +tp5095 +a(g827 +V \u000a +p5096 +tp5097 +a(g89 +V[text] +p5098 +tp5099 +a(g89 +V<(?![a-z/?\u005c$!])[/text] +p5100 +tp5101 +a(g827 +V\u000a +p5102 +tp5103 +a(g117 +Vtxt +p5104 +tp5105 +a(g827 +V\u000a +p5106 +tp5107 +a(g89 +g1380 +tp5108 +a(g89 +V< +p5109 +tp5110 +a(g89 +g1384 +tp5111 +a(g827 +V\u000a +p5112 +tp5113 +a(g117 +V10 +p5114 +tp5115 +a(g706 +g1042 +tp5116 +a(g706 +g1042 +tp5117 +a(g827 +V\u000a\u000a +p5118 +tp5119 +a(g706 +g1032 +tp5120 +a(g745 +Vdefine +p5121 +tp5122 +a(g827 +g1036 +tp5123 +a(g706 +g1032 +tp5124 +a(g441 +Vemphasis +p5125 +tp5126 +a(g827 +g1036 +tp5127 +a(g117 +Vtxt +p5128 +tp5129 +a(g706 +g1042 +tp5130 +a(g827 +V\u000a +p5131 +tp5132 +a(g898 +V; italics/bold: strong first +p5133 +tp5134 +a(g827 +V\u000a +p5135 +tp5136 +a(g706 +g1032 +tp5137 +a(g745 +Vreplace +p5138 +tp5139 +a(g827 +V \u000a +p5140 +tp5141 +a(g89 +V[text] +p5142 +tp5143 +a(g89 +V (\u005c*\u005c*|__) (?=\u005cS) (.+?[*_]*) (?<=\u005cS) \u005c1 [/text] +p5144 +tp5145 +a(g827 +V\u000a +p5146 +tp5147 +a(g117 +Vtxt +p5148 +tp5149 +a(g827 +V\u000a +p5150 +tp5151 +a(g706 +g1032 +tp5152 +a(g745 +Vstring +p5153 +tp5154 +a(g827 +g1036 +tp5155 +a(g89 +g1380 +tp5156 +a(g89 +V +p5157 +tp5158 +a(g89 +g1384 +tp5159 +a(g827 +g1036 +tp5160 +a(g745 +V$2 +p5161 +tp5162 +a(g827 +g1036 +tp5163 +a(g89 +g1380 +tp5164 +a(g89 +V +p5165 +tp5166 +a(g89 +g1384 +tp5167 +a(g706 +g1042 +tp5168 +a(g827 +V\u000a +p5169 +tp5170 +a(g117 +g1859 +tp5171 +a(g827 +V \u000a +p5172 +tp5173 +a(g706 +g1042 +tp5174 +a(g827 +V\u000a +p5175 +tp5176 +a(g706 +g1032 +tp5177 +a(g745 +Vreplace +p5178 +tp5179 +a(g827 +V \u000a +p5180 +tp5181 +a(g89 +V[text] +p5182 +tp5183 +a(g89 +V (\u005c*|_) (?=\u005cS) (.+?) (?<=\u005cS) \u005c1 [/text] +p5184 +tp5185 +a(g827 +V\u000a +p5186 +tp5187 +a(g117 +Vtxt +p5188 +tp5189 +a(g827 +V\u000a +p5190 +tp5191 +a(g706 +g1032 +tp5192 +a(g745 +Vstring +p5193 +tp5194 +a(g827 +g1036 +tp5195 +a(g89 +g1380 +tp5196 +a(g89 +V +p5197 +tp5198 +a(g89 +g1384 +tp5199 +a(g827 +g1036 +tp5200 +a(g745 +V$2 +p5201 +tp5202 +a(g827 +g1036 +tp5203 +a(g89 +g1380 +tp5204 +a(g89 +V +p5205 +tp5206 +a(g89 +g1384 +tp5207 +a(g706 +g1042 +tp5208 +a(g827 +V\u000a +p5209 +tp5210 +a(g117 +g1859 +tp5211 +a(g827 +V \u000a +p5212 +tp5213 +a(g706 +g1042 +tp5214 +a(g706 +g1042 +tp5215 +a(g827 +V\u000a\u000a +p5216 +tp5217 +a(g706 +g1032 +tp5218 +a(g745 +Vdefine +p5219 +tp5220 +a(g827 +g1036 +tp5221 +a(g706 +g1032 +tp5222 +a(g441 +Vline-breaks +p5223 +tp5224 +a(g827 +g1036 +tp5225 +a(g117 +Vtxt +p5226 +tp5227 +a(g706 +g1042 +tp5228 +a(g827 +V\u000a +p5229 +tp5230 +a(g898 +V; handles line break markers +p5231 +tp5232 +a(g827 +V\u000a +p5233 +tp5234 +a(g706 +g1032 +tp5235 +a(g745 +Vreplace +p5236 +tp5237 +a(g827 +g1036 +tp5238 +a(g89 +V" {2,}\u005cn" +p5239 +tp5240 +a(g827 +g1036 +tp5241 +a(g117 +Vtxt +p5242 +tp5243 +a(g827 +g1036 +tp5244 +a(g89 +V"
    \u005cn" +p5245 +tp5246 +a(g827 +g1036 +tp5247 +a(g117 +g1147 +tp5248 +a(g706 +g1042 +tp5249 +a(g706 +g1042 +tp5250 +a(g827 +V\u000a\u000a +p5251 +tp5252 +a(g706 +g1032 +tp5253 +a(g745 +Vdefine +p5254 +tp5255 +a(g827 +g1036 +tp5256 +a(g706 +g1032 +tp5257 +a(g441 +Vhex-str-to-unicode-char +p5258 +tp5259 +a(g827 +g1036 +tp5260 +a(g117 +Vstrng +p5261 +tp5262 +a(g706 +g1042 +tp5263 +a(g827 +V\u000a +p5264 +tp5265 +a(g898 +V; given a five character string, assume it's "U" + 4 hex chars and convert +p5266 +tp5267 +a(g827 +V\u000a +p5268 +tp5269 +a(g898 +V; return the character... +p5270 +tp5271 +a(g827 +V\u000a +p5272 +tp5273 +a(g706 +g1032 +tp5274 +a(g745 +Vchar +p5275 +tp5276 +a(g827 +g1036 +tp5277 +a(g706 +g1032 +tp5278 +a(g745 +Vint +p5279 +tp5280 +a(g827 +g1036 +tp5281 +a(g706 +g1032 +tp5282 +a(g745 +Vstring +p5283 +tp5284 +a(g827 +g1036 +tp5285 +a(g89 +V"0x" +p5286 +tp5287 +a(g827 +g1036 +tp5288 +a(g706 +g1032 +tp5289 +a(g441 +g1919 +tp5290 +a(g827 +g1036 +tp5291 +a(g117 +Vstrng +p5292 +tp5293 +a(g706 +g1042 +tp5294 +a(g706 +g1042 +tp5295 +a(g827 +g1036 +tp5296 +a(g117 +g1147 +tp5297 +a(g827 +g1036 +tp5298 +a(g117 +V16 +p5299 +tp5300 +a(g706 +g1042 +tp5301 +a(g706 +g1042 +tp5302 +a(g706 +g1042 +tp5303 +a(g827 +V\u000a\u000a +p5304 +tp5305 +a(g706 +g1032 +tp5306 +a(g745 +Vdefine +p5307 +tp5308 +a(g827 +g1036 +tp5309 +a(g706 +g1032 +tp5310 +a(g441 +Vustring +p5311 +tp5312 +a(g827 +g1036 +tp5313 +a(g117 +g1247 +tp5314 +a(g706 +g1042 +tp5315 +a(g827 +V\u000a +p5316 +tp5317 +a(g898 +V; any four digit string preceded by U +p5318 +tp5319 +a(g827 +V\u000a +p5320 +tp5321 +a(g706 +g1032 +tp5322 +a(g745 +Vreplace +p5323 +tp5324 +a(g827 +g1036 +tp5325 +a(g89 +V"U[0-9a-f]{4,}" +p5326 +tp5327 +a(g827 +g1036 +tp5328 +a(g117 +g1247 +tp5329 +a(g827 +g1036 +tp5330 +a(g706 +g1032 +tp5331 +a(g441 +Vhex-str-to-unicode-char +p5332 +tp5333 +a(g827 +g1036 +tp5334 +a(g745 +V$0 +p5335 +tp5336 +a(g706 +g1042 +tp5337 +a(g827 +g1036 +tp5338 +a(g117 +g1147 +tp5339 +a(g706 +g1042 +tp5340 +a(g706 +g1042 +tp5341 +a(g827 +V\u000a\u000a +p5342 +tp5343 +a(g706 +g1032 +tp5344 +a(g745 +Vdefine +p5345 +tp5346 +a(g827 +g1036 +tp5347 +a(g706 +g1032 +tp5348 +a(g441 +Vcleanup +p5349 +tp5350 +a(g827 +g1036 +tp5351 +a(g117 +Vtxt +p5352 +tp5353 +a(g706 +g1042 +tp5354 +a(g827 +V\u000a +p5355 +tp5356 +a(g898 +V; cleanup the text by normalizing some possible variations +p5357 +tp5358 +a(g827 +V\u000a +p5359 +tp5360 +a(g706 +g1032 +tp5361 +a(g745 +Vreplace +p5362 +tp5363 +a(g827 +g1036 +tp5364 +a(g89 +V"\u005cr\u005cn|\u005cr" +p5365 +tp5366 +a(g827 +g1036 +tp5367 +a(g117 +Vtxt +p5368 +tp5369 +a(g827 +g1036 +tp5370 +a(g89 +V"\u005cn" +p5371 +tp5372 +a(g827 +g1036 +tp5373 +a(g117 +g1147 +tp5374 +a(g706 +g1042 +tp5375 +a(g827 +V +p5376 +tp5377 +a(g898 +V; standardize line ends +p5378 +tp5379 +a(g827 +V\u000a +p5380 +tp5381 +a(g706 +g1032 +tp5382 +a(g745 +Vpush +p5383 +tp5384 +a(g827 +g1036 +tp5385 +a(g89 +V"\u005cn\u005cn" +p5386 +tp5387 +a(g827 +g1036 +tp5388 +a(g117 +Vtxt +p5389 +tp5390 +a(g827 +g1036 +tp5391 +a(g745 +g1557 +tp5392 +a(g117 +g1919 +tp5393 +a(g706 +g1042 +tp5394 +a(g827 +V +p5395 +tp5396 +a(g898 +V; end with two returns +p5397 +tp5398 +a(g827 +V\u000a +p5399 +tp5400 +a(g706 +g1032 +tp5401 +a(g745 +Vset +p5402 +tp5403 +a(g827 +g1036 +tp5404 +a(g413 +g1038 +tp5405 +a(g117 +Vtxt +p5406 +tp5407 +a(g827 +g1036 +tp5408 +a(g706 +g1032 +tp5409 +a(g441 +Vdetab +p5410 +tp5411 +a(g827 +g1036 +tp5412 +a(g117 +Vtxt +p5413 +tp5414 +a(g706 +g1042 +tp5415 +a(g706 +g1042 +tp5416 +a(g827 +V +p5417 +tp5418 +a(g898 +V; convert tabs to spaces +p5419 +tp5420 +a(g827 +V\u000a \u000a +p5421 +tp5422 +a(g898 +V; convert inline Unicode: +p5423 +tp5424 +a(g827 +V\u000a +p5425 +tp5426 +a(g706 +g1032 +tp5427 +a(g745 +Vset +p5428 +tp5429 +a(g827 +g1036 +tp5430 +a(g413 +g1038 +tp5431 +a(g117 +Vtxt +p5432 +tp5433 +a(g827 +g1036 +tp5434 +a(g706 +g1032 +tp5435 +a(g441 +Vustring +p5436 +tp5437 +a(g827 +g1036 +tp5438 +a(g117 +Vtxt +p5439 +tp5440 +a(g706 +g1042 +tp5441 +a(g706 +g1042 +tp5442 +a(g827 +V\u000a +p5443 +tp5444 +a(g706 +g1032 +tp5445 +a(g745 +Vreplace +p5446 +tp5447 +a(g827 +g1036 +tp5448 +a(g89 +V"\u005cn[ \u005ct]+\u005cn" +p5449 +tp5450 +a(g827 +g1036 +tp5451 +a(g117 +Vtxt +p5452 +tp5453 +a(g827 +g1036 +tp5454 +a(g89 +V"\u005cn\u005cn" +p5455 +tp5456 +a(g827 +g1036 +tp5457 +a(g117 +g1147 +tp5458 +a(g706 +g1042 +tp5459 +a(g827 +g1036 +tp5460 +a(g898 +V; lines with only spaces and tabs +p5461 +tp5462 +a(g827 +V\u000a +p5463 +tp5464 +a(g706 +g1042 +tp5465 +a(g827 +V\u000a\u000a +p5466 +tp5467 +a(g706 +g1032 +tp5468 +a(g745 +Vdefine +p5469 +tp5470 +a(g827 +g1036 +tp5471 +a(g706 +g1032 +tp5472 +a(g441 +Vprotect +p5473 +tp5474 +a(g827 +g1036 +tp5475 +a(g117 +Vtxt +p5476 +tp5477 +a(g706 +g1042 +tp5478 +a(g827 +V\u000a +p5479 +tp5480 +a(g898 +V; protect or "hash html blocks" +p5481 +tp5482 +a(g827 +V\u000a +p5483 +tp5484 +a(g706 +g1032 +tp5485 +a(g745 +Vletn +p5486 +tp5487 +a(g827 +g1036 +tp5488 +a(g706 +g1032 +tp5489 +a(g706 +g1032 +tp5490 +a(g441 +Vnested-block-regex +p5491 +tp5492 +a(g827 +V +p5493 +tp5494 +a(g89 +V[text] +p5495 +tp5496 +a(g89 +V(^<(p|div|h[1-6]|blockquote|pre|table|dl|ol|ul|script|noscript|form|fieldset|iframe|math|ins|del)\u005cb(.*\u005cn)*?[ \u005ct]*(?=\u005cn+|\u005cZ))[/text] +p5497 +tp5498 +a(g706 +g1042 +tp5499 +a(g827 +V\u000a +p5500 +tp5501 +a(g706 +g1032 +tp5502 +a(g441 +Vliberal-tag-regex +p5503 +tp5504 +a(g827 +g1036 +tp5505 +a(g89 +V[text] +p5506 +tp5507 +a(g89 +V(^<(p|div|h[1-6]|blockquote|pre|table|dl|ol|ul|script|noscript|form|fieldset|iframe|math)\u005cb(.*\u005cn)*?.*[ \u005ct]*(?=\u005cn+|\u005cZ))[/text] +p5508 +tp5509 +a(g706 +g1042 +tp5510 +a(g827 +V\u000a +p5511 +tp5512 +a(g706 +g1032 +tp5513 +a(g441 +Vhr-regex +p5514 +tp5515 +a(g827 +V +p5516 +tp5517 +a(g89 +V[text] +p5518 +tp5519 +a(g89 +V(?:(?<=\u005cn\u005cn)|\u005cA\u005cn?)([ ]{0,3}<(hr)\u005cb([^<>])*?/?>[ \u005ct]*(?=\u005cn{2,}|\u005cZ))[/text] +p5520 +tp5521 +a(g706 +g1042 +tp5522 +a(g827 +V\u000a +p5523 +tp5524 +a(g706 +g1032 +tp5525 +a(g441 +Vhtml-comment-regex +p5526 +tp5527 +a(g827 +g1036 +tp5528 +a(g89 +V[text] +p5529 +tp5530 +a(g89 +V(?:(?<=\u005cn\u005cn)|\u005cA\u005cn?)([ ]{0,3}(?s:)[ \u005ct]*(?=\u005cn{2,}|\u005cZ))[/text] +p5531 +tp5532 +a(g706 +g1042 +tp5533 +a(g827 +V\u000a +p5534 +tp5535 +a(g706 +g1032 +tp5536 +a(g441 +Vresults +p5537 +tp5538 +a(g827 +g1036 +tp5539 +a(g413 +g1038 +tp5540 +a(g706 +g1032 +tp5541 +a(g706 +g1042 +tp5542 +a(g706 +g1042 +tp5543 +a(g827 +V\u000a +p5544 +tp5545 +a(g706 +g1032 +tp5546 +a(g441 +Vchunk-count +p5547 +tp5548 +a(g827 +g1036 +tp5549 +a(g706 +g1032 +tp5550 +a(g745 +Vlength +p5551 +tp5552 +a(g827 +g1036 +tp5553 +a(g706 +g1032 +tp5554 +a(g745 +Vset +p5555 +tp5556 +a(g827 +g1036 +tp5557 +a(g413 +g1038 +tp5558 +a(g117 +Vchunks +p5559 +tp5560 +a(g827 +g1036 +tp5561 +a(g706 +g1032 +tp5562 +a(g745 +Vparse +p5563 +tp5564 +a(g827 +g1036 +tp5565 +a(g117 +Vtxt +p5566 +tp5567 +a(g827 +g1036 +tp5568 +a(g89 +V"\u005cn\u005cn" +p5569 +tp5570 +a(g706 +g1042 +tp5571 +a(g706 +g1042 +tp5572 +a(g706 +g1042 +tp5573 +a(g706 +g1042 +tp5574 +a(g827 +V\u000a +p5575 +tp5576 +a(g706 +g1032 +tp5577 +a(g441 +Vchunk-size +p5578 +tp5579 +a(g827 +g1036 +tp5580 +a(g117 +V500 +p5581 +tp5582 +a(g706 +g1042 +tp5583 +a(g706 +g1042 +tp5584 +a(g827 +V\u000a \u000a +p5585 +tp5586 +a(g898 +V; due to a limitation in PCRE, long sections have to be divided up otherwise we'll crash +p5587 +tp5588 +a(g827 +V\u000a +p5589 +tp5590 +a(g898 +V; so divide up long texts into chunks, then do the regex on each chunk +p5591 +tp5592 +a(g827 +V\u000a +p5593 +tp5594 +a(g898 +V; not an ideal solution, but it works ok :( +p5595 +tp5596 +a(g827 +V\u000a \u000a +p5597 +tp5598 +a(g706 +g1032 +tp5599 +a(g745 +Vfor +p5600 +tp5601 +a(g827 +g1036 +tp5602 +a(g706 +g1032 +tp5603 +a(g441 +Vi +p5604 +tp5605 +a(g827 +g1036 +tp5606 +a(g117 +g1147 +tp5607 +a(g827 +g1036 +tp5608 +a(g117 +Vchunk-count +p5609 +tp5610 +a(g827 +g1036 +tp5611 +a(g117 +Vchunk-size +p5612 +tp5613 +a(g706 +g1042 +tp5614 +a(g827 +V\u000a +p5615 +tp5616 +a(g898 +V; do a chunk +p5617 +tp5618 +a(g827 +V\u000a +p5619 +tp5620 +a(g706 +g1032 +tp5621 +a(g745 +Vset +p5622 +tp5623 +a(g827 +g1036 +tp5624 +a(g413 +g1038 +tp5625 +a(g117 +Vtext-chunk +p5626 +tp5627 +a(g827 +g1036 +tp5628 +a(g706 +g1032 +tp5629 +a(g745 +Vjoin +p5630 +tp5631 +a(g827 +g1036 +tp5632 +a(g706 +g1032 +tp5633 +a(g441 +g5604 +tp5634 +a(g827 +g1036 +tp5635 +a(g706 +g1032 +tp5636 +a(g441 +g1557 +tp5637 +a(g827 +g1036 +tp5638 +a(g706 +g1032 +tp5639 +a(g745 +Vmin +p5640 +tp5641 +a(g827 +g1036 +tp5642 +a(g117 +Vchunk-count +p5643 +tp5644 +a(g827 +g1036 +tp5645 +a(g706 +g1032 +tp5646 +a(g441 +g1557 +tp5647 +a(g827 +g1036 +tp5648 +a(g706 +g1032 +tp5649 +a(g441 +g1544 +tp5650 +a(g827 +g1036 +tp5651 +a(g117 +g5604 +tp5652 +a(g827 +g1036 +tp5653 +a(g117 +Vchunk-size +p5654 +tp5655 +a(g706 +g1042 +tp5656 +a(g827 +g1036 +tp5657 +a(g117 +g1919 +tp5658 +a(g706 +g1042 +tp5659 +a(g706 +g1042 +tp5660 +a(g827 +g1036 +tp5661 +a(g117 +g5604 +tp5662 +a(g706 +g1042 +tp5663 +a(g827 +g1036 +tp5664 +a(g117 +Vchunks +p5665 +tp5666 +a(g706 +g1042 +tp5667 +a(g827 +g1036 +tp5668 +a(g89 +V"\u005cn\u005cn" +p5669 +tp5670 +a(g706 +g1042 +tp5671 +a(g706 +g1042 +tp5672 +a(g827 +V\u000a +p5673 +tp5674 +a(g706 +g1032 +tp5675 +a(g745 +Vdolist +p5676 +tp5677 +a(g827 +g1036 +tp5678 +a(g706 +g1032 +tp5679 +a(g441 +Vrgx +p5680 +tp5681 +a(g827 +g1036 +tp5682 +a(g706 +g1032 +tp5683 +a(g745 +Vlist +p5684 +tp5685 +a(g827 +g1036 +tp5686 +a(g117 +Vnested-block-regex +p5687 +tp5688 +a(g827 +g1036 +tp5689 +a(g117 +Vliberal-tag-regex +p5690 +tp5691 +a(g827 +g1036 +tp5692 +a(g117 +Vhr-regex +p5693 +tp5694 +a(g827 +g1036 +tp5695 +a(g117 +Vhtml-comment-regex +p5696 +tp5697 +a(g706 +g1042 +tp5698 +a(g706 +g1042 +tp5699 +a(g827 +V\u000a +p5700 +tp5701 +a(g706 +g1032 +tp5702 +a(g745 +Vreplace +p5703 +tp5704 +a(g827 +V \u000a +p5705 +tp5706 +a(g117 +Vrgx +p5707 +tp5708 +a(g827 +V \u000a +p5709 +tp5710 +a(g117 +Vtext-chunk +p5711 +tp5712 +a(g827 +V\u000a +p5713 +tp5714 +a(g706 +g1032 +tp5715 +a(g745 +Vbegin +p5716 +tp5717 +a(g827 +V\u000a +p5718 +tp5719 +a(g706 +g1032 +tp5720 +a(g745 +Vset +p5721 +tp5722 +a(g827 +g1036 +tp5723 +a(g413 +g1038 +tp5724 +a(g117 +Vkey +p5725 +tp5726 +a(g827 +g1036 +tp5727 +a(g706 +g1032 +tp5728 +a(g441 +VHash +p5729 +tp5730 +a(g413 +g1052 +tp5731 +a(g117 +Vhash +p5732 +tp5733 +a(g827 +g1036 +tp5734 +a(g745 +V$1 +p5735 +tp5736 +a(g706 +g1042 +tp5737 +a(g706 +g1042 +tp5738 +a(g827 +V\u000a +p5739 +tp5740 +a(g706 +g1032 +tp5741 +a(g745 +Vpush +p5742 +tp5743 +a(g827 +g1036 +tp5744 +a(g706 +g1032 +tp5745 +a(g745 +Vlist +p5746 +tp5747 +a(g827 +g1036 +tp5748 +a(g117 +Vkey +p5749 +tp5750 +a(g827 +g1036 +tp5751 +a(g745 +V$1 +p5752 +tp5753 +a(g827 +g1036 +tp5754 +a(g706 +g1042 +tp5755 +a(g827 +g1036 +tp5756 +a(g745 +g1074 +tp5757 +a(g117 +Vhashed-html-blocks* +p5758 +tp5759 +a(g827 +g1036 +tp5760 +a(g745 +g1557 +tp5761 +a(g117 +g1919 +tp5762 +a(g706 +g1042 +tp5763 +a(g827 +V\u000a +p5764 +tp5765 +a(g706 +g1032 +tp5766 +a(g745 +Vstring +p5767 +tp5768 +a(g827 +g1036 +tp5769 +a(g89 +V"\u005cn\u005cn" +p5770 +tp5771 +a(g827 +g1036 +tp5772 +a(g117 +Vkey +p5773 +tp5774 +a(g827 +g1036 +tp5775 +a(g89 +V"\u005cn\u005cn" +p5776 +tp5777 +a(g706 +g1042 +tp5778 +a(g706 +g1042 +tp5779 +a(g827 +V\u000a +p5780 +tp5781 +a(g117 +g2746 +tp5782 +a(g706 +g1042 +tp5783 +a(g706 +g1042 +tp5784 +a(g827 +V\u000a +p5785 +tp5786 +a(g898 +V; save this partial result +p5787 +tp5788 +a(g827 +V\u000a +p5789 +tp5790 +a(g706 +g1032 +tp5791 +a(g745 +Vpush +p5792 +tp5793 +a(g827 +g1036 +tp5794 +a(g117 +Vtext-chunk +p5795 +tp5796 +a(g827 +g1036 +tp5797 +a(g117 +Vresults +p5798 +tp5799 +a(g827 +g1036 +tp5800 +a(g745 +g1557 +tp5801 +a(g117 +g1919 +tp5802 +a(g706 +g1042 +tp5803 +a(g827 +V\u000a +p5804 +tp5805 +a(g706 +g1042 +tp5806 +a(g827 +g1036 +tp5807 +a(g898 +V; for +p5808 +tp5809 +a(g827 +V\u000a +p5810 +tp5811 +a(g898 +V; return string result +p5812 +tp5813 +a(g827 +V\u000a +p5814 +tp5815 +a(g706 +g1032 +tp5816 +a(g745 +Vjoin +p5817 +tp5818 +a(g827 +g1036 +tp5819 +a(g117 +Vresults +p5820 +tp5821 +a(g827 +g1036 +tp5822 +a(g89 +V"\u005cn\u005cn" +p5823 +tp5824 +a(g706 +g1042 +tp5825 +a(g706 +g1042 +tp5826 +a(g706 +g1042 +tp5827 +a(g827 +V\u000a\u000a +p5828 +tp5829 +a(g706 +g1032 +tp5830 +a(g745 +Vdefine +p5831 +tp5832 +a(g827 +g1036 +tp5833 +a(g706 +g1032 +tp5834 +a(g441 +Vunescape-special-chars +p5835 +tp5836 +a(g827 +g1036 +tp5837 +a(g117 +g2415 +tp5838 +a(g706 +g1042 +tp5839 +a(g827 +V\u000a +p5840 +tp5841 +a(g898 +V; Swap back in all the special characters we've hidden. +p5842 +tp5843 +a(g827 +V\u000a +p5844 +tp5845 +a(g706 +g1032 +tp5846 +a(g745 +Vdolist +p5847 +tp5848 +a(g827 +g1036 +tp5849 +a(g706 +g1032 +tp5850 +a(g441 +Vpair +p5851 +tp5852 +a(g827 +g1036 +tp5853 +a(g706 +g1032 +tp5854 +a(g441 +VHashTable +p5855 +tp5856 +a(g706 +g1042 +tp5857 +a(g706 +g1042 +tp5858 +a(g827 +V\u000a +p5859 +tp5860 +a(g706 +g1032 +tp5861 +a(g745 +Vreplace +p5862 +tp5863 +a(g827 +g1036 +tp5864 +a(g706 +g1032 +tp5865 +a(g745 +Vlast +p5866 +tp5867 +a(g827 +g1036 +tp5868 +a(g117 +Vpair +p5869 +tp5870 +a(g706 +g1042 +tp5871 +a(g827 +g1036 +tp5872 +a(g117 +g2415 +tp5873 +a(g827 +g1036 +tp5874 +a(g706 +g1032 +tp5875 +a(g745 +Vfirst +p5876 +tp5877 +a(g827 +g1036 +tp5878 +a(g117 +Vpair +p5879 +tp5880 +a(g706 +g1042 +tp5881 +a(g827 +g1036 +tp5882 +a(g117 +V10 +p5883 +tp5884 +a(g706 +g1042 +tp5885 +a(g706 +g1042 +tp5886 +a(g827 +g1036 +tp5887 +a(g117 +g2415 +tp5888 +a(g706 +g1042 +tp5889 +a(g827 +V\u000a\u000a +p5890 +tp5891 +a(g706 +g1032 +tp5892 +a(g745 +Vdefine +p5893 +tp5894 +a(g827 +g1036 +tp5895 +a(g706 +g1032 +tp5896 +a(g441 +Vstrip-link-definitions +p5897 +tp5898 +a(g827 +g1036 +tp5899 +a(g117 +Vtxt +p5900 +tp5901 +a(g706 +g1042 +tp5902 +a(g827 +V\u000a +p5903 +tp5904 +a(g898 +V; strip link definitions from the text and store them +p5905 +tp5906 +a(g827 +V\u000a +p5907 +tp5908 +a(g898 +V; Link defs are in the form: ^[id]: url "optional title" +p5909 +tp5910 +a(g827 +V\u000a +p5911 +tp5912 +a(g898 +V; stored in link db list as (id (url title)) +p5913 +tp5914 +a(g827 +V\u000a +p5915 +tp5916 +a(g706 +g1032 +tp5917 +a(g745 +Vlet +p5918 +tp5919 +a(g827 +g1036 +tp5920 +a(g706 +g1032 +tp5921 +a(g706 +g1032 +tp5922 +a(g441 +Vlink-db +p5923 +tp5924 +a(g827 +g1036 +tp5925 +a(g413 +g1038 +tp5926 +a(g706 +g1032 +tp5927 +a(g706 +g1042 +tp5928 +a(g706 +g1042 +tp5929 +a(g827 +V\u000a +p5930 +tp5931 +a(g706 +g1032 +tp5932 +a(g441 +Vurl +p5933 +tp5934 +a(g827 +g1036 +tp5935 +a(g89 +g1380 +tp5936 +a(g89 +g1384 +tp5937 +a(g706 +g1042 +tp5938 +a(g827 +V\u000a +p5939 +tp5940 +a(g706 +g1032 +tp5941 +a(g441 +Vid +p5942 +tp5943 +a(g827 +g1036 +tp5944 +a(g89 +g1380 +tp5945 +a(g89 +g1384 +tp5946 +a(g706 +g1042 +tp5947 +a(g827 +V\u000a +p5948 +tp5949 +a(g706 +g1032 +tp5950 +a(g441 +Vtitle +p5951 +tp5952 +a(g827 +g1036 +tp5953 +a(g89 +g1380 +tp5954 +a(g89 +g1384 +tp5955 +a(g706 +g1042 +tp5956 +a(g706 +g1042 +tp5957 +a(g827 +V\u000a +p5958 +tp5959 +a(g706 +g1032 +tp5960 +a(g745 +Vreplace +p5961 +tp5962 +a(g827 +V \u000a +p5963 +tp5964 +a(g89 +V[text] +p5965 +tp5966 +a(g89 +V^[ ]{0,3}\u005c[(.+)\u005c]:[ \u005ct]*\u005cn?[ \u005ct]*?[ \u005ct]*\u005cn?[ \u005ct]*(?:(?<=\u005cs)["(](.+?)[")][ \u005ct]*)?(?:\u005cn+|\u005cZ)[/text] +p5967 +tp5968 +a(g827 +V\u000a +p5969 +tp5970 +a(g117 +Vtxt +p5971 +tp5972 +a(g827 +V \u000a +p5973 +tp5974 +a(g706 +g1032 +tp5975 +a(g745 +Vbegin +p5976 +tp5977 +a(g827 +V \u000a +p5978 +tp5979 +a(g706 +g1032 +tp5980 +a(g745 +Vset +p5981 +tp5982 +a(g827 +g1036 +tp5983 +a(g413 +g1038 +tp5984 +a(g117 +Vid +p5985 +tp5986 +a(g827 +g1036 +tp5987 +a(g706 +g1032 +tp5988 +a(g745 +Vlower-case +p5989 +tp5990 +a(g827 +g1036 +tp5991 +a(g745 +V$1 +p5992 +tp5993 +a(g706 +g1042 +tp5994 +a(g827 +g1036 +tp5995 +a(g413 +g1038 +tp5996 +a(g117 +Vurl +p5997 +tp5998 +a(g827 +g1036 +tp5999 +a(g706 +g1032 +tp6000 +a(g441 +Vamps-and-angles +p6001 +tp6002 +a(g827 +g1036 +tp6003 +a(g745 +V$2 +p6004 +tp6005 +a(g706 +g1042 +tp6006 +a(g827 +g1036 +tp6007 +a(g413 +g1038 +tp6008 +a(g117 +Vtitle +p6009 +tp6010 +a(g827 +g1036 +tp6011 +a(g745 +V$3 +p6012 +tp6013 +a(g706 +g1042 +tp6014 +a(g827 +V\u000a +p6015 +tp6016 +a(g706 +g1032 +tp6017 +a(g745 +Vif +p6018 +tp6019 +a(g827 +g1036 +tp6020 +a(g117 +Vtitle +p6021 +tp6022 +a(g827 +g1036 +tp6023 +a(g706 +g1032 +tp6024 +a(g745 +Vreplace +p6025 +tp6026 +a(g827 +g1036 +tp6027 +a(g89 +g1380 +tp6028 +a(g89 +g2786 +tp6029 +a(g89 +g1384 +tp6030 +a(g827 +g1036 +tp6031 +a(g117 +Vtitle +p6032 +tp6033 +a(g827 +g1036 +tp6034 +a(g89 +g1380 +tp6035 +a(g89 +V" +p6036 +tp6037 +a(g89 +g1384 +tp6038 +a(g827 +g1036 +tp6039 +a(g117 +g1147 +tp6040 +a(g706 +g1042 +tp6041 +a(g706 +g1042 +tp6042 +a(g827 +V\u000a +p6043 +tp6044 +a(g706 +g1032 +tp6045 +a(g745 +Vpush +p6046 +tp6047 +a(g827 +g1036 +tp6048 +a(g706 +g1032 +tp6049 +a(g745 +Vlist +p6050 +tp6051 +a(g827 +g1036 +tp6052 +a(g117 +Vid +p6053 +tp6054 +a(g827 +g1036 +tp6055 +a(g706 +g1032 +tp6056 +a(g745 +Vlist +p6057 +tp6058 +a(g827 +g1036 +tp6059 +a(g117 +Vurl +p6060 +tp6061 +a(g827 +g1036 +tp6062 +a(g117 +Vtitle +p6063 +tp6064 +a(g706 +g1042 +tp6065 +a(g706 +g1042 +tp6066 +a(g827 +g1036 +tp6067 +a(g117 +Vlink-db +p6068 +tp6069 +a(g706 +g1042 +tp6070 +a(g827 +V\u000a +p6071 +tp6072 +a(g706 +g1032 +tp6073 +a(g745 +Vset +p6074 +tp6075 +a(g827 +g1036 +tp6076 +a(g413 +g1038 +tp6077 +a(g745 +V$3 +p6078 +tp6079 +a(g827 +g1036 +tp6080 +a(g89 +g1380 +tp6081 +a(g89 +g1384 +tp6082 +a(g706 +g1042 +tp6083 +a(g827 +g1036 +tp6084 +a(g898 +V; necessary? +p6085 +tp6086 +a(g827 +V\u000a +p6087 +tp6088 +a(g706 +g1032 +tp6089 +a(g745 +Vstring +p6090 +tp6091 +a(g827 +g1036 +tp6092 +a(g89 +g1380 +tp6093 +a(g89 +g1384 +tp6094 +a(g706 +g1042 +tp6095 +a(g827 +g1036 +tp6096 +a(g898 +V; remove from text +p6097 +tp6098 +a(g827 +V\u000a +p6099 +tp6100 +a(g706 +g1042 +tp6101 +a(g827 +V \u000a +p6102 +tp6103 +a(g117 +V10 +p6104 +tp6105 +a(g706 +g1042 +tp6106 +a(g827 +V\u000a +p6107 +tp6108 +a(g706 +g1032 +tp6109 +a(g745 +Vset +p6110 +tp6111 +a(g827 +g1036 +tp6112 +a(g413 +g1038 +tp6113 +a(g745 +g1074 +tp6114 +a(g117 +Vlink-database* +p6115 +tp6116 +a(g827 +g1036 +tp6117 +a(g117 +Vlink-db +p6118 +tp6119 +a(g706 +g1042 +tp6120 +a(g827 +V\u000a +p6121 +tp6122 +a(g117 +Vtxt +p6123 +tp6124 +a(g706 +g1042 +tp6125 +a(g706 +g1042 +tp6126 +a(g827 +V\u000a\u000a +p6127 +tp6128 +a(g706 +g1032 +tp6129 +a(g745 +Vdefine +p6130 +tp6131 +a(g827 +g1036 +tp6132 +a(g706 +g1032 +tp6133 +a(g441 +Vhorizontal-rules +p6134 +tp6135 +a(g827 +g1036 +tp6136 +a(g117 +Vtxt +p6137 +tp6138 +a(g706 +g1042 +tp6139 +a(g827 +V\u000a +p6140 +tp6141 +a(g706 +g1032 +tp6142 +a(g745 +Vreplace +p6143 +tp6144 +a(g827 +V \u000a +p6145 +tp6146 +a(g89 +V[text] +p6147 +tp6148 +a(g89 +V^[ ]{0,2}([ ]?\u005c*[ ]?){3,}[ \u005ct]*$[/text] +p6149 +tp6150 +a(g827 +V\u000a +p6151 +tp6152 +a(g117 +Vtxt +p6153 +tp6154 +a(g827 +V\u000a +p6155 +tp6156 +a(g89 +V"\u005cn
    " +p6157 +tp6158 +a(g827 +V\u000a +p6159 +tp6160 +a(g117 +V14 +p6161 +tp6162 +a(g706 +g1042 +tp6163 +a(g827 +V \u000a +p6164 +tp6165 +a(g706 +g1032 +tp6166 +a(g745 +Vreplace +p6167 +tp6168 +a(g827 +V \u000a +p6169 +tp6170 +a(g89 +V[text] +p6171 +tp6172 +a(g89 +V^[ ]{0,2}([ ]? -[ ]?){3,}[ \u005ct]*$[/text] +p6173 +tp6174 +a(g827 +V\u000a +p6175 +tp6176 +a(g117 +Vtxt +p6177 +tp6178 +a(g827 +V\u000a +p6179 +tp6180 +a(g89 +V"\u005cn
    " +p6181 +tp6182 +a(g827 +V\u000a +p6183 +tp6184 +a(g117 +V14 +p6185 +tp6186 +a(g706 +g1042 +tp6187 +a(g827 +V \u000a +p6188 +tp6189 +a(g706 +g1032 +tp6190 +a(g745 +Vreplace +p6191 +tp6192 +a(g827 +V \u000a +p6193 +tp6194 +a(g89 +V[text] +p6195 +tp6196 +a(g89 +V^[ ]{0,2}([ ]? _[ ]?){3,}[ \u005ct]*$[/text] +p6197 +tp6198 +a(g827 +V\u000a +p6199 +tp6200 +a(g117 +Vtxt +p6201 +tp6202 +a(g827 +V\u000a +p6203 +tp6204 +a(g89 +V"\u005cn
    " +p6205 +tp6206 +a(g827 +V\u000a +p6207 +tp6208 +a(g117 +V14 +p6209 +tp6210 +a(g706 +g1042 +tp6211 +a(g706 +g1042 +tp6212 +a(g827 +V\u000a\u000a +p6213 +tp6214 +a(g706 +g1032 +tp6215 +a(g745 +Vdefine +p6216 +tp6217 +a(g827 +g1036 +tp6218 +a(g706 +g1032 +tp6219 +a(g441 +Vheaders +p6220 +tp6221 +a(g827 +g1036 +tp6222 +a(g117 +Vtxt +p6223 +tp6224 +a(g706 +g1042 +tp6225 +a(g827 +V\u000a +p6226 +tp6227 +a(g898 +V; setext headers +p6228 +tp6229 +a(g827 +V\u000a +p6230 +tp6231 +a(g706 +g1032 +tp6232 +a(g745 +Vlet +p6233 +tp6234 +a(g827 +g1036 +tp6235 +a(g706 +g1032 +tp6236 +a(g706 +g1032 +tp6237 +a(g441 +Vlevel +p6238 +tp6239 +a(g827 +g1036 +tp6240 +a(g117 +g1919 +tp6241 +a(g706 +g1042 +tp6242 +a(g706 +g1042 +tp6243 +a(g827 +V\u000a +p6244 +tp6245 +a(g706 +g1032 +tp6246 +a(g745 +Vreplace +p6247 +tp6248 +a(g827 +V \u000a +p6249 +tp6250 +a(g89 +V[text] +p6251 +tp6252 +a(g89 +V^(.+)[ \u005ct]*\u005cn=+[ \u005ct]*\u005cn+[/text] +p6253 +tp6254 +a(g827 +V\u000a +p6255 +tp6256 +a(g117 +Vtxt +p6257 +tp6258 +a(g827 +V \u000a +p6259 +tp6260 +a(g706 +g1032 +tp6261 +a(g745 +Vstring +p6262 +tp6263 +a(g827 +g1036 +tp6264 +a(g89 +V"

    " +p6265 +tp6266 +a(g827 +g1036 +tp6267 +a(g706 +g1032 +tp6268 +a(g441 +Vspan-transforms +p6269 +tp6270 +a(g827 +g1036 +tp6271 +a(g745 +V$1 +p6272 +tp6273 +a(g706 +g1042 +tp6274 +a(g827 +g1036 +tp6275 +a(g89 +V"

    \u005cn\u005cn" +p6276 +tp6277 +a(g706 +g1042 +tp6278 +a(g827 +V\u000a +p6279 +tp6280 +a(g117 +g2746 +tp6281 +a(g706 +g1042 +tp6282 +a(g827 +V \u000a \u000a +p6283 +tp6284 +a(g706 +g1032 +tp6285 +a(g745 +Vreplace +p6286 +tp6287 +a(g827 +V \u000a +p6288 +tp6289 +a(g89 +V[text] +p6290 +tp6291 +a(g89 +V^(.+)[ \u005ct]*\u005cn-+[ \u005ct]*\u005cn+[/text] +p6292 +tp6293 +a(g827 +V\u000a +p6294 +tp6295 +a(g117 +Vtxt +p6296 +tp6297 +a(g827 +V \u000a +p6298 +tp6299 +a(g706 +g1032 +tp6300 +a(g745 +Vstring +p6301 +tp6302 +a(g827 +g1036 +tp6303 +a(g89 +V"

    " +p6304 +tp6305 +a(g827 +g1036 +tp6306 +a(g706 +g1032 +tp6307 +a(g441 +Vspan-transforms +p6308 +tp6309 +a(g827 +g1036 +tp6310 +a(g745 +V$1 +p6311 +tp6312 +a(g706 +g1042 +tp6313 +a(g827 +g1036 +tp6314 +a(g89 +V"

    \u005cn\u005cn" +p6315 +tp6316 +a(g706 +g1042 +tp6317 +a(g827 +V\u000a +p6318 +tp6319 +a(g117 +g2746 +tp6320 +a(g706 +g1042 +tp6321 +a(g827 +V \u000a +p6322 +tp6323 +a(g898 +V; atx headers +p6324 +tp6325 +a(g827 +V\u000a +p6326 +tp6327 +a(g706 +g1032 +tp6328 +a(g745 +Vreplace +p6329 +tp6330 +a(g827 +V \u000a +p6331 +tp6332 +a(g89 +V[text] +p6333 +tp6334 +a(g89 +V^(\u005c#{1,6})\u005cs*(.+?)[ ]*\u005c#*(\u005cn+)[/text] +p6335 +tp6336 +a(g827 +V\u000a +p6337 +tp6338 +a(g117 +Vtxt +p6339 +tp6340 +a(g827 +V \u000a +p6341 +tp6342 +a(g706 +g1032 +tp6343 +a(g745 +Vbegin +p6344 +tp6345 +a(g827 +V\u000a +p6346 +tp6347 +a(g706 +g1032 +tp6348 +a(g745 +Vset +p6349 +tp6350 +a(g827 +g1036 +tp6351 +a(g413 +g1038 +tp6352 +a(g117 +Vlevel +p6353 +tp6354 +a(g827 +g1036 +tp6355 +a(g706 +g1032 +tp6356 +a(g745 +Vlength +p6357 +tp6358 +a(g827 +g1036 +tp6359 +a(g745 +V$1 +p6360 +tp6361 +a(g706 +g1042 +tp6362 +a(g706 +g1042 +tp6363 +a(g827 +V\u000a +p6364 +tp6365 +a(g706 +g1032 +tp6366 +a(g745 +Vstring +p6367 +tp6368 +a(g827 +g1036 +tp6369 +a(g89 +V"" +p6376 +tp6377 +a(g827 +g1036 +tp6378 +a(g706 +g1032 +tp6379 +a(g441 +Vspan-transforms +p6380 +tp6381 +a(g827 +g1036 +tp6382 +a(g745 +V$2 +p6383 +tp6384 +a(g706 +g1042 +tp6385 +a(g827 +g1036 +tp6386 +a(g89 +V"\u005cn\u005cn" +p6393 +tp6394 +a(g706 +g1042 +tp6395 +a(g827 +V\u000a +p6396 +tp6397 +a(g706 +g1042 +tp6398 +a(g827 +V\u000a +p6399 +tp6400 +a(g117 +g2746 +tp6401 +a(g706 +g1042 +tp6402 +a(g706 +g1042 +tp6403 +a(g706 +g1042 +tp6404 +a(g827 +V\u000a\u000a +p6405 +tp6406 +a(g706 +g1032 +tp6407 +a(g745 +Vdefine +p6408 +tp6409 +a(g827 +g1036 +tp6410 +a(g706 +g1032 +tp6411 +a(g441 +Vlists +p6412 +tp6413 +a(g827 +g1036 +tp6414 +a(g117 +Vtxt +p6415 +tp6416 +a(g706 +g1042 +tp6417 +a(g827 +V\u000a +p6418 +tp6419 +a(g706 +g1032 +tp6420 +a(g745 +Vletn +p6421 +tp6422 +a(g827 +g1036 +tp6423 +a(g706 +g1032 +tp6424 +a(g706 +g1032 +tp6425 +a(g441 +Vmarker-ul +p6426 +tp6427 +a(g827 +g1036 +tp6428 +a(g89 +g1380 +tp6429 +a(g89 +V[*+-] +p6430 +tp6431 +a(g89 +g1384 +tp6432 +a(g706 +g1042 +tp6433 +a(g827 +V\u000a +p6434 +tp6435 +a(g706 +g1032 +tp6436 +a(g441 +Vmarker-ol +p6437 +tp6438 +a(g827 +g1036 +tp6439 +a(g89 +g1380 +tp6440 +a(g89 +V\u005cd+[.] +p6441 +tp6442 +a(g89 +g1384 +tp6443 +a(g706 +g1042 +tp6444 +a(g827 +V\u000a +p6445 +tp6446 +a(g706 +g1032 +tp6447 +a(g441 +Vmarker-any +p6448 +tp6449 +a(g827 +g1036 +tp6450 +a(g706 +g1032 +tp6451 +a(g745 +Vstring +p6452 +tp6453 +a(g827 +g1036 +tp6454 +a(g89 +g1380 +tp6455 +a(g89 +V(?: +p6456 +tp6457 +a(g89 +g1384 +tp6458 +a(g827 +g1036 +tp6459 +a(g117 +Vmarker-ul +p6460 +tp6461 +a(g827 +g1036 +tp6462 +a(g89 +g1380 +tp6463 +a(g89 +V| +p6464 +tp6465 +a(g89 +g1384 +tp6466 +a(g827 +g1036 +tp6467 +a(g117 +Vmarker-ol +p6468 +tp6469 +a(g827 +g1036 +tp6470 +a(g89 +g1380 +tp6471 +a(g89 +g1042 +tp6472 +a(g89 +g1384 +tp6473 +a(g706 +g1042 +tp6474 +a(g706 +g1042 +tp6475 +a(g827 +V\u000a +p6476 +tp6477 +a(g706 +g1032 +tp6478 +a(g441 +Vwhole-list-regex +p6479 +tp6480 +a(g827 +g1036 +tp6481 +a(g706 +g1032 +tp6482 +a(g745 +Vstring +p6483 +tp6484 +a(g827 +g1036 +tp6485 +a(g89 +V[text] +p6486 +tp6487 +a(g89 +V(([ ]{0,3}([/text] +p6488 +tp6489 +a(g827 +g1036 +tp6490 +a(g117 +Vmarker-any +p6491 +tp6492 +a(g827 +g1036 +tp6493 +a(g89 +V[text] +p6494 +tp6495 +a(g89 +V)[ \u005ct]+)(?s:.+?)(\u005cz|\u005cn{2,}(?=\u005cS)(?![ \u005ct]*[/text] +p6496 +tp6497 +a(g827 +g1036 +tp6498 +a(g117 +Vmarker-any +p6499 +tp6500 +a(g827 +g1036 +tp6501 +a(g89 +V[text] +p6502 +tp6503 +a(g89 +V[ \u005ct]+)))[/text] +p6504 +tp6505 +a(g706 +g1042 +tp6506 +a(g706 +g1042 +tp6507 +a(g827 +V\u000a +p6508 +tp6509 +a(g706 +g1032 +tp6510 +a(g441 +Vmy-list +p6511 +tp6512 +a(g827 +g1036 +tp6513 +a(g89 +g1380 +tp6514 +a(g89 +g1384 +tp6515 +a(g706 +g1042 +tp6516 +a(g827 +V\u000a +p6517 +tp6518 +a(g706 +g1032 +tp6519 +a(g745 +Vlist +p6520 +tp6521 +a(g745 +g1557 +tp6522 +a(g117 +Vtype +p6523 +tp6524 +a(g827 +g1036 +tp6525 +a(g89 +g1380 +tp6526 +a(g89 +g1384 +tp6527 +a(g706 +g1042 +tp6528 +a(g827 +V\u000a +p6529 +tp6530 +a(g706 +g1032 +tp6531 +a(g441 +Vmy-result +p6532 +tp6533 +a(g827 +g1036 +tp6534 +a(g89 +g1380 +tp6535 +a(g89 +g1384 +tp6536 +a(g706 +g1042 +tp6537 +a(g706 +g1042 +tp6538 +a(g827 +V\u000a +p6539 +tp6540 +a(g706 +g1032 +tp6541 +a(g745 +Vreplace +p6542 +tp6543 +a(g827 +V \u000a +p6544 +tp6545 +a(g706 +g1032 +tp6546 +a(g745 +Vif +p6547 +tp6548 +a(g827 +g1036 +tp6549 +a(g706 +g1032 +tp6550 +a(g441 +g1518 +tp6551 +a(g827 +g1036 +tp6552 +a(g745 +g1074 +tp6553 +a(g745 +Vlist +p6554 +tp6555 +a(g745 +g1557 +tp6556 +a(g117 +Vlevel* +p6557 +tp6558 +a(g827 +g1036 +tp6559 +a(g117 +g1147 +tp6560 +a(g706 +g1042 +tp6561 +a(g827 +V\u000a +p6562 +tp6563 +a(g706 +g1032 +tp6564 +a(g745 +Vstring +p6565 +tp6566 +a(g827 +g1036 +tp6567 +a(g89 +g1380 +tp6568 +a(g89 +V^ +p6569 +tp6570 +a(g89 +g1384 +tp6571 +a(g827 +g1036 +tp6572 +a(g117 +Vwhole-list-regex +p6573 +tp6574 +a(g706 +g1042 +tp6575 +a(g827 +V \u000a +p6576 +tp6577 +a(g706 +g1032 +tp6578 +a(g745 +Vstring +p6579 +tp6580 +a(g827 +g1036 +tp6581 +a(g89 +g1380 +tp6582 +a(g89 +V(?:(?<=\u005cn\u005cn)|\u005cA\u005cn?) +p6583 +tp6584 +a(g89 +g1384 +tp6585 +a(g827 +g1036 +tp6586 +a(g117 +Vwhole-list-regex +p6587 +tp6588 +a(g706 +g1042 +tp6589 +a(g706 +g1042 +tp6590 +a(g827 +V\u000a +p6591 +tp6592 +a(g117 +Vtxt +p6593 +tp6594 +a(g827 +V\u000a +p6595 +tp6596 +a(g706 +g1032 +tp6597 +a(g745 +Vbegin +p6598 +tp6599 +a(g827 +V\u000a +p6600 +tp6601 +a(g706 +g1032 +tp6602 +a(g745 +Vset +p6603 +tp6604 +a(g827 +g1036 +tp6605 +a(g413 +g1038 +tp6606 +a(g117 +Vmy-list +p6607 +tp6608 +a(g827 +g1036 +tp6609 +a(g745 +V$1 +p6610 +tp6611 +a(g706 +g1042 +tp6612 +a(g827 +V\u000a +p6613 +tp6614 +a(g706 +g1032 +tp6615 +a(g745 +Vif +p6616 +tp6617 +a(g827 +g1036 +tp6618 +a(g706 +g1032 +tp6619 +a(g745 +Vfind +p6620 +tp6621 +a(g827 +g1036 +tp6622 +a(g745 +V$3 +p6623 +tp6624 +a(g827 +g1036 +tp6625 +a(g117 +Vmarker-ul +p6626 +tp6627 +a(g706 +g1042 +tp6628 +a(g827 +V \u000a +p6629 +tp6630 +a(g706 +g1032 +tp6631 +a(g745 +Vset +p6632 +tp6633 +a(g827 +g1036 +tp6634 +a(g413 +g1038 +tp6635 +a(g745 +Vlist +p6636 +tp6637 +a(g745 +g1557 +tp6638 +a(g117 +Vtype +p6639 +tp6640 +a(g827 +g1036 +tp6641 +a(g89 +V"ul" +p6642 +tp6643 +a(g827 +g1036 +tp6644 +a(g413 +g1038 +tp6645 +a(g117 +Vmarker-type +p6646 +tp6647 +a(g827 +g1036 +tp6648 +a(g117 +Vmarker-ul +p6649 +tp6650 +a(g706 +g1042 +tp6651 +a(g827 +V \u000a +p6652 +tp6653 +a(g706 +g1032 +tp6654 +a(g745 +Vset +p6655 +tp6656 +a(g827 +g1036 +tp6657 +a(g413 +g1038 +tp6658 +a(g745 +Vlist +p6659 +tp6660 +a(g745 +g1557 +tp6661 +a(g117 +Vtype +p6662 +tp6663 +a(g827 +g1036 +tp6664 +a(g89 +V"ol" +p6665 +tp6666 +a(g827 +g1036 +tp6667 +a(g413 +g1038 +tp6668 +a(g117 +Vmarker-type +p6669 +tp6670 +a(g827 +g1036 +tp6671 +a(g117 +Vmarker-ol +p6672 +tp6673 +a(g706 +g1042 +tp6674 +a(g706 +g1042 +tp6675 +a(g827 +V\u000a +p6676 +tp6677 +a(g706 +g1032 +tp6678 +a(g745 +Vreplace +p6679 +tp6680 +a(g827 +g1036 +tp6681 +a(g89 +V[text] +p6682 +tp6683 +a(g89 +V\u005cn{2,}[/text] +p6684 +tp6685 +a(g827 +g1036 +tp6686 +a(g117 +Vmy-list +p6687 +tp6688 +a(g827 +g1036 +tp6689 +a(g89 +V"\u005cn\u005cn\u005cn" +p6690 +tp6691 +a(g827 +g1036 +tp6692 +a(g117 +g1147 +tp6693 +a(g706 +g1042 +tp6694 +a(g827 +V\u000a +p6695 +tp6696 +a(g706 +g1032 +tp6697 +a(g745 +Vset +p6698 +tp6699 +a(g827 +g1036 +tp6700 +a(g413 +g1038 +tp6701 +a(g117 +Vmy-result +p6702 +tp6703 +a(g827 +g1036 +tp6704 +a(g706 +g1032 +tp6705 +a(g745 +Vprocess +p6706 +tp6707 +a(g745 +g1557 +tp6708 +a(g745 +Vlist +p6709 +tp6710 +a(g745 +g1557 +tp6711 +a(g117 +Vitems +p6712 +tp6713 +a(g827 +g1036 +tp6714 +a(g117 +Vmy-list +p6715 +tp6716 +a(g827 +g1036 +tp6717 +a(g117 +Vmarker-any +p6718 +tp6719 +a(g706 +g1042 +tp6720 +a(g706 +g1042 +tp6721 +a(g827 +V\u000a +p6722 +tp6723 +a(g706 +g1032 +tp6724 +a(g745 +Vreplace +p6725 +tp6726 +a(g827 +g1036 +tp6727 +a(g89 +g1380 +tp6728 +a(g89 +V\u005cs+$ +p6729 +tp6730 +a(g89 +g1384 +tp6731 +a(g827 +g1036 +tp6732 +a(g117 +Vmy-result +p6733 +tp6734 +a(g827 +g1036 +tp6735 +a(g89 +g1380 +tp6736 +a(g89 +g1384 +tp6737 +a(g827 +g1036 +tp6738 +a(g117 +g1147 +tp6739 +a(g706 +g1042 +tp6740 +a(g827 +V\u000a +p6741 +tp6742 +a(g706 +g1032 +tp6743 +a(g745 +Vstring +p6744 +tp6745 +a(g827 +g1036 +tp6746 +a(g89 +g1380 +tp6747 +a(g89 +g1870 +tp6748 +a(g89 +g1384 +tp6749 +a(g827 +g1036 +tp6750 +a(g745 +Vlist +p6751 +tp6752 +a(g745 +g1557 +tp6753 +a(g117 +Vtype +p6754 +tp6755 +a(g827 +g1036 +tp6756 +a(g89 +g1380 +tp6757 +a(g89 +g1518 +tp6758 +a(g89 +g1384 +tp6759 +a(g827 +g1036 +tp6760 +a(g89 +V"\u005cn" +p6761 +tp6762 +a(g827 +g1036 +tp6763 +a(g117 +Vmy-result +p6764 +tp6765 +a(g827 +g1036 +tp6766 +a(g89 +V"\u005cn" +p6767 +tp6768 +a(g827 +g1036 +tp6769 +a(g89 +g1380 +tp6770 +a(g89 +V +p7170 +tp7171 +a(g89 +g1384 +tp7172 +a(g827 +g1036 +tp7173 +a(g117 +Vitem +p7174 +tp7175 +a(g827 +g1036 +tp7176 +a(g89 +g1380 +tp7177 +a(g89 +V
  3. +p7178 +tp7179 +a(g89 +g1384 +tp7180 +a(g827 +g1036 +tp7181 +a(g89 +V"\u005cn" +p7182 +tp7183 +a(g706 +g1042 +tp7184 +a(g706 +g1042 +tp7185 +a(g827 +V\u000a +p7186 +tp7187 +a(g117 +V10 +p7188 +tp7189 +a(g706 +g1042 +tp7190 +a(g827 +V\u000a +p7191 +tp7192 +a(g706 +g1032 +tp7193 +a(g745 +Vdec +p7194 +tp7195 +a(g827 +g1036 +tp7196 +a(g745 +g1074 +tp7197 +a(g745 +Vlist +p7198 +tp7199 +a(g745 +g1557 +tp7200 +a(g117 +Vlevel* +p7201 +tp7202 +a(g706 +g1042 +tp7203 +a(g827 +V\u000a +p7204 +tp7205 +a(g745 +Vlist +p7206 +tp7207 +a(g745 +g1557 +tp7208 +a(g117 +Vtext +p7209 +tp7210 +a(g706 +g1042 +tp7211 +a(g706 +g1042 +tp7212 +a(g827 +V\u000a\u000a +p7213 +tp7214 +a(g706 +g1032 +tp7215 +a(g745 +Vdefine +p7216 +tp7217 +a(g827 +g1036 +tp7218 +a(g706 +g1032 +tp7219 +a(g441 +Vcode-blocks +p7220 +tp7221 +a(g827 +g1036 +tp7222 +a(g117 +Vtxt +p7223 +tp7224 +a(g706 +g1042 +tp7225 +a(g827 +V\u000a +p7226 +tp7227 +a(g706 +g1032 +tp7228 +a(g745 +Vlet +p7229 +tp7230 +a(g827 +g1036 +tp7231 +a(g706 +g1032 +tp7232 +a(g706 +g1032 +tp7233 +a(g441 +Vcode-block +p7234 +tp7235 +a(g827 +g1036 +tp7236 +a(g89 +g1380 +tp7237 +a(g89 +g1384 +tp7238 +a(g706 +g1042 +tp7239 +a(g827 +V\u000a +p7240 +tp7241 +a(g706 +g1032 +tp7242 +a(g441 +Vtoken-list +p7243 +tp7244 +a(g827 +g1036 +tp7245 +a(g413 +g1038 +tp7246 +a(g706 +g1032 +tp7247 +a(g706 +g1042 +tp7248 +a(g706 +g1042 +tp7249 +a(g706 +g1042 +tp7250 +a(g827 +V\u000a +p7251 +tp7252 +a(g706 +g1032 +tp7253 +a(g745 +Vreplace +p7254 +tp7255 +a(g827 +V \u000a +p7256 +tp7257 +a(g89 +V[text] +p7258 +tp7259 +a(g89 +V(?:\u005cn\u005cn|\u005cA)((?:(?:[ ]{4}|\u005ct).*\u005cn+)+)((?=^[ ]{0,3}\u005cS)|\u005cZ)[/text] +p7260 +tp7261 +a(g827 +V\u000a +p7262 +tp7263 +a(g117 +Vtxt +p7264 +tp7265 +a(g827 +V \u000a +p7266 +tp7267 +a(g706 +g1032 +tp7268 +a(g745 +Vbegin +p7269 +tp7270 +a(g827 +V \u000a +p7271 +tp7272 +a(g706 +g1032 +tp7273 +a(g745 +Vset +p7274 +tp7275 +a(g827 +g1036 +tp7276 +a(g413 +g1038 +tp7277 +a(g117 +Vcode-block +p7278 +tp7279 +a(g827 +g1036 +tp7280 +a(g745 +V$1 +p7281 +tp7282 +a(g706 +g1042 +tp7283 +a(g827 +V\u000a +p7284 +tp7285 +a(g898 +V; format if Nestor module is loaded and it's not marked as plain +p7286 +tp7287 +a(g827 +V\u000a +p7288 +tp7289 +a(g706 +g1032 +tp7290 +a(g745 +Vif +p7291 +tp7292 +a(g827 +g1036 +tp7293 +a(g706 +g1032 +tp7294 +a(g745 +Vand +p7295 +tp7296 +a(g827 +g1036 +tp7297 +a(g706 +g1032 +tp7298 +a(g745 +Vnot +p7299 +tp7300 +a(g827 +g1036 +tp7301 +a(g706 +g1032 +tp7302 +a(g745 +Vstarts-with +p7303 +tp7304 +a(g827 +g1036 +tp7305 +a(g117 +Vcode-block +p7306 +tp7307 +a(g827 +g1036 +tp7308 +a(g89 +V" ;plain\u005cn" +p7309 +tp7310 +a(g706 +g1042 +tp7311 +a(g706 +g1042 +tp7312 +a(g827 +g1036 +tp7313 +a(g706 +g1032 +tp7314 +a(g745 +Vcontext +p7315 +tp7316 +a(g117 +g4005 +tp7317 +a(g827 +g1036 +tp7318 +a(g117 +VNestor +p7319 +tp7320 +a(g706 +g1042 +tp7321 +a(g706 +g1042 +tp7322 +a(g827 +V\u000a +p7323 +tp7324 +a(g898 +V; format newlisp +p7325 +tp7326 +a(g827 +V\u000a +p7327 +tp7328 +a(g706 +g1032 +tp7329 +a(g745 +Vbegin +p7330 +tp7331 +a(g827 +V \u000a +p7332 +tp7333 +a(g898 +V; remove flag if present +p7334 +tp7335 +a(g827 +V\u000a +p7336 +tp7337 +a(g706 +g1032 +tp7338 +a(g745 +Vreplace +p7339 +tp7340 +a(g827 +g1036 +tp7341 +a(g89 +V"[ ]{4};newlisp\u005cn" +p7342 +tp7343 +a(g827 +g1036 +tp7344 +a(g117 +Vcode-block +p7345 +tp7346 +a(g827 +g1036 +tp7347 +a(g89 +g1380 +tp7348 +a(g89 +g1384 +tp7349 +a(g827 +g1036 +tp7350 +a(g117 +g1147 +tp7351 +a(g706 +g1042 +tp7352 +a(g827 +V \u000a +p7353 +tp7354 +a(g706 +g1032 +tp7355 +a(g745 +Vset +p7356 +tp7357 +a(g827 +g1036 +tp7358 +a(g413 +g1038 +tp7359 +a(g117 +Vcode-block +p7360 +tp7361 +a(g827 +g1036 +tp7362 +a(g706 +g1032 +tp7363 +a(g441 +Vprotect +p7364 +tp7365 +a(g827 +g1036 +tp7366 +a(g706 +g1032 +tp7367 +a(g441 +VNestor +p7368 +tp7369 +a(g413 +g1052 +tp7370 +a(g117 +Vnlx-to-html +p7371 +tp7372 +a(g827 +g1036 +tp7373 +a(g706 +g1032 +tp7374 +a(g441 +VNestor +p7375 +tp7376 +a(g413 +g1052 +tp7377 +a(g117 +Vmy-read +p7378 +tp7379 +a(g827 +g1036 +tp7380 +a(g706 +g1032 +tp7381 +a(g745 +Vtrim +p7382 +tp7383 +a(g827 +g1036 +tp7384 +a(g706 +g1032 +tp7385 +a(g441 +Vdetab +p7386 +tp7387 +a(g827 +g1036 +tp7388 +a(g706 +g1032 +tp7389 +a(g441 +Voutdent +p7390 +tp7391 +a(g827 +g1036 +tp7392 +a(g117 +Vcode-block +p7393 +tp7394 +a(g706 +g1042 +tp7395 +a(g706 +g1042 +tp7396 +a(g827 +g1036 +tp7397 +a(g89 +V"\u005cn" +p7398 +tp7399 +a(g706 +g1042 +tp7400 +a(g706 +g1042 +tp7401 +a(g706 +g1042 +tp7402 +a(g706 +g1042 +tp7403 +a(g706 +g1042 +tp7404 +a(g827 +V\u000a +p7405 +tp7406 +a(g117 +Vcode-block +p7407 +tp7408 +a(g706 +g1042 +tp7409 +a(g827 +V\u000a +p7410 +tp7411 +a(g898 +V; don't format +p7412 +tp7413 +a(g827 +V\u000a +p7414 +tp7415 +a(g706 +g1032 +tp7416 +a(g745 +Vbegin +p7417 +tp7418 +a(g827 +V\u000a +p7419 +tp7420 +a(g898 +V; trim leading and trailing newlines +p7421 +tp7422 +a(g827 +V\u000a +p7423 +tp7424 +a(g706 +g1032 +tp7425 +a(g745 +Vreplace +p7426 +tp7427 +a(g827 +g1036 +tp7428 +a(g89 +V"[ ]{4};plain\u005cn" +p7429 +tp7430 +a(g827 +g1036 +tp7431 +a(g117 +Vcode-block +p7432 +tp7433 +a(g827 +g1036 +tp7434 +a(g89 +g1380 +tp7435 +a(g89 +g1384 +tp7436 +a(g827 +g1036 +tp7437 +a(g117 +g1147 +tp7438 +a(g706 +g1042 +tp7439 +a(g827 +V\u000a +p7440 +tp7441 +a(g706 +g1032 +tp7442 +a(g745 +Vset +p7443 +tp7444 +a(g827 +g1036 +tp7445 +a(g413 +g1038 +tp7446 +a(g117 +Vcode-block +p7447 +tp7448 +a(g827 +g1036 +tp7449 +a(g706 +g1032 +tp7450 +a(g745 +Vtrim +p7451 +tp7452 +a(g827 +g1036 +tp7453 +a(g706 +g1032 +tp7454 +a(g441 +Vdetab +p7455 +tp7456 +a(g827 +g1036 +tp7457 +a(g706 +g1032 +tp7458 +a(g441 +Vencode-code +p7459 +tp7460 +a(g827 +g1036 +tp7461 +a(g706 +g1032 +tp7462 +a(g441 +Voutdent +p7463 +tp7464 +a(g827 +g1036 +tp7465 +a(g117 +Vcode-block +p7466 +tp7467 +a(g706 +g1042 +tp7468 +a(g706 +g1042 +tp7469 +a(g706 +g1042 +tp7470 +a(g827 +g1036 +tp7471 +a(g89 +V"\u005cn" +p7472 +tp7473 +a(g706 +g1042 +tp7474 +a(g706 +g1042 +tp7475 +a(g827 +V\u000a +p7476 +tp7477 +a(g706 +g1032 +tp7478 +a(g745 +Vset +p7479 +tp7480 +a(g827 +g1036 +tp7481 +a(g413 +g1038 +tp7482 +a(g745 +V$1 +p7483 +tp7484 +a(g827 +g1036 +tp7485 +a(g89 +g1380 +tp7486 +a(g89 +g1384 +tp7487 +a(g706 +g1042 +tp7488 +a(g827 +V\u000a +p7489 +tp7490 +a(g706 +g1032 +tp7491 +a(g745 +Vset +p7492 +tp7493 +a(g827 +g1036 +tp7494 +a(g413 +g1038 +tp7495 +a(g117 +Vcode-block +p7496 +tp7497 +a(g827 +g1036 +tp7498 +a(g706 +g1032 +tp7499 +a(g745 +Vstring +p7500 +tp7501 +a(g827 +g1036 +tp7502 +a(g89 +V"\u005cn\u005cn
    "
    +p7503
    +tp7504
    +a(g827
    +g1036
    +tp7505
    +a(g117
    +Vcode-block
    +p7506
    +tp7507
    +a(g827
    +g1036
    +tp7508
    +a(g89
    +V"\u005cn
    \u005cn\u005cn" +p7509 +tp7510 +a(g706 +g1042 +tp7511 +a(g706 +g1042 +tp7512 +a(g706 +g1042 +tp7513 +a(g706 +g1042 +tp7514 +a(g706 +g1042 +tp7515 +a(g827 +V\u000a +p7516 +tp7517 +a(g117 +V10 +p7518 +tp7519 +a(g706 +g1042 +tp7520 +a(g706 +g1042 +tp7521 +a(g706 +g1042 +tp7522 +a(g827 +V\u000a\u000a +p7523 +tp7524 +a(g706 +g1032 +tp7525 +a(g745 +Vdefine +p7526 +tp7527 +a(g827 +g1036 +tp7528 +a(g706 +g1032 +tp7529 +a(g441 +Vblock-quotes +p7530 +tp7531 +a(g827 +g1036 +tp7532 +a(g117 +Vtxt +p7533 +tp7534 +a(g706 +g1042 +tp7535 +a(g827 +V\u000a +p7536 +tp7537 +a(g706 +g1032 +tp7538 +a(g745 +Vlet +p7539 +tp7540 +a(g827 +g1036 +tp7541 +a(g706 +g1032 +tp7542 +a(g706 +g1032 +tp7543 +a(g441 +Vblock-quote +p7544 +tp7545 +a(g827 +g1036 +tp7546 +a(g89 +g1380 +tp7547 +a(g89 +g1384 +tp7548 +a(g706 +g1042 +tp7549 +a(g706 +g1042 +tp7550 +a(g827 +V\u000a +p7551 +tp7552 +a(g706 +g1032 +tp7553 +a(g745 +Vreplace +p7554 +tp7555 +a(g827 +V \u000a +p7556 +tp7557 +a(g89 +V[text] +p7558 +tp7559 +a(g89 +V((^[ \u005ct]*>[ \u005ct]?.+\u005cn(.+\u005cn)*\u005cn*)+)[/text] +p7560 +tp7561 +a(g827 +V\u000a +p7562 +tp7563 +a(g117 +Vtxt +p7564 +tp7565 +a(g827 +V \u000a +p7566 +tp7567 +a(g706 +g1032 +tp7568 +a(g745 +Vbegin +p7569 +tp7570 +a(g827 +V \u000a +p7571 +tp7572 +a(g706 +g1032 +tp7573 +a(g745 +Vset +p7574 +tp7575 +a(g827 +g1036 +tp7576 +a(g413 +g1038 +tp7577 +a(g117 +Vblock-quote +p7578 +tp7579 +a(g827 +g1036 +tp7580 +a(g745 +V$1 +p7581 +tp7582 +a(g706 +g1042 +tp7583 +a(g827 +V\u000a +p7584 +tp7585 +a(g706 +g1032 +tp7586 +a(g745 +Vreplace +p7587 +tp7588 +a(g827 +g1036 +tp7589 +a(g89 +g1380 +tp7590 +a(g89 +V^[ ]*>[ ]? +p7591 +tp7592 +a(g89 +g1384 +tp7593 +a(g827 +g1036 +tp7594 +a(g117 +Vblock-quote +p7595 +tp7596 +a(g827 +g1036 +tp7597 +a(g89 +g1380 +tp7598 +a(g89 +g1384 +tp7599 +a(g827 +g1036 +tp7600 +a(g117 +g2746 +tp7601 +a(g706 +g1042 +tp7602 +a(g827 +V\u000a +p7603 +tp7604 +a(g706 +g1032 +tp7605 +a(g745 +Vreplace +p7606 +tp7607 +a(g827 +g1036 +tp7608 +a(g89 +g1380 +tp7609 +a(g89 +V^[ ]+$ +p7610 +tp7611 +a(g89 +g1384 +tp7612 +a(g827 +g1036 +tp7613 +a(g117 +Vblock-quote +p7614 +tp7615 +a(g827 +g1036 +tp7616 +a(g89 +g1380 +tp7617 +a(g89 +g1384 +tp7618 +a(g827 +g1036 +tp7619 +a(g117 +g2746 +tp7620 +a(g706 +g1042 +tp7621 +a(g827 +V\u000a +p7622 +tp7623 +a(g706 +g1032 +tp7624 +a(g745 +Vset +p7625 +tp7626 +a(g827 +g1036 +tp7627 +a(g413 +g1038 +tp7628 +a(g117 +Vblock-quote +p7629 +tp7630 +a(g827 +g1036 +tp7631 +a(g706 +g1032 +tp7632 +a(g441 +Vblock-transforms +p7633 +tp7634 +a(g827 +g1036 +tp7635 +a(g117 +Vblock-quote +p7636 +tp7637 +a(g706 +g1042 +tp7638 +a(g706 +g1042 +tp7639 +a(g827 +g1036 +tp7640 +a(g898 +V; recurse +p7641 +tp7642 +a(g827 +V\u000a +p7643 +tp7644 +a(g898 +V; remove leading spaces +p7645 +tp7646 +a(g827 +V\u000a +p7647 +tp7648 +a(g706 +g1032 +tp7649 +a(g745 +Vreplace +p7650 +tp7651 +a(g827 +V \u000a +p7652 +tp7653 +a(g89 +g1380 +tp7654 +a(g89 +V(\u005cs*
    .+?
    ) +p7655 +tp7656 +a(g89 +g1384 +tp7657 +a(g827 +V \u000a +p7658 +tp7659 +a(g117 +Vblock-quote +p7660 +tp7661 +a(g827 +V \u000a +p7662 +tp7663 +a(g706 +g1032 +tp7664 +a(g745 +Vtrim +p7665 +tp7666 +a(g827 +g1036 +tp7667 +a(g745 +V$1 +p7668 +tp7669 +a(g706 +g1042 +tp7670 +a(g827 +V\u000a +p7671 +tp7672 +a(g117 +g2746 +tp7673 +a(g706 +g1042 +tp7674 +a(g827 +V\u000a +p7675 +tp7676 +a(g706 +g1032 +tp7677 +a(g745 +Vstring +p7678 +tp7679 +a(g827 +g1036 +tp7680 +a(g89 +V"
    \u005cn" +p7681 +tp7682 +a(g827 +g1036 +tp7683 +a(g117 +Vblock-quote +p7684 +tp7685 +a(g827 +g1036 +tp7686 +a(g89 +V"\u005cn
    \u005cn\u005cn" +p7687 +tp7688 +a(g706 +g1042 +tp7689 +a(g706 +g1042 +tp7690 +a(g827 +V\u000a +p7691 +tp7692 +a(g117 +g2746 +tp7693 +a(g706 +g1042 +tp7694 +a(g706 +g1042 +tp7695 +a(g706 +g1042 +tp7696 +a(g827 +V\u000a\u000a +p7697 +tp7698 +a(g706 +g1032 +tp7699 +a(g745 +Vdefine +p7700 +tp7701 +a(g827 +g1036 +tp7702 +a(g706 +g1032 +tp7703 +a(g441 +Voutdent +p7704 +tp7705 +a(g827 +g1036 +tp7706 +a(g117 +g1247 +tp7707 +a(g706 +g1042 +tp7708 +a(g827 +V\u000a +p7709 +tp7710 +a(g706 +g1032 +tp7711 +a(g745 +Vreplace +p7712 +tp7713 +a(g827 +g1036 +tp7714 +a(g89 +V[text] +p7715 +tp7716 +a(g89 +V^(\u005ct|[ ]{1,4})[/text] +p7717 +tp7718 +a(g827 +g1036 +tp7719 +a(g117 +g1247 +tp7720 +a(g827 +g1036 +tp7721 +a(g89 +g1380 +tp7722 +a(g89 +g1384 +tp7723 +a(g827 +g1036 +tp7724 +a(g117 +g2746 +tp7725 +a(g706 +g1042 +tp7726 +a(g706 +g1042 +tp7727 +a(g827 +V\u000a\u000a +p7728 +tp7729 +a(g706 +g1032 +tp7730 +a(g745 +Vdefine +p7731 +tp7732 +a(g827 +g1036 +tp7733 +a(g706 +g1032 +tp7734 +a(g441 +Vdetab +p7735 +tp7736 +a(g827 +g1036 +tp7737 +a(g117 +g1247 +tp7738 +a(g706 +g1042 +tp7739 +a(g827 +V\u000a +p7740 +tp7741 +a(g706 +g1032 +tp7742 +a(g745 +Vreplace +p7743 +tp7744 +a(g827 +g1036 +tp7745 +a(g89 +V[text] +p7746 +tp7747 +a(g89 +V(.*?)\u005ct[/text] +p7748 +tp7749 +a(g827 +V \u000a +p7750 +tp7751 +a(g117 +g1247 +tp7752 +a(g827 +V \u000a +p7753 +tp7754 +a(g706 +g1032 +tp7755 +a(g745 +Vstring +p7756 +tp7757 +a(g827 +g1036 +tp7758 +a(g745 +V$1 +p7759 +tp7760 +a(g827 +g1036 +tp7761 +a(g706 +g1032 +tp7762 +a(g745 +Vdup +p7763 +tp7764 +a(g827 +g1036 +tp7765 +a(g89 +g1380 +tp7766 +a(g89 +g1036 +tp7767 +a(g89 +g1384 +tp7768 +a(g827 +g1036 +tp7769 +a(g706 +g1032 +tp7770 +a(g441 +g1557 +tp7771 +a(g827 +g1036 +tp7772 +a(g117 +V4 +p7773 +tp7774 +a(g827 +g1036 +tp7775 +a(g706 +g1032 +tp7776 +a(g441 +V% +p7777 +tp7778 +a(g827 +g1036 +tp7779 +a(g706 +g1032 +tp7780 +a(g745 +Vlength +p7781 +tp7782 +a(g827 +g1036 +tp7783 +a(g745 +V$1 +p7784 +tp7785 +a(g706 +g1042 +tp7786 +a(g827 +g1036 +tp7787 +a(g117 +g7773 +tp7788 +a(g706 +g1042 +tp7789 +a(g706 +g1042 +tp7790 +a(g706 +g1042 +tp7791 +a(g706 +g1042 +tp7792 +a(g827 +V\u000a +p7793 +tp7794 +a(g117 +g2746 +tp7795 +a(g706 +g1042 +tp7796 +a(g706 +g1042 +tp7797 +a(g827 +V\u000a\u000a +p7798 +tp7799 +a(g706 +g1032 +tp7800 +a(g745 +Vdefine +p7801 +tp7802 +a(g827 +g1036 +tp7803 +a(g706 +g1032 +tp7804 +a(g441 +Vform-paragraphs +p7805 +tp7806 +a(g827 +g1036 +tp7807 +a(g117 +Vtxt +p7808 +tp7809 +a(g706 +g1042 +tp7810 +a(g827 +V\u000a +p7811 +tp7812 +a(g706 +g1032 +tp7813 +a(g745 +Vlet +p7814 +tp7815 +a(g827 +g1036 +tp7816 +a(g706 +g1032 +tp7817 +a(g706 +g1032 +tp7818 +a(g441 +Vgrafs +p7819 +tp7820 +a(g827 +g1036 +tp7821 +a(g413 +g1038 +tp7822 +a(g706 +g1032 +tp7823 +a(g706 +g1042 +tp7824 +a(g706 +g1042 +tp7825 +a(g827 +V\u000a +p7826 +tp7827 +a(g706 +g1032 +tp7828 +a(g441 +Voriginal +p7829 +tp7830 +a(g827 +g1036 +tp7831 +a(g745 +Vnil +p7832 +tp7833 +a(g706 +g1042 +tp7834 +a(g706 +g1042 +tp7835 +a(g827 +V\u000a +p7836 +tp7837 +a(g706 +g1032 +tp7838 +a(g745 +Vset +p7839 +tp7840 +a(g827 +g1036 +tp7841 +a(g413 +g1038 +tp7842 +a(g117 +Vtxt +p7843 +tp7844 +a(g827 +V +p7845 +tp7846 +a(g706 +g1032 +tp7847 +a(g745 +Vtrim +p7848 +tp7849 +a(g827 +g1036 +tp7850 +a(g117 +Vtxt +p7851 +tp7852 +a(g827 +g1036 +tp7853 +a(g89 +V"\u005cn" +p7854 +tp7855 +a(g706 +g1042 +tp7856 +a(g706 +g1042 +tp7857 +a(g827 +V +p7858 +tp7859 +a(g898 +V; strip blank lines before and after +p7860 +tp7861 +a(g827 +V\u000a +p7862 +tp7863 +a(g706 +g1032 +tp7864 +a(g745 +Vset +p7865 +tp7866 +a(g827 +g1036 +tp7867 +a(g413 +g1038 +tp7868 +a(g117 +Vgrafs +p7869 +tp7870 +a(g827 +g1036 +tp7871 +a(g706 +g1032 +tp7872 +a(g745 +Vparse +p7873 +tp7874 +a(g827 +g1036 +tp7875 +a(g117 +Vtxt +p7876 +tp7877 +a(g827 +g1036 +tp7878 +a(g89 +V"\u005cn{2,}" +p7879 +tp7880 +a(g827 +g1036 +tp7881 +a(g117 +g1147 +tp7882 +a(g706 +g1042 +tp7883 +a(g706 +g1042 +tp7884 +a(g827 +V +p7885 +tp7886 +a(g898 +V; split +p7887 +tp7888 +a(g827 +V\u000a +p7889 +tp7890 +a(g706 +g1032 +tp7891 +a(g745 +Vdolist +p7892 +tp7893 +a(g827 +g1036 +tp7894 +a(g706 +g1032 +tp7895 +a(g441 +Vp +p7896 +tp7897 +a(g827 +g1036 +tp7898 +a(g117 +Vgrafs +p7899 +tp7900 +a(g706 +g1042 +tp7901 +a(g827 +V\u000a +p7902 +tp7903 +a(g706 +g1032 +tp7904 +a(g745 +Vif +p7905 +tp7906 +a(g827 +g1036 +tp7907 +a(g706 +g1032 +tp7908 +a(g745 +Vset +p7909 +tp7910 +a(g827 +g1036 +tp7911 +a(g413 +g1038 +tp7912 +a(g117 +Voriginal +p7913 +tp7914 +a(g827 +g1036 +tp7915 +a(g706 +g1032 +tp7916 +a(g745 +Vlookup +p7917 +tp7918 +a(g827 +g1036 +tp7919 +a(g117 +g7896 +tp7920 +a(g827 +g1036 +tp7921 +a(g745 +g1074 +tp7922 +a(g117 +Vhashed-html-blocks* +p7923 +tp7924 +a(g706 +g1042 +tp7925 +a(g706 +g1042 +tp7926 +a(g827 +V\u000a +p7927 +tp7928 +a(g898 +V; html blocks +p7929 +tp7930 +a(g827 +V\u000a +p7931 +tp7932 +a(g706 +g1032 +tp7933 +a(g745 +Vsetf +p7934 +tp7935 +a(g827 +g1036 +tp7936 +a(g706 +g1032 +tp7937 +a(g441 +Vgrafs +p7938 +tp7939 +a(g827 +g1036 +tp7940 +a(g745 +V$idx +p7941 +tp7942 +a(g706 +g1042 +tp7943 +a(g827 +g1036 +tp7944 +a(g117 +Voriginal +p7945 +tp7946 +a(g706 +g1042 +tp7947 +a(g827 +V\u000a +p7948 +tp7949 +a(g898 +V; wrap

    tags round everything else +p7950 +tp7951 +a(g827 +V\u000a +p7952 +tp7953 +a(g706 +g1032 +tp7954 +a(g745 +Vsetf +p7955 +tp7956 +a(g827 +g1036 +tp7957 +a(g706 +g1032 +tp7958 +a(g441 +Vgrafs +p7959 +tp7960 +a(g827 +g1036 +tp7961 +a(g745 +V$idx +p7962 +tp7963 +a(g706 +g1042 +tp7964 +a(g827 +g1036 +tp7965 +a(g706 +g1032 +tp7966 +a(g745 +Vstring +p7967 +tp7968 +a(g827 +g1036 +tp7969 +a(g89 +g1380 +tp7970 +a(g89 +V

    +p7971 +tp7972 +a(g89 +g1384 +tp7973 +a(g827 +g1036 +tp7974 +a(g706 +g1032 +tp7975 +a(g745 +Vreplace +p7976 +tp7977 +a(g827 +g1036 +tp7978 +a(g89 +g1380 +tp7979 +a(g89 +V^[ ]* +p7980 +tp7981 +a(g89 +g1384 +tp7982 +a(g827 +g1036 +tp7983 +a(g706 +g1032 +tp7984 +a(g441 +Vspan-transforms +p7985 +tp7986 +a(g827 +g1036 +tp7987 +a(g117 +g7896 +tp7988 +a(g706 +g1042 +tp7989 +a(g827 +g1036 +tp7990 +a(g89 +g1380 +tp7991 +a(g89 +g1384 +tp7992 +a(g827 +g1036 +tp7993 +a(g706 +g1032 +tp7994 +a(g441 +g1544 +tp7995 +a(g827 +g1036 +tp7996 +a(g117 +g7773 +tp7997 +a(g827 +g1036 +tp7998 +a(g117 +g1859 +tp7999 +a(g827 +g1036 +tp8000 +a(g117 +V16 +p8001 +tp8002 +a(g706 +g1042 +tp8003 +a(g706 +g1042 +tp8004 +a(g827 +g1036 +tp8005 +a(g89 +g1380 +tp8006 +a(g89 +V

    +p8007 +tp8008 +a(g89 +g1384 +tp8009 +a(g706 +g1042 +tp8010 +a(g706 +g1042 +tp8011 +a(g706 +g1042 +tp8012 +a(g706 +g1042 +tp8013 +a(g827 +V\u000a +p8014 +tp8015 +a(g706 +g1032 +tp8016 +a(g745 +Vjoin +p8017 +tp8018 +a(g827 +g1036 +tp8019 +a(g117 +Vgrafs +p8020 +tp8021 +a(g827 +g1036 +tp8022 +a(g89 +V"\u005cn\u005cn" +p8023 +tp8024 +a(g706 +g1042 +tp8025 +a(g706 +g1042 +tp8026 +a(g706 +g1042 +tp8027 +a(g827 +V\u000a\u000a +p8028 +tp8029 +a(g89 +V[text] +p8030 +tp8031 +a(g89 +V\u000a; three command line arguments: let's hope last one is a file\u000a(when (= 3 (length (main-args)))\u000a (println (markdown (read-file (main-args 2))))\u000a (exit))\u000a\u000a; hack for command-line and module loading\u000a(set 'level (sys-info 3))\u000a\u000a; if level is 2, then we're probably invoking markdown.lsp directly\u000a; if level is > 3, then we're probably loading it into another script...\u000a \u000a(when (= level 2)\u000a ; running on command line, read STDIN and execute:\u000a (while (read-line)\u000a (push (current-line) *stdin* -1))\u000a (println (markdown (join *stdin* "\u005cn")))\u000a (exit))\u000a[/text] +p8032 +tp8033 +a(g827 +V\u000a\u000a +p8034 +tp8035 +a(g898 +V;; version 2011-09-16 16:31:29 +p8036 +tp8037 +a(g827 +V\u000a +p8038 +tp8039 +a(g898 +V;; Changed to different hash routine. Profiling shows that hashing takes 40% of the execution time. +p8040 +tp8041 +a(g827 +V\u000a +p8042 +tp8043 +a(g898 +V;; Unfortunately this new version is only very slightly faster. +p8044 +tp8045 +a(g827 +V\u000a +p8046 +tp8047 +a(g898 +V;; Command-line arguments hack in previous version doesn't work. +p8048 +tp8049 +a(g827 +V\u000a +p8050 +tp8051 +a(g898 +V;; +p8052 +tp8053 +a(g827 +V\u000a +p8054 +tp8055 +a(g898 +V;; version 2011-08-18 15:04:40 +p8056 +tp8057 +a(g827 +V\u000a +p8058 +tp8059 +a(g898 +V;; various fixes, and added hack for running this from the command-line: +p8060 +tp8061 +a(g827 +V\u000a +p8062 +tp8063 +a(g898 +V;; echo "hi there" | newlisp markdown.lsp +p8064 +tp8065 +a(g827 +V\u000a +p8066 +tp8067 +a(g898 +V;; echo "hello world" | markdown.lsp +p8068 +tp8069 +a(g827 +V\u000a +p8070 +tp8071 +a(g898 +V;; cat file.text | newlisp markdown.lsp +p8072 +tp8073 +a(g827 +V\u000a +p8074 +tp8075 +a(g898 +V;; +p8076 +tp8077 +a(g827 +V\u000a +p8078 +tp8079 +a(g898 +V;; version 2010-11-14 17:34:52 +p8080 +tp8081 +a(g827 +V\u000a +p8082 +tp8083 +a(g898 +V;; some problems in ustring. Probably remove it one day, as it's non standard... +p8084 +tp8085 +a(g827 +V\u000a +p8086 +tp8087 +a(g898 +V;; +p8088 +tp8089 +a(g827 +V\u000a +p8090 +tp8091 +a(g898 +V;; version 2010-10-14 18:41:38 +p8092 +tp8093 +a(g827 +V\u000a +p8094 +tp8095 +a(g898 +V;; added code to work round PCRE crash in (protect ... +p8096 +tp8097 +a(g827 +V\u000a +p8098 +tp8099 +a(g898 +V;; +p8100 +tp8101 +a(g827 +V\u000a +p8102 +tp8103 +a(g898 +V;; version date 2010-07-10 22:20:25 +p8104 +tp8105 +a(g827 +V\u000a +p8106 +tp8107 +a(g898 +V;; modified call to 'read' since lutz has changed it +p8108 +tp8109 +a(g827 +V\u000a +p8110 +tp8111 +a(g898 +V;; +p8112 +tp8113 +a(g827 +V\u000a +p8114 +tp8115 +a(g898 +V;; version date 2009-11-16 22:10:10 +p8116 +tp8117 +a(g827 +V\u000a +p8118 +tp8119 +a(g898 +V;; fixed bug in tokenize.html +p8120 +tp8121 +a(g827 +V\u000a +p8122 +tp8123 +a(g898 +V;; +p8124 +tp8125 +a(g827 +V\u000a +p8126 +tp8127 +a(g898 +V;; version date 2008-10-08 18:44:46 +p8128 +tp8129 +a(g827 +V\u000a +p8130 +tp8131 +a(g898 +V;; changed nth-set to setf to be version-10 ready. +p8132 +tp8133 +a(g827 +V\u000a +p8134 +tp8135 +a(g898 +V;; This means that now this script will NOT work with +p8136 +tp8137 +a(g827 +V\u000a +p8138 +tp8139 +a(g898 +V;; earlier versions of newLISP!!!!!!!!!!! +p8140 +tp8141 +a(g827 +V\u000a +p8142 +tp8143 +a(g898 +V;; requires Nestor if you want source code colouring... +p8144 +tp8145 +a(g827 +V\u000a +p8146 +tp8147 +a(g898 +V;; +p8148 +tp8149 +a(g827 +V\u000a +p8150 +tp8151 +a(g898 +V;; version date 2008-08-08 16:54:56 +p8152 +tp8153 +a(g827 +V\u000a +p8154 +tp8155 +a(g898 +V;; changed (unless to (if (not ... :( +p8156 +tp8157 +a(g827 +V\u000a +p8158 +tp8159 +a(g898 +V;; +p8160 +tp8161 +a(g827 +V\u000a +p8162 +tp8163 +a(g898 +V;; version date 2008-07-20 14:!2:29 +p8164 +tp8165 +a(g827 +V\u000a +p8166 +tp8167 +a(g898 +V;; added hex-str-to-unicode-char ustring +p8168 +tp8169 +a(g827 +V\u000a +p8170 +tp8171 +a(g898 +V;; +p8172 +tp8173 +a(g827 +V\u000a +p8174 +tp8175 +a(g898 +V;; version date 2008-03-07 15:36:09 +p8176 +tp8177 +a(g827 +V\u000a +p8178 +tp8179 +a(g898 +V;; fixed load error +p8180 +tp8181 +a(g827 +V\u000a +p8182 +tp8183 +a(g898 +V;; +p8184 +tp8185 +a(g827 +V\u000a +p8186 +tp8187 +a(g898 +V;; version date 2007-11-17 16:20:57 +p8188 +tp8189 +a(g827 +V\u000a +p8190 +tp8191 +a(g898 +V;; added syntax colouring module +p8192 +tp8193 +a(g827 +V\u000a +p8194 +tp8195 +a(g898 +V;; +p8196 +tp8197 +a(g827 +V\u000a +p8198 +tp8199 +a(g898 +V;; version date 2007-11-14 09:19:42 +p8200 +tp8201 +a(g827 +V\u000a +p8202 +tp8203 +a(g898 +V;; removed reliance on dostring for compatibility with 9.1 +p8204 +tp8205 +a(g827 +V\u000a\u000a\u000a +p8206 +tp8207 +a(g898 +V; eof +p8208 +tp8209 +a(g827 +V\u000a +p8210 +tp8211 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/matlab_noreturn b/tests/examplefiles/output/matlab_noreturn new file mode 100644 index 0000000..f5e60c6 --- /dev/null +++ b/tests/examplefiles/output/matlab_noreturn @@ -0,0 +1,2400 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbV function +p956 +tp957 +a(g839 +V +p958 +tp959 +a(g561 +Vmyfunc +p960 +tp961 +a(g693 +V( +p962 +tp963 +a(g826 +Vs +p964 +tp965 +a(g693 +V) +p966 +tp967 +a(g839 +V\u000a +p968 +tp969 +a(g423 +Va +p970 +tp971 +a(g826 +g958 +tp972 +a(g693 +V= +p973 +tp974 +a(g826 +g958 +tp975 +a(g22 +V1 +p976 +tp977 +a(g693 +V; +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g826 +g958 +tp982 +a(g7 +Vend +p983 +tp984 +a(g826 +V\u000a +p985 +tp986 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/matlab_sample b/tests/examplefiles/output/matlab_sample new file mode 100644 index 0000000..ef164b5 --- /dev/null +++ b/tests/examplefiles/output/matlab_sample @@ -0,0 +1,3022 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVfunction +p956 +tp957 +a(g839 +V +p958 +tp959 +a(g826 +Vzz +p960 +tp961 +a(g693 +V= +p962 +tp963 +a(g561 +Vsample +p964 +tp965 +a(g693 +V( +p966 +tp967 +a(g826 +Vaa +p968 +tp969 +a(g693 +V) +p970 +tp971 +a(g839 +V\u000a +p972 +tp973 +a(g709 +V%%%%%%%%%%%%%%%%%% +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g709 +V% some comments +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g709 +V%%%%%%%%%%%%%%%%%% +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g423 +Vx +p988 +tp989 +a(g826 +g958 +tp990 +a(g693 +g962 +tp991 +a(g826 +g958 +tp992 +a(g76 +V' +p993 +tp994 +a(g76 +Va string' +p995 +tp996 +a(g693 +V; +p997 +tp998 +a(g826 +g958 +tp999 +a(g826 +g958 +tp1000 +a(g826 +g958 +tp1001 +a(g826 +g958 +tp1002 +a(g709 +V% some 'ticks' in a comment +p1003 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g423 +Vy +p1007 +tp1008 +a(g826 +g958 +tp1009 +a(g693 +g962 +tp1010 +a(g826 +g958 +tp1011 +a(g76 +g993 +tp1012 +a(g76 +Va string with ' +p1013 +tp1014 +a(g76 +g993 +tp1015 +a(g76 +Vinteral' +p1016 +tp1017 +a(g76 +g993 +tp1018 +a(g76 +V quotes' +p1019 +tp1020 +a(g693 +g997 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g7 +Vfor +p1026 +tp1027 +a(g826 +g958 +tp1028 +a(g669 +Vi +p1029 +tp1030 +a(g693 +g962 +tp1031 +a(g22 +V1 +p1032 +tp1033 +a(g693 +V: +p1034 +tp1035 +a(g22 +V20 +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g826 +g958 +tp1040 +a(g826 +g958 +tp1041 +a(g669 +Vdisp +p1042 +tp1043 +a(g693 +g966 +tp1044 +a(g669 +g1029 +tp1045 +a(g693 +g970 +tp1046 +a(g693 +g997 +tp1047 +a(g826 +V\u000a +p1048 +tp1049 +a(g7 +Vend +p1050 +tp1051 +a(g826 +V\u000a +p1052 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g423 +Va +p1056 +tp1057 +a(g826 +g958 +tp1058 +a(g693 +g962 +tp1059 +a(g826 +g958 +tp1060 +a(g669 +Vrand +p1061 +tp1062 +a(g693 +g966 +tp1063 +a(g22 +V30 +p1064 +tp1065 +a(g693 +g970 +tp1066 +a(g693 +g997 +tp1067 +a(g826 +V\u000a +p1068 +tp1069 +a(g423 +Vb +p1070 +tp1071 +a(g826 +g958 +tp1072 +a(g693 +g962 +tp1073 +a(g826 +g958 +tp1074 +a(g669 +Vrand +p1075 +tp1076 +a(g693 +g966 +tp1077 +a(g22 +V30 +p1078 +tp1079 +a(g693 +g970 +tp1080 +a(g693 +g997 +tp1081 +a(g826 +V\u000a +p1082 +tp1083 +a(g826 +V\u000a +p1084 +tp1085 +a(g423 +Vc +p1086 +tp1087 +a(g826 +g958 +tp1088 +a(g693 +g962 +tp1089 +a(g826 +g958 +tp1090 +a(g423 +g1056 +tp1091 +a(g826 +g958 +tp1092 +a(g400 +V.* +p1093 +tp1094 +a(g826 +g958 +tp1095 +a(g423 +g1070 +tp1096 +a(g826 +g958 +tp1097 +a(g400 +V./ +p1098 +tp1099 +a(g826 +g958 +tp1100 +a(g423 +g1056 +tp1101 +a(g826 +g958 +tp1102 +a(g400 +V\u005c +p1103 +tp1104 +a(g826 +g958 +tp1105 +a(g709 +V... comment at end of line and continuation +p1106 +tp1107 +a(g826 +V\u000a +p1108 +tp1109 +a(g826 +g958 +tp1110 +a(g826 +g958 +tp1111 +a(g826 +g958 +tp1112 +a(g826 +g958 +tp1113 +a(g693 +g966 +tp1114 +a(g423 +g1070 +tp1115 +a(g826 +g958 +tp1116 +a(g400 +V.* +p1117 +tp1118 +a(g826 +g958 +tp1119 +a(g423 +g1056 +tp1120 +a(g826 +g958 +tp1121 +a(g400 +V+ +p1122 +tp1123 +a(g826 +g958 +tp1124 +a(g423 +g1070 +tp1125 +a(g826 +g958 +tp1126 +a(g400 +V- +p1127 +tp1128 +a(g826 +g958 +tp1129 +a(g423 +g1056 +tp1130 +a(g693 +g970 +tp1131 +a(g693 +g997 +tp1132 +a(g826 +V\u000a +p1133 +tp1134 +a(g826 +V\u000a +p1135 +tp1136 +a(g423 +g1086 +tp1137 +a(g826 +g958 +tp1138 +a(g693 +g962 +tp1139 +a(g826 +g958 +tp1140 +a(g423 +g1056 +tp1141 +a(g400 +g993 +tp1142 +a(g826 +g958 +tp1143 +a(g400 +V* +p1144 +tp1145 +a(g826 +g958 +tp1146 +a(g423 +g1070 +tp1147 +a(g400 +g993 +tp1148 +a(g693 +g997 +tp1149 +a(g826 +g958 +tp1150 +a(g826 +g958 +tp1151 +a(g709 +V% note: these ticks are for transpose, not quotes. +p1152 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g826 +V\u000a +p1156 +tp1157 +a(g669 +Vdisp +p1158 +tp1159 +a(g693 +g966 +tp1160 +a(g76 +g993 +tp1161 +a(g76 +Va comment symbol, %, in a string' +p1162 +tp1163 +a(g693 +g970 +tp1164 +a(g693 +g997 +tp1165 +a(g826 +V\u000a +p1166 +tp1167 +a(g826 +V\u000a +p1168 +tp1169 +a(g224 +V!echo abc % this isn't a comment - it's passed to system command +p1170 +tp1171 +a(g826 +V\u000a +p1172 +tp1173 +a(g7 +V\u000afunction +p1174 +tp1175 +a(g839 +g958 +tp1176 +a(g826 +g1007 +tp1177 +a(g693 +g962 +tp1178 +a(g561 +Vmyfunc +p1179 +tp1180 +a(g693 +g966 +tp1181 +a(g826 +g988 +tp1182 +a(g693 +g970 +tp1183 +a(g839 +V\u000a +p1184 +tp1185 +a(g423 +g1007 +tp1186 +a(g826 +g958 +tp1187 +a(g693 +g962 +tp1188 +a(g826 +g958 +tp1189 +a(g669 +Vexp +p1190 +tp1191 +a(g693 +g966 +tp1192 +a(g423 +g988 +tp1193 +a(g693 +g970 +tp1194 +a(g693 +g997 +tp1195 +a(g826 +V\u000a +p1196 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g826 +g958 +tp1200 +a(g693 +V{ +p1201 +tp1202 +a(g709 +V% +p1203 +tp1204 +a(g826 +V\u000a +p1205 +tp1206 +a(g423 +g1056 +tp1207 +a(g826 +g958 +tp1208 +a(g423 +Vblock +p1209 +tp1210 +a(g826 +g958 +tp1211 +a(g423 +Vcomment +p1212 +tp1213 +a(g826 +V\u000a +p1214 +tp1215 +a(g826 +g958 +tp1216 +a(g709 +V%} +p1217 +tp1218 +a(g826 +V\u000a +p1219 +tp1220 +a(g7 +V\u000afunction +p1221 +tp1222 +a(g826 +g958 +tp1223 +a(g561 +Vno_arg_func +p1224 +tp1225 +a(g826 +V\u000a +p1226 +tp1227 +a(g423 +Vfprintf +p1228 +tp1229 +a(g693 +g966 +tp1230 +a(g76 +g993 +tp1231 +a(g76 +V%s\u005cn' +p1232 +tp1233 +a(g693 +V, +p1234 +tp1235 +a(g826 +g958 +tp1236 +a(g76 +g993 +tp1237 +a(g76 +Vfunction with no args' +p1238 +tp1239 +a(g693 +g970 +tp1240 +a(g826 +V\u000a +p1241 +tp1242 +a(g7 +Vend +p1243 +tp1244 +a(g826 +V\u000a +p1245 +tp1246 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/matlabsession_sample.txt b/tests/examplefiles/output/matlabsession_sample.txt new file mode 100644 index 0000000..3000a93 --- /dev/null +++ b/tests/examplefiles/output/matlabsession_sample.txt @@ -0,0 +1,2695 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g365 +V>> +p958 +tp959 +a(g7 +V\u000a +p960 +tp961 +a(g365 +V>> +p962 +tp963 +a(g7 +g956 +tp964 +a(g7 +V\u000a +p965 +tp966 +a(g365 +V>> +p967 +tp968 +a(g7 +g956 +tp969 +a(g439 +Va +p970 +tp971 +a(g7 +V +p972 +tp973 +a(g709 +V= +p974 +tp975 +a(g7 +g972 +tp976 +a(g92 +V' +p977 +tp978 +a(g92 +Vokay' +p979 +tp980 +a(g7 +V\u000a +p981 +tp982 +a(g406 +V\u000a +p983 +tp984 +a(g406 +Va =\u000a +p985 +tp986 +a(g406 +V\u000a +p987 +tp988 +a(g406 +Vokay\u000a +p989 +tp990 +a(g406 +V\u000a +p991 +tp992 +a(g439 +g956 +tp993 +a(g365 +V>> +p994 +tp995 +a(g439 +Vx +p996 +tp997 +a(g7 +g972 +tp998 +a(g709 +g974 +tp999 +a(g7 +g972 +tp1000 +a(g685 +Vrand +p1001 +tp1002 +a(g709 +V( +p1003 +tp1004 +a(g40 +V3 +p1005 +tp1006 +a(g709 +V) +p1007 +tp1008 +a(g7 +g972 +tp1009 +a(g7 +g972 +tp1010 +a(g7 +g972 +tp1011 +a(g7 +g972 +tp1012 +a(g725 +V% a matrix +p1013 +tp1014 +a(g7 +V\u000a +p1015 +tp1016 +a(g406 +V\u000a +p1017 +tp1018 +a(g406 +Vx =\u000a +p1019 +tp1020 +a(g406 +V\u000a +p1021 +tp1022 +a(g406 +V 0.8147 0.9134 0.2785\u000a +p1023 +tp1024 +a(g406 +V 0.9058 0.6324 0.5469\u000a +p1025 +tp1026 +a(g406 +V 0.1270 0.0975 0.9575\u000a +p1027 +tp1028 +a(g406 +V\u000a +p1029 +tp1030 +a(g40 +g956 +tp1031 +a(g365 +V>> +p1032 +tp1033 +a(g40 +V1 +p1034 +tp1035 +a(g416 +V/ +p1036 +tp1037 +a(g40 +V0 +p1038 +tp1039 +a(g7 +V\u000a +p1040 +tp1041 +a(g406 +V\u000a +p1042 +tp1043 +a(g406 +Vans =\u000a +p1044 +tp1045 +a(g406 +V\u000a +p1046 +tp1047 +a(g406 +V Inf\u000a +p1048 +tp1049 +a(g406 +V\u000a +p1050 +tp1051 +a(g439 +g956 +tp1052 +a(g365 +V>> +p1053 +tp1054 +a(g439 +Vfoo +p1055 +tp1056 +a(g7 +V\u000a +p1057 +tp1058 +a(g397 +V??? Undefined function or variable 'foo'.\u000a +p1059 +tp1060 +a(g7 +g956 +tp1061 +a(g406 +V\u000a +p1062 +tp1063 +a(g7 +g956 +tp1064 +a(g365 +V>> +p1065 +tp1066 +a(g7 +V\u000a +p1067 +tp1068 +a(g365 +V>> +p1069 +tp1070 +a(g7 +g956 +tp1071 +a(g7 +V\u000a +p1072 +tp1073 +a(g365 +V>> +p1074 +tp1075 +a(g7 +g956 +tp1076 +a(g709 +V{ +p1077 +tp1078 +a(g685 +Vcos +p1079 +tp1080 +a(g709 +g1003 +tp1081 +a(g40 +V2 +p1082 +tp1083 +a(g416 +V* +p1084 +tp1085 +a(g685 +Vpi +p1086 +tp1087 +a(g709 +g1007 +tp1088 +a(g709 +V, +p1089 +tp1090 +a(g7 +g972 +tp1091 +a(g92 +g977 +tp1092 +a(g92 +Vtesting' +p1093 +tp1094 +a(g709 +V} +p1095 +tp1096 +a(g7 +V\u000a +p1097 +tp1098 +a(g406 +V\u000a +p1099 +tp1100 +a(g406 +Vans =\u000a +p1101 +tp1102 +a(g406 +V\u000a +p1103 +tp1104 +a(g406 +V [1] 'testing'\u000a +p1105 +tp1106 +a(g406 +V\u000a +p1107 +tp1108 +a(g7 +g956 +tp1109 +a(g365 +V>> +p1110 +tp1111 +a(g7 +V\u000a +p1112 +tp1113 +a(g365 +V>> +p1114 +tp1115 +a(g7 +g956 +tp1116 +a(g7 +V\u000a +p1117 +tp1118 +a(g365 +V>> +p1119 +tp1120 +a(g7 +g956 +tp1121 +a(g7 +V\u000a +p1122 +tp1123 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/metagrammar.treetop b/tests/examplefiles/output/metagrammar.treetop new file mode 100644 index 0000000..036170b --- /dev/null +++ b/tests/examplefiles/output/metagrammar.treetop @@ -0,0 +1,9471 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g868 +Vmodule +p958 +tp959 +a(g23 +V +p960 +tp961 +a(g624 +VTreetop +p962 +tp963 +a(g23 +V\u000a +p964 +tp965 +a(g842 +Vmodule +p966 +tp967 +a(g23 +g960 +tp968 +a(g624 +VCompiler +p969 +tp970 +a(g23 +V\u000a +p971 +tp972 +a(g842 +Vgrammar +p973 +tp974 +a(g23 +g960 +tp975 +a(g439 +VMetagrammar +p976 +tp977 +a(g23 +V\u000a +p978 +tp979 +a(g842 +Vrule +p980 +tp981 +a(g23 +g960 +tp982 +a(g439 +Vtreetop_file +p983 +tp984 +a(g23 +V\u000a +p985 +tp986 +a(g600 +Vrequires +p987 +tp988 +a(g709 +V: +p989 +tp990 +a(g709 +V( +p991 +tp992 +a(g439 +Vspace +p993 +tp994 +a(g416 +V? +p995 +tp996 +a(g23 +g960 +tp997 +a(g439 +Vrequire_statement +p998 +tp999 +a(g709 +V) +p1000 +tp1001 +a(g416 +V* +p1002 +tp1003 +a(g23 +g960 +tp1004 +a(g600 +Vprefix +p1005 +tp1006 +a(g709 +g989 +tp1007 +a(g439 +Vspace +p1008 +tp1009 +a(g416 +g995 +tp1010 +a(g23 +g960 +tp1011 +a(g439 +Vmodule_or_grammar +p1012 +tp1013 +a(g23 +g960 +tp1014 +a(g600 +Vsuffix +p1015 +tp1016 +a(g709 +g989 +tp1017 +a(g439 +Vspace +p1018 +tp1019 +a(g416 +g995 +tp1020 +a(g23 +g960 +tp1021 +a(g709 +V{ +p1022 +tp1023 +a(g7 +V\u000a +p1024 +tp1025 +a(g842 +Vdef +p1026 +tp1027 +a(g7 +g960 +tp1028 +a(g577 +Vcompile +p1029 +tp1030 +a(g7 +V\u000a +p1031 +tp1032 +a(g439 +Vrequires +p1033 +tp1034 +a(g416 +V. +p1035 +tp1036 +a(g439 +Vtext_value +p1037 +tp1038 +a(g7 +g960 +tp1039 +a(g416 +V+ +p1040 +tp1041 +a(g7 +g960 +tp1042 +a(g439 +Vprefix +p1043 +tp1044 +a(g416 +g1035 +tp1045 +a(g439 +Vtext_value +p1046 +tp1047 +a(g7 +g960 +tp1048 +a(g416 +g1040 +tp1049 +a(g7 +g960 +tp1050 +a(g439 +Vmodule_or_grammar +p1051 +tp1052 +a(g416 +g1035 +tp1053 +a(g439 +Vcompile +p1054 +tp1055 +a(g7 +g960 +tp1056 +a(g416 +g1040 +tp1057 +a(g7 +g960 +tp1058 +a(g439 +Vsuffix +p1059 +tp1060 +a(g416 +g1035 +tp1061 +a(g439 +Vtext_value +p1062 +tp1063 +a(g7 +V\u000a +p1064 +tp1065 +a(g842 +Vend +p1066 +tp1067 +a(g7 +V\u000a +p1068 +tp1069 +a(g709 +V} +p1070 +tp1071 +a(g23 +V\u000a +p1072 +tp1073 +a(g842 +Vend +p1074 +tp1075 +a(g23 +V\u000a\u000a +p1076 +tp1077 +a(g842 +Vrule +p1078 +tp1079 +a(g23 +g960 +tp1080 +a(g439 +Vrequire_statement +p1081 +tp1082 +a(g23 +V\u000a +p1083 +tp1084 +a(g600 +Vprefix +p1085 +tp1086 +a(g709 +g989 +tp1087 +a(g439 +Vspace +p1088 +tp1089 +a(g416 +g995 +tp1090 +a(g23 +g960 +tp1091 +a(g160 +V"require" +p1092 +tp1093 +a(g23 +g960 +tp1094 +a(g200 +V[ \u005ct] +p1095 +tp1096 +a(g416 +g1040 +tp1097 +a(g23 +g960 +tp1098 +a(g200 +V[^\u005cn\u005cr] +p1099 +tp1100 +a(g416 +g1040 +tp1101 +a(g23 +g960 +tp1102 +a(g200 +V[\u005cn\u005cr] +p1103 +tp1104 +a(g23 +V\u000a +p1105 +tp1106 +a(g842 +Vend +p1107 +tp1108 +a(g23 +V\u000a\u000a +p1109 +tp1110 +a(g842 +Vrule +p1111 +tp1112 +a(g23 +g960 +tp1113 +a(g439 +Vmodule_or_grammar +p1114 +tp1115 +a(g23 +V\u000a +p1116 +tp1117 +a(g439 +Vmodule_declaration +p1118 +tp1119 +a(g23 +g960 +tp1120 +a(g416 +V/ +p1121 +tp1122 +a(g23 +g960 +tp1123 +a(g439 +Vgrammar +p1124 +tp1125 +a(g23 +V\u000a +p1126 +tp1127 +a(g842 +Vend +p1128 +tp1129 +a(g23 +V\u000a\u000a +p1130 +tp1131 +a(g842 +Vrule +p1132 +tp1133 +a(g23 +g960 +tp1134 +a(g439 +Vmodule_declaration +p1135 +tp1136 +a(g23 +V\u000a +p1137 +tp1138 +a(g600 +Vprefix +p1139 +tp1140 +a(g709 +g989 +tp1141 +a(g709 +g991 +tp1142 +a(g233 +V'module' +p1143 +tp1144 +a(g23 +g960 +tp1145 +a(g439 +Vspace +p1146 +tp1147 +a(g23 +g960 +tp1148 +a(g600 +Vname +p1149 +tp1150 +a(g709 +g989 +tp1151 +a(g709 +g991 +tp1152 +a(g200 +V[A-Z] +p1153 +tp1154 +a(g23 +g960 +tp1155 +a(g439 +Valphanumeric_char +p1156 +tp1157 +a(g416 +g1002 +tp1158 +a(g23 +g960 +tp1159 +a(g709 +g991 +tp1160 +a(g233 +V'::' +p1161 +tp1162 +a(g23 +g960 +tp1163 +a(g200 +V[A-Z] +p1164 +tp1165 +a(g23 +g960 +tp1166 +a(g439 +Valphanumeric_char +p1167 +tp1168 +a(g416 +g1002 +tp1169 +a(g709 +g1000 +tp1170 +a(g416 +g1002 +tp1171 +a(g709 +g1000 +tp1172 +a(g23 +g960 +tp1173 +a(g439 +Vspace +p1174 +tp1175 +a(g709 +g1000 +tp1176 +a(g23 +g960 +tp1177 +a(g600 +Vmodule_contents +p1178 +tp1179 +a(g709 +g989 +tp1180 +a(g709 +g991 +tp1181 +a(g439 +Vmodule_declaration +p1182 +tp1183 +a(g23 +g960 +tp1184 +a(g416 +g1121 +tp1185 +a(g23 +g960 +tp1186 +a(g439 +Vgrammar +p1187 +tp1188 +a(g709 +g1000 +tp1189 +a(g23 +g960 +tp1190 +a(g600 +Vsuffix +p1191 +tp1192 +a(g709 +g989 +tp1193 +a(g709 +g991 +tp1194 +a(g439 +Vspace +p1195 +tp1196 +a(g23 +g960 +tp1197 +a(g233 +V'end' +p1198 +tp1199 +a(g709 +g1000 +tp1200 +a(g23 +g960 +tp1201 +a(g709 +g1022 +tp1202 +a(g7 +V\u000a +p1203 +tp1204 +a(g842 +Vdef +p1205 +tp1206 +a(g7 +g960 +tp1207 +a(g577 +Vcompile +p1208 +tp1209 +a(g7 +V\u000a +p1210 +tp1211 +a(g439 +Vprefix +p1212 +tp1213 +a(g416 +g1035 +tp1214 +a(g439 +Vtext_value +p1215 +tp1216 +a(g7 +g960 +tp1217 +a(g416 +g1040 +tp1218 +a(g7 +g960 +tp1219 +a(g439 +Vmodule_contents +p1220 +tp1221 +a(g416 +g1035 +tp1222 +a(g439 +Vcompile +p1223 +tp1224 +a(g7 +g960 +tp1225 +a(g416 +g1040 +tp1226 +a(g7 +g960 +tp1227 +a(g439 +Vsuffix +p1228 +tp1229 +a(g416 +g1035 +tp1230 +a(g439 +Vtext_value +p1231 +tp1232 +a(g7 +V\u000a +p1233 +tp1234 +a(g842 +Vend +p1235 +tp1236 +a(g7 +V\u000a\u000a +p1237 +tp1238 +a(g842 +Vdef +p1239 +tp1240 +a(g7 +g960 +tp1241 +a(g577 +Vparser_name +p1242 +tp1243 +a(g7 +V\u000a +p1244 +tp1245 +a(g439 +Vprefix +p1246 +tp1247 +a(g416 +g1035 +tp1248 +a(g439 +Vname +p1249 +tp1250 +a(g416 +g1035 +tp1251 +a(g439 +Vtext_value +p1252 +tp1253 +a(g416 +g1040 +tp1254 +a(g233 +V'::' +p1255 +tp1256 +a(g416 +g1040 +tp1257 +a(g439 +Vmodule_contents +p1258 +tp1259 +a(g416 +g1035 +tp1260 +a(g439 +Vparser_name +p1261 +tp1262 +a(g7 +V\u000a +p1263 +tp1264 +a(g842 +Vend +p1265 +tp1266 +a(g7 +V\u000a +p1267 +tp1268 +a(g709 +g1070 +tp1269 +a(g23 +V\u000a +p1270 +tp1271 +a(g842 +Vend +p1272 +tp1273 +a(g23 +V\u000a\u000a +p1274 +tp1275 +a(g842 +Vrule +p1276 +tp1277 +a(g23 +g960 +tp1278 +a(g439 +Vgrammar +p1279 +tp1280 +a(g23 +V\u000a +p1281 +tp1282 +a(g233 +V'grammar' +p1283 +tp1284 +a(g23 +g960 +tp1285 +a(g439 +Vspace +p1286 +tp1287 +a(g23 +g960 +tp1288 +a(g439 +Vgrammar_name +p1289 +tp1290 +a(g23 +g960 +tp1291 +a(g439 +Vspace +p1292 +tp1293 +a(g23 +g960 +tp1294 +a(g709 +g991 +tp1295 +a(g233 +V'do' +p1296 +tp1297 +a(g23 +g960 +tp1298 +a(g439 +Vspace +p1299 +tp1300 +a(g709 +g1000 +tp1301 +a(g416 +g995 +tp1302 +a(g23 +g960 +tp1303 +a(g439 +Vdeclaration_sequence +p1304 +tp1305 +a(g23 +g960 +tp1306 +a(g439 +Vspace +p1307 +tp1308 +a(g416 +g995 +tp1309 +a(g23 +g960 +tp1310 +a(g233 +V'end' +p1311 +tp1312 +a(g23 +g960 +tp1313 +a(g709 +V< +p1314 +tp1315 +a(g632 +VGrammar +p1316 +tp1317 +a(g709 +V> +p1318 +tp1319 +a(g23 +V\u000a +p1320 +tp1321 +a(g842 +Vend +p1322 +tp1323 +a(g23 +V\u000a\u000a +p1324 +tp1325 +a(g842 +Vrule +p1326 +tp1327 +a(g23 +g960 +tp1328 +a(g439 +Vgrammar_name +p1329 +tp1330 +a(g23 +V\u000a +p1331 +tp1332 +a(g709 +g991 +tp1333 +a(g200 +V[A-Z] +p1334 +tp1335 +a(g23 +g960 +tp1336 +a(g439 +Valphanumeric_char +p1337 +tp1338 +a(g416 +g1002 +tp1339 +a(g709 +g1000 +tp1340 +a(g23 +V\u000a +p1341 +tp1342 +a(g842 +Vend +p1343 +tp1344 +a(g23 +V\u000a\u000a +p1345 +tp1346 +a(g842 +Vrule +p1347 +tp1348 +a(g23 +g960 +tp1349 +a(g439 +Vdeclaration_sequence +p1350 +tp1351 +a(g23 +V\u000a +p1352 +tp1353 +a(g600 +Vhead +p1354 +tp1355 +a(g709 +g989 +tp1356 +a(g439 +Vdeclaration +p1357 +tp1358 +a(g23 +g960 +tp1359 +a(g600 +Vtail +p1360 +tp1361 +a(g709 +g989 +tp1362 +a(g709 +g991 +tp1363 +a(g439 +Vspace +p1364 +tp1365 +a(g23 +g960 +tp1366 +a(g439 +Vdeclaration +p1367 +tp1368 +a(g709 +g1000 +tp1369 +a(g416 +g1002 +tp1370 +a(g23 +g960 +tp1371 +a(g709 +g1314 +tp1372 +a(g632 +VDeclarationSequence +p1373 +tp1374 +a(g709 +g1318 +tp1375 +a(g23 +g960 +tp1376 +a(g709 +g1022 +tp1377 +a(g7 +V\u000a +p1378 +tp1379 +a(g842 +Vdef +p1380 +tp1381 +a(g7 +g960 +tp1382 +a(g577 +Vdeclarations +p1383 +tp1384 +a(g7 +V\u000a +p1385 +tp1386 +a(g416 +V[ +p1387 +tp1388 +a(g439 +Vhead +p1389 +tp1390 +a(g416 +V] +p1391 +tp1392 +a(g7 +g960 +tp1393 +a(g416 +g1040 +tp1394 +a(g7 +g960 +tp1395 +a(g439 +Vtail +p1396 +tp1397 +a(g7 +V\u000a +p1398 +tp1399 +a(g842 +Vend +p1400 +tp1401 +a(g7 +V\u000a\u000a +p1402 +tp1403 +a(g842 +Vdef +p1404 +tp1405 +a(g7 +g960 +tp1406 +a(g577 +Vtail +p1407 +tp1408 +a(g7 +V\u000a +p1409 +tp1410 +a(g842 +Vsuper +p1411 +tp1412 +a(g416 +g1035 +tp1413 +a(g439 +Velements +p1414 +tp1415 +a(g416 +g1035 +tp1416 +a(g439 +Vmap +p1417 +tp1418 +a(g7 +g960 +tp1419 +a(g709 +g1022 +tp1420 +a(g7 +g960 +tp1421 +a(g416 +V| +p1422 +tp1423 +a(g439 +Velt +p1424 +tp1425 +a(g416 +g1422 +tp1426 +a(g7 +g960 +tp1427 +a(g439 +Velt +p1428 +tp1429 +a(g416 +g1035 +tp1430 +a(g439 +Vdeclaration +p1431 +tp1432 +a(g7 +g960 +tp1433 +a(g709 +g1070 +tp1434 +a(g7 +V\u000a +p1435 +tp1436 +a(g842 +Vend +p1437 +tp1438 +a(g7 +V\u000a +p1439 +tp1440 +a(g709 +g1070 +tp1441 +a(g23 +V\u000a +p1442 +tp1443 +a(g416 +g1121 +tp1444 +a(g23 +V\u000a +p1445 +tp1446 +a(g233 +V'' +p1447 +tp1448 +a(g23 +g960 +tp1449 +a(g709 +g1022 +tp1450 +a(g7 +V\u000a +p1451 +tp1452 +a(g842 +Vdef +p1453 +tp1454 +a(g7 +g960 +tp1455 +a(g577 +Vcompile +p1456 +tp1457 +a(g709 +g991 +tp1458 +a(g439 +Vbuilder +p1459 +tp1460 +a(g709 +g1000 +tp1461 +a(g7 +V\u000a +p1462 +tp1463 +a(g842 +Vend +p1464 +tp1465 +a(g7 +V\u000a +p1466 +tp1467 +a(g709 +g1070 +tp1468 +a(g23 +V\u000a +p1469 +tp1470 +a(g842 +Vend +p1471 +tp1472 +a(g23 +V\u000a\u000a +p1473 +tp1474 +a(g842 +Vrule +p1475 +tp1476 +a(g23 +g960 +tp1477 +a(g439 +Vdeclaration +p1478 +tp1479 +a(g23 +V\u000a +p1480 +tp1481 +a(g439 +Vparsing_rule +p1482 +tp1483 +a(g23 +g960 +tp1484 +a(g416 +g1121 +tp1485 +a(g23 +g960 +tp1486 +a(g439 +Vinclude_declaration +p1487 +tp1488 +a(g23 +V\u000a +p1489 +tp1490 +a(g842 +Vend +p1491 +tp1492 +a(g23 +V\u000a\u000a +p1493 +tp1494 +a(g842 +Vrule +p1495 +tp1496 +a(g23 +g960 +tp1497 +a(g439 +Vinclude_declaration +p1498 +tp1499 +a(g23 +V\u000a +p1500 +tp1501 +a(g233 +V'include' +p1502 +tp1503 +a(g23 +g960 +tp1504 +a(g439 +Vspace +p1505 +tp1506 +a(g23 +g960 +tp1507 +a(g200 +V[A-Z] +p1508 +tp1509 +a(g23 +g960 +tp1510 +a(g709 +g991 +tp1511 +a(g439 +Valphanumeric_char +p1512 +tp1513 +a(g23 +g960 +tp1514 +a(g416 +g1121 +tp1515 +a(g23 +g960 +tp1516 +a(g233 +V'::' +p1517 +tp1518 +a(g709 +g1000 +tp1519 +a(g416 +g1002 +tp1520 +a(g23 +g960 +tp1521 +a(g709 +g1022 +tp1522 +a(g7 +V\u000a +p1523 +tp1524 +a(g842 +Vdef +p1525 +tp1526 +a(g7 +g960 +tp1527 +a(g577 +Vcompile +p1528 +tp1529 +a(g709 +g991 +tp1530 +a(g439 +Vbuilder +p1531 +tp1532 +a(g709 +g1000 +tp1533 +a(g7 +V\u000a +p1534 +tp1535 +a(g439 +Vbuilder +p1536 +tp1537 +a(g7 +g960 +tp1538 +a(g416 +V<< +p1539 +tp1540 +a(g7 +g960 +tp1541 +a(g439 +Vtext_value +p1542 +tp1543 +a(g7 +V\u000a +p1544 +tp1545 +a(g842 +Vend +p1546 +tp1547 +a(g7 +V\u000a +p1548 +tp1549 +a(g709 +g1070 +tp1550 +a(g23 +V\u000a +p1551 +tp1552 +a(g842 +Vend +p1553 +tp1554 +a(g23 +V\u000a\u000a +p1555 +tp1556 +a(g842 +Vrule +p1557 +tp1558 +a(g23 +g960 +tp1559 +a(g439 +Vparsing_rule +p1560 +tp1561 +a(g23 +V\u000a +p1562 +tp1563 +a(g233 +V'rule' +p1564 +tp1565 +a(g23 +g960 +tp1566 +a(g439 +Vspace +p1567 +tp1568 +a(g23 +g960 +tp1569 +a(g439 +Vnonterminal +p1570 +tp1571 +a(g23 +g960 +tp1572 +a(g439 +Vspace +p1573 +tp1574 +a(g23 +g960 +tp1575 +a(g709 +g991 +tp1576 +a(g233 +V'do' +p1577 +tp1578 +a(g23 +g960 +tp1579 +a(g439 +Vspace +p1580 +tp1581 +a(g709 +g1000 +tp1582 +a(g416 +g995 +tp1583 +a(g23 +g960 +tp1584 +a(g439 +Vparsing_expression +p1585 +tp1586 +a(g23 +g960 +tp1587 +a(g439 +Vspace +p1588 +tp1589 +a(g23 +g960 +tp1590 +a(g233 +V'end' +p1591 +tp1592 +a(g23 +g960 +tp1593 +a(g709 +g1314 +tp1594 +a(g632 +VParsingRule +p1595 +tp1596 +a(g709 +g1318 +tp1597 +a(g23 +V\u000a +p1598 +tp1599 +a(g842 +Vend +p1600 +tp1601 +a(g23 +V\u000a\u000a +p1602 +tp1603 +a(g842 +Vrule +p1604 +tp1605 +a(g23 +g960 +tp1606 +a(g439 +Vparsing_expression +p1607 +tp1608 +a(g23 +V\u000a +p1609 +tp1610 +a(g439 +Vchoice +p1611 +tp1612 +a(g23 +g960 +tp1613 +a(g416 +g1121 +tp1614 +a(g23 +g960 +tp1615 +a(g439 +Vsequence +p1616 +tp1617 +a(g23 +g960 +tp1618 +a(g416 +g1121 +tp1619 +a(g23 +g960 +tp1620 +a(g439 +Vprimary +p1621 +tp1622 +a(g23 +V\u000a +p1623 +tp1624 +a(g842 +Vend +p1625 +tp1626 +a(g23 +V\u000a\u000a +p1627 +tp1628 +a(g842 +Vrule +p1629 +tp1630 +a(g23 +g960 +tp1631 +a(g439 +Vchoice +p1632 +tp1633 +a(g23 +V\u000a +p1634 +tp1635 +a(g600 +Vhead +p1636 +tp1637 +a(g709 +g989 +tp1638 +a(g439 +Valternative +p1639 +tp1640 +a(g23 +g960 +tp1641 +a(g600 +Vtail +p1642 +tp1643 +a(g709 +g989 +tp1644 +a(g709 +g991 +tp1645 +a(g439 +Vspace +p1646 +tp1647 +a(g416 +g995 +tp1648 +a(g23 +g960 +tp1649 +a(g233 +V'/' +p1650 +tp1651 +a(g23 +g960 +tp1652 +a(g439 +Vspace +p1653 +tp1654 +a(g416 +g995 +tp1655 +a(g23 +g960 +tp1656 +a(g439 +Valternative +p1657 +tp1658 +a(g709 +g1000 +tp1659 +a(g416 +g1040 +tp1660 +a(g23 +g960 +tp1661 +a(g709 +g1314 +tp1662 +a(g632 +VChoice +p1663 +tp1664 +a(g709 +g1318 +tp1665 +a(g23 +g960 +tp1666 +a(g709 +g1022 +tp1667 +a(g7 +V\u000a +p1668 +tp1669 +a(g842 +Vdef +p1670 +tp1671 +a(g7 +g960 +tp1672 +a(g577 +Valternatives +p1673 +tp1674 +a(g7 +V\u000a +p1675 +tp1676 +a(g416 +g1387 +tp1677 +a(g439 +Vhead +p1678 +tp1679 +a(g416 +g1391 +tp1680 +a(g7 +g960 +tp1681 +a(g416 +g1040 +tp1682 +a(g7 +g960 +tp1683 +a(g439 +Vtail +p1684 +tp1685 +a(g7 +V\u000a +p1686 +tp1687 +a(g842 +Vend +p1688 +tp1689 +a(g7 +V\u000a\u000a +p1690 +tp1691 +a(g842 +Vdef +p1692 +tp1693 +a(g7 +g960 +tp1694 +a(g577 +Vtail +p1695 +tp1696 +a(g7 +V\u000a +p1697 +tp1698 +a(g842 +Vsuper +p1699 +tp1700 +a(g416 +g1035 +tp1701 +a(g439 +Velements +p1702 +tp1703 +a(g416 +g1035 +tp1704 +a(g439 +Vmap +p1705 +tp1706 +a(g7 +g960 +tp1707 +a(g709 +g1022 +tp1708 +a(g416 +g1422 +tp1709 +a(g439 +Velt +p1710 +tp1711 +a(g416 +g1422 +tp1712 +a(g7 +g960 +tp1713 +a(g439 +Velt +p1714 +tp1715 +a(g416 +g1035 +tp1716 +a(g439 +Valternative +p1717 +tp1718 +a(g709 +g1070 +tp1719 +a(g7 +V\u000a +p1720 +tp1721 +a(g842 +Vend +p1722 +tp1723 +a(g7 +V\u000a\u000a +p1724 +tp1725 +a(g842 +Vdef +p1726 +tp1727 +a(g7 +g960 +tp1728 +a(g577 +Vinline_modules +p1729 +tp1730 +a(g7 +V\u000a +p1731 +tp1732 +a(g709 +g991 +tp1733 +a(g439 +Valternatives +p1734 +tp1735 +a(g416 +g1035 +tp1736 +a(g439 +Vmap +p1737 +tp1738 +a(g7 +g960 +tp1739 +a(g709 +g1022 +tp1740 +a(g416 +g1422 +tp1741 +a(g439 +Valt +p1742 +tp1743 +a(g416 +g1422 +tp1744 +a(g7 +g960 +tp1745 +a(g439 +Valt +p1746 +tp1747 +a(g416 +g1035 +tp1748 +a(g439 +Vinline_modules +p1749 +tp1750 +a(g7 +g960 +tp1751 +a(g709 +g1070 +tp1752 +a(g709 +g1000 +tp1753 +a(g416 +g1035 +tp1754 +a(g439 +Vflatten +p1755 +tp1756 +a(g7 +V\u000a +p1757 +tp1758 +a(g842 +Vend +p1759 +tp1760 +a(g7 +V\u000a +p1761 +tp1762 +a(g709 +g1070 +tp1763 +a(g23 +V\u000a +p1764 +tp1765 +a(g842 +Vend +p1766 +tp1767 +a(g23 +V\u000a\u000a +p1768 +tp1769 +a(g842 +Vrule +p1770 +tp1771 +a(g23 +g960 +tp1772 +a(g439 +Vsequence +p1773 +tp1774 +a(g23 +V\u000a +p1775 +tp1776 +a(g600 +Vhead +p1777 +tp1778 +a(g709 +g989 +tp1779 +a(g439 +Vlabeled_sequence_primary +p1780 +tp1781 +a(g23 +g960 +tp1782 +a(g600 +Vtail +p1783 +tp1784 +a(g709 +g989 +tp1785 +a(g709 +g991 +tp1786 +a(g439 +Vspace +p1787 +tp1788 +a(g23 +g960 +tp1789 +a(g439 +Vlabeled_sequence_primary +p1790 +tp1791 +a(g709 +g1000 +tp1792 +a(g416 +g1040 +tp1793 +a(g23 +g960 +tp1794 +a(g439 +Vnode_class_declarations +p1795 +tp1796 +a(g23 +g960 +tp1797 +a(g709 +g1314 +tp1798 +a(g632 +VSequence +p1799 +tp1800 +a(g709 +g1318 +tp1801 +a(g23 +g960 +tp1802 +a(g709 +g1022 +tp1803 +a(g7 +V\u000a +p1804 +tp1805 +a(g842 +Vdef +p1806 +tp1807 +a(g7 +g960 +tp1808 +a(g577 +Vsequence_elements +p1809 +tp1810 +a(g7 +V\u000a +p1811 +tp1812 +a(g416 +g1387 +tp1813 +a(g439 +Vhead +p1814 +tp1815 +a(g416 +g1391 +tp1816 +a(g7 +g960 +tp1817 +a(g416 +g1040 +tp1818 +a(g7 +g960 +tp1819 +a(g439 +Vtail +p1820 +tp1821 +a(g7 +V\u000a +p1822 +tp1823 +a(g842 +Vend +p1824 +tp1825 +a(g7 +V\u000a\u000a +p1826 +tp1827 +a(g842 +Vdef +p1828 +tp1829 +a(g7 +g960 +tp1830 +a(g577 +Vtail +p1831 +tp1832 +a(g7 +V\u000a +p1833 +tp1834 +a(g842 +Vsuper +p1835 +tp1836 +a(g416 +g1035 +tp1837 +a(g439 +Velements +p1838 +tp1839 +a(g416 +g1035 +tp1840 +a(g439 +Vmap +p1841 +tp1842 +a(g7 +g960 +tp1843 +a(g709 +g1022 +tp1844 +a(g416 +g1422 +tp1845 +a(g439 +Velt +p1846 +tp1847 +a(g416 +g1422 +tp1848 +a(g7 +g960 +tp1849 +a(g439 +Velt +p1850 +tp1851 +a(g416 +g1035 +tp1852 +a(g439 +Vlabeled_sequence_primary +p1853 +tp1854 +a(g7 +g960 +tp1855 +a(g709 +g1070 +tp1856 +a(g7 +V\u000a +p1857 +tp1858 +a(g842 +Vend +p1859 +tp1860 +a(g7 +V\u000a\u000a +p1861 +tp1862 +a(g842 +Vdef +p1863 +tp1864 +a(g7 +g960 +tp1865 +a(g577 +Vinline_modules +p1866 +tp1867 +a(g7 +V\u000a +p1868 +tp1869 +a(g709 +g991 +tp1870 +a(g439 +Vsequence_elements +p1871 +tp1872 +a(g416 +g1035 +tp1873 +a(g439 +Vmap +p1874 +tp1875 +a(g7 +g960 +tp1876 +a(g709 +g1022 +tp1877 +a(g416 +g1422 +tp1878 +a(g439 +Velt +p1879 +tp1880 +a(g416 +g1422 +tp1881 +a(g7 +g960 +tp1882 +a(g439 +Velt +p1883 +tp1884 +a(g416 +g1035 +tp1885 +a(g439 +Vinline_modules +p1886 +tp1887 +a(g709 +g1070 +tp1888 +a(g709 +g1000 +tp1889 +a(g416 +g1035 +tp1890 +a(g439 +Vflatten +p1891 +tp1892 +a(g7 +g960 +tp1893 +a(g416 +g1040 +tp1894 +a(g7 +V\u000a +p1895 +tp1896 +a(g416 +g1387 +tp1897 +a(g439 +Vsequence_element_accessor_module +p1898 +tp1899 +a(g416 +g1391 +tp1900 +a(g7 +g960 +tp1901 +a(g416 +g1040 +tp1902 +a(g7 +V\u000a +p1903 +tp1904 +a(g439 +Vnode_class_declarations +p1905 +tp1906 +a(g416 +g1035 +tp1907 +a(g439 +Vinline_modules +p1908 +tp1909 +a(g7 +V\u000a +p1910 +tp1911 +a(g842 +Vend +p1912 +tp1913 +a(g7 +V\u000a\u000a +p1914 +tp1915 +a(g842 +Vdef +p1916 +tp1917 +a(g7 +g960 +tp1918 +a(g577 +Vinline_module_name +p1919 +tp1920 +a(g7 +V\u000a +p1921 +tp1922 +a(g439 +Vnode_class_declarations +p1923 +tp1924 +a(g416 +g1035 +tp1925 +a(g439 +Vinline_module_name +p1926 +tp1927 +a(g7 +V\u000a +p1928 +tp1929 +a(g842 +Vend +p1930 +tp1931 +a(g7 +V\u000a +p1932 +tp1933 +a(g709 +g1070 +tp1934 +a(g23 +V\u000a +p1935 +tp1936 +a(g842 +Vend +p1937 +tp1938 +a(g23 +V\u000a\u000a +p1939 +tp1940 +a(g842 +Vrule +p1941 +tp1942 +a(g23 +g960 +tp1943 +a(g439 +Valternative +p1944 +tp1945 +a(g23 +V\u000a +p1946 +tp1947 +a(g439 +Vsequence +p1948 +tp1949 +a(g23 +g960 +tp1950 +a(g416 +g1121 +tp1951 +a(g23 +g960 +tp1952 +a(g439 +Vprimary +p1953 +tp1954 +a(g23 +V\u000a +p1955 +tp1956 +a(g842 +Vend +p1957 +tp1958 +a(g23 +V\u000a\u000a +p1959 +tp1960 +a(g842 +Vrule +p1961 +tp1962 +a(g23 +g960 +tp1963 +a(g439 +Vprimary +p1964 +tp1965 +a(g23 +V\u000a +p1966 +tp1967 +a(g439 +Vprefix +p1968 +tp1969 +a(g23 +g960 +tp1970 +a(g439 +Vatomic +p1971 +tp1972 +a(g23 +g960 +tp1973 +a(g709 +g1022 +tp1974 +a(g7 +V\u000a +p1975 +tp1976 +a(g842 +Vdef +p1977 +tp1978 +a(g7 +g960 +tp1979 +a(g577 +Vcompile +p1980 +tp1981 +a(g709 +g991 +tp1982 +a(g439 +Vaddress +p1983 +tp1984 +a(g709 +V, +p1985 +tp1986 +a(g7 +g960 +tp1987 +a(g439 +Vbuilder +p1988 +tp1989 +a(g709 +g1985 +tp1990 +a(g7 +g960 +tp1991 +a(g439 +Vparent_expression +p1992 +tp1993 +a(g416 +V= +p1994 +tp1995 +a(g883 +Vnil +p1996 +tp1997 +a(g709 +g1000 +tp1998 +a(g7 +V\u000a +p1999 +tp2000 +a(g439 +Vprefix +p2001 +tp2002 +a(g416 +g1035 +tp2003 +a(g439 +Vcompile +p2004 +tp2005 +a(g709 +g991 +tp2006 +a(g439 +Vaddress +p2007 +tp2008 +a(g709 +g1985 +tp2009 +a(g7 +g960 +tp2010 +a(g439 +Vbuilder +p2011 +tp2012 +a(g709 +g1985 +tp2013 +a(g7 +g960 +tp2014 +a(g685 +Vself +p2015 +tp2016 +a(g709 +g1000 +tp2017 +a(g7 +V\u000a +p2018 +tp2019 +a(g842 +Vend +p2020 +tp2021 +a(g7 +V\u000a\u000a +p2022 +tp2023 +a(g842 +Vdef +p2024 +tp2025 +a(g7 +g960 +tp2026 +a(g577 +Vprefixed_expression +p2027 +tp2028 +a(g7 +V\u000a +p2029 +tp2030 +a(g439 +Vatomic +p2031 +tp2032 +a(g7 +V\u000a +p2033 +tp2034 +a(g842 +Vend +p2035 +tp2036 +a(g7 +V\u000a\u000a +p2037 +tp2038 +a(g842 +Vdef +p2039 +tp2040 +a(g7 +g960 +tp2041 +a(g577 +Vinline_modules +p2042 +tp2043 +a(g7 +V\u000a +p2044 +tp2045 +a(g439 +Vatomic +p2046 +tp2047 +a(g416 +g1035 +tp2048 +a(g439 +Vinline_modules +p2049 +tp2050 +a(g7 +V\u000a +p2051 +tp2052 +a(g842 +Vend +p2053 +tp2054 +a(g7 +V\u000a\u000a +p2055 +tp2056 +a(g842 +Vdef +p2057 +tp2058 +a(g7 +g960 +tp2059 +a(g577 +Vinline_module_name +p2060 +tp2061 +a(g7 +V\u000a +p2062 +tp2063 +a(g883 +Vnil +p2064 +tp2065 +a(g7 +V\u000a +p2066 +tp2067 +a(g842 +Vend +p2068 +tp2069 +a(g7 +V\u000a +p2070 +tp2071 +a(g709 +g1070 +tp2072 +a(g23 +V\u000a +p2073 +tp2074 +a(g416 +g1121 +tp2075 +a(g23 +V\u000a +p2076 +tp2077 +a(g439 +Vprefix +p2078 +tp2079 +a(g23 +g960 +tp2080 +a(g439 +Vspace +p2081 +tp2082 +a(g416 +g995 +tp2083 +a(g23 +g960 +tp2084 +a(g439 +Vpredicate_block +p2085 +tp2086 +a(g23 +g960 +tp2087 +a(g709 +g1022 +tp2088 +a(g7 +V\u000a +p2089 +tp2090 +a(g842 +Vdef +p2091 +tp2092 +a(g7 +g960 +tp2093 +a(g577 +Vcompile +p2094 +tp2095 +a(g709 +g991 +tp2096 +a(g439 +Vaddress +p2097 +tp2098 +a(g709 +g1985 +tp2099 +a(g7 +g960 +tp2100 +a(g439 +Vbuilder +p2101 +tp2102 +a(g709 +g1985 +tp2103 +a(g7 +g960 +tp2104 +a(g439 +Vparent_expression +p2105 +tp2106 +a(g416 +g1994 +tp2107 +a(g883 +Vnil +p2108 +tp2109 +a(g709 +g1000 +tp2110 +a(g7 +V\u000a +p2111 +tp2112 +a(g439 +Vprefix +p2113 +tp2114 +a(g416 +g1035 +tp2115 +a(g439 +Vcompile +p2116 +tp2117 +a(g709 +g991 +tp2118 +a(g439 +Vaddress +p2119 +tp2120 +a(g709 +g1985 +tp2121 +a(g7 +g960 +tp2122 +a(g439 +Vbuilder +p2123 +tp2124 +a(g709 +g1985 +tp2125 +a(g7 +g960 +tp2126 +a(g685 +Vself +p2127 +tp2128 +a(g709 +g1000 +tp2129 +a(g7 +V\u000a +p2130 +tp2131 +a(g842 +Vend +p2132 +tp2133 +a(g7 +V\u000a +p2134 +tp2135 +a(g842 +Vdef +p2136 +tp2137 +a(g7 +g960 +tp2138 +a(g577 +Vprefixed_expression +p2139 +tp2140 +a(g7 +V\u000a +p2141 +tp2142 +a(g439 +Vpredicate_block +p2143 +tp2144 +a(g7 +V\u000a +p2145 +tp2146 +a(g842 +Vend +p2147 +tp2148 +a(g7 +V\u000a +p2149 +tp2150 +a(g842 +Vdef +p2151 +tp2152 +a(g7 +g960 +tp2153 +a(g577 +Vinline_modules +p2154 +tp2155 +a(g7 +V\u000a +p2156 +tp2157 +a(g416 +g1387 +tp2158 +a(g416 +g1391 +tp2159 +a(g7 +V\u000a +p2160 +tp2161 +a(g842 +Vend +p2162 +tp2163 +a(g7 +V\u000a +p2164 +tp2165 +a(g709 +g1070 +tp2166 +a(g23 +V\u000a +p2167 +tp2168 +a(g416 +g1121 +tp2169 +a(g23 +V\u000a +p2170 +tp2171 +a(g439 +Vatomic +p2172 +tp2173 +a(g23 +g960 +tp2174 +a(g439 +Vsuffix +p2175 +tp2176 +a(g23 +g960 +tp2177 +a(g439 +Vnode_class_declarations +p2178 +tp2179 +a(g23 +g960 +tp2180 +a(g709 +g1022 +tp2181 +a(g7 +V\u000a +p2182 +tp2183 +a(g842 +Vdef +p2184 +tp2185 +a(g7 +g960 +tp2186 +a(g577 +Vcompile +p2187 +tp2188 +a(g709 +g991 +tp2189 +a(g439 +Vaddress +p2190 +tp2191 +a(g709 +g1985 +tp2192 +a(g7 +g960 +tp2193 +a(g439 +Vbuilder +p2194 +tp2195 +a(g709 +g1985 +tp2196 +a(g7 +g960 +tp2197 +a(g439 +Vparent_expression +p2198 +tp2199 +a(g416 +g1994 +tp2200 +a(g883 +Vnil +p2201 +tp2202 +a(g709 +g1000 +tp2203 +a(g7 +V\u000a +p2204 +tp2205 +a(g439 +Vsuffix +p2206 +tp2207 +a(g416 +g1035 +tp2208 +a(g439 +Vcompile +p2209 +tp2210 +a(g709 +g991 +tp2211 +a(g439 +Vaddress +p2212 +tp2213 +a(g709 +g1985 +tp2214 +a(g7 +g960 +tp2215 +a(g439 +Vbuilder +p2216 +tp2217 +a(g709 +g1985 +tp2218 +a(g7 +g960 +tp2219 +a(g685 +Vself +p2220 +tp2221 +a(g709 +g1000 +tp2222 +a(g7 +V\u000a +p2223 +tp2224 +a(g842 +Vend +p2225 +tp2226 +a(g7 +V\u000a\u000a +p2227 +tp2228 +a(g842 +Vdef +p2229 +tp2230 +a(g7 +g960 +tp2231 +a(g577 +Voptional_expression +p2232 +tp2233 +a(g7 +V\u000a +p2234 +tp2235 +a(g439 +Vatomic +p2236 +tp2237 +a(g7 +V\u000a +p2238 +tp2239 +a(g842 +Vend +p2240 +tp2241 +a(g7 +V\u000a\u000a +p2242 +tp2243 +a(g842 +Vdef +p2244 +tp2245 +a(g7 +g960 +tp2246 +a(g577 +Vnode_class_name +p2247 +tp2248 +a(g7 +V\u000a +p2249 +tp2250 +a(g439 +Vnode_class_declarations +p2251 +tp2252 +a(g416 +g1035 +tp2253 +a(g439 +Vnode_class_name +p2254 +tp2255 +a(g7 +V\u000a +p2256 +tp2257 +a(g842 +Vend +p2258 +tp2259 +a(g7 +V\u000a\u000a +p2260 +tp2261 +a(g842 +Vdef +p2262 +tp2263 +a(g7 +g960 +tp2264 +a(g577 +Vinline_modules +p2265 +tp2266 +a(g7 +V\u000a +p2267 +tp2268 +a(g439 +Vatomic +p2269 +tp2270 +a(g416 +g1035 +tp2271 +a(g439 +Vinline_modules +p2272 +tp2273 +a(g7 +g960 +tp2274 +a(g416 +g1040 +tp2275 +a(g7 +g960 +tp2276 +a(g439 +Vnode_class_declarations +p2277 +tp2278 +a(g416 +g1035 +tp2279 +a(g439 +Vinline_modules +p2280 +tp2281 +a(g7 +V\u000a +p2282 +tp2283 +a(g842 +Vend +p2284 +tp2285 +a(g7 +V\u000a\u000a +p2286 +tp2287 +a(g842 +Vdef +p2288 +tp2289 +a(g7 +g960 +tp2290 +a(g577 +Vinline_module_name +p2291 +tp2292 +a(g7 +V\u000a +p2293 +tp2294 +a(g439 +Vnode_class_declarations +p2295 +tp2296 +a(g416 +g1035 +tp2297 +a(g439 +Vinline_module_name +p2298 +tp2299 +a(g7 +V\u000a +p2300 +tp2301 +a(g842 +Vend +p2302 +tp2303 +a(g7 +V\u000a +p2304 +tp2305 +a(g709 +g1070 +tp2306 +a(g23 +V\u000a +p2307 +tp2308 +a(g416 +g1121 +tp2309 +a(g23 +V\u000a +p2310 +tp2311 +a(g439 +Vatomic +p2312 +tp2313 +a(g23 +g960 +tp2314 +a(g439 +Vnode_class_declarations +p2315 +tp2316 +a(g23 +g960 +tp2317 +a(g709 +g1022 +tp2318 +a(g7 +V\u000a +p2319 +tp2320 +a(g842 +Vdef +p2321 +tp2322 +a(g7 +g960 +tp2323 +a(g577 +Vcompile +p2324 +tp2325 +a(g709 +g991 +tp2326 +a(g439 +Vaddress +p2327 +tp2328 +a(g709 +g1985 +tp2329 +a(g7 +g960 +tp2330 +a(g439 +Vbuilder +p2331 +tp2332 +a(g709 +g1985 +tp2333 +a(g7 +g960 +tp2334 +a(g439 +Vparent_expression +p2335 +tp2336 +a(g416 +g1994 +tp2337 +a(g883 +Vnil +p2338 +tp2339 +a(g709 +g1000 +tp2340 +a(g7 +V\u000a +p2341 +tp2342 +a(g439 +Vatomic +p2343 +tp2344 +a(g416 +g1035 +tp2345 +a(g439 +Vcompile +p2346 +tp2347 +a(g709 +g991 +tp2348 +a(g439 +Vaddress +p2349 +tp2350 +a(g709 +g1985 +tp2351 +a(g7 +g960 +tp2352 +a(g439 +Vbuilder +p2353 +tp2354 +a(g709 +g1985 +tp2355 +a(g7 +g960 +tp2356 +a(g685 +Vself +p2357 +tp2358 +a(g709 +g1000 +tp2359 +a(g7 +V\u000a +p2360 +tp2361 +a(g842 +Vend +p2362 +tp2363 +a(g7 +V\u000a\u000a +p2364 +tp2365 +a(g842 +Vdef +p2366 +tp2367 +a(g7 +g960 +tp2368 +a(g577 +Vnode_class_name +p2369 +tp2370 +a(g7 +V\u000a +p2371 +tp2372 +a(g439 +Vnode_class_declarations +p2373 +tp2374 +a(g416 +g1035 +tp2375 +a(g439 +Vnode_class_name +p2376 +tp2377 +a(g7 +V\u000a +p2378 +tp2379 +a(g842 +Vend +p2380 +tp2381 +a(g7 +V\u000a\u000a +p2382 +tp2383 +a(g842 +Vdef +p2384 +tp2385 +a(g7 +g960 +tp2386 +a(g577 +Vinline_modules +p2387 +tp2388 +a(g7 +V\u000a +p2389 +tp2390 +a(g439 +Vatomic +p2391 +tp2392 +a(g416 +g1035 +tp2393 +a(g439 +Vinline_modules +p2394 +tp2395 +a(g7 +g960 +tp2396 +a(g416 +g1040 +tp2397 +a(g7 +g960 +tp2398 +a(g439 +Vnode_class_declarations +p2399 +tp2400 +a(g416 +g1035 +tp2401 +a(g439 +Vinline_modules +p2402 +tp2403 +a(g7 +V\u000a +p2404 +tp2405 +a(g842 +Vend +p2406 +tp2407 +a(g7 +V\u000a\u000a +p2408 +tp2409 +a(g842 +Vdef +p2410 +tp2411 +a(g7 +g960 +tp2412 +a(g577 +Vinline_module_name +p2413 +tp2414 +a(g7 +V\u000a +p2415 +tp2416 +a(g439 +Vnode_class_declarations +p2417 +tp2418 +a(g416 +g1035 +tp2419 +a(g439 +Vinline_module_name +p2420 +tp2421 +a(g7 +V\u000a +p2422 +tp2423 +a(g842 +Vend +p2424 +tp2425 +a(g7 +V\u000a +p2426 +tp2427 +a(g709 +g1070 +tp2428 +a(g23 +V\u000a +p2429 +tp2430 +a(g842 +Vend +p2431 +tp2432 +a(g23 +V\u000a\u000a +p2433 +tp2434 +a(g842 +Vrule +p2435 +tp2436 +a(g23 +g960 +tp2437 +a(g439 +Vlabeled_sequence_primary +p2438 +tp2439 +a(g23 +V\u000a +p2440 +tp2441 +a(g439 +Vlabel +p2442 +tp2443 +a(g23 +g960 +tp2444 +a(g439 +Vsequence_primary +p2445 +tp2446 +a(g23 +g960 +tp2447 +a(g709 +g1022 +tp2448 +a(g7 +V\u000a +p2449 +tp2450 +a(g842 +Vdef +p2451 +tp2452 +a(g7 +g960 +tp2453 +a(g577 +Vcompile +p2454 +tp2455 +a(g709 +g991 +tp2456 +a(g439 +Vlexical_address +p2457 +tp2458 +a(g709 +g1985 +tp2459 +a(g7 +g960 +tp2460 +a(g439 +Vbuilder +p2461 +tp2462 +a(g709 +g1000 +tp2463 +a(g7 +V\u000a +p2464 +tp2465 +a(g439 +Vsequence_primary +p2466 +tp2467 +a(g416 +g1035 +tp2468 +a(g439 +Vcompile +p2469 +tp2470 +a(g709 +g991 +tp2471 +a(g439 +Vlexical_address +p2472 +tp2473 +a(g709 +g1985 +tp2474 +a(g7 +g960 +tp2475 +a(g439 +Vbuilder +p2476 +tp2477 +a(g709 +g1000 +tp2478 +a(g7 +V\u000a +p2479 +tp2480 +a(g842 +Vend +p2481 +tp2482 +a(g7 +V\u000a\u000a +p2483 +tp2484 +a(g842 +Vdef +p2485 +tp2486 +a(g7 +g960 +tp2487 +a(g577 +Vinline_modules +p2488 +tp2489 +a(g7 +V\u000a +p2490 +tp2491 +a(g439 +Vsequence_primary +p2492 +tp2493 +a(g416 +g1035 +tp2494 +a(g439 +Vinline_modules +p2495 +tp2496 +a(g7 +V\u000a +p2497 +tp2498 +a(g842 +Vend +p2499 +tp2500 +a(g7 +V\u000a\u000a +p2501 +tp2502 +a(g842 +Vdef +p2503 +tp2504 +a(g7 +g960 +tp2505 +a(g577 +Vlabel_name +p2506 +tp2507 +a(g7 +V\u000a +p2508 +tp2509 +a(g842 +Vif +p2510 +tp2511 +a(g7 +g960 +tp2512 +a(g439 +Vlabel +p2513 +tp2514 +a(g416 +g1035 +tp2515 +a(g439 +Vname +p2516 +tp2517 +a(g7 +V\u000a +p2518 +tp2519 +a(g439 +Vlabel +p2520 +tp2521 +a(g416 +g1035 +tp2522 +a(g439 +Vname +p2523 +tp2524 +a(g7 +V\u000a +p2525 +tp2526 +a(g842 +Velsif +p2527 +tp2528 +a(g7 +g960 +tp2529 +a(g439 +Vsequence_primary +p2530 +tp2531 +a(g416 +g1035 +tp2532 +a(g439 +Vinstance_of? +p2533 +tp2534 +a(g709 +g991 +tp2535 +a(g569 +VNonterminal +p2536 +tp2537 +a(g709 +g1000 +tp2538 +a(g7 +V\u000a +p2539 +tp2540 +a(g439 +Vsequence_primary +p2541 +tp2542 +a(g416 +g1035 +tp2543 +a(g439 +Vtext_value +p2544 +tp2545 +a(g7 +V\u000a +p2546 +tp2547 +a(g842 +Velse +p2548 +tp2549 +a(g7 +V\u000a +p2550 +tp2551 +a(g883 +Vnil +p2552 +tp2553 +a(g7 +V\u000a +p2554 +tp2555 +a(g842 +Vend +p2556 +tp2557 +a(g7 +V\u000a +p2558 +tp2559 +a(g842 +Vend +p2560 +tp2561 +a(g7 +V\u000a +p2562 +tp2563 +a(g709 +g1070 +tp2564 +a(g23 +V\u000a +p2565 +tp2566 +a(g842 +Vend +p2567 +tp2568 +a(g23 +V\u000a\u000a +p2569 +tp2570 +a(g842 +Vrule +p2571 +tp2572 +a(g23 +g960 +tp2573 +a(g439 +Vlabel +p2574 +tp2575 +a(g23 +V\u000a +p2576 +tp2577 +a(g709 +g991 +tp2578 +a(g439 +Valpha_char +p2579 +tp2580 +a(g23 +g960 +tp2581 +a(g439 +Valphanumeric_char +p2582 +tp2583 +a(g416 +g1002 +tp2584 +a(g709 +g1000 +tp2585 +a(g23 +g960 +tp2586 +a(g233 +V':' +p2587 +tp2588 +a(g23 +g960 +tp2589 +a(g709 +g1022 +tp2590 +a(g7 +V\u000a +p2591 +tp2592 +a(g842 +Vdef +p2593 +tp2594 +a(g7 +g960 +tp2595 +a(g577 +Vname +p2596 +tp2597 +a(g7 +V\u000a +p2598 +tp2599 +a(g439 +Velements +p2600 +tp2601 +a(g416 +g1387 +tp2602 +a(g40 +V0 +p2603 +tp2604 +a(g416 +g1391 +tp2605 +a(g416 +g1035 +tp2606 +a(g439 +Vtext_value +p2607 +tp2608 +a(g7 +V\u000a +p2609 +tp2610 +a(g842 +Vend +p2611 +tp2612 +a(g7 +V\u000a +p2613 +tp2614 +a(g709 +g1070 +tp2615 +a(g23 +V\u000a +p2616 +tp2617 +a(g416 +g1121 +tp2618 +a(g23 +V\u000a +p2619 +tp2620 +a(g233 +V'' +p2621 +tp2622 +a(g23 +g960 +tp2623 +a(g709 +g1022 +tp2624 +a(g7 +V\u000a +p2625 +tp2626 +a(g842 +Vdef +p2627 +tp2628 +a(g7 +g960 +tp2629 +a(g577 +Vname +p2630 +tp2631 +a(g7 +V\u000a +p2632 +tp2633 +a(g883 +Vnil +p2634 +tp2635 +a(g7 +V\u000a +p2636 +tp2637 +a(g842 +Vend +p2638 +tp2639 +a(g7 +V\u000a +p2640 +tp2641 +a(g709 +g1070 +tp2642 +a(g23 +V\u000a +p2643 +tp2644 +a(g842 +Vend +p2645 +tp2646 +a(g23 +V\u000a\u000a +p2647 +tp2648 +a(g842 +Vrule +p2649 +tp2650 +a(g23 +g960 +tp2651 +a(g439 +Vsequence_primary +p2652 +tp2653 +a(g23 +V\u000a +p2654 +tp2655 +a(g439 +Vprefix +p2656 +tp2657 +a(g23 +g960 +tp2658 +a(g439 +Vatomic +p2659 +tp2660 +a(g23 +g960 +tp2661 +a(g709 +g1022 +tp2662 +a(g7 +V\u000a +p2663 +tp2664 +a(g842 +Vdef +p2665 +tp2666 +a(g7 +g960 +tp2667 +a(g577 +Vcompile +p2668 +tp2669 +a(g709 +g991 +tp2670 +a(g439 +Vlexical_address +p2671 +tp2672 +a(g709 +g1985 +tp2673 +a(g7 +g960 +tp2674 +a(g439 +Vbuilder +p2675 +tp2676 +a(g709 +g1000 +tp2677 +a(g7 +V\u000a +p2678 +tp2679 +a(g439 +Vprefix +p2680 +tp2681 +a(g416 +g1035 +tp2682 +a(g439 +Vcompile +p2683 +tp2684 +a(g709 +g991 +tp2685 +a(g439 +Vlexical_address +p2686 +tp2687 +a(g709 +g1985 +tp2688 +a(g7 +g960 +tp2689 +a(g439 +Vbuilder +p2690 +tp2691 +a(g709 +g1985 +tp2692 +a(g7 +g960 +tp2693 +a(g685 +Vself +p2694 +tp2695 +a(g709 +g1000 +tp2696 +a(g7 +V\u000a +p2697 +tp2698 +a(g842 +Vend +p2699 +tp2700 +a(g7 +V\u000a\u000a +p2701 +tp2702 +a(g842 +Vdef +p2703 +tp2704 +a(g7 +g960 +tp2705 +a(g577 +Vprefixed_expression +p2706 +tp2707 +a(g7 +V\u000a +p2708 +tp2709 +a(g439 +Velements +p2710 +tp2711 +a(g416 +g1387 +tp2712 +a(g40 +V1 +p2713 +tp2714 +a(g416 +g1391 +tp2715 +a(g7 +V\u000a +p2716 +tp2717 +a(g842 +Vend +p2718 +tp2719 +a(g7 +V\u000a\u000a +p2720 +tp2721 +a(g842 +Vdef +p2722 +tp2723 +a(g7 +g960 +tp2724 +a(g577 +Vinline_modules +p2725 +tp2726 +a(g7 +V\u000a +p2727 +tp2728 +a(g439 +Vatomic +p2729 +tp2730 +a(g416 +g1035 +tp2731 +a(g439 +Vinline_modules +p2732 +tp2733 +a(g7 +V\u000a +p2734 +tp2735 +a(g842 +Vend +p2736 +tp2737 +a(g7 +V\u000a\u000a +p2738 +tp2739 +a(g842 +Vdef +p2740 +tp2741 +a(g7 +g960 +tp2742 +a(g577 +Vinline_module_name +p2743 +tp2744 +a(g7 +V\u000a +p2745 +tp2746 +a(g883 +Vnil +p2747 +tp2748 +a(g7 +V\u000a +p2749 +tp2750 +a(g842 +Vend +p2751 +tp2752 +a(g7 +V\u000a +p2753 +tp2754 +a(g709 +g1070 +tp2755 +a(g23 +V\u000a +p2756 +tp2757 +a(g416 +g1121 +tp2758 +a(g23 +V\u000a +p2759 +tp2760 +a(g439 +Vprefix +p2761 +tp2762 +a(g23 +g960 +tp2763 +a(g439 +Vspace +p2764 +tp2765 +a(g416 +g995 +tp2766 +a(g23 +g960 +tp2767 +a(g439 +Vpredicate_block +p2768 +tp2769 +a(g23 +g960 +tp2770 +a(g709 +g1022 +tp2771 +a(g7 +V\u000a +p2772 +tp2773 +a(g842 +Vdef +p2774 +tp2775 +a(g7 +g960 +tp2776 +a(g577 +Vcompile +p2777 +tp2778 +a(g709 +g991 +tp2779 +a(g439 +Vaddress +p2780 +tp2781 +a(g709 +g1985 +tp2782 +a(g7 +g960 +tp2783 +a(g439 +Vbuilder +p2784 +tp2785 +a(g709 +g1985 +tp2786 +a(g7 +g960 +tp2787 +a(g439 +Vparent_expression +p2788 +tp2789 +a(g416 +g1994 +tp2790 +a(g883 +Vnil +p2791 +tp2792 +a(g709 +g1000 +tp2793 +a(g7 +V\u000a +p2794 +tp2795 +a(g439 +Vprefix +p2796 +tp2797 +a(g416 +g1035 +tp2798 +a(g439 +Vcompile +p2799 +tp2800 +a(g709 +g991 +tp2801 +a(g439 +Vaddress +p2802 +tp2803 +a(g709 +g1985 +tp2804 +a(g7 +g960 +tp2805 +a(g439 +Vbuilder +p2806 +tp2807 +a(g709 +g1985 +tp2808 +a(g7 +g960 +tp2809 +a(g685 +Vself +p2810 +tp2811 +a(g709 +g1000 +tp2812 +a(g7 +V\u000a +p2813 +tp2814 +a(g842 +Vend +p2815 +tp2816 +a(g7 +V\u000a +p2817 +tp2818 +a(g842 +Vdef +p2819 +tp2820 +a(g7 +g960 +tp2821 +a(g577 +Vprefixed_expression +p2822 +tp2823 +a(g7 +V\u000a +p2824 +tp2825 +a(g439 +Vpredicate_block +p2826 +tp2827 +a(g7 +V\u000a +p2828 +tp2829 +a(g842 +Vend +p2830 +tp2831 +a(g7 +V\u000a +p2832 +tp2833 +a(g842 +Vdef +p2834 +tp2835 +a(g7 +g960 +tp2836 +a(g577 +Vinline_modules +p2837 +tp2838 +a(g7 +V\u000a +p2839 +tp2840 +a(g416 +g1387 +tp2841 +a(g416 +g1391 +tp2842 +a(g7 +V\u000a +p2843 +tp2844 +a(g842 +Vend +p2845 +tp2846 +a(g7 +V\u000a +p2847 +tp2848 +a(g709 +g1070 +tp2849 +a(g23 +V\u000a +p2850 +tp2851 +a(g416 +g1121 +tp2852 +a(g23 +V\u000a +p2853 +tp2854 +a(g439 +Vatomic +p2855 +tp2856 +a(g23 +g960 +tp2857 +a(g439 +Vsuffix +p2858 +tp2859 +a(g23 +g960 +tp2860 +a(g709 +g1022 +tp2861 +a(g7 +V\u000a +p2862 +tp2863 +a(g842 +Vdef +p2864 +tp2865 +a(g7 +g960 +tp2866 +a(g577 +Vcompile +p2867 +tp2868 +a(g709 +g991 +tp2869 +a(g439 +Vlexical_address +p2870 +tp2871 +a(g709 +g1985 +tp2872 +a(g7 +g960 +tp2873 +a(g439 +Vbuilder +p2874 +tp2875 +a(g709 +g1000 +tp2876 +a(g7 +V\u000a +p2877 +tp2878 +a(g439 +Vsuffix +p2879 +tp2880 +a(g416 +g1035 +tp2881 +a(g439 +Vcompile +p2882 +tp2883 +a(g709 +g991 +tp2884 +a(g439 +Vlexical_address +p2885 +tp2886 +a(g709 +g1985 +tp2887 +a(g7 +g960 +tp2888 +a(g439 +Vbuilder +p2889 +tp2890 +a(g709 +g1985 +tp2891 +a(g7 +g960 +tp2892 +a(g685 +Vself +p2893 +tp2894 +a(g709 +g1000 +tp2895 +a(g7 +V\u000a +p2896 +tp2897 +a(g842 +Vend +p2898 +tp2899 +a(g7 +V\u000a\u000a +p2900 +tp2901 +a(g842 +Vdef +p2902 +tp2903 +a(g7 +g960 +tp2904 +a(g577 +Vnode_class_name +p2905 +tp2906 +a(g7 +V\u000a +p2907 +tp2908 +a(g883 +Vnil +p2909 +tp2910 +a(g7 +V\u000a +p2911 +tp2912 +a(g842 +Vend +p2913 +tp2914 +a(g7 +V\u000a\u000a +p2915 +tp2916 +a(g842 +Vdef +p2917 +tp2918 +a(g7 +g960 +tp2919 +a(g577 +Vinline_modules +p2920 +tp2921 +a(g7 +V\u000a +p2922 +tp2923 +a(g439 +Vatomic +p2924 +tp2925 +a(g416 +g1035 +tp2926 +a(g439 +Vinline_modules +p2927 +tp2928 +a(g7 +V\u000a +p2929 +tp2930 +a(g842 +Vend +p2931 +tp2932 +a(g7 +V\u000a\u000a +p2933 +tp2934 +a(g842 +Vdef +p2935 +tp2936 +a(g7 +g960 +tp2937 +a(g577 +Vinline_module_name +p2938 +tp2939 +a(g7 +V\u000a +p2940 +tp2941 +a(g883 +Vnil +p2942 +tp2943 +a(g7 +V\u000a +p2944 +tp2945 +a(g842 +Vend +p2946 +tp2947 +a(g7 +V\u000a +p2948 +tp2949 +a(g709 +g1070 +tp2950 +a(g23 +V\u000a +p2951 +tp2952 +a(g416 +g1121 +tp2953 +a(g23 +V\u000a +p2954 +tp2955 +a(g439 +Vatomic +p2956 +tp2957 +a(g23 +V\u000a +p2958 +tp2959 +a(g842 +Vend +p2960 +tp2961 +a(g23 +V\u000a\u000a +p2962 +tp2963 +a(g842 +Vrule +p2964 +tp2965 +a(g23 +g960 +tp2966 +a(g439 +Vsuffix +p2967 +tp2968 +a(g23 +V\u000a +p2969 +tp2970 +a(g439 +Vrepetition_suffix +p2971 +tp2972 +a(g23 +g960 +tp2973 +a(g416 +g1121 +tp2974 +a(g23 +g960 +tp2975 +a(g439 +Voptional_suffix +p2976 +tp2977 +a(g23 +V\u000a +p2978 +tp2979 +a(g842 +Vend +p2980 +tp2981 +a(g23 +V\u000a\u000a +p2982 +tp2983 +a(g842 +Vrule +p2984 +tp2985 +a(g23 +g960 +tp2986 +a(g439 +Voptional_suffix +p2987 +tp2988 +a(g23 +V\u000a +p2989 +tp2990 +a(g233 +V'?' +p2991 +tp2992 +a(g23 +g960 +tp2993 +a(g709 +g1314 +tp2994 +a(g632 +VOptional +p2995 +tp2996 +a(g709 +g1318 +tp2997 +a(g23 +V\u000a +p2998 +tp2999 +a(g842 +Vend +p3000 +tp3001 +a(g23 +V\u000a\u000a +p3002 +tp3003 +a(g842 +Vrule +p3004 +tp3005 +a(g23 +g960 +tp3006 +a(g439 +Vnode_class_declarations +p3007 +tp3008 +a(g23 +V\u000a +p3009 +tp3010 +a(g439 +Vnode_class_expression +p3011 +tp3012 +a(g23 +g960 +tp3013 +a(g439 +Vtrailing_inline_module +p3014 +tp3015 +a(g23 +g960 +tp3016 +a(g709 +g1022 +tp3017 +a(g7 +V\u000a +p3018 +tp3019 +a(g842 +Vdef +p3020 +tp3021 +a(g7 +g960 +tp3022 +a(g577 +Vnode_class_name +p3023 +tp3024 +a(g7 +V\u000a +p3025 +tp3026 +a(g439 +Vnode_class_expression +p3027 +tp3028 +a(g416 +g1035 +tp3029 +a(g439 +Vnode_class_name +p3030 +tp3031 +a(g7 +V\u000a +p3032 +tp3033 +a(g842 +Vend +p3034 +tp3035 +a(g7 +V\u000a\u000a +p3036 +tp3037 +a(g842 +Vdef +p3038 +tp3039 +a(g7 +g960 +tp3040 +a(g577 +Vinline_modules +p3041 +tp3042 +a(g7 +V\u000a +p3043 +tp3044 +a(g439 +Vtrailing_inline_module +p3045 +tp3046 +a(g416 +g1035 +tp3047 +a(g439 +Vinline_modules +p3048 +tp3049 +a(g7 +V\u000a +p3050 +tp3051 +a(g842 +Vend +p3052 +tp3053 +a(g7 +V\u000a\u000a +p3054 +tp3055 +a(g842 +Vdef +p3056 +tp3057 +a(g7 +g960 +tp3058 +a(g577 +Vinline_module +p3059 +tp3060 +a(g7 +V\u000a +p3061 +tp3062 +a(g439 +Vtrailing_inline_module +p3063 +tp3064 +a(g416 +g1035 +tp3065 +a(g439 +Vinline_module +p3066 +tp3067 +a(g7 +V\u000a +p3068 +tp3069 +a(g842 +Vend +p3070 +tp3071 +a(g7 +V\u000a\u000a +p3072 +tp3073 +a(g842 +Vdef +p3074 +tp3075 +a(g7 +g960 +tp3076 +a(g577 +Vinline_module_name +p3077 +tp3078 +a(g7 +V\u000a +p3079 +tp3080 +a(g439 +Vinline_module +p3081 +tp3082 +a(g416 +g1035 +tp3083 +a(g439 +Vmodule_name +p3084 +tp3085 +a(g7 +g960 +tp3086 +a(g842 +Vif +p3087 +tp3088 +a(g7 +g960 +tp3089 +a(g439 +Vinline_module +p3090 +tp3091 +a(g7 +V\u000a +p3092 +tp3093 +a(g842 +Vend +p3094 +tp3095 +a(g7 +V\u000a +p3096 +tp3097 +a(g709 +g1070 +tp3098 +a(g23 +V\u000a +p3099 +tp3100 +a(g842 +Vend +p3101 +tp3102 +a(g23 +V\u000a\u000a +p3103 +tp3104 +a(g842 +Vrule +p3105 +tp3106 +a(g23 +g960 +tp3107 +a(g439 +Vrepetition_suffix +p3108 +tp3109 +a(g23 +V\u000a +p3110 +tp3111 +a(g233 +V'+' +p3112 +tp3113 +a(g23 +g960 +tp3114 +a(g709 +g1314 +tp3115 +a(g632 +VOneOrMore +p3116 +tp3117 +a(g709 +g1318 +tp3118 +a(g23 +g960 +tp3119 +a(g416 +g1121 +tp3120 +a(g23 +g960 +tp3121 +a(g233 +V'*' +p3122 +tp3123 +a(g23 +g960 +tp3124 +a(g709 +g1314 +tp3125 +a(g632 +VZeroOrMore +p3126 +tp3127 +a(g709 +g1318 +tp3128 +a(g23 +g960 +tp3129 +a(g416 +g1121 +tp3130 +a(g23 +g960 +tp3131 +a(g439 +Voccurrence_range +p3132 +tp3133 +a(g23 +V\u000a +p3134 +tp3135 +a(g842 +Vend +p3136 +tp3137 +a(g23 +V\u000a\u000a +p3138 +tp3139 +a(g842 +Vrule +p3140 +tp3141 +a(g23 +g960 +tp3142 +a(g439 +Voccurrence_range +p3143 +tp3144 +a(g23 +V\u000a +p3145 +tp3146 +a(g439 +Vspace +p3147 +tp3148 +a(g416 +g995 +tp3149 +a(g23 +g960 +tp3150 +a(g600 +Vmin +p3151 +tp3152 +a(g709 +g989 +tp3153 +a(g709 +g991 +tp3154 +a(g200 +V[0-9] +p3155 +tp3156 +a(g709 +g1000 +tp3157 +a(g416 +g1002 +tp3158 +a(g23 +g960 +tp3159 +a(g233 +V'..' +p3160 +tp3161 +a(g23 +g960 +tp3162 +a(g600 +Vmax +p3163 +tp3164 +a(g709 +g989 +tp3165 +a(g709 +g991 +tp3166 +a(g200 +V[0-9] +p3167 +tp3168 +a(g709 +g1000 +tp3169 +a(g416 +g1002 +tp3170 +a(g23 +g960 +tp3171 +a(g709 +g1314 +tp3172 +a(g632 +VOccurrenceRange +p3173 +tp3174 +a(g709 +g1318 +tp3175 +a(g23 +V\u000a +p3176 +tp3177 +a(g842 +Vend +p3178 +tp3179 +a(g23 +V\u000a\u000a +p3180 +tp3181 +a(g842 +Vrule +p3182 +tp3183 +a(g23 +g960 +tp3184 +a(g439 +Vprefix +p3185 +tp3186 +a(g23 +V\u000a +p3187 +tp3188 +a(g233 +V'&' +p3189 +tp3190 +a(g23 +g960 +tp3191 +a(g709 +g1314 +tp3192 +a(g632 +VAndPredicate +p3193 +tp3194 +a(g709 +g1318 +tp3195 +a(g23 +g960 +tp3196 +a(g416 +g1121 +tp3197 +a(g23 +g960 +tp3198 +a(g233 +V'!' +p3199 +tp3200 +a(g23 +g960 +tp3201 +a(g709 +g1314 +tp3202 +a(g632 +VNotPredicate +p3203 +tp3204 +a(g709 +g1318 +tp3205 +a(g23 +g960 +tp3206 +a(g416 +g1121 +tp3207 +a(g23 +g960 +tp3208 +a(g233 +V'~' +p3209 +tp3210 +a(g23 +g960 +tp3211 +a(g709 +g1314 +tp3212 +a(g632 +VTransientPrefix +p3213 +tp3214 +a(g709 +g1318 +tp3215 +a(g23 +V\u000a +p3216 +tp3217 +a(g842 +Vend +p3218 +tp3219 +a(g23 +V\u000a\u000a +p3220 +tp3221 +a(g842 +Vrule +p3222 +tp3223 +a(g23 +g960 +tp3224 +a(g439 +Vatomic +p3225 +tp3226 +a(g23 +V\u000a +p3227 +tp3228 +a(g439 +Vterminal +p3229 +tp3230 +a(g23 +V\u000a +p3231 +tp3232 +a(g416 +g1121 +tp3233 +a(g23 +V\u000a +p3234 +tp3235 +a(g439 +Vnonterminal +p3236 +tp3237 +a(g23 +V\u000a +p3238 +tp3239 +a(g416 +g1121 +tp3240 +a(g23 +V\u000a +p3241 +tp3242 +a(g439 +Vparenthesized_expression +p3243 +tp3244 +a(g23 +V\u000a +p3245 +tp3246 +a(g842 +Vend +p3247 +tp3248 +a(g23 +V\u000a\u000a +p3249 +tp3250 +a(g842 +Vrule +p3251 +tp3252 +a(g23 +g960 +tp3253 +a(g439 +Vparenthesized_expression +p3254 +tp3255 +a(g23 +V\u000a +p3256 +tp3257 +a(g233 +V'(' +p3258 +tp3259 +a(g23 +g960 +tp3260 +a(g439 +Vspace +p3261 +tp3262 +a(g416 +g995 +tp3263 +a(g23 +g960 +tp3264 +a(g439 +Vparsing_expression +p3265 +tp3266 +a(g23 +g960 +tp3267 +a(g439 +Vspace +p3268 +tp3269 +a(g416 +g995 +tp3270 +a(g23 +g960 +tp3271 +a(g233 +V')' +p3272 +tp3273 +a(g23 +g960 +tp3274 +a(g709 +g1314 +tp3275 +a(g632 +VParenthesizedExpression +p3276 +tp3277 +a(g709 +g1318 +tp3278 +a(g23 +g960 +tp3279 +a(g709 +g1022 +tp3280 +a(g7 +V\u000a +p3281 +tp3282 +a(g842 +Vdef +p3283 +tp3284 +a(g7 +g960 +tp3285 +a(g577 +Vinline_modules +p3286 +tp3287 +a(g7 +V\u000a +p3288 +tp3289 +a(g439 +Vparsing_expression +p3290 +tp3291 +a(g416 +g1035 +tp3292 +a(g439 +Vinline_modules +p3293 +tp3294 +a(g7 +V\u000a +p3295 +tp3296 +a(g842 +Vend +p3297 +tp3298 +a(g7 +V\u000a +p3299 +tp3300 +a(g709 +g1070 +tp3301 +a(g23 +V\u000a +p3302 +tp3303 +a(g842 +Vend +p3304 +tp3305 +a(g23 +V\u000a\u000a +p3306 +tp3307 +a(g842 +Vrule +p3308 +tp3309 +a(g23 +g960 +tp3310 +a(g439 +Vnonterminal +p3311 +tp3312 +a(g23 +V\u000a +p3313 +tp3314 +a(g416 +V! +p3315 +tp3316 +a(g439 +Vkeyword_inside_grammar +p3317 +tp3318 +a(g23 +g960 +tp3319 +a(g709 +g991 +tp3320 +a(g439 +Valpha_char +p3321 +tp3322 +a(g23 +g960 +tp3323 +a(g439 +Valphanumeric_char +p3324 +tp3325 +a(g416 +g1002 +tp3326 +a(g709 +g1000 +tp3327 +a(g23 +g960 +tp3328 +a(g709 +g1314 +tp3329 +a(g632 +VNonterminal +p3330 +tp3331 +a(g709 +g1318 +tp3332 +a(g23 +V\u000a +p3333 +tp3334 +a(g842 +Vend +p3335 +tp3336 +a(g23 +V\u000a\u000a +p3337 +tp3338 +a(g842 +Vrule +p3339 +tp3340 +a(g23 +g960 +tp3341 +a(g439 +Vterminal +p3342 +tp3343 +a(g23 +V\u000a +p3344 +tp3345 +a(g439 +Vquoted_string +p3346 +tp3347 +a(g23 +g960 +tp3348 +a(g416 +g1121 +tp3349 +a(g23 +g960 +tp3350 +a(g439 +Vcharacter_class +p3351 +tp3352 +a(g23 +g960 +tp3353 +a(g416 +g1121 +tp3354 +a(g23 +g960 +tp3355 +a(g439 +Vanything_symbol +p3356 +tp3357 +a(g23 +V\u000a +p3358 +tp3359 +a(g842 +Vend +p3360 +tp3361 +a(g23 +V\u000a\u000a +p3362 +tp3363 +a(g842 +Vrule +p3364 +tp3365 +a(g23 +g960 +tp3366 +a(g439 +Vquoted_string +p3367 +tp3368 +a(g23 +V\u000a +p3369 +tp3370 +a(g709 +g991 +tp3371 +a(g439 +Vsingle_quoted_string +p3372 +tp3373 +a(g23 +g960 +tp3374 +a(g416 +g1121 +tp3375 +a(g23 +g960 +tp3376 +a(g439 +Vdouble_quoted_string +p3377 +tp3378 +a(g709 +g1000 +tp3379 +a(g23 +g960 +tp3380 +a(g709 +g1022 +tp3381 +a(g7 +V\u000a +p3382 +tp3383 +a(g842 +Vdef +p3384 +tp3385 +a(g7 +g960 +tp3386 +a(g577 +Vstring +p3387 +tp3388 +a(g7 +V\u000a +p3389 +tp3390 +a(g842 +Vsuper +p3391 +tp3392 +a(g416 +g1035 +tp3393 +a(g439 +Vtext_value +p3394 +tp3395 +a(g7 +V\u000a +p3396 +tp3397 +a(g842 +Vend +p3398 +tp3399 +a(g7 +V\u000a +p3400 +tp3401 +a(g709 +g1070 +tp3402 +a(g23 +V\u000a +p3403 +tp3404 +a(g842 +Vend +p3405 +tp3406 +a(g23 +V\u000a\u000a +p3407 +tp3408 +a(g842 +Vrule +p3409 +tp3410 +a(g23 +g960 +tp3411 +a(g439 +Vdouble_quoted_string +p3412 +tp3413 +a(g23 +V\u000a +p3414 +tp3415 +a(g233 +V'"' +p3416 +tp3417 +a(g23 +g960 +tp3418 +a(g600 +Vstring +p3419 +tp3420 +a(g709 +g989 +tp3421 +a(g709 +g991 +tp3422 +a(g416 +g3315 +tp3423 +a(g233 +V'"' +p3424 +tp3425 +a(g23 +g960 +tp3426 +a(g709 +g991 +tp3427 +a(g160 +V"\u005c\u005c\u005c\u005c" +p3428 +tp3429 +a(g23 +g960 +tp3430 +a(g416 +g1121 +tp3431 +a(g23 +g960 +tp3432 +a(g233 +V'\u005c"' +p3433 +tp3434 +a(g23 +g960 +tp3435 +a(g416 +g1121 +tp3436 +a(g23 +g960 +tp3437 +a(g200 +g1035 +tp3438 +a(g709 +g1000 +tp3439 +a(g709 +g1000 +tp3440 +a(g416 +g1002 +tp3441 +a(g23 +g960 +tp3442 +a(g233 +V'"' +p3443 +tp3444 +a(g23 +g960 +tp3445 +a(g709 +g1314 +tp3446 +a(g632 +VTerminal +p3447 +tp3448 +a(g709 +g1318 +tp3449 +a(g23 +V\u000a +p3450 +tp3451 +a(g842 +Vend +p3452 +tp3453 +a(g23 +V\u000a\u000a +p3454 +tp3455 +a(g842 +Vrule +p3456 +tp3457 +a(g23 +g960 +tp3458 +a(g439 +Vsingle_quoted_string +p3459 +tp3460 +a(g23 +V\u000a +p3461 +tp3462 +a(g160 +V"'" +p3463 +tp3464 +a(g23 +g960 +tp3465 +a(g600 +Vstring +p3466 +tp3467 +a(g709 +g989 +tp3468 +a(g709 +g991 +tp3469 +a(g416 +g3315 +tp3470 +a(g160 +V"'" +p3471 +tp3472 +a(g23 +g960 +tp3473 +a(g709 +g991 +tp3474 +a(g160 +V"\u005c\u005c\u005c\u005c" +p3475 +tp3476 +a(g23 +g960 +tp3477 +a(g416 +g1121 +tp3478 +a(g23 +g960 +tp3479 +a(g160 +V"\u005c\u005c'" +p3480 +tp3481 +a(g23 +g960 +tp3482 +a(g416 +g1121 +tp3483 +a(g23 +g960 +tp3484 +a(g200 +g1035 +tp3485 +a(g709 +g1000 +tp3486 +a(g709 +g1000 +tp3487 +a(g416 +g1002 +tp3488 +a(g23 +g960 +tp3489 +a(g160 +V"'" +p3490 +tp3491 +a(g23 +g960 +tp3492 +a(g709 +g1314 +tp3493 +a(g632 +VTerminal +p3494 +tp3495 +a(g709 +g1318 +tp3496 +a(g23 +V\u000a +p3497 +tp3498 +a(g842 +Vend +p3499 +tp3500 +a(g23 +V\u000a\u000a +p3501 +tp3502 +a(g842 +Vrule +p3503 +tp3504 +a(g23 +g960 +tp3505 +a(g439 +Vcharacter_class +p3506 +tp3507 +a(g23 +V\u000a +p3508 +tp3509 +a(g233 +V'[' +p3510 +tp3511 +a(g23 +g960 +tp3512 +a(g600 +Vcharacters +p3513 +tp3514 +a(g709 +g989 +tp3515 +a(g709 +g991 +tp3516 +a(g416 +g3315 +tp3517 +a(g233 +V']' +p3518 +tp3519 +a(g23 +g960 +tp3520 +a(g709 +g991 +tp3521 +a(g233 +V'\u005c\u005c' +p3522 +tp3523 +a(g23 +g960 +tp3524 +a(g200 +g1035 +tp3525 +a(g23 +g960 +tp3526 +a(g416 +g1121 +tp3527 +a(g23 +g960 +tp3528 +a(g439 +Vbracket_expression +p3529 +tp3530 +a(g23 +g960 +tp3531 +a(g416 +g1121 +tp3532 +a(g23 +g960 +tp3533 +a(g416 +g3315 +tp3534 +a(g233 +V'\u005c\u005c' +p3535 +tp3536 +a(g23 +g960 +tp3537 +a(g200 +g1035 +tp3538 +a(g709 +g1000 +tp3539 +a(g709 +g1000 +tp3540 +a(g416 +g1040 +tp3541 +a(g23 +g960 +tp3542 +a(g233 +V']' +p3543 +tp3544 +a(g23 +g960 +tp3545 +a(g709 +g1314 +tp3546 +a(g632 +VCharacterClass +p3547 +tp3548 +a(g709 +g1318 +tp3549 +a(g23 +g960 +tp3550 +a(g709 +g1022 +tp3551 +a(g7 +V\u000a +p3552 +tp3553 +a(g842 +Vdef +p3554 +tp3555 +a(g7 +g960 +tp3556 +a(g577 +Vcharacters +p3557 +tp3558 +a(g7 +V\u000a +p3559 +tp3560 +a(g842 +Vsuper +p3561 +tp3562 +a(g416 +g1035 +tp3563 +a(g439 +Vtext_value +p3564 +tp3565 +a(g7 +V\u000a +p3566 +tp3567 +a(g842 +Vend +p3568 +tp3569 +a(g7 +V\u000a +p3570 +tp3571 +a(g709 +g1070 +tp3572 +a(g23 +V\u000a +p3573 +tp3574 +a(g842 +Vend +p3575 +tp3576 +a(g23 +V\u000a\u000a +p3577 +tp3578 +a(g842 +Vrule +p3579 +tp3580 +a(g23 +g960 +tp3581 +a(g439 +Vbracket_expression +p3582 +tp3583 +a(g23 +V\u000a +p3584 +tp3585 +a(g233 +V'[:' +p3586 +tp3587 +a(g23 +g960 +tp3588 +a(g233 +V'^' +p3589 +tp3590 +a(g416 +g995 +tp3591 +a(g23 +g960 +tp3592 +a(g709 +g991 +tp3593 +a(g23 +V\u000a +p3594 +tp3595 +a(g233 +V'alnum' +p3596 +tp3597 +a(g23 +g960 +tp3598 +a(g416 +g1121 +tp3599 +a(g23 +g960 +tp3600 +a(g233 +V'alpha' +p3601 +tp3602 +a(g23 +g960 +tp3603 +a(g416 +g1121 +tp3604 +a(g23 +g960 +tp3605 +a(g233 +V'blank' +p3606 +tp3607 +a(g23 +g960 +tp3608 +a(g416 +g1121 +tp3609 +a(g23 +g960 +tp3610 +a(g233 +V'cntrl' +p3611 +tp3612 +a(g23 +g960 +tp3613 +a(g416 +g1121 +tp3614 +a(g23 +g960 +tp3615 +a(g233 +V'digit' +p3616 +tp3617 +a(g23 +g960 +tp3618 +a(g416 +g1121 +tp3619 +a(g23 +g960 +tp3620 +a(g233 +V'graph' +p3621 +tp3622 +a(g23 +g960 +tp3623 +a(g416 +g1121 +tp3624 +a(g23 +g960 +tp3625 +a(g233 +V'lower' +p3626 +tp3627 +a(g23 +g960 +tp3628 +a(g416 +g1121 +tp3629 +a(g23 +V\u000a +p3630 +tp3631 +a(g233 +V'print' +p3632 +tp3633 +a(g23 +g960 +tp3634 +a(g416 +g1121 +tp3635 +a(g23 +g960 +tp3636 +a(g233 +V'punct' +p3637 +tp3638 +a(g23 +g960 +tp3639 +a(g416 +g1121 +tp3640 +a(g23 +g960 +tp3641 +a(g233 +V'space' +p3642 +tp3643 +a(g23 +g960 +tp3644 +a(g416 +g1121 +tp3645 +a(g23 +g960 +tp3646 +a(g233 +V'upper' +p3647 +tp3648 +a(g23 +g960 +tp3649 +a(g416 +g1121 +tp3650 +a(g23 +g960 +tp3651 +a(g233 +V'xdigit' +p3652 +tp3653 +a(g23 +g960 +tp3654 +a(g416 +g1121 +tp3655 +a(g23 +g960 +tp3656 +a(g233 +V'word' +p3657 +tp3658 +a(g23 +V\u000a +p3659 +tp3660 +a(g709 +g1000 +tp3661 +a(g23 +g960 +tp3662 +a(g233 +V':]' +p3663 +tp3664 +a(g23 +V\u000a +p3665 +tp3666 +a(g842 +Vend +p3667 +tp3668 +a(g23 +V\u000a\u000a +p3669 +tp3670 +a(g842 +Vrule +p3671 +tp3672 +a(g23 +g960 +tp3673 +a(g439 +Vanything_symbol +p3674 +tp3675 +a(g23 +V\u000a +p3676 +tp3677 +a(g233 +V'.' +p3678 +tp3679 +a(g23 +g960 +tp3680 +a(g709 +g1314 +tp3681 +a(g632 +VAnythingSymbol +p3682 +tp3683 +a(g709 +g1318 +tp3684 +a(g23 +V\u000a +p3685 +tp3686 +a(g842 +Vend +p3687 +tp3688 +a(g23 +V\u000a\u000a +p3689 +tp3690 +a(g842 +Vrule +p3691 +tp3692 +a(g23 +g960 +tp3693 +a(g439 +Vnode_class_expression +p3694 +tp3695 +a(g23 +V\u000a +p3696 +tp3697 +a(g439 +Vspace +p3698 +tp3699 +a(g23 +g960 +tp3700 +a(g233 +V'<' +p3701 +tp3702 +a(g23 +g960 +tp3703 +a(g709 +g991 +tp3704 +a(g416 +g3315 +tp3705 +a(g233 +V'>' +p3706 +tp3707 +a(g23 +g960 +tp3708 +a(g200 +g1035 +tp3709 +a(g709 +g1000 +tp3710 +a(g416 +g1040 +tp3711 +a(g23 +g960 +tp3712 +a(g233 +V'>' +p3713 +tp3714 +a(g23 +g960 +tp3715 +a(g709 +g1022 +tp3716 +a(g7 +V\u000a +p3717 +tp3718 +a(g842 +Vdef +p3719 +tp3720 +a(g7 +g960 +tp3721 +a(g577 +Vnode_class_name +p3722 +tp3723 +a(g7 +V\u000a +p3724 +tp3725 +a(g439 +Velements +p3726 +tp3727 +a(g416 +g1387 +tp3728 +a(g40 +V2 +p3729 +tp3730 +a(g416 +g1391 +tp3731 +a(g416 +g1035 +tp3732 +a(g439 +Vtext_value +p3733 +tp3734 +a(g7 +V\u000a +p3735 +tp3736 +a(g842 +Vend +p3737 +tp3738 +a(g7 +V\u000a +p3739 +tp3740 +a(g709 +g1070 +tp3741 +a(g23 +V\u000a +p3742 +tp3743 +a(g416 +g1121 +tp3744 +a(g23 +V\u000a +p3745 +tp3746 +a(g233 +V'' +p3747 +tp3748 +a(g23 +g960 +tp3749 +a(g709 +g1022 +tp3750 +a(g7 +V\u000a +p3751 +tp3752 +a(g842 +Vdef +p3753 +tp3754 +a(g7 +g960 +tp3755 +a(g577 +Vnode_class_name +p3756 +tp3757 +a(g7 +V\u000a +p3758 +tp3759 +a(g883 +Vnil +p3760 +tp3761 +a(g7 +V\u000a +p3762 +tp3763 +a(g842 +Vend +p3764 +tp3765 +a(g7 +V\u000a +p3766 +tp3767 +a(g709 +g1070 +tp3768 +a(g23 +V\u000a +p3769 +tp3770 +a(g842 +Vend +p3771 +tp3772 +a(g23 +V\u000a\u000a +p3773 +tp3774 +a(g842 +Vrule +p3775 +tp3776 +a(g23 +g960 +tp3777 +a(g439 +Vtrailing_inline_module +p3778 +tp3779 +a(g23 +V\u000a +p3780 +tp3781 +a(g439 +Vspace +p3782 +tp3783 +a(g23 +g960 +tp3784 +a(g439 +Vinline_module +p3785 +tp3786 +a(g23 +g960 +tp3787 +a(g709 +g1022 +tp3788 +a(g7 +V\u000a +p3789 +tp3790 +a(g842 +Vdef +p3791 +tp3792 +a(g7 +g960 +tp3793 +a(g577 +Vinline_modules +p3794 +tp3795 +a(g7 +V\u000a +p3796 +tp3797 +a(g416 +g1387 +tp3798 +a(g439 +Vinline_module +p3799 +tp3800 +a(g416 +g1391 +tp3801 +a(g7 +V\u000a +p3802 +tp3803 +a(g842 +Vend +p3804 +tp3805 +a(g7 +V\u000a\u000a +p3806 +tp3807 +a(g842 +Vdef +p3808 +tp3809 +a(g7 +g960 +tp3810 +a(g577 +Vinline_module_name +p3811 +tp3812 +a(g7 +V\u000a +p3813 +tp3814 +a(g439 +Vinline_module +p3815 +tp3816 +a(g416 +g1035 +tp3817 +a(g439 +Vmodule_name +p3818 +tp3819 +a(g7 +V\u000a +p3820 +tp3821 +a(g842 +Vend +p3822 +tp3823 +a(g7 +V\u000a +p3824 +tp3825 +a(g709 +g1070 +tp3826 +a(g23 +V\u000a +p3827 +tp3828 +a(g416 +g1121 +tp3829 +a(g23 +V\u000a +p3830 +tp3831 +a(g233 +V'' +p3832 +tp3833 +a(g23 +g960 +tp3834 +a(g709 +g1022 +tp3835 +a(g7 +V\u000a +p3836 +tp3837 +a(g842 +Vdef +p3838 +tp3839 +a(g7 +g960 +tp3840 +a(g577 +Vinline_modules +p3841 +tp3842 +a(g7 +V\u000a +p3843 +tp3844 +a(g416 +g1387 +tp3845 +a(g416 +g1391 +tp3846 +a(g7 +V\u000a +p3847 +tp3848 +a(g842 +Vend +p3849 +tp3850 +a(g7 +V\u000a\u000a +p3851 +tp3852 +a(g842 +Vdef +p3853 +tp3854 +a(g7 +g960 +tp3855 +a(g577 +Vinline_module +p3856 +tp3857 +a(g7 +V\u000a +p3858 +tp3859 +a(g883 +Vnil +p3860 +tp3861 +a(g7 +V\u000a +p3862 +tp3863 +a(g842 +Vend +p3864 +tp3865 +a(g7 +V\u000a\u000a +p3866 +tp3867 +a(g842 +Vdef +p3868 +tp3869 +a(g7 +g960 +tp3870 +a(g577 +Vinline_module_name +p3871 +tp3872 +a(g7 +V\u000a +p3873 +tp3874 +a(g883 +Vnil +p3875 +tp3876 +a(g7 +V\u000a +p3877 +tp3878 +a(g842 +Vend +p3879 +tp3880 +a(g7 +V\u000a +p3881 +tp3882 +a(g709 +g1070 +tp3883 +a(g23 +V\u000a +p3884 +tp3885 +a(g842 +Vend +p3886 +tp3887 +a(g23 +V\u000a\u000a +p3888 +tp3889 +a(g842 +Vrule +p3890 +tp3891 +a(g23 +g960 +tp3892 +a(g439 +Vpredicate_block +p3893 +tp3894 +a(g23 +V\u000a +p3895 +tp3896 +a(g233 +V'' +p3897 +tp3898 +a(g23 +g960 +tp3899 +a(g439 +Vinline_module +p3900 +tp3901 +a(g23 +g960 +tp3902 +a(g709 +g1314 +tp3903 +a(g632 +VPredicateBlock +p3904 +tp3905 +a(g709 +g1318 +tp3906 +a(g23 +V\u000a +p3907 +tp3908 +a(g842 +Vend +p3909 +tp3910 +a(g23 +V\u000a\u000a +p3911 +tp3912 +a(g842 +Vrule +p3913 +tp3914 +a(g23 +g960 +tp3915 +a(g439 +Vinline_module +p3916 +tp3917 +a(g23 +V\u000a +p3918 +tp3919 +a(g233 +V'{' +p3920 +tp3921 +a(g23 +g960 +tp3922 +a(g709 +g991 +tp3923 +a(g439 +Vinline_module +p3924 +tp3925 +a(g23 +g960 +tp3926 +a(g416 +g1121 +tp3927 +a(g23 +g960 +tp3928 +a(g416 +g3315 +tp3929 +a(g200 +V[{}] +p3930 +tp3931 +a(g23 +g960 +tp3932 +a(g200 +g1035 +tp3933 +a(g709 +g1000 +tp3934 +a(g416 +g1002 +tp3935 +a(g23 +g960 +tp3936 +a(g233 +V'}' +p3937 +tp3938 +a(g23 +g960 +tp3939 +a(g709 +g1314 +tp3940 +a(g632 +VInlineModule +p3941 +tp3942 +a(g709 +g1318 +tp3943 +a(g23 +V\u000a +p3944 +tp3945 +a(g842 +Vend +p3946 +tp3947 +a(g23 +V\u000a\u000a +p3948 +tp3949 +a(g842 +Vrule +p3950 +tp3951 +a(g23 +g960 +tp3952 +a(g439 +Vkeyword_inside_grammar +p3953 +tp3954 +a(g23 +V\u000a +p3955 +tp3956 +a(g709 +g991 +tp3957 +a(g233 +V'rule' +p3958 +tp3959 +a(g23 +g960 +tp3960 +a(g416 +g1121 +tp3961 +a(g23 +g960 +tp3962 +a(g233 +V'end' +p3963 +tp3964 +a(g709 +g1000 +tp3965 +a(g23 +g960 +tp3966 +a(g416 +g3315 +tp3967 +a(g439 +Vnon_space_char +p3968 +tp3969 +a(g23 +V\u000a +p3970 +tp3971 +a(g842 +Vend +p3972 +tp3973 +a(g23 +V\u000a\u000a +p3974 +tp3975 +a(g842 +Vrule +p3976 +tp3977 +a(g23 +g960 +tp3978 +a(g439 +Vnon_space_char +p3979 +tp3980 +a(g23 +V\u000a +p3981 +tp3982 +a(g416 +g3315 +tp3983 +a(g439 +Vspace +p3984 +tp3985 +a(g23 +g960 +tp3986 +a(g200 +g1035 +tp3987 +a(g23 +V\u000a +p3988 +tp3989 +a(g842 +Vend +p3990 +tp3991 +a(g23 +V\u000a\u000a +p3992 +tp3993 +a(g842 +Vrule +p3994 +tp3995 +a(g23 +g960 +tp3996 +a(g439 +Valpha_char +p3997 +tp3998 +a(g23 +V\u000a +p3999 +tp4000 +a(g200 +V[A-Za-z_] +p4001 +tp4002 +a(g23 +V\u000a +p4003 +tp4004 +a(g842 +Vend +p4005 +tp4006 +a(g23 +V\u000a\u000a +p4007 +tp4008 +a(g842 +Vrule +p4009 +tp4010 +a(g23 +g960 +tp4011 +a(g439 +Valphanumeric_char +p4012 +tp4013 +a(g23 +V\u000a +p4014 +tp4015 +a(g439 +Valpha_char +p4016 +tp4017 +a(g23 +g960 +tp4018 +a(g416 +g1121 +tp4019 +a(g23 +g960 +tp4020 +a(g200 +V[0-9] +p4021 +tp4022 +a(g23 +V\u000a +p4023 +tp4024 +a(g842 +Vend +p4025 +tp4026 +a(g23 +V\u000a\u000a +p4027 +tp4028 +a(g842 +Vrule +p4029 +tp4030 +a(g23 +g960 +tp4031 +a(g439 +Vspace +p4032 +tp4033 +a(g23 +V\u000a +p4034 +tp4035 +a(g709 +g991 +tp4036 +a(g439 +Vwhite +p4037 +tp4038 +a(g23 +g960 +tp4039 +a(g416 +g1121 +tp4040 +a(g23 +g960 +tp4041 +a(g439 +Vcomment_to_eol +p4042 +tp4043 +a(g709 +g1000 +tp4044 +a(g416 +g1040 +tp4045 +a(g23 +V\u000a +p4046 +tp4047 +a(g842 +Vend +p4048 +tp4049 +a(g23 +V\u000a\u000a +p4050 +tp4051 +a(g842 +Vrule +p4052 +tp4053 +a(g23 +g960 +tp4054 +a(g439 +Vcomment_to_eol +p4055 +tp4056 +a(g23 +V\u000a +p4057 +tp4058 +a(g233 +V'#' +p4059 +tp4060 +a(g23 +g960 +tp4061 +a(g709 +g991 +tp4062 +a(g416 +g3315 +tp4063 +a(g160 +V"\u005cn" +p4064 +tp4065 +a(g23 +g960 +tp4066 +a(g200 +g1035 +tp4067 +a(g709 +g1000 +tp4068 +a(g416 +g1002 +tp4069 +a(g23 +V\u000a +p4070 +tp4071 +a(g842 +Vend +p4072 +tp4073 +a(g23 +V\u000a\u000a +p4074 +tp4075 +a(g842 +Vrule +p4076 +tp4077 +a(g23 +g960 +tp4078 +a(g439 +Vwhite +p4079 +tp4080 +a(g23 +V\u000a +p4081 +tp4082 +a(g200 +V[ \u005ct\u005cn\u005cr] +p4083 +tp4084 +a(g23 +V\u000a +p4085 +tp4086 +a(g842 +Vend +p4087 +tp4088 +a(g23 +V\u000a +p4089 +tp4090 +a(g842 +Vend +p4091 +tp4092 +a(g23 +V\u000a +p4093 +tp4094 +a(g842 +Vend +p4095 +tp4096 +a(g23 +V\u000a +p4097 +tp4098 +a(g842 +Vend +p4099 +tp4100 +a(g23 +V\u000a +p4101 +tp4102 +a(g7 +g956 +tp4103 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/minehunt.qml b/tests/examplefiles/output/minehunt.qml new file mode 100644 index 0000000..e33bb48 --- /dev/null +++ b/tests/examplefiles/output/minehunt.qml @@ -0,0 +1,3771 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g7 +V +p958 +tp959 +a(g792 +V/****************************************************************************\u000a **\u000a ** Copyright (C) 2011 Nokia Corporation and/or its subsidiary(-ies).\u000a ** All rights reserved.\u000a ** Contact: Nokia Corporation (qt-info@nokia.com)\u000a **\u000a ** This file is part of the QtDeclarative module of the Qt Toolkit.\u000a **\u000a ** $QT_BEGIN_LICENSE:LGPL$\u000a ** GNU Lesser General Public License Usage\u000a ** This file may be used under the terms of the GNU Lesser General Public\u000a ** License version 2.1 as published by the Free Software Foundation and\u000a ** appearing in the file LICENSE.LGPL included in the packaging of this\u000a ** file. Please review the following information to ensure the GNU Lesser\u000a ** General Public License version 2.1 requirements will be met:\u000a ** http://www.gnu.org/licenses/old-licenses/lgpl-2.1.html.\u000a **\u000a ** In addition, as a special exception, Nokia gives you certain additional\u000a ** rights. These rights are described in the Nokia Qt LGPL Exception\u000a ** version 1.1, included in the file LGPL_EXCEPTION.txt in this package.\u000a **\u000a ** GNU General Public License Usage\u000a ** Alternatively, this file may be used under the terms of the GNU General\u000a ** Public License version 3.0 as published by the Free Software Foundation\u000a ** and appearing in the file LICENSE.GPL included in the packaging of this\u000a ** file. Please review the following information to ensure the GNU General\u000a ** Public License version 3.0 requirements will be met:\u000a ** http://www.gnu.org/copyleft/gpl.html.\u000a **\u000a ** Other Usage\u000a ** Alternatively, this file may be used in accordance with the terms and\u000a ** conditions contained in a signed written agreement between you and Nokia.\u000a **\u000a **\u000a **\u000a **\u000a **\u000a ** $QT_END_LICENSE$\u000a **\u000a ****************************************************************************/ +p960 +tp961 +a(g7 +V\u000a\u000a +p962 +tp963 +a(g891 +Vimport +p964 +tp965 +a(g7 +g958 +tp966 +a(g654 +VQtQuick +p967 +tp968 +a(g7 +g958 +tp969 +a(g318 +V1.0 +p970 +tp971 +a(g7 +V\u000a +p972 +tp973 +a(g891 +Vimport +p974 +tp975 +a(g7 +g958 +tp976 +a(g160 +V"MinehuntCore" +p977 +tp978 +a(g7 +g958 +tp979 +a(g318 +V1.0 +p980 +tp981 +a(g7 +V\u000a\u000a +p982 +tp983 +a(g654 +VItem +p984 +tp985 +a(g7 +g958 +tp986 +a(g709 +V{ +p987 +tp988 +a(g7 +V\u000a +p989 +tp990 +a(g907 +Vid: field +p991 +tp992 +a(g7 +V\u000a +p993 +tp994 +a(g654 +Vproperty +p995 +tp996 +a(g7 +g958 +tp997 +a(g891 +Vint +p998 +tp999 +a(g7 +g958 +tp1000 +a(g842 +Vclickx: +p1001 +tp1002 +a(g7 +g958 +tp1003 +a(g40 +V0 +p1004 +tp1005 +a(g7 +V\u000a +p1006 +tp1007 +a(g654 +Vproperty +p1008 +tp1009 +a(g7 +g958 +tp1010 +a(g891 +Vint +p1011 +tp1012 +a(g7 +g958 +tp1013 +a(g842 +Vclicky: +p1014 +tp1015 +a(g7 +g958 +tp1016 +a(g40 +g1004 +tp1017 +a(g7 +V\u000a\u000a +p1018 +tp1019 +a(g842 +Vwidth: +p1020 +tp1021 +a(g7 +g958 +tp1022 +a(g40 +V450 +p1023 +tp1024 +a(g709 +V; +p1025 +tp1026 +a(g7 +g958 +tp1027 +a(g842 +Vheight: +p1028 +tp1029 +a(g7 +g958 +tp1030 +a(g40 +V450 +p1031 +tp1032 +a(g7 +V\u000a\u000a +p1033 +tp1034 +a(g654 +VImage +p1035 +tp1036 +a(g7 +g958 +tp1037 +a(g709 +g987 +tp1038 +a(g7 +g958 +tp1039 +a(g842 +Vsource: +p1040 +tp1041 +a(g7 +g958 +tp1042 +a(g160 +V"MinehuntCore/pics/background.png" +p1043 +tp1044 +a(g709 +g1025 +tp1045 +a(g7 +g958 +tp1046 +a(g842 +Vanchors.fill: +p1047 +tp1048 +a(g7 +g958 +tp1049 +a(g654 +Vparent +p1050 +tp1051 +a(g709 +g1025 +tp1052 +a(g7 +g958 +tp1053 +a(g842 +VfillMode: +p1054 +tp1055 +a(g7 +g958 +tp1056 +a(g654 +VImage +p1057 +tp1058 +a(g709 +V. +p1059 +tp1060 +a(g654 +VTile +p1061 +tp1062 +a(g7 +g958 +tp1063 +a(g709 +V} +p1064 +tp1065 +a(g7 +V\u000a\u000a +p1066 +tp1067 +a(g654 +VGrid +p1068 +tp1069 +a(g7 +g958 +tp1070 +a(g709 +g987 +tp1071 +a(g7 +V\u000a +p1072 +tp1073 +a(g842 +Vanchors.horizontalCenter: +p1074 +tp1075 +a(g7 +g958 +tp1076 +a(g654 +Vparent +p1077 +tp1078 +a(g709 +g1059 +tp1079 +a(g654 +VhorizontalCenter +p1080 +tp1081 +a(g7 +V\u000a +p1082 +tp1083 +a(g842 +Vcolumns: +p1084 +tp1085 +a(g7 +g958 +tp1086 +a(g40 +V9 +p1087 +tp1088 +a(g709 +g1025 +tp1089 +a(g7 +g958 +tp1090 +a(g842 +Vspacing: +p1091 +tp1092 +a(g7 +g958 +tp1093 +a(g40 +V1 +p1094 +tp1095 +a(g7 +V\u000a\u000a +p1096 +tp1097 +a(g654 +VRepeater +p1098 +tp1099 +a(g7 +g958 +tp1100 +a(g709 +g987 +tp1101 +a(g7 +V\u000a +p1102 +tp1103 +a(g907 +Vid: repeater +p1104 +tp1105 +a(g7 +V\u000a +p1106 +tp1107 +a(g842 +Vmodel: +p1108 +tp1109 +a(g7 +g958 +tp1110 +a(g654 +Vtiles +p1111 +tp1112 +a(g7 +V\u000a +p1113 +tp1114 +a(g842 +Vdelegate: +p1115 +tp1116 +a(g7 +g958 +tp1117 +a(g654 +VTile +p1118 +tp1119 +a(g7 +g958 +tp1120 +a(g709 +g987 +tp1121 +a(g709 +g1064 +tp1122 +a(g7 +V\u000a +p1123 +tp1124 +a(g709 +g1064 +tp1125 +a(g7 +V\u000a +p1126 +tp1127 +a(g709 +g1064 +tp1128 +a(g7 +V\u000a\u000a +p1129 +tp1130 +a(g654 +VRow +p1131 +tp1132 +a(g7 +g958 +tp1133 +a(g709 +g987 +tp1134 +a(g7 +V\u000a +p1135 +tp1136 +a(g907 +Vid: gamedata +p1137 +tp1138 +a(g7 +V\u000a +p1139 +tp1140 +a(g842 +Vx: +p1141 +tp1142 +a(g7 +g958 +tp1143 +a(g40 +V20 +p1144 +tp1145 +a(g709 +g1025 +tp1146 +a(g7 +g958 +tp1147 +a(g842 +Vspacing: +p1148 +tp1149 +a(g7 +g958 +tp1150 +a(g40 +V20 +p1151 +tp1152 +a(g7 +V\u000a +p1153 +tp1154 +a(g842 +Vanchors.bottom: +p1155 +tp1156 +a(g7 +g958 +tp1157 +a(g654 +Vfield +p1158 +tp1159 +a(g709 +g1059 +tp1160 +a(g654 +Vbottom +p1161 +tp1162 +a(g709 +g1025 +tp1163 +a(g7 +g958 +tp1164 +a(g842 +Vanchors.bottomMargin: +p1165 +tp1166 +a(g7 +g958 +tp1167 +a(g40 +V15 +p1168 +tp1169 +a(g7 +V\u000a\u000a +p1170 +tp1171 +a(g654 +VImage +p1172 +tp1173 +a(g7 +g958 +tp1174 +a(g709 +g987 +tp1175 +a(g7 +V\u000a +p1176 +tp1177 +a(g842 +Vsource: +p1178 +tp1179 +a(g7 +g958 +tp1180 +a(g160 +V"MinehuntCore/pics/quit.png" +p1181 +tp1182 +a(g7 +V\u000a +p1183 +tp1184 +a(g842 +Vscale: +p1185 +tp1186 +a(g7 +g958 +tp1187 +a(g654 +VquitMouse +p1188 +tp1189 +a(g709 +g1059 +tp1190 +a(g654 +Vpressed +p1191 +tp1192 +a(g7 +g958 +tp1193 +a(g416 +V? +p1194 +tp1195 +a(g7 +g958 +tp1196 +a(g318 +V0.8 +p1197 +tp1198 +a(g7 +g958 +tp1199 +a(g416 +V: +p1200 +tp1201 +a(g7 +g958 +tp1202 +a(g318 +V1.0 +p1203 +tp1204 +a(g7 +V\u000a +p1205 +tp1206 +a(g842 +Vsmooth: +p1207 +tp1208 +a(g7 +g958 +tp1209 +a(g654 +VquitMouse +p1210 +tp1211 +a(g709 +g1059 +tp1212 +a(g654 +Vpressed +p1213 +tp1214 +a(g7 +V\u000a +p1215 +tp1216 +a(g842 +Vy: +p1217 +tp1218 +a(g7 +g958 +tp1219 +a(g40 +V10 +p1220 +tp1221 +a(g7 +V\u000a +p1222 +tp1223 +a(g654 +VMouseArea +p1224 +tp1225 +a(g7 +g958 +tp1226 +a(g709 +g987 +tp1227 +a(g7 +V\u000a +p1228 +tp1229 +a(g907 +Vid: quitMouse +p1230 +tp1231 +a(g7 +V\u000a +p1232 +tp1233 +a(g842 +Vanchors.fill: +p1234 +tp1235 +a(g7 +g958 +tp1236 +a(g654 +Vparent +p1237 +tp1238 +a(g7 +V\u000a +p1239 +tp1240 +a(g842 +Vanchors.margins: +p1241 +tp1242 +a(g7 +g958 +tp1243 +a(g416 +V- +p1244 +tp1245 +a(g40 +V20 +p1246 +tp1247 +a(g7 +V\u000a +p1248 +tp1249 +a(g842 +VonClicked: +p1250 +tp1251 +a(g7 +g958 +tp1252 +a(g654 +VQt +p1253 +tp1254 +a(g709 +g1059 +tp1255 +a(g654 +Vquit +p1256 +tp1257 +a(g709 +V( +p1258 +tp1259 +a(g709 +V) +p1260 +tp1261 +a(g7 +V\u000a +p1262 +tp1263 +a(g709 +g1064 +tp1264 +a(g7 +V\u000a +p1265 +tp1266 +a(g709 +g1064 +tp1267 +a(g7 +V\u000a +p1268 +tp1269 +a(g654 +VColumn +p1270 +tp1271 +a(g7 +g958 +tp1272 +a(g709 +g987 +tp1273 +a(g7 +V\u000a +p1274 +tp1275 +a(g842 +Vspacing: +p1276 +tp1277 +a(g7 +g958 +tp1278 +a(g40 +V2 +p1279 +tp1280 +a(g7 +V\u000a +p1281 +tp1282 +a(g654 +VImage +p1283 +tp1284 +a(g7 +g958 +tp1285 +a(g709 +g987 +tp1286 +a(g7 +g958 +tp1287 +a(g842 +Vsource: +p1288 +tp1289 +a(g7 +g958 +tp1290 +a(g160 +V"MinehuntCore/pics/bomb-color.png" +p1291 +tp1292 +a(g7 +g958 +tp1293 +a(g709 +g1064 +tp1294 +a(g7 +V\u000a +p1295 +tp1296 +a(g654 +VText +p1297 +tp1298 +a(g7 +g958 +tp1299 +a(g709 +g987 +tp1300 +a(g7 +g958 +tp1301 +a(g842 +Vanchors.horizontalCenter: +p1302 +tp1303 +a(g7 +g958 +tp1304 +a(g654 +Vparent +p1305 +tp1306 +a(g709 +g1059 +tp1307 +a(g654 +VhorizontalCenter +p1308 +tp1309 +a(g709 +g1025 +tp1310 +a(g7 +g958 +tp1311 +a(g842 +Vcolor: +p1312 +tp1313 +a(g7 +g958 +tp1314 +a(g160 +V"white" +p1315 +tp1316 +a(g709 +g1025 +tp1317 +a(g7 +g958 +tp1318 +a(g842 +Vtext: +p1319 +tp1320 +a(g7 +g958 +tp1321 +a(g654 +VnumMines +p1322 +tp1323 +a(g7 +g958 +tp1324 +a(g709 +g1064 +tp1325 +a(g7 +V\u000a +p1326 +tp1327 +a(g709 +g1064 +tp1328 +a(g7 +V\u000a\u000a +p1329 +tp1330 +a(g654 +VColumn +p1331 +tp1332 +a(g7 +g958 +tp1333 +a(g709 +g987 +tp1334 +a(g7 +V\u000a +p1335 +tp1336 +a(g842 +Vspacing: +p1337 +tp1338 +a(g7 +g958 +tp1339 +a(g40 +g1279 +tp1340 +a(g7 +V\u000a +p1341 +tp1342 +a(g654 +VImage +p1343 +tp1344 +a(g7 +g958 +tp1345 +a(g709 +g987 +tp1346 +a(g7 +g958 +tp1347 +a(g842 +Vsource: +p1348 +tp1349 +a(g7 +g958 +tp1350 +a(g160 +V"MinehuntCore/pics/flag-color.png" +p1351 +tp1352 +a(g7 +g958 +tp1353 +a(g709 +g1064 +tp1354 +a(g7 +V\u000a +p1355 +tp1356 +a(g654 +VText +p1357 +tp1358 +a(g7 +g958 +tp1359 +a(g709 +g987 +tp1360 +a(g7 +g958 +tp1361 +a(g842 +Vanchors.horizontalCenter: +p1362 +tp1363 +a(g7 +g958 +tp1364 +a(g654 +Vparent +p1365 +tp1366 +a(g709 +g1059 +tp1367 +a(g654 +VhorizontalCenter +p1368 +tp1369 +a(g709 +g1025 +tp1370 +a(g7 +g958 +tp1371 +a(g842 +Vcolor: +p1372 +tp1373 +a(g7 +g958 +tp1374 +a(g160 +V"white" +p1375 +tp1376 +a(g709 +g1025 +tp1377 +a(g7 +g958 +tp1378 +a(g842 +Vtext: +p1379 +tp1380 +a(g7 +g958 +tp1381 +a(g654 +VnumFlags +p1382 +tp1383 +a(g7 +g958 +tp1384 +a(g709 +g1064 +tp1385 +a(g7 +V\u000a +p1386 +tp1387 +a(g709 +g1064 +tp1388 +a(g7 +V\u000a +p1389 +tp1390 +a(g709 +g1064 +tp1391 +a(g7 +V\u000a\u000a +p1392 +tp1393 +a(g654 +VImage +p1394 +tp1395 +a(g7 +g958 +tp1396 +a(g709 +g987 +tp1397 +a(g7 +V\u000a +p1398 +tp1399 +a(g842 +Vanchors.bottom: +p1400 +tp1401 +a(g7 +g958 +tp1402 +a(g654 +Vfield +p1403 +tp1404 +a(g709 +g1059 +tp1405 +a(g654 +Vbottom +p1406 +tp1407 +a(g709 +g1025 +tp1408 +a(g7 +g958 +tp1409 +a(g842 +Vanchors.bottomMargin: +p1410 +tp1411 +a(g7 +g958 +tp1412 +a(g40 +V15 +p1413 +tp1414 +a(g7 +V\u000a +p1415 +tp1416 +a(g842 +Vanchors.right: +p1417 +tp1418 +a(g7 +g958 +tp1419 +a(g654 +Vfield +p1420 +tp1421 +a(g709 +g1059 +tp1422 +a(g654 +Vright +p1423 +tp1424 +a(g709 +g1025 +tp1425 +a(g7 +g958 +tp1426 +a(g842 +Vanchors.rightMargin: +p1427 +tp1428 +a(g7 +g958 +tp1429 +a(g40 +V20 +p1430 +tp1431 +a(g7 +V\u000a +p1432 +tp1433 +a(g842 +Vsource: +p1434 +tp1435 +a(g7 +g958 +tp1436 +a(g654 +VisPlaying +p1437 +tp1438 +a(g7 +g958 +tp1439 +a(g416 +g1194 +tp1440 +a(g7 +g958 +tp1441 +a(g233 +V'MinehuntCore/pics/face-smile.png' +p1442 +tp1443 +a(g7 +g958 +tp1444 +a(g416 +g1200 +tp1445 +a(g7 +V\u000a +p1446 +tp1447 +a(g654 +VhasWon +p1448 +tp1449 +a(g7 +g958 +tp1450 +a(g416 +g1194 +tp1451 +a(g7 +g958 +tp1452 +a(g233 +V'MinehuntCore/pics/face-smile-big.png' +p1453 +tp1454 +a(g416 +g1200 +tp1455 +a(g7 +g958 +tp1456 +a(g233 +V'MinehuntCore/pics/face-sad.png' +p1457 +tp1458 +a(g7 +V\u000a\u000a +p1459 +tp1460 +a(g654 +VMouseArea +p1461 +tp1462 +a(g7 +g958 +tp1463 +a(g709 +g987 +tp1464 +a(g7 +g958 +tp1465 +a(g842 +Vanchors.fill: +p1466 +tp1467 +a(g7 +g958 +tp1468 +a(g654 +Vparent +p1469 +tp1470 +a(g709 +g1025 +tp1471 +a(g7 +g958 +tp1472 +a(g842 +VonPressed: +p1473 +tp1474 +a(g7 +g958 +tp1475 +a(g654 +Vreset +p1476 +tp1477 +a(g709 +g1258 +tp1478 +a(g709 +g1260 +tp1479 +a(g7 +g958 +tp1480 +a(g709 +g1064 +tp1481 +a(g7 +V\u000a +p1482 +tp1483 +a(g709 +g1064 +tp1484 +a(g7 +V\u000a +p1485 +tp1486 +a(g654 +VText +p1487 +tp1488 +a(g7 +g958 +tp1489 +a(g709 +g987 +tp1490 +a(g7 +V\u000a +p1491 +tp1492 +a(g842 +Vanchors.centerIn: +p1493 +tp1494 +a(g7 +g958 +tp1495 +a(g654 +Vparent +p1496 +tp1497 +a(g709 +g1025 +tp1498 +a(g7 +g958 +tp1499 +a(g842 +Vwidth: +p1500 +tp1501 +a(g7 +g958 +tp1502 +a(g654 +Vparent +p1503 +tp1504 +a(g709 +g1059 +tp1505 +a(g654 +Vwidth +p1506 +tp1507 +a(g7 +g958 +tp1508 +a(g416 +g1244 +tp1509 +a(g7 +g958 +tp1510 +a(g40 +V20 +p1511 +tp1512 +a(g7 +V\u000a +p1513 +tp1514 +a(g842 +VhorizontalAlignment: +p1515 +tp1516 +a(g7 +g958 +tp1517 +a(g654 +VText +p1518 +tp1519 +a(g709 +g1059 +tp1520 +a(g654 +VAlignHCenter +p1521 +tp1522 +a(g7 +V\u000a +p1523 +tp1524 +a(g842 +VwrapMode: +p1525 +tp1526 +a(g7 +g958 +tp1527 +a(g654 +VText +p1528 +tp1529 +a(g709 +g1059 +tp1530 +a(g654 +VWordWrap +p1531 +tp1532 +a(g7 +V\u000a +p1533 +tp1534 +a(g842 +Vtext: +p1535 +tp1536 +a(g7 +g958 +tp1537 +a(g160 +V"Minehunt demo has to be compiled to run.\u005cn\u005cnPlease see README." +p1538 +tp1539 +a(g7 +V\u000a +p1540 +tp1541 +a(g842 +Vcolor: +p1542 +tp1543 +a(g7 +g958 +tp1544 +a(g160 +V"white" +p1545 +tp1546 +a(g709 +g1025 +tp1547 +a(g7 +g958 +tp1548 +a(g842 +Vfont.bold: +p1549 +tp1550 +a(g7 +g958 +tp1551 +a(g861 +Vtrue +p1552 +tp1553 +a(g709 +g1025 +tp1554 +a(g7 +g958 +tp1555 +a(g842 +Vfont.pixelSize: +p1556 +tp1557 +a(g7 +g958 +tp1558 +a(g40 +V14 +p1559 +tp1560 +a(g7 +V\u000a +p1561 +tp1562 +a(g842 +Vvisible: +p1563 +tp1564 +a(g7 +g958 +tp1565 +a(g654 +Vtiles +p1566 +tp1567 +a(g7 +g958 +tp1568 +a(g416 +V== +p1569 +tp1570 +a(g7 +g958 +tp1571 +a(g861 +Vundefined +p1572 +tp1573 +a(g7 +V\u000a +p1574 +tp1575 +a(g709 +g1064 +tp1576 +a(g7 +V\u000a\u000a +p1577 +tp1578 +a(g709 +g1064 +tp1579 +a(g7 +V\u000a +p1580 +tp1581 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/minimal.ns2 b/tests/examplefiles/output/minimal.ns2 new file mode 100644 index 0000000..388ebae --- /dev/null +++ b/tests/examplefiles/output/minimal.ns2 @@ -0,0 +1,2622 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Declaration' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsS'Reserved' +p928 +g1 +(g2 +g3 +(g4 +g928 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbsg34 +g35 +((lp936 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag894 +ag8 +ag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag931 +ag916 +atp951 +Rp952 +sg4 +g946 +sg5 +g8 +sg416 +g939 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVclass +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g621 +VA +p960 +tp961 +a(g831 +g958 +tp962 +a(g405 +V= +p963 +tp964 +a(g831 +g958 +tp965 +a(g698 +V( +p966 +tp967 +a(g831 +g958 +tp968 +a(g405 +V| +p969 +tp970 +a(g831 +g958 +tp971 +a(g659 +Va +p972 +tp973 +a(g831 +g958 +tp974 +a(g405 +g963 +tp975 +a(g831 +g958 +tp976 +a(g13 +Vself +p977 +tp978 +a(g831 +g958 +tp979 +a(g433 +Vm +p980 +tp981 +a(g698 +V. +p982 +tp983 +a(g831 +g958 +tp984 +a(g405 +g969 +tp985 +a(g831 +g958 +tp986 +a(g698 +V) +p987 +tp988 +a(g831 +g958 +tp989 +a(g698 +g966 +tp990 +a(g831 +V\u000a +p991 +tp992 +a(g659 +g980 +tp993 +a(g831 +g958 +tp994 +a(g405 +g963 +tp995 +a(g831 +g958 +tp996 +a(g698 +g966 +tp997 +a(g405 +V^ +p998 +tp999 +a(g433 +g972 +tp1000 +a(g831 +g958 +tp1001 +a(g433 +VisNil +p1002 +tp1003 +a(g831 +g958 +tp1004 +a(g566 +VifTrue: +p1005 +tp1006 +a(g831 +g958 +tp1007 +a(g698 +V[ +p1008 +tp1009 +a(g27 +V0 +p1010 +tp1011 +a(g698 +V] +p1012 +tp1013 +a(g831 +g958 +tp1014 +a(g566 +VifFalse: +p1015 +tp1016 +a(g831 +g958 +tp1017 +a(g698 +g1008 +tp1018 +a(g27 +V1 +p1019 +tp1020 +a(g698 +g1012 +tp1021 +a(g698 +g987 +tp1022 +a(g831 +V\u000a +p1023 +tp1024 +a(g698 +g987 +tp1025 +a(g831 +V\u000a +p1026 +tp1027 +a(g8 +Vclass +p1028 +tp1029 +a(g831 +g958 +tp1030 +a(g621 +VB +p1031 +tp1032 +a(g831 +g958 +tp1033 +a(g405 +g963 +tp1034 +a(g831 +g958 +tp1035 +a(g433 +VC +p1036 +tp1037 +a(g831 +g958 +tp1038 +a(g698 +g966 +tp1039 +a(g831 +g958 +tp1040 +a(g405 +g969 +tp1041 +a(g831 +g958 +tp1042 +a(g659 +Vb0 +p1043 +tp1044 +a(g831 +g958 +tp1045 +a(g405 +g963 +tp1046 +a(g831 +g958 +tp1047 +a(g307 +V0. +p1048 +tp1049 +a(g831 +g958 +tp1050 +a(g659 +Vb1 +p1051 +tp1052 +a(g831 +g958 +tp1053 +a(g405 +g963 +tp1054 +a(g831 +g958 +tp1055 +a(g433 +Vb0 +p1056 +tp1057 +a(g831 +g958 +tp1058 +a(g405 +V+ +p1059 +tp1060 +a(g831 +g958 +tp1061 +a(g307 +V1. +p1062 +tp1063 +a(g831 +g958 +tp1064 +a(g405 +g969 +tp1065 +a(g831 +g958 +tp1066 +a(g698 +g987 +tp1067 +a(g831 +g958 +tp1068 +a(g698 +g966 +tp1069 +a(g698 +g987 +tp1070 +a(g831 +V\u000a +p1071 +tp1072 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/modula2_test_cases.def b/tests/examplefiles/output/modula2_test_cases.def new file mode 100644 index 0000000..d2c677e --- /dev/null +++ b/tests/examplefiles/output/modula2_test_cases.def @@ -0,0 +1,9057 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV(* Test Cases for Modula-2 Lexer *) +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g8 +V(* Notes:\u000a (1) Without dialect option nor embedded dialect tag, the lexer operates in\u000a fallback mode, recognising the *combined* literals, punctuation symbols\u000a and operators of all supported dialects, and the *combined* reserved\u000a words and builtins of PIM Modula-2, ISO Modula-2 and Modula-2 R10.\u000a (1) If multiple embedded dialect tags are present, the lexer will use the\u000a first valid tag and ignore any subsequent dialect tags in the file.\u000a (2) An embedded dialect tag overrides any command line dialect option. *) +p960 +tp961 +a(g827 +V\u000a\u000a\u000a +p962 +tp963 +a(g8 +V(* Testing command line dialect option *) +p964 +tp965 +a(g827 +V\u000a\u000a +p966 +tp967 +a(g8 +V(* for PIM Modula-2 : pygmentize -O full,dialect=m2pim ...\u000a for ISO Modula-2 : pygmentize -O full,dialect=m2iso ...\u000a for Modula-2 R10 : pygmentize -O full,dialect=m2r10 ...\u000a for Objective Modula-2 : pygmentize -O full,dialect=objm2 ... *) +p968 +tp969 +a(g827 +V\u000a\u000a +p970 +tp971 +a(g8 +V(* for Aglet extensions : pygmentize -O full,dialect=m2iso+aglet ...\u000a for GNU extensions : pygmentize -O full,dialect=m2pim+gm2 ...\u000a for p1 extensions : pygmentize -O full,dialect=m2iso+p1 ...\u000a for XDS extensions : pygmentize -O full,dialect=m2iso+xds ...\u000a\u000a\u000a(* Testing embedded dialect tags *) +p972 +tp973 +a(g827 +V\u000a\u000a +p974 +tp975 +a(g8 +V(* !m2pim*) +p976 +tp977 +a(g827 +V +p978 +tp979 +a(g8 +V(* <-- remove whitespace before ! for PIM Modula-2 *) +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g8 +V(* !m2iso*) +p984 +tp985 +a(g827 +g978 +tp986 +a(g8 +V(* <-- remove whitespace before ! for ISO Modula-2 *) +p987 +tp988 +a(g827 +V\u000a +p989 +tp990 +a(g8 +V(* !m2r10*) +p991 +tp992 +a(g827 +g978 +tp993 +a(g8 +V(* <-- remove whitespace before ! for Modula-2 R10 *) +p994 +tp995 +a(g827 +V\u000a +p996 +tp997 +a(g8 +V(* !objm2*) +p998 +tp999 +a(g827 +g978 +tp1000 +a(g8 +V(* <-- remove whitespace before ! for Objective Modula-2 *) +p1001 +tp1002 +a(g827 +V\u000a\u000a +p1003 +tp1004 +a(g8 +V(* !m2iso+aglet*) +p1005 +tp1006 +a(g827 +g978 +tp1007 +a(g8 +V(* <-- remove whitespace before ! for Aglet extensions *) +p1008 +tp1009 +a(g827 +V\u000a +p1010 +tp1011 +a(g8 +V(* !m2pim+gm2*) +p1012 +tp1013 +a(g827 +V +p1014 +tp1015 +a(g8 +V(* <-- remove whitespace before ! for GNU extensions *) +p1016 +tp1017 +a(g827 +V\u000a +p1018 +tp1019 +a(g8 +V(* !m2iso+p1*) +p1020 +tp1021 +a(g827 +V +p1022 +tp1023 +a(g8 +V(* <-- remove whitespace before ! for p1 extensions *) +p1024 +tp1025 +a(g827 +V\u000a +p1026 +tp1027 +a(g8 +V(* !m2iso+xds*) +p1028 +tp1029 +a(g827 +V +p1030 +tp1031 +a(g8 +V(* <-- remove whitespace before ! for XDS extensions *) +p1032 +tp1033 +a(g827 +V\u000a\u000a\u000a +p1034 +tp1035 +a(g8 +V(* Dialect Indicating Names *) +p1036 +tp1037 +a(g827 +V\u000a\u000a +p1038 +tp1039 +a(g8 +V(* recognised names should be highlighted *) +p1040 +tp1041 +a(g827 +V\u000a\u000a +p1042 +tp1043 +a(g794 +VQUALIFIED +p1044 +tp1045 +a(g827 +g978 +tp1046 +a(g8 +V(* PIM and ISO *) +p1047 +tp1048 +a(g827 +V\u000a\u000a +p1049 +tp1050 +a(g794 +VPACKEDSET +p1051 +tp1052 +a(g827 +g978 +tp1053 +a(g8 +V(* ISO only *) +p1054 +tp1055 +a(g827 +V\u000a\u000a +p1056 +tp1057 +a(g794 +VARGLIST +p1058 +tp1059 +a(g827 +g978 +tp1060 +a(g8 +V(* M2 R10 and ObjM2 *) +p1061 +tp1062 +a(g827 +V\u000a\u000a +p1063 +tp1064 +a(g436 +VBYCOPY +p1065 +tp1066 +a(g827 +g978 +tp1067 +a(g8 +V(* ObjM2 only *) +p1068 +tp1069 +a(g827 +V\u000a\u000a +p1070 +tp1071 +a(g436 +VBITSET8 +p1072 +tp1073 +a(g827 +g978 +tp1074 +a(g8 +V(* Aglet, GNU and M2 R10 *) +p1075 +tp1076 +a(g827 +V\u000a\u000a +p1077 +tp1078 +a(g436 +V__FILE__ +p1079 +tp1080 +a(g827 +g978 +tp1081 +a(g8 +V(* GNU only *) +p1082 +tp1083 +a(g827 +V\u000a\u000a +p1084 +tp1085 +a(g436 +VBCD +p1086 +tp1087 +a(g827 +g978 +tp1088 +a(g8 +V(* p1 and M2 R10 *) +p1089 +tp1090 +a(g827 +V\u000a\u000a +p1091 +tp1092 +a(g436 +VSEQ +p1093 +tp1094 +a(g827 +g978 +tp1095 +a(g8 +V(* XDS only *) +p1096 +tp1097 +a(g827 +V\u000a\u000a\u000a +p1098 +tp1099 +a(g8 +V(* Literal Tests *) +p1100 +tp1101 +a(g827 +V\u000a\u000a +p1102 +tp1103 +a(g8 +V(* recognised literals should be rendered as one unit\u000a unrecognised literals should be rendered as error *) +p1104 +tp1105 +a(g827 +V\u000a\u000a +p1106 +tp1107 +a(g436 +Vch +p1108 +tp1109 +a(g827 +g978 +tp1110 +a(g413 +V:= +p1111 +tp1112 +a(g827 +g978 +tp1113 +a(g89 +V'a' +p1114 +tp1115 +a(g706 +V; +p1116 +tp1117 +a(g827 +g978 +tp1118 +a(g436 +Vch +p1119 +tp1120 +a(g827 +g978 +tp1121 +a(g413 +V:= +p1122 +tp1123 +a(g827 +g978 +tp1124 +a(g89 +V"a" +p1125 +tp1126 +a(g706 +g1116 +tp1127 +a(g827 +g978 +tp1128 +a(g8 +V(* all dialects *) +p1129 +tp1130 +a(g827 +V\u000a +p1131 +tp1132 +a(g436 +Vch +p1133 +tp1134 +a(g827 +g978 +tp1135 +a(g413 +V:= +p1136 +tp1137 +a(g827 +g978 +tp1138 +a(g298 +V0u20 +p1139 +tp1140 +a(g706 +g1116 +tp1141 +a(g827 +g978 +tp1142 +a(g436 +Vunich +p1143 +tp1144 +a(g827 +g978 +tp1145 +a(g413 +V:= +p1146 +tp1147 +a(g827 +g978 +tp1148 +a(g298 +V0u2038 +p1149 +tp1150 +a(g827 +g978 +tp1151 +a(g8 +V(* M2 R10 *) +p1152 +tp1153 +a(g827 +V\u000a\u000a +p1154 +tp1155 +a(g436 +Vs +p1156 +tp1157 +a(g827 +g978 +tp1158 +a(g413 +V:= +p1159 +tp1160 +a(g827 +g978 +tp1161 +a(g89 +V'The cat said "meow!".' +p1162 +tp1163 +a(g706 +g1116 +tp1164 +a(g827 +V\u000a +p1165 +tp1166 +a(g436 +g1156 +tp1167 +a(g827 +g978 +tp1168 +a(g413 +V:= +p1169 +tp1170 +a(g827 +g978 +tp1171 +a(g89 +V"It is eight O'clock." +p1172 +tp1173 +a(g706 +g1116 +tp1174 +a(g827 +V\u000a\u000a\u000a +p1175 +tp1176 +a(g436 +Vn +p1177 +tp1178 +a(g827 +g978 +tp1179 +a(g413 +V:= +p1180 +tp1181 +a(g827 +g978 +tp1182 +a(g37 +V123 +p1183 +tp1184 +a(g706 +g1116 +tp1185 +a(g827 +g978 +tp1186 +a(g436 +g1177 +tp1187 +a(g827 +g978 +tp1188 +a(g413 +V= +p1189 +tp1190 +a(g827 +g978 +tp1191 +a(g37 +V1000000 +p1192 +tp1193 +a(g706 +g1116 +tp1194 +a(g827 +g978 +tp1195 +a(g8 +V(* all dialects *) +p1196 +tp1197 +a(g827 +V\u000a +p1198 +tp1199 +a(g436 +g1177 +tp1200 +a(g827 +g978 +tp1201 +a(g413 +V:= +p1202 +tp1203 +a(g827 +g978 +tp1204 +a(g37 +V123 +p1205 +tp1206 +a(g706 +g1116 +tp1207 +a(g827 +g978 +tp1208 +a(g436 +g1177 +tp1209 +a(g827 +g978 +tp1210 +a(g413 +g1189 +tp1211 +a(g827 +g978 +tp1212 +a(g37 +V1'000'000 +p1213 +tp1214 +a(g706 +g1116 +tp1215 +a(g827 +g978 +tp1216 +a(g8 +V(* M2 R10 *) +p1217 +tp1218 +a(g827 +V\u000a\u000a +p1219 +tp1220 +a(g436 +g1177 +tp1221 +a(g827 +g978 +tp1222 +a(g413 +V:= +p1223 +tp1224 +a(g827 +g978 +tp1225 +a(g266 +V0b0110 +p1226 +tp1227 +a(g706 +g1116 +tp1228 +a(g827 +g978 +tp1229 +a(g436 +g1177 +tp1230 +a(g413 +V:= +p1231 +tp1232 +a(g827 +g978 +tp1233 +a(g266 +V0b0110'1100'0111 +p1234 +tp1235 +a(g706 +g1116 +tp1236 +a(g827 +g978 +tp1237 +a(g8 +V(* M2 R10 *) +p1238 +tp1239 +a(g827 +V\u000a +p1240 +tp1241 +a(g436 +g1177 +tp1242 +a(g827 +g978 +tp1243 +a(g413 +V:= +p1244 +tp1245 +a(g827 +g978 +tp1246 +a(g298 +V0xFF00 +p1247 +tp1248 +a(g706 +g1116 +tp1249 +a(g827 +g978 +tp1250 +a(g436 +g1177 +tp1251 +a(g413 +V:= +p1252 +tp1253 +a(g827 +g978 +tp1254 +a(g298 +V0xDEAD'BEEF'0F00 +p1255 +tp1256 +a(g706 +g1116 +tp1257 +a(g827 +g978 +tp1258 +a(g8 +V(* M2 R10 *) +p1259 +tp1260 +a(g827 +V\u000a\u000a +p1261 +tp1262 +a(g436 +Vr +p1263 +tp1264 +a(g827 +g978 +tp1265 +a(g413 +V:= +p1266 +tp1267 +a(g827 +g978 +tp1268 +a(g315 +V1.23 +p1269 +tp1270 +a(g706 +g1116 +tp1271 +a(g827 +g978 +tp1272 +a(g436 +g1263 +tp1273 +a(g827 +g978 +tp1274 +a(g413 +V:= +p1275 +tp1276 +a(g827 +g978 +tp1277 +a(g315 +V1000000.000001 +p1278 +tp1279 +a(g706 +g1116 +tp1280 +a(g827 +g978 +tp1281 +a(g8 +V(* all dialects *) +p1282 +tp1283 +a(g827 +V\u000a +p1284 +tp1285 +a(g436 +g1263 +tp1286 +a(g827 +g978 +tp1287 +a(g413 +V:= +p1288 +tp1289 +a(g827 +g978 +tp1290 +a(g315 +V1.23 +p1291 +tp1292 +a(g706 +g1116 +tp1293 +a(g827 +g978 +tp1294 +a(g436 +g1263 +tp1295 +a(g827 +g978 +tp1296 +a(g413 +V:= +p1297 +tp1298 +a(g827 +g978 +tp1299 +a(g315 +V1'000'000.000'001 +p1300 +tp1301 +a(g706 +g1116 +tp1302 +a(g827 +g978 +tp1303 +a(g8 +V(* M2 R10 *) +p1304 +tp1305 +a(g827 +V\u000a\u000a +p1306 +tp1307 +a(g436 +g1263 +tp1308 +a(g827 +g978 +tp1309 +a(g413 +V:= +p1310 +tp1311 +a(g827 +g978 +tp1312 +a(g315 +V1.234E6 +p1313 +tp1314 +a(g706 +g1116 +tp1315 +a(g827 +g978 +tp1316 +a(g436 +g1263 +tp1317 +a(g413 +V:= +p1318 +tp1319 +a(g827 +g978 +tp1320 +a(g315 +V1.234E-6 +p1321 +tp1322 +a(g706 +g1116 +tp1323 +a(g827 +g978 +tp1324 +a(g436 +g1263 +tp1325 +a(g827 +g978 +tp1326 +a(g413 +V:= +p1327 +tp1328 +a(g827 +g978 +tp1329 +a(g315 +V1.234567E1000 +p1330 +tp1331 +a(g706 +g1116 +tp1332 +a(g827 +g978 +tp1333 +a(g8 +V(* PIM + ISO *) +p1334 +tp1335 +a(g827 +V\u000a +p1336 +tp1337 +a(g436 +g1263 +tp1338 +a(g827 +g978 +tp1339 +a(g413 +V:= +p1340 +tp1341 +a(g827 +g978 +tp1342 +a(g315 +V1.234e6 +p1343 +tp1344 +a(g706 +g1116 +tp1345 +a(g827 +g978 +tp1346 +a(g436 +g1263 +tp1347 +a(g413 +V:= +p1348 +tp1349 +a(g827 +g978 +tp1350 +a(g315 +V1.234e-6 +p1351 +tp1352 +a(g706 +g1116 +tp1353 +a(g827 +g978 +tp1354 +a(g436 +g1263 +tp1355 +a(g827 +g978 +tp1356 +a(g413 +V:= +p1357 +tp1358 +a(g827 +g978 +tp1359 +a(g315 +V1.234'567e1'000 +p1360 +tp1361 +a(g706 +g1116 +tp1362 +a(g827 +g978 +tp1363 +a(g8 +V(* M2 R10 *) +p1364 +tp1365 +a(g827 +V\u000a\u000a +p1366 +tp1367 +a(g436 +Vch +p1368 +tp1369 +a(g827 +g978 +tp1370 +a(g413 +V:= +p1371 +tp1372 +a(g827 +g978 +tp1373 +a(g282 +V0377C +p1374 +tp1375 +a(g706 +g1116 +tp1376 +a(g827 +g978 +tp1377 +a(g436 +g1177 +tp1378 +a(g827 +g978 +tp1379 +a(g413 +V:= +p1380 +tp1381 +a(g827 +g978 +tp1382 +a(g282 +V0377B +p1383 +tp1384 +a(g706 +g1116 +tp1385 +a(g827 +g978 +tp1386 +a(g436 +g1177 +tp1387 +a(g827 +g978 +tp1388 +a(g413 +V:= +p1389 +tp1390 +a(g827 +g978 +tp1391 +a(g298 +V07FF0H +p1392 +tp1393 +a(g706 +g1116 +tp1394 +a(g827 +g978 +tp1395 +a(g8 +V(* ISO + PIM *) +p1396 +tp1397 +a(g827 +V\u000a\u000a\u000a +p1398 +tp1399 +a(g8 +V(* Non-Alphabetic Operator Tests *) +p1400 +tp1401 +a(g827 +V\u000a\u000a +p1402 +tp1403 +a(g8 +V(* supported operators should be rendered as one unit\u000a unsupported operators should be rendered as errors *) +p1404 +tp1405 +a(g827 +V\u000a\u000a +p1406 +tp1407 +a(g436 +Va +p1408 +tp1409 +a(g827 +g978 +tp1410 +a(g413 +V:= +p1411 +tp1412 +a(g827 +g978 +tp1413 +a(g436 +Vb +p1414 +tp1415 +a(g827 +g978 +tp1416 +a(g413 +V+ +p1417 +tp1418 +a(g827 +g978 +tp1419 +a(g436 +Vc +p1420 +tp1421 +a(g827 +g978 +tp1422 +a(g413 +V- +p1423 +tp1424 +a(g827 +g978 +tp1425 +a(g436 +Vd +p1426 +tp1427 +a(g827 +g978 +tp1428 +a(g413 +V* +p1429 +tp1430 +a(g827 +g978 +tp1431 +a(g436 +Ve +p1432 +tp1433 +a(g827 +g978 +tp1434 +a(g413 +V/ +p1435 +tp1436 +a(g827 +g978 +tp1437 +a(g436 +Vf +p1438 +tp1439 +a(g706 +g1116 +tp1440 +a(g827 +g978 +tp1441 +a(g8 +V(* all dialects *) +p1442 +tp1443 +a(g827 +V\u000a\u000a +p1444 +tp1445 +a(g436 +VSetDiff +p1446 +tp1447 +a(g827 +g978 +tp1448 +a(g413 +V:= +p1449 +tp1450 +a(g827 +g978 +tp1451 +a(g436 +VA +p1452 +tp1453 +a(g827 +g978 +tp1454 +a(g413 +V\u005c +p1455 +tp1456 +a(g827 +g978 +tp1457 +a(g436 +VB +p1458 +tp1459 +a(g706 +g1116 +tp1460 +a(g827 +g978 +tp1461 +a(g8 +V(* M2 R10 *) +p1462 +tp1463 +a(g827 +V\u000a\u000a +p1464 +tp1465 +a(g436 +VdotProduct +p1466 +tp1467 +a(g827 +g978 +tp1468 +a(g413 +V:= +p1469 +tp1470 +a(g827 +g978 +tp1471 +a(g436 +Vv1 +p1472 +tp1473 +a(g827 +g978 +tp1474 +a(g413 +V*. +p1475 +tp1476 +a(g827 +g978 +tp1477 +a(g436 +Vv2 +p1478 +tp1479 +a(g706 +g1116 +tp1480 +a(g827 +g978 +tp1481 +a(g436 +VcatArray +p1482 +tp1483 +a(g827 +g978 +tp1484 +a(g413 +V:= +p1485 +tp1486 +a(g827 +g978 +tp1487 +a(g436 +Varray1 +p1488 +tp1489 +a(g827 +g978 +tp1490 +a(g413 +V+> +p1491 +tp1492 +a(g827 +g978 +tp1493 +a(g436 +Varray2 +p1494 +tp1495 +a(g706 +g1116 +tp1496 +a(g827 +g978 +tp1497 +a(g8 +V(* M2 R10 *) +p1498 +tp1499 +a(g827 +V\u000a\u000a +p1500 +tp1501 +a(g436 +Vbool +p1502 +tp1503 +a(g827 +g978 +tp1504 +a(g413 +V:= +p1505 +tp1506 +a(g827 +g978 +tp1507 +a(g436 +g1408 +tp1508 +a(g827 +g978 +tp1509 +a(g413 +g1189 +tp1510 +a(g827 +g978 +tp1511 +a(g436 +g1414 +tp1512 +a(g706 +g1116 +tp1513 +a(g827 +g978 +tp1514 +a(g436 +Vbool +p1515 +tp1516 +a(g827 +g978 +tp1517 +a(g413 +V:= +p1518 +tp1519 +a(g827 +g978 +tp1520 +a(g436 +g1408 +tp1521 +a(g827 +g978 +tp1522 +a(g413 +V> +p1523 +tp1524 +a(g827 +g978 +tp1525 +a(g436 +g1414 +tp1526 +a(g706 +g1116 +tp1527 +a(g827 +g978 +tp1528 +a(g436 +Vbool +p1529 +tp1530 +a(g827 +g978 +tp1531 +a(g413 +V:= +p1532 +tp1533 +a(g827 +g978 +tp1534 +a(g436 +g1408 +tp1535 +a(g827 +g978 +tp1536 +a(g413 +V< +p1537 +tp1538 +a(g827 +g978 +tp1539 +a(g436 +g1414 +tp1540 +a(g706 +g1116 +tp1541 +a(g827 +V\u000a +p1542 +tp1543 +a(g436 +Vbool +p1544 +tp1545 +a(g827 +g978 +tp1546 +a(g413 +V:= +p1547 +tp1548 +a(g827 +g978 +tp1549 +a(g436 +g1408 +tp1550 +a(g827 +g978 +tp1551 +a(g413 +V# +p1552 +tp1553 +a(g827 +g978 +tp1554 +a(g436 +g1414 +tp1555 +a(g706 +g1116 +tp1556 +a(g827 +g978 +tp1557 +a(g436 +Vbool +p1558 +tp1559 +a(g827 +g978 +tp1560 +a(g413 +V:= +p1561 +tp1562 +a(g827 +g978 +tp1563 +a(g436 +g1408 +tp1564 +a(g827 +g978 +tp1565 +a(g413 +V>= +p1566 +tp1567 +a(g827 +g978 +tp1568 +a(g436 +g1414 +tp1569 +a(g706 +g1116 +tp1570 +a(g827 +g978 +tp1571 +a(g436 +Vbool +p1572 +tp1573 +a(g827 +g978 +tp1574 +a(g413 +V:= +p1575 +tp1576 +a(g827 +g978 +tp1577 +a(g436 +g1408 +tp1578 +a(g827 +g978 +tp1579 +a(g413 +V<= +p1580 +tp1581 +a(g827 +g978 +tp1582 +a(g436 +g1414 +tp1583 +a(g706 +g1116 +tp1584 +a(g827 +V\u000a\u000a +p1585 +tp1586 +a(g436 +Vbool +p1587 +tp1588 +a(g827 +g978 +tp1589 +a(g413 +V:= +p1590 +tp1591 +a(g827 +g978 +tp1592 +a(g436 +g1408 +tp1593 +a(g827 +g978 +tp1594 +a(g413 +V<> +p1595 +tp1596 +a(g827 +g978 +tp1597 +a(g436 +g1414 +tp1598 +a(g706 +g1116 +tp1599 +a(g827 +g978 +tp1600 +a(g8 +V(* PIM + ISO *) +p1601 +tp1602 +a(g827 +V\u000a\u000a +p1603 +tp1604 +a(g436 +Vbool +p1605 +tp1606 +a(g827 +g978 +tp1607 +a(g413 +V:= +p1608 +tp1609 +a(g827 +g978 +tp1610 +a(g436 +g1408 +tp1611 +a(g827 +g978 +tp1612 +a(g413 +V== +p1613 +tp1614 +a(g827 +g978 +tp1615 +a(g436 +g1414 +tp1616 +a(g706 +g1116 +tp1617 +a(g827 +g978 +tp1618 +a(g8 +V(* M2 R10 *) +p1619 +tp1620 +a(g827 +V\u000a\u000a +p1621 +tp1622 +a(g8 +V(*&*) +p1623 +tp1624 +a(g827 +g978 +tp1625 +a(g794 +VIF +p1626 +tp1627 +a(g827 +g978 +tp1628 +a(g436 +g1408 +tp1629 +a(g827 +g978 +tp1630 +a(g413 +V& +p1631 +tp1632 +a(g827 +g978 +tp1633 +a(g436 +g1414 +tp1634 +a(g827 +g978 +tp1635 +a(g794 +VTHEN +p1636 +tp1637 +a(g827 +g978 +tp1638 +a(g706 +V.. +p1639 +tp1640 +a(g706 +V. +p1641 +tp1642 +a(g827 +g978 +tp1643 +a(g794 +VEND +p1644 +tp1645 +a(g706 +g1116 +tp1646 +a(g827 +g978 +tp1647 +a(g8 +V(* PIM + ISO *) +p1648 +tp1649 +a(g827 +V\u000a\u000a +p1650 +tp1651 +a(g8 +V(*~*) +p1652 +tp1653 +a(g827 +g978 +tp1654 +a(g794 +VIF +p1655 +tp1656 +a(g827 +g978 +tp1657 +a(g413 +V~ +p1658 +tp1659 +a(g827 +g978 +tp1660 +a(g436 +g1414 +tp1661 +a(g827 +g978 +tp1662 +a(g794 +VTHEN +p1663 +tp1664 +a(g827 +g978 +tp1665 +a(g706 +V.. +p1666 +tp1667 +a(g706 +g1641 +tp1668 +a(g827 +g978 +tp1669 +a(g794 +VEND +p1670 +tp1671 +a(g706 +g1116 +tp1672 +a(g827 +g978 +tp1673 +a(g8 +V(* PIM + ISO *) +p1674 +tp1675 +a(g827 +V\u000a\u000a +p1676 +tp1677 +a(g8 +V(*::*) +p1678 +tp1679 +a(g827 +g978 +tp1680 +a(g436 +Vint +p1681 +tp1682 +a(g827 +g978 +tp1683 +a(g413 +V:= +p1684 +tp1685 +a(g827 +g978 +tp1686 +a(g436 +Vreal +p1687 +tp1688 +a(g827 +g978 +tp1689 +a(g413 +V:: +p1690 +tp1691 +a(g827 +g978 +tp1692 +a(g682 +VINTEGER +p1693 +tp1694 +a(g706 +g1116 +tp1695 +a(g827 +g978 +tp1696 +a(g8 +V(* M2 R10 *) +p1697 +tp1698 +a(g827 +V\u000a\u000a +p1699 +tp1700 +a(g8 +V(*++*) +p1701 +tp1702 +a(g827 +g978 +tp1703 +a(g794 +VFOR +p1704 +tp1705 +a(g827 +g978 +tp1706 +a(g436 +Vi +p1707 +tp1708 +a(g413 +V++ +p1709 +tp1710 +a(g827 +g978 +tp1711 +a(g794 +VIN +p1712 +tp1713 +a(g827 +g978 +tp1714 +a(g436 +Vrange +p1715 +tp1716 +a(g827 +g978 +tp1717 +a(g794 +VDO +p1718 +tp1719 +a(g827 +g978 +tp1720 +a(g706 +V.. +p1721 +tp1722 +a(g706 +g1641 +tp1723 +a(g827 +g978 +tp1724 +a(g794 +VEND +p1725 +tp1726 +a(g706 +g1116 +tp1727 +a(g827 +g978 +tp1728 +a(g8 +V(* M2 R10 *) +p1729 +tp1730 +a(g827 +V\u000a +p1731 +tp1732 +a(g8 +V(*--*) +p1733 +tp1734 +a(g827 +g978 +tp1735 +a(g794 +VFOR +p1736 +tp1737 +a(g827 +g978 +tp1738 +a(g436 +g1707 +tp1739 +a(g413 +V-- +p1740 +tp1741 +a(g827 +g978 +tp1742 +a(g794 +VIN +p1743 +tp1744 +a(g827 +g978 +tp1745 +a(g436 +Vrange +p1746 +tp1747 +a(g827 +g978 +tp1748 +a(g794 +VDO +p1749 +tp1750 +a(g827 +g978 +tp1751 +a(g706 +V.. +p1752 +tp1753 +a(g706 +g1641 +tp1754 +a(g827 +g978 +tp1755 +a(g794 +VEND +p1756 +tp1757 +a(g706 +g1116 +tp1758 +a(g827 +g978 +tp1759 +a(g8 +V(* M2 R10 *) +p1760 +tp1761 +a(g827 +V\u000a\u000a +p1762 +tp1763 +a(g8 +V(*^*) +p1764 +tp1765 +a(g827 +g978 +tp1766 +a(g436 +Vnext +p1767 +tp1768 +a(g827 +g978 +tp1769 +a(g413 +V:= +p1770 +tp1771 +a(g827 +g978 +tp1772 +a(g436 +Vthis +p1773 +tp1774 +a(g413 +V^ +p1775 +tp1776 +a(g706 +g1641 +tp1777 +a(g436 +Vnext +p1778 +tp1779 +a(g706 +g1116 +tp1780 +a(g827 +g978 +tp1781 +a(g8 +V(* all dialects *) +p1782 +tp1783 +a(g827 +V\u000a +p1784 +tp1785 +a(g8 +V(*@*) +p1786 +tp1787 +a(g827 +g978 +tp1788 +a(g436 +Vnext +p1789 +tp1790 +a(g827 +g978 +tp1791 +a(g413 +V:= +p1792 +tp1793 +a(g827 +g978 +tp1794 +a(g436 +Vthis +p1795 +tp1796 +a(g413 +V@ +p1797 +tp1798 +a(g706 +g1641 +tp1799 +a(g436 +Vnext +p1800 +tp1801 +a(g706 +g1116 +tp1802 +a(g827 +g978 +tp1803 +a(g8 +V(* ISO *) +p1804 +tp1805 +a(g827 +V\u000a\u000a +p1806 +tp1807 +a(g8 +V(*`*) +p1808 +tp1809 +a(g827 +g978 +tp1810 +a(g436 +Vstr +p1811 +tp1812 +a(g827 +g978 +tp1813 +a(g413 +V:= +p1814 +tp1815 +a(g827 +g978 +tp1816 +a(g413 +V` +p1817 +tp1818 +a(g436 +VNSString +p1819 +tp1820 +a(g827 +g978 +tp1821 +a(g436 +Valloc +p1822 +tp1823 +a(g827 +g978 +tp1824 +a(g436 +Vinit +p1825 +tp1826 +a(g706 +g1116 +tp1827 +a(g827 +g978 +tp1828 +a(g8 +V(* ObjM2 *) +p1829 +tp1830 +a(g827 +V\u000a\u000a\u000a +p1831 +tp1832 +a(g8 +V(* Punctuation Tests *) +p1833 +tp1834 +a(g827 +V\u000a\u000a +p1835 +tp1836 +a(g8 +V(* supported punctuation should be rendered as one unit\u000a unsupported punctuation should be rendered as an error *) +p1837 +tp1838 +a(g827 +V\u000a\u000a +p1839 +tp1840 +a(g8 +V(*.*) +p1841 +tp1842 +a(g827 +g978 +tp1843 +a(g436 +VFoo +p1844 +tp1845 +a(g706 +g1641 +tp1846 +a(g436 +VBar +p1847 +tp1848 +a(g706 +g1641 +tp1849 +a(g436 +VBaz +p1850 +tp1851 +a(g706 +g1116 +tp1852 +a(g827 +g978 +tp1853 +a(g8 +V(*..*) +p1854 +tp1855 +a(g827 +g978 +tp1856 +a(g794 +VTYPE +p1857 +tp1858 +a(g827 +g978 +tp1859 +a(g436 +VSign +p1860 +tp1861 +a(g827 +g978 +tp1862 +a(g413 +g1189 +tp1863 +a(g827 +g978 +tp1864 +a(g706 +V[ +p1865 +tp1866 +a(g413 +g1423 +tp1867 +a(g37 +V1 +p1868 +tp1869 +a(g706 +V.. +p1870 +tp1871 +a(g37 +g1868 +tp1872 +a(g706 +V] +p1873 +tp1874 +a(g827 +g978 +tp1875 +a(g794 +VOF +p1876 +tp1877 +a(g827 +g978 +tp1878 +a(g682 +VINTEGER +p1879 +tp1880 +a(g706 +g1116 +tp1881 +a(g827 +V\u000a\u000a +p1882 +tp1883 +a(g8 +V(*|:*) +p1884 +tp1885 +a(g827 +g978 +tp1886 +a(g794 +VCASE +p1887 +tp1888 +a(g827 +g978 +tp1889 +a(g436 +Vfoo +p1890 +tp1891 +a(g827 +g978 +tp1892 +a(g794 +VOF +p1893 +tp1894 +a(g827 +g978 +tp1895 +a(g706 +V| +p1896 +tp1897 +a(g827 +g978 +tp1898 +a(g37 +g1868 +tp1899 +a(g827 +g978 +tp1900 +a(g706 +V: +p1901 +tp1902 +a(g827 +g978 +tp1903 +a(g436 +Vbar +p1904 +tp1905 +a(g827 +g978 +tp1906 +a(g706 +g1896 +tp1907 +a(g827 +g978 +tp1908 +a(g37 +V2 +p1909 +tp1910 +a(g827 +g978 +tp1911 +a(g706 +g1901 +tp1912 +a(g827 +g978 +tp1913 +a(g436 +Vbam +p1914 +tp1915 +a(g827 +g978 +tp1916 +a(g706 +g1896 +tp1917 +a(g827 +g978 +tp1918 +a(g37 +V3 +p1919 +tp1920 +a(g827 +g978 +tp1921 +a(g706 +g1901 +tp1922 +a(g827 +g978 +tp1923 +a(g436 +Vboo +p1924 +tp1925 +a(g827 +g978 +tp1926 +a(g794 +VEND +p1927 +tp1928 +a(g706 +g1116 +tp1929 +a(g827 +V\u000a +p1930 +tp1931 +a(g8 +V(*!:*) +p1932 +tp1933 +a(g827 +g978 +tp1934 +a(g794 +VCASE +p1935 +tp1936 +a(g827 +g978 +tp1937 +a(g436 +Vfoo +p1938 +tp1939 +a(g827 +g978 +tp1940 +a(g794 +VOF +p1941 +tp1942 +a(g827 +g978 +tp1943 +a(g37 +g1868 +tp1944 +a(g827 +g978 +tp1945 +a(g706 +g1901 +tp1946 +a(g827 +g978 +tp1947 +a(g436 +Vbar +p1948 +tp1949 +a(g827 +g978 +tp1950 +a(g706 +V! +p1951 +tp1952 +a(g827 +g978 +tp1953 +a(g37 +g1909 +tp1954 +a(g827 +g978 +tp1955 +a(g706 +g1901 +tp1956 +a(g827 +g978 +tp1957 +a(g436 +Vbam +p1958 +tp1959 +a(g827 +g978 +tp1960 +a(g706 +g1951 +tp1961 +a(g827 +g978 +tp1962 +a(g37 +g1919 +tp1963 +a(g827 +g978 +tp1964 +a(g706 +g1901 +tp1965 +a(g827 +g978 +tp1966 +a(g436 +Vboo +p1967 +tp1968 +a(g827 +g978 +tp1969 +a(g794 +VEND +p1970 +tp1971 +a(g706 +g1116 +tp1972 +a(g827 +g978 +tp1973 +a(g8 +V(* ISO *) +p1974 +tp1975 +a(g827 +V\u000a\u000a +p1976 +tp1977 +a(g8 +V(*[]()*) +p1978 +tp1979 +a(g827 +g978 +tp1980 +a(g436 +Varray +p1981 +tp1982 +a(g706 +g1865 +tp1983 +a(g436 +g1177 +tp1984 +a(g706 +g1873 +tp1985 +a(g827 +g978 +tp1986 +a(g413 +V:= +p1987 +tp1988 +a(g827 +g978 +tp1989 +a(g436 +Vfoo +p1990 +tp1991 +a(g706 +V( +p1992 +tp1993 +a(g706 +V) +p1994 +tp1995 +a(g706 +g1116 +tp1996 +a(g827 +V\u000a\u000a +p1997 +tp1998 +a(g8 +V(*{}*) +p1999 +tp2000 +a(g827 +g978 +tp2001 +a(g794 +VCONST +p2002 +tp2003 +a(g827 +g978 +tp2004 +a(g436 +VBar +p2005 +tp2006 +a(g827 +g978 +tp2007 +a(g413 +g1189 +tp2008 +a(g827 +g978 +tp2009 +a(g706 +V{ +p2010 +tp2011 +a(g827 +g978 +tp2012 +a(g37 +g1868 +tp2013 +a(g706 +V, +p2014 +tp2015 +a(g827 +g978 +tp2016 +a(g37 +g1909 +tp2017 +a(g706 +g2014 +tp2018 +a(g827 +g978 +tp2019 +a(g37 +g1919 +tp2020 +a(g827 +g978 +tp2021 +a(g706 +V} +p2022 +tp2023 +a(g706 +g1116 +tp2024 +a(g827 +V\u000a\u000a +p2025 +tp2026 +a(g8 +V(*?*) +p2027 +tp2028 +a(g827 +g978 +tp2029 +a(g694 +VTPROPERTIES +p2030 +tp2031 +a(g827 +g978 +tp2032 +a(g413 +g1189 +tp2033 +a(g827 +g978 +tp2034 +a(g436 +VisCollection +p2035 +tp2036 +a(g706 +g2014 +tp2037 +a(g827 +g978 +tp2038 +a(g436 +VisIndexed +p2039 +tp2040 +a(g827 +g978 +tp2041 +a(g706 +g1896 +tp2042 +a(g827 +g978 +tp2043 +a(g436 +VisRigid +p2044 +tp2045 +a(g706 +V? +p2046 +tp2047 +a(g706 +g1116 +tp2048 +a(g827 +g978 +tp2049 +a(g8 +V(* M2 R10 *) +p2050 +tp2051 +a(g827 +V\u000a\u000a +p2052 +tp2053 +a(g8 +V(*~*) +p2054 +tp2055 +a(g827 +g978 +tp2056 +a(g794 +VCONST +p2057 +tp2058 +a(g827 +g978 +tp2059 +a(g413 +g1658 +tp2060 +a(g827 +g978 +tp2061 +a(g436 +VisFoobar +p2062 +tp2063 +a(g827 +g978 +tp2064 +a(g413 +g1189 +tp2065 +a(g827 +g978 +tp2066 +a(g436 +VFoo +p2067 +tp2068 +a(g827 +g978 +tp2069 +a(g794 +VAND +p2070 +tp2071 +a(g827 +g978 +tp2072 +a(g436 +VBar +p2073 +tp2074 +a(g706 +g1116 +tp2075 +a(g827 +g978 +tp2076 +a(g8 +V(* M2 R10 *) +p2077 +tp2078 +a(g827 +V\u000a +p2079 +tp2080 +a(g8 +V(*->*) +p2081 +tp2082 +a(g827 +g978 +tp2083 +a(g436 +VisFoobar +p2084 +tp2085 +a(g827 +g978 +tp2086 +a(g706 +V-> +p2087 +tp2088 +a(g827 +g978 +tp2089 +a(g794 +VPROCEDURE +p2090 +tp2091 +a(g827 +g978 +tp2092 +a(g706 +g1865 +tp2093 +a(g682 +VABS +p2094 +tp2095 +a(g706 +g1873 +tp2096 +a(g706 +g1116 +tp2097 +a(g827 +g978 +tp2098 +a(g8 +V(* M2 R10 *) +p2099 +tp2100 +a(g827 +V\u000a\u000a +p2101 +tp2102 +a(g8 +V(*<<>>*) +p2103 +tp2104 +a(g827 +g978 +tp2105 +a(g794 +VGENLIB +p2106 +tp2107 +a(g827 +g978 +tp2108 +a(g436 +VFoo +p2109 +tp2110 +a(g827 +g978 +tp2111 +a(g794 +VFROM +p2112 +tp2113 +a(g827 +g978 +tp2114 +a(g436 +VTemplate +p2115 +tp2116 +a(g827 +g978 +tp2117 +a(g794 +VFOR +p2118 +tp2119 +a(g827 +g978 +tp2120 +a(g436 +VBar +p2121 +tp2122 +a(g827 +g978 +tp2123 +a(g413 +g1189 +tp2124 +a(g827 +g978 +tp2125 +a(g706 +V<< +p2126 +tp2127 +a(g794 +VARRAY +p2128 +tp2129 +a(g827 +g978 +tp2130 +a(g794 +VOF +p2131 +tp2132 +a(g827 +g978 +tp2133 +a(g682 +VCHAR +p2134 +tp2135 +a(g706 +V>> +p2136 +tp2137 +a(g827 +g978 +tp2138 +a(g794 +VEND +p2139 +tp2140 +a(g706 +g1116 +tp2141 +a(g827 +g978 +tp2142 +a(g8 +V(* M2 R10 *) +p2143 +tp2144 +a(g827 +V\u000a\u000a\u000a +p2145 +tp2146 +a(g8 +V(* Single Line Comment Test *) +p2147 +tp2148 +a(g827 +V\u000a\u000a +p2149 +tp2150 +a(g8 +V(* should be rendered as comment if supported, as error if unsupported *) +p2151 +tp2152 +a(g827 +V\u000a\u000a +p2153 +tp2154 +a(g906 +V// This is a single line comment (M2 R10 + ObjM2)\u000a +p2155 +tp2156 +a(g827 +V\u000a\u000a +p2157 +tp2158 +a(g8 +V(* Pragma Delimiter Tests *) +p2159 +tp2160 +a(g827 +V\u000a\u000a +p2161 +tp2162 +a(g8 +V(* PIM style pragma should be rendered as pragma in PIM dialects,\u000a as multiline comment in all other dialects. *) +p2163 +tp2164 +a(g827 +V\u000a +p2165 +tp2166 +a(g827 +V \u000a +p2167 +tp2168 +a(g899 +V(*$INLINE*) +p2169 +tp2170 +a(g827 +g978 +tp2171 +a(g8 +V(* PIM *) +p2172 +tp2173 +a(g827 +V\u000a\u000a +p2174 +tp2175 +a(g8 +V(* ISO style pragma should be rendered as error in PIM dialects,\u000a as pragma in all other dialects. *) +p2176 +tp2177 +a(g827 +V\u000a\u000a +p2178 +tp2179 +a(g899 +V<*INLINE*> +p2180 +tp2181 +a(g827 +V +p2182 +tp2183 +a(g8 +V(* all other dialects *) +p2184 +tp2185 +a(g827 +V\u000a\u000a\u000a +p2186 +tp2187 +a(g8 +V(* Operator Substitution Test When in Algol mode *) +p2188 +tp2189 +a(g827 +V\u000a\u000a +p2190 +tp2191 +a(g794 +VIF +p2192 +tp2193 +a(g827 +g978 +tp2194 +a(g436 +Vfoo +p2195 +tp2196 +a(g827 +g978 +tp2197 +a(g413 +g1552 +tp2198 +a(g827 +g978 +tp2199 +a(g436 +Vbar +p2200 +tp2201 +a(g827 +g978 +tp2202 +a(g794 +VTHEN +p2203 +tp2204 +a(g827 +g978 +tp2205 +a(g706 +V.. +p2206 +tp2207 +a(g706 +g1641 +tp2208 +a(g827 +g978 +tp2209 +a(g794 +VEND +p2210 +tp2211 +a(g706 +g1116 +tp2212 +a(g827 +g978 +tp2213 +a(g8 +V(* # should be rendered as not equal symbol *) +p2214 +tp2215 +a(g827 +V\u000a\u000a +p2216 +tp2217 +a(g794 +VIF +p2218 +tp2219 +a(g827 +g978 +tp2220 +a(g436 +Vfoo +p2221 +tp2222 +a(g827 +g978 +tp2223 +a(g413 +V>= +p2224 +tp2225 +a(g827 +g978 +tp2226 +a(g436 +Vbar +p2227 +tp2228 +a(g827 +g978 +tp2229 +a(g794 +VTHEN +p2230 +tp2231 +a(g827 +g978 +tp2232 +a(g706 +V.. +p2233 +tp2234 +a(g706 +g1641 +tp2235 +a(g827 +g978 +tp2236 +a(g794 +VEND +p2237 +tp2238 +a(g706 +g1116 +tp2239 +a(g827 +g978 +tp2240 +a(g8 +V(* >= should be rendered as not less symbol *) +p2241 +tp2242 +a(g827 +V\u000a\u000a +p2243 +tp2244 +a(g794 +VIF +p2245 +tp2246 +a(g827 +g978 +tp2247 +a(g436 +Vfoo +p2248 +tp2249 +a(g827 +g978 +tp2250 +a(g413 +V<= +p2251 +tp2252 +a(g827 +g978 +tp2253 +a(g436 +Vbar +p2254 +tp2255 +a(g827 +g978 +tp2256 +a(g794 +VTHEN +p2257 +tp2258 +a(g827 +g978 +tp2259 +a(g706 +V.. +p2260 +tp2261 +a(g706 +g1641 +tp2262 +a(g827 +g978 +tp2263 +a(g794 +VEND +p2264 +tp2265 +a(g706 +g1116 +tp2266 +a(g827 +g978 +tp2267 +a(g8 +V(* <= should be rendered as not greater symbol *) +p2268 +tp2269 +a(g827 +V\u000a\u000a +p2270 +tp2271 +a(g794 +VIF +p2272 +tp2273 +a(g827 +g978 +tp2274 +a(g436 +Vfoo +p2275 +tp2276 +a(g827 +g978 +tp2277 +a(g413 +V== +p2278 +tp2279 +a(g827 +g978 +tp2280 +a(g436 +Vbar +p2281 +tp2282 +a(g827 +g978 +tp2283 +a(g794 +VTHEN +p2284 +tp2285 +a(g827 +g978 +tp2286 +a(g706 +V.. +p2287 +tp2288 +a(g706 +g1641 +tp2289 +a(g827 +g978 +tp2290 +a(g794 +VEND +p2291 +tp2292 +a(g706 +g1116 +tp2293 +a(g827 +g978 +tp2294 +a(g8 +V(* == should be rendered as identity symbol *) +p2295 +tp2296 +a(g827 +V\u000a\u000a +p2297 +tp2298 +a(g436 +VdotProduct +p2299 +tp2300 +a(g827 +g978 +tp2301 +a(g413 +V:= +p2302 +tp2303 +a(g827 +g978 +tp2304 +a(g436 +Vv1 +p2305 +tp2306 +a(g827 +g978 +tp2307 +a(g413 +V*. +p2308 +tp2309 +a(g827 +g978 +tp2310 +a(g436 +Vv2 +p2311 +tp2312 +a(g706 +g1116 +tp2313 +a(g827 +g978 +tp2314 +a(g8 +V(* *. should be rendered as dot product symbol *) +p2315 +tp2316 +a(g827 +V\u000a\u000a\u000a +p2317 +tp2318 +a(g8 +V(* Reserved Words and Builtins Test *) +p2319 +tp2320 +a(g827 +V\u000a\u000a +p2321 +tp2322 +a(g8 +V(* supported reserved words and builtins should be highlighted *) +p2323 +tp2324 +a(g827 +V\u000a\u000a +p2325 +tp2326 +a(g8 +V(* reserved words common to all dialects *) +p2327 +tp2328 +a(g827 +V\u000a\u000a +p2329 +tp2330 +a(g794 +VAND +p2331 +tp2332 +a(g827 +g978 +tp2333 +a(g794 +VARRAY +p2334 +tp2335 +a(g827 +g978 +tp2336 +a(g794 +VBEGIN +p2337 +tp2338 +a(g827 +g978 +tp2339 +a(g794 +VBY +p2340 +tp2341 +a(g827 +g978 +tp2342 +a(g794 +VCASE +p2343 +tp2344 +a(g827 +g978 +tp2345 +a(g794 +VCONST +p2346 +tp2347 +a(g827 +g978 +tp2348 +a(g794 +VDEFINITION +p2349 +tp2350 +a(g827 +g978 +tp2351 +a(g794 +VDIV +p2352 +tp2353 +a(g827 +g978 +tp2354 +a(g794 +VDO +p2355 +tp2356 +a(g827 +g978 +tp2357 +a(g794 +VELSE +p2358 +tp2359 +a(g827 +g978 +tp2360 +a(g794 +VELSIF +p2361 +tp2362 +a(g827 +g978 +tp2363 +a(g794 +VEND +p2364 +tp2365 +a(g827 +g978 +tp2366 +a(g794 +VEXIT +p2367 +tp2368 +a(g827 +g978 +tp2369 +a(g794 +VFOR +p2370 +tp2371 +a(g827 +g978 +tp2372 +a(g794 +VFROM +p2373 +tp2374 +a(g827 +V\u000a +p2375 +tp2376 +a(g794 +VIF +p2377 +tp2378 +a(g827 +g978 +tp2379 +a(g794 +VIMPLEMENTATION +p2380 +tp2381 +a(g827 +g978 +tp2382 +a(g794 +VIMPORT +p2383 +tp2384 +a(g827 +g978 +tp2385 +a(g794 +VIN +p2386 +tp2387 +a(g827 +g978 +tp2388 +a(g794 +VLOOP +p2389 +tp2390 +a(g827 +g978 +tp2391 +a(g794 +VMOD +p2392 +tp2393 +a(g827 +g978 +tp2394 +a(g794 +VMODULE +p2395 +tp2396 +a(g827 +g978 +tp2397 +a(g794 +VNOT +p2398 +tp2399 +a(g827 +g978 +tp2400 +a(g794 +VOF +p2401 +tp2402 +a(g827 +g978 +tp2403 +a(g794 +VOR +p2404 +tp2405 +a(g827 +g978 +tp2406 +a(g794 +VPOINTER +p2407 +tp2408 +a(g827 +g978 +tp2409 +a(g794 +VPROCEDURE +p2410 +tp2411 +a(g827 +V\u000a +p2412 +tp2413 +a(g794 +VRECORD +p2414 +tp2415 +a(g827 +g978 +tp2416 +a(g794 +VREPEAT +p2417 +tp2418 +a(g827 +g978 +tp2419 +a(g794 +VRETURN +p2420 +tp2421 +a(g827 +g978 +tp2422 +a(g794 +VSET +p2423 +tp2424 +a(g827 +g978 +tp2425 +a(g794 +VTHEN +p2426 +tp2427 +a(g827 +g978 +tp2428 +a(g794 +VTO +p2429 +tp2430 +a(g827 +g978 +tp2431 +a(g794 +VTYPE +p2432 +tp2433 +a(g827 +g978 +tp2434 +a(g794 +VUNTIL +p2435 +tp2436 +a(g827 +g978 +tp2437 +a(g794 +VVAR +p2438 +tp2439 +a(g827 +g978 +tp2440 +a(g794 +VWHILE +p2441 +tp2442 +a(g827 +V\u000a\u000a +p2443 +tp2444 +a(g8 +V(* builtins common to all dialects *) +p2445 +tp2446 +a(g827 +V\u000a\u000a +p2447 +tp2448 +a(g682 +VABS +p2449 +tp2450 +a(g827 +g978 +tp2451 +a(g682 +VBOOLEAN +p2452 +tp2453 +a(g827 +g978 +tp2454 +a(g682 +VCARDINAL +p2455 +tp2456 +a(g827 +g978 +tp2457 +a(g682 +VCHAR +p2458 +tp2459 +a(g827 +g978 +tp2460 +a(g682 +VCHR +p2461 +tp2462 +a(g827 +g978 +tp2463 +a(g682 +VFALSE +p2464 +tp2465 +a(g827 +g978 +tp2466 +a(g682 +VINTEGER +p2467 +tp2468 +a(g827 +g978 +tp2469 +a(g682 +VLONGINT +p2470 +tp2471 +a(g827 +g978 +tp2472 +a(g682 +VLONGREAL +p2473 +tp2474 +a(g827 +V\u000a +p2475 +tp2476 +a(g682 +VMAX +p2477 +tp2478 +a(g827 +g978 +tp2479 +a(g682 +VMIN +p2480 +tp2481 +a(g827 +g978 +tp2482 +a(g682 +VNIL +p2483 +tp2484 +a(g827 +g978 +tp2485 +a(g682 +VODD +p2486 +tp2487 +a(g827 +g978 +tp2488 +a(g682 +VORD +p2489 +tp2490 +a(g827 +g978 +tp2491 +a(g682 +VREAL +p2492 +tp2493 +a(g827 +g978 +tp2494 +a(g682 +VTRUE +p2495 +tp2496 +a(g827 +V\u000a\u000a +p2497 +tp2498 +a(g8 +V(* pseudo builtins common to all dialects *) +p2499 +tp2500 +a(g827 +V\u000a\u000a +p2501 +tp2502 +a(g694 +VADDRESS +p2503 +tp2504 +a(g827 +g978 +tp2505 +a(g694 +VBYTE +p2506 +tp2507 +a(g827 +g978 +tp2508 +a(g694 +VWORD +p2509 +tp2510 +a(g827 +g978 +tp2511 +a(g694 +VADR +p2512 +tp2513 +a(g827 +V\u000a\u000a\u000a +p2514 +tp2515 +a(g8 +V(* additional reserved words for PIM *) +p2516 +tp2517 +a(g827 +V\u000a\u000a +p2518 +tp2519 +a(g794 +VEXPORT +p2520 +tp2521 +a(g827 +g978 +tp2522 +a(g794 +VQUALIFIED +p2523 +tp2524 +a(g827 +g978 +tp2525 +a(g794 +VWITH +p2526 +tp2527 +a(g827 +V\u000a\u000a +p2528 +tp2529 +a(g8 +V(* additional builtins for PIM *) +p2530 +tp2531 +a(g827 +V\u000a\u000a +p2532 +tp2533 +a(g682 +VBITSET +p2534 +tp2535 +a(g827 +g978 +tp2536 +a(g682 +VCAP +p2537 +tp2538 +a(g827 +g978 +tp2539 +a(g682 +VDEC +p2540 +tp2541 +a(g827 +g978 +tp2542 +a(g682 +VDISPOSE +p2543 +tp2544 +a(g827 +g978 +tp2545 +a(g682 +VEXCL +p2546 +tp2547 +a(g827 +g978 +tp2548 +a(g682 +VFLOAT +p2549 +tp2550 +a(g827 +g978 +tp2551 +a(g682 +VHALT +p2552 +tp2553 +a(g827 +g978 +tp2554 +a(g682 +VHIGH +p2555 +tp2556 +a(g827 +g978 +tp2557 +a(g682 +VINC +p2558 +tp2559 +a(g827 +g978 +tp2560 +a(g682 +VINCL +p2561 +tp2562 +a(g827 +g978 +tp2563 +a(g794 +VNEW +p2564 +tp2565 +a(g827 +g978 +tp2566 +a(g682 +VNIL +p2567 +tp2568 +a(g827 +g978 +tp2569 +a(g682 +VPROC +p2570 +tp2571 +a(g827 +g978 +tp2572 +a(g682 +VSIZE +p2573 +tp2574 +a(g827 +g978 +tp2575 +a(g682 +VTRUNC +p2576 +tp2577 +a(g827 +g978 +tp2578 +a(g682 +VVAL +p2579 +tp2580 +a(g827 +V\u000a\u000a +p2581 +tp2582 +a(g8 +V(* additional pseudo-builtins for PIM *) +p2583 +tp2584 +a(g827 +V\u000a\u000a +p2585 +tp2586 +a(g694 +VSYSTEM +p2587 +tp2588 +a(g827 +g978 +tp2589 +a(g694 +VPROCESS +p2590 +tp2591 +a(g827 +g978 +tp2592 +a(g682 +VTSIZE +p2593 +tp2594 +a(g827 +g978 +tp2595 +a(g694 +VNEWPROCESS +p2596 +tp2597 +a(g827 +g978 +tp2598 +a(g694 +VTRANSFER +p2599 +tp2600 +a(g827 +V\u000a\u000a\u000a +p2601 +tp2602 +a(g8 +V(* additional reserved words for ISO 10514-1 *) +p2603 +tp2604 +a(g827 +V\u000a\u000a +p2605 +tp2606 +a(g794 +VEXCEPT +p2607 +tp2608 +a(g827 +g978 +tp2609 +a(g794 +VEXPORT +p2610 +tp2611 +a(g827 +g978 +tp2612 +a(g794 +VFINALLY +p2613 +tp2614 +a(g827 +g978 +tp2615 +a(g794 +VFORWARD +p2616 +tp2617 +a(g827 +g978 +tp2618 +a(g794 +VPACKEDSET +p2619 +tp2620 +a(g827 +g978 +tp2621 +a(g794 +VQUALIFIED +p2622 +tp2623 +a(g827 +g978 +tp2624 +a(g794 +VREM +p2625 +tp2626 +a(g827 +g978 +tp2627 +a(g794 +VRETRY +p2628 +tp2629 +a(g827 +g978 +tp2630 +a(g794 +VWITH +p2631 +tp2632 +a(g827 +V\u000a\u000a +p2633 +tp2634 +a(g8 +V(* additional reserved words for ISO 10514-2 & ISO 10514-3 *) +p2635 +tp2636 +a(g827 +V\u000a\u000a +p2637 +tp2638 +a(g794 +VABSTRACT +p2639 +tp2640 +a(g827 +g978 +tp2641 +a(g794 +VAS +p2642 +tp2643 +a(g827 +g978 +tp2644 +a(g794 +VCLASS +p2645 +tp2646 +a(g827 +g978 +tp2647 +a(g794 +VGUARD +p2648 +tp2649 +a(g827 +g978 +tp2650 +a(g794 +VINHERIT +p2651 +tp2652 +a(g827 +g978 +tp2653 +a(g794 +VOVERRIDE +p2654 +tp2655 +a(g827 +g978 +tp2656 +a(g794 +VREADONLY +p2657 +tp2658 +a(g827 +g978 +tp2659 +a(g794 +VREVEAL +p2660 +tp2661 +a(g827 +g978 +tp2662 +a(g794 +VTRACED +p2663 +tp2664 +a(g827 +g978 +tp2665 +a(g794 +VUNSAFEGUARDED +p2666 +tp2667 +a(g827 +V\u000a\u000a +p2668 +tp2669 +a(g8 +V(* additional builtins for ISO 10514-1 *) +p2670 +tp2671 +a(g827 +V\u000a\u000a +p2672 +tp2673 +a(g682 +VBITSET +p2674 +tp2675 +a(g827 +g978 +tp2676 +a(g682 +VCAP +p2677 +tp2678 +a(g827 +g978 +tp2679 +a(g682 +VCMPLX +p2680 +tp2681 +a(g827 +g978 +tp2682 +a(g682 +VCOMPLEX +p2683 +tp2684 +a(g827 +g978 +tp2685 +a(g682 +VDEC +p2686 +tp2687 +a(g827 +g978 +tp2688 +a(g682 +VDISPOSE +p2689 +tp2690 +a(g827 +g978 +tp2691 +a(g682 +VEXCL +p2692 +tp2693 +a(g827 +g978 +tp2694 +a(g682 +VFLOAT +p2695 +tp2696 +a(g827 +g978 +tp2697 +a(g682 +VHALT +p2698 +tp2699 +a(g827 +g978 +tp2700 +a(g682 +VHIGH +p2701 +tp2702 +a(g827 +g978 +tp2703 +a(g682 +VIM +p2704 +tp2705 +a(g827 +g978 +tp2706 +a(g682 +VINC +p2707 +tp2708 +a(g827 +g978 +tp2709 +a(g682 +VINCL +p2710 +tp2711 +a(g827 +g978 +tp2712 +a(g682 +VINT +p2713 +tp2714 +a(g827 +V\u000a +p2715 +tp2716 +a(g682 +VINTERRUPTIBLE +p2717 +tp2718 +a(g827 +g978 +tp2719 +a(g682 +VLENGTH +p2720 +tp2721 +a(g827 +g978 +tp2722 +a(g682 +VLFLOAT +p2723 +tp2724 +a(g827 +g978 +tp2725 +a(g682 +VLONGCOMPLEX +p2726 +tp2727 +a(g827 +g978 +tp2728 +a(g794 +VNEW +p2729 +tp2730 +a(g827 +g978 +tp2731 +a(g682 +VPROC +p2732 +tp2733 +a(g827 +g978 +tp2734 +a(g682 +VPROTECTION +p2735 +tp2736 +a(g827 +g978 +tp2737 +a(g682 +VRE +p2738 +tp2739 +a(g827 +g978 +tp2740 +a(g682 +VSIZE +p2741 +tp2742 +a(g827 +g978 +tp2743 +a(g682 +VTRUNC +p2744 +tp2745 +a(g827 +V\u000a +p2746 +tp2747 +a(g682 +VUNINTERRUBTIBLE +p2748 +tp2749 +a(g827 +g978 +tp2750 +a(g682 +VVAL +p2751 +tp2752 +a(g827 +V\u000a\u000a +p2753 +tp2754 +a(g8 +V(* additional builtins for ISO 10514-2 & ISO 10514-3 *) +p2755 +tp2756 +a(g827 +V\u000a\u000a +p2757 +tp2758 +a(g682 +VCREATE +p2759 +tp2760 +a(g827 +g978 +tp2761 +a(g682 +VDESTROY +p2762 +tp2763 +a(g827 +g978 +tp2764 +a(g682 +VEMPTY +p2765 +tp2766 +a(g827 +g978 +tp2767 +a(g682 +VISMEMBER +p2768 +tp2769 +a(g827 +g978 +tp2770 +a(g682 +VSELF +p2771 +tp2772 +a(g827 +V\u000a\u000a\u000a +p2773 +tp2774 +a(g8 +V(* additional pseudo-builtins for ISO *) +p2775 +tp2776 +a(g827 +V\u000a\u000a +p2777 +tp2778 +a(g8 +V(* SYSTEM *) +p2779 +tp2780 +a(g827 +V\u000a +p2781 +tp2782 +a(g694 +VSYSTEM +p2783 +tp2784 +a(g827 +g978 +tp2785 +a(g694 +VBITSPERLOC +p2786 +tp2787 +a(g827 +g978 +tp2788 +a(g694 +VLOCSPERBYTE +p2789 +tp2790 +a(g827 +g978 +tp2791 +a(g694 +VLOCSPERWORD +p2792 +tp2793 +a(g827 +g978 +tp2794 +a(g694 +VLOC +p2795 +tp2796 +a(g827 +g978 +tp2797 +a(g694 +VADDADR +p2798 +tp2799 +a(g827 +g978 +tp2800 +a(g694 +VSUBADR +p2801 +tp2802 +a(g827 +g978 +tp2803 +a(g694 +VDIFADR +p2804 +tp2805 +a(g827 +g978 +tp2806 +a(g694 +VMAKEADR +p2807 +tp2808 +a(g827 +V\u000a +p2809 +tp2810 +a(g694 +VADR +p2811 +tp2812 +a(g827 +g978 +tp2813 +a(g694 +VROTATE +p2814 +tp2815 +a(g827 +g978 +tp2816 +a(g694 +VSHIFT +p2817 +tp2818 +a(g827 +g978 +tp2819 +a(g694 +VCAST +p2820 +tp2821 +a(g827 +g978 +tp2822 +a(g682 +VTSIZE +p2823 +tp2824 +a(g827 +V\u000a\u000a +p2825 +tp2826 +a(g8 +V(* COROUTINES *) +p2827 +tp2828 +a(g827 +V\u000a +p2829 +tp2830 +a(g694 +VCOROUTINES +p2831 +tp2832 +a(g827 +g978 +tp2833 +a(g694 +VATTACH +p2834 +tp2835 +a(g827 +g978 +tp2836 +a(g694 +VCOROUTINE +p2837 +tp2838 +a(g827 +g978 +tp2839 +a(g694 +VCURRENT +p2840 +tp2841 +a(g827 +g978 +tp2842 +a(g694 +VDETACH +p2843 +tp2844 +a(g827 +g978 +tp2845 +a(g694 +VHANDLER +p2846 +tp2847 +a(g827 +g978 +tp2848 +a(g694 +VINTERRUPTSOURCE +p2849 +tp2850 +a(g827 +g978 +tp2851 +a(g694 +VIOTRANSFER +p2852 +tp2853 +a(g827 +V\u000a +p2854 +tp2855 +a(g694 +VIsATTACHED +p2856 +tp2857 +a(g827 +g978 +tp2858 +a(g694 +VLISTEN +p2859 +tp2860 +a(g827 +g978 +tp2861 +a(g694 +VNEWCOROUTINE +p2862 +tp2863 +a(g827 +g978 +tp2864 +a(g694 +VPROT +p2865 +tp2866 +a(g827 +g978 +tp2867 +a(g694 +VTRANSFER +p2868 +tp2869 +a(g827 +V\u000a +p2870 +tp2871 +a(g827 +V \u000a +p2872 +tp2873 +a(g8 +V(* EXCEPTIONS *) +p2874 +tp2875 +a(g827 +V\u000a +p2876 +tp2877 +a(g694 +VEXCEPTIONS +p2878 +tp2879 +a(g827 +g978 +tp2880 +a(g694 +VAllocateSource +p2881 +tp2882 +a(g827 +g978 +tp2883 +a(g694 +VCurrentNumber +p2884 +tp2885 +a(g827 +g978 +tp2886 +a(g694 +VExceptionNumber +p2887 +tp2888 +a(g827 +g978 +tp2889 +a(g694 +VExceptionSource +p2890 +tp2891 +a(g827 +V\u000a +p2892 +tp2893 +a(g694 +VGetMessage +p2894 +tp2895 +a(g827 +g978 +tp2896 +a(g694 +VIsCurrentSource +p2897 +tp2898 +a(g827 +g978 +tp2899 +a(g694 +VIsExceptionalExecution +p2900 +tp2901 +a(g827 +g978 +tp2902 +a(g694 +VRAISE +p2903 +tp2904 +a(g827 +V\u000a\u000a +p2905 +tp2906 +a(g8 +V(* TERMINATION *) +p2907 +tp2908 +a(g827 +V\u000a +p2909 +tp2910 +a(g694 +VTERMINATION +p2911 +tp2912 +a(g827 +g978 +tp2913 +a(g694 +VIsTerminating +p2914 +tp2915 +a(g827 +g978 +tp2916 +a(g694 +VHasHalted +p2917 +tp2918 +a(g827 +V\u000a\u000a +p2919 +tp2920 +a(g8 +V(* M2EXCEPTION *) +p2921 +tp2922 +a(g827 +V\u000a +p2923 +tp2924 +a(g694 +VM2EXCEPTION +p2925 +tp2926 +a(g827 +g978 +tp2927 +a(g694 +VM2Exceptions +p2928 +tp2929 +a(g827 +g978 +tp2930 +a(g694 +VM2Exception +p2931 +tp2932 +a(g827 +g978 +tp2933 +a(g694 +VIsM2Exception +p2934 +tp2935 +a(g827 +g978 +tp2936 +a(g694 +VindexException +p2937 +tp2938 +a(g827 +g978 +tp2939 +a(g694 +VrangeException +p2940 +tp2941 +a(g827 +V\u000a +p2942 +tp2943 +a(g694 +VcaseSelectException +p2944 +tp2945 +a(g827 +g978 +tp2946 +a(g694 +VinvalidLocation +p2947 +tp2948 +a(g827 +g978 +tp2949 +a(g694 +VfunctionException +p2950 +tp2951 +a(g827 +g978 +tp2952 +a(g694 +VwholeValueException +p2953 +tp2954 +a(g827 +V\u000a +p2955 +tp2956 +a(g694 +VwholeDivException +p2957 +tp2958 +a(g827 +g978 +tp2959 +a(g694 +VrealValueException +p2960 +tp2961 +a(g827 +g978 +tp2962 +a(g694 +VrealDivException +p2963 +tp2964 +a(g827 +g978 +tp2965 +a(g694 +VcomplexValueException +p2966 +tp2967 +a(g827 +V\u000a +p2968 +tp2969 +a(g694 +VcomplexDivException +p2970 +tp2971 +a(g827 +g978 +tp2972 +a(g694 +VprotException +p2973 +tp2974 +a(g827 +g978 +tp2975 +a(g694 +VsysException +p2976 +tp2977 +a(g827 +g978 +tp2978 +a(g694 +VcoException +p2979 +tp2980 +a(g827 +g978 +tp2981 +a(g694 +VexException +p2982 +tp2983 +a(g827 +V\u000a\u000a\u000a +p2984 +tp2985 +a(g8 +V(* additional reserved words for M2 R10 *) +p2986 +tp2987 +a(g827 +V\u000a\u000a +p2988 +tp2989 +a(g794 +VALIAS +p2990 +tp2991 +a(g827 +g978 +tp2992 +a(g794 +VARGLIST +p2993 +tp2994 +a(g827 +g978 +tp2995 +a(g794 +VBLUEPRINT +p2996 +tp2997 +a(g827 +g978 +tp2998 +a(g794 +VCOPY +p2999 +tp3000 +a(g827 +g978 +tp3001 +a(g794 +VGENLIB +p3002 +tp3003 +a(g827 +g978 +tp3004 +a(g794 +VINDETERMINATE +p3005 +tp3006 +a(g827 +g978 +tp3007 +a(g794 +VNEW +p3008 +tp3009 +a(g827 +g978 +tp3010 +a(g794 +VNONE +p3011 +tp3012 +a(g827 +g978 +tp3013 +a(g794 +VOPAQUE +p3014 +tp3015 +a(g827 +g978 +tp3016 +a(g794 +VREFERENTIAL +p3017 +tp3018 +a(g827 +V\u000a +p3019 +tp3020 +a(g794 +VRELEASE +p3021 +tp3022 +a(g827 +g978 +tp3023 +a(g794 +VRETAIN +p3024 +tp3025 +a(g827 +V\u000a\u000a +p3026 +tp3027 +a(g8 +V(* with symbolic assembler language extension *) +p3028 +tp3029 +a(g827 +V\u000a +p3030 +tp3031 +a(g794 +VASM +p3032 +tp3033 +a(g827 +g978 +tp3034 +a(g794 +VREG +p3035 +tp3036 +a(g827 +V\u000a\u000a +p3037 +tp3038 +a(g8 +V(* additional builtins for M2 R10 *) +p3039 +tp3040 +a(g827 +V\u000a\u000a +p3041 +tp3042 +a(g682 +VCARDINAL +p3043 +tp3044 +a(g827 +g978 +tp3045 +a(g682 +VCOUNT +p3046 +tp3047 +a(g827 +g978 +tp3048 +a(g682 +VEMPTY +p3049 +tp3050 +a(g827 +g978 +tp3051 +a(g682 +VEXISTS +p3052 +tp3053 +a(g827 +g978 +tp3054 +a(g682 +VINSERT +p3055 +tp3056 +a(g827 +g978 +tp3057 +a(g682 +VLENGTH +p3058 +tp3059 +a(g827 +g978 +tp3060 +a(g682 +VLONGCARD +p3061 +tp3062 +a(g827 +g978 +tp3063 +a(g682 +VOCTET +p3064 +tp3065 +a(g827 +g978 +tp3066 +a(g682 +VPTR +p3067 +tp3068 +a(g827 +g978 +tp3069 +a(g682 +VPRED +p3070 +tp3071 +a(g827 +g978 +tp3072 +a(g682 +VREAD +p3073 +tp3074 +a(g827 +g978 +tp3075 +a(g682 +VREADNEW +p3076 +tp3077 +a(g827 +V\u000a +p3078 +tp3079 +a(g682 +VREMOVE +p3080 +tp3081 +a(g827 +g978 +tp3082 +a(g682 +VRETRIEVE +p3083 +tp3084 +a(g827 +g978 +tp3085 +a(g682 +VSORT +p3086 +tp3087 +a(g827 +g978 +tp3088 +a(g682 +VSTORE +p3089 +tp3090 +a(g827 +g978 +tp3091 +a(g682 +VSUBSET +p3092 +tp3093 +a(g827 +g978 +tp3094 +a(g682 +VSUCC +p3095 +tp3096 +a(g827 +g978 +tp3097 +a(g682 +VTLIMIT +p3098 +tp3099 +a(g827 +g978 +tp3100 +a(g682 +VTMAX +p3101 +tp3102 +a(g827 +g978 +tp3103 +a(g682 +VTMIN +p3104 +tp3105 +a(g827 +g978 +tp3106 +a(g682 +VTRUE +p3107 +tp3108 +a(g827 +g978 +tp3109 +a(g682 +VTSIZE +p3110 +tp3111 +a(g827 +g978 +tp3112 +a(g682 +VUNICHAR +p3113 +tp3114 +a(g827 +V\u000a +p3115 +tp3116 +a(g682 +VWRITE +p3117 +tp3118 +a(g827 +g978 +tp3119 +a(g682 +VWRITEF +p3120 +tp3121 +a(g827 +V\u000a\u000a +p3122 +tp3123 +a(g8 +V(* additional pseudo-builtins for M2 R10 *) +p3124 +tp3125 +a(g827 +V\u000a\u000a +p3126 +tp3127 +a(g8 +V(* TPROPERTIES *) +p3128 +tp3129 +a(g827 +V\u000a +p3130 +tp3131 +a(g694 +VTPROPERTIES +p3132 +tp3133 +a(g827 +g978 +tp3134 +a(g694 +VPROPERTY +p3135 +tp3136 +a(g827 +g978 +tp3137 +a(g694 +VLITERAL +p3138 +tp3139 +a(g827 +g978 +tp3140 +a(g694 +VTPROPERTY +p3141 +tp3142 +a(g827 +g978 +tp3143 +a(g694 +VTLITERAL +p3144 +tp3145 +a(g827 +g978 +tp3146 +a(g694 +VTBUILTIN +p3147 +tp3148 +a(g827 +g978 +tp3149 +a(g694 +VTDYN +p3150 +tp3151 +a(g827 +g978 +tp3152 +a(g694 +VTREFC +p3153 +tp3154 +a(g827 +g978 +tp3155 +a(g694 +VTNIL +p3156 +tp3157 +a(g827 +V\u000a +p3158 +tp3159 +a(g694 +VTBASE +p3160 +tp3161 +a(g827 +g978 +tp3162 +a(g694 +VTPRECISION +p3163 +tp3164 +a(g827 +g978 +tp3165 +a(g694 +VTMAXEXP +p3166 +tp3167 +a(g827 +g978 +tp3168 +a(g694 +VTMINEXP +p3169 +tp3170 +a(g827 +V \u000a\u000a +p3171 +tp3172 +a(g8 +V(* CONVERSION *) +p3173 +tp3174 +a(g827 +V\u000a +p3175 +tp3176 +a(g694 +VCONVERSION +p3177 +tp3178 +a(g827 +g978 +tp3179 +a(g694 +VTSXFSIZE +p3180 +tp3181 +a(g827 +g978 +tp3182 +a(g694 +VSXF +p3183 +tp3184 +a(g827 +g978 +tp3185 +a(g682 +VVAL +p3186 +tp3187 +a(g827 +V\u000a\u000a +p3188 +tp3189 +a(g8 +V(* UNSAFE *) +p3190 +tp3191 +a(g827 +V\u000a +p3192 +tp3193 +a(g694 +VUNSAFE +p3194 +tp3195 +a(g827 +g978 +tp3196 +a(g694 +VCAST +p3197 +tp3198 +a(g827 +g978 +tp3199 +a(g694 +VINTRINSIC +p3200 +tp3201 +a(g827 +g978 +tp3202 +a(g694 +VAVAIL +p3203 +tp3204 +a(g827 +g978 +tp3205 +a(g694 +VADD +p3206 +tp3207 +a(g827 +g978 +tp3208 +a(g694 +VSUB +p3209 +tp3210 +a(g827 +g978 +tp3211 +a(g694 +VADDC +p3212 +tp3213 +a(g827 +g978 +tp3214 +a(g694 +VSUBC +p3215 +tp3216 +a(g827 +g978 +tp3217 +a(g694 +VFETCHADD +p3218 +tp3219 +a(g827 +g978 +tp3220 +a(g694 +VFETCHSUB +p3221 +tp3222 +a(g827 +g978 +tp3223 +a(g694 +VSHL +p3224 +tp3225 +a(g827 +g978 +tp3226 +a(g694 +VSHR +p3227 +tp3228 +a(g827 +g978 +tp3229 +a(g694 +VASHR +p3230 +tp3231 +a(g827 +V\u000a +p3232 +tp3233 +a(g694 +VROTL +p3234 +tp3235 +a(g827 +g978 +tp3236 +a(g694 +VROTR +p3237 +tp3238 +a(g827 +g978 +tp3239 +a(g694 +VROTLC +p3240 +tp3241 +a(g827 +g978 +tp3242 +a(g694 +VROTRC +p3243 +tp3244 +a(g827 +g978 +tp3245 +a(g694 +VBWNOT +p3246 +tp3247 +a(g827 +g978 +tp3248 +a(g694 +VBWAND +p3249 +tp3250 +a(g827 +g978 +tp3251 +a(g694 +VBWOR +p3252 +tp3253 +a(g827 +g978 +tp3254 +a(g694 +VBWXOR +p3255 +tp3256 +a(g827 +g978 +tp3257 +a(g694 +VBWNAND +p3258 +tp3259 +a(g827 +g978 +tp3260 +a(g694 +VBWNOR +p3261 +tp3262 +a(g827 +g978 +tp3263 +a(g694 +VSETBIT +p3264 +tp3265 +a(g827 +g978 +tp3266 +a(g694 +VTESTBIT +p3267 +tp3268 +a(g827 +V\u000a +p3269 +tp3270 +a(g694 +VLSBIT +p3271 +tp3272 +a(g827 +g978 +tp3273 +a(g694 +VMSBIT +p3274 +tp3275 +a(g827 +g978 +tp3276 +a(g694 +VCSBITS +p3277 +tp3278 +a(g827 +g978 +tp3279 +a(g694 +VBAIL +p3280 +tp3281 +a(g827 +g978 +tp3282 +a(g682 +VHALT +p3283 +tp3284 +a(g827 +g978 +tp3285 +a(g694 +VTODO +p3286 +tp3287 +a(g827 +g978 +tp3288 +a(g694 +VFFI +p3289 +tp3290 +a(g827 +g978 +tp3291 +a(g694 +VADDR +p3292 +tp3293 +a(g827 +g978 +tp3294 +a(g694 +VVARGLIST +p3295 +tp3296 +a(g827 +g978 +tp3297 +a(g694 +VVARGC +p3298 +tp3299 +a(g827 +V\u000a\u000a +p3300 +tp3301 +a(g8 +V(* ATOMIC *) +p3302 +tp3303 +a(g827 +V\u000a +p3304 +tp3305 +a(g694 +VATOMIC +p3306 +tp3307 +a(g827 +g978 +tp3308 +a(g694 +VINTRINSIC +p3309 +tp3310 +a(g827 +g978 +tp3311 +a(g694 +VAVAIL +p3312 +tp3313 +a(g827 +g978 +tp3314 +a(g694 +VSWAP +p3315 +tp3316 +a(g827 +g978 +tp3317 +a(g694 +VCAS +p3318 +tp3319 +a(g827 +g978 +tp3320 +a(g682 +VINC +p3321 +tp3322 +a(g827 +g978 +tp3323 +a(g682 +VDEC +p3324 +tp3325 +a(g827 +g978 +tp3326 +a(g694 +VBWAND +p3327 +tp3328 +a(g827 +g978 +tp3329 +a(g694 +VBWNAND +p3330 +tp3331 +a(g827 +g978 +tp3332 +a(g694 +VBWOR +p3333 +tp3334 +a(g827 +g978 +tp3335 +a(g694 +VBWXOR +p3336 +tp3337 +a(g827 +V\u000a\u000a +p3338 +tp3339 +a(g8 +V(* COMPILER *) +p3340 +tp3341 +a(g827 +V\u000a +p3342 +tp3343 +a(g694 +VCOMPILER +p3344 +tp3345 +a(g827 +g978 +tp3346 +a(g694 +VDEBUG +p3347 +tp3348 +a(g827 +g978 +tp3349 +a(g694 +VMODNAME +p3350 +tp3351 +a(g827 +g978 +tp3352 +a(g694 +VPROCNAME +p3353 +tp3354 +a(g827 +g978 +tp3355 +a(g694 +VLINENUM +p3356 +tp3357 +a(g827 +g978 +tp3358 +a(g694 +VDEFAULT +p3359 +tp3360 +a(g827 +g978 +tp3361 +a(g694 +VHASH +p3362 +tp3363 +a(g827 +V\u000a\u000a +p3364 +tp3365 +a(g8 +V(* ASSEMBLER *) +p3366 +tp3367 +a(g827 +V\u000a +p3368 +tp3369 +a(g694 +VASSEMBLER +p3370 +tp3371 +a(g827 +g978 +tp3372 +a(g694 +VREGISTER +p3373 +tp3374 +a(g827 +g978 +tp3375 +a(g694 +VSETREG +p3376 +tp3377 +a(g827 +g978 +tp3378 +a(g694 +VGETREG +p3379 +tp3380 +a(g827 +g978 +tp3381 +a(g694 +VCODE +p3382 +tp3383 +a(g827 +V\u000a\u000a\u000a +p3384 +tp3385 +a(g8 +V(* standard library ADT identifiers for M2 R10 *) +p3386 +tp3387 +a(g827 +V\u000a\u000a +p3388 +tp3389 +a(g8 +V(* rendered as builtins when dialect is set to Modula-2 R10,\u000a this can be turned off by option treat_stdlib_adts_as_builtins=off *) +p3390 +tp3391 +a(g827 +V\u000a +p3392 +tp3393 +a(g436 +VBCD +p3394 +tp3395 +a(g827 +g978 +tp3396 +a(g436 +VLONGBCD +p3397 +tp3398 +a(g827 +g978 +tp3399 +a(g682 +VBITSET +p3400 +tp3401 +a(g827 +g978 +tp3402 +a(g436 +VSHORTBITSET +p3403 +tp3404 +a(g827 +g978 +tp3405 +a(g436 +VLONGBITSET +p3406 +tp3407 +a(g827 +g978 +tp3408 +a(g436 +VLONGLONGBITSET +p3409 +tp3410 +a(g827 +g978 +tp3411 +a(g682 +VCOMPLEX +p3412 +tp3413 +a(g827 +g978 +tp3414 +a(g682 +VLONGCOMPLEX +p3415 +tp3416 +a(g827 +V\u000a +p3417 +tp3418 +a(g436 +VSHORTCARD +p3419 +tp3420 +a(g827 +g978 +tp3421 +a(g436 +VLONGLONGCARD +p3422 +tp3423 +a(g827 +g978 +tp3424 +a(g436 +VSHORTINT +p3425 +tp3426 +a(g827 +g978 +tp3427 +a(g436 +VLONGLONGINT +p3428 +tp3429 +a(g827 +g978 +tp3430 +a(g436 +VPOSINT +p3431 +tp3432 +a(g827 +g978 +tp3433 +a(g436 +VSHORTPOSINT +p3434 +tp3435 +a(g827 +g978 +tp3436 +a(g436 +VLONGPOSINT +p3437 +tp3438 +a(g827 +V\u000a +p3439 +tp3440 +a(g436 +VLONGLONGPOSINT +p3441 +tp3442 +a(g827 +g978 +tp3443 +a(g436 +VBITSET8 +p3444 +tp3445 +a(g827 +g978 +tp3446 +a(g436 +VBITSET16 +p3447 +tp3448 +a(g827 +g978 +tp3449 +a(g436 +VBITSET32 +p3450 +tp3451 +a(g827 +g978 +tp3452 +a(g436 +VBITSET64 +p3453 +tp3454 +a(g827 +g978 +tp3455 +a(g436 +VBITSET128 +p3456 +tp3457 +a(g827 +g978 +tp3458 +a(g436 +VBS8 +p3459 +tp3460 +a(g827 +g978 +tp3461 +a(g436 +VBS16 +p3462 +tp3463 +a(g827 +g978 +tp3464 +a(g436 +VBS32 +p3465 +tp3466 +a(g827 +V\u000a +p3467 +tp3468 +a(g436 +VBS64 +p3469 +tp3470 +a(g827 +g978 +tp3471 +a(g436 +VBS128 +p3472 +tp3473 +a(g827 +g978 +tp3474 +a(g436 +VCARDINAL8 +p3475 +tp3476 +a(g827 +g978 +tp3477 +a(g436 +VCARDINAL16 +p3478 +tp3479 +a(g827 +g978 +tp3480 +a(g436 +VCARDINAL32 +p3481 +tp3482 +a(g827 +g978 +tp3483 +a(g436 +VCARDINAL64 +p3484 +tp3485 +a(g827 +g978 +tp3486 +a(g436 +VCARDINAL128 +p3487 +tp3488 +a(g827 +g978 +tp3489 +a(g436 +VCARD8 +p3490 +tp3491 +a(g827 +V\u000a +p3492 +tp3493 +a(g436 +VCARD16 +p3494 +tp3495 +a(g827 +g978 +tp3496 +a(g436 +VCARD32 +p3497 +tp3498 +a(g827 +g978 +tp3499 +a(g436 +VCARD64 +p3500 +tp3501 +a(g827 +g978 +tp3502 +a(g436 +VCARD128 +p3503 +tp3504 +a(g827 +g978 +tp3505 +a(g436 +VINTEGER8 +p3506 +tp3507 +a(g827 +g978 +tp3508 +a(g436 +VINTEGER16 +p3509 +tp3510 +a(g827 +g978 +tp3511 +a(g436 +VINTEGER32 +p3512 +tp3513 +a(g827 +g978 +tp3514 +a(g436 +VINTEGER64 +p3515 +tp3516 +a(g827 +V\u000a +p3517 +tp3518 +a(g436 +VINTEGER128 +p3519 +tp3520 +a(g827 +g978 +tp3521 +a(g436 +VINT8 +p3522 +tp3523 +a(g827 +g978 +tp3524 +a(g436 +VINT16 +p3525 +tp3526 +a(g827 +g978 +tp3527 +a(g436 +VINT32 +p3528 +tp3529 +a(g827 +g978 +tp3530 +a(g436 +VINT64 +p3531 +tp3532 +a(g827 +g978 +tp3533 +a(g436 +VINT128 +p3534 +tp3535 +a(g827 +g978 +tp3536 +a(g436 +VSTRING +p3537 +tp3538 +a(g827 +g978 +tp3539 +a(g436 +VUNISTRING +p3540 +tp3541 +a(g827 +V\u000a\u000a\u000a +p3542 +tp3543 +a(g8 +V(* additional reserved words for ObjM2 *) +p3544 +tp3545 +a(g827 +V\u000a\u000a +p3546 +tp3547 +a(g8 +V(* Note: ObjM2 is a superset of M2 R10 *) +p3548 +tp3549 +a(g827 +V\u000a\u000a +p3550 +tp3551 +a(g436 +VBYCOPY +p3552 +tp3553 +a(g827 +g978 +tp3554 +a(g436 +VBYREF +p3555 +tp3556 +a(g827 +g978 +tp3557 +a(g794 +VCLASS +p3558 +tp3559 +a(g827 +g978 +tp3560 +a(g436 +VCONTINUE +p3561 +tp3562 +a(g827 +g978 +tp3563 +a(g436 +VCRITICAL +p3564 +tp3565 +a(g827 +g978 +tp3566 +a(g436 +VINOUT +p3567 +tp3568 +a(g827 +g978 +tp3569 +a(g436 +VMETHOD +p3570 +tp3571 +a(g827 +g978 +tp3572 +a(g436 +VON +p3573 +tp3574 +a(g827 +g978 +tp3575 +a(g436 +VOPTIONAL +p3576 +tp3577 +a(g827 +g978 +tp3578 +a(g436 +VOUT +p3579 +tp3580 +a(g827 +g978 +tp3581 +a(g436 +VPRIVATE +p3582 +tp3583 +a(g827 +V\u000a +p3584 +tp3585 +a(g436 +VPROTECTED +p3586 +tp3587 +a(g827 +g978 +tp3588 +a(g436 +VPROTOCOL +p3589 +tp3590 +a(g827 +g978 +tp3591 +a(g436 +VPUBLIC +p3592 +tp3593 +a(g827 +g978 +tp3594 +a(g436 +VSUPER +p3595 +tp3596 +a(g827 +g978 +tp3597 +a(g436 +VTRY +p3598 +tp3599 +a(g827 +V\u000a\u000a +p3600 +tp3601 +a(g8 +V(* additional builtins for ObjM2 *) +p3602 +tp3603 +a(g827 +V\u000a\u000a +p3604 +tp3605 +a(g436 +VOBJECT +p3606 +tp3607 +a(g827 +g978 +tp3608 +a(g436 +VNO +p3609 +tp3610 +a(g827 +g978 +tp3611 +a(g436 +VYES +p3612 +tp3613 +a(g827 +V\u000a\u000a\u000a +p3614 +tp3615 +a(g8 +V(* additional builtins for Aglet Extensions to ISO *) +p3616 +tp3617 +a(g827 +V\u000a\u000a +p3618 +tp3619 +a(g436 +VBITSET8 +p3620 +tp3621 +a(g827 +g978 +tp3622 +a(g436 +VBITSET16 +p3623 +tp3624 +a(g827 +g978 +tp3625 +a(g436 +VBITSET32 +p3626 +tp3627 +a(g827 +g978 +tp3628 +a(g436 +VCARDINAL8 +p3629 +tp3630 +a(g827 +g978 +tp3631 +a(g436 +VCARDINAL16 +p3632 +tp3633 +a(g827 +g978 +tp3634 +a(g436 +VCARDINAL32 +p3635 +tp3636 +a(g827 +g978 +tp3637 +a(g436 +VINTEGER8 +p3638 +tp3639 +a(g827 +g978 +tp3640 +a(g436 +VINTEGER16 +p3641 +tp3642 +a(g827 +V\u000a +p3643 +tp3644 +a(g436 +VINTEGER32 +p3645 +tp3646 +a(g827 +V\u000a\u000a\u000a +p3647 +tp3648 +a(g8 +V(* additional reserved words for GNU Extensions to PIM *) +p3649 +tp3650 +a(g827 +V\u000a\u000a +p3651 +tp3652 +a(g794 +VASM +p3653 +tp3654 +a(g827 +g978 +tp3655 +a(g436 +V__ATTRIBUTE__ +p3656 +tp3657 +a(g827 +g978 +tp3658 +a(g436 +V__BUILTIN__ +p3659 +tp3660 +a(g827 +g978 +tp3661 +a(g436 +V__COLUMN__ +p3662 +tp3663 +a(g827 +g978 +tp3664 +a(g436 +V__DATE__ +p3665 +tp3666 +a(g827 +g978 +tp3667 +a(g436 +V__FILE__ +p3668 +tp3669 +a(g827 +g978 +tp3670 +a(g436 +V__FUNCTION__ +p3671 +tp3672 +a(g827 +V\u000a +p3673 +tp3674 +a(g436 +V__LINE__ +p3675 +tp3676 +a(g827 +g978 +tp3677 +a(g436 +V__MODULE__ +p3678 +tp3679 +a(g827 +g978 +tp3680 +a(g436 +VVOLATILE +p3681 +tp3682 +a(g827 +V\u000a\u000a +p3683 +tp3684 +a(g8 +V(* additional builtins for GNU Extensions to PIM *) +p3685 +tp3686 +a(g827 +V\u000a\u000a +p3687 +tp3688 +a(g436 +VBITSET8 +p3689 +tp3690 +a(g827 +g978 +tp3691 +a(g436 +VBITSET16 +p3692 +tp3693 +a(g827 +g978 +tp3694 +a(g436 +VBITSET32 +p3695 +tp3696 +a(g827 +g978 +tp3697 +a(g436 +VCARDINAL8 +p3698 +tp3699 +a(g827 +g978 +tp3700 +a(g436 +VCARDINAL16 +p3701 +tp3702 +a(g827 +g978 +tp3703 +a(g436 +VCARDINAL32 +p3704 +tp3705 +a(g827 +g978 +tp3706 +a(g436 +VCARDINAL64 +p3707 +tp3708 +a(g827 +g978 +tp3709 +a(g436 +VCOMPLEX32 +p3710 +tp3711 +a(g827 +V\u000a +p3712 +tp3713 +a(g436 +VCOMPLEX64 +p3714 +tp3715 +a(g827 +g978 +tp3716 +a(g436 +VCOMPLEX96 +p3717 +tp3718 +a(g827 +g978 +tp3719 +a(g436 +VCOMPLEX128 +p3720 +tp3721 +a(g827 +g978 +tp3722 +a(g436 +VINTEGER8 +p3723 +tp3724 +a(g827 +g978 +tp3725 +a(g436 +VINTEGER16 +p3726 +tp3727 +a(g827 +g978 +tp3728 +a(g436 +VINTEGER32 +p3729 +tp3730 +a(g827 +g978 +tp3731 +a(g436 +VINTEGER64 +p3732 +tp3733 +a(g827 +g978 +tp3734 +a(g436 +VREAL8 +p3735 +tp3736 +a(g827 +V\u000a +p3737 +tp3738 +a(g436 +VREAL16 +p3739 +tp3740 +a(g827 +g978 +tp3741 +a(g436 +VREAL32 +p3742 +tp3743 +a(g827 +g978 +tp3744 +a(g436 +VREAL96 +p3745 +tp3746 +a(g827 +g978 +tp3747 +a(g436 +VREAL128 +p3748 +tp3749 +a(g827 +g978 +tp3750 +a(g436 +VTHROW +p3751 +tp3752 +a(g827 +V\u000a\u000a\u000a +p3753 +tp3754 +a(g8 +V(* additional pseudo-builtins for p1 Extensions to ISO *) +p3755 +tp3756 +a(g827 +V\u000a\u000a +p3757 +tp3758 +a(g436 +VBCD +p3759 +tp3760 +a(g827 +V\u000a\u000a\u000a +p3761 +tp3762 +a(g8 +V(* additional reserved words for XDS Extensions to ISO *) +p3763 +tp3764 +a(g827 +V\u000a\u000a +p3765 +tp3766 +a(g436 +VSEQ +p3767 +tp3768 +a(g827 +V\u000a\u000a +p3769 +tp3770 +a(g8 +V(* additional builtins for XDS Extensions to ISO *) +p3771 +tp3772 +a(g827 +V\u000a\u000a +p3773 +tp3774 +a(g436 +VASH +p3775 +tp3776 +a(g827 +g978 +tp3777 +a(g436 +VASSERT +p3778 +tp3779 +a(g827 +g978 +tp3780 +a(g436 +VDIFFADR_TYPE +p3781 +tp3782 +a(g827 +g978 +tp3783 +a(g436 +VENTIER +p3784 +tp3785 +a(g827 +g978 +tp3786 +a(g436 +VINDEX +p3787 +tp3788 +a(g827 +g978 +tp3789 +a(g436 +VLEN +p3790 +tp3791 +a(g827 +g978 +tp3792 +a(g682 +VLONGCARD +p3793 +tp3794 +a(g827 +g978 +tp3795 +a(g436 +VSHORTCARD +p3796 +tp3797 +a(g827 +g978 +tp3798 +a(g436 +VSHORTINT +p3799 +tp3800 +a(g827 +V\u000a\u000a +p3801 +tp3802 +a(g8 +V(* additional pseudo-builtins for XDS Extensions to ISO *) +p3803 +tp3804 +a(g827 +V\u000a\u000a +p3805 +tp3806 +a(g8 +V(* SYSTEM *) +p3807 +tp3808 +a(g827 +V\u000a +p3809 +tp3810 +a(g694 +VPROCESS +p3811 +tp3812 +a(g827 +g978 +tp3813 +a(g694 +VNEWPROCESS +p3814 +tp3815 +a(g827 +g978 +tp3816 +a(g436 +VBOOL8 +p3817 +tp3818 +a(g827 +g978 +tp3819 +a(g436 +VBOOL16 +p3820 +tp3821 +a(g827 +g978 +tp3822 +a(g436 +VBOOL32 +p3823 +tp3824 +a(g827 +g978 +tp3825 +a(g436 +VCARD8 +p3826 +tp3827 +a(g827 +g978 +tp3828 +a(g436 +VCARD16 +p3829 +tp3830 +a(g827 +g978 +tp3831 +a(g436 +VCARD32 +p3832 +tp3833 +a(g827 +g978 +tp3834 +a(g436 +VINT8 +p3835 +tp3836 +a(g827 +g978 +tp3837 +a(g436 +VINT16 +p3838 +tp3839 +a(g827 +g978 +tp3840 +a(g436 +VINT32 +p3841 +tp3842 +a(g827 +V\u000a +p3843 +tp3844 +a(g436 +VREF +p3845 +tp3846 +a(g827 +g978 +tp3847 +a(g436 +VMOVE +p3848 +tp3849 +a(g827 +g978 +tp3850 +a(g436 +VFILL +p3851 +tp3852 +a(g827 +g978 +tp3853 +a(g436 +VGET +p3854 +tp3855 +a(g827 +g978 +tp3856 +a(g436 +VPUT +p3857 +tp3858 +a(g827 +g978 +tp3859 +a(g436 +VCC +p3860 +tp3861 +a(g827 +g978 +tp3862 +a(g436 +Vint +p3863 +tp3864 +a(g827 +g978 +tp3865 +a(g436 +Vunsigned +p3866 +tp3867 +a(g827 +g978 +tp3868 +a(g436 +Vsize_t +p3869 +tp3870 +a(g827 +g978 +tp3871 +a(g436 +Vvoid +p3872 +tp3873 +a(g827 +V\u000a\u000a +p3874 +tp3875 +a(g8 +V(* COMPILER *) +p3876 +tp3877 +a(g827 +V\u000a +p3878 +tp3879 +a(g694 +VCOMPILER +p3880 +tp3881 +a(g827 +g978 +tp3882 +a(g436 +VOPTION +p3883 +tp3884 +a(g827 +g978 +tp3885 +a(g436 +VEQUATION +p3886 +tp3887 +a(g827 +V\u000a\u000a\u000a +p3888 +tp3889 +a(g8 +V(* end of file *) +p3890 +tp3891 +a(g827 +V\u000a +p3892 +tp3893 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/moin_SyntaxReference.txt b/tests/examplefiles/output/moin_SyntaxReference.txt new file mode 100644 index 0000000..a05647f --- /dev/null +++ b/tests/examplefiles/output/moin_SyntaxReference.txt @@ -0,0 +1,5835 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV## Please edit system and help pages ONLY in the moinmaster wiki! For more +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V## information, please see MoinMaster:MoinPagesEditorGroup. +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +V##master-page:Unknown-Page +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g7 +V##master-date:Unknown-Date +p968 +tp969 +a(g822 +V\u000a +p970 +tp971 +a(g7 +V#acl MoinPagesEditorGroup:read,write,delete,revert All:read +p972 +tp973 +a(g822 +V\u000a +p974 +tp975 +a(g7 +V#format wiki +p976 +tp977 +a(g822 +V\u000a +p978 +tp979 +a(g7 +V#language en +p980 +tp981 +a(g822 +V\u000a +p982 +tp983 +a(g822 +V\u000a +p984 +tp985 +a(g822 +VThis page aims to introduce the most important elements of MoinMoin`` +p986 +tp987 +a(g822 +V' +p988 +tp989 +a(g822 +Vs syntax at a glance +p990 +tp991 +a(g822 +V, +p992 +tp993 +a(g822 +V showing first the markup verbatim and then how it is rendered by the wiki engine. Additionally +p994 +tp995 +a(g822 +g992 +tp996 +a(g822 +V you +p997 +tp998 +a(g822 +g988 +tp999 +a(g822 +Vll find links to the relative help pages. Please note that some of the features depend on your configuration. +p1000 +tp1001 +a(g822 +V\u000a +p1002 +tp1003 +a(g822 +V\u000a +p1004 +tp1005 +a(g341 +V= +p1006 +tp1007 +a(g822 +V Table of Contents +p1008 +tp1009 +a(g341 +g1006 +tp1010 +a(g822 +V\u000a +p1011 +tp1012 +a(g677 +V{{{ +p1013 +tp1014 +a(g894 +V\u000a'''Contents''' (up to the 2nd level)\u000a[[TableOfContents(2)]]\u000a +p1015 +tp1016 +a(g677 +V}}} +p1017 +tp1018 +a(g822 +V\u000a +p1019 +tp1020 +a(g7 +V''' +p1021 +tp1022 +a(g822 +VContents +p1023 +tp1024 +a(g7 +V''' +p1025 +tp1026 +a(g822 +V (up to the 2nd level) +p1027 +tp1028 +a(g822 +V\u000a +p1029 +tp1030 +a(g740 +V[[TableOfContents(2)]] +p1031 +tp1032 +a(g822 +V\u000a +p1033 +tp1034 +a(g822 +V\u000a +p1035 +tp1036 +a(g341 +g1006 +tp1037 +a(g822 +V Headings +p1038 +tp1039 +a(g341 +g1006 +tp1040 +a(g822 +V\u000a +p1041 +tp1042 +a(g7 +V''' +p1043 +tp1044 +a(g7 +V'' +p1045 +tp1046 +a(g822 +Vsee: +p1047 +tp1048 +a(g7 +V''' +p1049 +tp1050 +a(g822 +V HelpOnHeadlines +p1051 +tp1052 +a(g7 +V'' +p1053 +tp1054 +a(g822 +V\u000a +p1055 +tp1056 +a(g677 +V{{{ +p1057 +tp1058 +a(g894 +V\u000a= heading 1st level =\u000a== heading 2nd level ==\u000a=== heading 3rd level ===\u000a==== heading 4th level ====\u000a===== heading 5th level =====\u000a +p1059 +tp1060 +a(g677 +V}}} +p1061 +tp1062 +a(g822 +V\u000a +p1063 +tp1064 +a(g341 +g1006 +tp1065 +a(g822 +V heading 1st level +p1066 +tp1067 +a(g341 +g1006 +tp1068 +a(g822 +V\u000a +p1069 +tp1070 +a(g341 +V== +p1071 +tp1072 +a(g822 +V heading 2nd level +p1073 +tp1074 +a(g341 +V== +p1075 +tp1076 +a(g822 +V\u000a +p1077 +tp1078 +a(g341 +V=== +p1079 +tp1080 +a(g822 +V heading 3rd level +p1081 +tp1082 +a(g341 +V=== +p1083 +tp1084 +a(g822 +V\u000a +p1085 +tp1086 +a(g341 +V==== +p1087 +tp1088 +a(g822 +V heading 4th level +p1089 +tp1090 +a(g341 +V==== +p1091 +tp1092 +a(g822 +V\u000a +p1093 +tp1094 +a(g341 +V===== +p1095 +tp1096 +a(g822 +V heading 5th level +p1097 +tp1098 +a(g341 +V===== +p1099 +tp1100 +a(g822 +V\u000a +p1101 +tp1102 +a(g822 +V\u000a +p1103 +tp1104 +a(g341 +g1006 +tp1105 +a(g822 +V Text Formatting +p1106 +tp1107 +a(g341 +g1006 +tp1108 +a(g822 +V\u000a +p1109 +tp1110 +a(g7 +V''' +p1111 +tp1112 +a(g7 +V'' +p1113 +tp1114 +a(g822 +Vsee: +p1115 +tp1116 +a(g7 +V''' +p1117 +tp1118 +a(g822 +V HelpOnFormatting +p1119 +tp1120 +a(g7 +V'' +p1121 +tp1122 +a(g822 +V\u000a +p1123 +tp1124 +a(g677 +V{{{ +p1125 +tp1126 +a(g894 +V\u000a * ''emphasized (italics)''\u000a * '''boldface'''\u000a * '''''bold italics'''''\u000a * `monospace`\u000a * +p1127 +tp1128 +a(g822 +V{{{ +p1129 +tp1130 +a(g894 +Vsource code +p1131 +tp1132 +a(g677 +V}}} +p1133 +tp1134 +a(g894 +V\u000a * __underline__\u000a * ,,sub,,script\u000a * ^super^script\u000a * ~-smaller-~\u000a * ~+larger+~\u000a * --(strike through)--\u000a +p1135 +tp1136 +a(g677 +V}}} +p1137 +tp1138 +a(g822 +V\u000a +p1139 +tp1140 +a(g822 +V +p1141 +tp1142 +a(g677 +V* +p1143 +tp1144 +a(g822 +g1141 +tp1145 +a(g7 +V'' +p1146 +tp1147 +a(g822 +Vemphasized (italics) +p1148 +tp1149 +a(g7 +V'' +p1150 +tp1151 +a(g822 +V\u000a +p1152 +tp1153 +a(g822 +g1141 +tp1154 +a(g677 +g1143 +tp1155 +a(g822 +g1141 +tp1156 +a(g7 +V''' +p1157 +tp1158 +a(g822 +Vboldface +p1159 +tp1160 +a(g7 +V''' +p1161 +tp1162 +a(g822 +V\u000a +p1163 +tp1164 +a(g822 +g1141 +tp1165 +a(g677 +g1143 +tp1166 +a(g822 +g1141 +tp1167 +a(g7 +V''' +p1168 +tp1169 +a(g7 +V'' +p1170 +tp1171 +a(g822 +Vbold italics +p1172 +tp1173 +a(g7 +V''' +p1174 +tp1175 +a(g7 +V'' +p1176 +tp1177 +a(g822 +V\u000a +p1178 +tp1179 +a(g822 +g1141 +tp1180 +a(g677 +g1143 +tp1181 +a(g822 +g1141 +tp1182 +a(g7 +V` +p1183 +tp1184 +a(g822 +Vmonospace` +p1185 +tp1186 +a(g822 +V\u000a +p1187 +tp1188 +a(g822 +g1141 +tp1189 +a(g677 +g1143 +tp1190 +a(g822 +g1141 +tp1191 +a(g677 +V{{{ +p1192 +tp1193 +a(g894 +Vsource code +p1194 +tp1195 +a(g677 +V}}} +p1196 +tp1197 +a(g822 +V\u000a +p1198 +tp1199 +a(g822 +g1141 +tp1200 +a(g677 +g1143 +tp1201 +a(g822 +g1141 +tp1202 +a(g7 +V__ +p1203 +tp1204 +a(g822 +Vunderline +p1205 +tp1206 +a(g7 +V__ +p1207 +tp1208 +a(g822 +V\u000a +p1209 +tp1210 +a(g822 +g1141 +tp1211 +a(g677 +g1143 +tp1212 +a(g822 +g1141 +tp1213 +a(g7 +V,, +p1214 +tp1215 +a(g822 +Vsub +p1216 +tp1217 +a(g7 +V,, +p1218 +tp1219 +a(g822 +Vscript +p1220 +tp1221 +a(g822 +V\u000a +p1222 +tp1223 +a(g822 +g1141 +tp1224 +a(g677 +g1143 +tp1225 +a(g822 +g1141 +tp1226 +a(g7 +V^ +p1227 +tp1228 +a(g822 +Vsuper +p1229 +tp1230 +a(g7 +g1227 +tp1231 +a(g822 +Vscript +p1232 +tp1233 +a(g822 +V\u000a +p1234 +tp1235 +a(g822 +g1141 +tp1236 +a(g677 +g1143 +tp1237 +a(g822 +g1141 +tp1238 +a(g822 +V~ +p1239 +tp1240 +a(g822 +V-smaller- +p1241 +tp1242 +a(g822 +g1239 +tp1243 +a(g822 +V\u000a +p1244 +tp1245 +a(g822 +g1141 +tp1246 +a(g677 +g1143 +tp1247 +a(g822 +g1141 +tp1248 +a(g822 +g1239 +tp1249 +a(g822 +V+larger+ +p1250 +tp1251 +a(g822 +g1239 +tp1252 +a(g822 +V\u000a +p1253 +tp1254 +a(g822 +g1141 +tp1255 +a(g677 +g1143 +tp1256 +a(g822 +g1141 +tp1257 +a(g822 +V--(strike through)-- +p1258 +tp1259 +a(g822 +V\u000a +p1260 +tp1261 +a(g822 +V\u000a +p1262 +tp1263 +a(g341 +g1006 +tp1264 +a(g822 +V Hyperlinks +p1265 +tp1266 +a(g341 +g1006 +tp1267 +a(g822 +V\u000a +p1268 +tp1269 +a(g7 +V''' +p1270 +tp1271 +a(g7 +V'' +p1272 +tp1273 +a(g822 +Vsee: +p1274 +tp1275 +a(g7 +V''' +p1276 +tp1277 +a(g822 +V HelpOnLinking +p1278 +tp1279 +a(g7 +V'' +p1280 +tp1281 +a(g822 +V\u000a +p1282 +tp1283 +a(g341 +V== +p1284 +tp1285 +a(g822 +V Internal Links +p1286 +tp1287 +a(g341 +V== +p1288 +tp1289 +a(g822 +V\u000a +p1290 +tp1291 +a(g677 +V{{{ +p1292 +tp1293 +a(g894 +V\u000a * FrontPage\u000a * ["FrontPage"]\u000a * HelpOnEditing/SubPages\u000a * /SubPage\u000a * ../SiblingPage\u000a * [:FrontPage:named link]\u000a * [#anchorname]\u000a * [#anchorname description]\u000a * [wiki:Self:PageName#anchorname]\u000a * [wiki:Self:PageName#anchorname description]\u000a * attachment:filename.txt\u000a +p1294 +tp1295 +a(g677 +V}}} +p1296 +tp1297 +a(g822 +V\u000a +p1298 +tp1299 +a(g822 +g1141 +tp1300 +a(g677 +g1143 +tp1301 +a(g822 +g1141 +tp1302 +a(g822 +VFrontPage +p1303 +tp1304 +a(g822 +V\u000a +p1305 +tp1306 +a(g822 +g1141 +tp1307 +a(g677 +g1143 +tp1308 +a(g822 +g1141 +tp1309 +a(g740 +V["FrontPage" +p1310 +tp1311 +a(g740 +V] +p1312 +tp1313 +a(g822 +V\u000a +p1314 +tp1315 +a(g822 +g1141 +tp1316 +a(g677 +g1143 +tp1317 +a(g822 +g1141 +tp1318 +a(g822 +VHelpOnEditing/SubPages +p1319 +tp1320 +a(g822 +V\u000a +p1321 +tp1322 +a(g822 +g1141 +tp1323 +a(g677 +g1143 +tp1324 +a(g822 +g1141 +tp1325 +a(g822 +V/SubPage +p1326 +tp1327 +a(g822 +V\u000a +p1328 +tp1329 +a(g822 +g1141 +tp1330 +a(g677 +g1143 +tp1331 +a(g822 +g1141 +tp1332 +a(g822 +V../SiblingPage +p1333 +tp1334 +a(g822 +V\u000a +p1335 +tp1336 +a(g822 +g1141 +tp1337 +a(g677 +g1143 +tp1338 +a(g822 +g1141 +tp1339 +a(g740 +V[:FrontPage:named +p1340 +tp1341 +a(g84 +V link +p1342 +tp1343 +a(g740 +g1312 +tp1344 +a(g822 +V\u000a +p1345 +tp1346 +a(g822 +g1141 +tp1347 +a(g677 +g1143 +tp1348 +a(g822 +g1141 +tp1349 +a(g740 +V[#anchorname +p1350 +tp1351 +a(g740 +g1312 +tp1352 +a(g822 +V\u000a +p1353 +tp1354 +a(g822 +g1141 +tp1355 +a(g677 +g1143 +tp1356 +a(g822 +g1141 +tp1357 +a(g740 +V[#anchorname +p1358 +tp1359 +a(g84 +V description +p1360 +tp1361 +a(g740 +g1312 +tp1362 +a(g822 +V\u000a +p1363 +tp1364 +a(g822 +g1141 +tp1365 +a(g677 +g1143 +tp1366 +a(g822 +g1141 +tp1367 +a(g740 +V[wiki:Self:PageName#anchorname +p1368 +tp1369 +a(g740 +g1312 +tp1370 +a(g822 +V\u000a +p1371 +tp1372 +a(g822 +g1141 +tp1373 +a(g677 +g1143 +tp1374 +a(g822 +g1141 +tp1375 +a(g740 +V[wiki:Self:PageName#anchorname +p1376 +tp1377 +a(g84 +V description +p1378 +tp1379 +a(g740 +g1312 +tp1380 +a(g822 +V\u000a +p1381 +tp1382 +a(g822 +g1141 +tp1383 +a(g677 +g1143 +tp1384 +a(g822 +g1141 +tp1385 +a(g822 +Vattachment:filename.txt +p1386 +tp1387 +a(g822 +V\u000a +p1388 +tp1389 +a(g822 +V\u000a +p1390 +tp1391 +a(g341 +V== +p1392 +tp1393 +a(g822 +V External Links +p1394 +tp1395 +a(g341 +V== +p1396 +tp1397 +a(g822 +V\u000a +p1398 +tp1399 +a(g677 +V{{{ +p1400 +tp1401 +a(g894 +V\u000a * http://moinmoin.wikiwikiweb.de/\u000a * [http://moinmoin.wikiwikiweb.de/]\u000a * [http://moinmoin.wikiwikiweb.de/ MoinMoin Wiki]\u000a * [http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png]\u000a * http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png\u000a * [http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png moinmoin.png]\u000a * MeatBall:InterWiki\u000a * wiki:MeatBall/InterWiki\u000a * [wiki:MeatBall/InterWiki]\u000a * [wiki:MeatBall/InterWiki InterWiki page on MeatBall]\u000a * [file://///servername/share/full/path/to/file/filename%20with%20spaces.txt link to file filename with spaces.txt]\u000a * user@example.com\u000a +p1402 +tp1403 +a(g677 +V}}} +p1404 +tp1405 +a(g822 +V\u000a +p1406 +tp1407 +a(g822 +g1141 +tp1408 +a(g677 +g1143 +tp1409 +a(g822 +g1141 +tp1410 +a(g822 +Vhttp://moinmoin.wikiwikiweb.de/ +p1411 +tp1412 +a(g822 +V\u000a +p1413 +tp1414 +a(g822 +g1141 +tp1415 +a(g677 +g1143 +tp1416 +a(g822 +g1141 +tp1417 +a(g740 +V[http://moinmoin.wikiwikiweb.de/ +p1418 +tp1419 +a(g740 +g1312 +tp1420 +a(g822 +V\u000a +p1421 +tp1422 +a(g822 +g1141 +tp1423 +a(g677 +g1143 +tp1424 +a(g822 +g1141 +tp1425 +a(g740 +V[http://moinmoin.wikiwikiweb.de/ +p1426 +tp1427 +a(g84 +V MoinMoin Wiki +p1428 +tp1429 +a(g740 +g1312 +tp1430 +a(g822 +V\u000a +p1431 +tp1432 +a(g822 +g1141 +tp1433 +a(g677 +g1143 +tp1434 +a(g822 +g1141 +tp1435 +a(g740 +V[http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png +p1436 +tp1437 +a(g740 +g1312 +tp1438 +a(g822 +V\u000a +p1439 +tp1440 +a(g822 +g1141 +tp1441 +a(g677 +g1143 +tp1442 +a(g822 +g1141 +tp1443 +a(g822 +Vhttp://moinmoin.wikiwikiweb.de/wiki/moinmoin.png +p1444 +tp1445 +a(g822 +V\u000a +p1446 +tp1447 +a(g822 +g1141 +tp1448 +a(g677 +g1143 +tp1449 +a(g822 +g1141 +tp1450 +a(g740 +V[http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png +p1451 +tp1452 +a(g84 +V moinmoin.png +p1453 +tp1454 +a(g740 +g1312 +tp1455 +a(g822 +V\u000a +p1456 +tp1457 +a(g822 +g1141 +tp1458 +a(g677 +g1143 +tp1459 +a(g822 +g1141 +tp1460 +a(g822 +VMeatBall:InterWiki +p1461 +tp1462 +a(g822 +V\u000a +p1463 +tp1464 +a(g822 +g1141 +tp1465 +a(g677 +g1143 +tp1466 +a(g822 +g1141 +tp1467 +a(g822 +Vwiki:MeatBall/InterWiki +p1468 +tp1469 +a(g822 +V\u000a +p1470 +tp1471 +a(g822 +g1141 +tp1472 +a(g677 +g1143 +tp1473 +a(g822 +g1141 +tp1474 +a(g740 +V[wiki:MeatBall/InterWiki +p1475 +tp1476 +a(g740 +g1312 +tp1477 +a(g822 +V\u000a +p1478 +tp1479 +a(g822 +g1141 +tp1480 +a(g677 +g1143 +tp1481 +a(g822 +g1141 +tp1482 +a(g740 +V[wiki:MeatBall/InterWiki +p1483 +tp1484 +a(g84 +V InterWiki page on MeatBall +p1485 +tp1486 +a(g740 +g1312 +tp1487 +a(g822 +V\u000a +p1488 +tp1489 +a(g822 +g1141 +tp1490 +a(g677 +g1143 +tp1491 +a(g822 +g1141 +tp1492 +a(g740 +V[file://///servername/share/full/path/to/file/filename%20with%20spaces.txt +p1493 +tp1494 +a(g84 +V link to file filename with spaces.txt +p1495 +tp1496 +a(g740 +g1312 +tp1497 +a(g822 +V\u000a +p1498 +tp1499 +a(g822 +g1141 +tp1500 +a(g677 +g1143 +tp1501 +a(g822 +g1141 +tp1502 +a(g822 +Vuser@example.com +p1503 +tp1504 +a(g822 +V\u000a +p1505 +tp1506 +a(g822 +V\u000a +p1507 +tp1508 +a(g341 +V== +p1509 +tp1510 +a(g822 +V Avoid or Limit Automatical Linking +p1511 +tp1512 +a(g341 +V== +p1513 +tp1514 +a(g822 +V\u000a +p1515 +tp1516 +a(g677 +V{{{ +p1517 +tp1518 +a(g894 +V\u000a * Wiki''''''Name\u000a * Wiki``Name\u000a * !WikiName\u000a * WikiName''''''s\u000a * WikiName``s\u000a * `http://www.example.com`\u000a +p1519 +tp1520 +a(g677 +V}}} +p1521 +tp1522 +a(g822 +V\u000a +p1523 +tp1524 +a(g822 +g1141 +tp1525 +a(g677 +g1143 +tp1526 +a(g822 +g1141 +tp1527 +a(g822 +VWiki +p1528 +tp1529 +a(g7 +V''' +p1530 +tp1531 +a(g7 +V''' +p1532 +tp1533 +a(g822 +VName +p1534 +tp1535 +a(g822 +V\u000a +p1536 +tp1537 +a(g822 +g1141 +tp1538 +a(g677 +g1143 +tp1539 +a(g822 +g1141 +tp1540 +a(g822 +VWiki``Name +p1541 +tp1542 +a(g822 +V\u000a +p1543 +tp1544 +a(g822 +g1141 +tp1545 +a(g677 +g1143 +tp1546 +a(g822 +g1141 +tp1547 +a(g740 +V! +p1548 +tp1549 +a(g822 +VWikiName +p1550 +tp1551 +a(g822 +V\u000a +p1552 +tp1553 +a(g822 +g1141 +tp1554 +a(g677 +g1143 +tp1555 +a(g822 +g1141 +tp1556 +a(g822 +VWikiName +p1557 +tp1558 +a(g7 +V''' +p1559 +tp1560 +a(g7 +V''' +p1561 +tp1562 +a(g822 +Vs +p1563 +tp1564 +a(g822 +V\u000a +p1565 +tp1566 +a(g822 +g1141 +tp1567 +a(g677 +g1143 +tp1568 +a(g822 +g1141 +tp1569 +a(g822 +VWikiName``s +p1570 +tp1571 +a(g822 +V\u000a +p1572 +tp1573 +a(g822 +g1141 +tp1574 +a(g677 +g1143 +tp1575 +a(g822 +g1141 +tp1576 +a(g7 +g1183 +tp1577 +a(g822 +Vhttp://www.example.com` +p1578 +tp1579 +a(g822 +V\u000a +p1580 +tp1581 +a(g822 +V\u000a +p1582 +tp1583 +a(g341 +g1006 +tp1584 +a(g822 +V Blockquotes and Indentions +p1585 +tp1586 +a(g341 +g1006 +tp1587 +a(g822 +V\u000a +p1588 +tp1589 +a(g677 +V{{{ +p1590 +tp1591 +a(g894 +V\u000a indented text\u000a text indented to the 2nd level\u000a +p1592 +tp1593 +a(g677 +V}}} +p1594 +tp1595 +a(g822 +V\u000a +p1596 +tp1597 +a(g822 +V indented text +p1598 +tp1599 +a(g822 +V\u000a +p1600 +tp1601 +a(g822 +V text indented to the 2nd level +p1602 +tp1603 +a(g822 +V\u000a +p1604 +tp1605 +a(g822 +V\u000a +p1606 +tp1607 +a(g341 +g1006 +tp1608 +a(g822 +V Lists +p1609 +tp1610 +a(g341 +g1006 +tp1611 +a(g822 +V\u000a +p1612 +tp1613 +a(g7 +V''' +p1614 +tp1615 +a(g7 +V'' +p1616 +tp1617 +a(g822 +Vsee: +p1618 +tp1619 +a(g7 +V''' +p1620 +tp1621 +a(g822 +V HelpOnLists +p1622 +tp1623 +a(g7 +V'' +p1624 +tp1625 +a(g822 +V\u000a +p1626 +tp1627 +a(g341 +V== +p1628 +tp1629 +a(g822 +V Unordered Lists +p1630 +tp1631 +a(g341 +V== +p1632 +tp1633 +a(g822 +V\u000a +p1634 +tp1635 +a(g677 +V{{{ +p1636 +tp1637 +a(g894 +V\u000a * item 1\u000a\u000a * item 2 (preceding white space)\u000a * item 2.1\u000a * item 2.1.1\u000a * item 3\u000a . item 3.1 (bulletless)\u000a . item 4 (bulletless)\u000a * item 4.1\u000a . item 4.1.1 (bulletless)\u000a +p1638 +tp1639 +a(g677 +V}}} +p1640 +tp1641 +a(g822 +V\u000a +p1642 +tp1643 +a(g822 +g1141 +tp1644 +a(g677 +g1143 +tp1645 +a(g822 +g1141 +tp1646 +a(g822 +Vitem 1 +p1647 +tp1648 +a(g822 +V\u000a +p1649 +tp1650 +a(g822 +V\u000a +p1651 +tp1652 +a(g822 +g1141 +tp1653 +a(g677 +g1143 +tp1654 +a(g822 +g1141 +tp1655 +a(g822 +Vitem 2 (preceding white space) +p1656 +tp1657 +a(g822 +V\u000a +p1658 +tp1659 +a(g822 +V +p1660 +tp1661 +a(g677 +g1143 +tp1662 +a(g822 +g1141 +tp1663 +a(g822 +Vitem 2.1 +p1664 +tp1665 +a(g822 +V\u000a +p1666 +tp1667 +a(g822 +V +p1668 +tp1669 +a(g677 +g1143 +tp1670 +a(g822 +g1141 +tp1671 +a(g822 +Vitem 2.1.1 +p1672 +tp1673 +a(g822 +V\u000a +p1674 +tp1675 +a(g822 +g1141 +tp1676 +a(g677 +g1143 +tp1677 +a(g822 +g1141 +tp1678 +a(g822 +Vitem 3 +p1679 +tp1680 +a(g822 +V\u000a +p1681 +tp1682 +a(g822 +V +p1683 +tp1684 +a(g677 +V. +p1685 +tp1686 +a(g822 +g1141 +tp1687 +a(g822 +Vitem 3.1 (bulletless) +p1688 +tp1689 +a(g822 +V\u000a +p1690 +tp1691 +a(g822 +g1141 +tp1692 +a(g677 +g1685 +tp1693 +a(g822 +g1141 +tp1694 +a(g822 +Vitem 4 (bulletless) +p1695 +tp1696 +a(g822 +V\u000a +p1697 +tp1698 +a(g822 +V +p1699 +tp1700 +a(g677 +g1143 +tp1701 +a(g822 +g1141 +tp1702 +a(g822 +Vitem 4.1 +p1703 +tp1704 +a(g822 +V\u000a +p1705 +tp1706 +a(g822 +V +p1707 +tp1708 +a(g677 +g1685 +tp1709 +a(g822 +g1141 +tp1710 +a(g822 +Vitem 4.1.1 (bulletless) +p1711 +tp1712 +a(g822 +V\u000a +p1713 +tp1714 +a(g822 +V\u000a +p1715 +tp1716 +a(g341 +V== +p1717 +tp1718 +a(g822 +V Ordered Lists +p1719 +tp1720 +a(g341 +V== +p1721 +tp1722 +a(g822 +V\u000a +p1723 +tp1724 +a(g341 +V=== +p1725 +tp1726 +a(g822 +V with Numbers +p1727 +tp1728 +a(g341 +V=== +p1729 +tp1730 +a(g822 +V\u000a +p1731 +tp1732 +a(g677 +V{{{ +p1733 +tp1734 +a(g894 +V\u000a 1. item 1\u000a 1. item 1.1\u000a 1. item 1.2\u000a 1. item 2\u000a +p1735 +tp1736 +a(g677 +V}}} +p1737 +tp1738 +a(g822 +V\u000a +p1739 +tp1740 +a(g822 +V 1. item 1 +p1741 +tp1742 +a(g822 +V\u000a +p1743 +tp1744 +a(g822 +V 1. item 1.1 +p1745 +tp1746 +a(g822 +V\u000a +p1747 +tp1748 +a(g822 +V 1. item 1.2 +p1749 +tp1750 +a(g822 +V\u000a +p1751 +tp1752 +a(g822 +V 1. item 2 +p1753 +tp1754 +a(g822 +V\u000a +p1755 +tp1756 +a(g822 +V\u000a +p1757 +tp1758 +a(g341 +V=== +p1759 +tp1760 +a(g822 +V with Roman Numbers +p1761 +tp1762 +a(g341 +V=== +p1763 +tp1764 +a(g822 +V\u000a +p1765 +tp1766 +a(g677 +V{{{ +p1767 +tp1768 +a(g894 +V\u000a I. item 1\u000a i. item 1.1\u000a i. item 1.2\u000a I. item 2\u000a +p1769 +tp1770 +a(g677 +V}}} +p1771 +tp1772 +a(g822 +V\u000a +p1773 +tp1774 +a(g822 +g1141 +tp1775 +a(g677 +VI. +p1776 +tp1777 +a(g822 +g1141 +tp1778 +a(g822 +Vitem 1 +p1779 +tp1780 +a(g822 +V\u000a +p1781 +tp1782 +a(g822 +V +p1783 +tp1784 +a(g677 +Vi. +p1785 +tp1786 +a(g822 +g1141 +tp1787 +a(g822 +Vitem 1.1 +p1788 +tp1789 +a(g822 +V\u000a +p1790 +tp1791 +a(g822 +V +p1792 +tp1793 +a(g677 +Vi. +p1794 +tp1795 +a(g822 +g1141 +tp1796 +a(g822 +Vitem 1.2 +p1797 +tp1798 +a(g822 +V\u000a +p1799 +tp1800 +a(g822 +g1141 +tp1801 +a(g677 +VI. +p1802 +tp1803 +a(g822 +g1141 +tp1804 +a(g822 +Vitem 2 +p1805 +tp1806 +a(g822 +V\u000a +p1807 +tp1808 +a(g822 +V\u000a +p1809 +tp1810 +a(g341 +V=== +p1811 +tp1812 +a(g822 +V with Letters +p1813 +tp1814 +a(g341 +V=== +p1815 +tp1816 +a(g822 +V\u000a +p1817 +tp1818 +a(g677 +V{{{ +p1819 +tp1820 +a(g894 +V\u000a A. item A\u000a a. item A. a)\u000a a. item A. b)\u000a A. item B\u000a +p1821 +tp1822 +a(g677 +V}}} +p1823 +tp1824 +a(g822 +V\u000a +p1825 +tp1826 +a(g822 +g1141 +tp1827 +a(g677 +VA. +p1828 +tp1829 +a(g822 +g1141 +tp1830 +a(g822 +Vitem A +p1831 +tp1832 +a(g822 +V\u000a +p1833 +tp1834 +a(g822 +V +p1835 +tp1836 +a(g677 +Va. +p1837 +tp1838 +a(g822 +g1141 +tp1839 +a(g822 +Vitem A. a) +p1840 +tp1841 +a(g822 +V\u000a +p1842 +tp1843 +a(g822 +V +p1844 +tp1845 +a(g677 +Va. +p1846 +tp1847 +a(g822 +g1141 +tp1848 +a(g822 +Vitem A. b) +p1849 +tp1850 +a(g822 +V\u000a +p1851 +tp1852 +a(g822 +g1141 +tp1853 +a(g677 +VA. +p1854 +tp1855 +a(g822 +g1141 +tp1856 +a(g822 +Vitem B +p1857 +tp1858 +a(g822 +V\u000a +p1859 +tp1860 +a(g822 +V\u000a +p1861 +tp1862 +a(g341 +V== +p1863 +tp1864 +a(g822 +V Definition Lists +p1865 +tp1866 +a(g341 +V== +p1867 +tp1868 +a(g822 +V\u000a +p1869 +tp1870 +a(g677 +V{{{ +p1871 +tp1872 +a(g894 +V\u000a term:: definition\u000a object:: description 1\u000a :: description 2\u000a Action Items::\u000a :: First Item\u000a :: Second Item\u000a +p1873 +tp1874 +a(g677 +V}}} +p1875 +tp1876 +a(g822 +V\u000a +p1877 +tp1878 +a(g822 +V term:: definition +p1879 +tp1880 +a(g822 +V\u000a +p1881 +tp1882 +a(g822 +V object:: description 1 +p1883 +tp1884 +a(g822 +V\u000a +p1885 +tp1886 +a(g822 +V :: description 2 +p1887 +tp1888 +a(g822 +V\u000a +p1889 +tp1890 +a(g822 +V Action Items:: +p1891 +tp1892 +a(g822 +V\u000a +p1893 +tp1894 +a(g822 +V :: First Item +p1895 +tp1896 +a(g822 +V\u000a +p1897 +tp1898 +a(g822 +V :: Second Item +p1899 +tp1900 +a(g822 +V\u000a +p1901 +tp1902 +a(g822 +V\u000a +p1903 +tp1904 +a(g341 +g1006 +tp1905 +a(g822 +V Horizontal Rules +p1906 +tp1907 +a(g341 +g1006 +tp1908 +a(g822 +V\u000a +p1909 +tp1910 +a(g7 +V''' +p1911 +tp1912 +a(g7 +V'' +p1913 +tp1914 +a(g822 +Vsee: +p1915 +tp1916 +a(g7 +V''' +p1917 +tp1918 +a(g822 +V HelpOnRules +p1919 +tp1920 +a(g7 +V'' +p1921 +tp1922 +a(g822 +V\u000a +p1923 +tp1924 +a(g677 +V{{{ +p1925 +tp1926 +a(g894 +V\u000a----\u000a-----\u000a------\u000a-------\u000a--------\u000a---------\u000a----------\u000a +p1927 +tp1928 +a(g677 +V}}} +p1929 +tp1930 +a(g822 +V\u000a +p1931 +tp1932 +a(g740 +V---- +p1933 +tp1934 +a(g822 +V\u000a +p1935 +tp1936 +a(g740 +V----- +p1937 +tp1938 +a(g822 +V\u000a +p1939 +tp1940 +a(g740 +V------ +p1941 +tp1942 +a(g822 +V\u000a +p1943 +tp1944 +a(g740 +V------- +p1945 +tp1946 +a(g822 +V\u000a +p1947 +tp1948 +a(g740 +V-------- +p1949 +tp1950 +a(g822 +V\u000a +p1951 +tp1952 +a(g740 +V--------- +p1953 +tp1954 +a(g822 +V\u000a +p1955 +tp1956 +a(g740 +V---------- +p1957 +tp1958 +a(g822 +V\u000a +p1959 +tp1960 +a(g822 +V\u000a +p1961 +tp1962 +a(g341 +g1006 +tp1963 +a(g822 +V Tables +p1964 +tp1965 +a(g341 +g1006 +tp1966 +a(g822 +V\u000a +p1967 +tp1968 +a(g7 +V''' +p1969 +tp1970 +a(g7 +V'' +p1971 +tp1972 +a(g822 +Vsee: +p1973 +tp1974 +a(g7 +V''' +p1975 +tp1976 +a(g822 +V HelpOnTables +p1977 +tp1978 +a(g7 +V'' +p1979 +tp1980 +a(g822 +V\u000a +p1981 +tp1982 +a(g341 +V== +p1983 +tp1984 +a(g822 +V Tables +p1985 +tp1986 +a(g341 +V== +p1987 +tp1988 +a(g822 +V\u000a +p1989 +tp1990 +a(g677 +V{{{ +p1991 +tp1992 +a(g894 +V\u000a||'''A'''||'''B'''||'''C'''||\u000a||1 ||2 ||3 ||\u000a +p1993 +tp1994 +a(g677 +V}}} +p1995 +tp1996 +a(g822 +V\u000a +p1997 +tp1998 +a(g7 +V|| +p1999 +tp2000 +a(g7 +V''' +p2001 +tp2002 +a(g822 +VA +p2003 +tp2004 +a(g7 +V''' +p2005 +tp2006 +a(g7 +V|| +p2007 +tp2008 +a(g7 +V''' +p2009 +tp2010 +a(g822 +VB +p2011 +tp2012 +a(g7 +V''' +p2013 +tp2014 +a(g7 +V|| +p2015 +tp2016 +a(g7 +V''' +p2017 +tp2018 +a(g822 +VC +p2019 +tp2020 +a(g7 +V''' +p2021 +tp2022 +a(g7 +V|| +p2023 +tp2024 +a(g822 +V\u000a +p2025 +tp2026 +a(g7 +V|| +p2027 +tp2028 +a(g822 +V1 +p2029 +tp2030 +a(g7 +V|| +p2031 +tp2032 +a(g822 +V2 +p2033 +tp2034 +a(g7 +V|| +p2035 +tp2036 +a(g822 +V3 +p2037 +tp2038 +a(g7 +V|| +p2039 +tp2040 +a(g822 +V\u000a +p2041 +tp2042 +a(g822 +V\u000a +p2043 +tp2044 +a(g341 +V== +p2045 +tp2046 +a(g822 +V Cell Width +p2047 +tp2048 +a(g341 +V== +p2049 +tp2050 +a(g822 +V\u000a +p2051 +tp2052 +a(g677 +V{{{ +p2053 +tp2054 +a(g894 +V\u000a||minimal width ||<99%>maximal width ||\u000a +p2055 +tp2056 +a(g677 +V}}} +p2057 +tp2058 +a(g822 +V\u000a +p2059 +tp2060 +a(g7 +V|| +p2061 +tp2062 +a(g822 +Vminimal width +p2063 +tp2064 +a(g7 +V|| +p2065 +tp2066 +a(g822 +V<99%>maximal width +p2067 +tp2068 +a(g7 +V|| +p2069 +tp2070 +a(g822 +V\u000a +p2071 +tp2072 +a(g822 +V\u000a +p2073 +tp2074 +a(g341 +V== +p2075 +tp2076 +a(g822 +V Spanning Rows and Columns +p2077 +tp2078 +a(g341 +V== +p2079 +tp2080 +a(g822 +V\u000a +p2081 +tp2082 +a(g677 +V{{{ +p2083 +tp2084 +a(g894 +V\u000a||<|2> cell spanning 2 rows ||cell in the 2nd column ||\u000a||cell in the 2nd column of the 2nd row ||\u000a||<-2> cell spanning 2 columns ||\u000a||||use empty cells as a shorthand ||\u000a +p2085 +tp2086 +a(g677 +V}}} +p2087 +tp2088 +a(g822 +V\u000a +p2089 +tp2090 +a(g7 +V|| +p2091 +tp2092 +a(g822 +V< +p2093 +tp2094 +a(g822 +V| +p2095 +tp2096 +a(g822 +V2> cell spanning 2 rows +p2097 +tp2098 +a(g7 +V|| +p2099 +tp2100 +a(g822 +Vcell in the 2nd column +p2101 +tp2102 +a(g7 +V|| +p2103 +tp2104 +a(g822 +V\u000a +p2105 +tp2106 +a(g7 +V|| +p2107 +tp2108 +a(g822 +Vcell in the 2nd column of the 2nd row +p2109 +tp2110 +a(g7 +V|| +p2111 +tp2112 +a(g822 +V\u000a +p2113 +tp2114 +a(g7 +V|| +p2115 +tp2116 +a(g822 +V<-2> cell spanning 2 columns +p2117 +tp2118 +a(g7 +V|| +p2119 +tp2120 +a(g822 +V\u000a +p2121 +tp2122 +a(g7 +V|| +p2123 +tp2124 +a(g7 +V|| +p2125 +tp2126 +a(g822 +Vuse empty cells as a shorthand +p2127 +tp2128 +a(g7 +V|| +p2129 +tp2130 +a(g822 +V\u000a +p2131 +tp2132 +a(g822 +V\u000a +p2133 +tp2134 +a(g341 +V== +p2135 +tp2136 +a(g822 +V Alignment of Cell Contents +p2137 +tp2138 +a(g341 +V== +p2139 +tp2140 +a(g822 +V\u000a +p2141 +tp2142 +a(g677 +V{{{ +p2143 +tp2144 +a(g894 +V\u000a||<^|3> top (combined) ||<:99%> center (combined) || bottom (combined) ||\u000a||<)> right ||\u000a||<(> left ||\u000a +p2145 +tp2146 +a(g677 +V}}} +p2147 +tp2148 +a(g822 +V\u000a +p2149 +tp2150 +a(g7 +V|| +p2151 +tp2152 +a(g822 +g2093 +tp2153 +a(g7 +g1227 +tp2154 +a(g822 +g2095 +tp2155 +a(g822 +V3> top (combined) +p2156 +tp2157 +a(g7 +V|| +p2158 +tp2159 +a(g822 +V<:99%> center (combined) +p2160 +tp2161 +a(g7 +V|| +p2162 +tp2163 +a(g822 +V bottom (combined) +p2167 +tp2168 +a(g7 +V|| +p2169 +tp2170 +a(g822 +V\u000a +p2171 +tp2172 +a(g7 +V|| +p2173 +tp2174 +a(g822 +V<)> right +p2175 +tp2176 +a(g7 +V|| +p2177 +tp2178 +a(g822 +V\u000a +p2179 +tp2180 +a(g7 +V|| +p2181 +tp2182 +a(g822 +V<(> left +p2183 +tp2184 +a(g7 +V|| +p2185 +tp2186 +a(g822 +V\u000a +p2187 +tp2188 +a(g822 +V\u000a +p2189 +tp2190 +a(g341 +V== +p2191 +tp2192 +a(g822 +V Coulored Table Cells +p2193 +tp2194 +a(g341 +V== +p2195 +tp2196 +a(g822 +V\u000a +p2197 +tp2198 +a(g677 +V{{{ +p2199 +tp2200 +a(g894 +V\u000a||<#0000FF> blue ||<#00FF00> green ||<#FF0000> red ||\u000a||<#00FFFF> cyan ||<#FF00FF> magenta ||<#FFFF00> yellow ||\u000a +p2201 +tp2202 +a(g677 +V}}} +p2203 +tp2204 +a(g822 +V\u000a +p2205 +tp2206 +a(g7 +V|| +p2207 +tp2208 +a(g822 +V<#0000FF> blue +p2209 +tp2210 +a(g7 +V|| +p2211 +tp2212 +a(g822 +V<#00FF00> green +p2213 +tp2214 +a(g7 +V|| +p2215 +tp2216 +a(g822 +V<#FF0000> red +p2217 +tp2218 +a(g7 +V|| +p2219 +tp2220 +a(g822 +V\u000a +p2221 +tp2222 +a(g7 +V|| +p2223 +tp2224 +a(g822 +V<#00FFFF> cyan +p2225 +tp2226 +a(g7 +V|| +p2227 +tp2228 +a(g822 +V<#FF00FF> magenta +p2229 +tp2230 +a(g7 +V|| +p2231 +tp2232 +a(g822 +V<#FFFF00> yellow +p2233 +tp2234 +a(g7 +V|| +p2235 +tp2236 +a(g822 +V\u000a +p2237 +tp2238 +a(g822 +V\u000a +p2239 +tp2240 +a(g341 +V== +p2241 +tp2242 +a(g822 +V HTML-like Options for Tables +p2243 +tp2244 +a(g341 +V== +p2245 +tp2246 +a(g822 +V\u000a +p2247 +tp2248 +a(g677 +V{{{ +p2249 +tp2250 +a(g894 +V\u000a||A || like <|2> ||\u000a|| like <#00FF00> ||\u000a|| like <-2>||\u000a +p2251 +tp2252 +a(g677 +V}}} +p2253 +tp2254 +a(g822 +V\u000a +p2255 +tp2256 +a(g7 +V|| +p2257 +tp2258 +a(g822 +VA +p2259 +tp2260 +a(g7 +V|| +p2261 +tp2262 +a(g822 +V like < +p2263 +tp2264 +a(g822 +g2095 +tp2265 +a(g822 +V2> +p2266 +tp2267 +a(g7 +V|| +p2268 +tp2269 +a(g822 +V\u000a +p2270 +tp2271 +a(g7 +V|| +p2272 +tp2273 +a(g822 +V like <#00FF00> +p2274 +tp2275 +a(g7 +V|| +p2276 +tp2277 +a(g822 +V\u000a +p2278 +tp2279 +a(g7 +V|| +p2280 +tp2281 +a(g822 +V like <-2> +p2282 +tp2283 +a(g7 +V|| +p2284 +tp2285 +a(g822 +V\u000a +p2286 +tp2287 +a(g822 +V\u000a +p2288 +tp2289 +a(g341 +g1006 +tp2290 +a(g822 +V Macros and Variables +p2291 +tp2292 +a(g341 +g1006 +tp2293 +a(g822 +V\u000a +p2294 +tp2295 +a(g341 +V== +p2296 +tp2297 +a(g822 +V Macros +p2298 +tp2299 +a(g341 +V== +p2300 +tp2301 +a(g822 +V\u000a +p2302 +tp2303 +a(g7 +V''' +p2304 +tp2305 +a(g7 +V'' +p2306 +tp2307 +a(g822 +Vsee: +p2308 +tp2309 +a(g7 +V''' +p2310 +tp2311 +a(g822 +V HelpOnMacros +p2312 +tp2313 +a(g7 +V'' +p2314 +tp2315 +a(g822 +V\u000a +p2316 +tp2317 +a(g822 +g1141 +tp2318 +a(g677 +g1143 +tp2319 +a(g822 +g1141 +tp2320 +a(g7 +g1183 +tp2321 +a(g740 +V[[Anchor(anchorname)]] +p2322 +tp2323 +a(g7 +g1183 +tp2324 +a(g822 +V inserts a link anchor `anchorname` +p2325 +tp2326 +a(g822 +V\u000a +p2327 +tp2328 +a(g822 +g1141 +tp2329 +a(g677 +g1143 +tp2330 +a(g822 +g1141 +tp2331 +a(g7 +g1183 +tp2332 +a(g740 +V[[BR]] +p2333 +tp2334 +a(g7 +g1183 +tp2335 +a(g822 +V inserts a hard line break +p2336 +tp2337 +a(g822 +V\u000a +p2338 +tp2339 +a(g822 +g1141 +tp2340 +a(g677 +g1143 +tp2341 +a(g822 +g1141 +tp2342 +a(g7 +g1183 +tp2343 +a(g740 +V[[FootNote(Note)]] +p2344 +tp2345 +a(g7 +g1183 +tp2346 +a(g822 +V inserts a footnote saying `Note` +p2347 +tp2348 +a(g822 +V\u000a +p2349 +tp2350 +a(g822 +g1141 +tp2351 +a(g677 +g1143 +tp2352 +a(g822 +g1141 +tp2353 +a(g7 +g1183 +tp2354 +a(g740 +V[[Include(HelpOnMacros/Include)]] +p2355 +tp2356 +a(g7 +g1183 +tp2357 +a(g822 +V inserts the contents of the page `HelpOnMacros/Include` inline +p2358 +tp2359 +a(g822 +V\u000a +p2360 +tp2361 +a(g822 +g1141 +tp2362 +a(g677 +g1143 +tp2363 +a(g822 +g1141 +tp2364 +a(g7 +g1183 +tp2365 +a(g740 +V[[MailTo(user AT example DOT com)]] +p2366 +tp2367 +a(g7 +g1183 +tp2368 +a(g822 +V obfuscates the email address `user@example.com` to users not logged in +p2369 +tp2370 +a(g822 +V\u000a +p2371 +tp2372 +a(g822 +V\u000a +p2373 +tp2374 +a(g341 +V== +p2375 +tp2376 +a(g822 +V Variables +p2377 +tp2378 +a(g341 +V== +p2379 +tp2380 +a(g822 +V\u000a +p2381 +tp2382 +a(g7 +V''' +p2383 +tp2384 +a(g7 +V'' +p2385 +tp2386 +a(g822 +Vsee: +p2387 +tp2388 +a(g7 +V''' +p2389 +tp2390 +a(g822 +V HelpOnVariables +p2391 +tp2392 +a(g7 +V'' +p2393 +tp2394 +a(g822 +V\u000a +p2395 +tp2396 +a(g822 +g1141 +tp2397 +a(g677 +g1143 +tp2398 +a(g822 +g1141 +tp2399 +a(g7 +g1183 +tp2400 +a(g822 +V@``SIG``@` inserts your login name and timestamp of modification +p2401 +tp2402 +a(g822 +V\u000a +p2403 +tp2404 +a(g822 +g1141 +tp2405 +a(g677 +g1143 +tp2406 +a(g822 +g1141 +tp2407 +a(g7 +g1183 +tp2408 +a(g822 +V@``TIME``@` inserts date and time of modification +p2409 +tp2410 +a(g822 +V\u000a +p2411 +tp2412 +a(g822 +V\u000a +p2413 +tp2414 +a(g341 +g1006 +tp2415 +a(g822 +V Smileys and Icons +p2416 +tp2417 +a(g341 +g1006 +tp2418 +a(g822 +V\u000a +p2419 +tp2420 +a(g7 +V''' +p2421 +tp2422 +a(g7 +V'' +p2423 +tp2424 +a(g822 +Vsee: +p2425 +tp2426 +a(g7 +V''' +p2427 +tp2428 +a(g822 +V HelpOnSmileys +p2429 +tp2430 +a(g7 +V'' +p2431 +tp2432 +a(g822 +V\u000a +p2433 +tp2434 +a(g740 +V[[ShowSmileys]] +p2435 +tp2436 +a(g822 +V\u000a +p2437 +tp2438 +a(g822 +V\u000a +p2439 +tp2440 +a(g341 +g1006 +tp2441 +a(g822 +V Source code +p2442 +tp2443 +a(g341 +g1006 +tp2444 +a(g822 +V\u000a +p2445 +tp2446 +a(g7 +V''' +p2447 +tp2448 +a(g7 +V'' +p2449 +tp2450 +a(g822 +Vsee: +p2451 +tp2452 +a(g7 +V''' +p2453 +tp2454 +a(g822 +V HelpOnParsers +p2455 +tp2456 +a(g7 +V'' +p2457 +tp2458 +a(g822 +V\u000a +p2459 +tp2460 +a(g341 +V== +p2461 +tp2462 +a(g822 +V Verbatim Display +p2463 +tp2464 +a(g341 +V== +p2465 +tp2466 +a(g822 +V\u000a +p2467 +tp2468 +a(g677 +V{{{ +p2469 +tp2470 +a(g894 +V\u000a +p2471 +tp2472 +a(g894 +V{ +p2473 +tp2474 +a(g894 +g1141 +tp2475 +a(g894 +g2473 +tp2476 +a(g894 +g1141 +tp2477 +a(g894 +g2473 +tp2478 +a(g894 +V\u000adef hello():\u000a print "Hello World!"\u000a +p2479 +tp2480 +a(g894 +V} +p2481 +tp2482 +a(g894 +g1141 +tp2483 +a(g894 +g2481 +tp2484 +a(g894 +g1141 +tp2485 +a(g894 +g2481 +tp2486 +a(g894 +V\u000a +p2487 +tp2488 +a(g677 +V}}} +p2489 +tp2490 +a(g822 +V\u000a +p2491 +tp2492 +a(g822 +V/ +p2493 +tp2494 +a(g740 +g1548 +tp2495 +a(g822 +V\u005c +p2496 +tp2497 +a(g822 +V Remove spaces between "` +p2498 +tp2499 +a(g822 +g2473 +tp2500 +a(g822 +g1141 +tp2501 +a(g822 +g2473 +tp2502 +a(g822 +g1141 +tp2503 +a(g822 +g2473 +tp2504 +a(g7 +g1183 +tp2505 +a(g822 +V" and "`} } }`". +p2506 +tp2507 +a(g822 +V\u000a +p2508 +tp2509 +a(g677 +V{{{ +p2510 +tp2511 +a(g894 +V\u000adef hello():\u000a print "Hello World!"\u000a +p2512 +tp2513 +a(g677 +V}}} +p2514 +tp2515 +a(g822 +V\u000a +p2516 +tp2517 +a(g822 +V\u000a +p2518 +tp2519 +a(g341 +V== +p2520 +tp2521 +a(g822 +V Syntax Highlighting +p2522 +tp2523 +a(g341 +V== +p2524 +tp2525 +a(g822 +V\u000a +p2526 +tp2527 +a(g677 +V{{{ +p2528 +tp2529 +a(g894 +V\u000a +p2530 +tp2531 +a(g894 +g2473 +tp2532 +a(g894 +g1141 +tp2533 +a(g894 +g2473 +tp2534 +a(g894 +g1141 +tp2535 +a(g894 +g2473 +tp2536 +a(g894 +V#!python\u000adef hello():\u000a print "Hello World!"\u000a +p2537 +tp2538 +a(g894 +g2481 +tp2539 +a(g894 +g1141 +tp2540 +a(g894 +g2481 +tp2541 +a(g894 +g1141 +tp2542 +a(g894 +g2481 +tp2543 +a(g894 +V\u000a +p2544 +tp2545 +a(g677 +V}}} +p2546 +tp2547 +a(g822 +V\u000a +p2548 +tp2549 +a(g822 +g2493 +tp2550 +a(g740 +g1548 +tp2551 +a(g822 +V\u005c +p2552 +tp2553 +a(g822 +V Remove spaces between "` +p2554 +tp2555 +a(g822 +g2473 +tp2556 +a(g822 +g1141 +tp2557 +a(g822 +g2473 +tp2558 +a(g822 +g1141 +tp2559 +a(g822 +g2473 +tp2560 +a(g7 +g1183 +tp2561 +a(g822 +V" and "`} } }`". +p2562 +tp2563 +a(g822 +V\u000a +p2564 +tp2565 +a(g677 +V{{{ +p2566 +tp2567 +a(g894 +V#!python\u000adef hello():\u000a print "Hello World!"\u000a +p2568 +tp2569 +a(g677 +V}}} +p2570 +tp2571 +a(g822 +V\u000a +p2572 +tp2573 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/multiline_regexes.rb b/tests/examplefiles/output/multiline_regexes.rb new file mode 100644 index 0000000..3e8f95a --- /dev/null +++ b/tests/examplefiles/output/multiline_regexes.rb @@ -0,0 +1,2703 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Literal' +p4 +S'String' +p5 +S'Regex' +p6 +tp7 +tp8 +Rp9 +(dp10 +S'parent' +p11 +g1 +(g2 +g3 +(g4 +g5 +tp12 +tp13 +Rp14 +(dp15 +S'Char' +p16 +g1 +(g2 +g3 +(g4 +g5 +g16 +tp17 +tp18 +Rp19 +(dp20 +g11 +g14 +sS'subtypes' +p21 +c__builtin__ +set +p22 +((lp23 +tp24 +Rp25 +sbsS'Backtick' +p26 +g1 +(g2 +g3 +(g4 +g5 +g26 +tp27 +tp28 +Rp29 +(dp30 +g11 +g14 +sg21 +g22 +((lp31 +tp32 +Rp33 +sbsS'Heredoc' +p34 +g1 +(g2 +g3 +(g4 +g5 +g34 +tp35 +tp36 +Rp37 +(dp38 +g11 +g14 +sg21 +g22 +((lp39 +tp40 +Rp41 +sbsS'Symbol' +p42 +g1 +(g2 +g3 +(g4 +g5 +g42 +tp43 +tp44 +Rp45 +(dp46 +g11 +g14 +sg21 +g22 +((lp47 +tp48 +Rp49 +sbsS'Interpol' +p50 +g1 +(g2 +g3 +(g4 +g5 +g50 +tp51 +tp52 +Rp53 +(dp54 +g11 +g14 +sg21 +g22 +((lp55 +tp56 +Rp57 +sbsS'Delimiter' +p58 +g1 +(g2 +g3 +(g4 +g5 +g58 +tp59 +tp60 +Rp61 +(dp62 +g11 +g14 +sg21 +g22 +((lp63 +tp64 +Rp65 +sbsS'Boolean' +p66 +g1 +(g2 +g3 +(g4 +g5 +g66 +tp67 +tp68 +Rp69 +(dp70 +g11 +g14 +sg21 +g22 +((lp71 +tp72 +Rp73 +sbsS'Character' +p74 +g1 +(g2 +g3 +(g4 +g5 +g74 +tp75 +tp76 +Rp77 +(dp78 +g11 +g14 +sg21 +g22 +((lp79 +tp80 +Rp81 +sbsS'Double' +p82 +g1 +(g2 +g3 +(g4 +g5 +g82 +tp83 +tp84 +Rp85 +(dp86 +g11 +g14 +sg21 +g22 +((lp87 +tp88 +Rp89 +sbsS'Delimeter' +p90 +g1 +(g2 +g3 +(g4 +g5 +g90 +tp91 +tp92 +Rp93 +(dp94 +g11 +g14 +sg21 +g22 +((lp95 +tp96 +Rp97 +sbsS'Atom' +p98 +g1 +(g2 +g3 +(g4 +g5 +g98 +tp99 +tp100 +Rp101 +(dp102 +g11 +g14 +sg21 +g22 +((lp103 +tp104 +Rp105 +sbsS'Affix' +p106 +g1 +(g2 +g3 +(g4 +g5 +g106 +tp107 +tp108 +Rp109 +(dp110 +g11 +g14 +sg21 +g22 +((lp111 +tp112 +Rp113 +sbsS'Name' +p114 +g1 +(g2 +g3 +(g4 +g5 +g114 +tp115 +tp116 +Rp117 +(dp118 +g11 +g14 +sg21 +g22 +((lp119 +tp120 +Rp121 +sbsg6 +g9 +sS'Interp' +p122 +g1 +(g2 +g3 +(g4 +g5 +g122 +tp123 +tp124 +Rp125 +(dp126 +g11 +g14 +sg21 +g22 +((lp127 +tp128 +Rp129 +sbsS'Escape' +p130 +g1 +(g2 +g3 +(g4 +g5 +g130 +tp131 +tp132 +Rp133 +(dp134 +g11 +g14 +sg21 +g22 +((lp135 +tp136 +Rp137 +sbsg21 +g22 +((lp138 +g61 +ag45 +ag9 +ag1 +(g2 +g3 +(g4 +g5 +S'Doc' +p139 +tp140 +tp141 +Rp142 +(dp143 +g11 +g14 +sg21 +g22 +((lp144 +tp145 +Rp146 +sbag77 +ag69 +ag85 +ag53 +ag101 +ag93 +ag117 +ag133 +ag1 +(g2 +g3 +(g4 +g5 +S'Single' +p147 +tp148 +tp149 +Rp150 +(dp151 +g11 +g14 +sg21 +g22 +((lp152 +tp153 +Rp154 +sbag1 +(g2 +g3 +(g4 +g5 +S'Other' +p155 +tp156 +tp157 +Rp158 +(dp159 +g11 +g14 +sg21 +g22 +((lp160 +tp161 +Rp162 +sbag125 +ag29 +ag109 +ag1 +(g2 +g3 +(g4 +g5 +S'Moment' +p163 +tp164 +tp165 +Rp166 +(dp167 +g11 +g14 +sg21 +g22 +((lp168 +tp169 +Rp170 +sbag19 +ag37 +atp171 +Rp172 +sg147 +g150 +sg163 +g166 +sg11 +g1 +(g2 +g3 +(g4 +tp173 +tp174 +Rp175 +(dp176 +S'Scalar' +p177 +g1 +(g2 +g3 +(g4 +g177 +tp178 +tp179 +Rp180 +(dp181 +g11 +g175 +sg21 +g22 +((lp182 +g1 +(g2 +g3 +(g4 +g177 +S'Plain' +p183 +tp184 +tp185 +Rp186 +(dp187 +g11 +g180 +sg21 +g22 +((lp188 +tp189 +Rp190 +sbatp191 +Rp192 +sg183 +g186 +sbsS'Number' +p193 +g1 +(g2 +g3 +(g4 +g193 +tp194 +tp195 +Rp196 +(dp197 +S'Integer' +p198 +g1 +(g2 +g3 +(g4 +g193 +g198 +tp199 +tp200 +Rp201 +(dp202 +g11 +g196 +sS'Long' +p203 +g1 +(g2 +g3 +(g4 +g193 +g198 +g203 +tp204 +tp205 +Rp206 +(dp207 +g11 +g201 +sg21 +g22 +((lp208 +tp209 +Rp210 +sbsg21 +g22 +((lp211 +g206 +atp212 +Rp213 +sbsg11 +g175 +sS'Bin' +p214 +g1 +(g2 +g3 +(g4 +g193 +g214 +tp215 +tp216 +Rp217 +(dp218 +g11 +g196 +sg21 +g22 +((lp219 +tp220 +Rp221 +sbsS'Radix' +p222 +g1 +(g2 +g3 +(g4 +g193 +g222 +tp223 +tp224 +Rp225 +(dp226 +g11 +g196 +sg21 +g22 +((lp227 +tp228 +Rp229 +sbsS'Oct' +p230 +g1 +(g2 +g3 +(g4 +g193 +g230 +tp231 +tp232 +Rp233 +(dp234 +g11 +g196 +sg21 +g22 +((lp235 +tp236 +Rp237 +sbsS'Dec' +p238 +g1 +(g2 +g3 +(g4 +g193 +g238 +tp239 +tp240 +Rp241 +(dp242 +g11 +g196 +sg21 +g22 +((lp243 +tp244 +Rp245 +sbsS'Hex' +p246 +g1 +(g2 +g3 +(g4 +g193 +g246 +tp247 +tp248 +Rp249 +(dp250 +g11 +g196 +sg21 +g22 +((lp251 +tp252 +Rp253 +sbsg21 +g22 +((lp254 +g201 +ag225 +ag241 +ag1 +(g2 +g3 +(g4 +g193 +S'Decimal' +p255 +tp256 +tp257 +Rp258 +(dp259 +g11 +g196 +sg21 +g22 +((lp260 +tp261 +Rp262 +sbag217 +ag1 +(g2 +g3 +(g4 +g193 +S'Float' +p263 +tp264 +tp265 +Rp266 +(dp267 +g11 +g196 +sg21 +g22 +((lp268 +tp269 +Rp270 +sbag233 +ag249 +atp271 +Rp272 +sg255 +g258 +sg263 +g266 +sbsg11 +g1 +(g2 +g3 +(ttp273 +Rp274 +(dp275 +g193 +g196 +sS'Generic' +p276 +g1 +(g2 +g3 +(g276 +tp277 +tp278 +Rp279 +(dp280 +g11 +g274 +sS'Deleted' +p281 +g1 +(g2 +g3 +(g276 +g281 +tp282 +tp283 +Rp284 +(dp285 +g11 +g279 +sg21 +g22 +((lp286 +tp287 +Rp288 +sbsS'Subheading' +p289 +g1 +(g2 +g3 +(g276 +g289 +tp290 +tp291 +Rp292 +(dp293 +g11 +g279 +sg21 +g22 +((lp294 +tp295 +Rp296 +sbsS'Heading' +p297 +g1 +(g2 +g3 +(g276 +g297 +tp298 +tp299 +Rp300 +(dp301 +g11 +g279 +sg21 +g22 +((lp302 +tp303 +Rp304 +sbsS'Emph' +p305 +g1 +(g2 +g3 +(g276 +g305 +tp306 +tp307 +Rp308 +(dp309 +g11 +g279 +sg21 +g22 +((lp310 +tp311 +Rp312 +sbsS'Prompt' +p313 +g1 +(g2 +g3 +(g276 +g313 +tp314 +tp315 +Rp316 +(dp317 +g11 +g279 +sg21 +g22 +((lp318 +tp319 +Rp320 +sbsS'Inserted' +p321 +g1 +(g2 +g3 +(g276 +g321 +tp322 +tp323 +Rp324 +(dp325 +g11 +g279 +sg21 +g22 +((lp326 +tp327 +Rp328 +sbsS'Strong' +p329 +g1 +(g2 +g3 +(g276 +g329 +tp330 +tp331 +Rp332 +(dp333 +g11 +g279 +sg21 +g22 +((lp334 +tp335 +Rp336 +sbsS'Error' +p337 +g1 +(g2 +g3 +(g276 +g337 +tp338 +tp339 +Rp340 +(dp341 +g11 +g279 +sg21 +g22 +((lp342 +tp343 +Rp344 +sbsS'Traceback' +p345 +g1 +(g2 +g3 +(g276 +g345 +tp346 +tp347 +Rp348 +(dp349 +g11 +g279 +sg21 +g22 +((lp350 +tp351 +Rp352 +sbsg21 +g22 +((lp353 +g300 +ag292 +ag1 +(g2 +g3 +(g276 +S'Output' +p354 +tp355 +tp356 +Rp357 +(dp358 +g11 +g279 +sg21 +g22 +((lp359 +tp360 +Rp361 +sbag332 +ag308 +ag340 +ag348 +ag324 +ag316 +ag284 +atp362 +Rp363 +sg354 +g357 +sbsS'Operator' +p364 +g1 +(g2 +g3 +(g364 +tp365 +tp366 +Rp367 +(dp368 +g11 +g274 +sS'DBS' +p369 +g1 +(g2 +g3 +(g364 +g369 +tp370 +tp371 +Rp372 +(dp373 +g11 +g367 +sg21 +g22 +((lp374 +tp375 +Rp376 +sbsg21 +g22 +((lp377 +g372 +ag1 +(g2 +g3 +(g364 +S'Word' +p378 +tp379 +tp380 +Rp381 +(dp382 +g11 +g367 +sg21 +g22 +((lp383 +tp384 +Rp385 +sbatp386 +Rp387 +sg378 +g381 +sbsg5 +g14 +sg114 +g1 +(g2 +g3 +(g114 +tp388 +tp389 +Rp390 +(dp391 +S'Variable' +p392 +g1 +(g2 +g3 +(g114 +g392 +tp393 +tp394 +Rp395 +(dp396 +g11 +g390 +sS'Class' +p397 +g1 +(g2 +g3 +(g114 +g392 +g397 +tp398 +tp399 +Rp400 +(dp401 +g11 +g395 +sg21 +g22 +((lp402 +tp403 +Rp404 +sbsS'Anonymous' +p405 +g1 +(g2 +g3 +(g114 +g392 +g405 +tp406 +tp407 +Rp408 +(dp409 +g11 +g395 +sg21 +g22 +((lp410 +tp411 +Rp412 +sbsS'Instance' +p413 +g1 +(g2 +g3 +(g114 +g392 +g413 +tp414 +tp415 +Rp416 +(dp417 +g11 +g395 +sg21 +g22 +((lp418 +tp419 +Rp420 +sbsS'Global' +p421 +g1 +(g2 +g3 +(g114 +g392 +g421 +tp422 +tp423 +Rp424 +(dp425 +g11 +g395 +sg21 +g22 +((lp426 +tp427 +Rp428 +sbsg21 +g22 +((lp429 +g408 +ag416 +ag1 +(g2 +g3 +(g114 +g392 +S'Magic' +p430 +tp431 +tp432 +Rp433 +(dp434 +g11 +g395 +sg21 +g22 +((lp435 +tp436 +Rp437 +sbag424 +ag400 +atp438 +Rp439 +sg430 +g433 +sbsg364 +g1 +(g2 +g3 +(g114 +g364 +tp440 +tp441 +Rp442 +(dp443 +g11 +g390 +sg21 +g22 +((lp444 +tp445 +Rp446 +sbsS'Decorator' +p447 +g1 +(g2 +g3 +(g114 +g447 +tp448 +tp449 +Rp450 +(dp451 +g11 +g390 +sg21 +g22 +((lp452 +tp453 +Rp454 +sbsS'Entity' +p455 +g1 +(g2 +g3 +(g114 +g455 +tp456 +tp457 +Rp458 +(dp459 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g114 +g455 +g369 +tp460 +tp461 +Rp462 +(dp463 +g11 +g458 +sg21 +g22 +((lp464 +tp465 +Rp466 +sbsg21 +g22 +((lp467 +g462 +atp468 +Rp469 +sbsg42 +g1 +(g2 +g3 +(g114 +g42 +tp470 +tp471 +Rp472 +(dp473 +g11 +g390 +sg21 +g22 +((lp474 +tp475 +Rp476 +sbsS'Property' +p477 +g1 +(g2 +g3 +(g114 +g477 +tp478 +tp479 +Rp480 +(dp481 +g11 +g390 +sg21 +g22 +((lp482 +tp483 +Rp484 +sbsS'Pseudo' +p485 +g1 +(g2 +g3 +(g114 +g485 +tp486 +tp487 +Rp488 +(dp489 +g11 +g390 +sg21 +g22 +((lp490 +tp491 +Rp492 +sbsS'Type' +p493 +g1 +(g2 +g3 +(g114 +g493 +tp494 +tp495 +Rp496 +(dp497 +g11 +g390 +sg21 +g22 +((lp498 +tp499 +Rp500 +sbsS'Classes' +p501 +g1 +(g2 +g3 +(g114 +g501 +tp502 +tp503 +Rp504 +(dp505 +g11 +g390 +sg21 +g22 +((lp506 +tp507 +Rp508 +sbsS'Tag' +p509 +g1 +(g2 +g3 +(g114 +g509 +tp510 +tp511 +Rp512 +(dp513 +g11 +g390 +sg21 +g22 +((lp514 +tp515 +Rp516 +sbsS'Constant' +p517 +g1 +(g2 +g3 +(g114 +g517 +tp518 +tp519 +Rp520 +(dp521 +g11 +g390 +sg21 +g22 +((lp522 +tp523 +Rp524 +sbsS'Function' +p525 +g1 +(g2 +g3 +(g114 +g525 +tp526 +tp527 +Rp528 +(dp529 +g11 +g390 +sg21 +g22 +((lp530 +g1 +(g2 +g3 +(g114 +g525 +g430 +tp531 +tp532 +Rp533 +(dp534 +g11 +g528 +sg21 +g22 +((lp535 +tp536 +Rp537 +sbatp538 +Rp539 +sg430 +g533 +sbsS'Blubb' +p540 +g1 +(g2 +g3 +(g114 +g540 +tp541 +tp542 +Rp543 +(dp544 +g11 +g390 +sg21 +g22 +((lp545 +tp546 +Rp547 +sbsS'Label' +p548 +g1 +(g2 +g3 +(g114 +g548 +tp549 +tp550 +Rp551 +(dp552 +g11 +g390 +sg21 +g22 +((lp553 +tp554 +Rp555 +sbsS'Field' +p556 +g1 +(g2 +g3 +(g114 +g556 +tp557 +tp558 +Rp559 +(dp560 +g11 +g390 +sg21 +g22 +((lp561 +tp562 +Rp563 +sbsS'Exception' +p564 +g1 +(g2 +g3 +(g114 +g564 +tp565 +tp566 +Rp567 +(dp568 +g11 +g390 +sg21 +g22 +((lp569 +tp570 +Rp571 +sbsS'Namespace' +p572 +g1 +(g2 +g3 +(g114 +g572 +tp573 +tp574 +Rp575 +(dp576 +g11 +g390 +sg21 +g22 +((lp577 +tp578 +Rp579 +sbsg21 +g22 +((lp580 +g450 +ag543 +ag488 +ag458 +ag395 +ag567 +ag480 +ag512 +ag528 +ag504 +ag1 +(g2 +g3 +(g114 +g397 +tp581 +tp582 +Rp583 +(dp584 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g114 +g397 +g369 +tp585 +tp586 +Rp587 +(dp588 +g11 +g583 +sg21 +g22 +((lp589 +tp590 +Rp591 +sbsg21 +g22 +((lp592 +g1 +(g2 +g3 +(g114 +g397 +S'Start' +p593 +tp594 +tp595 +Rp596 +(dp597 +g11 +g583 +sg21 +g22 +((lp598 +tp599 +Rp600 +sbag587 +atp601 +Rp602 +sg593 +g596 +sbag1 +(g2 +g3 +(g114 +g155 +tp603 +tp604 +Rp605 +(dp606 +g11 +g390 +sS'Member' +p607 +g1 +(g2 +g3 +(g114 +g155 +g607 +tp608 +tp609 +Rp610 +(dp611 +g11 +g605 +sg21 +g22 +((lp612 +tp613 +Rp614 +sbsg21 +g22 +((lp615 +g610 +atp616 +Rp617 +sbag551 +ag442 +ag575 +ag1 +(g2 +g3 +(g114 +S'Attribute' +p618 +tp619 +tp620 +Rp621 +(dp622 +g11 +g390 +sg392 +g1 +(g2 +g3 +(g114 +g618 +g392 +tp623 +tp624 +Rp625 +(dp626 +g11 +g621 +sg21 +g22 +((lp627 +tp628 +Rp629 +sbsg21 +g22 +((lp630 +g625 +atp631 +Rp632 +sbag520 +ag1 +(g2 +g3 +(g114 +S'Builtin' +p633 +tp634 +tp635 +Rp636 +(dp637 +g11 +g390 +sg493 +g1 +(g2 +g3 +(g114 +g633 +g493 +tp638 +tp639 +Rp640 +(dp641 +g11 +g636 +sg21 +g22 +((lp642 +tp643 +Rp644 +sbsg21 +g22 +((lp645 +g1 +(g2 +g3 +(g114 +g633 +g485 +tp646 +tp647 +Rp648 +(dp649 +g11 +g636 +sg21 +g22 +((lp650 +tp651 +Rp652 +sbag640 +atp653 +Rp654 +sg485 +g648 +sbag559 +ag496 +ag472 +atp655 +Rp656 +sg11 +g274 +sg397 +g583 +sg633 +g636 +sg618 +g621 +sg155 +g605 +sbsS'Punctuation' +p657 +g1 +(g2 +g3 +(g657 +tp658 +tp659 +Rp660 +(dp661 +g11 +g274 +sg21 +g22 +((lp662 +g1 +(g2 +g3 +(g657 +S'Indicator' +p663 +tp664 +tp665 +Rp666 +(dp667 +g11 +g660 +sg21 +g22 +((lp668 +tp669 +Rp670 +sbatp671 +Rp672 +sg663 +g666 +sbsS'Comment' +p673 +g1 +(g2 +g3 +(g673 +tp674 +tp675 +Rp676 +(dp677 +S'Multi' +p678 +g1 +(g2 +g3 +(g673 +g678 +tp679 +tp680 +Rp681 +(dp682 +g11 +g676 +sg21 +g22 +((lp683 +tp684 +Rp685 +sbsg11 +g274 +sS'Special' +p686 +g1 +(g2 +g3 +(g673 +g686 +tp687 +tp688 +Rp689 +(dp690 +g11 +g676 +sg21 +g22 +((lp691 +tp692 +Rp693 +sbsS'Hashbang' +p694 +g1 +(g2 +g3 +(g673 +g694 +tp695 +tp696 +Rp697 +(dp698 +g11 +g676 +sg21 +g22 +((lp699 +tp700 +Rp701 +sbsS'Preproc' +p702 +g1 +(g2 +g3 +(g673 +g702 +tp703 +tp704 +Rp705 +(dp706 +g11 +g676 +sg21 +g22 +((lp707 +tp708 +Rp709 +sbsg147 +g1 +(g2 +g3 +(g673 +g147 +tp710 +tp711 +Rp712 +(dp713 +g11 +g676 +sg21 +g22 +((lp714 +tp715 +Rp716 +sbsS'Directive' +p717 +g1 +(g2 +g3 +(g673 +g717 +tp718 +tp719 +Rp720 +(dp721 +g11 +g676 +sg21 +g22 +((lp722 +tp723 +Rp724 +sbsg139 +g1 +(g2 +g3 +(g673 +g139 +tp725 +tp726 +Rp727 +(dp728 +g11 +g676 +sg21 +g22 +((lp729 +tp730 +Rp731 +sbsS'Singleline' +p732 +g1 +(g2 +g3 +(g673 +g732 +tp733 +tp734 +Rp735 +(dp736 +g11 +g676 +sg21 +g22 +((lp737 +tp738 +Rp739 +sbsS'Multiline' +p740 +g1 +(g2 +g3 +(g673 +g740 +tp741 +tp742 +Rp743 +(dp744 +g11 +g676 +sg21 +g22 +((lp745 +tp746 +Rp747 +sbsg21 +g22 +((lp748 +g727 +ag720 +ag697 +ag681 +ag735 +ag705 +ag743 +ag712 +ag1 +(g2 +g3 +(g673 +S'PreprocFile' +p749 +tp750 +tp751 +Rp752 +(dp753 +g11 +g676 +sg21 +g22 +((lp754 +tp755 +Rp756 +sbag1 +(g2 +g3 +(g673 +S'SingleLine' +p757 +tp758 +tp759 +Rp760 +(dp761 +g11 +g676 +sg21 +g22 +((lp762 +tp763 +Rp764 +sbag689 +atp765 +Rp766 +sg749 +g752 +sg757 +g760 +sbsg4 +g175 +sg155 +g1 +(g2 +g3 +(g155 +tp767 +tp768 +Rp769 +(dp770 +g11 +g274 +sg21 +g22 +((lp771 +tp772 +Rp773 +sbsg337 +g1 +(g2 +g3 +(g337 +tp774 +tp775 +Rp776 +(dp777 +g11 +g274 +sg21 +g22 +((lp778 +tp779 +Rp780 +sbsS'Token' +p781 +g274 +sg130 +g1 +(g2 +g3 +(g130 +tp782 +tp783 +Rp784 +(dp785 +g11 +g274 +sg21 +g22 +((lp786 +tp787 +Rp788 +sbsg21 +g22 +((lp789 +g390 +ag769 +ag1 +(g2 +g3 +(S'Keyword' +p790 +tp791 +tp792 +Rp793 +(dp794 +g11 +g274 +sg493 +g1 +(g2 +g3 +(g790 +g493 +tp795 +tp796 +Rp797 +(dp798 +g11 +g793 +sg21 +g22 +((lp799 +tp800 +Rp801 +sbsS'Control' +p802 +g1 +(g2 +g3 +(g790 +g802 +tp803 +tp804 +Rp805 +(dp806 +g11 +g793 +sg21 +g22 +((lp807 +tp808 +Rp809 +sbsg517 +g1 +(g2 +g3 +(g790 +g517 +tp810 +tp811 +Rp812 +(dp813 +g11 +g793 +sg21 +g22 +((lp814 +tp815 +Rp816 +sbsg572 +g1 +(g2 +g3 +(g790 +g572 +tp817 +tp818 +Rp819 +(dp820 +g11 +g793 +sg21 +g22 +((lp821 +tp822 +Rp823 +sbsS'PreProc' +p824 +g1 +(g2 +g3 +(g790 +g824 +tp825 +tp826 +Rp827 +(dp828 +g11 +g793 +sg21 +g22 +((lp829 +tp830 +Rp831 +sbsg485 +g1 +(g2 +g3 +(g790 +g485 +tp832 +tp833 +Rp834 +(dp835 +g11 +g793 +sg21 +g22 +((lp836 +tp837 +Rp838 +sbsS'Reserved' +p839 +g1 +(g2 +g3 +(g790 +g839 +tp840 +tp841 +Rp842 +(dp843 +g11 +g793 +sg21 +g22 +((lp844 +tp845 +Rp846 +sbsg21 +g22 +((lp847 +g819 +ag1 +(g2 +g3 +(g790 +g378 +tp848 +tp849 +Rp850 +(dp851 +g11 +g793 +sg21 +g22 +((lp852 +tp853 +Rp854 +sbag805 +ag1 +(g2 +g3 +(g790 +S'Declaration' +p855 +tp856 +tp857 +Rp858 +(dp859 +g11 +g793 +sg21 +g22 +((lp860 +tp861 +Rp862 +sbag1 +(g2 +g3 +(g790 +g790 +tp863 +tp864 +Rp865 +(dp866 +g11 +g793 +sg21 +g22 +((lp867 +tp868 +Rp869 +sbag834 +ag812 +ag797 +ag842 +ag827 +atp870 +Rp871 +sg790 +g865 +sg855 +g858 +sg378 +g850 +sbag279 +ag1 +(g2 +g3 +(S'Text' +p872 +tp873 +tp874 +Rp875 +(dp876 +S'Beer' +p877 +g1 +(g2 +g3 +(g872 +g877 +tp878 +tp879 +Rp880 +(dp881 +g11 +g875 +sg21 +g22 +((lp882 +tp883 +Rp884 +sbsS'Whitespace' +p885 +g1 +(g2 +g3 +(g872 +g885 +tp886 +tp887 +Rp888 +(dp889 +g11 +g875 +sg21 +g22 +((lp890 +tp891 +Rp892 +sbsg11 +g274 +sS'Root' +p893 +g1 +(g2 +g3 +(g872 +g893 +tp894 +tp895 +Rp896 +(dp897 +g11 +g875 +sg21 +g22 +((lp898 +tp899 +Rp900 +sbsg42 +g1 +(g2 +g3 +(g872 +g42 +tp901 +tp902 +Rp903 +(dp904 +g11 +g875 +sg21 +g22 +((lp905 +tp906 +Rp907 +sbsg657 +g1 +(g2 +g3 +(g872 +g657 +tp908 +tp909 +Rp910 +(dp911 +g11 +g875 +sg21 +g22 +((lp912 +tp913 +Rp914 +sbsg21 +g22 +((lp915 +g896 +ag903 +ag910 +ag888 +ag880 +ag1 +(g2 +g3 +(g872 +S'Rag' +p916 +tp917 +tp918 +Rp919 +(dp920 +g11 +g875 +sg21 +g22 +((lp921 +tp922 +Rp923 +sbatp924 +Rp925 +sg916 +g919 +sbag367 +ag784 +ag660 +ag676 +ag776 +ag175 +atp926 +Rp927 +sg790 +g793 +sg872 +g875 +sbsg155 +g1 +(g2 +g3 +(g4 +g155 +tp928 +tp929 +Rp930 +(dp931 +g11 +g175 +sg21 +g22 +((lp932 +tp933 +Rp934 +sbsg16 +g1 +(g2 +g3 +(g4 +g16 +tp935 +tp936 +Rp937 +(dp938 +g11 +g175 +sg21 +g22 +((lp939 +tp940 +Rp941 +sbsg5 +g14 +sg21 +g22 +((lp942 +g14 +ag937 +ag930 +ag1 +(g2 +g3 +(g4 +S'Date' +p943 +tp944 +tp945 +Rp946 +(dp947 +g11 +g175 +sg21 +g22 +((lp948 +tp949 +Rp950 +sbag180 +ag196 +atp951 +Rp952 +sg943 +g946 +sbsg155 +g158 +sg139 +g142 +sbsg21 +g22 +((lp953 +tp954 +Rp955 +sbV/ +p956 +tp957 +a(g9 +V\u000athis is a\u000amultiline\u000aregex\u000a +p958 +tp959 +a(g9 +g956 +tp960 +a(g875 +V\u000a\u000a +p961 +tp962 +a(g390 +Vthis +p963 +tp964 +a(g875 +V +p965 +tp966 +a(g9 +g956 +tp967 +a(g9 +Vis a\u000amultiline regex too +p968 +tp969 +a(g9 +g956 +tp970 +a(g875 +V\u000a\u000a +p971 +tp972 +a(g390 +Vfoo +p973 +tp974 +a(g875 +g965 +tp975 +a(g367 +V= +p976 +tp977 +a(g875 +g965 +tp978 +a(g9 +g956 +tp979 +a(g9 +Vis also\u000aone +p980 +tp981 +a(g9 +g956 +tp982 +a(g875 +V\u000a\u000a +p983 +tp984 +a(g390 +Valso +p985 +tp986 +a(g875 +g965 +tp987 +a(g9 +g956 +tp988 +a(g9 +V4\u000ais one +p989 +tp990 +a(g9 +g956 +tp991 +a(g875 +V\u000a\u000a +p992 +tp993 +a(g390 +Vthis +p994 +tp995 +a(g660 +V( +p996 +tp997 +a(g9 +g956 +tp998 +a(g9 +V\u000atoo\u000a +p999 +tp1000 +a(g9 +g956 +tp1001 +a(g660 +V) +p1002 +tp1003 +a(g875 +V\u000a\u000a +p1004 +tp1005 +a(g712 +V# this not +p1006 +tp1007 +a(g875 +V\u000a +p1008 +tp1009 +a(g201 +V2 +p1010 +tp1011 +a(g875 +g965 +tp1012 +a(g367 +g956 +tp1013 +a(g201 +V4 +p1014 +tp1015 +a(g875 +V\u000a +p1016 +tp1017 +a(g390 +Vasfsadf +p1018 +tp1019 +a(g367 +g956 +tp1020 +a(g875 +V\u000a\u000a +p1021 +tp1022 +a(g712 +V# this is also not one +p1023 +tp1024 +a(g875 +V\u000a +p1025 +tp1026 +a(g249 +V0x4d +p1027 +tp1028 +a(g875 +g965 +tp1029 +a(g367 +g956 +tp1030 +a(g201 +V25 +p1031 +tp1032 +a(g875 +V\u000a +p1033 +tp1034 +a(g390 +Vfoo +p1035 +tp1036 +a(g367 +g956 +tp1037 +a(g875 +V\u000a\u000a +p1038 +tp1039 +a(g201 +V42 +p1040 +tp1041 +a(g875 +g965 +tp1042 +a(g381 +Vand +p1043 +tp1044 +a(g875 +g965 +tp1045 +a(g9 +g956 +tp1046 +a(g9 +Vthis\u000ais also a multiline\u000aregex +p1047 +tp1048 +a(g9 +g956 +tp1049 +a(g875 +V\u000a\u000a\u000a +p1050 +tp1051 +a(g712 +V# And here some special string cases +p1052 +tp1053 +a(g875 +V\u000a +p1054 +tp1055 +a(g390 +Vfoo +p1056 +tp1057 +a(g875 +g965 +tp1058 +a(g367 +g976 +tp1059 +a(g875 +g965 +tp1060 +a(g158 +V% blah +p1061 +tp1062 +a(g875 +V +p1063 +tp1064 +a(g712 +V# comment here to ensure whitespace +p1065 +tp1066 +a(g875 +V\u000a +p1067 +tp1068 +a(g390 +Vfoo +p1069 +tp1070 +a(g660 +g996 +tp1071 +a(g158 +V% blah +p1072 +tp1073 +a(g660 +g1002 +tp1074 +a(g875 +V\u000a +p1075 +tp1076 +a(g390 +Vfoo +p1077 +tp1078 +a(g875 +g965 +tp1079 +a(g367 +V<< +p1080 +tp1081 +a(g875 +g965 +tp1082 +a(g158 +V% blah +p1083 +tp1084 +a(g875 +V +p1085 +tp1086 +a(g712 +V# stupid but has to work +p1087 +tp1088 +a(g875 +V\u000a +p1089 +tp1090 +a(g390 +Vfoo +p1091 +tp1092 +a(g875 +g965 +tp1093 +a(g367 +g976 +tp1094 +a(g875 +g965 +tp1095 +a(g158 +V% blah +p1096 +tp1097 +a(g367 +V+ +p1098 +tp1099 +a(g875 +g965 +tp1100 +a(g158 +V% blub +p1101 +tp1102 +a(g875 +V +p1103 +tp1104 +a(g712 +V# wicked +p1105 +tp1106 +a(g875 +V\u000a +p1107 +tp1108 +a(g390 +Vfoo +p1109 +tp1110 +a(g875 +g965 +tp1111 +a(g367 +g976 +tp1112 +a(g875 +g965 +tp1113 +a(g158 +V%q wicked +p1114 +tp1115 +a(g875 +V +p1116 +tp1117 +a(g712 +V# works too +p1118 +tp1119 +a(g875 +V\u000a +p1120 +tp1121 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nanomsg.intr b/tests/examplefiles/output/nanomsg.intr new file mode 100644 index 0000000..abf262b --- /dev/null +++ b/tests/examplefiles/output/nanomsg.intr @@ -0,0 +1,4584 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Attribute' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag8 +ag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g247 +g121 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g1 +(g2 +g3 +(g4 +g247 +g113 +tp260 +tp261 +Rp262 +(dp263 +g10 +g250 +sg25 +g26 +((lp264 +tp265 +Rp266 +sbag254 +atp267 +Rp268 +sg113 +g262 +sbag187 +ag124 +ag100 +atp269 +Rp270 +sg10 +g1 +(g2 +g3 +(ttp271 +Rp272 +(dp273 +S'Number' +p274 +g1 +(g2 +g3 +(S'Literal' +p275 +g274 +tp276 +tp277 +Rp278 +(dp279 +S'Integer' +p280 +g1 +(g2 +g3 +(g275 +g274 +g280 +tp281 +tp282 +Rp283 +(dp284 +g10 +g278 +sS'Long' +p285 +g1 +(g2 +g3 +(g275 +g274 +g280 +g285 +tp286 +tp287 +Rp288 +(dp289 +g10 +g283 +sg25 +g26 +((lp290 +tp291 +Rp292 +sbsg25 +g26 +((lp293 +g288 +atp294 +Rp295 +sbsg10 +g1 +(g2 +g3 +(g275 +tp296 +tp297 +Rp298 +(dp299 +S'Scalar' +p300 +g1 +(g2 +g3 +(g275 +g300 +tp301 +tp302 +Rp303 +(dp304 +g10 +g298 +sg25 +g26 +((lp305 +g1 +(g2 +g3 +(g275 +g300 +S'Plain' +p306 +tp307 +tp308 +Rp309 +(dp310 +g10 +g303 +sg25 +g26 +((lp311 +tp312 +Rp313 +sbatp314 +Rp315 +sg306 +g309 +sbsg274 +g278 +sg10 +g272 +sg231 +g1 +(g2 +g3 +(g275 +g231 +tp316 +tp317 +Rp318 +(dp319 +g10 +g298 +sg25 +g26 +((lp320 +tp321 +Rp322 +sbsS'Char' +p323 +g1 +(g2 +g3 +(g275 +g323 +tp324 +tp325 +Rp326 +(dp327 +g10 +g298 +sg25 +g26 +((lp328 +tp329 +Rp330 +sbsS'String' +p331 +g1 +(g2 +g3 +(g275 +g331 +tp332 +tp333 +Rp334 +(dp335 +g323 +g1 +(g2 +g3 +(g275 +g331 +g323 +tp336 +tp337 +Rp338 +(dp339 +g10 +g334 +sg25 +g26 +((lp340 +tp341 +Rp342 +sbsS'Backtick' +p343 +g1 +(g2 +g3 +(g275 +g331 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g334 +sg25 +g26 +((lp348 +tp349 +Rp350 +sbsS'Heredoc' +p351 +g1 +(g2 +g3 +(g275 +g331 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g334 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsg97 +g1 +(g2 +g3 +(g275 +g331 +g97 +tp359 +tp360 +Rp361 +(dp362 +g10 +g334 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsS'Interpol' +p366 +g1 +(g2 +g3 +(g275 +g331 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g334 +sg25 +g26 +((lp371 +tp372 +Rp373 +sbsS'Delimiter' +p374 +g1 +(g2 +g3 +(g275 +g331 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g334 +sg25 +g26 +((lp379 +tp380 +Rp381 +sbsS'Boolean' +p382 +g1 +(g2 +g3 +(g275 +g331 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g334 +sg25 +g26 +((lp387 +tp388 +Rp389 +sbsS'Character' +p390 +g1 +(g2 +g3 +(g275 +g331 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g334 +sg25 +g26 +((lp395 +tp396 +Rp397 +sbsS'Double' +p398 +g1 +(g2 +g3 +(g275 +g331 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g334 +sg25 +g26 +((lp403 +tp404 +Rp405 +sbsS'Delimeter' +p406 +g1 +(g2 +g3 +(g275 +g331 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g334 +sg25 +g26 +((lp411 +tp412 +Rp413 +sbsS'Atom' +p414 +g1 +(g2 +g3 +(g275 +g331 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g334 +sg25 +g26 +((lp419 +tp420 +Rp421 +sbsS'Affix' +p422 +g1 +(g2 +g3 +(g275 +g331 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g334 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsg4 +g1 +(g2 +g3 +(g275 +g331 +g4 +tp430 +tp431 +Rp432 +(dp433 +g10 +g334 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsS'Regex' +p437 +g1 +(g2 +g3 +(g275 +g331 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g334 +sg25 +g26 +((lp442 +tp443 +Rp444 +sbsS'Interp' +p445 +g1 +(g2 +g3 +(g275 +g331 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g334 +sg25 +g26 +((lp450 +tp451 +Rp452 +sbsS'Escape' +p453 +g1 +(g2 +g3 +(g275 +g331 +g453 +tp454 +tp455 +Rp456 +(dp457 +g10 +g334 +sg25 +g26 +((lp458 +tp459 +Rp460 +sbsg25 +g26 +((lp461 +g377 +ag361 +ag440 +ag1 +(g2 +g3 +(g275 +g331 +S'Doc' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g334 +sg25 +g26 +((lp467 +tp468 +Rp469 +sbag393 +ag385 +ag401 +ag369 +ag417 +ag409 +ag432 +ag456 +ag1 +(g2 +g3 +(g275 +g331 +S'Single' +p470 +tp471 +tp472 +Rp473 +(dp474 +g10 +g334 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag1 +(g2 +g3 +(g275 +g331 +g231 +tp478 +tp479 +Rp480 +(dp481 +g10 +g334 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag448 +ag346 +ag425 +ag1 +(g2 +g3 +(g275 +g331 +S'Moment' +p485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g334 +sg25 +g26 +((lp490 +tp491 +Rp492 +sbag338 +ag354 +atp493 +Rp494 +sg470 +g473 +sg485 +g488 +sg10 +g298 +sg231 +g480 +sg462 +g465 +sbsg25 +g26 +((lp495 +g334 +ag326 +ag318 +ag1 +(g2 +g3 +(g275 +S'Date' +p496 +tp497 +tp498 +Rp499 +(dp500 +g10 +g298 +sg25 +g26 +((lp501 +tp502 +Rp503 +sbag303 +ag278 +atp504 +Rp505 +sg496 +g499 +sbsS'Bin' +p506 +g1 +(g2 +g3 +(g275 +g274 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g278 +sg25 +g26 +((lp511 +tp512 +Rp513 +sbsS'Radix' +p514 +g1 +(g2 +g3 +(g275 +g274 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g278 +sg25 +g26 +((lp519 +tp520 +Rp521 +sbsS'Oct' +p522 +g1 +(g2 +g3 +(g275 +g274 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g278 +sg25 +g26 +((lp527 +tp528 +Rp529 +sbsS'Dec' +p530 +g1 +(g2 +g3 +(g275 +g274 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g278 +sg25 +g26 +((lp535 +tp536 +Rp537 +sbsS'Hex' +p538 +g1 +(g2 +g3 +(g275 +g274 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g278 +sg25 +g26 +((lp543 +tp544 +Rp545 +sbsg25 +g26 +((lp546 +g283 +ag517 +ag533 +ag1 +(g2 +g3 +(g275 +g274 +S'Decimal' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g278 +sg25 +g26 +((lp552 +tp553 +Rp554 +sbag509 +ag1 +(g2 +g3 +(g275 +g274 +S'Float' +p555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g278 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbag525 +ag541 +atp563 +Rp564 +sg547 +g550 +sg555 +g558 +sbsS'Generic' +p565 +g1 +(g2 +g3 +(g565 +tp566 +tp567 +Rp568 +(dp569 +g10 +g272 +sS'Deleted' +p570 +g1 +(g2 +g3 +(g565 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g568 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsS'Subheading' +p578 +g1 +(g2 +g3 +(g565 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g568 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbsS'Heading' +p586 +g1 +(g2 +g3 +(g565 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g568 +sg25 +g26 +((lp591 +tp592 +Rp593 +sbsS'Emph' +p594 +g1 +(g2 +g3 +(g565 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g568 +sg25 +g26 +((lp599 +tp600 +Rp601 +sbsS'Prompt' +p602 +g1 +(g2 +g3 +(g565 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g568 +sg25 +g26 +((lp607 +tp608 +Rp609 +sbsS'Inserted' +p610 +g1 +(g2 +g3 +(g565 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g568 +sg25 +g26 +((lp615 +tp616 +Rp617 +sbsS'Strong' +p618 +g1 +(g2 +g3 +(g565 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g568 +sg25 +g26 +((lp623 +tp624 +Rp625 +sbsS'Error' +p626 +g1 +(g2 +g3 +(g565 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g568 +sg25 +g26 +((lp631 +tp632 +Rp633 +sbsS'Traceback' +p634 +g1 +(g2 +g3 +(g565 +g634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g568 +sg25 +g26 +((lp639 +tp640 +Rp641 +sbsg25 +g26 +((lp642 +g589 +ag581 +ag1 +(g2 +g3 +(g565 +S'Output' +p643 +tp644 +tp645 +Rp646 +(dp647 +g10 +g568 +sg25 +g26 +((lp648 +tp649 +Rp650 +sbag621 +ag597 +ag629 +ag637 +ag613 +ag605 +ag573 +atp651 +Rp652 +sg643 +g646 +sbsg65 +g1 +(g2 +g3 +(g65 +tp653 +tp654 +Rp655 +(dp656 +g10 +g272 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp657 +tp658 +Rp659 +(dp660 +g10 +g655 +sg25 +g26 +((lp661 +tp662 +Rp663 +sbsg25 +g26 +((lp664 +g659 +ag1 +(g2 +g3 +(g65 +S'Word' +p665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g655 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbatp673 +Rp674 +sg665 +g668 +sbsg331 +g334 +sg4 +g13 +sS'Punctuation' +p675 +g1 +(g2 +g3 +(g675 +tp676 +tp677 +Rp678 +(dp679 +g10 +g272 +sg25 +g26 +((lp680 +g1 +(g2 +g3 +(g675 +S'Indicator' +p681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g678 +sg25 +g26 +((lp686 +tp687 +Rp688 +sbatp689 +Rp690 +sg681 +g684 +sbsS'Comment' +p691 +g1 +(g2 +g3 +(g691 +tp692 +tp693 +Rp694 +(dp695 +S'Multi' +p696 +g1 +(g2 +g3 +(g691 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g694 +sg25 +g26 +((lp701 +tp702 +Rp703 +sbsg10 +g272 +sS'Special' +p704 +g1 +(g2 +g3 +(g691 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g694 +sg25 +g26 +((lp709 +tp710 +Rp711 +sbsS'Hashbang' +p712 +g1 +(g2 +g3 +(g691 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g694 +sg25 +g26 +((lp717 +tp718 +Rp719 +sbsS'Preproc' +p720 +g1 +(g2 +g3 +(g691 +g720 +tp721 +tp722 +Rp723 +(dp724 +g10 +g694 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsg470 +g1 +(g2 +g3 +(g691 +g470 +tp728 +tp729 +Rp730 +(dp731 +g10 +g694 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Directive' +p735 +g1 +(g2 +g3 +(g691 +g735 +tp736 +tp737 +Rp738 +(dp739 +g10 +g694 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg462 +g1 +(g2 +g3 +(g691 +g462 +tp743 +tp744 +Rp745 +(dp746 +g10 +g694 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsS'Singleline' +p750 +g1 +(g2 +g3 +(g691 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g694 +sg25 +g26 +((lp755 +tp756 +Rp757 +sbsS'Multiline' +p758 +g1 +(g2 +g3 +(g691 +g758 +tp759 +tp760 +Rp761 +(dp762 +g10 +g694 +sg25 +g26 +((lp763 +tp764 +Rp765 +sbsg25 +g26 +((lp766 +g745 +ag738 +ag715 +ag699 +ag753 +ag723 +ag761 +ag730 +ag1 +(g2 +g3 +(g691 +S'PreprocFile' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g694 +sg25 +g26 +((lp772 +tp773 +Rp774 +sbag1 +(g2 +g3 +(g691 +S'SingleLine' +p775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g694 +sg25 +g26 +((lp780 +tp781 +Rp782 +sbag707 +atp783 +Rp784 +sg767 +g770 +sg775 +g778 +sbsg275 +g298 +sg231 +g1 +(g2 +g3 +(g231 +tp785 +tp786 +Rp787 +(dp788 +g10 +g272 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsg626 +g1 +(g2 +g3 +(g626 +tp792 +tp793 +Rp794 +(dp795 +g10 +g272 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsS'Token' +p799 +g272 +sg453 +g1 +(g2 +g3 +(g453 +tp800 +tp801 +Rp802 +(dp803 +g10 +g272 +sg25 +g26 +((lp804 +tp805 +Rp806 +sbsg25 +g26 +((lp807 +g13 +ag787 +ag1 +(g2 +g3 +(S'Keyword' +p808 +tp809 +tp810 +Rp811 +(dp812 +g10 +g272 +sg121 +g1 +(g2 +g3 +(g808 +g121 +tp813 +tp814 +Rp815 +(dp816 +g10 +g811 +sg25 +g26 +((lp817 +tp818 +Rp819 +sbsS'Control' +p820 +g1 +(g2 +g3 +(g808 +g820 +tp821 +tp822 +Rp823 +(dp824 +g10 +g811 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg145 +g1 +(g2 +g3 +(g808 +g145 +tp828 +tp829 +Rp830 +(dp831 +g10 +g811 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg200 +g1 +(g2 +g3 +(g808 +g200 +tp835 +tp836 +Rp837 +(dp838 +g10 +g811 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsS'PreProc' +p842 +g1 +(g2 +g3 +(g808 +g842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g811 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsg113 +g1 +(g2 +g3 +(g808 +g113 +tp850 +tp851 +Rp852 +(dp853 +g10 +g811 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsS'Reserved' +p857 +g1 +(g2 +g3 +(g808 +g857 +tp858 +tp859 +Rp860 +(dp861 +g10 +g811 +sg25 +g26 +((lp862 +tp863 +Rp864 +sbsg25 +g26 +((lp865 +g837 +ag1 +(g2 +g3 +(g808 +g665 +tp866 +tp867 +Rp868 +(dp869 +g10 +g811 +sg25 +g26 +((lp870 +tp871 +Rp872 +sbag823 +ag1 +(g2 +g3 +(g808 +S'Declaration' +p873 +tp874 +tp875 +Rp876 +(dp877 +g10 +g811 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag1 +(g2 +g3 +(g808 +g808 +tp881 +tp882 +Rp883 +(dp884 +g10 +g811 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag852 +ag830 +ag815 +ag860 +ag845 +atp888 +Rp889 +sg808 +g883 +sg873 +g876 +sg665 +g868 +sbag568 +ag1 +(g2 +g3 +(S'Text' +p890 +tp891 +tp892 +Rp893 +(dp894 +S'Beer' +p895 +g1 +(g2 +g3 +(g890 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g893 +sg25 +g26 +((lp900 +tp901 +Rp902 +sbsS'Whitespace' +p903 +g1 +(g2 +g3 +(g890 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g893 +sg25 +g26 +((lp908 +tp909 +Rp910 +sbsg10 +g272 +sS'Root' +p911 +g1 +(g2 +g3 +(g890 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g893 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg97 +g1 +(g2 +g3 +(g890 +g97 +tp919 +tp920 +Rp921 +(dp922 +g10 +g893 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg675 +g1 +(g2 +g3 +(g890 +g675 +tp926 +tp927 +Rp928 +(dp929 +g10 +g893 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg25 +g26 +((lp933 +g914 +ag921 +ag928 +ag906 +ag898 +ag1 +(g2 +g3 +(g890 +S'Rag' +p934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g893 +sg25 +g26 +((lp939 +tp940 +Rp941 +sbatp942 +Rp943 +sg934 +g937 +sbag655 +ag802 +ag678 +ag694 +ag794 +ag298 +atp944 +Rp945 +sg808 +g811 +sg890 +g893 +sbsg20 +g211 +sg247 +g250 +sg5 +g8 +sg231 +g234 +sbsg15 +g1 +(g2 +g3 +(g4 +g5 +g15 +tp946 +tp947 +Rp948 +(dp949 +g10 +g8 +sg25 +g26 +((lp950 +tp951 +Rp952 +sbsg25 +g26 +((lp953 +g948 +atp954 +Rp955 +sbVmodule +p956 +tp957 +a(g655 +V: +p958 +tp959 +a(g893 +V +p960 +tp961 +a(g334 +Vnanomsg +p962 +tp963 +a(g893 +V\u000a +p964 +tp965 +a(g8 +Vsynopsis +p966 +tp967 +a(g655 +g958 +tp968 +a(g893 +g960 +tp969 +a(g334 +Vgenerated bindings for the nanomsg library +p970 +tp971 +a(g893 +V\u000a +p972 +tp973 +a(g8 +Vauthor +p974 +tp975 +a(g655 +g958 +tp976 +a(g893 +g960 +tp977 +a(g334 +VBruce Mitchener, Jr. +p978 +tp979 +a(g893 +V\u000a +p980 +tp981 +a(g8 +Vcopyright +p982 +tp983 +a(g655 +g958 +tp984 +a(g893 +g960 +tp985 +a(g334 +VSee LICENSE file in this distribution. +p986 +tp987 +a(g893 +V\u000a\u000a +p988 +tp989 +a(g811 +Vdefine +p990 +tp991 +a(g893 +g960 +tp992 +a(g13 +Vsimple-C-mapped-subtype +p993 +tp994 +a(g893 +g960 +tp995 +a(g211 +V +p996 +tp997 +a(g893 +g960 +tp998 +a(g678 +V( +p999 +tp1000 +a(g211 +V +p1001 +tp1002 +a(g678 +V) +p1003 +tp1004 +a(g893 +V\u000a +p1005 +tp1006 +a(g13 +Vexport-map +p1007 +tp1008 +a(g893 +g960 +tp1009 +a(g211 +V +p1010 +tp1011 +a(g678 +V, +p1012 +tp1013 +a(g893 +g960 +tp1014 +a(g811 +Vexport-function: +p1015 +tp1016 +a(g893 +g960 +tp1017 +a(g250 +Videntity +p1018 +tp1019 +a(g678 +V; +p1020 +tp1021 +a(g893 +V\u000a +p1022 +tp1023 +a(g811 +Vend +p1024 +tp1025 +a(g678 +g1020 +tp1026 +a(g893 +V\u000a\u000a +p1027 +tp1028 +a(g811 +Vdefine +p1029 +tp1030 +a(g893 +g960 +tp1031 +a(g250 +Vinterface +p1032 +tp1033 +a(g893 +V\u000a +p1034 +tp1035 +a(g811 +V#include +p1036 +tp1037 +a(g893 +g960 +tp1038 +a(g678 +V{ +p1039 +tp1040 +a(g893 +V\u000a +p1041 +tp1042 +a(g334 +V" +p1043 +tp1044 +a(g334 +Vsp/sp.h +p1045 +tp1046 +a(g334 +g1043 +tp1047 +a(g678 +g1012 +tp1048 +a(g893 +V\u000a +p1049 +tp1050 +a(g334 +g1043 +tp1051 +a(g334 +Vsp/fanin.h +p1052 +tp1053 +a(g334 +g1043 +tp1054 +a(g678 +g1012 +tp1055 +a(g893 +V\u000a +p1056 +tp1057 +a(g334 +g1043 +tp1058 +a(g334 +Vsp/inproc.h +p1059 +tp1060 +a(g334 +g1043 +tp1061 +a(g678 +g1012 +tp1062 +a(g893 +V\u000a +p1063 +tp1064 +a(g334 +g1043 +tp1065 +a(g334 +Vsp/pair.h +p1066 +tp1067 +a(g334 +g1043 +tp1068 +a(g678 +g1012 +tp1069 +a(g893 +V\u000a +p1070 +tp1071 +a(g334 +g1043 +tp1072 +a(g334 +Vsp/reqrep.h +p1073 +tp1074 +a(g334 +g1043 +tp1075 +a(g678 +g1012 +tp1076 +a(g893 +V\u000a +p1077 +tp1078 +a(g334 +g1043 +tp1079 +a(g334 +Vsp/survey.h +p1080 +tp1081 +a(g334 +g1043 +tp1082 +a(g678 +g1012 +tp1083 +a(g893 +V\u000a +p1084 +tp1085 +a(g334 +g1043 +tp1086 +a(g334 +Vsp/fanout.h +p1087 +tp1088 +a(g334 +g1043 +tp1089 +a(g678 +g1012 +tp1090 +a(g893 +V\u000a +p1091 +tp1092 +a(g334 +g1043 +tp1093 +a(g334 +Vsp/ipc.h +p1094 +tp1095 +a(g334 +g1043 +tp1096 +a(g678 +g1012 +tp1097 +a(g893 +V\u000a +p1098 +tp1099 +a(g334 +g1043 +tp1100 +a(g334 +Vsp/pubsub.h +p1101 +tp1102 +a(g334 +g1043 +tp1103 +a(g678 +g1012 +tp1104 +a(g893 +V\u000a +p1105 +tp1106 +a(g334 +g1043 +tp1107 +a(g334 +Vsp/tcp.h +p1108 +tp1109 +a(g334 +g1043 +tp1110 +a(g893 +V\u000a +p1111 +tp1112 +a(g678 +V} +p1113 +tp1114 +a(g678 +g1012 +tp1115 +a(g893 +V\u000a\u000a +p1116 +tp1117 +a(g811 +Vexclude: +p1118 +tp1119 +a(g893 +g960 +tp1120 +a(g678 +g1039 +tp1121 +a(g893 +V\u000a +p1122 +tp1123 +a(g334 +g1043 +tp1124 +a(g334 +VSP_HAUSNUMERO +p1125 +tp1126 +a(g334 +g1043 +tp1127 +a(g678 +g1012 +tp1128 +a(g893 +V\u000a +p1129 +tp1130 +a(g334 +g1043 +tp1131 +a(g334 +VSP_PAIR_ID +p1132 +tp1133 +a(g334 +g1043 +tp1134 +a(g678 +g1012 +tp1135 +a(g893 +V\u000a +p1136 +tp1137 +a(g334 +g1043 +tp1138 +a(g334 +VSP_PUBSUB_ID +p1139 +tp1140 +a(g334 +g1043 +tp1141 +a(g678 +g1012 +tp1142 +a(g893 +V\u000a +p1143 +tp1144 +a(g334 +g1043 +tp1145 +a(g334 +VSP_REQREP_ID +p1146 +tp1147 +a(g334 +g1043 +tp1148 +a(g678 +g1012 +tp1149 +a(g893 +V\u000a +p1150 +tp1151 +a(g334 +g1043 +tp1152 +a(g334 +VSP_FANIN_ID +p1153 +tp1154 +a(g334 +g1043 +tp1155 +a(g678 +g1012 +tp1156 +a(g893 +V\u000a +p1157 +tp1158 +a(g334 +g1043 +tp1159 +a(g334 +VSP_FANOUT_ID +p1160 +tp1161 +a(g334 +g1043 +tp1162 +a(g678 +g1012 +tp1163 +a(g893 +V\u000a +p1164 +tp1165 +a(g334 +g1043 +tp1166 +a(g334 +VSP_SURVEY_ID +p1167 +tp1168 +a(g334 +g1043 +tp1169 +a(g893 +V\u000a +p1170 +tp1171 +a(g678 +g1113 +tp1172 +a(g678 +g1012 +tp1173 +a(g893 +V\u000a\u000a +p1174 +tp1175 +a(g811 +Vequate: +p1176 +tp1177 +a(g893 +g960 +tp1178 +a(g678 +g1039 +tp1179 +a(g334 +g1043 +tp1180 +a(g334 +Vchar * +p1181 +tp1182 +a(g334 +g1043 +tp1183 +a(g893 +g960 +tp1184 +a(g678 +V=> +p1185 +tp1186 +a(g893 +g960 +tp1187 +a(g211 +V +p1188 +tp1189 +a(g678 +g1113 +tp1190 +a(g678 +g1012 +tp1191 +a(g893 +V\u000a\u000a +p1192 +tp1193 +a(g811 +Vrename: +p1194 +tp1195 +a(g893 +g960 +tp1196 +a(g678 +g1039 +tp1197 +a(g893 +V\u000a +p1198 +tp1199 +a(g334 +g1043 +tp1200 +a(g334 +Vsp_recv +p1201 +tp1202 +a(g334 +g1043 +tp1203 +a(g893 +g960 +tp1204 +a(g678 +V=> +p1205 +tp1206 +a(g893 +g960 +tp1207 +a(g13 +V%sp-recv +p1208 +tp1209 +a(g678 +g1012 +tp1210 +a(g893 +V\u000a +p1211 +tp1212 +a(g334 +g1043 +tp1213 +a(g334 +Vsp_send +p1214 +tp1215 +a(g334 +g1043 +tp1216 +a(g893 +g960 +tp1217 +a(g678 +V=> +p1218 +tp1219 +a(g893 +g960 +tp1220 +a(g13 +V%sp-send +p1221 +tp1222 +a(g678 +g1012 +tp1223 +a(g893 +V\u000a +p1224 +tp1225 +a(g334 +g1043 +tp1226 +a(g334 +Vsp_setsockopt +p1227 +tp1228 +a(g334 +g1043 +tp1229 +a(g893 +g960 +tp1230 +a(g678 +V=> +p1231 +tp1232 +a(g893 +g960 +tp1233 +a(g13 +V%sp-setsockopt +p1234 +tp1235 +a(g893 +V\u000a +p1236 +tp1237 +a(g678 +g1113 +tp1238 +a(g678 +g1020 +tp1239 +a(g893 +V\u000a\u000a +p1240 +tp1241 +a(g250 +Vfunction +p1242 +tp1243 +a(g893 +g960 +tp1244 +a(g334 +g1043 +tp1245 +a(g334 +Vsp_version +p1246 +tp1247 +a(g334 +g1043 +tp1248 +a(g678 +g1012 +tp1249 +a(g893 +V\u000a +p1250 +tp1251 +a(g811 +Voutput-argument: +p1252 +tp1253 +a(g893 +g960 +tp1254 +a(g283 +V1 +p1255 +tp1256 +a(g678 +g1012 +tp1257 +a(g893 +V\u000a +p1258 +tp1259 +a(g811 +Voutput-argument: +p1260 +tp1261 +a(g893 +g960 +tp1262 +a(g283 +V2 +p1263 +tp1264 +a(g678 +g1012 +tp1265 +a(g893 +V\u000a +p1266 +tp1267 +a(g811 +Voutput-argument: +p1268 +tp1269 +a(g893 +g960 +tp1270 +a(g283 +V3 +p1271 +tp1272 +a(g678 +g1020 +tp1273 +a(g893 +V\u000a\u000a +p1274 +tp1275 +a(g250 +Vfunction +p1276 +tp1277 +a(g893 +g960 +tp1278 +a(g334 +g1043 +tp1279 +a(g334 +Vsp_send +p1280 +tp1281 +a(g334 +g1043 +tp1282 +a(g678 +g1012 +tp1283 +a(g893 +V\u000a +p1284 +tp1285 +a(g811 +Vmap-argument: +p1286 +tp1287 +a(g893 +g960 +tp1288 +a(g678 +g1039 +tp1289 +a(g893 +g960 +tp1290 +a(g283 +g1263 +tp1291 +a(g893 +g960 +tp1292 +a(g678 +V=> +p1293 +tp1294 +a(g893 +g960 +tp1295 +a(g211 +V +p1296 +tp1297 +a(g893 +g960 +tp1298 +a(g678 +g1113 +tp1299 +a(g678 +g1020 +tp1300 +a(g893 +V\u000a\u000a +p1301 +tp1302 +a(g250 +Vfunction +p1303 +tp1304 +a(g893 +g960 +tp1305 +a(g334 +g1043 +tp1306 +a(g334 +Vsp_recv +p1307 +tp1308 +a(g334 +g1043 +tp1309 +a(g678 +g1012 +tp1310 +a(g893 +V\u000a +p1311 +tp1312 +a(g811 +Vmap-argument: +p1313 +tp1314 +a(g893 +g960 +tp1315 +a(g678 +g1039 +tp1316 +a(g893 +g960 +tp1317 +a(g283 +g1263 +tp1318 +a(g893 +g960 +tp1319 +a(g678 +V=> +p1320 +tp1321 +a(g893 +g960 +tp1322 +a(g211 +V +p1323 +tp1324 +a(g893 +g960 +tp1325 +a(g678 +g1113 +tp1326 +a(g678 +g1020 +tp1327 +a(g893 +V\u000a\u000a +p1328 +tp1329 +a(g811 +Vend +p1330 +tp1331 +a(g893 +g960 +tp1332 +a(g250 +Vinterface +p1333 +tp1334 +a(g678 +g1020 +tp1335 +a(g893 +V\u000a\u000a +p1336 +tp1337 +a(g730 +V// Function for adding the base address of the repeated slots of a \u000a +p1338 +tp1339 +a(g730 +V// to an offset and returning the result as a . This is\u000a +p1340 +tp1341 +a(g730 +V// necessary for passing contents across the FFI.\u000a +p1342 +tp1343 +a(g893 +V\u000a +p1344 +tp1345 +a(g811 +Vdefine +p1346 +tp1347 +a(g893 +g960 +tp1348 +a(g250 +Vfunction +p1349 +tp1350 +a(g893 +g960 +tp1351 +a(g13 +Vbuffer-offset +p1352 +tp1353 +a(g893 +V\u000a +p1354 +tp1355 +a(g678 +g999 +tp1356 +a(g13 +Vthe-buffer +p1357 +tp1358 +a(g893 +g960 +tp1359 +a(g678 +V:: +p1360 +tp1361 +a(g893 +g960 +tp1362 +a(g211 +V +p1363 +tp1364 +a(g678 +g1012 +tp1365 +a(g893 +g960 +tp1366 +a(g13 +Vdata-offset +p1367 +tp1368 +a(g893 +g960 +tp1369 +a(g678 +V:: +p1370 +tp1371 +a(g893 +g960 +tp1372 +a(g211 +V +p1373 +tp1374 +a(g678 +g1003 +tp1375 +a(g893 +V\u000a +p1376 +tp1377 +a(g678 +V=> +p1378 +tp1379 +a(g893 +g960 +tp1380 +a(g678 +g999 +tp1381 +a(g13 +Vresult-offset +p1382 +tp1383 +a(g893 +g960 +tp1384 +a(g678 +V:: +p1385 +tp1386 +a(g893 +g960 +tp1387 +a(g211 +V +p1388 +tp1389 +a(g678 +g1003 +tp1390 +a(g893 +V\u000a +p1391 +tp1392 +a(g13 +Vu%+ +p1393 +tp1394 +a(g678 +g999 +tp1395 +a(g13 +Vdata-offset +p1396 +tp1397 +a(g678 +g1012 +tp1398 +a(g893 +V\u000a +p1399 +tp1400 +a(g13 +Vprimitive-wrap-machine-word +p1401 +tp1402 +a(g893 +V\u000a +p1403 +tp1404 +a(g678 +g999 +tp1405 +a(g13 +Vprimitive-repeated-slot-as-raw +p1406 +tp1407 +a(g893 +V\u000a +p1408 +tp1409 +a(g678 +g999 +tp1410 +a(g13 +Vthe-buffer +p1411 +tp1412 +a(g678 +g1012 +tp1413 +a(g893 +g960 +tp1414 +a(g13 +Vprimitive-repeated-slot-offset +p1415 +tp1416 +a(g678 +g999 +tp1417 +a(g13 +Vthe-buffer +p1418 +tp1419 +a(g678 +g1003 +tp1420 +a(g678 +g1003 +tp1421 +a(g678 +g1003 +tp1422 +a(g678 +g1003 +tp1423 +a(g893 +V\u000a +p1424 +tp1425 +a(g811 +Vend +p1426 +tp1427 +a(g893 +g960 +tp1428 +a(g250 +Vfunction +p1429 +tp1430 +a(g678 +g1020 +tp1431 +a(g893 +V\u000a\u000a +p1432 +tp1433 +a(g811 +Vdefine +p1434 +tp1435 +a(g893 +g960 +tp1436 +a(g250 +Vinline +p1437 +tp1438 +a(g893 +g960 +tp1439 +a(g250 +Vfunction +p1440 +tp1441 +a(g893 +g960 +tp1442 +a(g13 +Vsp-send +p1443 +tp1444 +a(g893 +g960 +tp1445 +a(g678 +g999 +tp1446 +a(g13 +Vsocket +p1447 +tp1448 +a(g893 +g960 +tp1449 +a(g678 +V:: +p1450 +tp1451 +a(g893 +g960 +tp1452 +a(g211 +V +p1453 +tp1454 +a(g678 +g1012 +tp1455 +a(g893 +g960 +tp1456 +a(g13 +Vdata +p1457 +tp1458 +a(g893 +g960 +tp1459 +a(g678 +V:: +p1460 +tp1461 +a(g893 +g960 +tp1462 +a(g211 +V +p1463 +tp1464 +a(g678 +g1012 +tp1465 +a(g893 +g960 +tp1466 +a(g13 +Vflags +p1467 +tp1468 +a(g893 +g960 +tp1469 +a(g678 +V:: +p1470 +tp1471 +a(g893 +g960 +tp1472 +a(g211 +V +p1473 +tp1474 +a(g678 +g1003 +tp1475 +a(g893 +g960 +tp1476 +a(g678 +V=> +p1477 +tp1478 +a(g893 +g960 +tp1479 +a(g678 +g999 +tp1480 +a(g13 +Vres +p1481 +tp1482 +a(g893 +g960 +tp1483 +a(g678 +V:: +p1484 +tp1485 +a(g893 +g960 +tp1486 +a(g211 +V +p1487 +tp1488 +a(g678 +g1003 +tp1489 +a(g893 +V\u000a +p1490 +tp1491 +a(g13 +V%sp-send +p1492 +tp1493 +a(g678 +g999 +tp1494 +a(g13 +Vsocket +p1495 +tp1496 +a(g678 +g1012 +tp1497 +a(g893 +g960 +tp1498 +a(g13 +Vbuffer-offset +p1499 +tp1500 +a(g678 +g999 +tp1501 +a(g13 +Vdata +p1502 +tp1503 +a(g678 +g1012 +tp1504 +a(g893 +g960 +tp1505 +a(g283 +V0 +p1506 +tp1507 +a(g678 +g1003 +tp1508 +a(g678 +g1012 +tp1509 +a(g893 +g960 +tp1510 +a(g13 +Vdata +p1511 +tp1512 +a(g678 +V. +p1513 +tp1514 +a(g250 +Vsize +p1515 +tp1516 +a(g678 +g1012 +tp1517 +a(g893 +g960 +tp1518 +a(g13 +Vflags +p1519 +tp1520 +a(g678 +g1003 +tp1521 +a(g893 +V\u000a +p1522 +tp1523 +a(g811 +Vend +p1524 +tp1525 +a(g678 +g1020 +tp1526 +a(g893 +V\u000a\u000a +p1527 +tp1528 +a(g811 +Vdefine +p1529 +tp1530 +a(g893 +g960 +tp1531 +a(g250 +Vinline +p1532 +tp1533 +a(g893 +g960 +tp1534 +a(g250 +Vfunction +p1535 +tp1536 +a(g893 +g960 +tp1537 +a(g13 +Vsp-recv +p1538 +tp1539 +a(g893 +g960 +tp1540 +a(g678 +g999 +tp1541 +a(g13 +Vsocket +p1542 +tp1543 +a(g893 +g960 +tp1544 +a(g678 +V:: +p1545 +tp1546 +a(g893 +g960 +tp1547 +a(g211 +V +p1548 +tp1549 +a(g678 +g1012 +tp1550 +a(g893 +g960 +tp1551 +a(g13 +Vdata +p1552 +tp1553 +a(g893 +g960 +tp1554 +a(g678 +V:: +p1555 +tp1556 +a(g893 +g960 +tp1557 +a(g211 +V +p1558 +tp1559 +a(g678 +g1012 +tp1560 +a(g893 +g960 +tp1561 +a(g13 +Vflags +p1562 +tp1563 +a(g893 +g960 +tp1564 +a(g678 +V:: +p1565 +tp1566 +a(g893 +g960 +tp1567 +a(g211 +V +p1568 +tp1569 +a(g678 +g1003 +tp1570 +a(g893 +g960 +tp1571 +a(g678 +V=> +p1572 +tp1573 +a(g893 +g960 +tp1574 +a(g678 +g999 +tp1575 +a(g13 +Vres +p1576 +tp1577 +a(g893 +g960 +tp1578 +a(g678 +V:: +p1579 +tp1580 +a(g893 +g960 +tp1581 +a(g211 +V +p1582 +tp1583 +a(g678 +g1003 +tp1584 +a(g893 +V\u000a +p1585 +tp1586 +a(g13 +V%sp-recv +p1587 +tp1588 +a(g678 +g999 +tp1589 +a(g13 +Vsocket +p1590 +tp1591 +a(g678 +g1012 +tp1592 +a(g893 +g960 +tp1593 +a(g13 +Vbuffer-offset +p1594 +tp1595 +a(g678 +g999 +tp1596 +a(g13 +Vdata +p1597 +tp1598 +a(g678 +g1012 +tp1599 +a(g893 +g960 +tp1600 +a(g283 +g1506 +tp1601 +a(g678 +g1003 +tp1602 +a(g678 +g1012 +tp1603 +a(g893 +g960 +tp1604 +a(g13 +Vdata +p1605 +tp1606 +a(g678 +g1513 +tp1607 +a(g250 +Vsize +p1608 +tp1609 +a(g678 +g1012 +tp1610 +a(g893 +g960 +tp1611 +a(g13 +Vflags +p1612 +tp1613 +a(g678 +g1003 +tp1614 +a(g678 +g1020 +tp1615 +a(g893 +V\u000a +p1616 +tp1617 +a(g811 +Vend +p1618 +tp1619 +a(g678 +g1020 +tp1620 +a(g893 +V\u000a\u000a +p1621 +tp1622 +a(g811 +Vdefine +p1623 +tp1624 +a(g893 +g960 +tp1625 +a(g250 +Vinline +p1626 +tp1627 +a(g893 +g960 +tp1628 +a(g250 +Vmethod +p1629 +tp1630 +a(g893 +g960 +tp1631 +a(g13 +Vsp-setsockopt +p1632 +tp1633 +a(g893 +g960 +tp1634 +a(g678 +g999 +tp1635 +a(g13 +Vsocket +p1636 +tp1637 +a(g893 +g960 +tp1638 +a(g678 +V:: +p1639 +tp1640 +a(g893 +g960 +tp1641 +a(g211 +V +p1642 +tp1643 +a(g678 +g1012 +tp1644 +a(g893 +g960 +tp1645 +a(g13 +Vlevel +p1646 +tp1647 +a(g893 +g960 +tp1648 +a(g678 +V:: +p1649 +tp1650 +a(g893 +g960 +tp1651 +a(g211 +V +p1652 +tp1653 +a(g678 +g1012 +tp1654 +a(g893 +g960 +tp1655 +a(g13 +Voption +p1656 +tp1657 +a(g893 +g960 +tp1658 +a(g678 +V:: +p1659 +tp1660 +a(g893 +g960 +tp1661 +a(g211 +V +p1662 +tp1663 +a(g678 +g1012 +tp1664 +a(g893 +g960 +tp1665 +a(g13 +Vvalue +p1666 +tp1667 +a(g893 +g960 +tp1668 +a(g678 +V:: +p1669 +tp1670 +a(g893 +g960 +tp1671 +a(g211 +V +p1672 +tp1673 +a(g678 +g1003 +tp1674 +a(g893 +V\u000a +p1675 +tp1676 +a(g13 +Vwith-stack-structure +p1677 +tp1678 +a(g893 +g960 +tp1679 +a(g678 +g999 +tp1680 +a(g13 +Vint +p1681 +tp1682 +a(g893 +g960 +tp1683 +a(g678 +V:: +p1684 +tp1685 +a(g893 +g960 +tp1686 +a(g211 +V +p1687 +tp1688 +a(g678 +g1003 +tp1689 +a(g893 +V\u000a +p1690 +tp1691 +a(g13 +Vpointer-value +p1692 +tp1693 +a(g678 +g999 +tp1694 +a(g13 +Vint +p1695 +tp1696 +a(g678 +g1003 +tp1697 +a(g893 +g960 +tp1698 +a(g655 +V:= +p1699 +tp1700 +a(g893 +g960 +tp1701 +a(g13 +Vvalue +p1702 +tp1703 +a(g678 +g1020 +tp1704 +a(g893 +V\u000a +p1705 +tp1706 +a(g811 +Vlet +p1707 +tp1708 +a(g893 +g960 +tp1709 +a(g13 +Vsetsockopt-result +p1710 +tp1711 +a(g893 +g960 +tp1712 +a(g655 +V= +p1713 +tp1714 +a(g893 +V\u000a +p1715 +tp1716 +a(g13 +V%sp-setsockopt +p1717 +tp1718 +a(g678 +g999 +tp1719 +a(g13 +Vsocket +p1720 +tp1721 +a(g678 +g1012 +tp1722 +a(g893 +g960 +tp1723 +a(g13 +Vlevel +p1724 +tp1725 +a(g678 +g1012 +tp1726 +a(g893 +g960 +tp1727 +a(g13 +Voption +p1728 +tp1729 +a(g678 +g1012 +tp1730 +a(g893 +g960 +tp1731 +a(g13 +Vint +p1732 +tp1733 +a(g678 +g1012 +tp1734 +a(g893 +g960 +tp1735 +a(g13 +Vsize-of +p1736 +tp1737 +a(g678 +g999 +tp1738 +a(g211 +V +p1739 +tp1740 +a(g678 +g1003 +tp1741 +a(g678 +g1003 +tp1742 +a(g678 +g1020 +tp1743 +a(g893 +V\u000a +p1744 +tp1745 +a(g811 +Vif +p1746 +tp1747 +a(g893 +g960 +tp1748 +a(g678 +g999 +tp1749 +a(g13 +Vsetsockopt-result +p1750 +tp1751 +a(g893 +g960 +tp1752 +a(g655 +V< +p1753 +tp1754 +a(g893 +g960 +tp1755 +a(g283 +g1506 +tp1756 +a(g678 +g1003 +tp1757 +a(g893 +V\u000a +p1758 +tp1759 +a(g730 +V// Check error!\u000a +p1760 +tp1761 +a(g893 +V +p1762 +tp1763 +a(g811 +Vend +p1764 +tp1765 +a(g678 +g1020 +tp1766 +a(g893 +V\u000a +p1767 +tp1768 +a(g13 +Vsetsockopt-result +p1769 +tp1770 +a(g893 +V\u000a +p1771 +tp1772 +a(g811 +Vend +p1773 +tp1774 +a(g678 +g1020 +tp1775 +a(g893 +V\u000a +p1776 +tp1777 +a(g811 +Vend +p1778 +tp1779 +a(g678 +g1020 +tp1780 +a(g893 +V\u000a\u000a +p1781 +tp1782 +a(g811 +Vdefine +p1783 +tp1784 +a(g893 +g960 +tp1785 +a(g250 +Vinline +p1786 +tp1787 +a(g893 +g960 +tp1788 +a(g250 +Vmethod +p1789 +tp1790 +a(g893 +g960 +tp1791 +a(g13 +Vsp-setsockopt +p1792 +tp1793 +a(g893 +g960 +tp1794 +a(g678 +g999 +tp1795 +a(g13 +Vsocket +p1796 +tp1797 +a(g893 +g960 +tp1798 +a(g678 +V:: +p1799 +tp1800 +a(g893 +g960 +tp1801 +a(g211 +V +p1802 +tp1803 +a(g678 +g1012 +tp1804 +a(g893 +g960 +tp1805 +a(g13 +Vlevel +p1806 +tp1807 +a(g893 +g960 +tp1808 +a(g678 +V:: +p1809 +tp1810 +a(g893 +g960 +tp1811 +a(g211 +V +p1812 +tp1813 +a(g678 +g1012 +tp1814 +a(g893 +g960 +tp1815 +a(g13 +Voption +p1816 +tp1817 +a(g893 +g960 +tp1818 +a(g678 +V:: +p1819 +tp1820 +a(g893 +g960 +tp1821 +a(g211 +V +p1822 +tp1823 +a(g678 +g1012 +tp1824 +a(g893 +g960 +tp1825 +a(g13 +Vdata +p1826 +tp1827 +a(g893 +g960 +tp1828 +a(g678 +V:: +p1829 +tp1830 +a(g893 +g960 +tp1831 +a(g211 +V +p1832 +tp1833 +a(g678 +g1003 +tp1834 +a(g893 +V\u000a +p1835 +tp1836 +a(g811 +Vlet +p1837 +tp1838 +a(g893 +g960 +tp1839 +a(g13 +Vsetsockopt-result +p1840 +tp1841 +a(g893 +g960 +tp1842 +a(g655 +g1713 +tp1843 +a(g893 +V\u000a +p1844 +tp1845 +a(g13 +V%sp-setsockopt +p1846 +tp1847 +a(g678 +g999 +tp1848 +a(g13 +Vsocket +p1849 +tp1850 +a(g678 +g1012 +tp1851 +a(g893 +g960 +tp1852 +a(g13 +Vlevel +p1853 +tp1854 +a(g678 +g1012 +tp1855 +a(g893 +g960 +tp1856 +a(g13 +Voption +p1857 +tp1858 +a(g678 +g1012 +tp1859 +a(g893 +g960 +tp1860 +a(g250 +Vas +p1861 +tp1862 +a(g678 +g999 +tp1863 +a(g211 +V +p1864 +tp1865 +a(g678 +g1012 +tp1866 +a(g893 +g960 +tp1867 +a(g13 +Vdata +p1868 +tp1869 +a(g678 +g1003 +tp1870 +a(g678 +g1012 +tp1871 +a(g893 +g960 +tp1872 +a(g13 +Vdata +p1873 +tp1874 +a(g678 +g1513 +tp1875 +a(g250 +Vsize +p1876 +tp1877 +a(g678 +g1003 +tp1878 +a(g678 +g1020 +tp1879 +a(g893 +V\u000a +p1880 +tp1881 +a(g811 +Vif +p1882 +tp1883 +a(g893 +g960 +tp1884 +a(g678 +g999 +tp1885 +a(g13 +Vsetsockopt-result +p1886 +tp1887 +a(g893 +g960 +tp1888 +a(g655 +g1753 +tp1889 +a(g893 +g960 +tp1890 +a(g283 +g1506 +tp1891 +a(g678 +g1003 +tp1892 +a(g893 +V\u000a +p1893 +tp1894 +a(g730 +V// Check error!\u000a +p1895 +tp1896 +a(g893 +V +p1897 +tp1898 +a(g811 +Vend +p1899 +tp1900 +a(g678 +g1020 +tp1901 +a(g893 +V\u000a +p1902 +tp1903 +a(g13 +Vsetsockopt-result +p1904 +tp1905 +a(g893 +V\u000a +p1906 +tp1907 +a(g811 +Vend +p1908 +tp1909 +a(g678 +g1020 +tp1910 +a(g893 +V\u000a +p1911 +tp1912 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nasm_aoutso.asm b/tests/examplefiles/output/nasm_aoutso.asm new file mode 100644 index 0000000..1bde851 --- /dev/null +++ b/tests/examplefiles/output/nasm_aoutso.asm @@ -0,0 +1,4267 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV; test source file for assembling to NetBSD/FreeBSD a.out shared library +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V; build with: +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V; nasm -f aoutb aoutso.asm +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V; ld -Bshareable -o aoutso.so aoutso.o +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V; test with: +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V; cc -o aoutso aouttest.c aoutso.so +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V; ./aoutso +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g8 +V; This file should test the following: +p986 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g8 +V; [1] Define and export a global text-section symbol +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g8 +V; [2] Define and export a global data-section symbol +p994 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g8 +V; [3] Define and export a global BSS-section symbol +p998 +tp999 +a(g826 +V\u000a +p1000 +tp1001 +a(g8 +V; [4] Define a non-global text-section symbol +p1002 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g8 +V; [5] Define a non-global data-section symbol +p1006 +tp1007 +a(g826 +V\u000a +p1008 +tp1009 +a(g8 +V; [6] Define a non-global BSS-section symbol +p1010 +tp1011 +a(g826 +V\u000a +p1012 +tp1013 +a(g8 +V; [7] Define a COMMON symbol +p1014 +tp1015 +a(g826 +V\u000a +p1016 +tp1017 +a(g8 +V; [8] Define a NASM local label +p1018 +tp1019 +a(g826 +V\u000a +p1020 +tp1021 +a(g8 +V; [9] Reference a NASM local label +p1022 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g8 +V; [10] Import an external symbol +p1026 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g8 +V; [11] Make a PC-relative call to an external symbol +p1030 +tp1031 +a(g826 +V\u000a +p1032 +tp1033 +a(g8 +V; [12] Reference a text-section symbol in the text section +p1034 +tp1035 +a(g826 +V\u000a +p1036 +tp1037 +a(g8 +V; [13] Reference a data-section symbol in the text section +p1038 +tp1039 +a(g826 +V\u000a +p1040 +tp1041 +a(g8 +V; [14] Reference a BSS-section symbol in the text section +p1042 +tp1043 +a(g826 +V\u000a +p1044 +tp1045 +a(g8 +V; [15] Reference a text-section symbol in the data section +p1046 +tp1047 +a(g826 +V\u000a +p1048 +tp1049 +a(g8 +V; [16] Reference a data-section symbol in the data section +p1050 +tp1051 +a(g826 +V\u000a +p1052 +tp1053 +a(g8 +V; [17] Reference a BSS-section symbol in the data section +p1054 +tp1055 +a(g826 +V\u000a +p1056 +tp1057 +a(g826 +V\u000a +p1058 +tp1059 +a(g826 +V +p1060 +tp1061 +a(g744 +VBITS +p1062 +tp1063 +a(g826 +V +p1064 +tp1065 +a(g37 +V32 +p1066 +tp1067 +a(g826 +V\u000a +p1068 +tp1069 +a(g826 +V +p1070 +tp1071 +a(g744 +VEXTERN +p1072 +tp1073 +a(g826 +g1064 +tp1074 +a(g440 +V__GLOBAL_OFFSET_TABLE_ +p1075 +tp1076 +a(g826 +V\u000a +p1077 +tp1078 +a(g826 +V +p1079 +tp1080 +a(g744 +VGLOBAL +p1081 +tp1082 +a(g826 +g1064 +tp1083 +a(g440 +V_lrotate +p1084 +tp1085 +a(g705 +V: +p1086 +tp1087 +a(g440 +Vfunction +p1088 +tp1089 +a(g826 +g1064 +tp1090 +a(g8 +V; [1] +p1091 +tp1092 +a(g826 +V\u000a +p1093 +tp1094 +a(g826 +V +p1095 +tp1096 +a(g744 +VGLOBAL +p1097 +tp1098 +a(g826 +g1064 +tp1099 +a(g440 +V_greet +p1100 +tp1101 +a(g705 +g1086 +tp1102 +a(g440 +Vfunction +p1103 +tp1104 +a(g826 +g1064 +tp1105 +a(g8 +V; [1] +p1106 +tp1107 +a(g826 +V\u000a +p1108 +tp1109 +a(g826 +V +p1110 +tp1111 +a(g744 +VGLOBAL +p1112 +tp1113 +a(g826 +g1064 +tp1114 +a(g440 +V_asmstr +p1115 +tp1116 +a(g705 +g1086 +tp1117 +a(g440 +Vdata +p1118 +tp1119 +a(g826 +g1064 +tp1120 +a(g440 +V_asmstr.end +p1121 +tp1122 +a(g412 +V- +p1123 +tp1124 +a(g440 +V_asmstr +p1125 +tp1126 +a(g826 +g1064 +tp1127 +a(g8 +V; [2] +p1128 +tp1129 +a(g826 +V\u000a +p1130 +tp1131 +a(g826 +V +p1132 +tp1133 +a(g744 +VGLOBAL +p1134 +tp1135 +a(g826 +g1064 +tp1136 +a(g440 +V_textptr +p1137 +tp1138 +a(g705 +g1086 +tp1139 +a(g440 +Vdata +p1140 +tp1141 +a(g826 +g1064 +tp1142 +a(g37 +V4 +p1143 +tp1144 +a(g826 +g1064 +tp1145 +a(g8 +V; [2] +p1146 +tp1147 +a(g826 +V\u000a +p1148 +tp1149 +a(g826 +V +p1150 +tp1151 +a(g744 +VGLOBAL +p1152 +tp1153 +a(g826 +g1064 +tp1154 +a(g440 +V_selfptr +p1155 +tp1156 +a(g705 +g1086 +tp1157 +a(g440 +Vdata +p1158 +tp1159 +a(g826 +g1064 +tp1160 +a(g37 +g1143 +tp1161 +a(g826 +g1064 +tp1162 +a(g8 +V; [2] +p1163 +tp1164 +a(g826 +V\u000a +p1165 +tp1166 +a(g826 +V +p1167 +tp1168 +a(g744 +VGLOBAL +p1169 +tp1170 +a(g826 +g1064 +tp1171 +a(g440 +V_integer +p1172 +tp1173 +a(g705 +g1086 +tp1174 +a(g440 +Vdata +p1175 +tp1176 +a(g826 +g1064 +tp1177 +a(g37 +g1143 +tp1178 +a(g826 +g1064 +tp1179 +a(g8 +V; [3] +p1180 +tp1181 +a(g826 +V\u000a +p1182 +tp1183 +a(g826 +V +p1184 +tp1185 +a(g744 +VEXTERN +p1186 +tp1187 +a(g826 +g1064 +tp1188 +a(g440 +V_printf +p1189 +tp1190 +a(g826 +V +p1191 +tp1192 +a(g8 +V; [10] +p1193 +tp1194 +a(g826 +V\u000a +p1195 +tp1196 +a(g826 +V +p1197 +tp1198 +a(g744 +VCOMMON +p1199 +tp1200 +a(g826 +g1064 +tp1201 +a(g440 +V_commvar +p1202 +tp1203 +a(g826 +g1064 +tp1204 +a(g37 +g1143 +tp1205 +a(g826 +g1191 +tp1206 +a(g8 +V; [7] +p1207 +tp1208 +a(g826 +V\u000a\u000a +p1209 +tp1210 +a(g826 +V +p1211 +tp1212 +a(g744 +VSECTION +p1213 +tp1214 +a(g826 +g1064 +tp1215 +a(g440 +V.text +p1216 +tp1217 +a(g826 +V\u000a\u000a +p1218 +tp1219 +a(g8 +V; prototype: long lrotate(long x, int num); +p1220 +tp1221 +a(g826 +V\u000a +p1222 +tp1223 +a(g596 +V_lrotate: +p1224 +tp1225 +a(g826 +V +p1226 +tp1227 +a(g8 +V; [1] +p1228 +tp1229 +a(g826 +V\u000a +p1230 +tp1231 +a(g826 +V +p1232 +tp1233 +a(g573 +Vpush +p1234 +tp1235 +a(g826 +g1064 +tp1236 +a(g681 +Vebp +p1237 +tp1238 +a(g826 +V\u000a +p1239 +tp1240 +a(g826 +V +p1241 +tp1242 +a(g573 +Vmov +p1243 +tp1244 +a(g826 +g1064 +tp1245 +a(g681 +Vebp +p1246 +tp1247 +a(g705 +V, +p1248 +tp1249 +a(g681 +Vesp +p1250 +tp1251 +a(g826 +V\u000a +p1252 +tp1253 +a(g826 +V +p1254 +tp1255 +a(g573 +Vmov +p1256 +tp1257 +a(g826 +g1064 +tp1258 +a(g681 +Veax +p1259 +tp1260 +a(g705 +V,[ +p1261 +tp1262 +a(g681 +Vebp +p1263 +tp1264 +a(g412 +V+ +p1265 +tp1266 +a(g37 +V8 +p1267 +tp1268 +a(g705 +V] +p1269 +tp1270 +a(g826 +V\u000a +p1271 +tp1272 +a(g826 +V +p1273 +tp1274 +a(g573 +Vmov +p1275 +tp1276 +a(g826 +g1064 +tp1277 +a(g681 +Vecx +p1278 +tp1279 +a(g705 +V,[ +p1280 +tp1281 +a(g681 +Vebp +p1282 +tp1283 +a(g412 +g1265 +tp1284 +a(g37 +V12 +p1285 +tp1286 +a(g705 +g1269 +tp1287 +a(g826 +V\u000a +p1288 +tp1289 +a(g573 +V.label +p1290 +tp1291 +a(g826 +V +p1292 +tp1293 +a(g440 +Vrol +p1294 +tp1295 +a(g826 +g1064 +tp1296 +a(g681 +Veax +p1297 +tp1298 +a(g705 +g1248 +tp1299 +a(g37 +V1 +p1300 +tp1301 +a(g826 +V +p1302 +tp1303 +a(g8 +V; [4] [8] +p1304 +tp1305 +a(g826 +V\u000a +p1306 +tp1307 +a(g826 +V +p1308 +tp1309 +a(g573 +Vloop +p1310 +tp1311 +a(g826 +g1064 +tp1312 +a(g440 +V.label +p1313 +tp1314 +a(g826 +V +p1315 +tp1316 +a(g8 +V; [9] [12] +p1317 +tp1318 +a(g826 +V\u000a +p1319 +tp1320 +a(g826 +V +p1321 +tp1322 +a(g573 +Vmov +p1323 +tp1324 +a(g826 +g1064 +tp1325 +a(g681 +Vesp +p1326 +tp1327 +a(g705 +g1248 +tp1328 +a(g681 +Vebp +p1329 +tp1330 +a(g826 +V\u000a +p1331 +tp1332 +a(g826 +V +p1333 +tp1334 +a(g573 +Vpop +p1335 +tp1336 +a(g826 +g1064 +tp1337 +a(g681 +Vebp +p1338 +tp1339 +a(g826 +V\u000a +p1340 +tp1341 +a(g826 +V +p1342 +tp1343 +a(g573 +Vret +p1344 +tp1345 +a(g826 +V\u000a\u000a +p1346 +tp1347 +a(g8 +V; prototype: void greet(void); +p1348 +tp1349 +a(g826 +V\u000a +p1350 +tp1351 +a(g573 +V_greet +p1352 +tp1353 +a(g826 +V +p1354 +tp1355 +a(g440 +Vpush +p1356 +tp1357 +a(g826 +g1064 +tp1358 +a(g681 +Vebx +p1359 +tp1360 +a(g826 +V +p1361 +tp1362 +a(g8 +V; we'll use EBX for GOT, so save it +p1363 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g826 +V +p1367 +tp1368 +a(g573 +Vcall +p1369 +tp1370 +a(g826 +g1064 +tp1371 +a(g440 +V.getgot +p1372 +tp1373 +a(g826 +V\u000a +p1374 +tp1375 +a(g596 +V.getgot: +p1376 +tp1377 +a(g826 +V +p1378 +tp1379 +a(g573 +Vpop +p1380 +tp1381 +a(g826 +g1064 +tp1382 +a(g681 +Vebx +p1383 +tp1384 +a(g826 +V\u000a +p1385 +tp1386 +a(g826 +V +p1387 +tp1388 +a(g573 +Vadd +p1389 +tp1390 +a(g826 +g1064 +tp1391 +a(g681 +Vebx +p1392 +tp1393 +a(g705 +g1248 +tp1394 +a(g440 +V__GLOBAL_OFFSET_TABLE_ +p1395 +tp1396 +a(g826 +g1064 +tp1397 +a(g412 +g1265 +tp1398 +a(g826 +g1064 +tp1399 +a(g763 +V$$ +p1400 +tp1401 +a(g826 +g1064 +tp1402 +a(g412 +g1123 +tp1403 +a(g826 +g1064 +tp1404 +a(g440 +V.getgot +p1405 +tp1406 +a(g826 +g1064 +tp1407 +a(g426 +Vwrt +p1408 +tp1409 +a(g826 +g1064 +tp1410 +a(g440 +V..gotpc +p1411 +tp1412 +a(g826 +V\u000a +p1413 +tp1414 +a(g826 +V +p1415 +tp1416 +a(g573 +Vmov +p1417 +tp1418 +a(g826 +g1064 +tp1419 +a(g681 +Veax +p1420 +tp1421 +a(g705 +V,[ +p1422 +tp1423 +a(g681 +Vebx +p1424 +tp1425 +a(g412 +g1265 +tp1426 +a(g440 +V_integer +p1427 +tp1428 +a(g826 +g1064 +tp1429 +a(g426 +Vwrt +p1430 +tp1431 +a(g826 +g1064 +tp1432 +a(g440 +V..got +p1433 +tp1434 +a(g705 +g1269 +tp1435 +a(g826 +g1064 +tp1436 +a(g8 +V; [14] +p1437 +tp1438 +a(g826 +V\u000a +p1439 +tp1440 +a(g826 +V +p1441 +tp1442 +a(g573 +Vmov +p1443 +tp1444 +a(g826 +g1064 +tp1445 +a(g681 +Veax +p1446 +tp1447 +a(g705 +V,[ +p1448 +tp1449 +a(g681 +Veax +p1450 +tp1451 +a(g705 +g1269 +tp1452 +a(g826 +V\u000a +p1453 +tp1454 +a(g826 +V +p1455 +tp1456 +a(g573 +Vinc +p1457 +tp1458 +a(g826 +g1064 +tp1459 +a(g681 +Veax +p1460 +tp1461 +a(g826 +V\u000a +p1462 +tp1463 +a(g826 +V +p1464 +tp1465 +a(g573 +Vmov +p1466 +tp1467 +a(g826 +g1064 +tp1468 +a(g705 +V[ +p1469 +tp1470 +a(g681 +Vebx +p1471 +tp1472 +a(g412 +g1265 +tp1473 +a(g440 +Vlocalint +p1474 +tp1475 +a(g826 +g1064 +tp1476 +a(g426 +Vwrt +p1477 +tp1478 +a(g826 +g1064 +tp1479 +a(g440 +V..gotoff +p1480 +tp1481 +a(g705 +V], +p1482 +tp1483 +a(g681 +Veax +p1484 +tp1485 +a(g826 +g1064 +tp1486 +a(g8 +V; [14] +p1487 +tp1488 +a(g826 +V\u000a +p1489 +tp1490 +a(g826 +V +p1491 +tp1492 +a(g573 +Vmov +p1493 +tp1494 +a(g826 +g1064 +tp1495 +a(g681 +Veax +p1496 +tp1497 +a(g705 +V,[ +p1498 +tp1499 +a(g681 +Vebx +p1500 +tp1501 +a(g412 +g1265 +tp1502 +a(g440 +V_commvar +p1503 +tp1504 +a(g826 +g1064 +tp1505 +a(g426 +Vwrt +p1506 +tp1507 +a(g826 +g1064 +tp1508 +a(g440 +V..got +p1509 +tp1510 +a(g705 +g1269 +tp1511 +a(g826 +V\u000a +p1512 +tp1513 +a(g826 +V +p1514 +tp1515 +a(g573 +Vpush +p1516 +tp1517 +a(g826 +g1064 +tp1518 +a(g748 +Vdword +p1519 +tp1520 +a(g826 +g1064 +tp1521 +a(g705 +g1469 +tp1522 +a(g681 +Veax +p1523 +tp1524 +a(g705 +g1269 +tp1525 +a(g826 +V\u000a +p1526 +tp1527 +a(g826 +V +p1528 +tp1529 +a(g573 +Vmov +p1530 +tp1531 +a(g826 +g1064 +tp1532 +a(g681 +Veax +p1533 +tp1534 +a(g705 +V,[ +p1535 +tp1536 +a(g681 +Vebx +p1537 +tp1538 +a(g412 +g1265 +tp1539 +a(g440 +Vlocalptr +p1540 +tp1541 +a(g826 +g1064 +tp1542 +a(g426 +Vwrt +p1543 +tp1544 +a(g826 +g1064 +tp1545 +a(g440 +V..gotoff +p1546 +tp1547 +a(g705 +g1269 +tp1548 +a(g826 +g1064 +tp1549 +a(g8 +V; [13] +p1550 +tp1551 +a(g826 +V\u000a +p1552 +tp1553 +a(g826 +V +p1554 +tp1555 +a(g573 +Vpush +p1556 +tp1557 +a(g826 +g1064 +tp1558 +a(g748 +Vdword +p1559 +tp1560 +a(g826 +g1064 +tp1561 +a(g705 +g1469 +tp1562 +a(g681 +Veax +p1563 +tp1564 +a(g705 +g1269 +tp1565 +a(g826 +V\u000a +p1566 +tp1567 +a(g826 +V +p1568 +tp1569 +a(g573 +Vmov +p1570 +tp1571 +a(g826 +g1064 +tp1572 +a(g681 +Veax +p1573 +tp1574 +a(g705 +V,[ +p1575 +tp1576 +a(g681 +Vebx +p1577 +tp1578 +a(g412 +g1265 +tp1579 +a(g440 +V_integer +p1580 +tp1581 +a(g826 +g1064 +tp1582 +a(g426 +Vwrt +p1583 +tp1584 +a(g826 +g1064 +tp1585 +a(g440 +V..got +p1586 +tp1587 +a(g705 +g1269 +tp1588 +a(g826 +g1064 +tp1589 +a(g8 +V; [1] [14] +p1590 +tp1591 +a(g826 +V\u000a +p1592 +tp1593 +a(g826 +V +p1594 +tp1595 +a(g573 +Vpush +p1596 +tp1597 +a(g826 +g1064 +tp1598 +a(g748 +Vdword +p1599 +tp1600 +a(g826 +g1064 +tp1601 +a(g705 +g1469 +tp1602 +a(g681 +Veax +p1603 +tp1604 +a(g705 +g1269 +tp1605 +a(g826 +V\u000a +p1606 +tp1607 +a(g826 +V +p1608 +tp1609 +a(g573 +Vlea +p1610 +tp1611 +a(g826 +g1064 +tp1612 +a(g681 +Veax +p1613 +tp1614 +a(g705 +V,[ +p1615 +tp1616 +a(g681 +Vebx +p1617 +tp1618 +a(g412 +g1265 +tp1619 +a(g440 +V_printfstr +p1620 +tp1621 +a(g826 +g1064 +tp1622 +a(g426 +Vwrt +p1623 +tp1624 +a(g826 +g1064 +tp1625 +a(g440 +V..gotoff +p1626 +tp1627 +a(g705 +g1269 +tp1628 +a(g826 +V\u000a +p1629 +tp1630 +a(g826 +V +p1631 +tp1632 +a(g573 +Vpush +p1633 +tp1634 +a(g826 +g1064 +tp1635 +a(g681 +Veax +p1636 +tp1637 +a(g826 +V +p1638 +tp1639 +a(g8 +V; [13] +p1640 +tp1641 +a(g826 +V\u000a +p1642 +tp1643 +a(g826 +V +p1644 +tp1645 +a(g573 +Vcall +p1646 +tp1647 +a(g826 +g1064 +tp1648 +a(g440 +V_printf +p1649 +tp1650 +a(g826 +g1064 +tp1651 +a(g426 +Vwrt +p1652 +tp1653 +a(g826 +g1064 +tp1654 +a(g440 +V..plt +p1655 +tp1656 +a(g826 +g1064 +tp1657 +a(g8 +V; [11] +p1658 +tp1659 +a(g826 +V\u000a +p1660 +tp1661 +a(g826 +V +p1662 +tp1663 +a(g573 +Vadd +p1664 +tp1665 +a(g826 +g1064 +tp1666 +a(g681 +Vesp +p1667 +tp1668 +a(g705 +g1248 +tp1669 +a(g37 +V16 +p1670 +tp1671 +a(g826 +V\u000a +p1672 +tp1673 +a(g826 +V +p1674 +tp1675 +a(g573 +Vpop +p1676 +tp1677 +a(g826 +g1064 +tp1678 +a(g681 +Vebx +p1679 +tp1680 +a(g826 +V\u000a +p1681 +tp1682 +a(g826 +V +p1683 +tp1684 +a(g573 +Vret +p1685 +tp1686 +a(g826 +V\u000a\u000a +p1687 +tp1688 +a(g826 +V +p1689 +tp1690 +a(g744 +VSECTION +p1691 +tp1692 +a(g826 +g1064 +tp1693 +a(g440 +V.data +p1694 +tp1695 +a(g826 +V\u000a\u000a +p1696 +tp1697 +a(g8 +V; a string +p1698 +tp1699 +a(g826 +V\u000a +p1700 +tp1701 +a(g573 +V_asmstr +p1702 +tp1703 +a(g826 +V +p1704 +tp1705 +a(g440 +Vdb +p1706 +tp1707 +a(g826 +g1064 +tp1708 +a(g89 +V'hello, world' +p1709 +tp1710 +a(g705 +g1248 +tp1711 +a(g826 +g1064 +tp1712 +a(g37 +V0 +p1713 +tp1714 +a(g826 +g1191 +tp1715 +a(g8 +V; [2] +p1716 +tp1717 +a(g826 +V\u000a +p1718 +tp1719 +a(g573 +V.end +p1720 +tp1721 +a(g826 +V\u000a\u000a +p1722 +tp1723 +a(g8 +V; a string for Printf +p1724 +tp1725 +a(g826 +V\u000a +p1726 +tp1727 +a(g573 +V_printfstr +p1728 +tp1729 +a(g826 +g1064 +tp1730 +a(g440 +Vdb +p1731 +tp1732 +a(g826 +g1064 +tp1733 +a(g89 +V"integer==%d, localint==%d, commvar=%d" +p1734 +tp1735 +a(g826 +V\u000a +p1736 +tp1737 +a(g826 +V +p1738 +tp1739 +a(g809 +Vdb +p1740 +tp1741 +a(g826 +g1064 +tp1742 +a(g37 +V10 +p1743 +tp1744 +a(g705 +g1248 +tp1745 +a(g826 +g1064 +tp1746 +a(g37 +g1713 +tp1747 +a(g826 +V\u000a\u000a +p1748 +tp1749 +a(g8 +V; some pointers +p1750 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g573 +Vlocalptr +p1754 +tp1755 +a(g826 +V +p1756 +tp1757 +a(g440 +Vdd +p1758 +tp1759 +a(g826 +g1064 +tp1760 +a(g440 +Vlocalint +p1761 +tp1762 +a(g826 +V +p1763 +tp1764 +a(g8 +V; [5] [17] +p1765 +tp1766 +a(g826 +V\u000a +p1767 +tp1768 +a(g573 +V_textptr +p1769 +tp1770 +a(g826 +V +p1771 +tp1772 +a(g440 +Vdd +p1773 +tp1774 +a(g826 +g1064 +tp1775 +a(g440 +V_greet +p1776 +tp1777 +a(g826 +g1064 +tp1778 +a(g426 +Vwrt +p1779 +tp1780 +a(g826 +g1064 +tp1781 +a(g440 +V..sym +p1782 +tp1783 +a(g826 +g1191 +tp1784 +a(g8 +V; [15] +p1785 +tp1786 +a(g826 +V\u000a +p1787 +tp1788 +a(g573 +V_selfptr +p1789 +tp1790 +a(g826 +V +p1791 +tp1792 +a(g440 +Vdd +p1793 +tp1794 +a(g826 +g1064 +tp1795 +a(g440 +V_selfptr +p1796 +tp1797 +a(g826 +g1064 +tp1798 +a(g426 +Vwrt +p1799 +tp1800 +a(g826 +g1064 +tp1801 +a(g440 +V..sym +p1802 +tp1803 +a(g826 +g1191 +tp1804 +a(g8 +V; [16] +p1805 +tp1806 +a(g826 +V\u000a\u000a +p1807 +tp1808 +a(g826 +V +p1809 +tp1810 +a(g744 +VSECTION +p1811 +tp1812 +a(g826 +g1064 +tp1813 +a(g440 +V.bss +p1814 +tp1815 +a(g826 +V\u000a\u000a +p1816 +tp1817 +a(g8 +V; an integer +p1818 +tp1819 +a(g826 +V\u000a +p1820 +tp1821 +a(g573 +V_integer +p1822 +tp1823 +a(g826 +V +p1824 +tp1825 +a(g440 +Vresd +p1826 +tp1827 +a(g826 +g1064 +tp1828 +a(g37 +g1300 +tp1829 +a(g826 +V +p1830 +tp1831 +a(g8 +V; [3] +p1832 +tp1833 +a(g826 +V\u000a\u000a +p1834 +tp1835 +a(g8 +V; a local integer +p1836 +tp1837 +a(g826 +V\u000a +p1838 +tp1839 +a(g573 +Vlocalint +p1840 +tp1841 +a(g826 +V +p1842 +tp1843 +a(g440 +Vresd +p1844 +tp1845 +a(g826 +g1064 +tp1846 +a(g37 +g1300 +tp1847 +a(g826 +V +p1848 +tp1849 +a(g8 +V; [6] +p1850 +tp1851 +a(g826 +V\u000a +p1852 +tp1853 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nasm_objexe.asm b/tests/examplefiles/output/nasm_objexe.asm new file mode 100644 index 0000000..6f41096 --- /dev/null +++ b/tests/examplefiles/output/nasm_objexe.asm @@ -0,0 +1,2803 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV; Demonstration of how to write an entire .EXE format program as a .OBJ +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V; file to be linked. Tested with the VAL free linker. +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V; To build: +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V; nasm -fobj objexe.asm +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V; val objexe.obj,objexe.exe; +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V; To test: +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V; objexe +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g8 +V; (should print `hello, world') +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g826 +V +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g826 +V +p992 +tp993 +a(g744 +Vsegment +p994 +tp995 +a(g826 +V +p996 +tp997 +a(g440 +Vcode +p998 +tp999 +a(g826 +V\u000a\u000a +p1000 +tp1001 +a(g596 +V..start: +p1002 +tp1003 +a(g826 +V +p1004 +tp1005 +a(g573 +Vmov +p1006 +tp1007 +a(g826 +g996 +tp1008 +a(g681 +Vax +p1009 +tp1010 +a(g705 +V, +p1011 +tp1012 +a(g440 +Vdata +p1013 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g826 +V +p1017 +tp1018 +a(g573 +Vmov +p1019 +tp1020 +a(g826 +g996 +tp1021 +a(g681 +Vds +p1022 +tp1023 +a(g705 +g1011 +tp1024 +a(g681 +Vax +p1025 +tp1026 +a(g826 +V\u000a +p1027 +tp1028 +a(g826 +V +p1029 +tp1030 +a(g573 +Vmov +p1031 +tp1032 +a(g826 +g996 +tp1033 +a(g681 +Vax +p1034 +tp1035 +a(g705 +g1011 +tp1036 +a(g440 +Vstack +p1037 +tp1038 +a(g826 +V\u000a +p1039 +tp1040 +a(g826 +V +p1041 +tp1042 +a(g573 +Vmov +p1043 +tp1044 +a(g826 +g996 +tp1045 +a(g681 +Vss +p1046 +tp1047 +a(g705 +g1011 +tp1048 +a(g681 +Vax +p1049 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a(g826 +V +p1053 +tp1054 +a(g573 +Vmov +p1055 +tp1056 +a(g826 +g996 +tp1057 +a(g681 +Vsp +p1058 +tp1059 +a(g705 +g1011 +tp1060 +a(g440 +Vstacktop +p1061 +tp1062 +a(g826 +V\u000a\u000a +p1063 +tp1064 +a(g826 +V +p1065 +tp1066 +a(g573 +Vmov +p1067 +tp1068 +a(g826 +g996 +tp1069 +a(g681 +Vdx +p1070 +tp1071 +a(g705 +g1011 +tp1072 +a(g440 +Vhello +p1073 +tp1074 +a(g826 +V\u000a +p1075 +tp1076 +a(g826 +V +p1077 +tp1078 +a(g573 +Vmov +p1079 +tp1080 +a(g826 +g996 +tp1081 +a(g681 +Vah +p1082 +tp1083 +a(g705 +g1011 +tp1084 +a(g37 +V9 +p1085 +tp1086 +a(g826 +V\u000a +p1087 +tp1088 +a(g826 +V +p1089 +tp1090 +a(g573 +Vint +p1091 +tp1092 +a(g826 +g996 +tp1093 +a(g297 +V0x21 +p1094 +tp1095 +a(g826 +V\u000a\u000a +p1096 +tp1097 +a(g826 +V +p1098 +tp1099 +a(g573 +Vmov +p1100 +tp1101 +a(g826 +g996 +tp1102 +a(g681 +Vax +p1103 +tp1104 +a(g705 +g1011 +tp1105 +a(g297 +V0x4c00 +p1106 +tp1107 +a(g826 +V\u000a +p1108 +tp1109 +a(g826 +V +p1110 +tp1111 +a(g573 +Vint +p1112 +tp1113 +a(g826 +g996 +tp1114 +a(g297 +V0x21 +p1115 +tp1116 +a(g826 +V\u000a\u000a +p1117 +tp1118 +a(g826 +V +p1119 +tp1120 +a(g744 +Vsegment +p1121 +tp1122 +a(g826 +g996 +tp1123 +a(g440 +Vdata +p1124 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g596 +Vhello: +p1128 +tp1129 +a(g826 +V +p1130 +tp1131 +a(g809 +Vdb +p1132 +tp1133 +a(g826 +g996 +tp1134 +a(g89 +V'hello, world' +p1135 +tp1136 +a(g705 +g1011 +tp1137 +a(g826 +g996 +tp1138 +a(g37 +V13 +p1139 +tp1140 +a(g705 +g1011 +tp1141 +a(g826 +g996 +tp1142 +a(g37 +V10 +p1143 +tp1144 +a(g705 +g1011 +tp1145 +a(g826 +g996 +tp1146 +a(g89 +V'$' +p1147 +tp1148 +a(g826 +V\u000a\u000a +p1149 +tp1150 +a(g826 +V +p1151 +tp1152 +a(g744 +Vsegment +p1153 +tp1154 +a(g826 +g996 +tp1155 +a(g440 +Vstack +p1156 +tp1157 +a(g826 +g996 +tp1158 +a(g440 +Vstack +p1159 +tp1160 +a(g826 +V\u000a +p1161 +tp1162 +a(g826 +V +p1163 +tp1164 +a(g809 +Vresb +p1165 +tp1166 +a(g826 +g996 +tp1167 +a(g37 +V64 +p1168 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g596 +Vstacktop: +p1172 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nemerle_sample.n b/tests/examplefiles/output/nemerle_sample.n new file mode 100644 index 0000000..45ec4b9 --- /dev/null +++ b/tests/examplefiles/output/nemerle_sample.n @@ -0,0 +1,4536 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVusing +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g423 +VSystem +p960 +tp961 +a(g693 +V; +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g7 +Vnamespace +p968 +tp969 +a(g826 +g958 +tp970 +a(g608 +VDemo.Ns +p971 +tp972 +a(g826 +V\u000a +p973 +tp974 +a(g693 +V{ +p975 +tp976 +a(g826 +V\u000a +p977 +tp978 +a(g826 +V +p979 +tp980 +a(g745 +V/// sample class\u000a +p981 +tp982 +a(g826 +V +p983 +tp984 +a(g7 +Vpublic +p985 +tp986 +a(g826 +g958 +tp987 +a(g7 +Vclass +p988 +tp989 +a(g826 +g958 +tp990 +a(g616 +VClassSample +p991 +tp992 +a(g826 +g958 +tp993 +a(g693 +V: +p994 +tp995 +a(g826 +g958 +tp996 +a(g423 +VBase +p997 +tp998 +a(g826 +V\u000a +p999 +tp1000 +a(g826 +V +p1001 +tp1002 +a(g693 +g975 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g826 +V +p1006 +tp1007 +a(g776 +V/* sample multiline comment */ +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g738 +V#region region sample\u000a +p1012 +tp1013 +a(g826 +V +p1014 +tp1015 +a(g423 +VfieldSample +p1016 +tp1017 +a(g826 +g958 +tp1018 +a(g693 +g994 +tp1019 +a(g826 +g958 +tp1020 +a(g881 +Vint +p1021 +tp1022 +a(g693 +g962 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g738 +V#endregion\u000a +p1026 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g826 +V +p1030 +tp1031 +a(g7 +Vpublic +p1032 +tp1033 +a(g826 +g958 +tp1034 +a(g7 +Vvirtual +p1035 +tp1036 +a(g826 +g958 +tp1037 +a(g561 +VsomeMethod +p1038 +tp1039 +a(g693 +V( +p1040 +tp1041 +a(g423 +Vstr +p1042 +tp1043 +a(g826 +g958 +tp1044 +a(g693 +g994 +tp1045 +a(g826 +g958 +tp1046 +a(g881 +Vstring +p1047 +tp1048 +a(g693 +V) +p1049 +tp1050 +a(g826 +g958 +tp1051 +a(g693 +g994 +tp1052 +a(g826 +g958 +tp1053 +a(g881 +Vlist +p1054 +tp1055 +a(g693 +V[ +p1056 +tp1057 +a(g881 +Vdouble +p1058 +tp1059 +a(g693 +V] +p1060 +tp1061 +a(g826 +V\u000a +p1062 +tp1063 +a(g826 +V +p1064 +tp1065 +a(g693 +g975 +tp1066 +a(g826 +V\u000a +p1067 +tp1068 +a(g826 +V +p1069 +tp1070 +a(g7 +Vdef +p1071 +tp1072 +a(g826 +g958 +tp1073 +a(g423 +Vx +p1074 +tp1075 +a(g826 +g958 +tp1076 +a(g693 +V= +p1077 +tp1078 +a(g826 +g958 +tp1079 +a(g76 +V"simple string" +p1080 +tp1081 +a(g693 +g962 +tp1082 +a(g826 +V\u000a +p1083 +tp1084 +a(g826 +V +p1085 +tp1086 +a(g7 +Vdef +p1087 +tp1088 +a(g826 +g958 +tp1089 +a(g423 +g1074 +tp1090 +a(g826 +g958 +tp1091 +a(g693 +g1077 +tp1092 +a(g826 +g958 +tp1093 +a(g76 +V$" +p1094 +tp1095 +a(g76 +Vs +p1096 +tp1097 +a(g76 +Vi +p1098 +tp1099 +a(g76 +Vm +p1100 +tp1101 +a(g76 +Vp +p1102 +tp1103 +a(g76 +Vl +p1104 +tp1105 +a(g76 +Ve +p1106 +tp1107 +a(g76 +g958 +tp1108 +a(g423 +V$splice +p1109 +tp1110 +a(g76 +g958 +tp1111 +a(g76 +g1096 +tp1112 +a(g76 +Vt +p1113 +tp1114 +a(g76 +Vr +p1115 +tp1116 +a(g76 +g1098 +tp1117 +a(g76 +Vn +p1118 +tp1119 +a(g76 +Vg +p1120 +tp1121 +a(g76 +g958 +tp1122 +a(g423 +V$ +p1123 +tp1124 +a(g693 +g1040 +tp1125 +a(g423 +VspliceMethod +p1126 +tp1127 +a(g693 +g1040 +tp1128 +a(g693 +g1049 +tp1129 +a(g693 +g958 +tp1130 +a(g693 +V+ +p1131 +tp1132 +a(g693 +g958 +tp1133 +a(g17 +V1 +p1134 +tp1135 +a(g693 +g1049 +tp1136 +a(g76 +V" +p1137 +tp1138 +a(g693 +g962 +tp1139 +a(g826 +V\u000a +p1140 +tp1141 +a(g826 +V +p1142 +tp1143 +a(g7 +Vdef +p1144 +tp1145 +a(g826 +g958 +tp1146 +a(g423 +g1074 +tp1147 +a(g826 +g958 +tp1148 +a(g693 +g1077 +tp1149 +a(g826 +g958 +tp1150 +a(g76 +V<# +p1151 +tp1152 +a(g76 +V\u000a +p1153 +tp1154 +a(g76 +g958 +tp1155 +a(g76 +g958 +tp1156 +a(g76 +g958 +tp1157 +a(g76 +g958 +tp1158 +a(g76 +g958 +tp1159 +a(g76 +g958 +tp1160 +a(g76 +g958 +tp1161 +a(g76 +g958 +tp1162 +a(g76 +g1115 +tp1163 +a(g76 +g1106 +tp1164 +a(g76 +Vc +p1165 +tp1166 +a(g76 +Vu +p1167 +tp1168 +a(g76 +g1115 +tp1169 +a(g76 +g1096 +tp1170 +a(g76 +g1098 +tp1171 +a(g76 +Vv +p1172 +tp1173 +a(g76 +g1106 +tp1174 +a(g76 +g958 +tp1175 +a(g76 +V<# +p1176 +tp1177 +a(g76 +g958 +tp1178 +a(g76 +g1096 +tp1179 +a(g76 +g1113 +tp1180 +a(g76 +g1115 +tp1181 +a(g76 +g1098 +tp1182 +a(g76 +g1118 +tp1183 +a(g76 +g1120 +tp1184 +a(g76 +g958 +tp1185 +a(g76 +V#> +p1186 +tp1187 +a(g76 +g958 +tp1188 +a(g76 +g1096 +tp1189 +a(g76 +Va +p1190 +tp1191 +a(g76 +g1100 +tp1192 +a(g76 +g1102 +tp1193 +a(g76 +g1104 +tp1194 +a(g76 +g1106 +tp1195 +a(g76 +V\u000a +p1196 +tp1197 +a(g76 +g958 +tp1198 +a(g76 +g958 +tp1199 +a(g76 +g958 +tp1200 +a(g76 +g958 +tp1201 +a(g76 +g958 +tp1202 +a(g76 +g958 +tp1203 +a(g76 +V#> +p1204 +tp1205 +a(g693 +g962 +tp1206 +a(g826 +V\u000a +p1207 +tp1208 +a(g826 +V +p1209 +tp1210 +a(g7 +Vdef +p1211 +tp1212 +a(g826 +g958 +tp1213 +a(g423 +g1074 +tp1214 +a(g826 +g958 +tp1215 +a(g693 +g1077 +tp1216 +a(g826 +g958 +tp1217 +a(g76 +V$<# +p1218 +tp1219 +a(g76 +V\u000a +p1220 +tp1221 +a(g76 +g958 +tp1222 +a(g76 +g958 +tp1223 +a(g76 +g958 +tp1224 +a(g76 +g958 +tp1225 +a(g76 +g958 +tp1226 +a(g76 +g958 +tp1227 +a(g76 +g958 +tp1228 +a(g76 +g958 +tp1229 +a(g76 +g1115 +tp1230 +a(g76 +g1106 +tp1231 +a(g76 +g1165 +tp1232 +a(g76 +g1167 +tp1233 +a(g76 +g1115 +tp1234 +a(g76 +g1096 +tp1235 +a(g76 +g1098 +tp1236 +a(g76 +g1172 +tp1237 +a(g76 +g1106 +tp1238 +a(g76 +g958 +tp1239 +a(g423 +V$splice +p1240 +tp1241 +a(g76 +g958 +tp1242 +a(g76 +V<# +p1243 +tp1244 +a(g76 +g958 +tp1245 +a(g76 +g1096 +tp1246 +a(g76 +g1113 +tp1247 +a(g76 +g1115 +tp1248 +a(g76 +g1098 +tp1249 +a(g76 +g1118 +tp1250 +a(g76 +g1120 +tp1251 +a(g76 +g958 +tp1252 +a(g76 +V#> +p1253 +tp1254 +a(g76 +g958 +tp1255 +a(g76 +g1096 +tp1256 +a(g76 +g1190 +tp1257 +a(g76 +g1100 +tp1258 +a(g76 +g1102 +tp1259 +a(g76 +g1104 +tp1260 +a(g76 +g1106 +tp1261 +a(g76 +V\u000a +p1262 +tp1263 +a(g76 +g958 +tp1264 +a(g76 +g958 +tp1265 +a(g76 +g958 +tp1266 +a(g76 +g958 +tp1267 +a(g76 +g958 +tp1268 +a(g76 +g958 +tp1269 +a(g76 +g958 +tp1270 +a(g76 +g958 +tp1271 +a(g76 +V. +p1272 +tp1273 +a(g76 +g1272 +tp1274 +a(g423 +g1123 +tp1275 +a(g693 +g1040 +tp1276 +a(g423 +Vlst +p1277 +tp1278 +a(g693 +g962 +tp1279 +a(g693 +g958 +tp1280 +a(g693 +g1137 +tp1281 +a(g693 +g962 +tp1282 +a(g693 +g958 +tp1283 +a(g693 +g1137 +tp1284 +a(g693 +g962 +tp1285 +a(g693 +g958 +tp1286 +a(g423 +g1074 +tp1287 +a(g693 +g958 +tp1288 +a(g693 +g1077 +tp1289 +a(g693 +V> +p1290 +tp1291 +a(g693 +g958 +tp1292 +a(g693 +g1123 +tp1293 +a(g693 +g1137 +tp1294 +a(g423 +g1074 +tp1295 +a(g693 +g958 +tp1296 +a(g693 +V* +p1297 +tp1298 +a(g693 +g958 +tp1299 +a(g17 +V2 +p1300 +tp1301 +a(g693 +g958 +tp1302 +a(g693 +g1077 +tp1303 +a(g693 +g958 +tp1304 +a(g693 +g1123 +tp1305 +a(g693 +g1040 +tp1306 +a(g423 +g1074 +tp1307 +a(g693 +g958 +tp1308 +a(g693 +g1297 +tp1309 +a(g693 +g958 +tp1310 +a(g17 +g1300 +tp1311 +a(g693 +g1049 +tp1312 +a(g693 +g1137 +tp1313 +a(g693 +g1049 +tp1314 +a(g76 +g958 +tp1315 +a(g76 +g1096 +tp1316 +a(g76 +g1113 +tp1317 +a(g76 +g1115 +tp1318 +a(g76 +V\u000a +p1319 +tp1320 +a(g76 +g958 +tp1321 +a(g76 +g958 +tp1322 +a(g76 +g958 +tp1323 +a(g76 +g958 +tp1324 +a(g76 +g958 +tp1325 +a(g76 +g958 +tp1326 +a(g76 +V#> +p1327 +tp1328 +a(g693 +g962 +tp1329 +a(g826 +V\u000a +p1330 +tp1331 +a(g826 +V +p1332 +tp1333 +a(g7 +Vdef +p1334 +tp1335 +a(g826 +g958 +tp1336 +a(g423 +g1074 +tp1337 +a(g826 +g958 +tp1338 +a(g693 +g1077 +tp1339 +a(g826 +g958 +tp1340 +a(g76 +V@"somestring \u005c" +p1341 +tp1342 +a(g693 +g962 +tp1343 +a(g826 +V\u000a +p1344 +tp1345 +a(g826 +V\u000a +p1346 +tp1347 +a(g826 +V +p1348 +tp1349 +a(g7 +Vdef +p1350 +tp1351 +a(g826 +g958 +tp1352 +a(g561 +VlocalFunc +p1353 +tp1354 +a(g693 +g1040 +tp1355 +a(g423 +Varg +p1356 +tp1357 +a(g693 +g1049 +tp1358 +a(g826 +V\u000a +p1359 +tp1360 +a(g826 +V +p1361 +tp1362 +a(g693 +g975 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g826 +V +p1366 +tp1367 +a(g423 +Varg +p1368 +tp1369 +a(g826 +g958 +tp1370 +a(g693 +g1131 +tp1371 +a(g826 +g958 +tp1372 +a(g17 +g1134 +tp1373 +a(g693 +g962 +tp1374 +a(g826 +V\u000a +p1375 +tp1376 +a(g826 +V +p1377 +tp1378 +a(g693 +V} +p1379 +tp1380 +a(g826 +V\u000a +p1381 +tp1382 +a(g826 +V\u000a +p1383 +tp1384 +a(g826 +V +p1385 +tp1386 +a(g7 +Vmatch +p1387 +tp1388 +a(g826 +g958 +tp1389 +a(g693 +g1040 +tp1390 +a(g423 +VlocalFunc +p1391 +tp1392 +a(g693 +g1040 +tp1393 +a(g17 +g1300 +tp1394 +a(g693 +g1049 +tp1395 +a(g693 +g1049 +tp1396 +a(g826 +V\u000a +p1397 +tp1398 +a(g826 +V +p1399 +tp1400 +a(g693 +g975 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g826 +V +p1404 +tp1405 +a(g693 +V| +p1406 +tp1407 +a(g826 +g958 +tp1408 +a(g17 +V3 +p1409 +tp1410 +a(g826 +g958 +tp1411 +a(g693 +g1077 +tp1412 +a(g693 +g1290 +tp1413 +a(g826 +g958 +tp1414 +a(g76 +V"ok" +p1415 +tp1416 +a(g693 +g962 +tp1417 +a(g826 +V\u000a +p1418 +tp1419 +a(g826 +V +p1420 +tp1421 +a(g693 +g1406 +tp1422 +a(g826 +g958 +tp1423 +a(g423 +V_ +p1424 +tp1425 +a(g826 +g958 +tp1426 +a(g693 +g1077 +tp1427 +a(g693 +g1290 +tp1428 +a(g826 +g958 +tp1429 +a(g76 +V"fail" +p1430 +tp1431 +a(g693 +g962 +tp1432 +a(g826 +V\u000a +p1433 +tp1434 +a(g826 +V +p1435 +tp1436 +a(g693 +g1379 +tp1437 +a(g826 +V\u000a +p1438 +tp1439 +a(g826 +V\u000a +p1440 +tp1441 +a(g826 +V +p1442 +tp1443 +a(g7 +Vusing +p1444 +tp1445 +a(g826 +g958 +tp1446 +a(g693 +g1040 +tp1447 +a(g423 +g1074 +tp1448 +a(g826 +g958 +tp1449 +a(g693 +g1077 +tp1450 +a(g826 +g958 +tp1451 +a(g423 +VSomeObject +p1452 +tp1453 +a(g693 +g1040 +tp1454 +a(g693 +g1049 +tp1455 +a(g693 +g1049 +tp1456 +a(g826 +V\u000a +p1457 +tp1458 +a(g826 +V +p1459 +tp1460 +a(g693 +g975 +tp1461 +a(g826 +V\u000a +p1462 +tp1463 +a(g826 +V +p1464 +tp1465 +a(g7 +Vforeach +p1466 +tp1467 +a(g826 +g958 +tp1468 +a(g693 +g1040 +tp1469 +a(g423 +Vitem +p1470 +tp1471 +a(g826 +g958 +tp1472 +a(g423 +Vin +p1473 +tp1474 +a(g826 +g958 +tp1475 +a(g423 +VsomeCollection +p1476 +tp1477 +a(g693 +g1049 +tp1478 +a(g826 +V\u000a +p1479 +tp1480 +a(g826 +V +p1481 +tp1482 +a(g693 +g975 +tp1483 +a(g826 +V\u000a +p1484 +tp1485 +a(g826 +V +p1486 +tp1487 +a(g7 +Vdef +p1488 +tp1489 +a(g826 +g958 +tp1490 +a(g423 +g1098 +tp1491 +a(g826 +g958 +tp1492 +a(g693 +g1077 +tp1493 +a(g826 +g958 +tp1494 +a(g7 +Vtry +p1495 +tp1496 +a(g826 +V\u000a +p1497 +tp1498 +a(g826 +V +p1499 +tp1500 +a(g693 +g975 +tp1501 +a(g826 +V\u000a +p1502 +tp1503 +a(g826 +V +p1504 +tp1505 +a(g881 +Vint +p1506 +tp1507 +a(g693 +g1272 +tp1508 +a(g423 +VParse +p1509 +tp1510 +a(g693 +g1040 +tp1511 +a(g423 +Vitem +p1512 +tp1513 +a(g693 +g1049 +tp1514 +a(g826 +V\u000a +p1515 +tp1516 +a(g826 +V +p1517 +tp1518 +a(g693 +g1379 +tp1519 +a(g826 +V\u000a +p1520 +tp1521 +a(g826 +V +p1522 +tp1523 +a(g7 +Vcatch +p1524 +tp1525 +a(g826 +V\u000a +p1526 +tp1527 +a(g826 +V +p1528 +tp1529 +a(g693 +g975 +tp1530 +a(g826 +V\u000a +p1531 +tp1532 +a(g826 +V +p1533 +tp1534 +a(g693 +g1406 +tp1535 +a(g826 +g958 +tp1536 +a(g423 +g1424 +tp1537 +a(g826 +g958 +tp1538 +a(g7 +Vis +p1539 +tp1540 +a(g826 +g958 +tp1541 +a(g423 +VFormatException +p1542 +tp1543 +a(g826 +g958 +tp1544 +a(g693 +g1077 +tp1545 +a(g693 +g1290 +tp1546 +a(g826 +g958 +tp1547 +a(g17 +V0 +p1548 +tp1549 +a(g693 +g962 +tp1550 +a(g826 +V\u000a +p1551 +tp1552 +a(g826 +V +p1553 +tp1554 +a(g693 +g1379 +tp1555 +a(g826 +V\u000a +p1556 +tp1557 +a(g826 +V +p1558 +tp1559 +a(g7 +Vwhen +p1560 +tp1561 +a(g826 +g958 +tp1562 +a(g693 +g1040 +tp1563 +a(g423 +g1098 +tp1564 +a(g826 +g958 +tp1565 +a(g693 +g1290 +tp1566 +a(g826 +g958 +tp1567 +a(g17 +V0xff +p1568 +tp1569 +a(g693 +g1049 +tp1570 +a(g826 +V\u000a +p1571 +tp1572 +a(g826 +V +p1573 +tp1574 +a(g7 +Vunless +p1575 +tp1576 +a(g826 +g958 +tp1577 +a(g693 +g1040 +tp1578 +a(g423 +g1098 +tp1579 +a(g826 +g958 +tp1580 +a(g693 +V< +p1581 +tp1582 +a(g826 +g958 +tp1583 +a(g17 +V5 +p1584 +tp1585 +a(g17 +g1584 +tp1586 +a(g17 +V5L +p1587 +tp1588 +a(g693 +g1049 +tp1589 +a(g826 +V\u000a +p1590 +tp1591 +a(g826 +V +p1592 +tp1593 +a(g423 +VWriteLine +p1594 +tp1595 +a(g693 +g1040 +tp1596 +a(g423 +g1098 +tp1597 +a(g693 +g1049 +tp1598 +a(g693 +g962 +tp1599 +a(g826 +V\u000a +p1600 +tp1601 +a(g826 +V +p1602 +tp1603 +a(g826 +V\u000a +p1604 +tp1605 +a(g826 +V +p1606 +tp1607 +a(g693 +g1379 +tp1608 +a(g826 +V\u000a +p1609 +tp1610 +a(g826 +V +p1611 +tp1612 +a(g693 +g1379 +tp1613 +a(g826 +V\u000a +p1614 +tp1615 +a(g826 +V +p1616 +tp1617 +a(g7 +Vprotected +p1618 +tp1619 +a(g826 +g958 +tp1620 +a(g7 +Voverride +p1621 +tp1622 +a(g826 +g958 +tp1623 +a(g561 +VoverrideSample +p1624 +tp1625 +a(g693 +g1040 +tp1626 +a(g693 +g1049 +tp1627 +a(g826 +g958 +tp1628 +a(g693 +g994 +tp1629 +a(g826 +g958 +tp1630 +a(g881 +Vvoid +p1631 +tp1632 +a(g826 +V\u000a +p1633 +tp1634 +a(g826 +V +p1635 +tp1636 +a(g693 +g975 +tp1637 +a(g693 +g1379 +tp1638 +a(g826 +V\u000a +p1639 +tp1640 +a(g826 +V\u000a +p1641 +tp1642 +a(g826 +V +p1643 +tp1644 +a(g7 +Vprivate +p1645 +tp1646 +a(g826 +g958 +tp1647 +a(g561 +VprivateSample +p1648 +tp1649 +a(g693 +g1040 +tp1650 +a(g693 +g1049 +tp1651 +a(g826 +g958 +tp1652 +a(g693 +g994 +tp1653 +a(g826 +g958 +tp1654 +a(g881 +Vvoid +p1655 +tp1656 +a(g826 +V\u000a +p1657 +tp1658 +a(g826 +V +p1659 +tp1660 +a(g693 +g975 +tp1661 +a(g693 +g1379 +tp1662 +a(g826 +V\u000a +p1663 +tp1664 +a(g826 +V\u000a +p1665 +tp1666 +a(g826 +V +p1667 +tp1668 +a(g7 +Vpublic +p1669 +tp1670 +a(g826 +g958 +tp1671 +a(g7 +Vabstract +p1672 +tp1673 +a(g826 +g958 +tp1674 +a(g561 +VabstractSample +p1675 +tp1676 +a(g693 +g1040 +tp1677 +a(g693 +g1049 +tp1678 +a(g826 +g958 +tp1679 +a(g693 +g994 +tp1680 +a(g826 +g958 +tp1681 +a(g881 +Vvoid +p1682 +tp1683 +a(g826 +V\u000a +p1684 +tp1685 +a(g826 +V +p1686 +tp1687 +a(g693 +g975 +tp1688 +a(g693 +g1379 +tp1689 +a(g826 +V\u000a +p1690 +tp1691 +a(g826 +V +p1692 +tp1693 +a(g693 +g1379 +tp1694 +a(g826 +V\u000a +p1695 +tp1696 +a(g826 +V\u000a +p1697 +tp1698 +a(g826 +V +p1699 +tp1700 +a(g693 +g1379 +tp1701 +a(g826 +V\u000a +p1702 +tp1703 +a(g826 +V\u000a +p1704 +tp1705 +a(g826 +V +p1706 +tp1707 +a(g7 +Vmodule +p1708 +tp1709 +a(g826 +g958 +tp1710 +a(g423 +VModuleSample +p1711 +tp1712 +a(g826 +V\u000a +p1713 +tp1714 +a(g826 +V +p1715 +tp1716 +a(g693 +g975 +tp1717 +a(g826 +V\u000a +p1718 +tp1719 +a(g826 +V +p1720 +tp1721 +a(g693 +g1379 +tp1722 +a(g826 +V\u000a +p1723 +tp1724 +a(g826 +V\u000a +p1725 +tp1726 +a(g826 +V +p1727 +tp1728 +a(g7 +Vvariant +p1729 +tp1730 +a(g826 +g958 +tp1731 +a(g616 +VRgbColor +p1732 +tp1733 +a(g826 +g958 +tp1734 +a(g693 +g975 +tp1735 +a(g826 +V\u000a +p1736 +tp1737 +a(g826 +V +p1738 +tp1739 +a(g693 +g1406 +tp1740 +a(g826 +g958 +tp1741 +a(g423 +VRed +p1742 +tp1743 +a(g826 +V\u000a +p1744 +tp1745 +a(g826 +V +p1746 +tp1747 +a(g693 +g1406 +tp1748 +a(g826 +g958 +tp1749 +a(g423 +VYellow +p1750 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g826 +V +p1754 +tp1755 +a(g693 +g1406 +tp1756 +a(g826 +g958 +tp1757 +a(g423 +VGreen +p1758 +tp1759 +a(g826 +V\u000a +p1760 +tp1761 +a(g826 +V +p1762 +tp1763 +a(g693 +g1406 +tp1764 +a(g826 +g958 +tp1765 +a(g423 +VDifferent +p1766 +tp1767 +a(g826 +g958 +tp1768 +a(g693 +g975 +tp1769 +a(g826 +V\u000a +p1770 +tp1771 +a(g826 +V +p1772 +tp1773 +a(g423 +Vred +p1774 +tp1775 +a(g826 +g958 +tp1776 +a(g693 +g994 +tp1777 +a(g826 +g958 +tp1778 +a(g881 +Vfloat +p1779 +tp1780 +a(g693 +g962 +tp1781 +a(g826 +V\u000a +p1782 +tp1783 +a(g826 +V +p1784 +tp1785 +a(g423 +Vgreen +p1786 +tp1787 +a(g826 +g958 +tp1788 +a(g693 +g994 +tp1789 +a(g826 +g958 +tp1790 +a(g881 +Vfloat +p1791 +tp1792 +a(g693 +g962 +tp1793 +a(g826 +V\u000a +p1794 +tp1795 +a(g826 +V +p1796 +tp1797 +a(g423 +Vblue +p1798 +tp1799 +a(g826 +g958 +tp1800 +a(g693 +g994 +tp1801 +a(g826 +g958 +tp1802 +a(g881 +Vfloat +p1803 +tp1804 +a(g693 +g962 +tp1805 +a(g826 +V\u000a +p1806 +tp1807 +a(g826 +V +p1808 +tp1809 +a(g693 +g1379 +tp1810 +a(g826 +V\u000a +p1811 +tp1812 +a(g826 +V +p1813 +tp1814 +a(g693 +g1379 +tp1815 +a(g826 +V\u000a +p1816 +tp1817 +a(g826 +V\u000a +p1818 +tp1819 +a(g826 +V +p1820 +tp1821 +a(g7 +Vmacro +p1822 +tp1823 +a(g826 +g958 +tp1824 +a(g561 +VsampleMacro +p1825 +tp1826 +a(g693 +g1040 +tp1827 +a(g423 +Vexpr +p1828 +tp1829 +a(g693 +g1049 +tp1830 +a(g826 +V\u000a +p1831 +tp1832 +a(g826 +V +p1833 +tp1834 +a(g7 +Vsyntax +p1835 +tp1836 +a(g826 +g958 +tp1837 +a(g693 +g1040 +tp1838 +a(g76 +V"write" +p1839 +tp1840 +a(g693 +V, +p1841 +tp1842 +a(g826 +g958 +tp1843 +a(g423 +Vexpr +p1844 +tp1845 +a(g693 +g1049 +tp1846 +a(g826 +V\u000a +p1847 +tp1848 +a(g826 +V +p1849 +tp1850 +a(g693 +g975 +tp1851 +a(g826 +V\u000a +p1852 +tp1853 +a(g826 +V +p1854 +tp1855 +a(g7 +V<[ +p1856 +tp1857 +a(g423 +VWriteLine +p1858 +tp1859 +a(g693 +g1040 +tp1860 +a(g423 +g1123 +tp1861 +a(g693 +g1040 +tp1862 +a(g423 +Vexpr +p1863 +tp1864 +a(g693 +g958 +tp1865 +a(g693 +g994 +tp1866 +a(g693 +g958 +tp1867 +a(g423 +Vdyn +p1868 +tp1869 +a(g693 +g1049 +tp1870 +a(g693 +g1049 +tp1871 +a(g826 +g958 +tp1872 +a(g7 +V]> +p1873 +tp1874 +a(g826 +V\u000a +p1875 +tp1876 +a(g826 +V +p1877 +tp1878 +a(g693 +g1379 +tp1879 +a(g826 +V\u000a +p1880 +tp1881 +a(g693 +g1379 +tp1882 +a(g826 +V\u000a +p1883 +tp1884 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nginx_nginx.conf b/tests/examplefiles/output/nginx_nginx.conf new file mode 100644 index 0000000..1e59c30 --- /dev/null +++ b/tests/examplefiles/output/nginx_nginx.conf @@ -0,0 +1,3761 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#user nobody;\u000a +p956 +tp957 +a(g744 +Vworker_processes +p958 +tp959 +a(g826 +V +p960 +tp961 +a(g37 +V1 +p962 +tp963 +a(g705 +V; +p964 +tp965 +a(g826 +V\u000a\u000a +p966 +tp967 +a(g8 +V#error_log logs/error.log;\u000a +p968 +tp969 +a(g8 +V#error_log logs/error.log notice;\u000a +p970 +tp971 +a(g8 +V#error_log logs/error.log info;\u000a +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V#pid logs/nginx.pid;\u000a +p976 +tp977 +a(g826 +V\u000a\u000a +p978 +tp979 +a(g744 +Vevents +p980 +tp981 +a(g826 +V +p982 +tp983 +a(g705 +V{ +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g770 +Vworker_connections +p988 +tp989 +a(g826 +V +p990 +tp991 +a(g37 +V1024 +p992 +tp993 +a(g705 +g964 +tp994 +a(g826 +V\u000a +p995 +tp996 +a(g705 +V} +p997 +tp998 +a(g826 +V\u000a\u000a\u000a +p999 +tp1000 +a(g744 +Vhttp +p1001 +tp1002 +a(g826 +g982 +tp1003 +a(g705 +g984 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g770 +Vinclude +p1007 +tp1008 +a(g826 +V +p1009 +tp1010 +a(g89 +Vmime.types +p1011 +tp1012 +a(g705 +g964 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g770 +Vdefault_type +p1016 +tp1017 +a(g826 +V +p1018 +tp1019 +a(g89 +Vapplication/octet-stream +p1020 +tp1021 +a(g705 +g964 +tp1022 +a(g826 +V\u000a\u000a +p1023 +tp1024 +a(g770 +Vlog_format +p1025 +tp1026 +a(g826 +V +p1027 +tp1028 +a(g89 +Vmain +p1029 +tp1030 +a(g826 +V +p1031 +tp1032 +a(g89 +V' +p1033 +tp1034 +a(g440 +V$remote_addr +p1035 +tp1036 +a(g826 +g982 +tp1037 +a(g89 +V- +p1038 +tp1039 +a(g826 +g982 +tp1040 +a(g440 +V$remote_user +p1041 +tp1042 +a(g826 +g982 +tp1043 +a(g89 +V[ +p1044 +tp1045 +a(g440 +V$time_local] +p1046 +tp1047 +a(g826 +g982 +tp1048 +a(g440 +V$request +p1049 +tp1050 +a(g826 +g982 +tp1051 +a(g89 +g1033 +tp1052 +a(g826 +V\u000a +p1053 +tp1054 +a(g89 +V'" +p1055 +tp1056 +a(g440 +V$status" +p1057 +tp1058 +a(g826 +g982 +tp1059 +a(g440 +V$body_bytes_sent +p1060 +tp1061 +a(g826 +g982 +tp1062 +a(g89 +V" +p1063 +tp1064 +a(g440 +V$http_referer" +p1065 +tp1066 +a(g826 +g982 +tp1067 +a(g89 +g1033 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g89 +V'" +p1071 +tp1072 +a(g440 +V$http_user_agent" +p1073 +tp1074 +a(g826 +g982 +tp1075 +a(g89 +g1063 +tp1076 +a(g440 +V$http_x_forwarded_for"' +p1077 +tp1078 +a(g705 +g964 +tp1079 +a(g826 +V\u000a\u000a +p1080 +tp1081 +a(g8 +V#access_log logs/access.log main;\u000a +p1082 +tp1083 +a(g826 +V\u000a +p1084 +tp1085 +a(g770 +Vsendfile +p1086 +tp1087 +a(g826 +V +p1088 +tp1089 +a(g565 +Von +p1090 +tp1091 +a(g705 +g964 +tp1092 +a(g826 +V\u000a +p1093 +tp1094 +a(g8 +V#tcp_nopush on;\u000a +p1095 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g8 +V#keepalive_timeout 0;\u000a +p1099 +tp1100 +a(g826 +V +p1101 +tp1102 +a(g770 +Vkeepalive_timeout +p1103 +tp1104 +a(g826 +V +p1105 +tp1106 +a(g37 +V65 +p1107 +tp1108 +a(g705 +g964 +tp1109 +a(g826 +V\u000a\u000a +p1110 +tp1111 +a(g8 +V#gzip on;\u000a +p1112 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g770 +Vserver +p1116 +tp1117 +a(g826 +g982 +tp1118 +a(g705 +g984 +tp1119 +a(g826 +V\u000a +p1120 +tp1121 +a(g770 +Vlisten +p1122 +tp1123 +a(g826 +V +p1124 +tp1125 +a(g37 +V80 +p1126 +tp1127 +a(g705 +g964 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g770 +Vserver_name +p1131 +tp1132 +a(g826 +V +p1133 +tp1134 +a(g89 +Vlocalhost +p1135 +tp1136 +a(g705 +g964 +tp1137 +a(g826 +V\u000a\u000a +p1138 +tp1139 +a(g770 +Vcharset +p1140 +tp1141 +a(g826 +g982 +tp1142 +a(g89 +Vkoi8-r +p1143 +tp1144 +a(g705 +g964 +tp1145 +a(g826 +V\u000a\u000a +p1146 +tp1147 +a(g8 +V#access_log logs/host.access.log main;\u000a +p1148 +tp1149 +a(g826 +V\u000a +p1150 +tp1151 +a(g770 +Vlocation +p1152 +tp1153 +a(g826 +g982 +tp1154 +a(g89 +V/ +p1155 +tp1156 +a(g826 +g982 +tp1157 +a(g705 +g984 +tp1158 +a(g826 +V\u000a +p1159 +tp1160 +a(g770 +Vroot +p1161 +tp1162 +a(g826 +V +p1163 +tp1164 +a(g89 +Vhtml +p1165 +tp1166 +a(g705 +g964 +tp1167 +a(g826 +V\u000a +p1168 +tp1169 +a(g770 +Vindex +p1170 +tp1171 +a(g826 +V +p1172 +tp1173 +a(g89 +Vindex.html +p1174 +tp1175 +a(g826 +g982 +tp1176 +a(g89 +Vindex.htm +p1177 +tp1178 +a(g705 +g964 +tp1179 +a(g826 +V\u000a +p1180 +tp1181 +a(g705 +g997 +tp1182 +a(g826 +V\u000a\u000a +p1183 +tp1184 +a(g8 +V#error_page 404 /404.html;\u000a +p1185 +tp1186 +a(g826 +V\u000a +p1187 +tp1188 +a(g8 +V# redirect server error pages to the static page /50x.html\u000a +p1189 +tp1190 +a(g826 +V +p1191 +tp1192 +a(g8 +V#\u000a +p1193 +tp1194 +a(g826 +V +p1195 +tp1196 +a(g770 +Verror_page +p1197 +tp1198 +a(g826 +V +p1199 +tp1200 +a(g37 +V500 +p1201 +tp1202 +a(g826 +g982 +tp1203 +a(g37 +V502 +p1204 +tp1205 +a(g826 +g982 +tp1206 +a(g37 +V503 +p1207 +tp1208 +a(g826 +g982 +tp1209 +a(g37 +V504 +p1210 +tp1211 +a(g826 +V +p1212 +tp1213 +a(g89 +V/50x.html +p1214 +tp1215 +a(g705 +g964 +tp1216 +a(g826 +V\u000a +p1217 +tp1218 +a(g770 +Vlocation +p1219 +tp1220 +a(g826 +g982 +tp1221 +a(g705 +V= +p1222 +tp1223 +a(g826 +g982 +tp1224 +a(g89 +V/50x.html +p1225 +tp1226 +a(g826 +g982 +tp1227 +a(g705 +g984 +tp1228 +a(g826 +V\u000a +p1229 +tp1230 +a(g770 +Vroot +p1231 +tp1232 +a(g826 +V +p1233 +tp1234 +a(g89 +Vhtml +p1235 +tp1236 +a(g705 +g964 +tp1237 +a(g826 +V\u000a +p1238 +tp1239 +a(g705 +g997 +tp1240 +a(g826 +V\u000a\u000a +p1241 +tp1242 +a(g8 +V# proxy the PHP scripts to Apache listening on 127.0.0.1:80\u000a +p1243 +tp1244 +a(g826 +V +p1245 +tp1246 +a(g8 +V#\u000a +p1247 +tp1248 +a(g826 +V +p1249 +tp1250 +a(g770 +Vlocation +p1251 +tp1252 +a(g826 +g982 +tp1253 +a(g705 +V~ +p1254 +tp1255 +a(g826 +g982 +tp1256 +a(g197 +V\u005c.php$ +p1257 +tp1258 +a(g826 +g982 +tp1259 +a(g705 +g984 +tp1260 +a(g826 +V\u000a +p1261 +tp1262 +a(g770 +Vproxy_pass +p1263 +tp1264 +a(g826 +V +p1265 +tp1266 +a(g89 +Vhttp://127.0.0.1 +p1267 +tp1268 +a(g705 +g964 +tp1269 +a(g826 +V\u000a +p1270 +tp1271 +a(g705 +g997 +tp1272 +a(g826 +V\u000a\u000a +p1273 +tp1274 +a(g8 +V# pass the PHP scripts to FastCGI server listening on 127.0.0.1:9000\u000a +p1275 +tp1276 +a(g826 +V +p1277 +tp1278 +a(g8 +V#\u000a +p1279 +tp1280 +a(g826 +V +p1281 +tp1282 +a(g770 +Vlocation +p1283 +tp1284 +a(g826 +g982 +tp1285 +a(g705 +g1254 +tp1286 +a(g826 +g982 +tp1287 +a(g197 +V\u005c.php$ +p1288 +tp1289 +a(g826 +g982 +tp1290 +a(g705 +g984 +tp1291 +a(g826 +V\u000a +p1292 +tp1293 +a(g770 +Vroot +p1294 +tp1295 +a(g826 +V +p1296 +tp1297 +a(g89 +Vhtml +p1298 +tp1299 +a(g705 +g964 +tp1300 +a(g826 +V\u000a +p1301 +tp1302 +a(g770 +Vfastcgi_pass +p1303 +tp1304 +a(g826 +V +p1305 +tp1306 +a(g435 +V127.0.0.1 +p1307 +tp1308 +a(g705 +V: +p1309 +tp1310 +a(g37 +V9000 +p1311 +tp1312 +a(g705 +g964 +tp1313 +a(g826 +V\u000a +p1314 +tp1315 +a(g770 +Vfastcgi_index +p1316 +tp1317 +a(g826 +V +p1318 +tp1319 +a(g89 +Vindex.php +p1320 +tp1321 +a(g705 +g964 +tp1322 +a(g826 +V\u000a +p1323 +tp1324 +a(g770 +Vfastcgi_param +p1325 +tp1326 +a(g826 +V +p1327 +tp1328 +a(g89 +VSCRIPT_FILENAME +p1329 +tp1330 +a(g826 +V +p1331 +tp1332 +a(g89 +V/scripts +p1333 +tp1334 +a(g440 +V$fastcgi_script_name +p1335 +tp1336 +a(g705 +g964 +tp1337 +a(g826 +V\u000a +p1338 +tp1339 +a(g770 +Vinclude +p1340 +tp1341 +a(g826 +V +p1342 +tp1343 +a(g89 +Vfastcgi_params +p1344 +tp1345 +a(g705 +g964 +tp1346 +a(g826 +V\u000a +p1347 +tp1348 +a(g705 +g997 +tp1349 +a(g826 +V\u000a\u000a +p1350 +tp1351 +a(g8 +V# deny access to .htaccess files, if Apache's document root\u000a +p1352 +tp1353 +a(g826 +V +p1354 +tp1355 +a(g8 +V# concurs with nginx's one\u000a +p1356 +tp1357 +a(g826 +V +p1358 +tp1359 +a(g8 +V#\u000a +p1360 +tp1361 +a(g826 +V +p1362 +tp1363 +a(g770 +Vlocation +p1364 +tp1365 +a(g826 +g982 +tp1366 +a(g705 +g1254 +tp1367 +a(g826 +g982 +tp1368 +a(g197 +V/\u005c.ht +p1369 +tp1370 +a(g826 +g982 +tp1371 +a(g705 +g984 +tp1372 +a(g826 +V\u000a +p1373 +tp1374 +a(g770 +Vdeny +p1375 +tp1376 +a(g826 +V +p1377 +tp1378 +a(g89 +Vall +p1379 +tp1380 +a(g705 +g964 +tp1381 +a(g826 +V\u000a +p1382 +tp1383 +a(g705 +g997 +tp1384 +a(g826 +V\u000a +p1385 +tp1386 +a(g705 +g997 +tp1387 +a(g826 +V\u000a\u000a\u000a +p1388 +tp1389 +a(g8 +V# another virtual host using mix of IP-, name-, and port-based configuration\u000a +p1390 +tp1391 +a(g826 +V +p1392 +tp1393 +a(g8 +V#\u000a +p1394 +tp1395 +a(g826 +V +p1396 +tp1397 +a(g770 +Vserver +p1398 +tp1399 +a(g826 +g982 +tp1400 +a(g705 +g984 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g770 +Vlisten +p1404 +tp1405 +a(g826 +V +p1406 +tp1407 +a(g37 +V8000 +p1408 +tp1409 +a(g705 +g964 +tp1410 +a(g826 +V\u000a +p1411 +tp1412 +a(g770 +Vlisten +p1413 +tp1414 +a(g826 +V +p1415 +tp1416 +a(g435 +Vsomename +p1417 +tp1418 +a(g705 +g1309 +tp1419 +a(g37 +V8080 +p1420 +tp1421 +a(g705 +g964 +tp1422 +a(g826 +V\u000a +p1423 +tp1424 +a(g770 +Vserver_name +p1425 +tp1426 +a(g826 +V +p1427 +tp1428 +a(g89 +Vsomename +p1429 +tp1430 +a(g826 +V +p1431 +tp1432 +a(g89 +Valias +p1433 +tp1434 +a(g826 +V +p1435 +tp1436 +a(g89 +Vanother.alias +p1437 +tp1438 +a(g705 +g964 +tp1439 +a(g826 +V\u000a\u000a +p1440 +tp1441 +a(g770 +Vlocation +p1442 +tp1443 +a(g826 +g982 +tp1444 +a(g89 +g1155 +tp1445 +a(g826 +g982 +tp1446 +a(g705 +g984 +tp1447 +a(g826 +V\u000a +p1448 +tp1449 +a(g770 +Vroot +p1450 +tp1451 +a(g826 +V +p1452 +tp1453 +a(g89 +Vhtml +p1454 +tp1455 +a(g705 +g964 +tp1456 +a(g826 +V\u000a +p1457 +tp1458 +a(g770 +Vindex +p1459 +tp1460 +a(g826 +V +p1461 +tp1462 +a(g89 +Vindex.html +p1463 +tp1464 +a(g826 +g982 +tp1465 +a(g89 +Vindex.htm +p1466 +tp1467 +a(g705 +g964 +tp1468 +a(g826 +V\u000a +p1469 +tp1470 +a(g705 +g997 +tp1471 +a(g826 +V\u000a +p1472 +tp1473 +a(g705 +g997 +tp1474 +a(g826 +V\u000a\u000a\u000a +p1475 +tp1476 +a(g8 +V# HTTPS server\u000a +p1477 +tp1478 +a(g826 +V +p1479 +tp1480 +a(g8 +V#\u000a +p1481 +tp1482 +a(g826 +V +p1483 +tp1484 +a(g770 +Vserver +p1485 +tp1486 +a(g826 +g982 +tp1487 +a(g705 +g984 +tp1488 +a(g826 +V\u000a +p1489 +tp1490 +a(g770 +Vlisten +p1491 +tp1492 +a(g826 +V +p1493 +tp1494 +a(g37 +V443 +p1495 +tp1496 +a(g705 +g964 +tp1497 +a(g826 +V\u000a +p1498 +tp1499 +a(g770 +Vserver_name +p1500 +tp1501 +a(g826 +V +p1502 +tp1503 +a(g89 +Vlocalhost +p1504 +tp1505 +a(g705 +g964 +tp1506 +a(g826 +V\u000a\u000a +p1507 +tp1508 +a(g770 +Vssl +p1509 +tp1510 +a(g826 +V +p1511 +tp1512 +a(g565 +Von +p1513 +tp1514 +a(g705 +g964 +tp1515 +a(g826 +V\u000a +p1516 +tp1517 +a(g770 +Vssl_certificate +p1518 +tp1519 +a(g826 +V +p1520 +tp1521 +a(g89 +Vcert.pem +p1522 +tp1523 +a(g705 +g964 +tp1524 +a(g826 +V\u000a +p1525 +tp1526 +a(g770 +Vssl_certificate_key +p1527 +tp1528 +a(g826 +V +p1529 +tp1530 +a(g89 +Vcert.key +p1531 +tp1532 +a(g705 +g964 +tp1533 +a(g826 +V\u000a\u000a +p1534 +tp1535 +a(g770 +Vssl_session_timeout +p1536 +tp1537 +a(g826 +V +p1538 +tp1539 +a(g37 +V5m +p1540 +tp1541 +a(g705 +g964 +tp1542 +a(g826 +V\u000a\u000a +p1543 +tp1544 +a(g770 +Vssl_protocols +p1545 +tp1546 +a(g826 +V +p1547 +tp1548 +a(g89 +VSSLv2 +p1549 +tp1550 +a(g826 +g982 +tp1551 +a(g89 +VSSLv3 +p1552 +tp1553 +a(g826 +g982 +tp1554 +a(g89 +VTLSv1 +p1555 +tp1556 +a(g705 +g964 +tp1557 +a(g826 +V\u000a +p1558 +tp1559 +a(g770 +Vssl_ciphers +p1560 +tp1561 +a(g826 +V +p1562 +tp1563 +a(g89 +VALL:!ADH:!EXPORT56:RC4+RSA:+HIGH:+MEDIUM:+LOW:+SSLv2:+EXP +p1564 +tp1565 +a(g705 +g964 +tp1566 +a(g826 +V\u000a +p1567 +tp1568 +a(g770 +Vssl_prefer_server_ciphers +p1569 +tp1570 +a(g826 +V +p1571 +tp1572 +a(g565 +Von +p1573 +tp1574 +a(g705 +g964 +tp1575 +a(g826 +V\u000a\u000a +p1576 +tp1577 +a(g770 +Vlocation +p1578 +tp1579 +a(g826 +g982 +tp1580 +a(g89 +g1155 +tp1581 +a(g826 +g982 +tp1582 +a(g705 +g984 +tp1583 +a(g826 +V\u000a +p1584 +tp1585 +a(g770 +Vroot +p1586 +tp1587 +a(g826 +V +p1588 +tp1589 +a(g89 +Vhtml +p1590 +tp1591 +a(g705 +g964 +tp1592 +a(g826 +V\u000a +p1593 +tp1594 +a(g770 +Vindex +p1595 +tp1596 +a(g826 +V +p1597 +tp1598 +a(g89 +Vindex.html +p1599 +tp1600 +a(g826 +g982 +tp1601 +a(g89 +Vindex.htm +p1602 +tp1603 +a(g705 +g964 +tp1604 +a(g826 +V\u000a +p1605 +tp1606 +a(g705 +g997 +tp1607 +a(g826 +V\u000a +p1608 +tp1609 +a(g705 +g997 +tp1610 +a(g826 +V\u000a\u000a +p1611 +tp1612 +a(g705 +g997 +tp1613 +a(g826 +V\u000a +p1614 +tp1615 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/noexcept.cpp b/tests/examplefiles/output/noexcept.cpp new file mode 100644 index 0000000..46b5a01 --- /dev/null +++ b/tests/examplefiles/output/noexcept.cpp @@ -0,0 +1,2882 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Type' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp531 +tp532 +Rp533 +(dp534 +g10 +g428 +sg34 +g35 +((lp535 +tp536 +Rp537 +sbsS'Classes' +p538 +g1 +(g2 +g3 +(g178 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g428 +sg34 +g35 +((lp543 +tp544 +Rp545 +sbsS'Tag' +p546 +g1 +(g2 +g3 +(g178 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g428 +sg34 +g35 +((lp551 +tp552 +Rp553 +sbsS'Constant' +p554 +g1 +(g2 +g3 +(g178 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g428 +sg34 +g35 +((lp559 +tp560 +Rp561 +sbsS'Function' +p562 +g1 +(g2 +g3 +(g178 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g428 +sg34 +g35 +((lp567 +g1 +(g2 +g3 +(g178 +g562 +g468 +tp568 +tp569 +Rp570 +(dp571 +g10 +g565 +sg34 +g35 +((lp572 +tp573 +Rp574 +sbatp575 +Rp576 +sg468 +g570 +sbsS'Blubb' +p577 +g1 +(g2 +g3 +(g178 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g428 +sg34 +g35 +((lp582 +tp583 +Rp584 +sbsS'Label' +p585 +g1 +(g2 +g3 +(g178 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g428 +sg34 +g35 +((lp590 +tp591 +Rp592 +sbsS'Field' +p593 +g1 +(g2 +g3 +(g178 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g428 +sg34 +g35 +((lp598 +tp599 +Rp600 +sbsS'Exception' +p601 +g1 +(g2 +g3 +(g178 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g428 +sg34 +g35 +((lp606 +tp607 +Rp608 +sbsS'Namespace' +p609 +g1 +(g2 +g3 +(g178 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag580 +ag526 +ag496 +ag433 +ag604 +ag518 +ag549 +ag565 +ag541 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag588 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag557 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg5 +g1 +(g2 +g3 +(g178 +g670 +g5 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag596 +ag533 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg5 +g8 +sS'Control' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsg554 +g1 +(g2 +g3 +(g4 +g554 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg609 +g1 +(g2 +g3 +(g4 +g609 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g900 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag886 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag893 +ag8 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVvoid +p956 +tp957 +a(g405 +V* +p958 +tp959 +a(g830 +V +p960 +tp961 +a(g13 +Voperator +p962 +tp963 +a(g830 +g960 +tp964 +a(g565 +Vnew +p965 +tp966 +a(g830 +g960 +tp967 +a(g697 +V( +p968 +tp969 +a(g428 +Vstd +p970 +tp971 +a(g405 +V: +p972 +tp973 +a(g405 +g972 +tp974 +a(g8 +Vsize_t +p975 +tp976 +a(g830 +g960 +tp977 +a(g428 +Vsize +p978 +tp979 +a(g697 +V) +p980 +tp981 +a(g697 +V; +p982 +tp983 +a(g830 +V\u000a +p984 +tp985 +a(g8 +Vvoid +p986 +tp987 +a(g405 +g958 +tp988 +a(g830 +g960 +tp989 +a(g13 +Voperator +p990 +tp991 +a(g830 +g960 +tp992 +a(g565 +Vnew +p993 +tp994 +a(g830 +g960 +tp995 +a(g697 +g968 +tp996 +a(g428 +Vstd +p997 +tp998 +a(g405 +g972 +tp999 +a(g405 +g972 +tp1000 +a(g8 +Vsize_t +p1001 +tp1002 +a(g830 +g960 +tp1003 +a(g428 +Vsize +p1004 +tp1005 +a(g697 +V, +p1006 +tp1007 +a(g830 +g960 +tp1008 +a(g13 +Vconst +p1009 +tp1010 +a(g830 +g960 +tp1011 +a(g428 +Vstd +p1012 +tp1013 +a(g405 +g972 +tp1014 +a(g405 +g972 +tp1015 +a(g428 +Vnothrow_t +p1016 +tp1017 +a(g405 +V& +p1018 +tp1019 +a(g830 +g960 +tp1020 +a(g428 +Vnothrow_value +p1021 +tp1022 +a(g697 +g980 +tp1023 +a(g830 +g960 +tp1024 +a(g13 +Vnoexcept +p1025 +tp1026 +a(g697 +g982 +tp1027 +a(g830 +V\u000a +p1028 +tp1029 +a(g8 +Vvoid +p1030 +tp1031 +a(g405 +g958 +tp1032 +a(g830 +g960 +tp1033 +a(g13 +Voperator +p1034 +tp1035 +a(g830 +g960 +tp1036 +a(g565 +Vnew +p1037 +tp1038 +a(g830 +g960 +tp1039 +a(g697 +g968 +tp1040 +a(g428 +Vstd +p1041 +tp1042 +a(g405 +g972 +tp1043 +a(g405 +g972 +tp1044 +a(g8 +Vsize_t +p1045 +tp1046 +a(g830 +g960 +tp1047 +a(g428 +Vsize +p1048 +tp1049 +a(g697 +g1006 +tp1050 +a(g830 +g960 +tp1051 +a(g13 +Vconst +p1052 +tp1053 +a(g830 +g960 +tp1054 +a(g428 +Vstd +p1055 +tp1056 +a(g405 +g972 +tp1057 +a(g405 +g972 +tp1058 +a(g428 +Vnothrow_t +p1059 +tp1060 +a(g405 +g1018 +tp1061 +a(g830 +g960 +tp1062 +a(g428 +Vnothrow_value +p1063 +tp1064 +a(g697 +g980 +tp1065 +a(g13 +Vnoexcept +p1066 +tp1067 +a(g697 +g982 +tp1068 +a(g830 +V\u000a +p1069 +tp1070 +a(g8 +Vvoid +p1071 +tp1072 +a(g405 +g958 +tp1073 +a(g830 +g960 +tp1074 +a(g13 +Voperator +p1075 +tp1076 +a(g830 +g960 +tp1077 +a(g565 +Vnew +p1078 +tp1079 +a(g830 +g960 +tp1080 +a(g697 +g968 +tp1081 +a(g428 +Vstd +p1082 +tp1083 +a(g405 +g972 +tp1084 +a(g405 +g972 +tp1085 +a(g8 +Vsize_t +p1086 +tp1087 +a(g830 +g960 +tp1088 +a(g428 +Vsize +p1089 +tp1090 +a(g697 +g1006 +tp1091 +a(g830 +g960 +tp1092 +a(g13 +Vconst +p1093 +tp1094 +a(g830 +g960 +tp1095 +a(g428 +Vstd +p1096 +tp1097 +a(g405 +g972 +tp1098 +a(g405 +g972 +tp1099 +a(g428 +Vnothrow_t +p1100 +tp1101 +a(g405 +g1018 +tp1102 +a(g830 +g960 +tp1103 +a(g428 +Vnothrow_value +p1104 +tp1105 +a(g697 +g980 +tp1106 +a(g697 +g982 +tp1107 +a(g830 +V\u000a +p1108 +tp1109 +a(g8 +Vvoid +p1110 +tp1111 +a(g405 +g958 +tp1112 +a(g830 +g960 +tp1113 +a(g13 +Voperator +p1114 +tp1115 +a(g830 +g960 +tp1116 +a(g565 +Vnew +p1117 +tp1118 +a(g830 +g960 +tp1119 +a(g697 +g968 +tp1120 +a(g428 +Vstd +p1121 +tp1122 +a(g405 +g972 +tp1123 +a(g405 +g972 +tp1124 +a(g8 +Vsize_t +p1125 +tp1126 +a(g830 +g960 +tp1127 +a(g428 +Vsize +p1128 +tp1129 +a(g697 +g980 +tp1130 +a(g697 +g982 +tp1131 +a(g830 +V\u000a +p1132 +tp1133 +a(g8 +Vvoid +p1134 +tp1135 +a(g405 +g958 +tp1136 +a(g830 +g960 +tp1137 +a(g13 +Voperator +p1138 +tp1139 +a(g830 +g960 +tp1140 +a(g565 +Vnew +p1141 +tp1142 +a(g830 +g960 +tp1143 +a(g697 +g968 +tp1144 +a(g428 +Vstd +p1145 +tp1146 +a(g405 +g972 +tp1147 +a(g405 +g972 +tp1148 +a(g8 +Vsize_t +p1149 +tp1150 +a(g830 +g960 +tp1151 +a(g428 +Vsize +p1152 +tp1153 +a(g697 +g980 +tp1154 +a(g830 +g960 +tp1155 +a(g13 +Vnoexcept +p1156 +tp1157 +a(g697 +g982 +tp1158 +a(g830 +V\u000a +p1159 +tp1160 +a(g8 +Vvoid +p1161 +tp1162 +a(g405 +g958 +tp1163 +a(g830 +g960 +tp1164 +a(g13 +Voperator +p1165 +tp1166 +a(g830 +g960 +tp1167 +a(g565 +Vnew +p1168 +tp1169 +a(g830 +g960 +tp1170 +a(g697 +g968 +tp1171 +a(g428 +Vstd +p1172 +tp1173 +a(g405 +g972 +tp1174 +a(g405 +g972 +tp1175 +a(g8 +Vsize_t +p1176 +tp1177 +a(g830 +g960 +tp1178 +a(g428 +Vsize +p1179 +tp1180 +a(g697 +g980 +tp1181 +a(g13 +Vnoexcept +p1182 +tp1183 +a(g697 +g982 +tp1184 +a(g830 +V\u000a +p1185 +tp1186 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/numbers.c b/tests/examplefiles/output/numbers.c new file mode 100644 index 0000000..3600ed8 --- /dev/null +++ b/tests/examplefiles/output/numbers.c @@ -0,0 +1,2598 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/*\u000a * Some Number Test\u000a */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g827 +V\u000a +p960 +tp961 +a(g749 +Vint +p962 +tp963 +a(g827 +V +p964 +tp965 +a(g436 +Vi +p966 +tp967 +a(g827 +g964 +tp968 +a(g413 +V= +p969 +tp970 +a(g827 +g964 +tp971 +a(g37 +V24241424 +p972 +tp973 +a(g706 +V; +p974 +tp975 +a(g827 +V\u000a +p976 +tp977 +a(g749 +Vfloat +p978 +tp979 +a(g827 +g964 +tp980 +a(g436 +Vf1 +p981 +tp982 +a(g827 +g964 +tp983 +a(g413 +g969 +tp984 +a(g827 +g964 +tp985 +a(g315 +V342423423.24234 +p986 +tp987 +a(g706 +g974 +tp988 +a(g827 +V\u000a +p989 +tp990 +a(g749 +Vfloat +p991 +tp992 +a(g827 +g964 +tp993 +a(g436 +Vf2 +p994 +tp995 +a(g827 +g964 +tp996 +a(g413 +g969 +tp997 +a(g827 +g964 +tp998 +a(g315 +V25235235. +p999 +tp1000 +a(g706 +g974 +tp1001 +a(g827 +V\u000a +p1002 +tp1003 +a(g749 +Vfloat +p1004 +tp1005 +a(g827 +g964 +tp1006 +a(g436 +Vf3 +p1007 +tp1008 +a(g827 +g964 +tp1009 +a(g413 +g969 +tp1010 +a(g827 +g964 +tp1011 +a(g315 +V.234234 +p1012 +tp1013 +a(g706 +g974 +tp1014 +a(g827 +V\u000a +p1015 +tp1016 +a(g749 +Vfloat +p1017 +tp1018 +a(g827 +g964 +tp1019 +a(g436 +Vf4 +p1020 +tp1021 +a(g827 +g964 +tp1022 +a(g413 +g969 +tp1023 +a(g827 +g964 +tp1024 +a(g315 +V234243e+34343 +p1025 +tp1026 +a(g706 +g974 +tp1027 +a(g827 +V\u000a +p1028 +tp1029 +a(g749 +Vfloat +p1030 +tp1031 +a(g827 +g964 +tp1032 +a(g436 +Vf5 +p1033 +tp1034 +a(g827 +g964 +tp1035 +a(g413 +g969 +tp1036 +a(g827 +g964 +tp1037 +a(g315 +V24234e-234 +p1038 +tp1039 +a(g706 +g974 +tp1040 +a(g827 +V\u000a +p1041 +tp1042 +a(g749 +Vint +p1043 +tp1044 +a(g827 +g964 +tp1045 +a(g436 +Vo +p1046 +tp1047 +a(g827 +g964 +tp1048 +a(g413 +g969 +tp1049 +a(g827 +g964 +tp1050 +a(g282 +V0234 +p1051 +tp1052 +a(g706 +g974 +tp1053 +a(g827 +V\u000a +p1054 +tp1055 +a(g749 +Vint +p1056 +tp1057 +a(g827 +g964 +tp1058 +a(g436 +Vh +p1059 +tp1060 +a(g827 +g964 +tp1061 +a(g413 +g969 +tp1062 +a(g827 +g964 +tp1063 +a(g298 +V0x2342 +p1064 +tp1065 +a(g706 +g974 +tp1066 +a(g827 +V\u000a +p1067 +tp1068 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/objc_example.m b/tests/examplefiles/output/objc_example.m new file mode 100644 index 0000000..d2decc6 --- /dev/null +++ b/tests/examplefiles/output/objc_example.m @@ -0,0 +1,6051 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// Test various types of includes\u000a +p956 +tp957 +a(g898 +V# +p958 +tp959 +a(g898 +Vimport +p964 +tp965 +a(g898 +V\u000a +p966 +tp967 +a(g898 +g958 +tp968 +a(g898 +V import +p972 +tp973 +a(g898 +V\u000a +p974 +tp975 +a(g898 +g958 +tp976 +a(g898 +Vimport "stdio.h" +p977 +tp978 +a(g898 +V\u000a +p979 +tp980 +a(g898 +g958 +tp981 +a(g898 +V\u005c +p982 +tp983 +a(g898 +V\u000a +p984 +tp985 +a(g898 +V import \u005c +p986 +tp987 +a(g898 +V\u000a +p988 +tp989 +a(g898 +V "stdlib.h" +p990 +tp991 +a(g898 +V\u000a +p992 +tp993 +a(g898 +g958 +tp994 +a(g898 +V +p995 +tp996 +a(g929 +V/*line1*/ +p997 +tp998 +a(g898 +V \u005c +p999 +tp1000 +a(g898 +V\u000a +p1001 +tp1002 +a(g898 +Vimport +p1003 +tp1004 +a(g929 +V/* line 2 */ +p1005 +tp1006 +a(g898 +V \u005c +p1007 +tp1008 +a(g898 +V\u000a +p1009 +tp1010 +a(g898 +V"stdlib.h" +p1011 +tp1012 +a(g8 +V// line 3\u000a +p1013 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g8 +V// Commented out code with preprocessor\u000a +p1017 +tp1018 +a(g898 +V#if 0 +p1019 +tp1020 +a(g13 +V\u000a +p1021 +tp1022 +a(g13 +V#define MY_NUMBER 3\u000a +p1023 +tp1024 +a(g898 +V#endif\u000a +p1025 +tp1026 +a(g826 +V\u000a +p1027 +tp1028 +a(g826 +g995 +tp1029 +a(g898 +g958 +tp1030 +a(g898 +V\u005c +p1031 +tp1032 +a(g898 +V\u000a +p1033 +tp1034 +a(g898 +V if 1 +p1035 +tp1036 +a(g898 +V\u000a +p1037 +tp1038 +a(g898 +g958 +tp1039 +a(g898 +Vdefine TEST_NUMBER 3 +p1040 +tp1041 +a(g898 +V\u000a +p1042 +tp1043 +a(g898 +g958 +tp1044 +a(g898 +Vendif +p1045 +tp1046 +a(g898 +V\u000a +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g8 +V// Empty preprocessor\u000a +p1051 +tp1052 +a(g898 +g958 +tp1053 +a(g898 +V\u000a +p1054 +tp1055 +a(g826 +V\u000a +p1056 +tp1057 +a(g8 +V// Class forward declaration\u000a +p1058 +tp1059 +a(g744 +V@class +p1060 +tp1061 +a(g826 +g995 +tp1062 +a(g693 +VMyClass +p1063 +tp1064 +a(g826 +V; +p1065 +tp1066 +a(g826 +V\u000a +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g8 +V// Empty classes\u000a +p1071 +tp1072 +a(g744 +V@interface +p1073 +tp1074 +a(g826 +g995 +tp1075 +a(g628 +VEmptyClass +p1076 +tp1077 +a(g826 +V\u000a +p1078 +tp1079 +a(g744 +V@end +p1080 +tp1081 +a(g826 +V\u000a +p1082 +tp1083 +a(g744 +V@interface +p1084 +tp1085 +a(g826 +g995 +tp1086 +a(g628 +VEmptyClass2 +p1087 +tp1088 +a(g826 +V\u000a +p1089 +tp1090 +a(g705 +V{ +p1091 +tp1092 +a(g826 +V\u000a +p1093 +tp1094 +a(g705 +V} +p1095 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g744 +V@end +p1099 +tp1100 +a(g826 +V\u000a +p1101 +tp1102 +a(g744 +V@interface +p1103 +tp1104 +a(g826 +g995 +tp1105 +a(g628 +VEmptyClass3 +p1106 +tp1107 +a(g826 +V : +p1108 +tp1109 +a(g628 +VEmptyClass2 +p1110 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g705 +g1091 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g705 +g1095 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g744 +V@end +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g826 +V\u000a +p1124 +tp1125 +a(g8 +V// Custom class inheriting from built-in\u000a +p1126 +tp1127 +a(g744 +V@interface +p1128 +tp1129 +a(g826 +g995 +tp1130 +a(g693 +VMyClass +p1131 +tp1132 +a(g826 +V : +p1133 +tp1134 +a(g693 +VNSObject +p1135 +tp1136 +a(g826 +V\u000a +p1137 +tp1138 +a(g705 +g1091 +tp1139 +a(g826 +V\u000a +p1140 +tp1141 +a(g744 +V@public +p1142 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g826 +V +p1146 +tp1147 +a(g693 +VNSString +p1148 +tp1149 +a(g826 +g995 +tp1150 +a(g412 +V* +p1151 +tp1152 +a(g435 +VmyString +p1153 +tp1154 +a(g705 +g1065 +tp1155 +a(g826 +V\u000a +p1156 +tp1157 +a(g826 +V +p1158 +tp1159 +a(g744 +V__weak +p1160 +tp1161 +a(g826 +g995 +tp1162 +a(g693 +VNSString +p1163 +tp1164 +a(g826 +g995 +tp1165 +a(g412 +g1151 +tp1166 +a(g435 +V_weakString +p1167 +tp1168 +a(g705 +g1065 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g744 +V@protected +p1172 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g826 +V +p1176 +tp1177 +a(g435 +VNSTextField +p1178 +tp1179 +a(g826 +g995 +tp1180 +a(g412 +g1151 +tp1181 +a(g435 +V_textField +p1182 +tp1183 +a(g705 +g1065 +tp1184 +a(g826 +V\u000a +p1185 +tp1186 +a(g744 +V@private +p1187 +tp1188 +a(g826 +V\u000a +p1189 +tp1190 +a(g826 +V +p1191 +tp1192 +a(g693 +VNSDate +p1193 +tp1194 +a(g826 +g995 +tp1195 +a(g412 +g1151 +tp1196 +a(g435 +VprivateDate +p1197 +tp1198 +a(g705 +g1065 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g705 +g1095 +tp1202 +a(g826 +V\u000a +p1203 +tp1204 +a(g826 +V\u000a +p1205 +tp1206 +a(g8 +V// Various property aatributes\u000a +p1207 +tp1208 +a(g744 +V@property +p1209 +tp1210 +a(g705 +V( +p1211 +tp1212 +a(g744 +Vcopy +p1213 +tp1214 +a(g705 +V, +p1215 +tp1216 +a(g826 +g995 +tp1217 +a(g744 +Vreadwrite +p1218 +tp1219 +a(g705 +g1215 +tp1220 +a(g826 +g995 +tp1221 +a(g744 +Vnonatomic +p1222 +tp1223 +a(g705 +V) +p1224 +tp1225 +a(g826 +g995 +tp1226 +a(g693 +VNSString +p1227 +tp1228 +a(g826 +g995 +tp1229 +a(g412 +g1151 +tp1230 +a(g435 +VmyString +p1231 +tp1232 +a(g705 +g1065 +tp1233 +a(g826 +V\u000a +p1234 +tp1235 +a(g744 +V@property +p1236 +tp1237 +a(g705 +g1211 +tp1238 +a(g744 +Vweak +p1239 +tp1240 +a(g705 +g1224 +tp1241 +a(g826 +g995 +tp1242 +a(g693 +VNSString +p1243 +tp1244 +a(g826 +g995 +tp1245 +a(g412 +g1151 +tp1246 +a(g435 +VweakString +p1247 +tp1248 +a(g705 +g1065 +tp1249 +a(g826 +V\u000a +p1250 +tp1251 +a(g744 +V@property +p1252 +tp1253 +a(g705 +g1211 +tp1254 +a(g744 +Vretain +p1255 +tp1256 +a(g705 +g1215 +tp1257 +a(g826 +g995 +tp1258 +a(g744 +Vstrong +p1259 +tp1260 +a(g705 +g1215 +tp1261 +a(g826 +g995 +tp1262 +a(g744 +Vatomic +p1263 +tp1264 +a(g705 +g1224 +tp1265 +a(g826 +g995 +tp1266 +a(g748 +VIBOutlet +p1267 +tp1268 +a(g826 +g995 +tp1269 +a(g435 +VNSTextField +p1270 +tp1271 +a(g826 +g995 +tp1272 +a(g412 +g1151 +tp1273 +a(g435 +VtextField +p1274 +tp1275 +a(g705 +g1065 +tp1276 +a(g826 +V\u000a +p1277 +tp1278 +a(g826 +V\u000a +p1279 +tp1280 +a(g8 +V// Class methods\u000a +p1281 +tp1282 +a(g705 +V+ +p1283 +tp1284 +a(g826 +g995 +tp1285 +a(g705 +g1211 +tp1286 +a(g748 +Vvoid +p1287 +tp1288 +a(g705 +g1224 +tp1289 +a(g573 +VclassMethod1: +p1290 +tp1291 +a(g705 +g1211 +tp1292 +a(g693 +VNSString +p1293 +tp1294 +a(g826 +g995 +tp1295 +a(g412 +g1151 +tp1296 +a(g705 +g1224 +tp1297 +a(g440 +Varg +p1298 +tp1299 +a(g705 +g1065 +tp1300 +a(g826 +V\u000a +p1301 +tp1302 +a(g705 +g1283 +tp1303 +a(g826 +g995 +tp1304 +a(g705 +g1211 +tp1305 +a(g748 +Vvoid +p1306 +tp1307 +a(g705 +g1224 +tp1308 +a(g573 +VclassMethod2: +p1309 +tp1310 +a(g705 +g1211 +tp1311 +a(g693 +VNSString +p1312 +tp1313 +a(g826 +g995 +tp1314 +a(g412 +g1151 +tp1315 +a(g705 +g1224 +tp1316 +a(g826 +g995 +tp1317 +a(g440 +Varg +p1318 +tp1319 +a(g705 +g1065 +tp1320 +a(g826 +g995 +tp1321 +a(g8 +V// Test space before arg\u000a +p1322 +tp1323 +a(g826 +V\u000a +p1324 +tp1325 +a(g744 +V@end +p1326 +tp1327 +a(g826 +V\u000a +p1328 +tp1329 +a(g826 +V\u000a +p1330 +tp1331 +a(g744 +Vtypedef +p1332 +tp1333 +a(g826 +g995 +tp1334 +a(g748 +Vid +p1335 +tp1336 +a(g826 +g995 +tp1337 +a(g435 +VB +p1338 +tp1339 +a(g705 +g1065 +tp1340 +a(g826 +V\u000a +p1341 +tp1342 +a(g826 +V\u000a +p1343 +tp1344 +a(g898 +g958 +tp1345 +a(g898 +Vpragma mark MyMarker +p1346 +tp1347 +a(g898 +V\u000a +p1348 +tp1349 +a(g826 +V\u000a +p1350 +tp1351 +a(g8 +V// MyClass.m\u000a +p1352 +tp1353 +a(g8 +V// Class extension to declare private property\u000a +p1354 +tp1355 +a(g744 +V@interface +p1356 +tp1357 +a(g826 +g995 +tp1358 +a(g693 +VMyClass +p1359 +tp1360 +a(g826 +g995 +tp1361 +a(g705 +g1211 +tp1362 +a(g705 +g1224 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g744 +V@property +p1366 +tp1367 +a(g705 +g1211 +tp1368 +a(g744 +Vretain +p1369 +tp1370 +a(g705 +g1224 +tp1371 +a(g826 +g995 +tp1372 +a(g693 +VNSDate +p1373 +tp1374 +a(g826 +g995 +tp1375 +a(g412 +g1151 +tp1376 +a(g435 +VprivateDate +p1377 +tp1378 +a(g705 +g1065 +tp1379 +a(g826 +V\u000a +p1380 +tp1381 +a(g705 +V- +p1382 +tp1383 +a(g826 +g995 +tp1384 +a(g705 +g1211 +tp1385 +a(g748 +Vvoid +p1386 +tp1387 +a(g705 +g1224 +tp1388 +a(g573 +VhiddenMethod +p1389 +tp1390 +a(g705 +g1065 +tp1391 +a(g826 +V\u000a +p1392 +tp1393 +a(g744 +V@end +p1394 +tp1395 +a(g826 +V\u000a +p1396 +tp1397 +a(g826 +V\u000a +p1398 +tp1399 +a(g8 +V// Special category\u000a +p1400 +tp1401 +a(g744 +V@interface +p1402 +tp1403 +a(g826 +g995 +tp1404 +a(g693 +VMyClass +p1405 +tp1406 +a(g826 +g995 +tp1407 +a(g596 +V(Special) +p1408 +tp1409 +a(g826 +V\u000a +p1410 +tp1411 +a(g744 +V@property +p1412 +tp1413 +a(g705 +g1211 +tp1414 +a(g744 +Vretain +p1415 +tp1416 +a(g705 +g1224 +tp1417 +a(g826 +g995 +tp1418 +a(g693 +VNSDate +p1419 +tp1420 +a(g826 +g995 +tp1421 +a(g412 +g1151 +tp1422 +a(g435 +VspecialDate +p1423 +tp1424 +a(g705 +g1065 +tp1425 +a(g826 +V\u000a +p1426 +tp1427 +a(g744 +V@end +p1428 +tp1429 +a(g826 +V\u000a +p1430 +tp1431 +a(g826 +V\u000a +p1432 +tp1433 +a(g744 +V@implementation +p1434 +tp1435 +a(g826 +g995 +tp1436 +a(g693 +VMyClass +p1437 +tp1438 +a(g826 +V\u000a +p1439 +tp1440 +a(g744 +V@synthesize +p1441 +tp1442 +a(g826 +g995 +tp1443 +a(g435 +VmyString +p1444 +tp1445 +a(g705 +g1065 +tp1446 +a(g826 +V\u000a +p1447 +tp1448 +a(g744 +V@synthesize +p1449 +tp1450 +a(g826 +g995 +tp1451 +a(g435 +VprivateDate +p1452 +tp1453 +a(g705 +g1065 +tp1454 +a(g826 +V\u000a +p1455 +tp1456 +a(g826 +V\u000a +p1457 +tp1458 +a(g705 +g1382 +tp1459 +a(g826 +g995 +tp1460 +a(g705 +g1211 +tp1461 +a(g748 +Vid +p1462 +tp1463 +a(g705 +g1224 +tp1464 +a(g573 +Va: +p1465 +tp1466 +a(g705 +g1211 +tp1467 +a(g435 +g1338 +tp1468 +a(g705 +g1224 +tp1469 +a(g440 +Vb +p1470 +tp1471 +a(g826 +g995 +tp1472 +a(g705 +g1091 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g826 +V +p1476 +tp1477 +a(g929 +V/**\u000a * C-style comment\u000a */ +p1478 +tp1479 +a(g826 +V\u000a +p1480 +tp1481 +a(g826 +V\u000a +p1482 +tp1483 +a(g826 +V +p1484 +tp1485 +a(g8 +V// Selector keywords/types\u000a +p1486 +tp1487 +a(g826 +V +p1488 +tp1489 +a(g748 +VSEL +p1490 +tp1491 +a(g826 +g995 +tp1492 +a(g435 +VsomeMethod +p1493 +tp1494 +a(g826 +g995 +tp1495 +a(g412 +V= +p1496 +tp1497 +a(g826 +g995 +tp1498 +a(g744 +V@selector +p1499 +tp1500 +a(g705 +g1211 +tp1501 +a(g435 +VhiddenMethod +p1502 +tp1503 +a(g705 +g1224 +tp1504 +a(g705 +g1065 +tp1505 +a(g826 +V\u000a +p1506 +tp1507 +a(g826 +V\u000a +p1508 +tp1509 +a(g826 +V +p1510 +tp1511 +a(g8 +V// Boolean types\u000a +p1512 +tp1513 +a(g826 +V +p1514 +tp1515 +a(g748 +VBoolean +p1516 +tp1517 +a(g826 +g995 +tp1518 +a(g435 +Vb1 +p1519 +tp1520 +a(g826 +g995 +tp1521 +a(g412 +g1496 +tp1522 +a(g826 +g995 +tp1523 +a(g681 +VFALSE +p1524 +tp1525 +a(g705 +g1065 +tp1526 +a(g826 +V\u000a +p1527 +tp1528 +a(g826 +V +p1529 +tp1530 +a(g748 +VBOOL +p1531 +tp1532 +a(g826 +g995 +tp1533 +a(g435 +Vb2 +p1534 +tp1535 +a(g826 +g995 +tp1536 +a(g412 +g1496 +tp1537 +a(g826 +g995 +tp1538 +a(g681 +VNO +p1539 +tp1540 +a(g705 +g1065 +tp1541 +a(g826 +V\u000a +p1542 +tp1543 +a(g826 +V +p1544 +tp1545 +a(g748 +Vbool +p1546 +tp1547 +a(g826 +g995 +tp1548 +a(g435 +Vb3 +p1549 +tp1550 +a(g826 +g995 +tp1551 +a(g412 +g1496 +tp1552 +a(g826 +g995 +tp1553 +a(g681 +Vtrue +p1554 +tp1555 +a(g705 +g1065 +tp1556 +a(g826 +V\u000a +p1557 +tp1558 +a(g826 +V\u000a +p1559 +tp1560 +a(g826 +V +p1561 +tp1562 +a(g929 +V/**\u000a * Number literals\u000a */ +p1563 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +V +p1567 +tp1568 +a(g8 +V// Int Literal\u000a +p1569 +tp1570 +a(g826 +V +p1571 +tp1572 +a(g693 +VNSNumber +p1573 +tp1574 +a(g826 +g995 +tp1575 +a(g412 +g1151 +tp1576 +a(g435 +Vn1 +p1577 +tp1578 +a(g826 +g995 +tp1579 +a(g412 +g1496 +tp1580 +a(g826 +g995 +tp1581 +a(g52 +V@( +p1582 +tp1583 +a(g826 +g995 +tp1584 +a(g37 +V1 +p1585 +tp1586 +a(g826 +g995 +tp1587 +a(g52 +g1224 +tp1588 +a(g705 +g1065 +tp1589 +a(g826 +V\u000a +p1590 +tp1591 +a(g826 +V +p1592 +tp1593 +a(g8 +V// Method call\u000a +p1594 +tp1595 +a(g826 +V +p1596 +tp1597 +a(g693 +VNSNumber +p1598 +tp1599 +a(g826 +g995 +tp1600 +a(g412 +g1151 +tp1601 +a(g435 +Vn2 +p1602 +tp1603 +a(g826 +g995 +tp1604 +a(g412 +g1496 +tp1605 +a(g826 +g995 +tp1606 +a(g52 +V@( +p1607 +tp1608 +a(g826 +g995 +tp1609 +a(g705 +V[ +p1610 +tp1611 +a(g435 +g1470 +tp1612 +a(g826 +g995 +tp1613 +a(g435 +Vlength +p1614 +tp1615 +a(g705 +V] +p1616 +tp1617 +a(g826 +g995 +tp1618 +a(g52 +g1224 +tp1619 +a(g705 +g1065 +tp1620 +a(g826 +V\u000a +p1621 +tp1622 +a(g826 +V +p1623 +tp1624 +a(g8 +V// Define variable\u000a +p1625 +tp1626 +a(g826 +V +p1627 +tp1628 +a(g693 +VNSNumber +p1629 +tp1630 +a(g826 +g995 +tp1631 +a(g412 +g1151 +tp1632 +a(g435 +Vn3 +p1633 +tp1634 +a(g826 +g995 +tp1635 +a(g412 +g1496 +tp1636 +a(g826 +g995 +tp1637 +a(g52 +V@( +p1638 +tp1639 +a(g826 +g995 +tp1640 +a(g435 +VTEST_NUMBER +p1641 +tp1642 +a(g826 +g995 +tp1643 +a(g52 +g1224 +tp1644 +a(g705 +g1065 +tp1645 +a(g826 +V\u000a +p1646 +tp1647 +a(g826 +V +p1648 +tp1649 +a(g8 +V// Arthimetic expression\u000a +p1650 +tp1651 +a(g826 +V +p1652 +tp1653 +a(g693 +VNSNumber +p1654 +tp1655 +a(g826 +g995 +tp1656 +a(g412 +g1151 +tp1657 +a(g435 +Vn4 +p1658 +tp1659 +a(g826 +g995 +tp1660 +a(g412 +g1496 +tp1661 +a(g826 +g995 +tp1662 +a(g52 +V@( +p1663 +tp1664 +a(g37 +g1585 +tp1665 +a(g826 +g995 +tp1666 +a(g412 +g1283 +tp1667 +a(g826 +g995 +tp1668 +a(g37 +V2 +p1669 +tp1670 +a(g52 +g1224 +tp1671 +a(g705 +g1065 +tp1672 +a(g826 +V\u000a +p1673 +tp1674 +a(g826 +V +p1675 +tp1676 +a(g8 +V// From variable\u000a +p1677 +tp1678 +a(g826 +V +p1679 +tp1680 +a(g748 +Vint +p1681 +tp1682 +a(g826 +g995 +tp1683 +a(g435 +VmyInt +p1684 +tp1685 +a(g826 +g995 +tp1686 +a(g412 +g1496 +tp1687 +a(g826 +g995 +tp1688 +a(g37 +V5 +p1689 +tp1690 +a(g705 +g1065 +tp1691 +a(g826 +V\u000a +p1692 +tp1693 +a(g826 +V +p1694 +tp1695 +a(g693 +VNSNumber +p1696 +tp1697 +a(g826 +g995 +tp1698 +a(g412 +g1151 +tp1699 +a(g435 +Vn5 +p1700 +tp1701 +a(g826 +g995 +tp1702 +a(g412 +g1496 +tp1703 +a(g826 +g995 +tp1704 +a(g52 +V@( +p1705 +tp1706 +a(g435 +VmyInt +p1707 +tp1708 +a(g52 +g1224 +tp1709 +a(g705 +g1065 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g826 +V +p1713 +tp1714 +a(g8 +V// Nest expression\u000a +p1715 +tp1716 +a(g826 +V +p1717 +tp1718 +a(g693 +VNSNumber +p1719 +tp1720 +a(g826 +g995 +tp1721 +a(g412 +g1151 +tp1722 +a(g435 +Vn6 +p1723 +tp1724 +a(g826 +g995 +tp1725 +a(g412 +g1496 +tp1726 +a(g826 +g995 +tp1727 +a(g52 +V@( +p1728 +tp1729 +a(g37 +g1585 +tp1730 +a(g826 +g995 +tp1731 +a(g412 +g1283 +tp1732 +a(g826 +g995 +tp1733 +a(g705 +g1211 +tp1734 +a(g37 +g1669 +tp1735 +a(g826 +g995 +tp1736 +a(g412 +g1283 +tp1737 +a(g826 +g995 +tp1738 +a(g314 +V6.0 +p1739 +tp1740 +a(g705 +g1224 +tp1741 +a(g52 +g1224 +tp1742 +a(g705 +g1065 +tp1743 +a(g826 +V\u000a +p1744 +tp1745 +a(g826 +V +p1746 +tp1747 +a(g8 +V// Bool literal\u000a +p1748 +tp1749 +a(g826 +V +p1750 +tp1751 +a(g693 +VNSNumber +p1752 +tp1753 +a(g826 +g995 +tp1754 +a(g412 +g1151 +tp1755 +a(g435 +Vn7 +p1756 +tp1757 +a(g826 +g995 +tp1758 +a(g412 +g1496 +tp1759 +a(g826 +g995 +tp1760 +a(g32 +V@NO +p1761 +tp1762 +a(g705 +g1065 +tp1763 +a(g826 +V\u000a +p1764 +tp1765 +a(g826 +V +p1766 +tp1767 +a(g8 +V// Bool expression\u000a +p1768 +tp1769 +a(g826 +V +p1770 +tp1771 +a(g693 +VNSNumber +p1772 +tp1773 +a(g826 +g995 +tp1774 +a(g412 +g1151 +tp1775 +a(g435 +Vn8 +p1776 +tp1777 +a(g826 +g995 +tp1778 +a(g412 +g1496 +tp1779 +a(g826 +g995 +tp1780 +a(g52 +V@( +p1781 +tp1782 +a(g681 +VYES +p1783 +tp1784 +a(g52 +g1224 +tp1785 +a(g705 +g1065 +tp1786 +a(g826 +V\u000a +p1787 +tp1788 +a(g826 +V +p1789 +tp1790 +a(g8 +V// Character\u000a +p1791 +tp1792 +a(g826 +V +p1793 +tp1794 +a(g693 +VNSNumber +p1795 +tp1796 +a(g826 +g995 +tp1797 +a(g412 +g1151 +tp1798 +a(g435 +Vn9 +p1799 +tp1800 +a(g826 +g995 +tp1801 +a(g412 +g1496 +tp1802 +a(g826 +g995 +tp1803 +a(g93 +V@'a' +p1804 +tp1805 +a(g705 +g1065 +tp1806 +a(g826 +V\u000a +p1807 +tp1808 +a(g826 +V +p1809 +tp1810 +a(g8 +V// int\u000a +p1811 +tp1812 +a(g826 +V +p1813 +tp1814 +a(g693 +VNSNumber +p1815 +tp1816 +a(g826 +g995 +tp1817 +a(g412 +g1151 +tp1818 +a(g435 +Vn10 +p1819 +tp1820 +a(g826 +g995 +tp1821 +a(g412 +g1496 +tp1822 +a(g826 +g995 +tp1823 +a(g37 +V@123 +p1824 +tp1825 +a(g705 +g1065 +tp1826 +a(g826 +V\u000a +p1827 +tp1828 +a(g826 +V +p1829 +tp1830 +a(g8 +V// unsigned\u000a +p1831 +tp1832 +a(g826 +V +p1833 +tp1834 +a(g693 +VNSNumber +p1835 +tp1836 +a(g826 +g995 +tp1837 +a(g412 +g1151 +tp1838 +a(g435 +Vn11 +p1839 +tp1840 +a(g826 +g995 +tp1841 +a(g412 +g1496 +tp1842 +a(g826 +g995 +tp1843 +a(g37 +V@1234 +p1844 +tp1845 +a(g435 +VU +p1846 +tp1847 +a(g705 +g1065 +tp1848 +a(g826 +V\u000a +p1849 +tp1850 +a(g826 +V +p1851 +tp1852 +a(g8 +V// long\u000a +p1853 +tp1854 +a(g826 +V +p1855 +tp1856 +a(g693 +VNSNumber +p1857 +tp1858 +a(g826 +g995 +tp1859 +a(g412 +g1151 +tp1860 +a(g435 +Vn12 +p1861 +tp1862 +a(g826 +g995 +tp1863 +a(g412 +g1496 +tp1864 +a(g826 +g995 +tp1865 +a(g37 +V@1234567890L +p1866 +tp1867 +a(g705 +g1065 +tp1868 +a(g826 +V\u000a +p1869 +tp1870 +a(g826 +V +p1871 +tp1872 +a(g8 +V// float\u000a +p1873 +tp1874 +a(g826 +V +p1875 +tp1876 +a(g693 +VNSNumber +p1877 +tp1878 +a(g826 +g995 +tp1879 +a(g412 +g1151 +tp1880 +a(g435 +Vn13 +p1881 +tp1882 +a(g826 +g995 +tp1883 +a(g412 +g1496 +tp1884 +a(g826 +g995 +tp1885 +a(g314 +V@3.14F +p1886 +tp1887 +a(g705 +g1065 +tp1888 +a(g826 +V\u000a +p1889 +tp1890 +a(g826 +V +p1891 +tp1892 +a(g8 +V// double\u000a +p1893 +tp1894 +a(g826 +V +p1895 +tp1896 +a(g693 +VNSNumber +p1897 +tp1898 +a(g826 +g995 +tp1899 +a(g412 +g1151 +tp1900 +a(g435 +Vn14 +p1901 +tp1902 +a(g826 +g995 +tp1903 +a(g412 +g1496 +tp1904 +a(g826 +g995 +tp1905 +a(g314 +V@3.14F +p1906 +tp1907 +a(g705 +g1065 +tp1908 +a(g826 +V\u000a +p1909 +tp1910 +a(g826 +V \u000a +p1911 +tp1912 +a(g8 +V// Array literals\u000a +p1913 +tp1914 +a(g826 +V +p1915 +tp1916 +a(g693 +VNSArray +p1917 +tp1918 +a(g826 +g995 +tp1919 +a(g412 +g1151 +tp1920 +a(g435 +Varr +p1921 +tp1922 +a(g826 +g995 +tp1923 +a(g412 +g1496 +tp1924 +a(g826 +g995 +tp1925 +a(g52 +V@[ +p1926 +tp1927 +a(g826 +g995 +tp1928 +a(g89 +V@" +p1929 +tp1930 +a(g89 +g1585 +tp1931 +a(g89 +V" +p1932 +tp1933 +a(g705 +g1215 +tp1934 +a(g826 +g995 +tp1935 +a(g89 +V@" +p1936 +tp1937 +a(g89 +g1669 +tp1938 +a(g89 +g1932 +tp1939 +a(g826 +g995 +tp1940 +a(g52 +g1616 +tp1941 +a(g705 +g1065 +tp1942 +a(g826 +V\u000a +p1943 +tp1944 +a(g826 +V +p1945 +tp1946 +a(g435 +Varr +p1947 +tp1948 +a(g826 +g995 +tp1949 +a(g412 +g1496 +tp1950 +a(g826 +g995 +tp1951 +a(g52 +V@[ +p1952 +tp1953 +a(g826 +g995 +tp1954 +a(g52 +V@[ +p1955 +tp1956 +a(g826 +g995 +tp1957 +a(g89 +V@" +p1958 +tp1959 +a(g89 +g1585 +tp1960 +a(g89 +g1932 +tp1961 +a(g705 +g1215 +tp1962 +a(g826 +g995 +tp1963 +a(g89 +V@" +p1964 +tp1965 +a(g89 +g1669 +tp1966 +a(g89 +g1932 +tp1967 +a(g826 +g995 +tp1968 +a(g52 +g1616 +tp1969 +a(g705 +g1215 +tp1970 +a(g826 +g995 +tp1971 +a(g705 +g1610 +tp1972 +a(g435 +Varr +p1973 +tp1974 +a(g826 +g995 +tp1975 +a(g435 +VlastObject +p1976 +tp1977 +a(g705 +g1616 +tp1978 +a(g826 +g995 +tp1979 +a(g52 +g1616 +tp1980 +a(g705 +g1065 +tp1981 +a(g826 +V\u000a +p1982 +tp1983 +a(g826 +V +p1984 +tp1985 +a(g705 +g1610 +tp1986 +a(g435 +Varr +p1987 +tp1988 +a(g826 +g995 +tp1989 +a(g435 +VlastObject +p1990 +tp1991 +a(g705 +g1616 +tp1992 +a(g705 +g1065 +tp1993 +a(g826 +V\u000a +p1994 +tp1995 +a(g826 +V +p1996 +tp1997 +a(g705 +g1610 +tp1998 +a(g52 +V@[ +p1999 +tp2000 +a(g826 +g995 +tp2001 +a(g89 +V@" +p2002 +tp2003 +a(g89 +g1585 +tp2004 +a(g89 +g1932 +tp2005 +a(g705 +g1215 +tp2006 +a(g826 +g995 +tp2007 +a(g89 +V@" +p2008 +tp2009 +a(g89 +g1669 +tp2010 +a(g89 +g1932 +tp2011 +a(g826 +g995 +tp2012 +a(g52 +g1616 +tp2013 +a(g826 +g995 +tp2014 +a(g435 +VlastObject +p2015 +tp2016 +a(g705 +g1616 +tp2017 +a(g705 +g1065 +tp2018 +a(g826 +V\u000a +p2019 +tp2020 +a(g826 +V \u000a +p2021 +tp2022 +a(g8 +V// Dictionary literals\u000a +p2023 +tp2024 +a(g826 +V +p2025 +tp2026 +a(g693 +VNSDictionary +p2027 +tp2028 +a(g826 +g995 +tp2029 +a(g412 +g1151 +tp2030 +a(g435 +Vd +p2031 +tp2032 +a(g826 +g995 +tp2033 +a(g412 +g1496 +tp2034 +a(g826 +g995 +tp2035 +a(g52 +V@{ +p2036 +tp2037 +a(g826 +g995 +tp2038 +a(g89 +V@" +p2039 +tp2040 +a(g89 +Vkey +p2041 +tp2042 +a(g89 +g1932 +tp2043 +a(g412 +V: +p2044 +tp2045 +a(g826 +g995 +tp2046 +a(g89 +V@" +p2047 +tp2048 +a(g89 +Vvalue +p2049 +tp2050 +a(g89 +g1932 +tp2051 +a(g826 +g995 +tp2052 +a(g52 +g1095 +tp2053 +a(g705 +g1065 +tp2054 +a(g826 +V\u000a +p2055 +tp2056 +a(g826 +V +p2057 +tp2058 +a(g705 +g1610 +tp2059 +a(g705 +g1610 +tp2060 +a(g435 +g2031 +tp2061 +a(g826 +g995 +tp2062 +a(g435 +VallKeys +p2063 +tp2064 +a(g705 +g1616 +tp2065 +a(g826 +g995 +tp2066 +a(g435 +VlastObject +p2067 +tp2068 +a(g705 +g1616 +tp2069 +a(g705 +g1065 +tp2070 +a(g826 +V\u000a +p2071 +tp2072 +a(g826 +V +p2073 +tp2074 +a(g705 +g1610 +tp2075 +a(g705 +g1610 +tp2076 +a(g52 +V@{ +p2077 +tp2078 +a(g826 +g995 +tp2079 +a(g89 +V@" +p2080 +tp2081 +a(g89 +Vkey +p2082 +tp2083 +a(g89 +g1932 +tp2084 +a(g412 +g2044 +tp2085 +a(g826 +g995 +tp2086 +a(g89 +V@" +p2087 +tp2088 +a(g89 +Vvalue +p2089 +tp2090 +a(g89 +g1932 +tp2091 +a(g826 +g995 +tp2092 +a(g52 +g1095 +tp2093 +a(g826 +g995 +tp2094 +a(g435 +VallKeys +p2095 +tp2096 +a(g705 +g1616 +tp2097 +a(g826 +g995 +tp2098 +a(g435 +VlastObject +p2099 +tp2100 +a(g705 +g1616 +tp2101 +a(g705 +g1065 +tp2102 +a(g826 +V\u000a +p2103 +tp2104 +a(g826 +V +p2105 +tp2106 +a(g435 +g2031 +tp2107 +a(g826 +g995 +tp2108 +a(g412 +g1496 +tp2109 +a(g826 +g995 +tp2110 +a(g52 +V@{ +p2111 +tp2112 +a(g826 +g995 +tp2113 +a(g89 +V@" +p2114 +tp2115 +a(g89 +Vkey +p2116 +tp2117 +a(g89 +g1932 +tp2118 +a(g412 +g2044 +tp2119 +a(g826 +g995 +tp2120 +a(g52 +V@{ +p2121 +tp2122 +a(g826 +g995 +tp2123 +a(g89 +V@" +p2124 +tp2125 +a(g89 +Vkey +p2126 +tp2127 +a(g89 +g1932 +tp2128 +a(g412 +g2044 +tp2129 +a(g826 +g995 +tp2130 +a(g89 +V@" +p2131 +tp2132 +a(g89 +Vvalue +p2133 +tp2134 +a(g89 +g1932 +tp2135 +a(g826 +g995 +tp2136 +a(g52 +g1095 +tp2137 +a(g826 +g995 +tp2138 +a(g52 +g1095 +tp2139 +a(g705 +g1065 +tp2140 +a(g826 +V\u000a +p2141 +tp2142 +a(g826 +V\u000a +p2143 +tp2144 +a(g826 +V +p2145 +tp2146 +a(g705 +g1610 +tp2147 +a(g681 +Vself +p2148 +tp2149 +a(g826 +g995 +tp2150 +a(g435 +VhiddenMethod +p2151 +tp2152 +a(g705 +g1616 +tp2153 +a(g705 +g1065 +tp2154 +a(g826 +V\u000a +p2155 +tp2156 +a(g826 +V +p2157 +tp2158 +a(g705 +g1610 +tp2159 +a(g435 +g1470 +tp2160 +a(g826 +g995 +tp2161 +a(g435 +Vlength +p2162 +tp2163 +a(g705 +g1616 +tp2164 +a(g705 +g1065 +tp2165 +a(g826 +V\u000a +p2166 +tp2167 +a(g826 +V +p2168 +tp2169 +a(g705 +g1610 +tp2170 +a(g435 +VprivateDate +p2171 +tp2172 +a(g826 +g995 +tp2173 +a(g744 +Vclass +p2174 +tp2175 +a(g705 +g1616 +tp2176 +a(g705 +g1065 +tp2177 +a(g826 +V\u000a +p2178 +tp2179 +a(g826 +V\u000a +p2180 +tp2181 +a(g826 +V +p2182 +tp2183 +a(g693 +VNSDictionary +p2184 +tp2185 +a(g826 +g995 +tp2186 +a(g412 +g1151 +tp2187 +a(g435 +Vdictionary +p2188 +tp2189 +a(g826 +g995 +tp2190 +a(g412 +g1496 +tp2191 +a(g826 +g995 +tp2192 +a(g705 +g1610 +tp2193 +a(g693 +VNSDictionary +p2194 +tp2195 +a(g826 +g995 +tp2196 +a(g596 +VdictionaryWithObjectsAndKeys +p2197 +tp2198 +a(g705 +g2044 +tp2199 +a(g826 +V\u000a +p2200 +tp2201 +a(g826 +V +p2202 +tp2203 +a(g89 +V@" +p2204 +tp2205 +a(g89 +g1585 +tp2206 +a(g89 +g1932 +tp2207 +a(g705 +g1215 +tp2208 +a(g826 +g995 +tp2209 +a(g89 +V@" +p2210 +tp2211 +a(g89 +Vone +p2212 +tp2213 +a(g89 +g1932 +tp2214 +a(g705 +g1215 +tp2215 +a(g826 +g995 +tp2216 +a(g89 +V@" +p2217 +tp2218 +a(g89 +g1669 +tp2219 +a(g89 +g1932 +tp2220 +a(g705 +g1215 +tp2221 +a(g826 +g995 +tp2222 +a(g89 +V@" +p2223 +tp2224 +a(g89 +Vtwo +p2225 +tp2226 +a(g89 +g1932 +tp2227 +a(g705 +g1215 +tp2228 +a(g826 +g995 +tp2229 +a(g89 +V@" +p2230 +tp2231 +a(g89 +V3 +p2232 +tp2233 +a(g89 +g1932 +tp2234 +a(g705 +g1215 +tp2235 +a(g826 +g995 +tp2236 +a(g89 +V@" +p2237 +tp2238 +a(g89 +Vthree +p2239 +tp2240 +a(g89 +g1932 +tp2241 +a(g705 +g1215 +tp2242 +a(g826 +g995 +tp2243 +a(g681 +Vnil +p2244 +tp2245 +a(g705 +g1616 +tp2246 +a(g705 +g1065 +tp2247 +a(g826 +V\u000a +p2248 +tp2249 +a(g826 +V \u000a +p2250 +tp2251 +a(g693 +VNSString +p2252 +tp2253 +a(g826 +g995 +tp2254 +a(g412 +g1151 +tp2255 +a(g435 +Vkey +p2256 +tp2257 +a(g705 +g1065 +tp2258 +a(g826 +V\u000a +p2259 +tp2260 +a(g826 +V +p2261 +tp2262 +a(g744 +Vfor +p2263 +tp2264 +a(g826 +g995 +tp2265 +a(g705 +g1211 +tp2266 +a(g435 +Vkey +p2267 +tp2268 +a(g826 +g995 +tp2269 +a(g744 +Vin +p2270 +tp2271 +a(g826 +g995 +tp2272 +a(g435 +Vdictionary +p2273 +tp2274 +a(g705 +g1224 +tp2275 +a(g826 +g995 +tp2276 +a(g705 +g1091 +tp2277 +a(g826 +V\u000a +p2278 +tp2279 +a(g826 +V +p2280 +tp2281 +a(g435 +VNSLog +p2282 +tp2283 +a(g705 +g1211 +tp2284 +a(g89 +V@" +p2285 +tp2286 +a(g89 +VNumber: %@, Word: %@ +p2287 +tp2288 +a(g89 +g1932 +tp2289 +a(g705 +g1215 +tp2290 +a(g826 +g995 +tp2291 +a(g435 +Vkey +p2292 +tp2293 +a(g705 +g1215 +tp2294 +a(g826 +g995 +tp2295 +a(g705 +g1610 +tp2296 +a(g435 +Vdictionary +p2297 +tp2298 +a(g826 +g995 +tp2299 +a(g596 +VvalueForKey +p2300 +tp2301 +a(g705 +g2044 +tp2302 +a(g435 +Vkey +p2303 +tp2304 +a(g705 +g1616 +tp2305 +a(g705 +g1224 +tp2306 +a(g705 +g1065 +tp2307 +a(g826 +V\u000a +p2308 +tp2309 +a(g826 +V +p2310 +tp2311 +a(g705 +g1095 +tp2312 +a(g826 +V\u000a +p2313 +tp2314 +a(g826 +V\u000a +p2315 +tp2316 +a(g826 +V +p2317 +tp2318 +a(g8 +V// Blocks\u000a +p2319 +tp2320 +a(g826 +V +p2321 +tp2322 +a(g748 +Vint +p2323 +tp2324 +a(g826 +g995 +tp2325 +a(g705 +g1211 +tp2326 +a(g412 +V^ +p2327 +tp2328 +a(g435 +VmyBlock +p2329 +tp2330 +a(g705 +g1224 +tp2331 +a(g705 +g1211 +tp2332 +a(g748 +Vint +p2333 +tp2334 +a(g826 +g995 +tp2335 +a(g435 +Varg1 +p2336 +tp2337 +a(g705 +g1215 +tp2338 +a(g826 +g995 +tp2339 +a(g748 +Vint +p2340 +tp2341 +a(g826 +g995 +tp2342 +a(g435 +Varg2 +p2343 +tp2344 +a(g705 +g1224 +tp2345 +a(g705 +g1065 +tp2346 +a(g826 +V\u000a +p2347 +tp2348 +a(g826 +V +p2349 +tp2350 +a(g693 +VNSString +p2351 +tp2352 +a(g826 +g995 +tp2353 +a(g412 +g1151 +tp2354 +a(g705 +g1211 +tp2355 +a(g412 +g2327 +tp2356 +a(g435 +VmyName +p2357 +tp2358 +a(g705 +g1224 +tp2359 +a(g705 +g1211 +tp2360 +a(g693 +VNSString +p2361 +tp2362 +a(g826 +g995 +tp2363 +a(g412 +g1151 +tp2364 +a(g705 +g1224 +tp2365 +a(g826 +g995 +tp2366 +a(g412 +g1496 +tp2367 +a(g826 +g995 +tp2368 +a(g412 +g2327 +tp2369 +a(g705 +g1211 +tp2370 +a(g693 +VNSString +p2371 +tp2372 +a(g826 +g995 +tp2373 +a(g412 +g1151 +tp2374 +a(g435 +Vvalue +p2375 +tp2376 +a(g705 +g1224 +tp2377 +a(g826 +g995 +tp2378 +a(g705 +g1091 +tp2379 +a(g826 +V\u000a +p2380 +tp2381 +a(g826 +V +p2382 +tp2383 +a(g744 +Vreturn +p2384 +tp2385 +a(g826 +g995 +tp2386 +a(g435 +Vvalue +p2387 +tp2388 +a(g705 +g1065 +tp2389 +a(g826 +V\u000a +p2390 +tp2391 +a(g826 +V +p2392 +tp2393 +a(g705 +g1095 +tp2394 +a(g705 +g1065 +tp2395 +a(g826 +V\u000a +p2396 +tp2397 +a(g826 +V\u000a +p2398 +tp2399 +a(g826 +V +p2400 +tp2401 +a(g744 +Vreturn +p2402 +tp2403 +a(g826 +g995 +tp2404 +a(g681 +Vnil +p2405 +tp2406 +a(g705 +g1065 +tp2407 +a(g826 +V\u000a +p2408 +tp2409 +a(g705 +g1095 +tp2410 +a(g826 +V\u000a +p2411 +tp2412 +a(g826 +V\u000a +p2413 +tp2414 +a(g705 +g1382 +tp2415 +a(g826 +g995 +tp2416 +a(g705 +g1211 +tp2417 +a(g748 +Vvoid +p2418 +tp2419 +a(g705 +g1224 +tp2420 +a(g573 +VhiddenMethod +p2421 +tp2422 +a(g826 +g995 +tp2423 +a(g705 +g1091 +tp2424 +a(g826 +V\u000a +p2425 +tp2426 +a(g826 +V +p2427 +tp2428 +a(g8 +V// Synchronized block\u000a +p2429 +tp2430 +a(g826 +V +p2431 +tp2432 +a(g744 +V@synchronized +p2433 +tp2434 +a(g705 +g1211 +tp2435 +a(g681 +Vself +p2436 +tp2437 +a(g705 +g1224 +tp2438 +a(g826 +g995 +tp2439 +a(g705 +g1091 +tp2440 +a(g826 +V\u000a +p2441 +tp2442 +a(g826 +V +p2443 +tp2444 +a(g705 +g1610 +tp2445 +a(g435 +VmyString +p2446 +tp2447 +a(g826 +g995 +tp2448 +a(g744 +Vretain +p2449 +tp2450 +a(g705 +g1616 +tp2451 +a(g705 +g1065 +tp2452 +a(g826 +V\u000a +p2453 +tp2454 +a(g826 +V +p2455 +tp2456 +a(g705 +g1610 +tp2457 +a(g435 +VmyString +p2458 +tp2459 +a(g826 +g995 +tp2460 +a(g744 +Vrelease +p2461 +tp2462 +a(g705 +g1616 +tp2463 +a(g705 +g1065 +tp2464 +a(g826 +V\u000a +p2465 +tp2466 +a(g826 +V +p2467 +tp2468 +a(g705 +g1095 +tp2469 +a(g826 +V\u000a +p2470 +tp2471 +a(g705 +g1095 +tp2472 +a(g826 +V\u000a +p2473 +tp2474 +a(g826 +V\u000a +p2475 +tp2476 +a(g705 +g1283 +tp2477 +a(g826 +g995 +tp2478 +a(g705 +g1211 +tp2479 +a(g748 +Vvoid +p2480 +tp2481 +a(g705 +g1224 +tp2482 +a(g573 +VclassMethod1: +p2483 +tp2484 +a(g705 +g1211 +tp2485 +a(g693 +VNSString +p2486 +tp2487 +a(g826 +g995 +tp2488 +a(g412 +g1151 +tp2489 +a(g705 +g1224 +tp2490 +a(g440 +Varg +p2491 +tp2492 +a(g826 +g995 +tp2493 +a(g705 +g1091 +tp2494 +a(g705 +g1095 +tp2495 +a(g826 +V\u000a +p2496 +tp2497 +a(g705 +g1283 +tp2498 +a(g826 +g995 +tp2499 +a(g705 +g1211 +tp2500 +a(g748 +Vvoid +p2501 +tp2502 +a(g705 +g1224 +tp2503 +a(g573 +VclassMethod2: +p2504 +tp2505 +a(g705 +g1211 +tp2506 +a(g693 +VNSString +p2507 +tp2508 +a(g826 +g995 +tp2509 +a(g412 +g1151 +tp2510 +a(g705 +g1224 +tp2511 +a(g826 +g995 +tp2512 +a(g440 +Varg +p2513 +tp2514 +a(g826 +V\u000a +p2515 +tp2516 +a(g705 +g1091 +tp2517 +a(g826 +V\u000a +p2518 +tp2519 +a(g826 +V +p2520 +tp2521 +a(g8 +V// Autorelease pool block\u000a +p2522 +tp2523 +a(g826 +V +p2524 +tp2525 +a(g744 +V@autoreleasepool +p2526 +tp2527 +a(g826 +g995 +tp2528 +a(g705 +g1091 +tp2529 +a(g826 +V\u000a +p2530 +tp2531 +a(g826 +V +p2532 +tp2533 +a(g435 +VNSLog +p2534 +tp2535 +a(g705 +g1211 +tp2536 +a(g89 +V@" +p2537 +tp2538 +a(g89 +VHello, World! +p2539 +tp2540 +a(g89 +g1932 +tp2541 +a(g705 +g1224 +tp2542 +a(g705 +g1065 +tp2543 +a(g826 +V\u000a +p2544 +tp2545 +a(g826 +V +p2546 +tp2547 +a(g705 +g1095 +tp2548 +a(g826 +V\u000a +p2549 +tp2550 +a(g705 +g1095 +tp2551 +a(g826 +V\u000a +p2552 +tp2553 +a(g826 +V\u000a +p2554 +tp2555 +a(g744 +V@end +p2556 +tp2557 +a(g826 +V\u000a +p2558 +tp2559 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/openedge_example b/tests/examplefiles/output/openedge_example new file mode 100644 index 0000000..33285f9 --- /dev/null +++ b/tests/examplefiles/output/openedge_example @@ -0,0 +1,3543 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV{ +p956 +tp957 +a(g8 +Vi +p958 +tp959 +a(g8 +Vn +p960 +tp961 +a(g8 +Vc +p962 +tp963 +a(g8 +Vl +p964 +tp965 +a(g8 +Vu +p966 +tp967 +a(g8 +Vd +p968 +tp969 +a(g8 +Ve +p970 +tp971 +a(g8 +V. +p972 +tp973 +a(g8 +g958 +tp974 +a(g8 +V} +p975 +tp976 +a(g827 +V\u000a +p977 +tp978 +a(g8 +g956 +tp979 +a(g8 +g960 +tp980 +a(g8 +g970 +tp981 +a(g8 +Vs +p982 +tp983 +a(g8 +Vt +p984 +tp985 +a(g8 +g970 +tp986 +a(g8 +g968 +tp987 +a(g8 +g972 +tp988 +a(g8 +g958 +tp989 +a(g8 +V +p990 +tp991 +a(g8 +g956 +tp992 +a(g8 +g958 +tp993 +a(g8 +g960 +tp994 +a(g8 +g962 +tp995 +a(g8 +g964 +tp996 +a(g8 +g966 +tp997 +a(g8 +g968 +tp998 +a(g8 +g970 +tp999 +a(g8 +g972 +tp1000 +a(g8 +g958 +tp1001 +a(g8 +g975 +tp1002 +a(g8 +g975 +tp1003 +a(g8 +V\u000a\u000a&SCOPED-DEFINE MY_NAME "Abe" +p1004 +tp1005 +a(g827 +V\u000a\u000a +p1006 +tp1007 +a(g810 +VDEF +p1008 +tp1009 +a(g827 +g990 +tp1010 +a(g682 +VVAR +p1011 +tp1012 +a(g827 +g990 +tp1013 +a(g441 +g958 +tp1014 +a(g827 +g990 +tp1015 +a(g682 +VAS +p1016 +tp1017 +a(g827 +g990 +tp1018 +a(g749 +VINT +p1019 +tp1020 +a(g827 +g990 +tp1021 +a(g682 +VNO-UNDO +p1022 +tp1023 +a(g706 +g972 +tp1024 +a(g827 +V\u000a +p1025 +tp1026 +a(g441 +g958 +tp1027 +a(g827 +g990 +tp1028 +a(g413 +V= +p1029 +tp1030 +a(g827 +g990 +tp1031 +a(g298 +V0xABE +p1032 +tp1033 +a(g827 +g990 +tp1034 +a(g413 +V+ +p1035 +tp1036 +a(g827 +g990 +tp1037 +a(g37 +V1337 +p1038 +tp1039 +a(g827 +g990 +tp1040 +a(g413 +V/ +p1041 +tp1042 +a(g827 +g990 +tp1043 +a(g706 +V( +p1044 +tp1045 +a(g37 +V1 +p1046 +tp1047 +a(g827 +g990 +tp1048 +a(g413 +V* +p1049 +tp1050 +a(g827 +g990 +tp1051 +a(g315 +V1.00 +p1052 +tp1053 +a(g706 +V) +p1054 +tp1055 +a(g827 +V\u000a\u000a +p1056 +tp1057 +a(g810 +Vdef +p1058 +tp1059 +a(g827 +g990 +tp1060 +a(g682 +Vvar +p1061 +tp1062 +a(g827 +g990 +tp1063 +a(g441 +g962 +tp1064 +a(g441 +g964 +tp1065 +a(g441 +Vo +p1066 +tp1067 +a(g441 +Vw +p1068 +tp1069 +a(g441 +g970 +tp1070 +a(g441 +Vr +p1071 +tp1072 +a(g441 +g962 +tp1073 +a(g441 +Va +p1074 +tp1075 +a(g441 +g982 +tp1076 +a(g441 +g970 +tp1077 +a(g441 +g984 +tp1078 +a(g441 +g970 +tp1079 +a(g441 +g982 +tp1080 +a(g441 +g984 +tp1081 +a(g827 +g990 +tp1082 +a(g682 +Vas +p1083 +tp1084 +a(g827 +g990 +tp1085 +a(g749 +Vchar +p1086 +tp1087 +a(g827 +g990 +tp1088 +a(g682 +Vno-undo +p1089 +tp1090 +a(g706 +g972 +tp1091 +a(g827 +V\u000a +p1092 +tp1093 +a(g810 +VDEF +p1094 +tp1095 +a(g827 +g990 +tp1096 +a(g682 +VVAR +p1097 +tp1098 +a(g827 +g990 +tp1099 +a(g441 +Vv +p1100 +tp1101 +a(g441 +g1074 +tp1102 +a(g441 +g1071 +tp1103 +a(g441 +g968 +tp1104 +a(g441 +g1074 +tp1105 +a(g441 +g982 +tp1106 +a(g441 +Vh +p1107 +tp1108 +a(g441 +g984 +tp1109 +a(g441 +g970 +tp1110 +a(g441 +g982 +tp1111 +a(g441 +g984 +tp1112 +a(g827 +g990 +tp1113 +a(g682 +VAS +p1114 +tp1115 +a(g827 +g990 +tp1116 +a(g749 +VDATETIME-TZ +p1117 +tp1118 +a(g827 +g990 +tp1119 +a(g682 +VNO-UNDO +p1120 +tp1121 +a(g706 +g972 +tp1122 +a(g827 +V\u000a\u000a +p1123 +tp1124 +a(g810 +VDEFINE +p1125 +tp1126 +a(g827 +g990 +tp1127 +a(g682 +VTEMP-TABLE +p1128 +tp1129 +a(g827 +g990 +tp1130 +a(g441 +g984 +tp1131 +a(g441 +g984 +tp1132 +a(g441 +VN +p1133 +tp1134 +a(g441 +g1074 +tp1135 +a(g441 +Vm +p1136 +tp1137 +a(g441 +g970 +tp1138 +a(g441 +g982 +tp1139 +a(g827 +g990 +tp1140 +a(g682 +VNO-UNDO\u000a +p1141 +tp1142 +a(g827 +g990 +tp1143 +a(g682 +VFIELD +p1144 +tp1145 +a(g827 +g990 +tp1146 +a(g441 +g962 +tp1147 +a(g441 +g1133 +tp1148 +a(g441 +g1074 +tp1149 +a(g441 +g1136 +tp1150 +a(g441 +g970 +tp1151 +a(g827 +g990 +tp1152 +a(g682 +VAS +p1153 +tp1154 +a(g827 +g990 +tp1155 +a(g749 +VCHAR\u000a +p1156 +tp1157 +a(g827 +g990 +tp1158 +a(g682 +VINDEX +p1159 +tp1160 +a(g827 +g990 +tp1161 +a(g441 +VI +p1162 +tp1163 +a(g441 +VX +p1164 +tp1165 +a(g441 +VP +p1166 +tp1167 +a(g441 +VK +p1168 +tp1169 +a(g441 +V_ +p1170 +tp1171 +a(g441 +g984 +tp1172 +a(g441 +g984 +tp1173 +a(g441 +g1133 +tp1174 +a(g441 +g1074 +tp1175 +a(g441 +g1136 +tp1176 +a(g441 +g970 +tp1177 +a(g441 +g982 +tp1178 +a(g827 +g990 +tp1179 +a(g682 +VIS +p1180 +tp1181 +a(g827 +g990 +tp1182 +a(g682 +VPRIMARY +p1183 +tp1184 +a(g827 +g990 +tp1185 +a(g682 +VUNIQUE +p1186 +tp1187 +a(g827 +g990 +tp1188 +a(g441 +g962 +tp1189 +a(g441 +g1133 +tp1190 +a(g441 +g1074 +tp1191 +a(g441 +g1136 +tp1192 +a(g441 +g970 +tp1193 +a(g706 +g972 +tp1194 +a(g827 +V\u000a\u000a +p1195 +tp1196 +a(g929 +V/* +p1197 +tp1198 +a(g929 +g990 +tp1199 +a(g929 +VO +p1200 +tp1201 +a(g929 +g960 +tp1202 +a(g929 +g970 +tp1203 +a(g929 +V- +p1204 +tp1205 +a(g929 +g964 +tp1206 +a(g929 +g958 +tp1207 +a(g929 +g960 +tp1208 +a(g929 +g970 +tp1209 +a(g929 +g990 +tp1210 +a(g929 +g962 +tp1211 +a(g929 +g1066 +tp1212 +a(g929 +g1136 +tp1213 +a(g929 +g1136 +tp1214 +a(g929 +g970 +tp1215 +a(g929 +g960 +tp1216 +a(g929 +g984 +tp1217 +a(g929 +g990 +tp1218 +a(g929 +V*/ +p1219 +tp1220 +a(g827 +V\u000a +p1221 +tp1222 +a(g929 +V/* +p1223 +tp1224 +a(g929 +g990 +tp1225 +a(g929 +VT +p1226 +tp1227 +a(g929 +g1068 +tp1228 +a(g929 +g1066 +tp1229 +a(g929 +g1204 +tp1230 +a(g929 +g964 +tp1231 +a(g929 +g958 +tp1232 +a(g929 +g960 +tp1233 +a(g929 +g970 +tp1234 +a(g929 +V\u000a +p1235 +tp1236 +a(g929 +g990 +tp1237 +a(g929 +g990 +tp1238 +a(g929 +g990 +tp1239 +a(g929 +VC +p1240 +tp1241 +a(g929 +g1066 +tp1242 +a(g929 +g1136 +tp1243 +a(g929 +g1136 +tp1244 +a(g929 +g970 +tp1245 +a(g929 +g960 +tp1246 +a(g929 +g984 +tp1247 +a(g929 +g990 +tp1248 +a(g929 +g990 +tp1249 +a(g929 +V*/ +p1250 +tp1251 +a(g827 +V\u000a +p1252 +tp1253 +a(g929 +V/* +p1254 +tp1255 +a(g929 +V\u000a +p1256 +tp1257 +a(g929 +g990 +tp1258 +a(g929 +g990 +tp1259 +a(g929 +g1133 +tp1260 +a(g929 +g970 +tp1261 +a(g929 +g982 +tp1262 +a(g929 +g984 +tp1263 +a(g929 +g970 +tp1264 +a(g929 +g968 +tp1265 +a(g929 +V\u000a +p1266 +tp1267 +a(g929 +g990 +tp1268 +a(g929 +g990 +tp1269 +a(g929 +V/* +p1270 +tp1271 +a(g929 +V\u000a +p1272 +tp1273 +a(g929 +g990 +tp1274 +a(g929 +g990 +tp1275 +a(g929 +g990 +tp1276 +a(g929 +g990 +tp1277 +a(g929 +VM +p1278 +tp1279 +a(g929 +g966 +tp1280 +a(g929 +g964 +tp1281 +a(g929 +g984 +tp1282 +a(g929 +g958 +tp1283 +a(g929 +g964 +tp1284 +a(g929 +g958 +tp1285 +a(g929 +g960 +tp1286 +a(g929 +g970 +tp1287 +a(g929 +V\u000a +p1288 +tp1289 +a(g929 +g990 +tp1290 +a(g929 +g990 +tp1291 +a(g929 +g990 +tp1292 +a(g929 +g990 +tp1293 +a(g929 +V/* +p1294 +tp1295 +a(g929 +V\u000a +p1296 +tp1297 +a(g929 +g990 +tp1298 +a(g929 +g990 +tp1299 +a(g929 +g990 +tp1300 +a(g929 +g990 +tp1301 +a(g929 +g990 +tp1302 +a(g929 +g990 +tp1303 +a(g929 +g1240 +tp1304 +a(g929 +g1066 +tp1305 +a(g929 +g1136 +tp1306 +a(g929 +g1136 +tp1307 +a(g929 +g970 +tp1308 +a(g929 +g960 +tp1309 +a(g929 +g984 +tp1310 +a(g929 +V\u000a +p1311 +tp1312 +a(g929 +g990 +tp1313 +a(g929 +g990 +tp1314 +a(g929 +g990 +tp1315 +a(g929 +g990 +tp1316 +a(g929 +V*/ +p1317 +tp1318 +a(g929 +V\u000a +p1319 +tp1320 +a(g929 +g990 +tp1321 +a(g929 +g990 +tp1322 +a(g929 +V*/ +p1323 +tp1324 +a(g929 +V\u000a +p1325 +tp1326 +a(g929 +V*/ +p1327 +tp1328 +a(g827 +V\u000a\u000a +p1329 +tp1330 +a(g682 +VCREATE +p1331 +tp1332 +a(g827 +g990 +tp1333 +a(g441 +g984 +tp1334 +a(g441 +g984 +tp1335 +a(g441 +g1133 +tp1336 +a(g441 +g1074 +tp1337 +a(g441 +g1136 +tp1338 +a(g441 +g970 +tp1339 +a(g441 +g982 +tp1340 +a(g706 +g972 +tp1341 +a(g827 +V\u000a +p1342 +tp1343 +a(g682 +VASSIGN +p1344 +tp1345 +a(g827 +g990 +tp1346 +a(g441 +g984 +tp1347 +a(g441 +g984 +tp1348 +a(g441 +g1133 +tp1349 +a(g441 +g1074 +tp1350 +a(g441 +g1136 +tp1351 +a(g441 +g970 +tp1352 +a(g441 +g982 +tp1353 +a(g706 +g972 +tp1354 +a(g441 +g962 +tp1355 +a(g441 +g1133 +tp1356 +a(g441 +g1074 +tp1357 +a(g441 +g1136 +tp1358 +a(g441 +g970 +tp1359 +a(g827 +g990 +tp1360 +a(g413 +g1029 +tp1361 +a(g827 +g990 +tp1362 +a(g8 +g956 +tp1363 +a(g8 +V& +p1364 +tp1365 +a(g8 +g1278 +tp1366 +a(g8 +VY +p1367 +tp1368 +a(g8 +g1170 +tp1369 +a(g8 +g1133 +tp1370 +a(g8 +VA +p1371 +tp1372 +a(g8 +g1278 +tp1373 +a(g8 +VE +p1374 +tp1375 +a(g8 +g975 +tp1376 +a(g706 +g972 +tp1377 +a(g827 +V\u000a\u000a +p1378 +tp1379 +a(g682 +VFOR +p1380 +tp1381 +a(g827 +g990 +tp1382 +a(g682 +VEACH +p1383 +tp1384 +a(g827 +g990 +tp1385 +a(g441 +g984 +tp1386 +a(g441 +g984 +tp1387 +a(g441 +g1133 +tp1388 +a(g441 +g1074 +tp1389 +a(g441 +g1136 +tp1390 +a(g441 +g970 +tp1391 +a(g441 +g982 +tp1392 +a(g706 +V: +p1393 +tp1394 +a(g827 +V\u000a +p1395 +tp1396 +a(g682 +VMESSAGE +p1397 +tp1398 +a(g157 +V"Hello, " +p1399 +tp1400 +a(g827 +g990 +tp1401 +a(g413 +g1035 +tp1402 +a(g827 +g990 +tp1403 +a(g441 +g984 +tp1404 +a(g441 +g984 +tp1405 +a(g441 +g1133 +tp1406 +a(g441 +g1074 +tp1407 +a(g441 +g1136 +tp1408 +a(g441 +g970 +tp1409 +a(g441 +g982 +tp1410 +a(g706 +g972 +tp1411 +a(g441 +g962 +tp1412 +a(g441 +g1133 +tp1413 +a(g441 +g1074 +tp1414 +a(g441 +g1136 +tp1415 +a(g441 +g970 +tp1416 +a(g827 +g990 +tp1417 +a(g413 +g1035 +tp1418 +a(g827 +g990 +tp1419 +a(g230 +V'!' +p1420 +tp1421 +a(g827 +g990 +tp1422 +a(g682 +VVIEW-AS +p1423 +tp1424 +a(g827 +g990 +tp1425 +a(g682 +VALERT-BOX +p1426 +tp1427 +a(g706 +g972 +tp1428 +a(g827 +V\u000a +p1429 +tp1430 +a(g682 +VEND +p1431 +tp1432 +a(g706 +g972 +tp1433 +a(g827 +V\u000a +p1434 +tp1435 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pacman.conf b/tests/examplefiles/output/pacman.conf new file mode 100644 index 0000000..eaacbab --- /dev/null +++ b/tests/examplefiles/output/pacman.conf @@ -0,0 +1,3651 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# /etc/pacman.conf +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +g956 +tp964 +a(g826 +V\u000a +p965 +tp966 +a(g8 +V# This example file has no relation to `pacman.ijs` +p967 +tp968 +a(g826 +V\u000a +p969 +tp970 +a(g8 +V# but is of configuration of Arch Linux's package manager `pacman`. +p971 +tp972 +a(g826 +V\u000a +p973 +tp974 +a(g8 +g956 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +g956 +tp980 +a(g826 +V\u000a +p981 +tp982 +a(g8 +V# GENERAL OPTIONS +p983 +tp984 +a(g826 +V\u000a +p985 +tp986 +a(g8 +g956 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g744 +V[options] +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g666 +VRootDir +p994 +tp995 +a(g826 +V +p996 +tp997 +a(g412 +V= +p998 +tp999 +a(g826 +V +p1000 +tp1001 +a(g826 +V/ +p1002 +tp1003 +a(g826 +Vo +p1004 +tp1005 +a(g826 +Vp +p1006 +tp1007 +a(g826 +Vt +p1008 +tp1009 +a(g826 +g1002 +tp1010 +a(g826 +Vl +p1011 +tp1012 +a(g826 +g1004 +tp1013 +a(g826 +Vc +p1014 +tp1015 +a(g826 +Va +p1016 +tp1017 +a(g826 +g1011 +tp1018 +a(g826 +g1002 +tp1019 +a(g826 +Vs +p1020 +tp1021 +a(g826 +Vi +p1022 +tp1023 +a(g826 +g1008 +tp1024 +a(g826 +Ve +p1025 +tp1026 +a(g826 +V- +p1027 +tp1028 +a(g826 +g1006 +tp1029 +a(g826 +Vr +p1030 +tp1031 +a(g826 +g1022 +tp1032 +a(g826 +Vv +p1033 +tp1034 +a(g826 +g1016 +tp1035 +a(g826 +g1008 +tp1036 +a(g826 +g1025 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g8 +V#DBPath = /var/lib/pacman/ +p1040 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g8 +V#CacheDir = /var/cache/pacman/pkg/ +p1044 +tp1045 +a(g826 +V\u000a +p1046 +tp1047 +a(g666 +VLogFile +p1048 +tp1049 +a(g826 +V +p1050 +tp1051 +a(g412 +g998 +tp1052 +a(g826 +g1000 +tp1053 +a(g826 +g1002 +tp1054 +a(g826 +g1004 +tp1055 +a(g826 +g1006 +tp1056 +a(g826 +g1008 +tp1057 +a(g826 +g1002 +tp1058 +a(g826 +g1011 +tp1059 +a(g826 +g1004 +tp1060 +a(g826 +g1014 +tp1061 +a(g826 +g1016 +tp1062 +a(g826 +g1011 +tp1063 +a(g826 +g1002 +tp1064 +a(g826 +g1020 +tp1065 +a(g826 +g1022 +tp1066 +a(g826 +g1008 +tp1067 +a(g826 +g1025 +tp1068 +a(g826 +g1027 +tp1069 +a(g826 +g1006 +tp1070 +a(g826 +g1030 +tp1071 +a(g826 +g1022 +tp1072 +a(g826 +g1033 +tp1073 +a(g826 +g1016 +tp1074 +a(g826 +g1008 +tp1075 +a(g826 +g1025 +tp1076 +a(g826 +g1002 +tp1077 +a(g826 +g1033 +tp1078 +a(g826 +g1016 +tp1079 +a(g826 +g1030 +tp1080 +a(g826 +g1002 +tp1081 +a(g826 +g1011 +tp1082 +a(g826 +g1004 +tp1083 +a(g826 +Vg +p1084 +tp1085 +a(g826 +g1002 +tp1086 +a(g826 +g1006 +tp1087 +a(g826 +g1016 +tp1088 +a(g826 +g1014 +tp1089 +a(g826 +Vm +p1090 +tp1091 +a(g826 +g1016 +tp1092 +a(g826 +Vn +p1093 +tp1094 +a(g826 +V. +p1095 +tp1096 +a(g826 +g1011 +tp1097 +a(g826 +g1004 +tp1098 +a(g826 +g1084 +tp1099 +a(g826 +V\u000a +p1100 +tp1101 +a(g8 +V#GPGDir = /etc/pacman.d/gnupg/ +p1102 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g666 +VHoldPkg +p1106 +tp1107 +a(g826 +V +p1108 +tp1109 +a(g412 +g998 +tp1110 +a(g826 +g1000 +tp1111 +a(g826 +g1006 +tp1112 +a(g826 +g1016 +tp1113 +a(g826 +g1014 +tp1114 +a(g826 +g1090 +tp1115 +a(g826 +g1016 +tp1116 +a(g826 +g1093 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g8 +V#XferCommand = /usr/bin/curl -C - -f %u > %o +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g666 +VXferCommand +p1124 +tp1125 +a(g826 +g1000 +tp1126 +a(g412 +g998 +tp1127 +a(g826 +g1000 +tp1128 +a(g826 +g1002 +tp1129 +a(g826 +Vu +p1130 +tp1131 +a(g826 +g1020 +tp1132 +a(g826 +g1030 +tp1133 +a(g826 +g1002 +tp1134 +a(g826 +g1011 +tp1135 +a(g826 +g1004 +tp1136 +a(g826 +g1014 +tp1137 +a(g826 +g1016 +tp1138 +a(g826 +g1011 +tp1139 +a(g826 +g1002 +tp1140 +a(g826 +Vb +p1141 +tp1142 +a(g826 +g1022 +tp1143 +a(g826 +g1093 +tp1144 +a(g826 +g1002 +tp1145 +a(g826 +Vw +p1146 +tp1147 +a(g826 +g1084 +tp1148 +a(g826 +g1025 +tp1149 +a(g826 +g1008 +tp1150 +a(g826 +g1000 +tp1151 +a(g826 +g1027 +tp1152 +a(g826 +g1027 +tp1153 +a(g826 +g1006 +tp1154 +a(g826 +g1016 +tp1155 +a(g826 +g1020 +tp1156 +a(g826 +g1020 +tp1157 +a(g826 +g1022 +tp1158 +a(g826 +g1033 +tp1159 +a(g826 +g1025 +tp1160 +a(g826 +g1027 +tp1161 +a(g826 +Vf +p1162 +tp1163 +a(g826 +g1008 +tp1164 +a(g826 +g1006 +tp1165 +a(g826 +g1000 +tp1166 +a(g826 +g1027 +tp1167 +a(g826 +g1014 +tp1168 +a(g826 +g1000 +tp1169 +a(g826 +g1027 +tp1170 +a(g826 +VO +p1171 +tp1172 +a(g826 +g1000 +tp1173 +a(g440 +V%o +p1174 +tp1175 +a(g826 +g1000 +tp1176 +a(g440 +V%u +p1177 +tp1178 +a(g826 +V\u000a +p1179 +tp1180 +a(g8 +V#CleanMethod = KeepInstalled +p1181 +tp1182 +a(g826 +V\u000a +p1183 +tp1184 +a(g8 +V#UseDelta = 0.7 +p1185 +tp1186 +a(g826 +V\u000a +p1187 +tp1188 +a(g666 +VArchitecture +p1189 +tp1190 +a(g826 +g1000 +tp1191 +a(g412 +g998 +tp1192 +a(g826 +g1000 +tp1193 +a(g826 +g1016 +tp1194 +a(g826 +g1130 +tp1195 +a(g826 +g1008 +tp1196 +a(g826 +g1004 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g8 +V#IgnorePkg = +p1202 +tp1203 +a(g826 +V\u000a +p1204 +tp1205 +a(g8 +V#IgnoreGroup = +p1206 +tp1207 +a(g826 +V\u000a +p1208 +tp1209 +a(g826 +V\u000a +p1210 +tp1211 +a(g666 +VNoUpgrade +p1212 +tp1213 +a(g826 +g1000 +tp1214 +a(g412 +g998 +tp1215 +a(g826 +g1000 +tp1216 +a(g826 +g1025 +tp1217 +a(g826 +g1008 +tp1218 +a(g826 +g1014 +tp1219 +a(g826 +g1002 +tp1220 +a(g826 +g1006 +tp1221 +a(g826 +g1016 +tp1222 +a(g826 +g1020 +tp1223 +a(g826 +g1020 +tp1224 +a(g826 +g1146 +tp1225 +a(g826 +Vd +p1226 +tp1227 +a(g826 +g1000 +tp1228 +a(g826 +g1025 +tp1229 +a(g826 +g1008 +tp1230 +a(g826 +g1014 +tp1231 +a(g826 +g1002 +tp1232 +a(g826 +g1084 +tp1233 +a(g826 +g1030 +tp1234 +a(g826 +g1004 +tp1235 +a(g826 +g1130 +tp1236 +a(g826 +g1006 +tp1237 +a(g826 +g1000 +tp1238 +a(g826 +g1025 +tp1239 +a(g826 +g1008 +tp1240 +a(g826 +g1014 +tp1241 +a(g826 +g1002 +tp1242 +a(g826 +g1020 +tp1243 +a(g826 +Vh +p1244 +tp1245 +a(g826 +g1016 +tp1246 +a(g826 +g1226 +tp1247 +a(g826 +g1004 +tp1248 +a(g826 +g1146 +tp1249 +a(g826 +V\u000a +p1250 +tp1251 +a(g666 +VNoUpgrade +p1252 +tp1253 +a(g826 +g1000 +tp1254 +a(g412 +g998 +tp1255 +a(g826 +g1000 +tp1256 +a(g826 +g1025 +tp1257 +a(g826 +g1008 +tp1258 +a(g826 +g1014 +tp1259 +a(g826 +g1002 +tp1260 +a(g826 +g1162 +tp1261 +a(g826 +g1020 +tp1262 +a(g826 +g1008 +tp1263 +a(g826 +g1016 +tp1264 +a(g826 +g1141 +tp1265 +a(g826 +V\u000a +p1266 +tp1267 +a(g8 +V#NoExtract = +p1268 +tp1269 +a(g826 +V\u000a +p1270 +tp1271 +a(g826 +V\u000a +p1272 +tp1273 +a(g8 +V#UseSyslog +p1274 +tp1275 +a(g826 +V\u000a +p1276 +tp1277 +a(g666 +VColor +p1278 +tp1279 +a(g826 +V\u000a +p1280 +tp1281 +a(g8 +V#TotalDownload +p1282 +tp1283 +a(g826 +V\u000a +p1284 +tp1285 +a(g666 +VCheckSpace +p1286 +tp1287 +a(g826 +V\u000a +p1288 +tp1289 +a(g8 +V#VerbosePkgLists +p1290 +tp1291 +a(g826 +V\u000a +p1292 +tp1293 +a(g826 +V\u000a +p1294 +tp1295 +a(g8 +V#SigLevel = Never +p1296 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g666 +VSigLevel +p1300 +tp1301 +a(g826 +V +p1302 +tp1303 +a(g412 +g998 +tp1304 +a(g826 +g1000 +tp1305 +a(g826 +VR +p1306 +tp1307 +a(g826 +g1025 +tp1308 +a(g826 +Vq +p1309 +tp1310 +a(g826 +g1130 +tp1311 +a(g826 +g1022 +tp1312 +a(g826 +g1030 +tp1313 +a(g826 +g1025 +tp1314 +a(g826 +g1226 +tp1315 +a(g826 +g1000 +tp1316 +a(g826 +VD +p1317 +tp1318 +a(g826 +g1016 +tp1319 +a(g826 +g1008 +tp1320 +a(g826 +g1016 +tp1321 +a(g826 +g1141 +tp1322 +a(g826 +g1016 +tp1323 +a(g826 +g1020 +tp1324 +a(g826 +g1025 +tp1325 +a(g826 +g1171 +tp1326 +a(g826 +g1006 +tp1327 +a(g826 +g1008 +tp1328 +a(g826 +g1022 +tp1329 +a(g826 +g1004 +tp1330 +a(g826 +g1093 +tp1331 +a(g826 +g1016 +tp1332 +a(g826 +g1011 +tp1333 +a(g826 +V\u000a +p1334 +tp1335 +a(g666 +VLocalFileSigLevel +p1336 +tp1337 +a(g826 +g1000 +tp1338 +a(g412 +g998 +tp1339 +a(g826 +g1000 +tp1340 +a(g826 +g1171 +tp1341 +a(g826 +g1006 +tp1342 +a(g826 +g1008 +tp1343 +a(g826 +g1022 +tp1344 +a(g826 +g1004 +tp1345 +a(g826 +g1093 +tp1346 +a(g826 +g1016 +tp1347 +a(g826 +g1011 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g666 +VRemoteFileSigLevel +p1351 +tp1352 +a(g826 +g1000 +tp1353 +a(g412 +g998 +tp1354 +a(g826 +g1000 +tp1355 +a(g826 +g1306 +tp1356 +a(g826 +g1025 +tp1357 +a(g826 +g1309 +tp1358 +a(g826 +g1130 +tp1359 +a(g826 +g1022 +tp1360 +a(g826 +g1030 +tp1361 +a(g826 +g1025 +tp1362 +a(g826 +g1226 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g826 +V\u000a +p1366 +tp1367 +a(g666 +VServer +p1368 +tp1369 +a(g826 +g1000 +tp1370 +a(g412 +g998 +tp1371 +a(g826 +g1000 +tp1372 +a(g826 +g1162 +tp1373 +a(g826 +g1008 +tp1374 +a(g826 +g1006 +tp1375 +a(g826 +V: +p1376 +tp1377 +a(g826 +g1002 +tp1378 +a(g826 +g1002 +tp1379 +a(g826 +g1162 +tp1380 +a(g826 +g1008 +tp1381 +a(g826 +g1006 +tp1382 +a(g826 +V9 +p1383 +tp1384 +a(g826 +g1095 +tp1385 +a(g826 +Vy +p1386 +tp1387 +a(g826 +g1016 +tp1388 +a(g826 +g1006 +tp1389 +a(g826 +g1244 +tp1390 +a(g826 +g1016 +tp1391 +a(g826 +g1008 +tp1392 +a(g826 +g1014 +tp1393 +a(g826 +g1244 +tp1394 +a(g826 +g1006 +tp1395 +a(g826 +g1004 +tp1396 +a(g826 +g1008 +tp1397 +a(g826 +g1014 +tp1398 +a(g826 +g1244 +tp1399 +a(g826 +g1084 +tp1400 +a(g826 +g1025 +tp1401 +a(g826 +g1093 +tp1402 +a(g826 +g1095 +tp1403 +a(g826 +g1093 +tp1404 +a(g826 +g1025 +tp1405 +a(g826 +g1008 +tp1406 +a(g826 +g1002 +tp1407 +a(g440 +V$repo +p1408 +tp1409 +a(g826 +g1002 +tp1410 +a(g826 +g1004 +tp1411 +a(g826 +g1020 +tp1412 +a(g826 +g1002 +tp1413 +a(g440 +V$arch +p1414 +tp1415 +a(g826 +V\u000a +p1416 +tp1417 +a(g744 +V\u000a[fubar32] +p1418 +tp1419 +a(g826 +V\u000a +p1420 +tp1421 +a(g666 +VInclude +p1422 +tp1423 +a(g826 +g1000 +tp1424 +a(g412 +g998 +tp1425 +a(g826 +g1000 +tp1426 +a(g826 +g1002 +tp1427 +a(g826 +g1025 +tp1428 +a(g826 +g1008 +tp1429 +a(g826 +g1014 +tp1430 +a(g826 +g1002 +tp1431 +a(g826 +g1006 +tp1432 +a(g826 +g1016 +tp1433 +a(g826 +g1014 +tp1434 +a(g826 +g1090 +tp1435 +a(g826 +g1016 +tp1436 +a(g826 +g1093 +tp1437 +a(g826 +g1095 +tp1438 +a(g826 +g1226 +tp1439 +a(g826 +g1002 +tp1440 +a(g826 +g1090 +tp1441 +a(g826 +g1022 +tp1442 +a(g826 +g1030 +tp1443 +a(g826 +g1030 +tp1444 +a(g826 +g1004 +tp1445 +a(g826 +g1030 +tp1446 +a(g826 +g1011 +tp1447 +a(g826 +g1022 +tp1448 +a(g826 +g1020 +tp1449 +a(g826 +g1008 +tp1450 +a(g826 +g1095 +tp1451 +a(g826 +g1162 +tp1452 +a(g826 +g1130 +tp1453 +a(g826 +g1141 +tp1454 +a(g826 +g1016 +tp1455 +a(g826 +g1030 +tp1456 +a(g826 +V3 +p1457 +tp1458 +a(g826 +V2 +p1459 +tp1460 +a(g826 +g1000 +tp1461 +a(g8 +V# comment is allowed here +p1462 +tp1463 +a(g826 +V\u000a +p1464 +tp1465 +a(g826 +V\u000a +p1466 +tp1467 +a(g8 +V#[custom] +p1468 +tp1469 +a(g826 +V\u000a +p1470 +tp1471 +a(g8 +V#SigLevel = Optional TrustAll +p1472 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g8 +V#Server = file:///home/custompkgs +p1476 +tp1477 +a(g826 +V\u000a +p1478 +tp1479 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pacman.ijs b/tests/examplefiles/output/pacman.ijs new file mode 100644 index 0000000..0ba63b9 --- /dev/null +++ b/tests/examplefiles/output/pacman.ijs @@ -0,0 +1,54160 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Variable' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g5 +g8 +sS'Operator' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Decorator' +p25 +g1 +(g2 +g3 +(g4 +g25 +tp26 +tp27 +Rp28 +(dp29 +g10 +g13 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Entity' +p33 +g1 +(g2 +g3 +(g4 +g33 +tp34 +tp35 +Rp36 +(dp37 +g10 +g13 +sS'DBS' +p38 +g1 +(g2 +g3 +(g4 +g33 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g36 +sg20 +g21 +((lp43 +tp44 +Rp45 +sbsg20 +g21 +((lp46 +g41 +atp47 +Rp48 +sbsS'Symbol' +p49 +g1 +(g2 +g3 +(g4 +g49 +tp50 +tp51 +Rp52 +(dp53 +g10 +g13 +sg20 +g21 +((lp54 +tp55 +Rp56 +sbsS'Property' +p57 +g1 +(g2 +g3 +(g4 +g57 +tp58 +tp59 +Rp60 +(dp61 +g10 +g13 +sg20 +g21 +((lp62 +tp63 +Rp64 +sbsS'Pseudo' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg20 +g21 +((lp70 +tp71 +Rp72 +sbsS'Type' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg20 +g21 +((lp78 +tp79 +Rp80 +sbsS'Classes' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsS'Tag' +p89 +g1 +(g2 +g3 +(g4 +g89 +tp90 +tp91 +Rp92 +(dp93 +g10 +g13 +sg20 +g21 +((lp94 +tp95 +Rp96 +sbsS'Constant' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg20 +g21 +((lp102 +tp103 +Rp104 +sbsS'Function' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg20 +g21 +((lp110 +g1 +(g2 +g3 +(g4 +g105 +S'Magic' +p111 +tp112 +tp113 +Rp114 +(dp115 +g10 +g108 +sg20 +g21 +((lp116 +tp117 +Rp118 +sbatp119 +Rp120 +sg111 +g114 +sbsS'Blubb' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg20 +g21 +((lp126 +tp127 +Rp128 +sbsS'Label' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg20 +g21 +((lp134 +tp135 +Rp136 +sbsS'Field' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg20 +g21 +((lp142 +tp143 +Rp144 +sbsS'Exception' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg20 +g21 +((lp150 +tp151 +Rp152 +sbsS'Namespace' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbsg20 +g21 +((lp161 +g28 +ag124 +ag68 +ag36 +ag8 +ag148 +ag60 +ag92 +ag108 +ag84 +ag1 +(g2 +g3 +(g4 +S'Class' +p162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g13 +sg38 +g1 +(g2 +g3 +(g4 +g162 +g38 +tp167 +tp168 +Rp169 +(dp170 +g10 +g165 +sg20 +g21 +((lp171 +tp172 +Rp173 +sbsg20 +g21 +((lp174 +g1 +(g2 +g3 +(g4 +g162 +S'Start' +p175 +tp176 +tp177 +Rp178 +(dp179 +g10 +g165 +sg20 +g21 +((lp180 +tp181 +Rp182 +sbag169 +atp183 +Rp184 +sg175 +g178 +sbag1 +(g2 +g3 +(g4 +S'Other' +p185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g13 +sS'Member' +p190 +g1 +(g2 +g3 +(g4 +g185 +g190 +tp191 +tp192 +Rp193 +(dp194 +g10 +g188 +sg20 +g21 +((lp195 +tp196 +Rp197 +sbsg20 +g21 +((lp198 +g193 +atp199 +Rp200 +sbag132 +ag18 +ag156 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p201 +tp202 +tp203 +Rp204 +(dp205 +g10 +g13 +sg5 +g1 +(g2 +g3 +(g4 +g201 +g5 +tp206 +tp207 +Rp208 +(dp209 +g10 +g204 +sg20 +g21 +((lp210 +tp211 +Rp212 +sbsg20 +g21 +((lp213 +g208 +atp214 +Rp215 +sbag100 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p216 +tp217 +tp218 +Rp219 +(dp220 +g10 +g13 +sg73 +g1 +(g2 +g3 +(g4 +g216 +g73 +tp221 +tp222 +Rp223 +(dp224 +g10 +g219 +sg20 +g21 +((lp225 +tp226 +Rp227 +sbsg20 +g21 +((lp228 +g1 +(g2 +g3 +(g4 +g216 +g65 +tp229 +tp230 +Rp231 +(dp232 +g10 +g219 +sg20 +g21 +((lp233 +tp234 +Rp235 +sbag223 +atp236 +Rp237 +sg65 +g231 +sbag140 +ag76 +ag52 +atp238 +Rp239 +sg10 +g1 +(g2 +g3 +(ttp240 +Rp241 +(dp242 +S'Number' +p243 +g1 +(g2 +g3 +(S'Literal' +p244 +g243 +tp245 +tp246 +Rp247 +(dp248 +S'Integer' +p249 +g1 +(g2 +g3 +(g244 +g243 +g249 +tp250 +tp251 +Rp252 +(dp253 +g10 +g247 +sS'Long' +p254 +g1 +(g2 +g3 +(g244 +g243 +g249 +g254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g252 +sg20 +g21 +((lp259 +tp260 +Rp261 +sbsg20 +g21 +((lp262 +g257 +atp263 +Rp264 +sbsg10 +g1 +(g2 +g3 +(g244 +tp265 +tp266 +Rp267 +(dp268 +S'Scalar' +p269 +g1 +(g2 +g3 +(g244 +g269 +tp270 +tp271 +Rp272 +(dp273 +g10 +g267 +sg20 +g21 +((lp274 +g1 +(g2 +g3 +(g244 +g269 +S'Plain' +p275 +tp276 +tp277 +Rp278 +(dp279 +g10 +g272 +sg20 +g21 +((lp280 +tp281 +Rp282 +sbatp283 +Rp284 +sg275 +g278 +sbsg243 +g247 +sg10 +g241 +sg185 +g1 +(g2 +g3 +(g244 +g185 +tp285 +tp286 +Rp287 +(dp288 +g10 +g267 +sg20 +g21 +((lp289 +tp290 +Rp291 +sbsS'Char' +p292 +g1 +(g2 +g3 +(g244 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g267 +sg20 +g21 +((lp297 +tp298 +Rp299 +sbsS'String' +p300 +g1 +(g2 +g3 +(g244 +g300 +tp301 +tp302 +Rp303 +(dp304 +g292 +g1 +(g2 +g3 +(g244 +g300 +g292 +tp305 +tp306 +Rp307 +(dp308 +g10 +g303 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbsS'Backtick' +p312 +g1 +(g2 +g3 +(g244 +g300 +g312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g303 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbsS'Heredoc' +p320 +g1 +(g2 +g3 +(g244 +g300 +g320 +tp321 +tp322 +Rp323 +(dp324 +g10 +g303 +sg20 +g21 +((lp325 +tp326 +Rp327 +sbsg49 +g1 +(g2 +g3 +(g244 +g300 +g49 +tp328 +tp329 +Rp330 +(dp331 +g10 +g303 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Interpol' +p335 +g1 +(g2 +g3 +(g244 +g300 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g303 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Delimiter' +p343 +g1 +(g2 +g3 +(g244 +g300 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g303 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Boolean' +p351 +g1 +(g2 +g3 +(g244 +g300 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g303 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Character' +p359 +g1 +(g2 +g3 +(g244 +g300 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g303 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Double' +p367 +g1 +(g2 +g3 +(g244 +g300 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g303 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Delimeter' +p375 +g1 +(g2 +g3 +(g244 +g300 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g303 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Atom' +p383 +g1 +(g2 +g3 +(g244 +g300 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g303 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Affix' +p391 +g1 +(g2 +g3 +(g244 +g300 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g303 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg4 +g1 +(g2 +g3 +(g244 +g300 +g4 +tp399 +tp400 +Rp401 +(dp402 +g10 +g303 +sg20 +g21 +((lp403 +tp404 +Rp405 +sbsS'Regex' +p406 +g1 +(g2 +g3 +(g244 +g300 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g303 +sg20 +g21 +((lp411 +tp412 +Rp413 +sbsS'Interp' +p414 +g1 +(g2 +g3 +(g244 +g300 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g303 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsS'Escape' +p422 +g1 +(g2 +g3 +(g244 +g300 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g303 +sg20 +g21 +((lp427 +tp428 +Rp429 +sbsg20 +g21 +((lp430 +g346 +ag330 +ag409 +ag1 +(g2 +g3 +(g244 +g300 +S'Doc' +p431 +tp432 +tp433 +Rp434 +(dp435 +g10 +g303 +sg20 +g21 +((lp436 +tp437 +Rp438 +sbag362 +ag354 +ag370 +ag338 +ag386 +ag378 +ag401 +ag425 +ag1 +(g2 +g3 +(g244 +g300 +S'Single' +p439 +tp440 +tp441 +Rp442 +(dp443 +g10 +g303 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbag1 +(g2 +g3 +(g244 +g300 +g185 +tp447 +tp448 +Rp449 +(dp450 +g10 +g303 +sg20 +g21 +((lp451 +tp452 +Rp453 +sbag417 +ag315 +ag394 +ag1 +(g2 +g3 +(g244 +g300 +S'Moment' +p454 +tp455 +tp456 +Rp457 +(dp458 +g10 +g303 +sg20 +g21 +((lp459 +tp460 +Rp461 +sbag307 +ag323 +atp462 +Rp463 +sg439 +g442 +sg454 +g457 +sg10 +g267 +sg185 +g449 +sg431 +g434 +sbsg20 +g21 +((lp464 +g303 +ag295 +ag287 +ag1 +(g2 +g3 +(g244 +S'Date' +p465 +tp466 +tp467 +Rp468 +(dp469 +g10 +g267 +sg20 +g21 +((lp470 +tp471 +Rp472 +sbag272 +ag247 +atp473 +Rp474 +sg465 +g468 +sbsS'Bin' +p475 +g1 +(g2 +g3 +(g244 +g243 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g247 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbsS'Radix' +p483 +g1 +(g2 +g3 +(g244 +g243 +g483 +tp484 +tp485 +Rp486 +(dp487 +g10 +g247 +sg20 +g21 +((lp488 +tp489 +Rp490 +sbsS'Oct' +p491 +g1 +(g2 +g3 +(g244 +g243 +g491 +tp492 +tp493 +Rp494 +(dp495 +g10 +g247 +sg20 +g21 +((lp496 +tp497 +Rp498 +sbsS'Dec' +p499 +g1 +(g2 +g3 +(g244 +g243 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g247 +sg20 +g21 +((lp504 +tp505 +Rp506 +sbsS'Hex' +p507 +g1 +(g2 +g3 +(g244 +g243 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g247 +sg20 +g21 +((lp512 +tp513 +Rp514 +sbsg20 +g21 +((lp515 +g252 +ag486 +ag502 +ag1 +(g2 +g3 +(g244 +g243 +S'Decimal' +p516 +tp517 +tp518 +Rp519 +(dp520 +g10 +g247 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbag478 +ag1 +(g2 +g3 +(g244 +g243 +S'Float' +p524 +tp525 +tp526 +Rp527 +(dp528 +g10 +g247 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbag494 +ag510 +atp532 +Rp533 +sg516 +g519 +sg524 +g527 +sbsS'Generic' +p534 +g1 +(g2 +g3 +(g534 +tp535 +tp536 +Rp537 +(dp538 +g10 +g241 +sS'Deleted' +p539 +g1 +(g2 +g3 +(g534 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g537 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Subheading' +p547 +g1 +(g2 +g3 +(g534 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g537 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Heading' +p555 +g1 +(g2 +g3 +(g534 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g537 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Emph' +p563 +g1 +(g2 +g3 +(g534 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g537 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Prompt' +p571 +g1 +(g2 +g3 +(g534 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g537 +sg20 +g21 +((lp576 +tp577 +Rp578 +sbsS'Inserted' +p579 +g1 +(g2 +g3 +(g534 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g537 +sg20 +g21 +((lp584 +tp585 +Rp586 +sbsS'Strong' +p587 +g1 +(g2 +g3 +(g534 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g537 +sg20 +g21 +((lp592 +tp593 +Rp594 +sbsS'Error' +p595 +g1 +(g2 +g3 +(g534 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g537 +sg20 +g21 +((lp600 +tp601 +Rp602 +sbsS'Traceback' +p603 +g1 +(g2 +g3 +(g534 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g537 +sg20 +g21 +((lp608 +tp609 +Rp610 +sbsg20 +g21 +((lp611 +g558 +ag550 +ag1 +(g2 +g3 +(g534 +S'Output' +p612 +tp613 +tp614 +Rp615 +(dp616 +g10 +g537 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbag590 +ag566 +ag598 +ag606 +ag582 +ag574 +ag542 +atp620 +Rp621 +sg612 +g615 +sbsg15 +g1 +(g2 +g3 +(g15 +tp622 +tp623 +Rp624 +(dp625 +g10 +g241 +sg38 +g1 +(g2 +g3 +(g15 +g38 +tp626 +tp627 +Rp628 +(dp629 +g10 +g624 +sg20 +g21 +((lp630 +tp631 +Rp632 +sbsg20 +g21 +((lp633 +g628 +ag1 +(g2 +g3 +(g15 +S'Word' +p634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g624 +sg20 +g21 +((lp639 +tp640 +Rp641 +sbatp642 +Rp643 +sg634 +g637 +sbsg300 +g303 +sg4 +g13 +sS'Punctuation' +p644 +g1 +(g2 +g3 +(g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g241 +sg20 +g21 +((lp649 +g1 +(g2 +g3 +(g644 +S'Indicator' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g647 +sg20 +g21 +((lp655 +tp656 +Rp657 +sbatp658 +Rp659 +sg650 +g653 +sbsS'Comment' +p660 +g1 +(g2 +g3 +(g660 +tp661 +tp662 +Rp663 +(dp664 +S'Multi' +p665 +g1 +(g2 +g3 +(g660 +g665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g663 +sg20 +g21 +((lp670 +tp671 +Rp672 +sbsg10 +g241 +sS'Special' +p673 +g1 +(g2 +g3 +(g660 +g673 +tp674 +tp675 +Rp676 +(dp677 +g10 +g663 +sg20 +g21 +((lp678 +tp679 +Rp680 +sbsS'Hashbang' +p681 +g1 +(g2 +g3 +(g660 +g681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g663 +sg20 +g21 +((lp686 +tp687 +Rp688 +sbsS'Preproc' +p689 +g1 +(g2 +g3 +(g660 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g663 +sg20 +g21 +((lp694 +tp695 +Rp696 +sbsg439 +g1 +(g2 +g3 +(g660 +g439 +tp697 +tp698 +Rp699 +(dp700 +g10 +g663 +sg20 +g21 +((lp701 +tp702 +Rp703 +sbsS'Directive' +p704 +g1 +(g2 +g3 +(g660 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g663 +sg20 +g21 +((lp709 +tp710 +Rp711 +sbsg431 +g1 +(g2 +g3 +(g660 +g431 +tp712 +tp713 +Rp714 +(dp715 +g10 +g663 +sg20 +g21 +((lp716 +tp717 +Rp718 +sbsS'Singleline' +p719 +g1 +(g2 +g3 +(g660 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g663 +sg20 +g21 +((lp724 +tp725 +Rp726 +sbsS'Multiline' +p727 +g1 +(g2 +g3 +(g660 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g663 +sg20 +g21 +((lp732 +tp733 +Rp734 +sbsg20 +g21 +((lp735 +g714 +ag707 +ag684 +ag668 +ag722 +ag692 +ag730 +ag699 +ag1 +(g2 +g3 +(g660 +S'PreprocFile' +p736 +tp737 +tp738 +Rp739 +(dp740 +g10 +g663 +sg20 +g21 +((lp741 +tp742 +Rp743 +sbag1 +(g2 +g3 +(g660 +S'SingleLine' +p744 +tp745 +tp746 +Rp747 +(dp748 +g10 +g663 +sg20 +g21 +((lp749 +tp750 +Rp751 +sbag676 +atp752 +Rp753 +sg736 +g739 +sg744 +g747 +sbsg244 +g267 +sg185 +g1 +(g2 +g3 +(g185 +tp754 +tp755 +Rp756 +(dp757 +g10 +g241 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg595 +g1 +(g2 +g3 +(g595 +tp761 +tp762 +Rp763 +(dp764 +g10 +g241 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Token' +p768 +g241 +sg422 +g1 +(g2 +g3 +(g422 +tp769 +tp770 +Rp771 +(dp772 +g10 +g241 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g13 +ag756 +ag1 +(g2 +g3 +(S'Keyword' +p777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g241 +sg73 +g1 +(g2 +g3 +(g777 +g73 +tp782 +tp783 +Rp784 +(dp785 +g10 +g780 +sg20 +g21 +((lp786 +tp787 +Rp788 +sbsS'Control' +p789 +g1 +(g2 +g3 +(g777 +g789 +tp790 +tp791 +Rp792 +(dp793 +g10 +g780 +sg20 +g21 +((lp794 +tp795 +Rp796 +sbsg97 +g1 +(g2 +g3 +(g777 +g97 +tp797 +tp798 +Rp799 +(dp800 +g10 +g780 +sg20 +g21 +((lp801 +tp802 +Rp803 +sbsg153 +g1 +(g2 +g3 +(g777 +g153 +tp804 +tp805 +Rp806 +(dp807 +g10 +g780 +sg20 +g21 +((lp808 +tp809 +Rp810 +sbsS'PreProc' +p811 +g1 +(g2 +g3 +(g777 +g811 +tp812 +tp813 +Rp814 +(dp815 +g10 +g780 +sg20 +g21 +((lp816 +tp817 +Rp818 +sbsg65 +g1 +(g2 +g3 +(g777 +g65 +tp819 +tp820 +Rp821 +(dp822 +g10 +g780 +sg20 +g21 +((lp823 +tp824 +Rp825 +sbsS'Reserved' +p826 +g1 +(g2 +g3 +(g777 +g826 +tp827 +tp828 +Rp829 +(dp830 +g10 +g780 +sg20 +g21 +((lp831 +tp832 +Rp833 +sbsg20 +g21 +((lp834 +g806 +ag1 +(g2 +g3 +(g777 +g634 +tp835 +tp836 +Rp837 +(dp838 +g10 +g780 +sg20 +g21 +((lp839 +tp840 +Rp841 +sbag792 +ag1 +(g2 +g3 +(g777 +S'Declaration' +p842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g780 +sg20 +g21 +((lp847 +tp848 +Rp849 +sbag1 +(g2 +g3 +(g777 +g777 +tp850 +tp851 +Rp852 +(dp853 +g10 +g780 +sg20 +g21 +((lp854 +tp855 +Rp856 +sbag821 +ag799 +ag784 +ag829 +ag814 +atp857 +Rp858 +sg777 +g852 +sg842 +g845 +sg634 +g837 +sbag537 +ag1 +(g2 +g3 +(S'Text' +p859 +tp860 +tp861 +Rp862 +(dp863 +S'Beer' +p864 +g1 +(g2 +g3 +(g859 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g862 +sg20 +g21 +((lp869 +tp870 +Rp871 +sbsS'Whitespace' +p872 +g1 +(g2 +g3 +(g859 +g872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g862 +sg20 +g21 +((lp877 +tp878 +Rp879 +sbsg10 +g241 +sS'Root' +p880 +g1 +(g2 +g3 +(g859 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g862 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg49 +g1 +(g2 +g3 +(g859 +g49 +tp888 +tp889 +Rp890 +(dp891 +g10 +g862 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsg644 +g1 +(g2 +g3 +(g859 +g644 +tp895 +tp896 +Rp897 +(dp898 +g10 +g862 +sg20 +g21 +((lp899 +tp900 +Rp901 +sbsg20 +g21 +((lp902 +g883 +ag890 +ag897 +ag875 +ag867 +ag1 +(g2 +g3 +(g859 +S'Rag' +p903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g862 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbatp911 +Rp912 +sg903 +g906 +sbag624 +ag771 +ag647 +ag663 +ag763 +ag267 +atp913 +Rp914 +sg777 +g780 +sg859 +g862 +sbsg162 +g165 +sg216 +g219 +sg201 +g204 +sg185 +g188 +sbsg162 +g1 +(g2 +g3 +(g4 +g5 +g162 +tp915 +tp916 +Rp917 +(dp918 +g10 +g8 +sg20 +g21 +((lp919 +tp920 +Rp921 +sbsS'Anonymous' +p922 +g1 +(g2 +g3 +(g4 +g5 +g922 +tp923 +tp924 +Rp925 +(dp926 +g10 +g8 +sg20 +g21 +((lp927 +tp928 +Rp929 +sbsS'Instance' +p930 +g1 +(g2 +g3 +(g4 +g5 +g930 +tp931 +tp932 +Rp933 +(dp934 +g10 +g8 +sg20 +g21 +((lp935 +tp936 +Rp937 +sbsS'Global' +p938 +g1 +(g2 +g3 +(g4 +g5 +g938 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg20 +g21 +((lp943 +tp944 +Rp945 +sbsg20 +g21 +((lp946 +g925 +ag933 +ag1 +(g2 +g3 +(g4 +g5 +g111 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg20 +g21 +((lp951 +tp952 +Rp953 +sbag941 +ag917 +atp954 +Rp955 +sg111 +g949 +sbVcocurrent +p956 +tp957 +a(g862 +V +p958 +tp959 +a(g303 +V' +p960 +tp961 +a(g303 +Vj +p962 +tp963 +a(g303 +Vp +p964 +tp965 +a(g303 +Va +p966 +tp967 +a(g303 +Vc +p968 +tp969 +a(g303 +Vm +p970 +tp971 +a(g303 +g966 +tp972 +a(g303 +Vn +p973 +tp974 +a(g303 +g960 +tp975 +a(g862 +V\u000a +p976 +tp977 +a(g8 +Vcoinsert +p978 +tp979 +a(g862 +g958 +tp980 +a(g303 +g960 +tp981 +a(g303 +g962 +tp982 +a(g303 +g960 +tp983 +a(g862 +V\u000a\u000a +p984 +tp985 +a(g8 +VBASELIB +p986 +tp987 +a(g624 +V=: +p988 +tp989 +a(g862 +g958 +tp990 +a(g303 +g960 +tp991 +a(g303 +Vb +p992 +tp993 +a(g303 +g966 +tp994 +a(g303 +Vs +p995 +tp996 +a(g303 +Ve +p997 +tp998 +a(g303 +g958 +tp999 +a(g303 +Vl +p1000 +tp1001 +a(g303 +Vi +p1002 +tp1003 +a(g303 +g992 +tp1004 +a(g303 +Vr +p1005 +tp1006 +a(g303 +g966 +tp1007 +a(g303 +g1005 +tp1008 +a(g303 +Vy +p1009 +tp1010 +a(g303 +g960 +tp1011 +a(g862 +V\u000a +p1012 +tp1013 +a(g8 +VDATAMASK +p1014 +tp1015 +a(g624 +V=: +p1016 +tp1017 +a(g862 +g958 +tp1018 +a(g252 +V0 +p1019 +tp1020 +a(g862 +V\u000a +p1021 +tp1022 +a(g8 +VHWNDP +p1023 +tp1024 +a(g624 +V=: +p1025 +tp1026 +a(g862 +g958 +tp1027 +a(g303 +g960 +tp1028 +a(g303 +g960 +tp1029 +a(g862 +V\u000a +p1030 +tp1031 +a(g8 +VISGUI +p1032 +tp1033 +a(g624 +V=: +p1034 +tp1035 +a(g862 +g958 +tp1036 +a(g252 +g1019 +tp1037 +a(g862 +V\u000a +p1038 +tp1039 +a(g8 +VONLINE +p1040 +tp1041 +a(g624 +V=: +p1042 +tp1043 +a(g862 +g958 +tp1044 +a(g252 +g1019 +tp1045 +a(g862 +V\u000a +p1046 +tp1047 +a(g8 +VPKGDATA +p1048 +tp1049 +a(g624 +V=: +p1050 +tp1051 +a(g862 +g958 +tp1052 +a(g252 +g1019 +tp1053 +a(g862 +g958 +tp1054 +a(g252 +V7 +p1055 +tp1056 +a(g624 +V$ +p1057 +tp1058 +a(g8 +g966 +tp1059 +a(g624 +V: +p1060 +tp1061 +a(g862 +V\u000a +p1062 +tp1063 +a(g8 +VSECTION +p1064 +tp1065 +a(g624 +V=: +p1066 +tp1067 +a(g862 +g958 +tp1068 +a(g624 +V, +p1069 +tp1070 +a(g624 +V< +p1071 +tp1072 +a(g303 +g960 +tp1073 +a(g303 +VA +p1074 +tp1075 +a(g303 +g1000 +tp1076 +a(g303 +g1000 +tp1077 +a(g303 +g960 +tp1078 +a(g862 +V\u000a +p1079 +tp1080 +a(g8 +VSYSNAME +p1081 +tp1082 +a(g624 +V=: +p1083 +tp1084 +a(g862 +g958 +tp1085 +a(g303 +g960 +tp1086 +a(g303 +VP +p1087 +tp1088 +a(g303 +g966 +tp1089 +a(g303 +g968 +tp1090 +a(g303 +Vk +p1091 +tp1092 +a(g303 +g966 +tp1093 +a(g303 +Vg +p1094 +tp1095 +a(g303 +g997 +tp1096 +a(g303 +g958 +tp1097 +a(g303 +VM +p1098 +tp1099 +a(g303 +g966 +tp1100 +a(g303 +g973 +tp1101 +a(g303 +g966 +tp1102 +a(g303 +g1094 +tp1103 +a(g303 +g997 +tp1104 +a(g303 +g1005 +tp1105 +a(g303 +g960 +tp1106 +a(g862 +V\u000a +p1107 +tp1108 +a(g8 +VTIMEOUT +p1109 +tp1110 +a(g624 +V=: +p1111 +tp1112 +a(g862 +g958 +tp1113 +a(g252 +V60 +p1114 +tp1115 +a(g862 +V\u000a +p1116 +tp1117 +a(g8 +VWWWREV +p1118 +tp1119 +a(g624 +V=: +p1120 +tp1121 +a(g862 +g958 +tp1122 +a(g8 +VREV +p1123 +tp1124 +a(g624 +V=: +p1125 +tp1126 +a(g862 +g958 +tp1127 +a(g252 +V_1 +p1128 +tp1129 +a(g862 +V\u000a\u000a +p1130 +tp1131 +a(g8 +VIgnoreIOS +p1132 +tp1133 +a(g624 +V=: +p1134 +tp1135 +a(g862 +g958 +tp1136 +a(g36 +V0 : 0 +p1137 +tp1138 +a(g303 +V\u000a +p1139 +tp1140 +a(g303 +g966 +tp1141 +a(g303 +g964 +tp1142 +a(g303 +g1002 +tp1143 +a(g303 +V/ +p1144 +tp1145 +a(g303 +g962 +tp1146 +a(g303 +g973 +tp1147 +a(g303 +g1002 +tp1148 +a(g303 +V\u000a +p1149 +tp1150 +a(g303 +Vd +p1151 +tp1152 +a(g303 +g966 +tp1153 +a(g303 +Vt +p1154 +tp1155 +a(g303 +g966 +tp1156 +a(g303 +g1144 +tp1157 +a(g303 +g1151 +tp1158 +a(g303 +g992 +tp1159 +a(g303 +g970 +tp1160 +a(g303 +g966 +tp1161 +a(g303 +g973 +tp1162 +a(g303 +V\u000a +p1163 +tp1164 +a(g303 +g1151 +tp1165 +a(g303 +g966 +tp1166 +a(g303 +g1154 +tp1167 +a(g303 +g966 +tp1168 +a(g303 +g1144 +tp1169 +a(g303 +g1151 +tp1170 +a(g303 +g1151 +tp1171 +a(g303 +g970 +tp1172 +a(g303 +g1009 +tp1173 +a(g303 +g995 +tp1174 +a(g303 +Vq +p1175 +tp1176 +a(g303 +g1000 +tp1177 +a(g303 +V\u000a +p1178 +tp1179 +a(g303 +g1151 +tp1180 +a(g303 +g966 +tp1181 +a(g303 +g1154 +tp1182 +a(g303 +g966 +tp1183 +a(g303 +g1144 +tp1184 +a(g303 +Vo +p1185 +tp1186 +a(g303 +g1151 +tp1187 +a(g303 +g992 +tp1188 +a(g303 +g968 +tp1189 +a(g303 +V\u000a +p1190 +tp1191 +a(g303 +g1151 +tp1192 +a(g303 +g997 +tp1193 +a(g303 +g970 +tp1194 +a(g303 +g1185 +tp1195 +a(g303 +g995 +tp1196 +a(g303 +g1144 +tp1197 +a(g303 +g1002 +tp1198 +a(g303 +g995 +tp1199 +a(g303 +g1002 +tp1200 +a(g303 +g1094 +tp1201 +a(g303 +g1005 +tp1202 +a(g303 +g966 +tp1203 +a(g303 +g964 +tp1204 +a(g303 +Vh +p1205 +tp1206 +a(g303 +V\u000a +p1207 +tp1208 +a(g303 +g1151 +tp1209 +a(g303 +g997 +tp1210 +a(g303 +g970 +tp1211 +a(g303 +g1185 +tp1212 +a(g303 +g995 +tp1213 +a(g303 +g1144 +tp1214 +a(g303 +Vw +p1215 +tp1216 +a(g303 +g1151 +tp1217 +a(g303 +V\u000a +p1218 +tp1219 +a(g303 +g1151 +tp1220 +a(g303 +g997 +tp1221 +a(g303 +g970 +tp1222 +a(g303 +g1185 +tp1223 +a(g303 +g995 +tp1224 +a(g303 +g1144 +tp1225 +a(g303 +g1215 +tp1226 +a(g303 +g1151 +tp1227 +a(g303 +g964 +tp1228 +a(g303 +g1000 +tp1229 +a(g303 +g1185 +tp1230 +a(g303 +g1154 +tp1231 +a(g303 +V\u000a +p1232 +tp1233 +a(g303 +g1094 +tp1234 +a(g303 +g966 +tp1235 +a(g303 +g970 +tp1236 +a(g303 +g997 +tp1237 +a(g303 +g995 +tp1238 +a(g303 +g1144 +tp1239 +a(g303 +g970 +tp1240 +a(g303 +g1002 +tp1241 +a(g303 +g973 +tp1242 +a(g303 +g997 +tp1243 +a(g303 +g995 +tp1244 +a(g303 +g1215 +tp1245 +a(g303 +g997 +tp1246 +a(g303 +g997 +tp1247 +a(g303 +g964 +tp1248 +a(g303 +g997 +tp1249 +a(g303 +g1005 +tp1250 +a(g303 +V\u000a +p1251 +tp1252 +a(g303 +g1094 +tp1253 +a(g303 +g966 +tp1254 +a(g303 +g970 +tp1255 +a(g303 +g997 +tp1256 +a(g303 +g995 +tp1257 +a(g303 +g1144 +tp1258 +a(g303 +g973 +tp1259 +a(g303 +Vu +p1260 +tp1261 +a(g303 +g1005 +tp1262 +a(g303 +g1002 +tp1263 +a(g303 +g1091 +tp1264 +a(g303 +g966 +tp1265 +a(g303 +g992 +tp1266 +a(g303 +g997 +tp1267 +a(g303 +V\u000a +p1268 +tp1269 +a(g303 +g1094 +tp1270 +a(g303 +g966 +tp1271 +a(g303 +g970 +tp1272 +a(g303 +g997 +tp1273 +a(g303 +g995 +tp1274 +a(g303 +g1144 +tp1275 +a(g303 +g964 +tp1276 +a(g303 +g1185 +tp1277 +a(g303 +g1260 +tp1278 +a(g303 +g995 +tp1279 +a(g303 +g995 +tp1280 +a(g303 +g997 +tp1281 +a(g303 +V\u000a +p1282 +tp1283 +a(g303 +g1094 +tp1284 +a(g303 +g966 +tp1285 +a(g303 +g970 +tp1286 +a(g303 +g997 +tp1287 +a(g303 +g995 +tp1288 +a(g303 +g1144 +tp1289 +a(g303 +g995 +tp1290 +a(g303 +g1185 +tp1291 +a(g303 +g1000 +tp1292 +a(g303 +g1002 +tp1293 +a(g303 +g1154 +tp1294 +a(g303 +g966 +tp1295 +a(g303 +g1002 +tp1296 +a(g303 +g1005 +tp1297 +a(g303 +g997 +tp1298 +a(g303 +V\u000a +p1299 +tp1300 +a(g303 +g1094 +tp1301 +a(g303 +g997 +tp1302 +a(g303 +g973 +tp1303 +a(g303 +g997 +tp1304 +a(g303 +g1005 +tp1305 +a(g303 +g966 +tp1306 +a(g303 +g1000 +tp1307 +a(g303 +g1144 +tp1308 +a(g303 +g964 +tp1309 +a(g303 +g968 +tp1310 +a(g303 +g966 +tp1311 +a(g303 +g1000 +tp1312 +a(g303 +g1000 +tp1313 +a(g303 +V\u000a +p1314 +tp1315 +a(g303 +g1094 +tp1316 +a(g303 +g997 +tp1317 +a(g303 +g973 +tp1318 +a(g303 +g997 +tp1319 +a(g303 +g1005 +tp1320 +a(g303 +g966 +tp1321 +a(g303 +g1000 +tp1322 +a(g303 +g1144 +tp1323 +a(g303 +g995 +tp1324 +a(g303 +Vf +p1325 +tp1326 +a(g303 +g1000 +tp1327 +a(g303 +V\u000a +p1328 +tp1329 +a(g303 +g1094 +tp1330 +a(g303 +g1005 +tp1331 +a(g303 +g966 +tp1332 +a(g303 +g964 +tp1333 +a(g303 +g1205 +tp1334 +a(g303 +g1002 +tp1335 +a(g303 +g968 +tp1336 +a(g303 +g995 +tp1337 +a(g303 +g1144 +tp1338 +a(g303 +g1151 +tp1339 +a(g303 +V3 +p1340 +tp1341 +a(g303 +V\u000a +p1342 +tp1343 +a(g303 +g1094 +tp1344 +a(g303 +g1005 +tp1345 +a(g303 +g966 +tp1346 +a(g303 +g964 +tp1347 +a(g303 +g1205 +tp1348 +a(g303 +g1002 +tp1349 +a(g303 +g968 +tp1350 +a(g303 +g995 +tp1351 +a(g303 +g1144 +tp1352 +a(g303 +g1325 +tp1353 +a(g303 +Vv +p1354 +tp1355 +a(g303 +g962 +tp1356 +a(g303 +g1340 +tp1357 +a(g303 +V\u000a +p1358 +tp1359 +a(g303 +g1094 +tp1360 +a(g303 +g1005 +tp1361 +a(g303 +g966 +tp1362 +a(g303 +g964 +tp1363 +a(g303 +g1205 +tp1364 +a(g303 +g1002 +tp1365 +a(g303 +g968 +tp1366 +a(g303 +g995 +tp1367 +a(g303 +g1144 +tp1368 +a(g303 +g1094 +tp1369 +a(g303 +g1000 +tp1370 +a(g303 +V2 +p1371 +tp1372 +a(g303 +V\u000a +p1373 +tp1374 +a(g303 +g1094 +tp1375 +a(g303 +g1005 +tp1376 +a(g303 +g966 +tp1377 +a(g303 +g964 +tp1378 +a(g303 +g1205 +tp1379 +a(g303 +g1002 +tp1380 +a(g303 +g968 +tp1381 +a(g303 +g995 +tp1382 +a(g303 +g1144 +tp1383 +a(g303 +g1094 +tp1384 +a(g303 +g973 +tp1385 +a(g303 +g1260 +tp1386 +a(g303 +g964 +tp1387 +a(g303 +g1000 +tp1388 +a(g303 +g1185 +tp1389 +a(g303 +g1154 +tp1390 +a(g303 +V\u000a +p1391 +tp1392 +a(g303 +g1094 +tp1393 +a(g303 +g1005 +tp1394 +a(g303 +g966 +tp1395 +a(g303 +g964 +tp1396 +a(g303 +g1205 +tp1397 +a(g303 +g1002 +tp1398 +a(g303 +g968 +tp1399 +a(g303 +g995 +tp1400 +a(g303 +g1144 +tp1401 +a(g303 +g1094 +tp1402 +a(g303 +g1005 +tp1403 +a(g303 +g966 +tp1404 +a(g303 +g964 +tp1405 +a(g303 +g1205 +tp1406 +a(g303 +V\u000a +p1407 +tp1408 +a(g303 +g1094 +tp1409 +a(g303 +g1005 +tp1410 +a(g303 +g966 +tp1411 +a(g303 +g964 +tp1412 +a(g303 +g1205 +tp1413 +a(g303 +g1002 +tp1414 +a(g303 +g968 +tp1415 +a(g303 +g995 +tp1416 +a(g303 +g1144 +tp1417 +a(g303 +g1094 +tp1418 +a(g303 +g1005 +tp1419 +a(g303 +g966 +tp1420 +a(g303 +g964 +tp1421 +a(g303 +g1205 +tp1422 +a(g303 +g1354 +tp1423 +a(g303 +g1002 +tp1424 +a(g303 +Vz +p1425 +tp1426 +a(g303 +V\u000a +p1427 +tp1428 +a(g303 +g1094 +tp1429 +a(g303 +g1005 +tp1430 +a(g303 +g966 +tp1431 +a(g303 +g964 +tp1432 +a(g303 +g1205 +tp1433 +a(g303 +g1002 +tp1434 +a(g303 +g968 +tp1435 +a(g303 +g995 +tp1436 +a(g303 +g1144 +tp1437 +a(g303 +g962 +tp1438 +a(g303 +g1154 +tp1439 +a(g303 +g1260 +tp1440 +a(g303 +g1005 +tp1441 +a(g303 +g1154 +tp1442 +a(g303 +g1000 +tp1443 +a(g303 +g997 +tp1444 +a(g303 +V\u000a +p1445 +tp1446 +a(g303 +g1094 +tp1447 +a(g303 +g1005 +tp1448 +a(g303 +g966 +tp1449 +a(g303 +g964 +tp1450 +a(g303 +g1205 +tp1451 +a(g303 +g1002 +tp1452 +a(g303 +g968 +tp1453 +a(g303 +g995 +tp1454 +a(g303 +g1144 +tp1455 +a(g303 +g964 +tp1456 +a(g303 +g1005 +tp1457 +a(g303 +g1002 +tp1458 +a(g303 +g973 +tp1459 +a(g303 +g1154 +tp1460 +a(g303 +V\u000a +p1461 +tp1462 +a(g303 +g1094 +tp1463 +a(g303 +g1005 +tp1464 +a(g303 +g966 +tp1465 +a(g303 +g964 +tp1466 +a(g303 +g1205 +tp1467 +a(g303 +g1002 +tp1468 +a(g303 +g968 +tp1469 +a(g303 +g995 +tp1470 +a(g303 +g1144 +tp1471 +a(g303 +g1154 +tp1472 +a(g303 +g1094 +tp1473 +a(g303 +g995 +tp1474 +a(g303 +g962 +tp1475 +a(g303 +V\u000a +p1476 +tp1477 +a(g303 +g1094 +tp1478 +a(g303 +g1005 +tp1479 +a(g303 +g966 +tp1480 +a(g303 +g964 +tp1481 +a(g303 +g1205 +tp1482 +a(g303 +g1002 +tp1483 +a(g303 +g968 +tp1484 +a(g303 +g995 +tp1485 +a(g303 +g1144 +tp1486 +a(g303 +g1154 +tp1487 +a(g303 +g1005 +tp1488 +a(g303 +g997 +tp1489 +a(g303 +g997 +tp1490 +a(g303 +g970 +tp1491 +a(g303 +g966 +tp1492 +a(g303 +g964 +tp1493 +a(g303 +V\u000a +p1494 +tp1495 +a(g303 +g1094 +tp1496 +a(g303 +g1005 +tp1497 +a(g303 +g966 +tp1498 +a(g303 +g964 +tp1499 +a(g303 +g1205 +tp1500 +a(g303 +g1002 +tp1501 +a(g303 +g968 +tp1502 +a(g303 +g995 +tp1503 +a(g303 +g1144 +tp1504 +a(g303 +g1354 +tp1505 +a(g303 +g1002 +tp1506 +a(g303 +g997 +tp1507 +a(g303 +g1215 +tp1508 +a(g303 +g970 +tp1509 +a(g303 +g966 +tp1510 +a(g303 +g1154 +tp1511 +a(g303 +V\u000a +p1512 +tp1513 +a(g303 +g1094 +tp1514 +a(g303 +g1260 +tp1515 +a(g303 +g1002 +tp1516 +a(g303 +g1144 +tp1517 +a(g303 +g970 +tp1518 +a(g303 +g1185 +tp1519 +a(g303 +g973 +tp1520 +a(g303 +g1154 +tp1521 +a(g303 +g1205 +tp1522 +a(g303 +g1354 +tp1523 +a(g303 +g1002 +tp1524 +a(g303 +g997 +tp1525 +a(g303 +g1215 +tp1526 +a(g303 +V\u000a +p1527 +tp1528 +a(g303 +g1094 +tp1529 +a(g303 +g1260 +tp1530 +a(g303 +g1002 +tp1531 +a(g303 +g1144 +tp1532 +a(g303 +g1260 +tp1533 +a(g303 +g1154 +tp1534 +a(g303 +g1002 +tp1535 +a(g303 +g1000 +tp1536 +a(g303 +V\u000a +p1537 +tp1538 +a(g303 +g1002 +tp1539 +a(g303 +g1151 +tp1540 +a(g303 +g997 +tp1541 +a(g303 +g1144 +tp1542 +a(g303 +g1175 +tp1543 +a(g303 +g1154 +tp1544 +a(g303 +V\u000a +p1545 +tp1546 +a(g303 +g970 +tp1547 +a(g303 +g966 +tp1548 +a(g303 +g1154 +tp1549 +a(g303 +g1205 +tp1550 +a(g303 +g1144 +tp1551 +a(g303 +g1154 +tp1552 +a(g303 +g966 +tp1553 +a(g303 +g992 +tp1554 +a(g303 +g1260 +tp1555 +a(g303 +g1000 +tp1556 +a(g303 +g966 +tp1557 +a(g303 +V\u000a +p1558 +tp1559 +a(g303 +g970 +tp1560 +a(g303 +g997 +tp1561 +a(g303 +g1151 +tp1562 +a(g303 +g1002 +tp1563 +a(g303 +g966 +tp1564 +a(g303 +g1144 +tp1565 +a(g303 +g966 +tp1566 +a(g303 +g973 +tp1567 +a(g303 +g1002 +tp1568 +a(g303 +g970 +tp1569 +a(g303 +g966 +tp1570 +a(g303 +g1154 +tp1571 +a(g303 +g997 +tp1572 +a(g303 +V\u000a +p1573 +tp1574 +a(g303 +g970 +tp1575 +a(g303 +g997 +tp1576 +a(g303 +g1151 +tp1577 +a(g303 +g1002 +tp1578 +a(g303 +g966 +tp1579 +a(g303 +g1144 +tp1580 +a(g303 +g1094 +tp1581 +a(g303 +g1151 +tp1582 +a(g303 +g1002 +tp1583 +a(g303 +g964 +tp1584 +a(g303 +g1000 +tp1585 +a(g303 +g1260 +tp1586 +a(g303 +g995 +tp1587 +a(g303 +V\u000a +p1588 +tp1589 +a(g303 +g970 +tp1590 +a(g303 +g997 +tp1591 +a(g303 +g1151 +tp1592 +a(g303 +g1002 +tp1593 +a(g303 +g966 +tp1594 +a(g303 +g1144 +tp1595 +a(g303 +g1002 +tp1596 +a(g303 +g970 +tp1597 +a(g303 +g966 +tp1598 +a(g303 +g1094 +tp1599 +a(g303 +g997 +tp1600 +a(g303 +g1340 +tp1601 +a(g303 +V\u000a +p1602 +tp1603 +a(g303 +g970 +tp1604 +a(g303 +g997 +tp1605 +a(g303 +g1151 +tp1606 +a(g303 +g1002 +tp1607 +a(g303 +g966 +tp1608 +a(g303 +g1144 +tp1609 +a(g303 +g970 +tp1610 +a(g303 +g1002 +tp1611 +a(g303 +g973 +tp1612 +a(g303 +g1094 +tp1613 +a(g303 +V\u000a +p1614 +tp1615 +a(g303 +g970 +tp1616 +a(g303 +g997 +tp1617 +a(g303 +g1151 +tp1618 +a(g303 +g1002 +tp1619 +a(g303 +g966 +tp1620 +a(g303 +g1144 +tp1621 +a(g303 +g964 +tp1622 +a(g303 +g966 +tp1623 +a(g303 +g1002 +tp1624 +a(g303 +g973 +tp1625 +a(g303 +g1154 +tp1626 +a(g303 +V\u000a +p1627 +tp1628 +a(g303 +g970 +tp1629 +a(g303 +g997 +tp1630 +a(g303 +g1151 +tp1631 +a(g303 +g1002 +tp1632 +a(g303 +g966 +tp1633 +a(g303 +g1144 +tp1634 +a(g303 +g1215 +tp1635 +a(g303 +g966 +tp1636 +a(g303 +g1354 +tp1637 +a(g303 +V\u000a +p1638 +tp1639 +a(g132 +V) +p1640 +tp1641 +a(g862 +V\u000a\u000a +p1642 +tp1643 +a(g8 +VIgnore +p1644 +tp1645 +a(g624 +V=: +p1646 +tp1647 +a(g862 +g958 +tp1648 +a(g108 +V3 : 0 +p1649 +tp1650 +a(g303 +g960 +tp1651 +a(g303 +g960 +tp1652 +a(g862 +V\u000a +p1653 +tp1654 +a(g132 +Vif. +p1655 +tp1656 +a(g862 +g958 +tp1657 +a(g8 +VIFIOS +p1658 +tp1659 +a(g862 +g958 +tp1660 +a(g132 +Vdo. +p1661 +tp1662 +a(g862 +V\u000a +p1663 +tp1664 +a(g624 +g1071 +tp1665 +a(g624 +V; +p1666 +tp1667 +a(g624 +V. +p1668 +tp1669 +a(g252 +V_2 +p1670 +tp1671 +a(g862 +g958 +tp1672 +a(g8 +VIgnoreIOS +p1673 +tp1674 +a(g862 +V\u000a +p1675 +tp1676 +a(g132 +Velse. +p1677 +tp1678 +a(g862 +V\u000a +p1679 +tp1680 +a(g624 +g1071 +tp1681 +a(g303 +g960 +tp1682 +a(g303 +g1002 +tp1683 +a(g303 +g1151 +tp1684 +a(g303 +g997 +tp1685 +a(g303 +g1144 +tp1686 +a(g303 +g1002 +tp1687 +a(g303 +g1185 +tp1688 +a(g303 +g995 +tp1689 +a(g303 +g960 +tp1690 +a(g862 +V\u000a +p1691 +tp1692 +a(g132 +Vend. +p1693 +tp1694 +a(g862 +V\u000a +p1695 +tp1696 +a(g132 +g1640 +tp1697 +a(g862 +V\u000a +p1698 +tp1699 +a(g108 +V3 : 0 +p1700 +tp1701 +a(g303 +g960 +tp1702 +a(g303 +g960 +tp1703 +a(g862 +V\u000a +p1704 +tp1705 +a(g8 +Vnc +p1706 +tp1707 +a(g624 +V=. +p1708 +tp1709 +a(g862 +g958 +tp1710 +a(g303 +g960 +tp1711 +a(g303 +V- +p1712 +tp1713 +a(g303 +g1712 +tp1714 +a(g303 +g973 +tp1715 +a(g303 +g1185 +tp1716 +a(g303 +g1712 +tp1717 +a(g303 +g968 +tp1718 +a(g303 +g966 +tp1719 +a(g303 +g968 +tp1720 +a(g303 +g1205 +tp1721 +a(g303 +g997 +tp1722 +a(g303 +g960 +tp1723 +a(g862 +V\u000a +p1724 +tp1725 +a(g132 +Vif. +p1726 +tp1727 +a(g862 +g958 +tp1728 +a(g8 +VIFUNIX +p1729 +tp1730 +a(g862 +g958 +tp1731 +a(g132 +Vdo. +p1732 +tp1733 +a(g862 +V\u000a +p1734 +tp1735 +a(g132 +Vif. +p1736 +tp1737 +a(g862 +g958 +tp1738 +a(g8 +VUNAME +p1739 +tp1740 +a(g624 +g1712 +tp1741 +a(g624 +g1060 +tp1742 +a(g303 +g960 +tp1743 +a(g303 +VD +p1744 +tp1745 +a(g303 +g966 +tp1746 +a(g303 +g1005 +tp1747 +a(g303 +g1215 +tp1748 +a(g303 +g1002 +tp1749 +a(g303 +g973 +tp1750 +a(g303 +g960 +tp1751 +a(g862 +g958 +tp1752 +a(g132 +Vdo. +p1753 +tp1754 +a(g862 +V\u000a +p1755 +tp1756 +a(g8 +VHTTPCMD +p1757 +tp1758 +a(g624 +V=: +p1759 +tp1760 +a(g862 +g958 +tp1761 +a(g303 +g960 +tp1762 +a(g303 +g968 +tp1763 +a(g303 +g1260 +tp1764 +a(g303 +g1005 +tp1765 +a(g303 +g1000 +tp1766 +a(g303 +g958 +tp1767 +a(g303 +g1712 +tp1768 +a(g303 +g1185 +tp1769 +a(g303 +g958 +tp1770 +a(g303 +V% +p1771 +tp1772 +a(g303 +VO +p1773 +tp1774 +a(g303 +g958 +tp1775 +a(g303 +g1712 +tp1776 +a(g303 +g1712 +tp1777 +a(g303 +g995 +tp1778 +a(g303 +g1154 +tp1779 +a(g303 +g1151 +tp1780 +a(g303 +g997 +tp1781 +a(g303 +g1005 +tp1782 +a(g303 +g1005 +tp1783 +a(g303 +g958 +tp1784 +a(g303 +g1771 +tp1785 +a(g303 +VL +p1786 +tp1787 +a(g303 +g958 +tp1788 +a(g303 +g1712 +tp1789 +a(g303 +g1325 +tp1790 +a(g303 +g958 +tp1791 +a(g303 +g1712 +tp1792 +a(g303 +g995 +tp1793 +a(g303 +g958 +tp1794 +a(g303 +g1712 +tp1795 +a(g303 +VS +p1796 +tp1797 +a(g303 +g958 +tp1798 +a(g303 +g1771 +tp1799 +a(g303 +VU +p1800 +tp1801 +a(g303 +g960 +tp1802 +a(g862 +V\u000a +p1803 +tp1804 +a(g132 +Velseif. +p1805 +tp1806 +a(g862 +g958 +tp1807 +a(g132 +Vdo. +p1808 +tp1809 +a(g862 +V\u000a +p1810 +tp1811 +a(g132 +Vif. +p1812 +tp1813 +a(g862 +g958 +tp1814 +a(g303 +g960 +tp1815 +a(g303 +g1074 +tp1816 +a(g303 +g973 +tp1817 +a(g303 +g1151 +tp1818 +a(g303 +g1005 +tp1819 +a(g303 +g1185 +tp1820 +a(g303 +g1002 +tp1821 +a(g303 +g1151 +tp1822 +a(g303 +g960 +tp1823 +a(g624 +g1712 +tp1824 +a(g624 +g1060 +tp1825 +a(g8 +VUNAME +p1826 +tp1827 +a(g862 +g958 +tp1828 +a(g132 +Vdo. +p1829 +tp1830 +a(g862 +g958 +tp1831 +a(g8 +Vnc +p1832 +tp1833 +a(g624 +V=. +p1834 +tp1835 +a(g862 +g958 +tp1836 +a(g303 +g960 +tp1837 +a(g303 +g960 +tp1838 +a(g862 +V\u000a +p1839 +tp1840 +a(g132 +Velse. +p1841 +tp1842 +a(g862 +g958 +tp1843 +a(g132 +Vtry. +p1844 +tp1845 +a(g862 +g958 +tp1846 +a(g8 +Vnc +p1847 +tp1848 +a(g624 +V=. +p1849 +tp1850 +a(g862 +g958 +tp1851 +a(g8 +Vnc +p1852 +tp1853 +a(g862 +g958 +tp1854 +a(g624 +V# +p1855 +tp1856 +a(g624 +V~ +p1857 +tp1858 +a(g862 +g958 +tp1859 +a(g252 +V1 +p1860 +tp1861 +a(g862 +g958 +tp1862 +a(g8 +g997 +tp1863 +a(g624 +g1668 +tp1864 +a(g862 +g958 +tp1865 +a(g8 +Vnc +p1866 +tp1867 +a(g862 +g958 +tp1868 +a(g8 +VE +p1869 +tp1870 +a(g624 +g1668 +tp1871 +a(g862 +g958 +tp1872 +a(g8 +Vshell +p1873 +tp1874 +a(g862 +g958 +tp1875 +a(g303 +g960 +tp1876 +a(g303 +g1215 +tp1877 +a(g303 +g1094 +tp1878 +a(g303 +g997 +tp1879 +a(g303 +g1154 +tp1880 +a(g303 +g958 +tp1881 +a(g303 +g1712 +tp1882 +a(g303 +g1712 +tp1883 +a(g303 +g1205 +tp1884 +a(g303 +g997 +tp1885 +a(g303 +g1000 +tp1886 +a(g303 +g964 +tp1887 +a(g303 +g960 +tp1888 +a(g862 +g958 +tp1889 +a(g132 +Vcatch. +p1890 +tp1891 +a(g862 +g958 +tp1892 +a(g8 +Vnc +p1893 +tp1894 +a(g624 +V=. +p1895 +tp1896 +a(g862 +g958 +tp1897 +a(g303 +g960 +tp1898 +a(g303 +g960 +tp1899 +a(g862 +g958 +tp1900 +a(g132 +Vend. +p1901 +tp1902 +a(g862 +g958 +tp1903 +a(g132 +Vend. +p1904 +tp1905 +a(g862 +V\u000a +p1906 +tp1907 +a(g8 +VHTTPCMD +p1908 +tp1909 +a(g624 +V=: +p1910 +tp1911 +a(g862 +g958 +tp1912 +a(g303 +g960 +tp1913 +a(g303 +g1215 +tp1914 +a(g303 +g1094 +tp1915 +a(g303 +g997 +tp1916 +a(g303 +g1154 +tp1917 +a(g303 +g958 +tp1918 +a(g303 +g960 +tp1919 +a(g624 +g1069 +tp1920 +a(g8 +Vnc +p1921 +tp1922 +a(g624 +g1069 +tp1923 +a(g303 +g960 +tp1924 +a(g303 +g958 +tp1925 +a(g303 +g1712 +tp1926 +a(g303 +g1773 +tp1927 +a(g303 +g958 +tp1928 +a(g303 +g1771 +tp1929 +a(g303 +g1773 +tp1930 +a(g303 +g958 +tp1931 +a(g303 +g1712 +tp1932 +a(g303 +g1185 +tp1933 +a(g303 +g958 +tp1934 +a(g303 +g1771 +tp1935 +a(g303 +g1786 +tp1936 +a(g303 +g958 +tp1937 +a(g303 +g1712 +tp1938 +a(g303 +g1154 +tp1939 +a(g303 +g958 +tp1940 +a(g303 +g1771 +tp1941 +a(g303 +g1154 +tp1942 +a(g303 +g958 +tp1943 +a(g303 +g1771 +tp1944 +a(g303 +g1800 +tp1945 +a(g303 +g960 +tp1946 +a(g862 +V\u000a +p1947 +tp1948 +a(g132 +Vend. +p1949 +tp1950 +a(g862 +V\u000a +p1951 +tp1952 +a(g132 +Velse. +p1953 +tp1954 +a(g862 +V\u000a +p1955 +tp1956 +a(g132 +Vif. +p1957 +tp1958 +a(g862 +g958 +tp1959 +a(g8 +Vfexist +p1960 +tp1961 +a(g862 +g958 +tp1962 +a(g8 +Vexe +p1963 +tp1964 +a(g624 +V=. +p1965 +tp1966 +a(g862 +g958 +tp1967 +a(g8 +Vjpath +p1968 +tp1969 +a(g862 +g958 +tp1970 +a(g303 +g960 +tp1971 +a(g303 +g1857 +tp1972 +a(g303 +g1154 +tp1973 +a(g303 +g1185 +tp1974 +a(g303 +g1185 +tp1975 +a(g303 +g1000 +tp1976 +a(g303 +g995 +tp1977 +a(g303 +g1144 +tp1978 +a(g303 +g1325 +tp1979 +a(g303 +g1154 +tp1980 +a(g303 +g964 +tp1981 +a(g303 +g1144 +tp1982 +a(g303 +g1215 +tp1983 +a(g303 +g1094 +tp1984 +a(g303 +g997 +tp1985 +a(g303 +g1154 +tp1986 +a(g303 +g1668 +tp1987 +a(g303 +g997 +tp1988 +a(g303 +Vx +p1989 +tp1990 +a(g303 +g997 +tp1991 +a(g303 +g960 +tp1992 +a(g862 +g958 +tp1993 +a(g132 +Vdo. +p1994 +tp1995 +a(g862 +g958 +tp1996 +a(g8 +Vexe +p1997 +tp1998 +a(g624 +V=. +p1999 +tp2000 +a(g862 +g958 +tp2001 +a(g303 +g960 +tp2002 +a(g303 +V" +p2003 +tp2004 +a(g303 +g960 +tp2005 +a(g624 +g1069 +tp2006 +a(g8 +Vexe +p2007 +tp2008 +a(g624 +g1069 +tp2009 +a(g303 +g960 +tp2010 +a(g303 +g2003 +tp2011 +a(g303 +g960 +tp2012 +a(g862 +g958 +tp2013 +a(g132 +Velse. +p2014 +tp2015 +a(g862 +g958 +tp2016 +a(g8 +Vexe +p2017 +tp2018 +a(g624 +V=. +p2019 +tp2020 +a(g862 +g958 +tp2021 +a(g303 +g960 +tp2022 +a(g303 +g1215 +tp2023 +a(g303 +g1094 +tp2024 +a(g303 +g997 +tp2025 +a(g303 +g1154 +tp2026 +a(g303 +g1668 +tp2027 +a(g303 +g997 +tp2028 +a(g303 +g1989 +tp2029 +a(g303 +g997 +tp2030 +a(g303 +g960 +tp2031 +a(g862 +g958 +tp2032 +a(g132 +Vend. +p2033 +tp2034 +a(g862 +V\u000a +p2035 +tp2036 +a(g132 +Vtry. +p2037 +tp2038 +a(g862 +g958 +tp2039 +a(g8 +Vnc +p2040 +tp2041 +a(g624 +V=. +p2042 +tp2043 +a(g862 +g958 +tp2044 +a(g8 +Vnc +p2045 +tp2046 +a(g862 +g958 +tp2047 +a(g624 +g1855 +tp2048 +a(g624 +g1857 +tp2049 +a(g862 +g958 +tp2050 +a(g252 +g1860 +tp2051 +a(g862 +g958 +tp2052 +a(g8 +g997 +tp2053 +a(g624 +g1668 +tp2054 +a(g862 +g958 +tp2055 +a(g8 +Vnc +p2056 +tp2057 +a(g862 +g958 +tp2058 +a(g8 +g1869 +tp2059 +a(g624 +g1668 +tp2060 +a(g862 +g958 +tp2061 +a(g8 +Vshell +p2062 +tp2063 +a(g862 +g958 +tp2064 +a(g8 +Vexe +p2065 +tp2066 +a(g624 +g1069 +tp2067 +a(g303 +g960 +tp2068 +a(g303 +g958 +tp2069 +a(g303 +g1712 +tp2070 +a(g303 +g1712 +tp2071 +a(g303 +g1205 +tp2072 +a(g303 +g997 +tp2073 +a(g303 +g1000 +tp2074 +a(g303 +g964 +tp2075 +a(g303 +g960 +tp2076 +a(g862 +g958 +tp2077 +a(g132 +Vcatch. +p2078 +tp2079 +a(g862 +g958 +tp2080 +a(g8 +Vnc +p2081 +tp2082 +a(g624 +V=. +p2083 +tp2084 +a(g862 +g958 +tp2085 +a(g303 +g960 +tp2086 +a(g303 +g960 +tp2087 +a(g862 +g958 +tp2088 +a(g132 +Vend. +p2089 +tp2090 +a(g862 +V\u000a +p2091 +tp2092 +a(g8 +VHTTPCMD +p2093 +tp2094 +a(g624 +V=: +p2095 +tp2096 +a(g862 +g958 +tp2097 +a(g8 +Vexe +p2098 +tp2099 +a(g624 +g1069 +tp2100 +a(g303 +g960 +tp2101 +a(g303 +g958 +tp2102 +a(g303 +g960 +tp2103 +a(g624 +g1069 +tp2104 +a(g8 +Vnc +p2105 +tp2106 +a(g624 +g1069 +tp2107 +a(g303 +g960 +tp2108 +a(g303 +g958 +tp2109 +a(g303 +g1712 +tp2110 +a(g303 +g1773 +tp2111 +a(g303 +g958 +tp2112 +a(g303 +g1771 +tp2113 +a(g303 +g1773 +tp2114 +a(g303 +g958 +tp2115 +a(g303 +g1712 +tp2116 +a(g303 +g1185 +tp2117 +a(g303 +g958 +tp2118 +a(g303 +g1771 +tp2119 +a(g303 +g1786 +tp2120 +a(g303 +g958 +tp2121 +a(g303 +g1712 +tp2122 +a(g303 +g1154 +tp2123 +a(g303 +g958 +tp2124 +a(g303 +g1771 +tp2125 +a(g303 +g1154 +tp2126 +a(g303 +g958 +tp2127 +a(g303 +g1712 +tp2128 +a(g303 +VT +p2129 +tp2130 +a(g303 +g958 +tp2131 +a(g303 +g1771 +tp2132 +a(g303 +g2129 +tp2133 +a(g303 +g958 +tp2134 +a(g303 +g1771 +tp2135 +a(g303 +g1800 +tp2136 +a(g303 +g960 +tp2137 +a(g862 +V\u000a +p2138 +tp2139 +a(g132 +Vif. +p2140 +tp2141 +a(g862 +g958 +tp2142 +a(g8 +Vfexist +p2143 +tp2144 +a(g862 +g958 +tp2145 +a(g8 +VUNZIP +p2146 +tp2147 +a(g624 +V=: +p2148 +tp2149 +a(g862 +g958 +tp2150 +a(g8 +Vjpath +p2151 +tp2152 +a(g862 +g958 +tp2153 +a(g303 +g960 +tp2154 +a(g303 +g1857 +tp2155 +a(g303 +g1154 +tp2156 +a(g303 +g1185 +tp2157 +a(g303 +g1185 +tp2158 +a(g303 +g1000 +tp2159 +a(g303 +g995 +tp2160 +a(g303 +g1144 +tp2161 +a(g303 +g1425 +tp2162 +a(g303 +g1002 +tp2163 +a(g303 +g964 +tp2164 +a(g303 +g1144 +tp2165 +a(g303 +g1260 +tp2166 +a(g303 +g973 +tp2167 +a(g303 +g1425 +tp2168 +a(g303 +g1002 +tp2169 +a(g303 +g964 +tp2170 +a(g303 +g1668 +tp2171 +a(g303 +g997 +tp2172 +a(g303 +g1989 +tp2173 +a(g303 +g997 +tp2174 +a(g303 +g960 +tp2175 +a(g862 +g958 +tp2176 +a(g132 +Vdo. +p2177 +tp2178 +a(g862 +g958 +tp2179 +a(g8 +VUNZIP +p2180 +tp2181 +a(g624 +V=: +p2182 +tp2183 +a(g862 +g958 +tp2184 +a(g303 +g960 +tp2185 +a(g303 +g2003 +tp2186 +a(g303 +g960 +tp2187 +a(g624 +g1069 +tp2188 +a(g8 +VUNZIP +p2189 +tp2190 +a(g624 +g1069 +tp2191 +a(g303 +g960 +tp2192 +a(g303 +g2003 +tp2193 +a(g303 +g958 +tp2194 +a(g303 +g1712 +tp2195 +a(g303 +g1185 +tp2196 +a(g303 +g958 +tp2197 +a(g303 +g1712 +tp2198 +a(g303 +VC +p2199 +tp2200 +a(g303 +g958 +tp2201 +a(g303 +g960 +tp2202 +a(g862 +g958 +tp2203 +a(g132 +Velse. +p2204 +tp2205 +a(g862 +g958 +tp2206 +a(g8 +VUNZIP +p2207 +tp2208 +a(g624 +V=: +p2209 +tp2210 +a(g862 +g958 +tp2211 +a(g303 +g960 +tp2212 +a(g303 +g1260 +tp2213 +a(g303 +g973 +tp2214 +a(g303 +g1425 +tp2215 +a(g303 +g1002 +tp2216 +a(g303 +g964 +tp2217 +a(g303 +g1668 +tp2218 +a(g303 +g997 +tp2219 +a(g303 +g1989 +tp2220 +a(g303 +g997 +tp2221 +a(g303 +g958 +tp2222 +a(g303 +g1712 +tp2223 +a(g303 +g1185 +tp2224 +a(g303 +g958 +tp2225 +a(g303 +g1712 +tp2226 +a(g303 +g2199 +tp2227 +a(g303 +g958 +tp2228 +a(g303 +g960 +tp2229 +a(g862 +g958 +tp2230 +a(g132 +Vend. +p2231 +tp2232 +a(g862 +V\u000a +p2233 +tp2234 +a(g132 +Vend. +p2235 +tp2236 +a(g862 +V\u000a +p2237 +tp2238 +a(g132 +g1640 +tp2239 +a(g862 +V\u000a +p2240 +tp2241 +a(g8 +Vsetfiles +p2242 +tp2243 +a(g624 +V=: +p2244 +tp2245 +a(g862 +g958 +tp2246 +a(g108 +V3 : 0 +p2247 +tp2248 +a(g862 +V\u000a +p2249 +tp2250 +a(g8 +VADDCFG +p2251 +tp2252 +a(g624 +V=: +p2253 +tp2254 +a(g862 +g958 +tp2255 +a(g8 +Vjpath +p2256 +tp2257 +a(g862 +g958 +tp2258 +a(g303 +g960 +tp2259 +a(g303 +g1857 +tp2260 +a(g303 +g966 +tp2261 +a(g303 +g1151 +tp2262 +a(g303 +g1151 +tp2263 +a(g303 +g1185 +tp2264 +a(g303 +g973 +tp2265 +a(g303 +g995 +tp2266 +a(g303 +g1144 +tp2267 +a(g303 +g968 +tp2268 +a(g303 +g1185 +tp2269 +a(g303 +g973 +tp2270 +a(g303 +g1325 +tp2271 +a(g303 +g1002 +tp2272 +a(g303 +g1094 +tp2273 +a(g303 +g1144 +tp2274 +a(g303 +g960 +tp2275 +a(g862 +V\u000a +p2276 +tp2277 +a(g8 +Vmakedir +p2278 +tp2279 +a(g862 +g958 +tp2280 +a(g8 +VADDCFG +p2281 +tp2282 +a(g862 +V\u000a +p2283 +tp2284 +a(g8 +VADDCFGIJS +p2285 +tp2286 +a(g624 +V=: +p2287 +tp2288 +a(g862 +g958 +tp2289 +a(g8 +VADDCFG +p2290 +tp2291 +a(g624 +g1069 +tp2292 +a(g303 +g960 +tp2293 +a(g303 +g968 +tp2294 +a(g303 +g1185 +tp2295 +a(g303 +g973 +tp2296 +a(g303 +g1325 +tp2297 +a(g303 +g1002 +tp2298 +a(g303 +g1094 +tp2299 +a(g303 +g1668 +tp2300 +a(g303 +g1002 +tp2301 +a(g303 +g962 +tp2302 +a(g303 +g995 +tp2303 +a(g303 +g960 +tp2304 +a(g862 +V\u000a +p2305 +tp2306 +a(g8 +VJRELEASE +p2307 +tp2308 +a(g624 +V=: +p2309 +tp2310 +a(g862 +g958 +tp2311 +a(g647 +V( +p2312 +tp2313 +a(g624 +V{ +p2314 +tp2315 +a(g624 +g1668 +tp2316 +a(g624 +g1857 +tp2317 +a(g8 +g1002 +tp2318 +a(g624 +g1668 +tp2319 +a(g624 +V& +p2320 +tp2321 +a(g303 +g960 +tp2322 +a(g303 +g1144 +tp2323 +a(g303 +g960 +tp2324 +a(g647 +g1640 +tp2325 +a(g862 +g958 +tp2326 +a(g252 +V9 +p2327 +tp2328 +a(g624 +V! +p2329 +tp2330 +a(g624 +g1060 +tp2331 +a(g252 +V14 +p2332 +tp2333 +a(g303 +g960 +tp2334 +a(g303 +g960 +tp2335 +a(g862 +V\u000a +p2336 +tp2337 +a(g8 +VJRELEASE +p2338 +tp2339 +a(g624 +V=: +p2340 +tp2341 +a(g862 +g958 +tp2342 +a(g303 +g960 +tp2343 +a(g303 +g962 +tp2344 +a(g303 +V8 +p2345 +tp2346 +a(g303 +g1019 +tp2347 +a(g303 +g1371 +tp2348 +a(g303 +g960 +tp2349 +a(g862 +V\u000a +p2350 +tp2351 +a(g8 +VLIBTREE +p2352 +tp2353 +a(g624 +V=: +p2354 +tp2355 +a(g862 +g958 +tp2356 +a(g8 +Vreadtree +p2357 +tp2358 +a(g303 +g960 +tp2359 +a(g303 +g960 +tp2360 +a(g862 +V\u000a +p2361 +tp2362 +a(g132 +Vif. +p2363 +tp2364 +a(g862 +g958 +tp2365 +a(g8 +VIFIOS +p2366 +tp2367 +a(g862 +g958 +tp2368 +a(g132 +Vdo. +p2369 +tp2370 +a(g862 +V\u000a +p2371 +tp2372 +a(g8 +VWWW +p2373 +tp2374 +a(g624 +V=: +p2375 +tp2376 +a(g862 +g958 +tp2377 +a(g303 +g960 +tp2378 +a(g303 +g1144 +tp2379 +a(g303 +g962 +tp2380 +a(g303 +g966 +tp2381 +a(g303 +g1000 +tp2382 +a(g303 +g1144 +tp2383 +a(g303 +g960 +tp2384 +a(g624 +g1069 +tp2385 +a(g8 +VJRELEASE +p2386 +tp2387 +a(g624 +g1069 +tp2388 +a(g303 +g960 +tp2389 +a(g303 +g1144 +tp2390 +a(g303 +g960 +tp2391 +a(g862 +V\u000a +p2392 +tp2393 +a(g132 +Velse. +p2394 +tp2395 +a(g862 +V\u000a +p2396 +tp2397 +a(g8 +VWWW +p2398 +tp2399 +a(g624 +V=: +p2400 +tp2401 +a(g862 +g958 +tp2402 +a(g303 +g960 +tp2403 +a(g303 +g1205 +tp2404 +a(g303 +g1154 +tp2405 +a(g303 +g1154 +tp2406 +a(g303 +g964 +tp2407 +a(g303 +g1060 +tp2408 +a(g303 +g1144 +tp2409 +a(g303 +g1144 +tp2410 +a(g303 +g1215 +tp2411 +a(g303 +g1215 +tp2412 +a(g303 +g1215 +tp2413 +a(g303 +g1668 +tp2414 +a(g303 +g962 +tp2415 +a(g303 +g995 +tp2416 +a(g303 +g1185 +tp2417 +a(g303 +g1325 +tp2418 +a(g303 +g1154 +tp2419 +a(g303 +g1215 +tp2420 +a(g303 +g966 +tp2421 +a(g303 +g1005 +tp2422 +a(g303 +g997 +tp2423 +a(g303 +g1668 +tp2424 +a(g303 +g968 +tp2425 +a(g303 +g1185 +tp2426 +a(g303 +g970 +tp2427 +a(g303 +g1144 +tp2428 +a(g303 +g962 +tp2429 +a(g303 +g966 +tp2430 +a(g303 +g1000 +tp2431 +a(g303 +g1144 +tp2432 +a(g303 +g960 +tp2433 +a(g624 +g1069 +tp2434 +a(g8 +VJRELEASE +p2435 +tp2436 +a(g624 +g1069 +tp2437 +a(g303 +g960 +tp2438 +a(g303 +g1144 +tp2439 +a(g303 +g960 +tp2440 +a(g862 +V\u000a +p2441 +tp2442 +a(g132 +Vend. +p2443 +tp2444 +a(g862 +V\u000a +p2445 +tp2446 +a(g8 +VLIBVER +p2447 +tp2448 +a(g624 +V=: +p2449 +tp2450 +a(g862 +g958 +tp2451 +a(g8 +Vjpath +p2452 +tp2453 +a(g862 +g958 +tp2454 +a(g303 +g960 +tp2455 +a(g303 +g1857 +tp2456 +a(g303 +g995 +tp2457 +a(g303 +g1009 +tp2458 +a(g303 +g995 +tp2459 +a(g303 +g1154 +tp2460 +a(g303 +g997 +tp2461 +a(g303 +g970 +tp2462 +a(g303 +g1144 +tp2463 +a(g303 +g968 +tp2464 +a(g303 +g1185 +tp2465 +a(g303 +g973 +tp2466 +a(g303 +g1325 +tp2467 +a(g303 +g1002 +tp2468 +a(g303 +g1094 +tp2469 +a(g303 +g1144 +tp2470 +a(g303 +g1354 +tp2471 +a(g303 +g997 +tp2472 +a(g303 +g1005 +tp2473 +a(g303 +g995 +tp2474 +a(g303 +g1002 +tp2475 +a(g303 +g1185 +tp2476 +a(g303 +g973 +tp2477 +a(g303 +g1668 +tp2478 +a(g303 +g1154 +tp2479 +a(g303 +g1989 +tp2480 +a(g303 +g1154 +tp2481 +a(g303 +g960 +tp2482 +a(g862 +V\u000a +p2483 +tp2484 +a(g132 +g1640 +tp2485 +a(g862 +V\u000a +p2486 +tp2487 +a(g8 +Vdestroy +p2488 +tp2489 +a(g624 +V=: +p2490 +tp2491 +a(g862 +g958 +tp2492 +a(g8 +Vcodestroy +p2493 +tp2494 +a(g862 +V\u000a +p2495 +tp2496 +a(g8 +VCFGFILES +p2497 +tp2498 +a(g624 +V=: +p2499 +tp2500 +a(g862 +g958 +tp2501 +a(g624 +g1071 +tp2502 +a(g624 +g1666 +tp2503 +a(g624 +g1668 +tp2504 +a(g252 +V_2 +p2505 +tp2506 +a(g862 +g958 +tp2507 +a(g647 +g2312 +tp2508 +a(g36 +V0 : 0 +p2509 +tp2510 +a(g303 +g1640 +tp2511 +a(g303 +V\u000a +p2512 +tp2513 +a(g303 +g966 +tp2514 +a(g303 +g1151 +tp2515 +a(g303 +g1151 +tp2516 +a(g303 +g1185 +tp2517 +a(g303 +g973 +tp2518 +a(g303 +g995 +tp2519 +a(g303 +g1668 +tp2520 +a(g303 +g1154 +tp2521 +a(g303 +g1989 +tp2522 +a(g303 +g1154 +tp2523 +a(g303 +V\u000a +p2524 +tp2525 +a(g303 +g1000 +tp2526 +a(g303 +g1002 +tp2527 +a(g303 +g992 +tp2528 +a(g303 +g1005 +tp2529 +a(g303 +g966 +tp2530 +a(g303 +g1005 +tp2531 +a(g303 +g1009 +tp2532 +a(g303 +g1668 +tp2533 +a(g303 +g1154 +tp2534 +a(g303 +g1989 +tp2535 +a(g303 +g1154 +tp2536 +a(g303 +V\u000a +p2537 +tp2538 +a(g303 +g1005 +tp2539 +a(g303 +g997 +tp2540 +a(g303 +g1000 +tp2541 +a(g303 +g997 +tp2542 +a(g303 +g966 +tp2543 +a(g303 +g995 +tp2544 +a(g303 +g997 +tp2545 +a(g303 +g1668 +tp2546 +a(g303 +g1154 +tp2547 +a(g303 +g1989 +tp2548 +a(g303 +g1154 +tp2549 +a(g303 +V\u000a +p2550 +tp2551 +a(g303 +g1005 +tp2552 +a(g303 +g997 +tp2553 +a(g303 +g1354 +tp2554 +a(g303 +g1002 +tp2555 +a(g303 +g995 +tp2556 +a(g303 +g1002 +tp2557 +a(g303 +g1185 +tp2558 +a(g303 +g973 +tp2559 +a(g303 +g1668 +tp2560 +a(g303 +g1154 +tp2561 +a(g303 +g1989 +tp2562 +a(g303 +g1154 +tp2563 +a(g303 +V\u000a +p2564 +tp2565 +a(g303 +g1425 +tp2566 +a(g303 +g1002 +tp2567 +a(g303 +g964 +tp2568 +a(g303 +g995 +tp2569 +a(g303 +g1668 +tp2570 +a(g303 +g1154 +tp2571 +a(g303 +g1989 +tp2572 +a(g303 +g1154 +tp2573 +a(g303 +V\u000a +p2574 +tp2575 +a(g132 +g1640 +tp2576 +a(g862 +V\u000a +p2577 +tp2578 +a(g8 +VLIBDESC +p2579 +tp2580 +a(g624 +V=: +p2581 +tp2582 +a(g862 +g958 +tp2583 +a(g36 +V0 : 0 +p2584 +tp2585 +a(g303 +V\u000a +p2586 +tp2587 +a(g303 +g2129 +tp2588 +a(g303 +g1205 +tp2589 +a(g303 +g1002 +tp2590 +a(g303 +g995 +tp2591 +a(g303 +g958 +tp2592 +a(g303 +g1002 +tp2593 +a(g303 +g995 +tp2594 +a(g303 +g958 +tp2595 +a(g303 +g1154 +tp2596 +a(g303 +g1205 +tp2597 +a(g303 +g997 +tp2598 +a(g303 +g958 +tp2599 +a(g303 +g992 +tp2600 +a(g303 +g966 +tp2601 +a(g303 +g995 +tp2602 +a(g303 +g997 +tp2603 +a(g303 +g958 +tp2604 +a(g303 +g1000 +tp2605 +a(g303 +g1002 +tp2606 +a(g303 +g992 +tp2607 +a(g303 +g1005 +tp2608 +a(g303 +g966 +tp2609 +a(g303 +g1005 +tp2610 +a(g303 +g1009 +tp2611 +a(g303 +g958 +tp2612 +a(g303 +g1185 +tp2613 +a(g303 +g1325 +tp2614 +a(g303 +g958 +tp2615 +a(g303 +g995 +tp2616 +a(g303 +g968 +tp2617 +a(g303 +g1005 +tp2618 +a(g303 +g1002 +tp2619 +a(g303 +g964 +tp2620 +a(g303 +g1154 +tp2621 +a(g303 +g995 +tp2622 +a(g303 +g958 +tp2623 +a(g303 +g966 +tp2624 +a(g303 +g973 +tp2625 +a(g303 +g1151 +tp2626 +a(g303 +g958 +tp2627 +a(g303 +g1000 +tp2628 +a(g303 +g966 +tp2629 +a(g303 +g992 +tp2630 +a(g303 +g995 +tp2631 +a(g303 +g958 +tp2632 +a(g303 +g1002 +tp2633 +a(g303 +g973 +tp2634 +a(g303 +g968 +tp2635 +a(g303 +g1000 +tp2636 +a(g303 +g1260 +tp2637 +a(g303 +g1151 +tp2638 +a(g303 +g997 +tp2639 +a(g303 +g1151 +tp2640 +a(g303 +g958 +tp2641 +a(g303 +g1002 +tp2642 +a(g303 +g973 +tp2643 +a(g303 +g958 +tp2644 +a(g303 +g1154 +tp2645 +a(g303 +g1205 +tp2646 +a(g303 +g997 +tp2647 +a(g303 +g958 +tp2648 +a(g303 +VJ +p2649 +tp2650 +a(g303 +g958 +tp2651 +a(g303 +g995 +tp2652 +a(g303 +g1009 +tp2653 +a(g303 +g995 +tp2654 +a(g303 +g1154 +tp2655 +a(g303 +g997 +tp2656 +a(g303 +g970 +tp2657 +a(g303 +g1668 +tp2658 +a(g303 +V\u000a +p2659 +tp2660 +a(g303 +V\u000a +p2661 +tp2662 +a(g303 +VR +p2663 +tp2664 +a(g303 +g997 +tp2665 +a(g303 +g1002 +tp2666 +a(g303 +g973 +tp2667 +a(g303 +g995 +tp2668 +a(g303 +g1154 +tp2669 +a(g303 +g966 +tp2670 +a(g303 +g1000 +tp2671 +a(g303 +g1000 +tp2672 +a(g303 +g1002 +tp2673 +a(g303 +g973 +tp2674 +a(g303 +g1094 +tp2675 +a(g303 +g958 +tp2676 +a(g303 +g1185 +tp2677 +a(g303 +g1005 +tp2678 +a(g303 +g958 +tp2679 +a(g303 +g1260 +tp2680 +a(g303 +g964 +tp2681 +a(g303 +g1094 +tp2682 +a(g303 +g1005 +tp2683 +a(g303 +g966 +tp2684 +a(g303 +g1151 +tp2685 +a(g303 +g1002 +tp2686 +a(g303 +g973 +tp2687 +a(g303 +g1094 +tp2688 +a(g303 +g958 +tp2689 +a(g303 +g1154 +tp2690 +a(g303 +g1205 +tp2691 +a(g303 +g1002 +tp2692 +a(g303 +g995 +tp2693 +a(g303 +g958 +tp2694 +a(g303 +g1000 +tp2695 +a(g303 +g1002 +tp2696 +a(g303 +g992 +tp2697 +a(g303 +g1005 +tp2698 +a(g303 +g966 +tp2699 +a(g303 +g1005 +tp2700 +a(g303 +g1009 +tp2701 +a(g303 +g958 +tp2702 +a(g303 +g1215 +tp2703 +a(g303 +g1002 +tp2704 +a(g303 +g1000 +tp2705 +a(g303 +g1000 +tp2706 +a(g303 +g958 +tp2707 +a(g303 +g1185 +tp2708 +a(g303 +g1354 +tp2709 +a(g303 +g997 +tp2710 +a(g303 +g1005 +tp2711 +a(g303 +g1215 +tp2712 +a(g303 +g1005 +tp2713 +a(g303 +g1002 +tp2714 +a(g303 +g1154 +tp2715 +a(g303 +g997 +tp2716 +a(g303 +g958 +tp2717 +a(g303 +g1325 +tp2718 +a(g303 +g1002 +tp2719 +a(g303 +g1000 +tp2720 +a(g303 +g997 +tp2721 +a(g303 +g995 +tp2722 +a(g303 +g958 +tp2723 +a(g303 +g1002 +tp2724 +a(g303 +g973 +tp2725 +a(g303 +g958 +tp2726 +a(g303 +g1154 +tp2727 +a(g303 +g1205 +tp2728 +a(g303 +g997 +tp2729 +a(g303 +g958 +tp2730 +a(g303 +g995 +tp2731 +a(g303 +g1009 +tp2732 +a(g303 +g995 +tp2733 +a(g303 +g1154 +tp2734 +a(g303 +g997 +tp2735 +a(g303 +g970 +tp2736 +a(g303 +g958 +tp2737 +a(g303 +g995 +tp2738 +a(g303 +g1260 +tp2739 +a(g303 +g992 +tp2740 +a(g303 +g1151 +tp2741 +a(g303 +g1002 +tp2742 +a(g303 +g1005 +tp2743 +a(g303 +g997 +tp2744 +a(g303 +g968 +tp2745 +a(g303 +g1154 +tp2746 +a(g303 +g1185 +tp2747 +a(g303 +g1005 +tp2748 +a(g303 +g1009 +tp2749 +a(g303 +g1668 +tp2750 +a(g303 +g958 +tp2751 +a(g303 +g2663 +tp2752 +a(g303 +g997 +tp2753 +a(g303 +g995 +tp2754 +a(g303 +g1154 +tp2755 +a(g303 +g966 +tp2756 +a(g303 +g1005 +tp2757 +a(g303 +g1154 +tp2758 +a(g303 +g958 +tp2759 +a(g303 +g2649 +tp2760 +a(g303 +g958 +tp2761 +a(g303 +g966 +tp2762 +a(g303 +g1325 +tp2763 +a(g303 +g1154 +tp2764 +a(g303 +g997 +tp2765 +a(g303 +g1005 +tp2766 +a(g303 +g1215 +tp2767 +a(g303 +g966 +tp2768 +a(g303 +g1005 +tp2769 +a(g303 +g1151 +tp2770 +a(g303 +g995 +tp2771 +a(g303 +g1668 +tp2772 +a(g303 +V\u000a +p2773 +tp2774 +a(g303 +V\u000a +p2775 +tp2776 +a(g303 +VF +p2777 +tp2778 +a(g303 +g1002 +tp2779 +a(g303 +g1000 +tp2780 +a(g303 +g997 +tp2781 +a(g303 +g995 +tp2782 +a(g303 +g958 +tp2783 +a(g303 +g1185 +tp2784 +a(g303 +g1260 +tp2785 +a(g303 +g1154 +tp2786 +a(g303 +g995 +tp2787 +a(g303 +g1002 +tp2788 +a(g303 +g1151 +tp2789 +a(g303 +g997 +tp2790 +a(g303 +g958 +tp2791 +a(g303 +g1154 +tp2792 +a(g303 +g1205 +tp2793 +a(g303 +g997 +tp2794 +a(g303 +g958 +tp2795 +a(g303 +g995 +tp2796 +a(g303 +g1009 +tp2797 +a(g303 +g995 +tp2798 +a(g303 +g1154 +tp2799 +a(g303 +g997 +tp2800 +a(g303 +g970 +tp2801 +a(g303 +g958 +tp2802 +a(g303 +g995 +tp2803 +a(g303 +g1260 +tp2804 +a(g303 +g992 +tp2805 +a(g303 +g1151 +tp2806 +a(g303 +g1002 +tp2807 +a(g303 +g1005 +tp2808 +a(g303 +g997 +tp2809 +a(g303 +g968 +tp2810 +a(g303 +g1154 +tp2811 +a(g303 +g1185 +tp2812 +a(g303 +g1005 +tp2813 +a(g303 +g1009 +tp2814 +a(g303 +g1069 +tp2815 +a(g303 +g958 +tp2816 +a(g303 +g995 +tp2817 +a(g303 +g1260 +tp2818 +a(g303 +g968 +tp2819 +a(g303 +g1205 +tp2820 +a(g303 +g958 +tp2821 +a(g303 +g966 +tp2822 +a(g303 +g995 +tp2823 +a(g303 +g958 +tp2824 +a(g303 +g964 +tp2825 +a(g303 +g1005 +tp2826 +a(g303 +g1185 +tp2827 +a(g303 +g1325 +tp2828 +a(g303 +g1002 +tp2829 +a(g303 +g1000 +tp2830 +a(g303 +g997 +tp2831 +a(g303 +g1668 +tp2832 +a(g303 +g1002 +tp2833 +a(g303 +g962 +tp2834 +a(g303 +g995 +tp2835 +a(g303 +g1069 +tp2836 +a(g303 +g958 +tp2837 +a(g303 +g966 +tp2838 +a(g303 +g1005 +tp2839 +a(g303 +g997 +tp2840 +a(g303 +g958 +tp2841 +a(g303 +g973 +tp2842 +a(g303 +g1185 +tp2843 +a(g303 +g1154 +tp2844 +a(g303 +g958 +tp2845 +a(g303 +g968 +tp2846 +a(g303 +g1205 +tp2847 +a(g303 +g966 +tp2848 +a(g303 +g973 +tp2849 +a(g303 +g1094 +tp2850 +a(g303 +g997 +tp2851 +a(g303 +g1151 +tp2852 +a(g303 +g1668 +tp2853 +a(g303 +V\u000a +p2854 +tp2855 +a(g132 +g1640 +tp2856 +a(g862 +V\u000a +p2857 +tp2858 +a(g8 +Vcutjal +p2859 +tp2860 +a(g624 +V=: +p2861 +tp2862 +a(g862 +g958 +tp2863 +a(g647 +g2312 +tp2864 +a(g624 +V[ +p2865 +tp2866 +a(g624 +g1060 +tp2867 +a(g862 +g958 +tp2868 +a(g647 +g2312 +tp2869 +a(g624 +V* +p2870 +tp2871 +a(g862 +g958 +tp2872 +a(g252 +V4 +p2873 +tp2874 +a(g862 +g958 +tp2875 +a(g624 +V> +p2876 +tp2877 +a(g862 +g958 +tp2878 +a(g624 +V+ +p2879 +tp2880 +a(g624 +g1144 +tp2881 +a(g624 +V\u005c +p2882 +tp2883 +a(g647 +g1640 +tp2884 +a(g862 +g958 +tp2885 +a(g303 +g960 +tp2886 +a(g303 +g958 +tp2887 +a(g303 +g960 +tp2888 +a(g862 +g958 +tp2889 +a(g624 +V= +p2890 +tp2891 +a(g862 +g958 +tp2892 +a(g624 +V] +p2893 +tp2894 +a(g647 +g1640 +tp2895 +a(g862 +g958 +tp2896 +a(g624 +g1071 +tp2897 +a(g624 +g1666 +tp2898 +a(g624 +g1668 +tp2899 +a(g252 +V_1 +p2900 +tp2901 +a(g862 +g958 +tp2902 +a(g624 +g2893 +tp2903 +a(g862 +V\u000a +p2904 +tp2905 +a(g8 +Vcutjsp +p2906 +tp2907 +a(g624 +V=: +p2908 +tp2909 +a(g862 +g958 +tp2910 +a(g647 +g2312 +tp2911 +a(g624 +g2865 +tp2912 +a(g624 +g1060 +tp2913 +a(g862 +g958 +tp2914 +a(g647 +g2312 +tp2915 +a(g624 +g2870 +tp2916 +a(g862 +g958 +tp2917 +a(g252 +V5 +p2918 +tp2919 +a(g862 +g958 +tp2920 +a(g624 +g2876 +tp2921 +a(g862 +g958 +tp2922 +a(g624 +g2879 +tp2923 +a(g624 +g1144 +tp2924 +a(g624 +V\u005c +p2925 +tp2926 +a(g647 +g1640 +tp2927 +a(g862 +g958 +tp2928 +a(g303 +g960 +tp2929 +a(g303 +g958 +tp2930 +a(g303 +g960 +tp2931 +a(g862 +g958 +tp2932 +a(g624 +g2890 +tp2933 +a(g862 +g958 +tp2934 +a(g624 +g2893 +tp2935 +a(g647 +g1640 +tp2936 +a(g862 +g958 +tp2937 +a(g624 +g1071 +tp2938 +a(g624 +g1666 +tp2939 +a(g624 +g1668 +tp2940 +a(g252 +V_1 +p2941 +tp2942 +a(g862 +g958 +tp2943 +a(g624 +g2893 +tp2944 +a(g862 +V\u000a +p2945 +tp2946 +a(g8 +Vdquote +p2947 +tp2948 +a(g624 +V=: +p2949 +tp2950 +a(g862 +g958 +tp2951 +a(g303 +g960 +tp2952 +a(g303 +g2003 +tp2953 +a(g303 +g960 +tp2954 +a(g624 +g2320 +tp2955 +a(g624 +g1069 +tp2956 +a(g862 +g958 +tp2957 +a(g624 +V@ +p2958 +tp2959 +a(g862 +g958 +tp2960 +a(g647 +g2312 +tp2961 +a(g624 +g1069 +tp2962 +a(g624 +g2320 +tp2963 +a(g303 +g960 +tp2964 +a(g303 +g2003 +tp2965 +a(g303 +g960 +tp2966 +a(g647 +g1640 +tp2967 +a(g862 +V\u000a +p2968 +tp2969 +a(g8 +Vfname +p2970 +tp2971 +a(g624 +V=: +p2972 +tp2973 +a(g862 +g958 +tp2974 +a(g624 +g1855 +tp2975 +a(g624 +g1857 +tp2976 +a(g862 +g958 +tp2977 +a(g647 +g2312 +tp2978 +a(g624 +g2865 +tp2979 +a(g624 +g1060 +tp2980 +a(g862 +g958 +tp2981 +a(g624 +g2870 +tp2982 +a(g624 +g1668 +tp2983 +a(g624 +g1144 +tp2984 +a(g624 +V\u005c +p2985 +tp2986 +a(g624 +g1668 +tp2987 +a(g862 +g958 +tp2988 +a(g624 +g1857 +tp2989 +a(g624 +g1060 +tp2990 +a(g624 +g2320 +tp2991 +a(g303 +g960 +tp2992 +a(g303 +g1144 +tp2993 +a(g303 +g960 +tp2994 +a(g647 +g1640 +tp2995 +a(g862 +V\u000a +p2996 +tp2997 +a(g8 +Vhostcmd +p2998 +tp2999 +a(g624 +V=: +p3000 +tp3001 +a(g862 +g958 +tp3002 +a(g624 +g2865 +tp3003 +a(g624 +g1060 +tp3004 +a(g862 +g958 +tp3005 +a(g252 +g1371 +tp3006 +a(g624 +g2329 +tp3007 +a(g624 +g1060 +tp3008 +a(g252 +g1019 +tp3009 +a(g862 +g958 +tp3010 +a(g303 +g960 +tp3011 +a(g303 +g2312 +tp3012 +a(g303 +g960 +tp3013 +a(g862 +g958 +tp3014 +a(g624 +g1069 +tp3015 +a(g862 +g958 +tp3016 +a(g624 +g2893 +tp3017 +a(g862 +g958 +tp3018 +a(g624 +g1069 +tp3019 +a(g862 +g958 +tp3020 +a(g303 +g960 +tp3021 +a(g303 +g958 +tp3022 +a(g303 +V| +p3023 +tp3024 +a(g303 +g3023 +tp3025 +a(g303 +g958 +tp3026 +a(g303 +g1154 +tp3027 +a(g303 +g1005 +tp3028 +a(g303 +g1260 +tp3029 +a(g303 +g997 +tp3030 +a(g303 +g1640 +tp3031 +a(g303 +g960 +tp3032 +a(g624 +g2003 +tp3033 +a(g247 +V_ +p3034 +tp3035 +a(g862 +V\u000a +p3036 +tp3037 +a(g8 +Vischar +p3038 +tp3039 +a(g624 +V=: +p3040 +tp3041 +a(g862 +g958 +tp3042 +a(g252 +g1371 +tp3043 +a(g862 +g958 +tp3044 +a(g624 +g2890 +tp3045 +a(g862 +g958 +tp3046 +a(g252 +g1340 +tp3047 +a(g624 +g2329 +tp3048 +a(g624 +g1060 +tp3049 +a(g252 +g1019 +tp3050 +a(g862 +V\u000a +p3051 +tp3052 +a(g8 +Vrnd +p3053 +tp3054 +a(g624 +V=: +p3055 +tp3056 +a(g862 +g958 +tp3057 +a(g624 +g2865 +tp3058 +a(g862 +g958 +tp3059 +a(g624 +g2870 +tp3060 +a(g862 +g958 +tp3061 +a(g624 +g2865 +tp3062 +a(g624 +g1060 +tp3063 +a(g862 +g958 +tp3064 +a(g624 +g1071 +tp3065 +a(g624 +g1668 +tp3066 +a(g862 +g958 +tp3067 +a(g527 +V0. +p3068 +tp3069 +a(g252 +g2918 +tp3070 +a(g862 +g958 +tp3071 +a(g624 +g2879 +tp3072 +a(g862 +g958 +tp3073 +a(g624 +g1771 +tp3074 +a(g624 +g1857 +tp3075 +a(g862 +V\u000a +p3076 +tp3077 +a(g8 +Vsep2under +p3078 +tp3079 +a(g624 +V=: +p3080 +tp3081 +a(g862 +g958 +tp3082 +a(g303 +g960 +tp3083 +a(g303 +g1144 +tp3084 +a(g303 +g960 +tp3085 +a(g862 +g958 +tp3086 +a(g624 +g2320 +tp3087 +a(g862 +g958 +tp3088 +a(g647 +g2312 +tp3089 +a(g8 +VI +p3090 +tp3091 +a(g624 +g1668 +tp3092 +a(g624 +g2958 +tp3093 +a(g647 +g2312 +tp3094 +a(g303 +g960 +tp3095 +a(g303 +g3034 +tp3096 +a(g303 +g960 +tp3097 +a(g862 +g958 +tp3098 +a(g624 +g2890 +tp3099 +a(g862 +g958 +tp3100 +a(g624 +g2893 +tp3101 +a(g647 +g1640 +tp3102 +a(g624 +V} +p3103 +tp3104 +a(g647 +g1640 +tp3105 +a(g862 +V\u000a +p3106 +tp3107 +a(g8 +VtermLF +p3108 +tp3109 +a(g624 +V=: +p3110 +tp3111 +a(g862 +g958 +tp3112 +a(g624 +g1069 +tp3113 +a(g862 +g958 +tp3114 +a(g647 +g2312 +tp3115 +a(g252 +g1019 +tp3116 +a(g862 +g958 +tp3117 +a(g624 +g1071 +tp3118 +a(g862 +g958 +tp3119 +a(g624 +g1855 +tp3120 +a(g647 +g1640 +tp3121 +a(g862 +g958 +tp3122 +a(g624 +g1855 +tp3123 +a(g862 +g958 +tp3124 +a(g8 +VLF +p3125 +tp3126 +a(g862 +g958 +tp3127 +a(g624 +g1712 +tp3128 +a(g624 +g1668 +tp3129 +a(g862 +g958 +tp3130 +a(g624 +g2314 +tp3131 +a(g624 +g1060 +tp3132 +a(g862 +V\u000a +p3133 +tp3134 +a(g8 +Vtodel +p3135 +tp3136 +a(g624 +V=: +p3137 +tp3138 +a(g862 +g958 +tp3139 +a(g624 +g1666 +tp3140 +a(g862 +g958 +tp3141 +a(g624 +g2958 +tp3142 +a(g624 +g1060 +tp3143 +a(g862 +g958 +tp3144 +a(g647 +g2312 +tp3145 +a(g8 +VDEL +p3146 +tp3147 +a(g624 +g2320 +tp3148 +a(g624 +g1069 +tp3149 +a(g862 +g958 +tp3150 +a(g624 +g2958 +tp3151 +a(g862 +g958 +tp3152 +a(g647 +g2312 +tp3153 +a(g624 +g1069 +tp3154 +a(g624 +g2320 +tp3155 +a(g647 +g2312 +tp3156 +a(g8 +VDEL +p3157 +tp3158 +a(g624 +g1069 +tp3159 +a(g303 +g960 +tp3160 +a(g303 +g958 +tp3161 +a(g303 +g960 +tp3162 +a(g647 +g1640 +tp3163 +a(g647 +g1640 +tp3164 +a(g862 +g958 +tp3165 +a(g8 +Veach +p3166 +tp3167 +a(g647 +g1640 +tp3168 +a(g862 +V\u000a +p3169 +tp3170 +a(g8 +Vtolist +p3171 +tp3172 +a(g624 +V=: +p3173 +tp3174 +a(g862 +g958 +tp3175 +a(g624 +g3103 +tp3176 +a(g624 +g1668 +tp3177 +a(g862 +g958 +tp3178 +a(g624 +g2958 +tp3179 +a(g862 +g958 +tp3180 +a(g624 +g1666 +tp3181 +a(g862 +g958 +tp3182 +a(g624 +g2958 +tp3183 +a(g624 +g1060 +tp3184 +a(g862 +g958 +tp3185 +a(g647 +g2312 +tp3186 +a(g8 +VLF +p3187 +tp3188 +a(g624 +g2320 +tp3189 +a(g624 +g1069 +tp3190 +a(g624 +g2958 +tp3191 +a(g624 +g1069 +tp3192 +a(g624 +g2958 +tp3193 +a(g624 +g2003 +tp3194 +a(g624 +g1060 +tp3195 +a(g8 +Veach +p3196 +tp3197 +a(g647 +g1640 +tp3198 +a(g862 +V\u000a +p3199 +tp3200 +a(g8 +Visjpkgout +p3201 +tp3202 +a(g624 +V=: +p3203 +tp3204 +a(g862 +g958 +tp3205 +a(g647 +g2312 +tp3206 +a(g647 +g2312 +tp3207 +a(g252 +g2873 +tp3208 +a(g862 +g958 +tp3209 +a(g624 +g2890 +tp3210 +a(g862 +g958 +tp3211 +a(g624 +g2314 +tp3212 +a(g624 +g1060 +tp3213 +a(g647 +g1640 +tp3214 +a(g862 +g958 +tp3215 +a(g624 +g2870 +tp3216 +a(g624 +g1668 +tp3217 +a(g862 +g958 +tp3218 +a(g252 +g1371 +tp3219 +a(g862 +g958 +tp3220 +a(g624 +g2890 +tp3221 +a(g862 +g958 +tp3222 +a(g624 +g1855 +tp3223 +a(g647 +g1640 +tp3224 +a(g624 +g2958 +tp3225 +a(g624 +g1057 +tp3226 +a(g862 +g958 +tp3227 +a(g624 +g2870 +tp3228 +a(g624 +g1668 +tp3229 +a(g862 +g958 +tp3230 +a(g252 +g1860 +tp3231 +a(g862 +g958 +tp3232 +a(g624 +g2890 +tp3233 +a(g862 +g958 +tp3234 +a(g8 +g1786 +tp3235 +a(g624 +g1668 +tp3236 +a(g862 +V\u000a +p3237 +tp3238 +a(g8 +Vgetintro +p3239 +tp3240 +a(g624 +V=: +p3241 +tp3242 +a(g862 +g958 +tp3243 +a(g647 +g2312 +tp3244 +a(g303 +g960 +tp3245 +a(g303 +g1668 +tp3246 +a(g303 +g1668 +tp3247 +a(g303 +g1668 +tp3248 +a(g303 +g960 +tp3249 +a(g862 +g958 +tp3250 +a(g624 +g1069 +tp3251 +a(g624 +g1857 +tp3252 +a(g862 +g958 +tp3253 +a(g624 +g1712 +tp3254 +a(g624 +g2320 +tp3255 +a(g252 +g1340 +tp3256 +a(g624 +g2958 +tp3257 +a(g624 +g2865 +tp3258 +a(g862 +g958 +tp3259 +a(g624 +g2314 +tp3260 +a(g624 +g1668 +tp3261 +a(g862 +g958 +tp3262 +a(g624 +g2893 +tp3263 +a(g647 +g1640 +tp3264 +a(g624 +V^ +p3265 +tp3266 +a(g624 +g1060 +tp3267 +a(g647 +g2312 +tp3268 +a(g624 +g1071 +tp3269 +a(g624 +g1855 +tp3270 +a(g647 +g1640 +tp3271 +a(g862 +V\u000a +p3272 +tp3273 +a(g8 +Vinfo +p3274 +tp3275 +a(g624 +V=: +p3276 +tp3277 +a(g862 +g958 +tp3278 +a(g8 +Vsmoutput +p3279 +tp3280 +a(g862 +V\u000a +p3281 +tp3282 +a(g8 +Vgetnames +p3283 +tp3284 +a(g624 +V=: +p3285 +tp3286 +a(g862 +g958 +tp3287 +a(g108 +V3 : 0 +p3288 +tp3289 +a(g862 +V\u000a +p3290 +tp3291 +a(g132 +Vselect. +p3292 +tp3293 +a(g862 +g958 +tp3294 +a(g8 +g1786 +tp3295 +a(g624 +g1668 +tp3296 +a(g28 +g1009 +tp3297 +a(g862 +V\u000a +p3298 +tp3299 +a(g132 +Vcase. +p3300 +tp3301 +a(g862 +g958 +tp3302 +a(g252 +g1019 +tp3303 +a(g862 +g958 +tp3304 +a(g132 +Vdo. +p3305 +tp3306 +a(g862 +V\u000a +p3307 +tp3308 +a(g132 +Vif. +p3309 +tp3310 +a(g862 +g958 +tp3311 +a(g624 +g2879 +tp3312 +a(g624 +g1144 +tp3313 +a(g862 +g958 +tp3314 +a(g8 +VBASELIB +p3315 +tp3316 +a(g862 +g958 +tp3317 +a(g8 +g1869 +tp3318 +a(g624 +g1668 +tp3319 +a(g862 +g958 +tp3320 +a(g28 +g1009 +tp3321 +a(g862 +g958 +tp3322 +a(g132 +Vdo. +p3323 +tp3324 +a(g862 +V\u000a +p3325 +tp3326 +a(g28 +g1009 +tp3327 +a(g624 +V=. +p3328 +tp3329 +a(g862 +g958 +tp3330 +a(g647 +g2312 +tp3331 +a(g624 +g1071 +tp3332 +a(g8 +VBASELIB +p3333 +tp3334 +a(g647 +g1640 +tp3335 +a(g624 +g1069 +tp3336 +a(g862 +g958 +tp3337 +a(g8 +Vcutnames +p3338 +tp3339 +a(g862 +g958 +tp3340 +a(g28 +g1009 +tp3341 +a(g862 +g958 +tp3342 +a(g8 +Vrplc +p3343 +tp3344 +a(g862 +g958 +tp3345 +a(g8 +VBASELIB +p3346 +tp3347 +a(g624 +g1666 +tp3348 +a(g303 +g960 +tp3349 +a(g303 +g960 +tp3350 +a(g862 +V\u000a +p3351 +tp3352 +a(g132 +Velse. +p3353 +tp3354 +a(g862 +V\u000a +p3355 +tp3356 +a(g28 +g1009 +tp3357 +a(g624 +V=. +p3358 +tp3359 +a(g862 +g958 +tp3360 +a(g8 +Vcutnames +p3361 +tp3362 +a(g862 +g958 +tp3363 +a(g28 +g1009 +tp3364 +a(g862 +V\u000a +p3365 +tp3366 +a(g132 +Vend. +p3367 +tp3368 +a(g862 +V\u000a +p3369 +tp3370 +a(g132 +Vcase. +p3371 +tp3372 +a(g862 +g958 +tp3373 +a(g252 +g1860 +tp3374 +a(g862 +g958 +tp3375 +a(g132 +Vdo. +p3376 +tp3377 +a(g862 +V\u000a +p3378 +tp3379 +a(g132 +Vif. +p3380 +tp3381 +a(g862 +g958 +tp3382 +a(g252 +g1371 +tp3383 +a(g862 +g958 +tp3384 +a(g624 +g2890 +tp3385 +a(g862 +g958 +tp3386 +a(g624 +g1855 +tp3387 +a(g624 +g1057 +tp3388 +a(g28 +g1009 +tp3389 +a(g862 +g958 +tp3390 +a(g132 +Vdo. +p3391 +tp3392 +a(g862 +V\u000a +p3393 +tp3394 +a(g28 +g1009 +tp3395 +a(g624 +V=. +p3396 +tp3397 +a(g862 +g958 +tp3398 +a(g624 +g2314 +tp3399 +a(g624 +g1668 +tp3400 +a(g624 +g2003 +tp3401 +a(g252 +g1860 +tp3402 +a(g862 +g958 +tp3403 +a(g28 +g1009 +tp3404 +a(g862 +V\u000a +p3405 +tp3406 +a(g132 +Velse. +p3407 +tp3408 +a(g862 +V\u000a +p3409 +tp3410 +a(g28 +g1009 +tp3411 +a(g624 +V=. +p3412 +tp3413 +a(g862 +g958 +tp3414 +a(g624 +g1069 +tp3415 +a(g28 +g1009 +tp3416 +a(g862 +V\u000a +p3417 +tp3418 +a(g132 +Vend. +p3419 +tp3420 +a(g862 +V\u000a +p3421 +tp3422 +a(g132 +Vcase. +p3423 +tp3424 +a(g862 +g958 +tp3425 +a(g132 +Vdo. +p3426 +tp3427 +a(g862 +V\u000a +p3428 +tp3429 +a(g303 +g960 +tp3430 +a(g303 +g960 +tp3431 +a(g862 +g958 +tp3432 +a(g132 +Vreturn. +p3433 +tp3434 +a(g862 +V\u000a +p3435 +tp3436 +a(g132 +Vend. +p3437 +tp3438 +a(g862 +V\u000a +p3439 +tp3440 +a(g28 +g1009 +tp3441 +a(g862 +V\u000a +p3442 +tp3443 +a(g132 +g1640 +tp3444 +a(g862 +V\u000a +p3445 +tp3446 +a(g8 +Vcurtailcaption +p3447 +tp3448 +a(g624 +V=: +p3449 +tp3450 +a(g862 +g958 +tp3451 +a(g108 +V3 : 0 +p3452 +tp3453 +a(g862 +V\u000a +p3454 +tp3455 +a(g8 +Vidx +p3456 +tp3457 +a(g624 +V=. +p3458 +tp3459 +a(g862 +g958 +tp3460 +a(g624 +g1071 +tp3461 +a(g252 +V_1 +p3462 +tp3463 +a(g624 +g1666 +tp3464 +a(g624 +g1857 +tp3465 +a(g8 +g3090 +tp3466 +a(g624 +g1668 +tp3467 +a(g862 +g958 +tp3468 +a(g252 +V45 +p3469 +tp3470 +a(g624 +g1071 +tp3471 +a(g624 +g1855 +tp3472 +a(g624 +g2320 +tp3473 +a(g624 +g2876 +tp3474 +a(g624 +g2314 +tp3475 +a(g624 +g1060 +tp3476 +a(g624 +g2003 +tp3477 +a(g252 +g1860 +tp3478 +a(g862 +g958 +tp3479 +a(g28 +g1009 +tp3480 +a(g862 +V\u000a +p3481 +tp3482 +a(g28 +g1009 +tp3483 +a(g624 +V=. +p3484 +tp3485 +a(g862 +g958 +tp3486 +a(g647 +g2312 +tp3487 +a(g252 +V45 +p3488 +tp3489 +a(g624 +g2320 +tp3490 +a(g8 +Vgetintro +p3491 +tp3492 +a(g862 +g958 +tp3493 +a(g624 +g2320 +tp3494 +a(g624 +g1668 +tp3495 +a(g624 +g2876 +tp3496 +a(g862 +g958 +tp3497 +a(g8 +Vidx +p3498 +tp3499 +a(g624 +g2314 +tp3500 +a(g28 +g1009 +tp3501 +a(g647 +g1640 +tp3502 +a(g862 +g958 +tp3503 +a(g8 +Vidx +p3504 +tp3505 +a(g624 +g3103 +tp3506 +a(g28 +g1009 +tp3507 +a(g862 +V\u000a +p3508 +tp3509 +a(g132 +g1640 +tp3510 +a(g862 +V\u000a +p3511 +tp3512 +a(g8 +Vdeltree +p3513 +tp3514 +a(g624 +V=: +p3515 +tp3516 +a(g862 +g958 +tp3517 +a(g108 +V3 : 0 +p3518 +tp3519 +a(g862 +V\u000a +p3520 +tp3521 +a(g132 +Vtry. +p3522 +tp3523 +a(g862 +V\u000a +p3524 +tp3525 +a(g8 +Vres +p3526 +tp3527 +a(g624 +V=. +p3528 +tp3529 +a(g862 +g958 +tp3530 +a(g252 +g1019 +tp3531 +a(g624 +g1071 +tp3532 +a(g862 +g958 +tp3533 +a(g8 +Vferase +p3534 +tp3535 +a(g862 +g958 +tp3536 +a(g624 +g2314 +tp3537 +a(g624 +g1668 +tp3538 +a(g624 +g2003 +tp3539 +a(g252 +g1860 +tp3540 +a(g862 +g958 +tp3541 +a(g8 +Vdirtree +p3542 +tp3543 +a(g862 +g958 +tp3544 +a(g28 +g1009 +tp3545 +a(g862 +V\u000a +p3546 +tp3547 +a(g624 +g2870 +tp3548 +a(g624 +g1668 +tp3549 +a(g624 +g1144 +tp3550 +a(g862 +g958 +tp3551 +a(g8 +Vres +p3552 +tp3553 +a(g624 +g1069 +tp3554 +a(g252 +g1019 +tp3555 +a(g624 +g1071 +tp3556 +a(g8 +Vferase +p3557 +tp3558 +a(g862 +g958 +tp3559 +a(g624 +g3023 +tp3560 +a(g624 +g1668 +tp3561 +a(g8 +Vdirpath +p3562 +tp3563 +a(g862 +g958 +tp3564 +a(g28 +g1009 +tp3565 +a(g862 +V\u000a +p3566 +tp3567 +a(g132 +Vcatch. +p3568 +tp3569 +a(g862 +g958 +tp3570 +a(g252 +g1019 +tp3571 +a(g862 +g958 +tp3572 +a(g132 +Vend. +p3573 +tp3574 +a(g862 +V\u000a +p3575 +tp3576 +a(g132 +g1640 +tp3577 +a(g862 +V\u000a +p3578 +tp3579 +a(g8 +Vfixjal +p3580 +tp3581 +a(g624 +V=: +p3582 +tp3583 +a(g862 +g958 +tp3584 +a(g108 +V3 : 0 +p3585 +tp3586 +a(g862 +V\u000a +p3587 +tp3588 +a(g132 +Vif. +p3589 +tp3590 +a(g862 +g958 +tp3591 +a(g252 +g1371 +tp3592 +a(g862 +g958 +tp3593 +a(g624 +g2876 +tp3594 +a(g862 +g958 +tp3595 +a(g624 +g1855 +tp3596 +a(g28 +g1009 +tp3597 +a(g862 +g958 +tp3598 +a(g132 +Vdo. +p3599 +tp3600 +a(g862 +g958 +tp3601 +a(g8 +g1002 +tp3602 +a(g624 +g1668 +tp3603 +a(g252 +g1019 +tp3604 +a(g862 +g958 +tp3605 +a(g252 +g2918 +tp3606 +a(g862 +g958 +tp3607 +a(g132 +Vreturn. +p3608 +tp3609 +a(g862 +g958 +tp3610 +a(g132 +Vend. +p3611 +tp3612 +a(g862 +V\u000a +p3613 +tp3614 +a(g28 +g970 +tp3615 +a(g624 +V=. +p3616 +tp3617 +a(g862 +g958 +tp3618 +a(g252 +V_2 +p3619 +tp3620 +a(g862 +g958 +tp3621 +a(g624 +g3023 +tp3622 +a(g624 +g1668 +tp3623 +a(g862 +g958 +tp3624 +a(g647 +g2312 +tp3625 +a(g8 +VLF +p3626 +tp3627 +a(g624 +g1069 +tp3628 +a(g303 +g960 +tp3629 +a(g303 +g1640 +tp3630 +a(g303 +g960 +tp3631 +a(g624 +g1069 +tp3632 +a(g8 +VLF +p3633 +tp3634 +a(g647 +g1640 +tp3635 +a(g862 +g958 +tp3636 +a(g8 +g1869 +tp3637 +a(g624 +g1668 +tp3638 +a(g862 +g958 +tp3639 +a(g28 +g1009 +tp3640 +a(g862 +V\u000a +p3641 +tp3642 +a(g8 +g1005 +tp3643 +a(g624 +V=. +p3644 +tp3645 +a(g862 +g958 +tp3646 +a(g252 +V_2 +p3647 +tp3648 +a(g862 +g958 +tp3649 +a(g624 +g3103 +tp3650 +a(g624 +g1668 +tp3651 +a(g862 +g958 +tp3652 +a(g8 +Veach +p3653 +tp3654 +a(g862 +g958 +tp3655 +a(g28 +g970 +tp3656 +a(g862 +g958 +tp3657 +a(g624 +g1071 +tp3658 +a(g624 +g1666 +tp3659 +a(g624 +g1668 +tp3660 +a(g252 +V_2 +p3661 +tp3662 +a(g862 +g958 +tp3663 +a(g28 +g1009 +tp3664 +a(g862 +V\u000a +p3665 +tp3666 +a(g28 +g1989 +tp3667 +a(g624 +V=. +p3668 +tp3669 +a(g862 +g958 +tp3670 +a(g8 +g1005 +tp3671 +a(g862 +g958 +tp3672 +a(g8 +g1002 +tp3673 +a(g624 +g1668 +tp3674 +a(g624 +g2320 +tp3675 +a(g624 +g2876 +tp3676 +a(g862 +g958 +tp3677 +a(g8 +VLF +p3678 +tp3679 +a(g862 +V\u000a +p3680 +tp3681 +a(g8 +g1151 +tp3682 +a(g624 +V=. +p3683 +tp3684 +a(g862 +g958 +tp3685 +a(g647 +g2312 +tp3686 +a(g28 +g1989 +tp3687 +a(g624 +g2879 +tp3688 +a(g252 +g1860 +tp3689 +a(g647 +g1640 +tp3690 +a(g862 +g958 +tp3691 +a(g624 +g3103 +tp3692 +a(g624 +g1668 +tp3693 +a(g8 +Veach +p3694 +tp3695 +a(g862 +g958 +tp3696 +a(g8 +g1005 +tp3697 +a(g862 +V\u000a +p3698 +tp3699 +a(g8 +g1005 +tp3700 +a(g624 +V=. +p3701 +tp3702 +a(g862 +g958 +tp3703 +a(g28 +g1989 +tp3704 +a(g862 +g958 +tp3705 +a(g624 +g2314 +tp3706 +a(g624 +g1668 +tp3707 +a(g8 +Veach +p3708 +tp3709 +a(g862 +g958 +tp3710 +a(g8 +g1005 +tp3711 +a(g862 +V\u000a +p3712 +tp3713 +a(g8 +g1005 +tp3714 +a(g624 +V=. +p3715 +tp3716 +a(g862 +g958 +tp3717 +a(g252 +g1340 +tp3718 +a(g862 +g958 +tp3719 +a(g624 +g2314 +tp3720 +a(g624 +g1668 +tp3721 +a(g624 +g2003 +tp3722 +a(g252 +g1860 +tp3723 +a(g862 +g958 +tp3724 +a(g8 +Vcutjal +p3725 +tp3726 +a(g862 +g958 +tp3727 +a(g624 +g2320 +tp3728 +a(g624 +g2876 +tp3729 +a(g862 +g958 +tp3730 +a(g303 +g960 +tp3731 +a(g303 +g958 +tp3732 +a(g303 +g960 +tp3733 +a(g862 +g958 +tp3734 +a(g624 +g1069 +tp3735 +a(g8 +Veach +p3736 +tp3737 +a(g862 +g958 +tp3738 +a(g8 +g1005 +tp3739 +a(g862 +V\u000a +p3740 +tp3741 +a(g28 +g1989 +tp3742 +a(g624 +V=. +p3743 +tp3744 +a(g862 +g958 +tp3745 +a(g8 +g1151 +tp3746 +a(g862 +g958 +tp3747 +a(g8 +g1002 +tp3748 +a(g624 +g1668 +tp3749 +a(g624 +g2320 +tp3750 +a(g624 +g2876 +tp3751 +a(g862 +g958 +tp3752 +a(g8 +VLF +p3753 +tp3754 +a(g862 +V\u000a +p3755 +tp3756 +a(g8 +g968 +tp3757 +a(g624 +V=. +p3758 +tp3759 +a(g862 +g958 +tp3760 +a(g28 +g1989 +tp3761 +a(g862 +g958 +tp3762 +a(g624 +g2314 +tp3763 +a(g624 +g1668 +tp3764 +a(g8 +Veach +p3765 +tp3766 +a(g862 +g958 +tp3767 +a(g8 +g1151 +tp3768 +a(g862 +V\u000a +p3769 +tp3770 +a(g8 +g1151 +tp3771 +a(g624 +V=. +p3772 +tp3773 +a(g862 +g958 +tp3774 +a(g647 +g2312 +tp3775 +a(g28 +g1989 +tp3776 +a(g624 +g2879 +tp3777 +a(g252 +g1860 +tp3778 +a(g647 +g1640 +tp3779 +a(g862 +g958 +tp3780 +a(g624 +g3103 +tp3781 +a(g624 +g1668 +tp3782 +a(g8 +Veach +p3783 +tp3784 +a(g862 +g958 +tp3785 +a(g8 +g1151 +tp3786 +a(g862 +V\u000a +p3787 +tp3788 +a(g8 +g1005 +tp3789 +a(g624 +g1069 +tp3790 +a(g624 +g1668 +tp3791 +a(g8 +g968 +tp3792 +a(g624 +g1069 +tp3793 +a(g624 +g1668 +tp3794 +a(g8 +g1151 +tp3795 +a(g862 +V\u000a +p3796 +tp3797 +a(g132 +g1640 +tp3798 +a(g862 +V\u000a +p3799 +tp3800 +a(g8 +Vfixjal2 +p3801 +tp3802 +a(g624 +V=: +p3803 +tp3804 +a(g862 +g958 +tp3805 +a(g108 +V3 : 0 +p3806 +tp3807 +a(g862 +V\u000a +p3808 +tp3809 +a(g132 +Vif. +p3810 +tp3811 +a(g862 +g958 +tp3812 +a(g252 +g1371 +tp3813 +a(g862 +g958 +tp3814 +a(g624 +g2876 +tp3815 +a(g862 +g958 +tp3816 +a(g624 +g1855 +tp3817 +a(g28 +g1009 +tp3818 +a(g862 +g958 +tp3819 +a(g132 +Vdo. +p3820 +tp3821 +a(g862 +g958 +tp3822 +a(g8 +g1002 +tp3823 +a(g624 +g1668 +tp3824 +a(g252 +g1019 +tp3825 +a(g862 +g958 +tp3826 +a(g252 +g1371 +tp3827 +a(g862 +g958 +tp3828 +a(g132 +Vreturn. +p3829 +tp3830 +a(g862 +g958 +tp3831 +a(g132 +Vend. +p3832 +tp3833 +a(g862 +V\u000a +p3834 +tp3835 +a(g8 +Vcutjal +p3836 +tp3837 +a(g862 +g958 +tp3838 +a(g624 +g2320 +tp3839 +a(g624 +g2876 +tp3840 +a(g862 +g958 +tp3841 +a(g303 +g960 +tp3842 +a(g303 +g958 +tp3843 +a(g303 +g960 +tp3844 +a(g862 +g958 +tp3845 +a(g624 +g1069 +tp3846 +a(g8 +Veach +p3847 +tp3848 +a(g862 +g958 +tp3849 +a(g624 +g1071 +tp3850 +a(g624 +g1666 +tp3851 +a(g624 +g1668 +tp3852 +a(g252 +V_2 +p3853 +tp3854 +a(g862 +g958 +tp3855 +a(g28 +g1009 +tp3856 +a(g862 +V\u000a +p3857 +tp3858 +a(g132 +g1640 +tp3859 +a(g862 +V\u000a +p3860 +tp3861 +a(g8 +Vfixjsp +p3862 +tp3863 +a(g624 +V=: +p3864 +tp3865 +a(g862 +g958 +tp3866 +a(g108 +V3 : 0 +p3867 +tp3868 +a(g862 +V\u000a +p3869 +tp3870 +a(g132 +Vif. +p3871 +tp3872 +a(g862 +g958 +tp3873 +a(g252 +g1371 +tp3874 +a(g862 +g958 +tp3875 +a(g624 +g2876 +tp3876 +a(g862 +g958 +tp3877 +a(g624 +g1855 +tp3878 +a(g28 +g1009 +tp3879 +a(g862 +g958 +tp3880 +a(g132 +Vdo. +p3881 +tp3882 +a(g862 +g958 +tp3883 +a(g8 +g1002 +tp3884 +a(g624 +g1668 +tp3885 +a(g252 +g1019 +tp3886 +a(g862 +g958 +tp3887 +a(g252 +g2918 +tp3888 +a(g862 +g958 +tp3889 +a(g132 +Vreturn. +p3890 +tp3891 +a(g862 +g958 +tp3892 +a(g132 +Vend. +p3893 +tp3894 +a(g862 +V\u000a +p3895 +tp3896 +a(g28 +g970 +tp3897 +a(g624 +V=. +p3898 +tp3899 +a(g862 +g958 +tp3900 +a(g252 +V_2 +p3901 +tp3902 +a(g862 +g958 +tp3903 +a(g624 +g3023 +tp3904 +a(g624 +g1668 +tp3905 +a(g862 +g958 +tp3906 +a(g647 +g2312 +tp3907 +a(g8 +VLF +p3908 +tp3909 +a(g624 +g1069 +tp3910 +a(g303 +g960 +tp3911 +a(g303 +g1640 +tp3912 +a(g303 +g960 +tp3913 +a(g624 +g1069 +tp3914 +a(g8 +VLF +p3915 +tp3916 +a(g647 +g1640 +tp3917 +a(g862 +g958 +tp3918 +a(g8 +g1869 +tp3919 +a(g624 +g1668 +tp3920 +a(g862 +g958 +tp3921 +a(g28 +g1009 +tp3922 +a(g862 +V\u000a +p3923 +tp3924 +a(g8 +g1005 +tp3925 +a(g624 +V=. +p3926 +tp3927 +a(g862 +g958 +tp3928 +a(g252 +V_2 +p3929 +tp3930 +a(g862 +g958 +tp3931 +a(g624 +g3103 +tp3932 +a(g624 +g1668 +tp3933 +a(g862 +g958 +tp3934 +a(g8 +Veach +p3935 +tp3936 +a(g862 +g958 +tp3937 +a(g28 +g970 +tp3938 +a(g862 +g958 +tp3939 +a(g624 +g1071 +tp3940 +a(g624 +g1666 +tp3941 +a(g624 +g1668 +tp3942 +a(g252 +V_2 +p3943 +tp3944 +a(g862 +g958 +tp3945 +a(g28 +g1009 +tp3946 +a(g862 +V\u000a +p3947 +tp3948 +a(g28 +g1989 +tp3949 +a(g624 +V=. +p3950 +tp3951 +a(g862 +g958 +tp3952 +a(g8 +g1005 +tp3953 +a(g862 +g958 +tp3954 +a(g8 +g1002 +tp3955 +a(g624 +g1668 +tp3956 +a(g624 +g2320 +tp3957 +a(g624 +g2876 +tp3958 +a(g862 +g958 +tp3959 +a(g8 +VLF +p3960 +tp3961 +a(g862 +V\u000a +p3962 +tp3963 +a(g8 +g1151 +tp3964 +a(g624 +V=. +p3965 +tp3966 +a(g862 +g958 +tp3967 +a(g647 +g2312 +tp3968 +a(g28 +g1989 +tp3969 +a(g624 +g2879 +tp3970 +a(g252 +g1860 +tp3971 +a(g647 +g1640 +tp3972 +a(g862 +g958 +tp3973 +a(g624 +g3103 +tp3974 +a(g624 +g1668 +tp3975 +a(g8 +Veach +p3976 +tp3977 +a(g862 +g958 +tp3978 +a(g8 +g1005 +tp3979 +a(g862 +V\u000a +p3980 +tp3981 +a(g8 +g1005 +tp3982 +a(g624 +V=. +p3983 +tp3984 +a(g862 +g958 +tp3985 +a(g28 +g1989 +tp3986 +a(g862 +g958 +tp3987 +a(g624 +g2314 +tp3988 +a(g624 +g1668 +tp3989 +a(g8 +Veach +p3990 +tp3991 +a(g862 +g958 +tp3992 +a(g8 +g1005 +tp3993 +a(g862 +V\u000a +p3994 +tp3995 +a(g8 +g1005 +tp3996 +a(g624 +V=. +p3997 +tp3998 +a(g862 +g958 +tp3999 +a(g303 +g960 +tp4000 +a(g303 +g958 +tp4001 +a(g303 +g960 +tp4002 +a(g862 +g958 +tp4003 +a(g624 +g1069 +tp4004 +a(g8 +Veach +p4005 +tp4006 +a(g862 +g958 +tp4007 +a(g8 +g1005 +tp4008 +a(g862 +V\u000a +p4009 +tp4010 +a(g647 +g2312 +tp4011 +a(g8 +Vcutjsp +p4012 +tp4013 +a(g862 +g958 +tp4014 +a(g624 +g2320 +tp4015 +a(g624 +g2876 +tp4016 +a(g862 +g958 +tp4017 +a(g8 +g1005 +tp4018 +a(g647 +g1640 +tp4019 +a(g624 +g1069 +tp4020 +a(g624 +g1668 +tp4021 +a(g8 +g1151 +tp4022 +a(g862 +V\u000a +p4023 +tp4024 +a(g132 +g1640 +tp4025 +a(g862 +V\u000a +p4026 +tp4027 +a(g8 +Vfixlib +p4028 +tp4029 +a(g624 +V=: +p4030 +tp4031 +a(g862 +g958 +tp4032 +a(g108 +V3 : 0 +p4033 +tp4034 +a(g862 +V\u000a +p4035 +tp4036 +a(g8 +Vmsk +p4037 +tp4038 +a(g624 +V=. +p4039 +tp4040 +a(g862 +g958 +tp4041 +a(g647 +g2312 +tp4042 +a(g624 +g1071 +tp4043 +a(g8 +VLIBTREE +p4044 +tp4045 +a(g647 +g1640 +tp4046 +a(g862 +g958 +tp4047 +a(g624 +g2890 +tp4048 +a(g862 +g958 +tp4049 +a(g252 +g1860 +tp4050 +a(g862 +g958 +tp4051 +a(g624 +g2314 +tp4052 +a(g624 +g2003 +tp4053 +a(g252 +g1860 +tp4054 +a(g862 +g958 +tp4055 +a(g28 +g1009 +tp4056 +a(g862 +V\u000a +p4057 +tp4058 +a(g132 +Vif. +p4059 +tp4060 +a(g862 +g958 +tp4061 +a(g624 +g1712 +tp4062 +a(g624 +g1668 +tp4063 +a(g862 +g958 +tp4064 +a(g252 +g1860 +tp4065 +a(g862 +g958 +tp4066 +a(g8 +g997 +tp4067 +a(g624 +g1668 +tp4068 +a(g862 +g958 +tp4069 +a(g8 +Vmsk +p4070 +tp4071 +a(g862 +g958 +tp4072 +a(g132 +Vdo. +p4073 +tp4074 +a(g862 +g958 +tp4075 +a(g647 +g2312 +tp4076 +a(g624 +g1057 +tp4077 +a(g252 +g1019 +tp4078 +a(g647 +g1640 +tp4079 +a(g624 +g1666 +tp4080 +a(g303 +g960 +tp4081 +a(g303 +g960 +tp4082 +a(g624 +g1666 +tp4083 +a(g252 +g1019 +tp4084 +a(g862 +g958 +tp4085 +a(g132 +Vreturn. +p4086 +tp4087 +a(g862 +g958 +tp4088 +a(g132 +Vend. +p4089 +tp4090 +a(g862 +V\u000a +p4091 +tp4092 +a(g303 +g960 +tp4093 +a(g303 +g1354 +tp4094 +a(g303 +g997 +tp4095 +a(g303 +g1005 +tp4096 +a(g303 +g958 +tp4097 +a(g303 +g1325 +tp4098 +a(g303 +g1000 +tp4099 +a(g303 +g973 +tp4100 +a(g303 +g958 +tp4101 +a(g303 +g995 +tp4102 +a(g303 +g1002 +tp4103 +a(g303 +g1425 +tp4104 +a(g303 +g960 +tp4105 +a(g624 +V=. +p4106 +tp4107 +a(g862 +g958 +tp4108 +a(g252 +g1371 +tp4109 +a(g862 +g958 +tp4110 +a(g252 +g2873 +tp4111 +a(g862 +g958 +tp4112 +a(g252 +g2918 +tp4113 +a(g862 +g958 +tp4114 +a(g624 +g2314 +tp4115 +a(g862 +g958 +tp4116 +a(g647 +g2312 +tp4117 +a(g8 +Vmsk +p4118 +tp4119 +a(g862 +g958 +tp4120 +a(g8 +g1002 +tp4121 +a(g624 +g1668 +tp4122 +a(g252 +g1860 +tp4123 +a(g647 +g1640 +tp4124 +a(g862 +g958 +tp4125 +a(g624 +g2314 +tp4126 +a(g862 +g958 +tp4127 +a(g28 +g1009 +tp4128 +a(g862 +V\u000a +p4129 +tp4130 +a(g8 +Vver +p4131 +tp4132 +a(g624 +V=. +p4133 +tp4134 +a(g862 +g958 +tp4135 +a(g8 +Vfixver +p4136 +tp4137 +a(g862 +g958 +tp4138 +a(g8 +Vver +p4139 +tp4140 +a(g862 +V\u000a +p4141 +tp4142 +a(g8 +Vver +p4143 +tp4144 +a(g624 +g1666 +tp4145 +a(g8 +Vfln +p4146 +tp4147 +a(g624 +g1666 +tp4148 +a(g8 +Vsiz +p4149 +tp4150 +a(g862 +V\u000a +p4151 +tp4152 +a(g132 +g1640 +tp4153 +a(g862 +V\u000a +p4154 +tp4155 +a(g8 +Vfixlibs +p4156 +tp4157 +a(g624 +V=: +p4158 +tp4159 +a(g862 +g958 +tp4160 +a(g108 +V3 : 0 +p4161 +tp4162 +a(g862 +V\u000a +p4163 +tp4164 +a(g132 +Vif. +p4165 +tp4166 +a(g862 +g958 +tp4167 +a(g252 +g1371 +tp4168 +a(g862 +g958 +tp4169 +a(g624 +g2876 +tp4170 +a(g862 +g958 +tp4171 +a(g624 +g1855 +tp4172 +a(g28 +g1009 +tp4173 +a(g862 +g958 +tp4174 +a(g132 +Vdo. +p4175 +tp4176 +a(g862 +V\u000a +p4177 +tp4178 +a(g8 +g1002 +tp4179 +a(g624 +g1668 +tp4180 +a(g252 +g1019 +tp4181 +a(g862 +g958 +tp4182 +a(g252 +V6 +p4183 +tp4184 +a(g862 +g958 +tp4185 +a(g132 +Vreturn. +p4186 +tp4187 +a(g862 +V\u000a +p4188 +tp4189 +a(g132 +Vend. +p4190 +tp4191 +a(g862 +V\u000a +p4192 +tp4193 +a(g8 +Vfls +p4194 +tp4195 +a(g624 +V=. +p4196 +tp4197 +a(g862 +g958 +tp4198 +a(g624 +g1071 +tp4199 +a(g624 +g1666 +tp4200 +a(g624 +g1668 +tp4201 +a(g252 +V_2 +p4202 +tp4203 +a(g862 +g958 +tp4204 +a(g28 +g1009 +tp4205 +a(g862 +V\u000a +p4206 +tp4207 +a(g8 +Vndx +p4208 +tp4209 +a(g624 +V=. +p4210 +tp4211 +a(g862 +g958 +tp4212 +a(g8 +Vfls +p4213 +tp4214 +a(g862 +g958 +tp4215 +a(g8 +g1002 +tp4216 +a(g624 +g1668 +tp4217 +a(g624 +g2320 +tp4218 +a(g624 +g2876 +tp4219 +a(g862 +g958 +tp4220 +a(g303 +g960 +tp4221 +a(g303 +g958 +tp4222 +a(g303 +g960 +tp4223 +a(g862 +V\u000a +p4224 +tp4225 +a(g8 +Vsiz +p4226 +tp4227 +a(g624 +V=. +p4228 +tp4229 +a(g862 +g958 +tp4230 +a(g624 +g1071 +tp4231 +a(g624 +g2320 +tp4232 +a(g624 +g2876 +tp4233 +a(g862 +g958 +tp4234 +a(g252 +g1019 +tp4235 +a(g862 +g958 +tp4236 +a(g624 +g2003 +tp4237 +a(g624 +g1668 +tp4238 +a(g862 +g958 +tp4239 +a(g647 +g2312 +tp4240 +a(g8 +Vndx +p4241 +tp4242 +a(g624 +g2879 +tp4243 +a(g252 +g1860 +tp4244 +a(g647 +g1640 +tp4245 +a(g862 +g958 +tp4246 +a(g624 +g3103 +tp4247 +a(g624 +g1668 +tp4248 +a(g624 +g2320 +tp4249 +a(g624 +g2876 +tp4250 +a(g862 +g958 +tp4251 +a(g8 +Vfls +p4252 +tp4253 +a(g862 +V\u000a +p4254 +tp4255 +a(g8 +Vfls +p4256 +tp4257 +a(g624 +V=. +p4258 +tp4259 +a(g862 +g958 +tp4260 +a(g8 +Vndx +p4261 +tp4262 +a(g862 +g958 +tp4263 +a(g624 +g2314 +tp4264 +a(g624 +g1668 +tp4265 +a(g8 +Veach +p4266 +tp4267 +a(g862 +g958 +tp4268 +a(g8 +Vfls +p4269 +tp4270 +a(g862 +V\u000a +p4271 +tp4272 +a(g8 +Vzps +p4273 +tp4274 +a(g624 +V=. +p4275 +tp4276 +a(g862 +g958 +tp4277 +a(g624 +g1071 +tp4278 +a(g624 +g1666 +tp4279 +a(g624 +g1668 +tp4280 +a(g252 +V_2 +p4281 +tp4282 +a(g862 +g958 +tp4283 +a(g624 +g2320 +tp4284 +a(g624 +g2876 +tp4285 +a(g862 +g958 +tp4286 +a(g8 +Vfls +p4287 +tp4288 +a(g862 +g958 +tp4289 +a(g624 +g1069 +tp4290 +a(g8 +Veach +p4291 +tp4292 +a(g862 +g958 +tp4293 +a(g303 +g960 +tp4294 +a(g303 +g3034 +tp4295 +a(g303 +g960 +tp4296 +a(g862 +V\u000a +p4297 +tp4298 +a(g8 +Vpfm +p4299 +tp4300 +a(g624 +V=. +p4301 +tp4302 +a(g862 +g958 +tp4303 +a(g252 +g1340 +tp4304 +a(g862 +g958 +tp4305 +a(g624 +g2314 +tp4306 +a(g624 +g2003 +tp4307 +a(g252 +g1860 +tp4308 +a(g862 +g958 +tp4309 +a(g8 +Vzps +p4310 +tp4311 +a(g862 +V\u000a +p4312 +tp4313 +a(g8 +Vuname +p4314 +tp4315 +a(g624 +V=. +p4316 +tp4317 +a(g862 +g958 +tp4318 +a(g8 +Vtolower +p4319 +tp4320 +a(g862 +g958 +tp4321 +a(g8 +VUNAME +p4322 +tp4323 +a(g862 +V\u000a +p4324 +tp4325 +a(g8 +Vmsk +p4326 +tp4327 +a(g624 +V=. +p4328 +tp4329 +a(g862 +g958 +tp4330 +a(g647 +g2312 +tp4331 +a(g8 +Vuname +p4332 +tp4333 +a(g862 +g958 +tp4334 +a(g624 +g1712 +tp4335 +a(g624 +g1060 +tp4336 +a(g862 +g958 +tp4337 +a(g647 +g2312 +tp4338 +a(g624 +g2314 +tp4339 +a(g624 +g1668 +tp4340 +a(g624 +g1857 +tp4341 +a(g862 +g958 +tp4342 +a(g8 +g1002 +tp4343 +a(g624 +g1668 +tp4344 +a(g624 +g2320 +tp4345 +a(g303 +g960 +tp4346 +a(g303 +g1668 +tp4347 +a(g303 +g960 +tp4348 +a(g647 +g1640 +tp4349 +a(g647 +g1640 +tp4350 +a(g862 +g958 +tp4351 +a(g624 +g2320 +tp4352 +a(g624 +g2876 +tp4353 +a(g862 +g958 +tp4354 +a(g8 +Vpfm +p4355 +tp4356 +a(g862 +V\u000a +p4357 +tp4358 +a(g132 +Vif. +p4359 +tp4360 +a(g862 +g958 +tp4361 +a(g252 +g1860 +tp4362 +a(g862 +g958 +tp4363 +a(g624 +g1857 +tp4364 +a(g624 +g1060 +tp4365 +a(g862 +g958 +tp4366 +a(g624 +g2879 +tp4367 +a(g624 +g1144 +tp4368 +a(g8 +Vmsk +p4369 +tp4370 +a(g862 +g958 +tp4371 +a(g132 +Vdo. +p4372 +tp4373 +a(g862 +g958 +tp4374 +a(g8 +Vmsk +p4375 +tp4376 +a(g624 +V=. +p4377 +tp4378 +a(g862 +g958 +tp4379 +a(g252 +g1860 +tp4380 +a(g624 +g1069 +tp4381 +a(g624 +g1857 +tp4382 +a(g862 +g958 +tp4383 +a(g624 +g3103 +tp4384 +a(g624 +g1060 +tp4385 +a(g252 +g1019 +tp4386 +a(g624 +g2870 +tp4387 +a(g624 +g1668 +tp4388 +a(g8 +Vmsk +p4389 +tp4390 +a(g862 +g958 +tp4391 +a(g132 +Vend. +p4392 +tp4393 +a(g862 +V\u000a +p4394 +tp4395 +a(g8 +Vmsk +p4396 +tp4397 +a(g862 +g958 +tp4398 +a(g624 +g1855 +tp4399 +a(g862 +g958 +tp4400 +a(g8 +Vzps +p4401 +tp4402 +a(g624 +g1069 +tp4403 +a(g624 +g1668 +tp4404 +a(g8 +Vfls +p4405 +tp4406 +a(g624 +g1069 +tp4407 +a(g624 +g1668 +tp4408 +a(g8 +Vsiz +p4409 +tp4410 +a(g862 +V\u000a +p4411 +tp4412 +a(g132 +g1640 +tp4413 +a(g862 +V\u000a +p4414 +tp4415 +a(g8 +Vfixrev +p4416 +tp4417 +a(g624 +V=: +p4418 +tp4419 +a(g862 +g958 +tp4420 +a(g108 +V3 : 0 +p4421 +tp4422 +a(g862 +V\u000a +p4423 +tp4424 +a(g624 +g2314 +tp4425 +a(g624 +g1668 +tp4426 +a(g862 +g958 +tp4427 +a(g252 +V_1 +p4428 +tp4429 +a(g862 +g958 +tp4430 +a(g624 +g2003 +tp4431 +a(g624 +g1668 +tp4432 +a(g862 +g958 +tp4433 +a(g624 +g1060 +tp4434 +a(g624 +g1060 +tp4435 +a(g862 +g958 +tp4436 +a(g799 +V_1: +p4437 +tp4438 +a(g862 +g958 +tp4439 +a(g28 +g1009 +tp4440 +a(g862 +g958 +tp4441 +a(g624 +g1712 +tp4442 +a(g624 +g1668 +tp4443 +a(g862 +g958 +tp4444 +a(g8 +VCRLF +p4445 +tp4446 +a(g862 +V\u000a +p4447 +tp4448 +a(g132 +g1640 +tp4449 +a(g862 +V\u000a +p4450 +tp4451 +a(g8 +Vfixupd +p4452 +tp4453 +a(g624 +V=: +p4454 +tp4455 +a(g862 +g958 +tp4456 +a(g108 +V3 : 0 +p4457 +tp4458 +a(g862 +V\u000a +p4459 +tp4460 +a(g252 +V_1 +p4461 +tp4462 +a(g862 +g958 +tp4463 +a(g624 +g2003 +tp4464 +a(g624 +g1668 +tp4465 +a(g862 +g958 +tp4466 +a(g624 +g1060 +tp4467 +a(g624 +g1060 +tp4468 +a(g862 +g958 +tp4469 +a(g799 +V_1: +p4470 +tp4471 +a(g862 +g958 +tp4472 +a(g28 +g1009 +tp4473 +a(g862 +g958 +tp4474 +a(g624 +g1712 +tp4475 +a(g624 +g1668 +tp4476 +a(g862 +g958 +tp4477 +a(g8 +VCRLF +p4478 +tp4479 +a(g862 +V\u000a +p4480 +tp4481 +a(g132 +g1640 +tp4482 +a(g862 +V\u000a +p4483 +tp4484 +a(g8 +Vfixver +p4485 +tp4486 +a(g624 +V=: +p4487 +tp4488 +a(g862 +g958 +tp4489 +a(g108 +V3 : 0 +p4490 +tp4491 +a(g862 +V\u000a +p4492 +tp4493 +a(g132 +Vif. +p4494 +tp4495 +a(g862 +g958 +tp4496 +a(g8 +Vischar +p4497 +tp4498 +a(g862 +g958 +tp4499 +a(g28 +g1009 +tp4500 +a(g862 +g958 +tp4501 +a(g132 +Vdo. +p4502 +tp4503 +a(g862 +V\u000a +p4504 +tp4505 +a(g28 +g1009 +tp4506 +a(g624 +V=. +p4507 +tp4508 +a(g862 +g958 +tp4509 +a(g28 +g1009 +tp4510 +a(g862 +g958 +tp4511 +a(g624 +g1712 +tp4512 +a(g624 +g1668 +tp4513 +a(g862 +g958 +tp4514 +a(g8 +VCRLF +p4515 +tp4516 +a(g862 +V\u000a +p4517 +tp4518 +a(g28 +g1009 +tp4519 +a(g624 +V=. +p4520 +tp4521 +a(g862 +g958 +tp4522 +a(g252 +g1019 +tp4523 +a(g862 +g958 +tp4524 +a(g624 +g2003 +tp4525 +a(g624 +g1668 +tp4526 +a(g862 +g958 +tp4527 +a(g303 +g960 +tp4528 +a(g303 +g958 +tp4529 +a(g303 +g960 +tp4530 +a(g862 +g958 +tp4531 +a(g647 +g2312 +tp4532 +a(g8 +g3090 +tp4533 +a(g624 +g1668 +tp4534 +a(g862 +g958 +tp4535 +a(g28 +g1009 +tp4536 +a(g624 +g2890 +tp4537 +a(g303 +g960 +tp4538 +a(g303 +g1668 +tp4539 +a(g303 +g960 +tp4540 +a(g647 +g1640 +tp4541 +a(g862 +g958 +tp4542 +a(g624 +g3103 +tp4543 +a(g862 +g958 +tp4544 +a(g28 +g1009 +tp4545 +a(g862 +V\u000a +p4546 +tp4547 +a(g132 +Vend. +p4548 +tp4549 +a(g862 +V\u000a +p4550 +tp4551 +a(g252 +g1340 +tp4552 +a(g862 +g958 +tp4553 +a(g624 +g2314 +tp4554 +a(g624 +g1668 +tp4555 +a(g862 +g958 +tp4556 +a(g28 +g1009 +tp4557 +a(g862 +V\u000a +p4558 +tp4559 +a(g132 +g1640 +tp4560 +a(g862 +V\u000a +p4561 +tp4562 +a(g8 +Vfixvers +p4563 +tp4564 +a(g624 +V=: +p4565 +tp4566 +a(g862 +g958 +tp4567 +a(g108 +V3 : 0 +p4568 +tp4569 +a(g862 +V\u000a +p4570 +tp4571 +a(g8 +g995 +tp4572 +a(g624 +V=. +p4573 +tp4574 +a(g862 +g958 +tp4575 +a(g624 +g1057 +tp4576 +a(g28 +g1009 +tp4577 +a(g862 +V\u000a +p4578 +tp4579 +a(g28 +g1009 +tp4580 +a(g624 +V=. +p4581 +tp4582 +a(g862 +g958 +tp4583 +a(g624 +g1069 +tp4584 +a(g28 +g1009 +tp4585 +a(g862 +V\u000a +p4586 +tp4587 +a(g252 +g1340 +tp4588 +a(g862 +g958 +tp4589 +a(g624 +g2314 +tp4590 +a(g624 +g1668 +tp4591 +a(g624 +g2003 +tp4592 +a(g252 +g1860 +tp4593 +a(g862 +g958 +tp4594 +a(g624 +g2865 +tp4595 +a(g862 +g958 +tp4596 +a(g252 +g1019 +tp4597 +a(g862 +g958 +tp4598 +a(g624 +g2003 +tp4599 +a(g624 +g1668 +tp4600 +a(g862 +g958 +tp4601 +a(g8 +g995 +tp4602 +a(g862 +g958 +tp4603 +a(g624 +g1057 +tp4604 +a(g862 +g958 +tp4605 +a(g303 +g960 +tp4606 +a(g303 +g958 +tp4607 +a(g303 +g960 +tp4608 +a(g862 +g958 +tp4609 +a(g647 +g2312 +tp4610 +a(g8 +g3090 +tp4611 +a(g624 +g1668 +tp4612 +a(g862 +g958 +tp4613 +a(g28 +g1009 +tp4614 +a(g862 +g958 +tp4615 +a(g8 +g997 +tp4616 +a(g624 +g1668 +tp4617 +a(g862 +g958 +tp4618 +a(g303 +g960 +tp4619 +a(g303 +g1668 +tp4620 +a(g303 +g1144 +tp4621 +a(g303 +g960 +tp4622 +a(g647 +g1640 +tp4623 +a(g862 +g958 +tp4624 +a(g624 +g3103 +tp4625 +a(g862 +g958 +tp4626 +a(g28 +g1009 +tp4627 +a(g862 +V\u000a +p4628 +tp4629 +a(g132 +g1640 +tp4630 +a(g862 +V\u000a +p4631 +tp4632 +a(g8 +Vfmtjal +p4633 +tp4634 +a(g624 +V=: +p4635 +tp4636 +a(g862 +g958 +tp4637 +a(g108 +V3 : 0 +p4638 +tp4639 +a(g862 +V\u000a +p4640 +tp4641 +a(g132 +Vif. +p4642 +tp4643 +a(g862 +g958 +tp4644 +a(g252 +g1019 +tp4645 +a(g862 +g958 +tp4646 +a(g624 +g2890 +tp4647 +a(g862 +g958 +tp4648 +a(g624 +g1855 +tp4649 +a(g28 +g1009 +tp4650 +a(g862 +g958 +tp4651 +a(g132 +Vdo. +p4652 +tp4653 +a(g862 +g958 +tp4654 +a(g303 +g960 +tp4655 +a(g303 +g960 +tp4656 +a(g862 +g958 +tp4657 +a(g132 +Vreturn. +p4658 +tp4659 +a(g862 +g958 +tp4660 +a(g132 +Vend. +p4661 +tp4662 +a(g862 +V\u000a +p4663 +tp4664 +a(g8 +g1005 +tp4665 +a(g624 +V=. +p4666 +tp4667 +a(g862 +g958 +tp4668 +a(g647 +g2312 +tp4669 +a(g252 +g2873 +tp4670 +a(g862 +g958 +tp4671 +a(g624 +g2314 +tp4672 +a(g624 +g1668 +tp4673 +a(g624 +g2003 +tp4674 +a(g252 +g1860 +tp4675 +a(g862 +g958 +tp4676 +a(g28 +g1009 +tp4677 +a(g647 +g1640 +tp4678 +a(g862 +g958 +tp4679 +a(g624 +g1069 +tp4680 +a(g8 +Veach +p4681 +tp4682 +a(g862 +g958 +tp4683 +a(g624 +g2003 +tp4684 +a(g252 +g1860 +tp4685 +a(g862 +g958 +tp4686 +a(g303 +g960 +tp4687 +a(g303 +g958 +tp4688 +a(g303 +g958 +tp4689 +a(g303 +g960 +tp4690 +a(g624 +g1069 +tp4691 +a(g8 +VLF2 +p4692 +tp4693 +a(g862 +V\u000a +p4694 +tp4695 +a(g8 +g1005 +tp4696 +a(g624 +V=. +p4697 +tp4698 +a(g862 +g958 +tp4699 +a(g624 +g1071 +tp4700 +a(g624 +g2958 +tp4701 +a(g624 +g1666 +tp4702 +a(g862 +g958 +tp4703 +a(g624 +g2003 +tp4704 +a(g252 +g1860 +tp4705 +a(g862 +g958 +tp4706 +a(g8 +g1005 +tp4707 +a(g862 +V\u000a +p4708 +tp4709 +a(g624 +g1666 +tp4710 +a(g862 +g958 +tp4711 +a(g8 +g1005 +tp4712 +a(g862 +g958 +tp4713 +a(g624 +g1069 +tp4714 +a(g8 +Veach +p4715 +tp4716 +a(g862 +g958 +tp4717 +a(g647 +g2312 +tp4718 +a(g624 +g2314 +tp4719 +a(g624 +g1060 +tp4720 +a(g624 +g2003 +tp4721 +a(g252 +g1860 +tp4722 +a(g862 +g958 +tp4723 +a(g28 +g1009 +tp4724 +a(g647 +g1640 +tp4725 +a(g862 +g958 +tp4726 +a(g624 +g1069 +tp4727 +a(g8 +Veach +p4728 +tp4729 +a(g862 +g958 +tp4730 +a(g624 +g1071 +tp4731 +a(g303 +g960 +tp4732 +a(g303 +g1640 +tp4733 +a(g303 +g960 +tp4734 +a(g624 +g1069 +tp4735 +a(g8 +VLF +p4736 +tp4737 +a(g862 +V\u000a +p4738 +tp4739 +a(g132 +g1640 +tp4740 +a(g862 +V\u000a +p4741 +tp4742 +a(g8 +Vfmtjal2 +p4743 +tp4744 +a(g624 +V=: +p4745 +tp4746 +a(g862 +g958 +tp4747 +a(g108 +V3 : 0 +p4748 +tp4749 +a(g862 +V\u000a +p4750 +tp4751 +a(g132 +Vif. +p4752 +tp4753 +a(g862 +g958 +tp4754 +a(g252 +g1019 +tp4755 +a(g862 +g958 +tp4756 +a(g624 +g2890 +tp4757 +a(g862 +g958 +tp4758 +a(g624 +g1855 +tp4759 +a(g28 +g1009 +tp4760 +a(g862 +g958 +tp4761 +a(g132 +Vdo. +p4762 +tp4763 +a(g862 +g958 +tp4764 +a(g303 +g960 +tp4765 +a(g303 +g960 +tp4766 +a(g862 +g958 +tp4767 +a(g132 +Vreturn. +p4768 +tp4769 +a(g862 +g958 +tp4770 +a(g132 +Vend. +p4771 +tp4772 +a(g862 +V\u000a +p4773 +tp4774 +a(g624 +g1666 +tp4775 +a(g862 +g958 +tp4776 +a(g647 +g2312 +tp4777 +a(g252 +g1371 +tp4778 +a(g862 +g958 +tp4779 +a(g624 +g2314 +tp4780 +a(g624 +g1668 +tp4781 +a(g624 +g2003 +tp4782 +a(g252 +g1860 +tp4783 +a(g862 +g958 +tp4784 +a(g28 +g1009 +tp4785 +a(g647 +g1640 +tp4786 +a(g862 +g958 +tp4787 +a(g624 +g1069 +tp4788 +a(g8 +Veach +p4789 +tp4790 +a(g862 +g958 +tp4791 +a(g624 +g2003 +tp4792 +a(g252 +g1860 +tp4793 +a(g862 +g958 +tp4794 +a(g303 +g960 +tp4795 +a(g303 +g958 +tp4796 +a(g303 +g960 +tp4797 +a(g624 +g1069 +tp4798 +a(g8 +VLF +p4799 +tp4800 +a(g862 +V\u000a +p4801 +tp4802 +a(g132 +g1640 +tp4803 +a(g862 +V\u000a +p4804 +tp4805 +a(g8 +Vfmtdep +p4806 +tp4807 +a(g624 +V=: +p4808 +tp4809 +a(g862 +g958 +tp4810 +a(g108 +V3 : 0 +p4811 +tp4812 +a(g862 +V\u000a +p4813 +tp4814 +a(g624 +g3103 +tp4815 +a(g624 +g1668 +tp4816 +a(g862 +g958 +tp4817 +a(g624 +g1666 +tp4818 +a(g862 +g958 +tp4819 +a(g303 +g960 +tp4820 +a(g303 +g1069 +tp4821 +a(g303 +g960 +tp4822 +a(g862 +g958 +tp4823 +a(g624 +g1069 +tp4824 +a(g8 +Veach +p4825 +tp4826 +a(g862 +g958 +tp4827 +a(g8 +g966 +tp4828 +a(g624 +g1060 +tp4829 +a(g862 +g958 +tp4830 +a(g624 +g1712 +tp4831 +a(g624 +g1668 +tp4832 +a(g624 +g1857 +tp4833 +a(g862 +g958 +tp4834 +a(g624 +g1071 +tp4835 +a(g624 +g1666 +tp4836 +a(g624 +g1668 +tp4837 +a(g252 +V_2 +p4838 +tp4839 +a(g862 +g958 +tp4840 +a(g28 +g1009 +tp4841 +a(g862 +V\u000a +p4842 +tp4843 +a(g132 +g1640 +tp4844 +a(g862 +V\u000a +p4845 +tp4846 +a(g8 +Vfmtjsp +p4847 +tp4848 +a(g624 +V=: +p4849 +tp4850 +a(g862 +g958 +tp4851 +a(g108 +V3 : 0 +p4852 +tp4853 +a(g862 +V\u000a +p4854 +tp4855 +a(g132 +Vif. +p4856 +tp4857 +a(g862 +g958 +tp4858 +a(g252 +g1019 +tp4859 +a(g862 +g958 +tp4860 +a(g624 +g2890 +tp4861 +a(g862 +g958 +tp4862 +a(g624 +g1855 +tp4863 +a(g28 +g1009 +tp4864 +a(g862 +g958 +tp4865 +a(g132 +Vdo. +p4866 +tp4867 +a(g862 +g958 +tp4868 +a(g303 +g960 +tp4869 +a(g303 +g960 +tp4870 +a(g862 +g958 +tp4871 +a(g132 +Vreturn. +p4872 +tp4873 +a(g862 +g958 +tp4874 +a(g132 +Vend. +p4875 +tp4876 +a(g862 +V\u000a +p4877 +tp4878 +a(g8 +g1005 +tp4879 +a(g624 +V=. +p4880 +tp4881 +a(g862 +g958 +tp4882 +a(g647 +g2312 +tp4883 +a(g252 +g2873 +tp4884 +a(g862 +g958 +tp4885 +a(g624 +g2314 +tp4886 +a(g624 +g1668 +tp4887 +a(g624 +g2003 +tp4888 +a(g252 +g1860 +tp4889 +a(g862 +g958 +tp4890 +a(g28 +g1009 +tp4891 +a(g647 +g1640 +tp4892 +a(g862 +g958 +tp4893 +a(g624 +g1069 +tp4894 +a(g8 +Veach +p4895 +tp4896 +a(g862 +g958 +tp4897 +a(g624 +g2003 +tp4898 +a(g252 +g1860 +tp4899 +a(g862 +g958 +tp4900 +a(g303 +g960 +tp4901 +a(g303 +g958 +tp4902 +a(g303 +g958 +tp4903 +a(g303 +g958 +tp4904 +a(g303 +g960 +tp4905 +a(g624 +g1069 +tp4906 +a(g8 +VLF +p4907 +tp4908 +a(g862 +V\u000a +p4909 +tp4910 +a(g8 +g1005 +tp4911 +a(g624 +V=. +p4912 +tp4913 +a(g862 +g958 +tp4914 +a(g624 +g1071 +tp4915 +a(g624 +g2958 +tp4916 +a(g624 +g1666 +tp4917 +a(g862 +g958 +tp4918 +a(g624 +g2003 +tp4919 +a(g252 +g1860 +tp4920 +a(g862 +g958 +tp4921 +a(g8 +g1005 +tp4922 +a(g862 +V\u000a +p4923 +tp4924 +a(g624 +g1666 +tp4925 +a(g862 +g958 +tp4926 +a(g8 +g1005 +tp4927 +a(g862 +g958 +tp4928 +a(g624 +g1069 +tp4929 +a(g8 +Veach +p4930 +tp4931 +a(g862 +g958 +tp4932 +a(g647 +g2312 +tp4933 +a(g624 +g2314 +tp4934 +a(g624 +g1060 +tp4935 +a(g624 +g2003 +tp4936 +a(g252 +g1860 +tp4937 +a(g862 +g958 +tp4938 +a(g28 +g1009 +tp4939 +a(g647 +g1640 +tp4940 +a(g862 +g958 +tp4941 +a(g624 +g1069 +tp4942 +a(g8 +Veach +p4943 +tp4944 +a(g862 +g958 +tp4945 +a(g624 +g1071 +tp4946 +a(g303 +g960 +tp4947 +a(g303 +g1640 +tp4948 +a(g303 +g960 +tp4949 +a(g624 +g1069 +tp4950 +a(g8 +VLF +p4951 +tp4952 +a(g862 +V\u000a +p4953 +tp4954 +a(g132 +g1640 +tp4955 +a(g862 +V\u000a +p4956 +tp4957 +a(g8 +Vfmtlib +p4958 +tp4959 +a(g624 +V=: +p4960 +tp4961 +a(g862 +g958 +tp4962 +a(g108 +V3 : 0 +p4963 +tp4964 +a(g862 +V\u000a +p4965 +tp4966 +a(g624 +g1069 +tp4967 +a(g862 +g958 +tp4968 +a(g303 +g960 +tp4969 +a(g303 +g1175 +tp4970 +a(g303 +g1071 +tp4971 +a(g303 +g1668 +tp4972 +a(g303 +g2876 +tp4973 +a(g303 +g1069 +tp4974 +a(g303 +g1175 +tp4975 +a(g303 +g1071 +tp4976 +a(g303 +g1668 +tp4977 +a(g303 +g2876 +tp4978 +a(g303 +g1005 +tp4979 +a(g303 +g1071 +tp4980 +a(g303 +g1019 +tp4981 +a(g303 +g2876 +tp4982 +a(g303 +g1340 +tp4983 +a(g303 +g1668 +tp4984 +a(g303 +g1019 +tp4985 +a(g303 +g1069 +tp4986 +a(g303 +g1005 +tp4987 +a(g303 +g1071 +tp4988 +a(g303 +g1019 +tp4989 +a(g303 +g2876 +tp4990 +a(g303 +g1340 +tp4991 +a(g303 +g1668 +tp4992 +a(g303 +g1019 +tp4993 +a(g303 +g960 +tp4994 +a(g862 +g958 +tp4995 +a(g252 +g2345 +tp4996 +a(g624 +g2329 +tp4997 +a(g624 +g1060 +tp4998 +a(g252 +g1371 +tp4999 +a(g862 +g958 +tp5000 +a(g28 +g1009 +tp5001 +a(g862 +V\u000a +p5002 +tp5003 +a(g132 +g1640 +tp5004 +a(g862 +V\u000a +p5005 +tp5006 +a(g8 +Vfmtver +p5007 +tp5008 +a(g624 +V=: +p5009 +tp5010 +a(g862 +g958 +tp5011 +a(g108 +V3 : 0 +p5012 +tp5013 +a(g862 +V\u000a +p5014 +tp5015 +a(g132 +Vif. +p5016 +tp5017 +a(g862 +g958 +tp5018 +a(g252 +g1019 +tp5019 +a(g624 +g2890 +tp5020 +a(g624 +g1855 +tp5021 +a(g28 +g1009 +tp5022 +a(g862 +g958 +tp5023 +a(g132 +Vdo. +p5024 +tp5025 +a(g862 +g958 +tp5026 +a(g303 +g960 +tp5027 +a(g303 +g960 +tp5028 +a(g862 +g958 +tp5029 +a(g132 +Vreturn. +p5030 +tp5031 +a(g862 +g958 +tp5032 +a(g132 +Vend. +p5033 +tp5034 +a(g862 +V\u000a +p5035 +tp5036 +a(g132 +Vif. +p5037 +tp5038 +a(g862 +g958 +tp5039 +a(g8 +Vischar +p5040 +tp5041 +a(g862 +g958 +tp5042 +a(g28 +g1009 +tp5043 +a(g862 +g958 +tp5044 +a(g132 +Vdo. +p5045 +tp5046 +a(g862 +g958 +tp5047 +a(g28 +g1009 +tp5048 +a(g862 +g958 +tp5049 +a(g132 +Vreturn. +p5050 +tp5051 +a(g862 +g958 +tp5052 +a(g132 +Vend. +p5053 +tp5054 +a(g862 +V\u000a +p5055 +tp5056 +a(g624 +g3103 +tp5057 +a(g624 +g1668 +tp5058 +a(g862 +g958 +tp5059 +a(g624 +g1666 +tp5060 +a(g862 +g958 +tp5061 +a(g303 +g960 +tp5062 +a(g303 +g1668 +tp5063 +a(g303 +g960 +tp5064 +a(g862 +g958 +tp5065 +a(g624 +g1069 +tp5066 +a(g8 +Veach +p5067 +tp5068 +a(g862 +g958 +tp5069 +a(g624 +g2003 +tp5070 +a(g624 +g1060 +tp5071 +a(g862 +g958 +tp5072 +a(g8 +Veach +p5073 +tp5074 +a(g862 +g958 +tp5075 +a(g28 +g1009 +tp5076 +a(g862 +V\u000a +p5077 +tp5078 +a(g132 +g1640 +tp5079 +a(g862 +V\u000a +p5080 +tp5081 +a(g8 +Vfmtverlib +p5082 +tp5083 +a(g624 +V=: +p5084 +tp5085 +a(g862 +g958 +tp5086 +a(g108 +V3 : 0 +p5087 +tp5088 +a(g862 +V\u000a +p5089 +tp5090 +a(g8 +Vfmtver +p5091 +tp5092 +a(g862 +g958 +tp5093 +a(g28 +g1009 +tp5094 +a(g862 +V\u000a +p5095 +tp5096 +a(g132 +g1640 +tp5097 +a(g862 +V\u000a +p5098 +tp5099 +a(g8 +Vfixzips +p5100 +tp5101 +a(g624 +V=: +p5102 +tp5103 +a(g862 +g958 +tp5104 +a(g108 +V3 : 0 +p5105 +tp5106 +a(g862 +V\u000a +p5107 +tp5108 +a(g132 +Vif. +p5109 +tp5110 +a(g862 +g958 +tp5111 +a(g252 +g1371 +tp5112 +a(g862 +g958 +tp5113 +a(g624 +g2876 +tp5114 +a(g862 +g958 +tp5115 +a(g624 +g1855 +tp5116 +a(g28 +g1009 +tp5117 +a(g862 +g958 +tp5118 +a(g132 +Vdo. +p5119 +tp5120 +a(g862 +g958 +tp5121 +a(g8 +g1002 +tp5122 +a(g624 +g1668 +tp5123 +a(g252 +g1019 +tp5124 +a(g862 +g958 +tp5125 +a(g252 +g2918 +tp5126 +a(g862 +g958 +tp5127 +a(g132 +Vreturn. +p5128 +tp5129 +a(g862 +g958 +tp5130 +a(g132 +Vend. +p5131 +tp5132 +a(g862 +V\u000a +p5133 +tp5134 +a(g8 +Vfls +p5135 +tp5136 +a(g624 +V=. +p5137 +tp5138 +a(g862 +g958 +tp5139 +a(g624 +g1071 +tp5140 +a(g624 +g1666 +tp5141 +a(g624 +g1668 +tp5142 +a(g252 +V_2 +p5143 +tp5144 +a(g862 +g958 +tp5145 +a(g28 +g1009 +tp5146 +a(g862 +V\u000a +p5147 +tp5148 +a(g8 +Vndx +p5149 +tp5150 +a(g624 +V=. +p5151 +tp5152 +a(g862 +g958 +tp5153 +a(g8 +Vfls +p5154 +tp5155 +a(g862 +g958 +tp5156 +a(g8 +g1002 +tp5157 +a(g624 +g1668 +tp5158 +a(g624 +g2320 +tp5159 +a(g624 +g2876 +tp5160 +a(g862 +g958 +tp5161 +a(g303 +g960 +tp5162 +a(g303 +g958 +tp5163 +a(g303 +g960 +tp5164 +a(g862 +V\u000a +p5165 +tp5166 +a(g8 +Vsiz +p5167 +tp5168 +a(g624 +V=. +p5169 +tp5170 +a(g862 +g958 +tp5171 +a(g252 +g1019 +tp5172 +a(g862 +g958 +tp5173 +a(g624 +g2003 +tp5174 +a(g624 +g1668 +tp5175 +a(g862 +g958 +tp5176 +a(g647 +g2312 +tp5177 +a(g8 +Vndx +p5178 +tp5179 +a(g624 +g2879 +tp5180 +a(g252 +g1860 +tp5181 +a(g647 +g1640 +tp5182 +a(g862 +g958 +tp5183 +a(g624 +g3103 +tp5184 +a(g624 +g1668 +tp5185 +a(g624 +g2320 +tp5186 +a(g624 +g2876 +tp5187 +a(g862 +g958 +tp5188 +a(g8 +Vfls +p5189 +tp5190 +a(g862 +V\u000a +p5191 +tp5192 +a(g8 +Vfls +p5193 +tp5194 +a(g624 +V=. +p5195 +tp5196 +a(g862 +g958 +tp5197 +a(g8 +Vndx +p5198 +tp5199 +a(g862 +g958 +tp5200 +a(g624 +g2314 +tp5201 +a(g624 +g1668 +tp5202 +a(g8 +Veach +p5203 +tp5204 +a(g862 +g958 +tp5205 +a(g8 +Vfls +p5206 +tp5207 +a(g862 +V\u000a +p5208 +tp5209 +a(g8 +Vzps +p5210 +tp5211 +a(g624 +V=. +p5212 +tp5213 +a(g862 +g958 +tp5214 +a(g624 +g1071 +tp5215 +a(g624 +g1666 +tp5216 +a(g624 +g1668 +tp5217 +a(g252 +V_2 +p5218 +tp5219 +a(g862 +g958 +tp5220 +a(g624 +g2320 +tp5221 +a(g624 +g2876 +tp5222 +a(g862 +g958 +tp5223 +a(g8 +Vfls +p5224 +tp5225 +a(g862 +g958 +tp5226 +a(g624 +g1069 +tp5227 +a(g8 +Veach +p5228 +tp5229 +a(g862 +g958 +tp5230 +a(g303 +g960 +tp5231 +a(g303 +g3034 +tp5232 +a(g303 +g960 +tp5233 +a(g862 +V\u000a +p5234 +tp5235 +a(g8 +Vzps +p5236 +tp5237 +a(g624 +V=. +p5238 +tp5239 +a(g862 +g958 +tp5240 +a(g8 +Vzps +p5241 +tp5242 +a(g624 +g1069 +tp5243 +a(g624 +g1668 +tp5244 +a(g8 +Vfls +p5245 +tp5246 +a(g624 +g1069 +tp5247 +a(g624 +g1668 +tp5248 +a(g624 +g1071 +tp5249 +a(g624 +g2320 +tp5250 +a(g624 +g2876 +tp5251 +a(g8 +Vsiz +p5252 +tp5253 +a(g862 +V\u000a +p5254 +tp5255 +a(g8 +Vpfm +p5256 +tp5257 +a(g624 +V=. +p5258 +tp5259 +a(g862 +g958 +tp5260 +a(g252 +g1340 +tp5261 +a(g862 +g958 +tp5262 +a(g624 +g2314 +tp5263 +a(g624 +g2003 +tp5264 +a(g252 +g1860 +tp5265 +a(g862 +g958 +tp5266 +a(g8 +Vzps +p5267 +tp5268 +a(g862 +V\u000a +p5269 +tp5270 +a(g8 +Vand +p5271 +tp5272 +a(g624 +V=. +p5273 +tp5274 +a(g862 +g958 +tp5275 +a(g647 +g2312 +tp5276 +a(g252 +g1860 +tp5277 +a(g862 +g958 +tp5278 +a(g8 +g997 +tp5279 +a(g624 +g1668 +tp5280 +a(g862 +g958 +tp5281 +a(g303 +g960 +tp5282 +a(g303 +g966 +tp5283 +a(g303 +g973 +tp5284 +a(g303 +g1151 +tp5285 +a(g303 +g1005 +tp5286 +a(g303 +g1185 +tp5287 +a(g303 +g1002 +tp5288 +a(g303 +g1151 +tp5289 +a(g303 +g960 +tp5290 +a(g624 +g2320 +tp5291 +a(g8 +g1869 +tp5292 +a(g624 +g1668 +tp5293 +a(g647 +g1640 +tp5294 +a(g862 +g958 +tp5295 +a(g624 +g2320 +tp5296 +a(g624 +g2876 +tp5297 +a(g862 +g958 +tp5298 +a(g8 +Vpfm +p5299 +tp5300 +a(g862 +V\u000a +p5301 +tp5302 +a(g8 +Vlnx +p5303 +tp5304 +a(g624 +V=. +p5305 +tp5306 +a(g862 +g958 +tp5307 +a(g647 +g2312 +tp5308 +a(g252 +g1860 +tp5309 +a(g862 +g958 +tp5310 +a(g8 +g997 +tp5311 +a(g624 +g1668 +tp5312 +a(g862 +g958 +tp5313 +a(g303 +g960 +tp5314 +a(g303 +g1000 +tp5315 +a(g303 +g1002 +tp5316 +a(g303 +g973 +tp5317 +a(g303 +g1260 +tp5318 +a(g303 +g1989 +tp5319 +a(g303 +g960 +tp5320 +a(g624 +g2320 +tp5321 +a(g8 +g1869 +tp5322 +a(g624 +g1668 +tp5323 +a(g647 +g1640 +tp5324 +a(g862 +g958 +tp5325 +a(g624 +g2320 +tp5326 +a(g624 +g2876 +tp5327 +a(g862 +g958 +tp5328 +a(g8 +Vpfm +p5329 +tp5330 +a(g862 +V\u000a +p5331 +tp5332 +a(g8 +Vmac +p5333 +tp5334 +a(g624 +V=. +p5335 +tp5336 +a(g862 +g958 +tp5337 +a(g647 +g2312 +tp5338 +a(g252 +g1860 +tp5339 +a(g862 +g958 +tp5340 +a(g8 +g997 +tp5341 +a(g624 +g1668 +tp5342 +a(g862 +g958 +tp5343 +a(g303 +g960 +tp5344 +a(g303 +g1151 +tp5345 +a(g303 +g966 +tp5346 +a(g303 +g1005 +tp5347 +a(g303 +g1215 +tp5348 +a(g303 +g1002 +tp5349 +a(g303 +g973 +tp5350 +a(g303 +g960 +tp5351 +a(g624 +g2320 +tp5352 +a(g8 +g1869 +tp5353 +a(g624 +g1668 +tp5354 +a(g647 +g1640 +tp5355 +a(g862 +g958 +tp5356 +a(g624 +g2320 +tp5357 +a(g624 +g2876 +tp5358 +a(g862 +g958 +tp5359 +a(g8 +Vpfm +p5360 +tp5361 +a(g862 +V\u000a +p5362 +tp5363 +a(g8 +Vwin +p5364 +tp5365 +a(g624 +V=. +p5366 +tp5367 +a(g862 +g958 +tp5368 +a(g8 +Vmac +p5369 +tp5370 +a(g862 +g958 +tp5371 +a(g624 +g1071 +tp5372 +a(g862 +g958 +tp5373 +a(g647 +g2312 +tp5374 +a(g252 +g1860 +tp5375 +a(g862 +g958 +tp5376 +a(g8 +g997 +tp5377 +a(g624 +g1668 +tp5378 +a(g862 +g958 +tp5379 +a(g303 +g960 +tp5380 +a(g303 +g1215 +tp5381 +a(g303 +g1002 +tp5382 +a(g303 +g973 +tp5383 +a(g303 +g960 +tp5384 +a(g624 +g2320 +tp5385 +a(g8 +g1869 +tp5386 +a(g624 +g1668 +tp5387 +a(g647 +g1640 +tp5388 +a(g862 +g958 +tp5389 +a(g624 +g2320 +tp5390 +a(g624 +g2876 +tp5391 +a(g862 +g958 +tp5392 +a(g8 +Vpfm +p5393 +tp5394 +a(g862 +V\u000a\u000a +p5395 +tp5396 +a(g132 +Vselect. +p5397 +tp5398 +a(g862 +g958 +tp5399 +a(g8 +VUNAME +p5400 +tp5401 +a(g862 +V\u000a +p5402 +tp5403 +a(g132 +Vcase. +p5404 +tp5405 +a(g862 +g958 +tp5406 +a(g303 +g960 +tp5407 +a(g303 +VW +p5408 +tp5409 +a(g303 +g1002 +tp5410 +a(g303 +g973 +tp5411 +a(g303 +g960 +tp5412 +a(g862 +g958 +tp5413 +a(g132 +Vdo. +p5414 +tp5415 +a(g862 +V\u000a +p5416 +tp5417 +a(g8 +Vzps +p5418 +tp5419 +a(g624 +V=. +p5420 +tp5421 +a(g862 +g958 +tp5422 +a(g8 +Vwin +p5423 +tp5424 +a(g862 +g958 +tp5425 +a(g624 +g1855 +tp5426 +a(g862 +g958 +tp5427 +a(g8 +Vzps +p5428 +tp5429 +a(g862 +V\u000a +p5430 +tp5431 +a(g132 +Vcase. +p5432 +tp5433 +a(g862 +g958 +tp5434 +a(g303 +g960 +tp5435 +a(g303 +g1786 +tp5436 +a(g303 +g1002 +tp5437 +a(g303 +g973 +tp5438 +a(g303 +g1260 +tp5439 +a(g303 +g1989 +tp5440 +a(g303 +g960 +tp5441 +a(g862 +g958 +tp5442 +a(g132 +Vdo. +p5443 +tp5444 +a(g862 +V\u000a +p5445 +tp5446 +a(g8 +Vzps +p5447 +tp5448 +a(g624 +V=. +p5449 +tp5450 +a(g862 +g958 +tp5451 +a(g8 +Vlnx +p5452 +tp5453 +a(g862 +g958 +tp5454 +a(g624 +g1855 +tp5455 +a(g862 +g958 +tp5456 +a(g8 +Vzps +p5457 +tp5458 +a(g862 +V\u000a +p5459 +tp5460 +a(g132 +Vcase. +p5461 +tp5462 +a(g862 +g958 +tp5463 +a(g303 +g960 +tp5464 +a(g303 +g1074 +tp5465 +a(g303 +g973 +tp5466 +a(g303 +g1151 +tp5467 +a(g303 +g1005 +tp5468 +a(g303 +g1185 +tp5469 +a(g303 +g1002 +tp5470 +a(g303 +g1151 +tp5471 +a(g303 +g960 +tp5472 +a(g862 +g958 +tp5473 +a(g132 +Vdo. +p5474 +tp5475 +a(g862 +V\u000a +p5476 +tp5477 +a(g8 +Vzps +p5478 +tp5479 +a(g624 +V=. +p5480 +tp5481 +a(g862 +g958 +tp5482 +a(g8 +Vand +p5483 +tp5484 +a(g862 +g958 +tp5485 +a(g624 +g1855 +tp5486 +a(g862 +g958 +tp5487 +a(g8 +Vzps +p5488 +tp5489 +a(g862 +V\u000a +p5490 +tp5491 +a(g132 +Vcase. +p5492 +tp5493 +a(g862 +g958 +tp5494 +a(g303 +g960 +tp5495 +a(g303 +g1744 +tp5496 +a(g303 +g966 +tp5497 +a(g303 +g1005 +tp5498 +a(g303 +g1215 +tp5499 +a(g303 +g1002 +tp5500 +a(g303 +g973 +tp5501 +a(g303 +g960 +tp5502 +a(g862 +g958 +tp5503 +a(g132 +Vdo. +p5504 +tp5505 +a(g862 +V\u000a +p5506 +tp5507 +a(g8 +Vzps +p5508 +tp5509 +a(g624 +V=. +p5510 +tp5511 +a(g862 +g958 +tp5512 +a(g8 +Vmac +p5513 +tp5514 +a(g862 +g958 +tp5515 +a(g624 +g1855 +tp5516 +a(g862 +g958 +tp5517 +a(g8 +Vzps +p5518 +tp5519 +a(g862 +V\u000a +p5520 +tp5521 +a(g8 +Vzps +p5522 +tp5523 +a(g624 +V=. +p5524 +tp5525 +a(g862 +g958 +tp5526 +a(g8 +Vzps +p5527 +tp5528 +a(g862 +g958 +tp5529 +a(g624 +g1144 +tp5530 +a(g624 +g1060 +tp5531 +a(g862 +g958 +tp5532 +a(g252 +g1340 +tp5533 +a(g862 +g958 +tp5534 +a(g624 +g2314 +tp5535 +a(g624 +g2003 +tp5536 +a(g252 +g1860 +tp5537 +a(g862 +g958 +tp5538 +a(g8 +Vzps +p5539 +tp5540 +a(g862 +V\u000a +p5541 +tp5542 +a(g8 +Vzps +p5543 +tp5544 +a(g624 +V=. +p5545 +tp5546 +a(g862 +g958 +tp5547 +a(g647 +g2312 +tp5548 +a(g624 +g1857 +tp5549 +a(g624 +g1060 +tp5550 +a(g862 +g958 +tp5551 +a(g252 +g1340 +tp5552 +a(g862 +g958 +tp5553 +a(g624 +g2314 +tp5554 +a(g624 +g1668 +tp5555 +a(g624 +g2003 +tp5556 +a(g252 +g1860 +tp5557 +a(g862 +g958 +tp5558 +a(g8 +Vzps +p5559 +tp5560 +a(g647 +g1640 +tp5561 +a(g862 +g958 +tp5562 +a(g624 +g1855 +tp5563 +a(g862 +g958 +tp5564 +a(g8 +Vzps +p5565 +tp5566 +a(g862 +V\u000a +p5567 +tp5568 +a(g132 +Vend. +p5569 +tp5570 +a(g862 +V\u000a\u000a +p5571 +tp5572 +a(g8 +Vbit +p5573 +tp5574 +a(g624 +V=. +p5575 +tp5576 +a(g862 +g958 +tp5577 +a(g8 +VIF64 +p5578 +tp5579 +a(g862 +g958 +tp5580 +a(g8 +Vpick +p5581 +tp5582 +a(g862 +g958 +tp5583 +a(g303 +g960 +tp5584 +a(g303 +g4183 +tp5585 +a(g303 +g2873 +tp5586 +a(g303 +g960 +tp5587 +a(g624 +g1666 +tp5588 +a(g303 +g960 +tp5589 +a(g303 +g1340 +tp5590 +a(g303 +g1371 +tp5591 +a(g303 +g960 +tp5592 +a(g862 +V\u000a +p5593 +tp5594 +a(g8 +Vpfm +p5595 +tp5596 +a(g624 +V=. +p5597 +tp5598 +a(g862 +g958 +tp5599 +a(g252 +g1340 +tp5600 +a(g862 +g958 +tp5601 +a(g624 +g2314 +tp5602 +a(g624 +g2003 +tp5603 +a(g252 +g1860 +tp5604 +a(g862 +g958 +tp5605 +a(g8 +Vzps +p5606 +tp5607 +a(g862 +V\u000a +p5608 +tp5609 +a(g8 +Vexc +p5610 +tp5611 +a(g624 +V=. +p5612 +tp5613 +a(g862 +g958 +tp5614 +a(g647 +g2312 +tp5615 +a(g252 +g1860 +tp5616 +a(g862 +g958 +tp5617 +a(g8 +g997 +tp5618 +a(g624 +g1668 +tp5619 +a(g862 +g958 +tp5620 +a(g8 +Vbit +p5621 +tp5622 +a(g624 +g2320 +tp5623 +a(g8 +g1869 +tp5624 +a(g624 +g1668 +tp5625 +a(g647 +g1640 +tp5626 +a(g862 +g958 +tp5627 +a(g624 +g2320 +tp5628 +a(g624 +g2876 +tp5629 +a(g862 +g958 +tp5630 +a(g8 +Vpfm +p5631 +tp5632 +a(g862 +V\u000a +p5633 +tp5634 +a(g8 +Vzps +p5635 +tp5636 +a(g624 +V=. +p5637 +tp5638 +a(g862 +g958 +tp5639 +a(g8 +Vzps +p5640 +tp5641 +a(g862 +g958 +tp5642 +a(g624 +V\u005c +p5643 +tp5644 +a(g624 +g1060 +tp5645 +a(g862 +g958 +tp5646 +a(g8 +Vexc +p5647 +tp5648 +a(g862 +V\u000a +p5649 +tp5650 +a(g8 +Vzps +p5651 +tp5652 +a(g624 +V=. +p5653 +tp5654 +a(g862 +g958 +tp5655 +a(g647 +g2312 +tp5656 +a(g624 +g1857 +tp5657 +a(g624 +g1060 +tp5658 +a(g862 +g958 +tp5659 +a(g252 +g1340 +tp5660 +a(g862 +g958 +tp5661 +a(g624 +g2314 +tp5662 +a(g624 +g1668 +tp5663 +a(g624 +g2003 +tp5664 +a(g252 +g1860 +tp5665 +a(g862 +g958 +tp5666 +a(g8 +Vzps +p5667 +tp5668 +a(g647 +g1640 +tp5669 +a(g862 +g958 +tp5670 +a(g624 +g1855 +tp5671 +a(g862 +g958 +tp5672 +a(g8 +Vzps +p5673 +tp5674 +a(g862 +V\u000a +p5675 +tp5676 +a(g8 +Vfnm +p5677 +tp5678 +a(g624 +V=. +p5679 +tp5680 +a(g862 +g958 +tp5681 +a(g252 +g1019 +tp5682 +a(g862 +g958 +tp5683 +a(g624 +g2314 +tp5684 +a(g624 +g2003 +tp5685 +a(g252 +g1860 +tp5686 +a(g862 +g958 +tp5687 +a(g8 +Vzps +p5688 +tp5689 +a(g862 +V\u000a +p5690 +tp5691 +a(g8 +Vlnm +p5692 +tp5693 +a(g624 +V=. +p5694 +tp5695 +a(g862 +g958 +tp5696 +a(g252 +g1860 +tp5697 +a(g862 +g958 +tp5698 +a(g624 +g2314 +tp5699 +a(g624 +g2003 +tp5700 +a(g252 +g1860 +tp5701 +a(g862 +g958 +tp5702 +a(g8 +Vzps +p5703 +tp5704 +a(g862 +V\u000a +p5705 +tp5706 +a(g8 +Vver +p5707 +tp5708 +a(g624 +V=. +p5709 +tp5710 +a(g862 +g958 +tp5711 +a(g252 +g1371 +tp5712 +a(g862 +g958 +tp5713 +a(g624 +g2314 +tp5714 +a(g624 +g2003 +tp5715 +a(g252 +g1860 +tp5716 +a(g862 +g958 +tp5717 +a(g8 +Vzps +p5718 +tp5719 +a(g862 +V\u000a +p5720 +tp5721 +a(g8 +Vpfm +p5722 +tp5723 +a(g624 +V=. +p5724 +tp5725 +a(g862 +g958 +tp5726 +a(g252 +g1340 +tp5727 +a(g862 +g958 +tp5728 +a(g624 +g2314 +tp5729 +a(g624 +g2003 +tp5730 +a(g252 +g1860 +tp5731 +a(g862 +g958 +tp5732 +a(g8 +Vzps +p5733 +tp5734 +a(g862 +V\u000a +p5735 +tp5736 +a(g8 +Vfls +p5737 +tp5738 +a(g624 +V=. +p5739 +tp5740 +a(g862 +g958 +tp5741 +a(g252 +g2873 +tp5742 +a(g862 +g958 +tp5743 +a(g624 +g2314 +tp5744 +a(g624 +g2003 +tp5745 +a(g252 +g1860 +tp5746 +a(g862 +g958 +tp5747 +a(g8 +Vzps +p5748 +tp5749 +a(g862 +V\u000a +p5750 +tp5751 +a(g8 +Vsiz +p5752 +tp5753 +a(g624 +V=. +p5754 +tp5755 +a(g862 +g958 +tp5756 +a(g252 +g2918 +tp5757 +a(g862 +g958 +tp5758 +a(g624 +g2314 +tp5759 +a(g624 +g2003 +tp5760 +a(g252 +g1860 +tp5761 +a(g862 +g958 +tp5762 +a(g8 +Vzps +p5763 +tp5764 +a(g862 +V\u000a +p5765 +tp5766 +a(g8 +Vnms +p5767 +tp5768 +a(g624 +V=. +p5769 +tp5770 +a(g862 +g958 +tp5771 +a(g8 +Vfnm +p5772 +tp5773 +a(g862 +g958 +tp5774 +a(g624 +g1069 +tp5775 +a(g8 +Veach +p5776 +tp5777 +a(g862 +g958 +tp5778 +a(g303 +g960 +tp5779 +a(g303 +g1144 +tp5780 +a(g303 +g960 +tp5781 +a(g862 +g958 +tp5782 +a(g624 +g1069 +tp5783 +a(g8 +Veach +p5784 +tp5785 +a(g862 +g958 +tp5786 +a(g8 +Vlnm +p5787 +tp5788 +a(g862 +V\u000a +p5789 +tp5790 +a(g8 +Vpfm +p5791 +tp5792 +a(g624 +V=. +p5793 +tp5794 +a(g862 +g958 +tp5795 +a(g647 +g2312 +tp5796 +a(g8 +Vpfm +p5797 +tp5798 +a(g862 +g958 +tp5799 +a(g8 +g1002 +tp5800 +a(g624 +g1668 +tp5801 +a(g624 +g2320 +tp5802 +a(g624 +g2876 +tp5803 +a(g862 +g958 +tp5804 +a(g303 +g960 +tp5805 +a(g303 +g1668 +tp5806 +a(g303 +g960 +tp5807 +a(g647 +g1640 +tp5808 +a(g862 +g958 +tp5809 +a(g624 +g2314 +tp5810 +a(g624 +g1668 +tp5811 +a(g8 +Veach +p5812 +tp5813 +a(g862 +g958 +tp5814 +a(g8 +Vpfm +p5815 +tp5816 +a(g862 +V\u000a +p5817 +tp5818 +a(g8 +Vndx +p5819 +tp5820 +a(g624 +V=. +p5821 +tp5822 +a(g862 +g958 +tp5823 +a(g624 +V\u005c +p5824 +tp5825 +a(g624 +g1060 +tp5826 +a(g862 +g958 +tp5827 +a(g624 +g1855 +tp5828 +a(g862 +g958 +tp5829 +a(g624 +g2320 +tp5830 +a(g624 +g2876 +tp5831 +a(g862 +g958 +tp5832 +a(g8 +Vpfm +p5833 +tp5834 +a(g862 +V\u000a +p5835 +tp5836 +a(g8 +Vsort +p5837 +tp5838 +a(g862 +g958 +tp5839 +a(g8 +Vndx +p5840 +tp5841 +a(g862 +g958 +tp5842 +a(g624 +g2314 +tp5843 +a(g862 +g958 +tp5844 +a(g8 +Vnms +p5845 +tp5846 +a(g624 +g1069 +tp5847 +a(g624 +g1668 +tp5848 +a(g8 +Vpfm +p5849 +tp5850 +a(g624 +g1069 +tp5851 +a(g624 +g1668 +tp5852 +a(g8 +Vver +p5853 +tp5854 +a(g624 +g1069 +tp5855 +a(g624 +g1668 +tp5856 +a(g8 +Vfls +p5857 +tp5858 +a(g624 +g1069 +tp5859 +a(g624 +g1668 +tp5860 +a(g8 +Vsiz +p5861 +tp5862 +a(g862 +V\u000a +p5863 +tp5864 +a(g132 +g1640 +tp5865 +a(g862 +V\u000a +p5866 +tp5867 +a(g8 +Vfwritenew +p5868 +tp5869 +a(g624 +V=: +p5870 +tp5871 +a(g862 +g958 +tp5872 +a(g108 +V4 : 0 +p5873 +tp5874 +a(g862 +V\u000a +p5875 +tp5876 +a(g132 +Vif. +p5877 +tp5878 +a(g862 +g958 +tp5879 +a(g28 +g1989 +tp5880 +a(g862 +g958 +tp5881 +a(g624 +g1712 +tp5882 +a(g624 +g1060 +tp5883 +a(g862 +g958 +tp5884 +a(g8 +Vfread +p5885 +tp5886 +a(g862 +g958 +tp5887 +a(g28 +g1009 +tp5888 +a(g862 +g958 +tp5889 +a(g132 +Vdo. +p5890 +tp5891 +a(g862 +V\u000a +p5892 +tp5893 +a(g252 +g1019 +tp5894 +a(g862 +V\u000a +p5895 +tp5896 +a(g132 +Velse. +p5897 +tp5898 +a(g862 +V\u000a +p5899 +tp5900 +a(g28 +g1989 +tp5901 +a(g862 +g958 +tp5902 +a(g8 +Vfwrite +p5903 +tp5904 +a(g862 +g958 +tp5905 +a(g28 +g1009 +tp5906 +a(g862 +V\u000a +p5907 +tp5908 +a(g132 +Vend. +p5909 +tp5910 +a(g862 +V\u000a +p5911 +tp5912 +a(g132 +g1640 +tp5913 +a(g862 +V\u000a +p5914 +tp5915 +a(g8 +Vplatformparent +p5916 +tp5917 +a(g624 +V=: +p5918 +tp5919 +a(g862 +g958 +tp5920 +a(g108 +V3 : 0 +p5921 +tp5922 +a(g862 +V\u000a +p5923 +tp5924 +a(g647 +g2312 +tp5925 +a(g647 +g2312 +tp5926 +a(g624 +g1071 +tp5927 +a(g862 +g958 +tp5928 +a(g252 +V_2 +p5929 +tp5930 +a(g862 +g958 +tp5931 +a(g624 +g2314 +tp5932 +a(g624 +g1668 +tp5933 +a(g862 +g958 +tp5934 +a(g28 +g1009 +tp5935 +a(g647 +g1640 +tp5936 +a(g862 +g958 +tp5937 +a(g8 +g997 +tp5938 +a(g624 +g1668 +tp5939 +a(g862 +g958 +tp5940 +a(g303 +g960 +tp5941 +a(g303 +g1340 +tp5942 +a(g303 +g1371 +tp5943 +a(g303 +g960 +tp5944 +a(g624 +g1666 +tp5945 +a(g303 +g960 +tp5946 +a(g303 +g4183 +tp5947 +a(g303 +g2873 +tp5948 +a(g303 +g960 +tp5949 +a(g647 +g1640 +tp5950 +a(g862 +g958 +tp5951 +a(g624 +g1855 +tp5952 +a(g862 +g958 +tp5953 +a(g252 +V_2 +p5954 +tp5955 +a(g862 +g958 +tp5956 +a(g624 +g3103 +tp5957 +a(g624 +g1668 +tp5958 +a(g862 +g958 +tp5959 +a(g28 +g1009 +tp5960 +a(g862 +V\u000a +p5961 +tp5962 +a(g132 +g1640 +tp5963 +a(g862 +V\u000a +p5964 +tp5965 +a(g8 +Vmakedir +p5966 +tp5967 +a(g624 +V=: +p5968 +tp5969 +a(g862 +g958 +tp5970 +a(g252 +g1860 +tp5971 +a(g624 +g2329 +tp5972 +a(g624 +g1060 +tp5973 +a(g252 +g2918 +tp5974 +a(g862 +g958 +tp5975 +a(g624 +g1060 +tp5976 +a(g624 +g1060 +tp5977 +a(g862 +g958 +tp5978 +a(g252 +g1019 +tp5979 +a(g624 +g1060 +tp5980 +a(g862 +g958 +tp5981 +a(g624 +g2958 +tp5982 +a(g862 +g958 +tp5983 +a(g624 +g1071 +tp5984 +a(g862 +V\u000a +p5985 +tp5986 +a(g8 +Vplural +p5987 +tp5988 +a(g624 +V=: +p5989 +tp5990 +a(g862 +g958 +tp5991 +a(g108 +V4 : 0 +p5992 +tp5993 +a(g862 +V\u000a +p5994 +tp5995 +a(g28 +g1009 +tp5996 +a(g624 +g1069 +tp5997 +a(g647 +g2312 +tp5998 +a(g252 +g1860 +tp5999 +a(g624 +g2890 +tp6000 +a(g28 +g1989 +tp6001 +a(g647 +g1640 +tp6002 +a(g624 +g1855 +tp6003 +a(g303 +g960 +tp6004 +a(g303 +g995 +tp6005 +a(g303 +g960 +tp6006 +a(g862 +V\u000a +p6007 +tp6008 +a(g132 +g1640 +tp6009 +a(g862 +V\u000a +p6010 +tp6011 +a(g8 +Vsizefmt +p6012 +tp6013 +a(g624 +V=: +p6014 +tp6015 +a(g862 +g958 +tp6016 +a(g108 +V3 : 0 +p6017 +tp6018 +a(g862 +V\u000a +p6019 +tp6020 +a(g132 +Vselect. +p6021 +tp6022 +a(g862 +g958 +tp6023 +a(g624 +g2879 +tp6024 +a(g624 +g1144 +tp6025 +a(g862 +g958 +tp6026 +a(g28 +g1009 +tp6027 +a(g862 +g958 +tp6028 +a(g624 +g2876 +tp6029 +a(g624 +g1060 +tp6030 +a(g862 +g958 +tp6031 +a(g247 +V1e3 +p6032 +tp6033 +a(g862 +g958 +tp6034 +a(g247 +V1e4 +p6035 +tp6036 +a(g862 +g958 +tp6037 +a(g247 +V1e6 +p6038 +tp6039 +a(g862 +g958 +tp6040 +a(g247 +V1e7 +p6041 +tp6042 +a(g862 +g958 +tp6043 +a(g247 +V1e9 +p6044 +tp6045 +a(g862 +V\u000a +p6046 +tp6047 +a(g132 +Vcase. +p6048 +tp6049 +a(g862 +g958 +tp6050 +a(g252 +g1019 +tp6051 +a(g862 +g958 +tp6052 +a(g132 +Vdo. +p6053 +tp6054 +a(g862 +V\u000a +p6055 +tp6056 +a(g647 +g2312 +tp6057 +a(g624 +g2003 +tp6058 +a(g624 +g1060 +tp6059 +a(g862 +g958 +tp6060 +a(g28 +g1009 +tp6061 +a(g647 +g1640 +tp6062 +a(g624 +g1069 +tp6063 +a(g862 +g958 +tp6064 +a(g303 +g960 +tp6065 +a(g303 +g958 +tp6066 +a(g303 +g992 +tp6067 +a(g303 +g1009 +tp6068 +a(g303 +g1154 +tp6069 +a(g303 +g997 +tp6070 +a(g303 +g960 +tp6071 +a(g624 +g1069 +tp6072 +a(g647 +g2312 +tp6073 +a(g28 +g1009 +tp6074 +a(g624 +g1857 +tp6075 +a(g624 +g1060 +tp6076 +a(g252 +g1860 +tp6077 +a(g647 +g1640 +tp6078 +a(g624 +g1855 +tp6079 +a(g303 +g960 +tp6080 +a(g303 +g995 +tp6081 +a(g303 +g960 +tp6082 +a(g862 +V\u000a +p6083 +tp6084 +a(g132 +Vcase. +p6085 +tp6086 +a(g862 +g958 +tp6087 +a(g252 +g1860 +tp6088 +a(g862 +g958 +tp6089 +a(g132 +Vdo. +p6090 +tp6091 +a(g862 +V\u000a +p6092 +tp6093 +a(g647 +g2312 +tp6094 +a(g624 +g2003 +tp6095 +a(g624 +g1060 +tp6096 +a(g862 +g958 +tp6097 +a(g527 +V0. +p6098 +tp6099 +a(g252 +g1860 +tp6100 +a(g862 +g958 +tp6101 +a(g8 +Vrnd +p6102 +tp6103 +a(g862 +g958 +tp6104 +a(g28 +g1009 +tp6105 +a(g624 +g1771 +tp6106 +a(g247 +V1e3 +p6107 +tp6108 +a(g647 +g1640 +tp6109 +a(g624 +g1069 +tp6110 +a(g303 +g960 +tp6111 +a(g303 +g958 +tp6112 +a(g303 +VK +p6113 +tp6114 +a(g303 +VB +p6115 +tp6116 +a(g303 +g960 +tp6117 +a(g862 +V\u000a +p6118 +tp6119 +a(g132 +Vcase. +p6120 +tp6121 +a(g862 +g958 +tp6122 +a(g252 +g1371 +tp6123 +a(g862 +g958 +tp6124 +a(g132 +Vdo. +p6125 +tp6126 +a(g862 +V\u000a +p6127 +tp6128 +a(g647 +g2312 +tp6129 +a(g624 +g2003 +tp6130 +a(g624 +g1060 +tp6131 +a(g862 +g958 +tp6132 +a(g252 +g1860 +tp6133 +a(g862 +g958 +tp6134 +a(g8 +Vrnd +p6135 +tp6136 +a(g862 +g958 +tp6137 +a(g28 +g1009 +tp6138 +a(g624 +g1771 +tp6139 +a(g247 +V1e3 +p6140 +tp6141 +a(g647 +g1640 +tp6142 +a(g624 +g1069 +tp6143 +a(g303 +g960 +tp6144 +a(g303 +g958 +tp6145 +a(g303 +g6113 +tp6146 +a(g303 +g6115 +tp6147 +a(g303 +g960 +tp6148 +a(g862 +V\u000a +p6149 +tp6150 +a(g132 +Vcase. +p6151 +tp6152 +a(g862 +g958 +tp6153 +a(g252 +g1340 +tp6154 +a(g862 +g958 +tp6155 +a(g132 +Vdo. +p6156 +tp6157 +a(g862 +V\u000a +p6158 +tp6159 +a(g647 +g2312 +tp6160 +a(g624 +g2003 +tp6161 +a(g624 +g1060 +tp6162 +a(g862 +g958 +tp6163 +a(g527 +V0. +p6164 +tp6165 +a(g252 +g1860 +tp6166 +a(g862 +g958 +tp6167 +a(g8 +Vrnd +p6168 +tp6169 +a(g862 +g958 +tp6170 +a(g28 +g1009 +tp6171 +a(g624 +g1771 +tp6172 +a(g247 +V1e6 +p6173 +tp6174 +a(g647 +g1640 +tp6175 +a(g624 +g1069 +tp6176 +a(g303 +g960 +tp6177 +a(g303 +g958 +tp6178 +a(g303 +g1098 +tp6179 +a(g303 +g6115 +tp6180 +a(g303 +g960 +tp6181 +a(g862 +V\u000a +p6182 +tp6183 +a(g132 +Vcase. +p6184 +tp6185 +a(g862 +g958 +tp6186 +a(g252 +g2873 +tp6187 +a(g862 +g958 +tp6188 +a(g132 +Vdo. +p6189 +tp6190 +a(g862 +V\u000a +p6191 +tp6192 +a(g647 +g2312 +tp6193 +a(g624 +g2003 +tp6194 +a(g624 +g1060 +tp6195 +a(g862 +g958 +tp6196 +a(g252 +g1860 +tp6197 +a(g862 +g958 +tp6198 +a(g8 +Vrnd +p6199 +tp6200 +a(g862 +g958 +tp6201 +a(g28 +g1009 +tp6202 +a(g624 +g1771 +tp6203 +a(g247 +V1e6 +p6204 +tp6205 +a(g647 +g1640 +tp6206 +a(g624 +g1069 +tp6207 +a(g303 +g960 +tp6208 +a(g303 +g958 +tp6209 +a(g303 +g1098 +tp6210 +a(g303 +g6115 +tp6211 +a(g303 +g960 +tp6212 +a(g862 +V\u000a +p6213 +tp6214 +a(g132 +Vcase. +p6215 +tp6216 +a(g862 +g958 +tp6217 +a(g132 +Vdo. +p6218 +tp6219 +a(g862 +V\u000a +p6220 +tp6221 +a(g647 +g2312 +tp6222 +a(g624 +g2003 +tp6223 +a(g624 +g1060 +tp6224 +a(g862 +g958 +tp6225 +a(g527 +V0. +p6226 +tp6227 +a(g252 +g1860 +tp6228 +a(g862 +g958 +tp6229 +a(g8 +Vrnd +p6230 +tp6231 +a(g862 +g958 +tp6232 +a(g28 +g1009 +tp6233 +a(g624 +g1771 +tp6234 +a(g247 +V1e9 +p6235 +tp6236 +a(g647 +g1640 +tp6237 +a(g624 +g1069 +tp6238 +a(g303 +g960 +tp6239 +a(g303 +g958 +tp6240 +a(g303 +VG +p6241 +tp6242 +a(g303 +g6115 +tp6243 +a(g303 +g960 +tp6244 +a(g862 +V\u000a +p6245 +tp6246 +a(g132 +Vend. +p6247 +tp6248 +a(g862 +V\u000a +p6249 +tp6250 +a(g132 +g1640 +tp6251 +a(g862 +V\u000a +p6252 +tp6253 +a(g8 +Vshellcmd +p6254 +tp6255 +a(g624 +V=: +p6256 +tp6257 +a(g862 +g958 +tp6258 +a(g108 +V3 : 0 +p6259 +tp6260 +a(g862 +V\u000a +p6261 +tp6262 +a(g132 +Vif. +p6263 +tp6264 +a(g862 +g958 +tp6265 +a(g8 +VIFUNIX +p6266 +tp6267 +a(g862 +g958 +tp6268 +a(g132 +Vdo. +p6269 +tp6270 +a(g862 +V\u000a +p6271 +tp6272 +a(g8 +Vhostcmd +p6273 +tp6274 +a(g862 +g958 +tp6275 +a(g28 +g1009 +tp6276 +a(g862 +V\u000a +p6277 +tp6278 +a(g132 +Velse. +p6279 +tp6280 +a(g862 +V\u000a +p6281 +tp6282 +a(g8 +Vspawn_jtask_ +p6283 +tp6284 +a(g862 +g958 +tp6285 +a(g28 +g1009 +tp6286 +a(g862 +V\u000a +p6287 +tp6288 +a(g132 +Vend. +p6289 +tp6290 +a(g862 +V\u000a +p6291 +tp6292 +a(g132 +g1640 +tp6293 +a(g862 +V\u000a +p6294 +tp6295 +a(g8 +Vsubdir +p6296 +tp6297 +a(g624 +V=: +p6298 +tp6299 +a(g862 +g958 +tp6300 +a(g108 +V3 : 0 +p6301 +tp6302 +a(g862 +V\u000a +p6303 +tp6304 +a(g132 +Vif. +p6305 +tp6306 +a(g862 +g958 +tp6307 +a(g252 +g1019 +tp6308 +a(g624 +g2890 +tp6309 +a(g624 +g1855 +tp6310 +a(g28 +g1009 +tp6311 +a(g862 +g958 +tp6312 +a(g132 +Vdo. +p6313 +tp6314 +a(g862 +g958 +tp6315 +a(g303 +g960 +tp6316 +a(g303 +g960 +tp6317 +a(g862 +g958 +tp6318 +a(g132 +Vreturn. +p6319 +tp6320 +a(g862 +g958 +tp6321 +a(g132 +Vend. +p6322 +tp6323 +a(g862 +V\u000a +p6324 +tp6325 +a(g8 +g966 +tp6326 +a(g624 +V=. +p6327 +tp6328 +a(g862 +g958 +tp6329 +a(g252 +g1860 +tp6330 +a(g624 +g2329 +tp6331 +a(g624 +g1060 +tp6332 +a(g252 +g1019 +tp6333 +a(g862 +g958 +tp6334 +a(g28 +g1009 +tp6335 +a(g624 +g1069 +tp6336 +a(g303 +g960 +tp6337 +a(g303 +g2870 +tp6338 +a(g303 +g960 +tp6339 +a(g862 +V\u000a +p6340 +tp6341 +a(g132 +Vif. +p6342 +tp6343 +a(g862 +g958 +tp6344 +a(g252 +g1019 +tp6345 +a(g624 +g2890 +tp6346 +a(g624 +g1855 +tp6347 +a(g8 +g966 +tp6348 +a(g862 +g958 +tp6349 +a(g132 +Vdo. +p6350 +tp6351 +a(g862 +g958 +tp6352 +a(g303 +g960 +tp6353 +a(g303 +g960 +tp6354 +a(g862 +g958 +tp6355 +a(g132 +Vreturn. +p6356 +tp6357 +a(g862 +g958 +tp6358 +a(g132 +Vend. +p6359 +tp6360 +a(g862 +V\u000a +p6361 +tp6362 +a(g8 +g966 +tp6363 +a(g624 +V=. +p6364 +tp6365 +a(g862 +g958 +tp6366 +a(g8 +g966 +tp6367 +a(g862 +g958 +tp6368 +a(g624 +g1855 +tp6369 +a(g624 +g1857 +tp6370 +a(g862 +g958 +tp6371 +a(g303 +g960 +tp6372 +a(g303 +g1712 +tp6373 +a(g303 +g1151 +tp6374 +a(g303 +g960 +tp6375 +a(g862 +g958 +tp6376 +a(g624 +g1712 +tp6377 +a(g624 +g1060 +tp6378 +a(g624 +g2003 +tp6379 +a(g252 +g1860 +tp6380 +a(g862 +g958 +tp6381 +a(g624 +g2865 +tp6382 +a(g862 +g958 +tp6383 +a(g252 +g1860 +tp6384 +a(g862 +g958 +tp6385 +a(g252 +g2873 +tp6386 +a(g862 +g958 +tp6387 +a(g624 +g2314 +tp6388 +a(g624 +g2003 +tp6389 +a(g252 +g1860 +tp6390 +a(g862 +g958 +tp6391 +a(g624 +g2876 +tp6392 +a(g862 +g958 +tp6393 +a(g252 +g2873 +tp6394 +a(g862 +g958 +tp6395 +a(g624 +g2314 +tp6396 +a(g624 +g2003 +tp6397 +a(g252 +g1860 +tp6398 +a(g862 +g958 +tp6399 +a(g8 +g966 +tp6400 +a(g862 +V\u000a +p6401 +tp6402 +a(g647 +g2312 +tp6403 +a(g624 +g1071 +tp6404 +a(g28 +g1009 +tp6405 +a(g647 +g1640 +tp6406 +a(g862 +g958 +tp6407 +a(g624 +g1069 +tp6408 +a(g8 +Veach +p6409 +tp6410 +a(g862 +g958 +tp6411 +a(g647 +g2312 +tp6412 +a(g624 +g2314 +tp6413 +a(g624 +g1668 +tp6414 +a(g624 +g2003 +tp6415 +a(g252 +g1860 +tp6416 +a(g862 +g958 +tp6417 +a(g8 +g966 +tp6418 +a(g647 +g1640 +tp6419 +a(g862 +g958 +tp6420 +a(g624 +g1069 +tp6421 +a(g8 +Veach +p6422 +tp6423 +a(g862 +g958 +tp6424 +a(g303 +g960 +tp6425 +a(g303 +g1144 +tp6426 +a(g303 +g960 +tp6427 +a(g862 +V\u000a +p6428 +tp6429 +a(g132 +g1640 +tp6430 +a(g862 +V\u000a +p6431 +tp6432 +a(g8 +Vtestaccess +p6433 +tp6434 +a(g624 +V=: +p6435 +tp6436 +a(g862 +g958 +tp6437 +a(g108 +V3 : 0 +p6438 +tp6439 +a(g862 +V\u000a +p6440 +tp6441 +a(g8 +g1325 +tp6442 +a(g624 +V=. +p6443 +tp6444 +a(g862 +g958 +tp6445 +a(g624 +g1071 +tp6446 +a(g8 +Vjpath +p6447 +tp6448 +a(g303 +g960 +tp6449 +a(g303 +g1857 +tp6450 +a(g303 +g1002 +tp6451 +a(g303 +g973 +tp6452 +a(g303 +g995 +tp6453 +a(g303 +g1154 +tp6454 +a(g303 +g966 +tp6455 +a(g303 +g1000 +tp6456 +a(g303 +g1000 +tp6457 +a(g303 +g1144 +tp6458 +a(g303 +g1154 +tp6459 +a(g303 +g997 +tp6460 +a(g303 +g995 +tp6461 +a(g303 +g1154 +tp6462 +a(g303 +g966 +tp6463 +a(g303 +g968 +tp6464 +a(g303 +g968 +tp6465 +a(g303 +g997 +tp6466 +a(g303 +g995 +tp6467 +a(g303 +g995 +tp6468 +a(g303 +g1668 +tp6469 +a(g303 +g1154 +tp6470 +a(g303 +g1989 +tp6471 +a(g303 +g1154 +tp6472 +a(g303 +g960 +tp6473 +a(g862 +V\u000a +p6474 +tp6475 +a(g132 +Vtry. +p6476 +tp6477 +a(g862 +V\u000a +p6478 +tp6479 +a(g303 +g960 +tp6480 +a(g303 +g960 +tp6481 +a(g862 +g958 +tp6482 +a(g252 +g1860 +tp6483 +a(g624 +g2329 +tp6484 +a(g624 +g1060 +tp6485 +a(g252 +g1371 +tp6486 +a(g862 +g958 +tp6487 +a(g8 +g1325 +tp6488 +a(g862 +V\u000a +p6489 +tp6490 +a(g252 +g1860 +tp6491 +a(g624 +g2329 +tp6492 +a(g624 +g1060 +tp6493 +a(g252 +V55 +p6494 +tp6495 +a(g862 +g958 +tp6496 +a(g8 +g1325 +tp6497 +a(g862 +V\u000a +p6498 +tp6499 +a(g252 +g1860 +tp6500 +a(g862 +V\u000a +p6501 +tp6502 +a(g132 +Vcatch. +p6503 +tp6504 +a(g862 +V\u000a +p6505 +tp6506 +a(g252 +g1019 +tp6507 +a(g862 +V\u000a +p6508 +tp6509 +a(g132 +Vend. +p6510 +tp6511 +a(g862 +V\u000a +p6512 +tp6513 +a(g132 +g1640 +tp6514 +a(g862 +V\u000a +p6515 +tp6516 +a(g8 +Vtoupper1 +p6517 +tp6518 +a(g624 +V=: +p6519 +tp6520 +a(g862 +g958 +tp6521 +a(g108 +V3 : 0 +p6522 +tp6523 +a(g862 +V\u000a +p6524 +tp6525 +a(g132 +Vif. +p6526 +tp6527 +a(g862 +g958 +tp6528 +a(g252 +g1019 +tp6529 +a(g624 +g2890 +tp6530 +a(g624 +g1855 +tp6531 +a(g28 +g1009 +tp6532 +a(g862 +g958 +tp6533 +a(g132 +Vdo. +p6534 +tp6535 +a(g862 +g958 +tp6536 +a(g303 +g960 +tp6537 +a(g303 +g960 +tp6538 +a(g862 +g958 +tp6539 +a(g132 +Vreturn. +p6540 +tp6541 +a(g862 +g958 +tp6542 +a(g132 +Vend. +p6543 +tp6544 +a(g862 +V\u000a +p6545 +tp6546 +a(g647 +g2312 +tp6547 +a(g8 +Vtoupper +p6548 +tp6549 +a(g862 +g958 +tp6550 +a(g624 +g2314 +tp6551 +a(g624 +g1668 +tp6552 +a(g862 +g958 +tp6553 +a(g28 +g1009 +tp6554 +a(g647 +g1640 +tp6555 +a(g624 +g1069 +tp6556 +a(g8 +Vtolower +p6557 +tp6558 +a(g862 +g958 +tp6559 +a(g624 +g3103 +tp6560 +a(g624 +g1668 +tp6561 +a(g862 +g958 +tp6562 +a(g28 +g1009 +tp6563 +a(g862 +V\u000a +p6564 +tp6565 +a(g132 +g1640 +tp6566 +a(g862 +V\u000a +p6567 +tp6568 +a(g8 +Vunzip +p6569 +tp6570 +a(g624 +V=: +p6571 +tp6572 +a(g862 +g958 +tp6573 +a(g108 +V3 : 0 +p6574 +tp6575 +a(g862 +V\u000a +p6576 +tp6577 +a(g303 +g960 +tp6578 +a(g303 +g1325 +tp6579 +a(g303 +g1002 +tp6580 +a(g303 +g1000 +tp6581 +a(g303 +g997 +tp6582 +a(g303 +g958 +tp6583 +a(g303 +g1151 +tp6584 +a(g303 +g1002 +tp6585 +a(g303 +g1005 +tp6586 +a(g303 +g960 +tp6587 +a(g624 +V=. +p6588 +tp6589 +a(g862 +g958 +tp6590 +a(g8 +Vdquote +p6591 +tp6592 +a(g862 +g958 +tp6593 +a(g8 +Veach +p6594 +tp6595 +a(g862 +g958 +tp6596 +a(g28 +g1009 +tp6597 +a(g862 +V\u000a +p6598 +tp6599 +a(g8 +g997 +tp6600 +a(g624 +V=. +p6601 +tp6602 +a(g862 +g958 +tp6603 +a(g303 +g960 +tp6604 +a(g303 +g1800 +tp6605 +a(g303 +g973 +tp6606 +a(g303 +g997 +tp6607 +a(g303 +g1989 +tp6608 +a(g303 +g964 +tp6609 +a(g303 +g997 +tp6610 +a(g303 +g968 +tp6611 +a(g303 +g1154 +tp6612 +a(g303 +g997 +tp6613 +a(g303 +g1151 +tp6614 +a(g303 +g958 +tp6615 +a(g303 +g1260 +tp6616 +a(g303 +g973 +tp6617 +a(g303 +g1425 +tp6618 +a(g303 +g1002 +tp6619 +a(g303 +g964 +tp6620 +a(g303 +g958 +tp6621 +a(g303 +g997 +tp6622 +a(g303 +g1005 +tp6623 +a(g303 +g1005 +tp6624 +a(g303 +g1185 +tp6625 +a(g303 +g1005 +tp6626 +a(g303 +g960 +tp6627 +a(g862 +V\u000a +p6628 +tp6629 +a(g132 +Vif. +p6630 +tp6631 +a(g862 +g958 +tp6632 +a(g8 +VIFUNIX +p6633 +tp6634 +a(g862 +g958 +tp6635 +a(g132 +Vdo. +p6636 +tp6637 +a(g862 +V\u000a +p6638 +tp6639 +a(g8 +Vnotarcmd +p6640 +tp6641 +a(g624 +V=. +p6642 +tp6643 +a(g862 +g958 +tp6644 +a(g252 +g1019 +tp6645 +a(g862 +V\u000a +p6646 +tp6647 +a(g132 +Vif. +p6648 +tp6649 +a(g862 +g958 +tp6650 +a(g8 +VIFIOS +p6651 +tp6652 +a(g862 +g958 +tp6653 +a(g624 +g2879 +tp6654 +a(g624 +g1668 +tp6655 +a(g862 +g958 +tp6656 +a(g8 +VUNAME +p6657 +tp6658 +a(g624 +g1712 +tp6659 +a(g624 +g1060 +tp6660 +a(g303 +g960 +tp6661 +a(g303 +g1074 +tp6662 +a(g303 +g973 +tp6663 +a(g303 +g1151 +tp6664 +a(g303 +g1005 +tp6665 +a(g303 +g1185 +tp6666 +a(g303 +g1002 +tp6667 +a(g303 +g1151 +tp6668 +a(g303 +g960 +tp6669 +a(g862 +g958 +tp6670 +a(g132 +Vdo. +p6671 +tp6672 +a(g862 +V\u000a +p6673 +tp6674 +a(g8 +Vnotarcmd +p6675 +tp6676 +a(g624 +V=. +p6677 +tp6678 +a(g862 +g958 +tp6679 +a(g252 +V_1 +p6680 +tp6681 +a(g624 +g1712 +tp6682 +a(g624 +g1060 +tp6683 +a(g862 +g958 +tp6684 +a(g252 +g1371 +tp6685 +a(g624 +g2329 +tp6686 +a(g624 +g1060 +tp6687 +a(g252 +g1019 +tp6688 +a(g862 +g958 +tp6689 +a(g624 +g1060 +tp6690 +a(g624 +g1060 +tp6691 +a(g799 +V_1: +p6692 +tp6693 +a(g862 +g958 +tp6694 +a(g303 +g960 +tp6695 +a(g303 +g1215 +tp6696 +a(g303 +g1205 +tp6697 +a(g303 +g1002 +tp6698 +a(g303 +g968 +tp6699 +a(g303 +g1205 +tp6700 +a(g303 +g958 +tp6701 +a(g303 +g1154 +tp6702 +a(g303 +g966 +tp6703 +a(g303 +g1005 +tp6704 +a(g303 +g960 +tp6705 +a(g862 +V\u000a +p6706 +tp6707 +a(g132 +Vif. +p6708 +tp6709 +a(g862 +g958 +tp6710 +a(g647 +g2312 +tp6711 +a(g8 +VUNAME +p6712 +tp6713 +a(g624 +g1712 +tp6714 +a(g624 +g1060 +tp6715 +a(g303 +g960 +tp6716 +a(g303 +g1074 +tp6717 +a(g303 +g973 +tp6718 +a(g303 +g1151 +tp6719 +a(g303 +g1005 +tp6720 +a(g303 +g1185 +tp6721 +a(g303 +g1002 +tp6722 +a(g303 +g1151 +tp6723 +a(g303 +g960 +tp6724 +a(g647 +g1640 +tp6725 +a(g862 +g958 +tp6726 +a(g624 +g2876 +tp6727 +a(g862 +g958 +tp6728 +a(g303 +g960 +tp6729 +a(g303 +g1144 +tp6730 +a(g303 +g970 +tp6731 +a(g303 +g973 +tp6732 +a(g303 +g1154 +tp6733 +a(g303 +g1144 +tp6734 +a(g303 +g995 +tp6735 +a(g303 +g1151 +tp6736 +a(g303 +g968 +tp6737 +a(g303 +g966 +tp6738 +a(g303 +g1005 +tp6739 +a(g303 +g1151 +tp6740 +a(g303 +g960 +tp6741 +a(g624 +g1712 +tp6742 +a(g624 +g1060 +tp6743 +a(g252 +g1371 +tp6744 +a(g624 +g2329 +tp6745 +a(g624 +g1060 +tp6746 +a(g252 +g2918 +tp6747 +a(g303 +g960 +tp6748 +a(g303 +g1869 +tp6749 +a(g303 +VX +p6750 +tp6751 +a(g303 +g2129 +tp6752 +a(g303 +g1869 +tp6753 +a(g303 +g2663 +tp6754 +a(g303 +VN +p6755 +tp6756 +a(g303 +g1074 +tp6757 +a(g303 +g1786 +tp6758 +a(g303 +g3034 +tp6759 +a(g303 +g1796 +tp6760 +a(g303 +g2129 +tp6761 +a(g303 +g1773 +tp6762 +a(g303 +g2663 +tp6763 +a(g303 +g1074 +tp6764 +a(g303 +g6241 +tp6765 +a(g303 +g1869 +tp6766 +a(g303 +g960 +tp6767 +a(g862 +g958 +tp6768 +a(g132 +Vdo. +p6769 +tp6770 +a(g862 +g958 +tp6771 +a(g8 +Vnotarcmd +p6772 +tp6773 +a(g624 +V=. +p6774 +tp6775 +a(g862 +g958 +tp6776 +a(g252 +g1860 +tp6777 +a(g862 +g958 +tp6778 +a(g132 +Vend. +p6779 +tp6780 +a(g862 +V\u000a +p6781 +tp6782 +a(g132 +Vend. +p6783 +tp6784 +a(g862 +V\u000a +p6785 +tp6786 +a(g132 +Vif. +p6787 +tp6788 +a(g862 +g958 +tp6789 +a(g8 +Vnotarcmd +p6790 +tp6791 +a(g862 +g958 +tp6792 +a(g132 +Vdo. +p6793 +tp6794 +a(g862 +V\u000a +p6795 +tp6796 +a(g8 +Vrequire +p6797 +tp6798 +a(g862 +g958 +tp6799 +a(g303 +g960 +tp6800 +a(g303 +g1154 +tp6801 +a(g303 +g966 +tp6802 +a(g303 +g1005 +tp6803 +a(g303 +g960 +tp6804 +a(g862 +V\u000a +p6805 +tp6806 +a(g303 +g960 +tp6807 +a(g303 +g1325 +tp6808 +a(g303 +g1002 +tp6809 +a(g303 +g1000 +tp6810 +a(g303 +g997 +tp6811 +a(g303 +g958 +tp6812 +a(g303 +g1151 +tp6813 +a(g303 +g1002 +tp6814 +a(g303 +g1005 +tp6815 +a(g303 +g960 +tp6816 +a(g624 +V=. +p6817 +tp6818 +a(g862 +g958 +tp6819 +a(g28 +g1009 +tp6820 +a(g862 +V\u000a +p6821 +tp6822 +a(g132 +Vif. +p6823 +tp6824 +a(g862 +g958 +tp6825 +a(g647 +g2312 +tp6826 +a(g8 +g1002 +tp6827 +a(g624 +g1668 +tp6828 +a(g252 +g1019 +tp6829 +a(g862 +g958 +tp6830 +a(g252 +g1019 +tp6831 +a(g647 +g1640 +tp6832 +a(g862 +g958 +tp6833 +a(g624 +g1712 +tp6834 +a(g624 +g1060 +tp6835 +a(g862 +g958 +tp6836 +a(g8 +Vtar +p6837 +tp6838 +a(g862 +g958 +tp6839 +a(g303 +g960 +tp6840 +a(g303 +g1989 +tp6841 +a(g303 +g960 +tp6842 +a(g624 +g1666 +tp6843 +a(g8 +Vfile +p6844 +tp6845 +a(g624 +g1666 +tp6846 +a(g8 +Vdir +p6847 +tp6848 +a(g862 +g958 +tp6849 +a(g132 +Vdo. +p6850 +tp6851 +a(g862 +g958 +tp6852 +a(g8 +g997 +tp6853 +a(g624 +V=. +p6854 +tp6855 +a(g862 +g958 +tp6856 +a(g303 +g960 +tp6857 +a(g303 +g960 +tp6858 +a(g862 +g958 +tp6859 +a(g132 +Vend. +p6860 +tp6861 +a(g862 +V\u000a +p6862 +tp6863 +a(g132 +Velse. +p6864 +tp6865 +a(g862 +V\u000a +p6866 +tp6867 +a(g8 +g997 +tp6868 +a(g624 +V=. +p6869 +tp6870 +a(g862 +g958 +tp6871 +a(g8 +Vshellcmd +p6872 +tp6873 +a(g862 +g958 +tp6874 +a(g303 +g960 +tp6875 +a(g303 +g1154 +tp6876 +a(g303 +g966 +tp6877 +a(g303 +g1005 +tp6878 +a(g303 +g958 +tp6879 +a(g303 +g960 +tp6880 +a(g624 +g1069 +tp6881 +a(g647 +g2312 +tp6882 +a(g647 +g2312 +tp6883 +a(g8 +VIFIOS +p6884 +tp6885 +a(g624 +g2879 +tp6886 +a(g624 +g1060 +tp6887 +a(g8 +VUNAME +p6888 +tp6889 +a(g624 +g1712 +tp6890 +a(g624 +g1060 +tp6891 +a(g303 +g960 +tp6892 +a(g303 +g1074 +tp6893 +a(g303 +g973 +tp6894 +a(g303 +g1151 +tp6895 +a(g303 +g1005 +tp6896 +a(g303 +g1185 +tp6897 +a(g303 +g1002 +tp6898 +a(g303 +g1151 +tp6899 +a(g303 +g960 +tp6900 +a(g647 +g1640 +tp6901 +a(g624 +g1855 +tp6902 +a(g647 +g2312 +tp6903 +a(g647 +g2312 +tp6904 +a(g303 +g960 +tp6905 +a(g303 +g1744 +tp6906 +a(g303 +g966 +tp6907 +a(g303 +g1005 +tp6908 +a(g303 +g1215 +tp6909 +a(g303 +g1002 +tp6910 +a(g303 +g973 +tp6911 +a(g303 +g960 +tp6912 +a(g624 +g1712 +tp6913 +a(g624 +g1060 +tp6914 +a(g8 +VUNAME +p6915 +tp6916 +a(g647 +g1640 +tp6917 +a(g624 +g2314 +tp6918 +a(g624 +g1060 +tp6919 +a(g624 +g1060 +tp6920 +a(g303 +g960 +tp6921 +a(g303 +g1712 +tp6922 +a(g303 +g1712 +tp6923 +a(g303 +g973 +tp6924 +a(g303 +g1185 +tp6925 +a(g303 +g1712 +tp6926 +a(g303 +g995 +tp6927 +a(g303 +g966 +tp6928 +a(g303 +g970 +tp6929 +a(g303 +g997 +tp6930 +a(g303 +g1712 +tp6931 +a(g303 +g1185 +tp6932 +a(g303 +g1215 +tp6933 +a(g303 +g973 +tp6934 +a(g303 +g997 +tp6935 +a(g303 +g1005 +tp6936 +a(g303 +g958 +tp6937 +a(g303 +g1712 +tp6938 +a(g303 +g1712 +tp6939 +a(g303 +g973 +tp6940 +a(g303 +g1185 +tp6941 +a(g303 +g1712 +tp6942 +a(g303 +g995 +tp6943 +a(g303 +g966 +tp6944 +a(g303 +g970 +tp6945 +a(g303 +g997 +tp6946 +a(g303 +g1712 +tp6947 +a(g303 +g964 +tp6948 +a(g303 +g997 +tp6949 +a(g303 +g1005 +tp6950 +a(g303 +g970 +tp6951 +a(g303 +g1002 +tp6952 +a(g303 +g995 +tp6953 +a(g303 +g995 +tp6954 +a(g303 +g1002 +tp6955 +a(g303 +g1185 +tp6956 +a(g303 +g973 +tp6957 +a(g303 +g995 +tp6958 +a(g303 +g960 +tp6959 +a(g624 +g1666 +tp6960 +a(g303 +g960 +tp6961 +a(g303 +g1712 +tp6962 +a(g303 +g1185 +tp6963 +a(g303 +g958 +tp6964 +a(g303 +g1712 +tp6965 +a(g303 +g964 +tp6966 +a(g303 +g960 +tp6967 +a(g647 +g1640 +tp6968 +a(g647 +g1640 +tp6969 +a(g624 +g1069 +tp6970 +a(g303 +g960 +tp6971 +a(g303 +g958 +tp6972 +a(g303 +g1712 +tp6973 +a(g303 +g1989 +tp6974 +a(g303 +g1425 +tp6975 +a(g303 +g1325 +tp6976 +a(g303 +g958 +tp6977 +a(g303 +g960 +tp6978 +a(g624 +g1069 +tp6979 +a(g8 +Vfile +p6980 +tp6981 +a(g624 +g1069 +tp6982 +a(g303 +g960 +tp6983 +a(g303 +g958 +tp6984 +a(g303 +g1712 +tp6985 +a(g303 +g2199 +tp6986 +a(g303 +g958 +tp6987 +a(g303 +g960 +tp6988 +a(g624 +g1069 +tp6989 +a(g8 +Vdir +p6990 +tp6991 +a(g862 +V\u000a +p6992 +tp6993 +a(g132 +Vend. +p6994 +tp6995 +a(g862 +V\u000a +p6996 +tp6997 +a(g132 +Vif. +p6998 +tp6999 +a(g862 +g958 +tp7000 +a(g647 +g2312 +tp7001 +a(g252 +g1019 +tp7002 +a(g624 +g1857 +tp7003 +a(g624 +g1060 +tp7004 +a(g8 +VFHS +p7005 +tp7006 +a(g647 +g1640 +tp7007 +a(g862 +g958 +tp7008 +a(g624 +g2870 +tp7009 +a(g624 +g1668 +tp7010 +a(g862 +g958 +tp7011 +a(g647 +g2312 +tp7012 +a(g303 +g960 +tp7013 +a(g303 +g1005 +tp7014 +a(g303 +g1185 +tp7015 +a(g303 +g1185 +tp7016 +a(g303 +g1154 +tp7017 +a(g303 +g960 +tp7018 +a(g624 +g1712 +tp7019 +a(g624 +g1060 +tp7020 +a(g252 +g1371 +tp7021 +a(g624 +g2329 +tp7022 +a(g624 +g1060 +tp7023 +a(g252 +g2918 +tp7024 +a(g303 +g960 +tp7025 +a(g303 +g1800 +tp7026 +a(g303 +g1796 +tp7027 +a(g303 +g1869 +tp7028 +a(g303 +g2663 +tp7029 +a(g303 +g960 +tp7030 +a(g647 +g1640 +tp7031 +a(g862 +g958 +tp7032 +a(g624 +g2879 +tp7033 +a(g624 +g1668 +tp7034 +a(g862 +g958 +tp7035 +a(g647 +g2312 +tp7036 +a(g624 +g1071 +tp7037 +a(g252 +g1371 +tp7038 +a(g624 +g2329 +tp7039 +a(g624 +g1060 +tp7040 +a(g252 +g2918 +tp7041 +a(g303 +g960 +tp7042 +a(g303 +VH +p7043 +tp7044 +a(g303 +g1773 +tp7045 +a(g303 +g1098 +tp7046 +a(g303 +g1869 +tp7047 +a(g303 +g960 +tp7048 +a(g647 +g1640 +tp7049 +a(g862 +g958 +tp7050 +a(g8 +g997 +tp7051 +a(g624 +g1668 +tp7052 +a(g862 +g958 +tp7053 +a(g252 +g1019 +tp7054 +a(g624 +g1666 +tp7055 +a(g303 +g960 +tp7056 +a(g303 +g1144 +tp7057 +a(g303 +g1354 +tp7058 +a(g303 +g966 +tp7059 +a(g303 +g1005 +tp7060 +a(g303 +g1144 +tp7061 +a(g303 +g1005 +tp7062 +a(g303 +g1185 +tp7063 +a(g303 +g1185 +tp7064 +a(g303 +g1154 +tp7065 +a(g303 +g960 +tp7066 +a(g624 +g1666 +tp7067 +a(g303 +g960 +tp7068 +a(g303 +g1144 +tp7069 +a(g303 +g1005 +tp7070 +a(g303 +g1185 +tp7071 +a(g303 +g1185 +tp7072 +a(g303 +g1154 +tp7073 +a(g303 +g960 +tp7074 +a(g624 +g1666 +tp7075 +a(g303 +g960 +tp7076 +a(g303 +g960 +tp7077 +a(g624 +g1666 +tp7078 +a(g624 +g1069 +tp7079 +a(g303 +g960 +tp7080 +a(g303 +g1144 +tp7081 +a(g303 +g960 +tp7082 +a(g862 +g958 +tp7083 +a(g132 +Vdo. +p7084 +tp7085 +a(g862 +V\u000a +p7086 +tp7087 +a(g8 +Vshellcmd +p7088 +tp7089 +a(g862 +g958 +tp7090 +a(g624 +g1060 +tp7091 +a(g624 +g1060 +tp7092 +a(g252 +g1019 +tp7093 +a(g624 +g1060 +tp7094 +a(g862 +g958 +tp7095 +a(g303 +g960 +tp7096 +a(g303 +g1325 +tp7097 +a(g303 +g1002 +tp7098 +a(g303 +g973 +tp7099 +a(g303 +g1151 +tp7100 +a(g303 +g958 +tp7101 +a(g303 +g960 +tp7102 +a(g624 +g1069 +tp7103 +a(g8 +Vdir +p7104 +tp7105 +a(g624 +g1069 +tp7106 +a(g303 +g960 +tp7107 +a(g303 +g958 +tp7108 +a(g303 +g1712 +tp7109 +a(g303 +g1154 +tp7110 +a(g303 +g1009 +tp7111 +a(g303 +g964 +tp7112 +a(g303 +g997 +tp7113 +a(g303 +g958 +tp7114 +a(g303 +g1151 +tp7115 +a(g303 +g958 +tp7116 +a(g303 +g1712 +tp7117 +a(g303 +g997 +tp7118 +a(g303 +g1989 +tp7119 +a(g303 +g997 +tp7120 +a(g303 +g968 +tp7121 +a(g303 +g958 +tp7122 +a(g303 +g968 +tp7123 +a(g303 +g1205 +tp7124 +a(g303 +g970 +tp7125 +a(g303 +g1185 +tp7126 +a(g303 +g1151 +tp7127 +a(g303 +g958 +tp7128 +a(g303 +g966 +tp7129 +a(g303 +g2879 +tp7130 +a(g303 +g1005 +tp7131 +a(g303 +g1989 +tp7132 +a(g303 +g958 +tp7133 +a(g303 +g2314 +tp7134 +a(g303 +g3103 +tp7135 +a(g303 +g958 +tp7136 +a(g303 +V\u005c +p7137 +tp7138 +a(g303 +g2879 +tp7139 +a(g303 +g960 +tp7140 +a(g862 +V\u000a +p7141 +tp7142 +a(g8 +Vshellcmd +p7143 +tp7144 +a(g862 +g958 +tp7145 +a(g624 +g1060 +tp7146 +a(g624 +g1060 +tp7147 +a(g252 +g1019 +tp7148 +a(g624 +g1060 +tp7149 +a(g862 +g958 +tp7150 +a(g303 +g960 +tp7151 +a(g303 +g1325 +tp7152 +a(g303 +g1002 +tp7153 +a(g303 +g973 +tp7154 +a(g303 +g1151 +tp7155 +a(g303 +g958 +tp7156 +a(g303 +g960 +tp7157 +a(g624 +g1069 +tp7158 +a(g8 +Vdir +p7159 +tp7160 +a(g624 +g1069 +tp7161 +a(g303 +g960 +tp7162 +a(g303 +g958 +tp7163 +a(g303 +g1712 +tp7164 +a(g303 +g1154 +tp7165 +a(g303 +g1009 +tp7166 +a(g303 +g964 +tp7167 +a(g303 +g997 +tp7168 +a(g303 +g958 +tp7169 +a(g303 +g1325 +tp7170 +a(g303 +g958 +tp7171 +a(g303 +g1712 +tp7172 +a(g303 +g997 +tp7173 +a(g303 +g1989 +tp7174 +a(g303 +g997 +tp7175 +a(g303 +g968 +tp7176 +a(g303 +g958 +tp7177 +a(g303 +g968 +tp7178 +a(g303 +g1205 +tp7179 +a(g303 +g970 +tp7180 +a(g303 +g1185 +tp7181 +a(g303 +g1151 +tp7182 +a(g303 +g958 +tp7183 +a(g303 +g966 +tp7184 +a(g303 +g2879 +tp7185 +a(g303 +g1005 +tp7186 +a(g303 +g958 +tp7187 +a(g303 +g2314 +tp7188 +a(g303 +g3103 +tp7189 +a(g303 +g958 +tp7190 +a(g303 +V\u005c +p7191 +tp7192 +a(g303 +g2879 +tp7193 +a(g303 +g960 +tp7194 +a(g862 +V\u000a +p7195 +tp7196 +a(g132 +Vend. +p7197 +tp7198 +a(g862 +V\u000a +p7199 +tp7200 +a(g132 +Velse. +p7201 +tp7202 +a(g862 +V\u000a +p7203 +tp7204 +a(g8 +Vdir +p7205 +tp7206 +a(g624 +V=. +p7207 +tp7208 +a(g862 +g958 +tp7209 +a(g647 +g2312 +tp7210 +a(g252 +V_2 +p7211 +tp7212 +a(g624 +g2320 +tp7213 +a(g624 +g3103 +tp7214 +a(g624 +g1668 +tp7215 +a(g862 +g958 +tp7216 +a(g624 +g1069 +tp7217 +a(g862 +g958 +tp7218 +a(g303 +g960 +tp7219 +a(g303 +g1144 +tp7220 +a(g303 +g960 +tp7221 +a(g862 +g958 +tp7222 +a(g624 +g1712 +tp7223 +a(g624 +g1668 +tp7224 +a(g624 +g1857 +tp7225 +a(g862 +g958 +tp7226 +a(g252 +V_2 +p7227 +tp7228 +a(g624 +g2320 +tp7229 +a(g624 +g2314 +tp7230 +a(g624 +g1668 +tp7231 +a(g647 +g1640 +tp7232 +a(g862 +g958 +tp7233 +a(g8 +Vdir +p7234 +tp7235 +a(g862 +V\u000a +p7236 +tp7237 +a(g8 +g997 +tp7238 +a(g624 +V=. +p7239 +tp7240 +a(g862 +g958 +tp7241 +a(g8 +Vshellcmd +p7242 +tp7243 +a(g862 +g958 +tp7244 +a(g8 +VUNZIP +p7245 +tp7246 +a(g624 +g1069 +tp7247 +a(g303 +g960 +tp7248 +a(g303 +g958 +tp7249 +a(g303 +g960 +tp7250 +a(g624 +g1069 +tp7251 +a(g8 +Vfile +p7252 +tp7253 +a(g624 +g1069 +tp7254 +a(g303 +g960 +tp7255 +a(g303 +g958 +tp7256 +a(g303 +g1712 +tp7257 +a(g303 +g1151 +tp7258 +a(g303 +g958 +tp7259 +a(g303 +g960 +tp7260 +a(g624 +g1069 +tp7261 +a(g8 +Vdir +p7262 +tp7263 +a(g862 +V\u000a +p7264 +tp7265 +a(g132 +Vend. +p7266 +tp7267 +a(g862 +V\u000a +p7268 +tp7269 +a(g8 +g997 +tp7270 +a(g862 +V\u000a +p7271 +tp7272 +a(g132 +g1640 +tp7273 +a(g862 +V\u000a +p7274 +tp7275 +a(g8 +Vzipext +p7276 +tp7277 +a(g624 +V=: +p7278 +tp7279 +a(g862 +g958 +tp7280 +a(g108 +V3 : 0 +p7281 +tp7282 +a(g862 +V\u000a +p7283 +tp7284 +a(g28 +g1009 +tp7285 +a(g624 +g1069 +tp7286 +a(g862 +g958 +tp7287 +a(g8 +VIFUNIX +p7288 +tp7289 +a(g862 +g958 +tp7290 +a(g8 +Vpick +p7291 +tp7292 +a(g862 +g958 +tp7293 +a(g303 +g960 +tp7294 +a(g303 +g1668 +tp7295 +a(g303 +g1425 +tp7296 +a(g303 +g1002 +tp7297 +a(g303 +g964 +tp7298 +a(g303 +g960 +tp7299 +a(g624 +g1666 +tp7300 +a(g303 +g960 +tp7301 +a(g303 +g1668 +tp7302 +a(g303 +g1154 +tp7303 +a(g303 +g966 +tp7304 +a(g303 +g1005 +tp7305 +a(g303 +g1668 +tp7306 +a(g303 +g1094 +tp7307 +a(g303 +g1425 +tp7308 +a(g303 +g960 +tp7309 +a(g862 +V\u000a +p7310 +tp7311 +a(g132 +g1640 +tp7312 +a(g862 +V\u000a +p7313 +tp7314 +a(g8 +VCHECKADDONSDIR +p7315 +tp7316 +a(g624 +V=: +p7317 +tp7318 +a(g862 +g958 +tp7319 +a(g36 +V0 : 0 +p7320 +tp7321 +a(g303 +V\u000a +p7322 +tp7323 +a(g303 +g2129 +tp7324 +a(g303 +g1205 +tp7325 +a(g303 +g997 +tp7326 +a(g303 +g958 +tp7327 +a(g303 +g966 +tp7328 +a(g303 +g1151 +tp7329 +a(g303 +g1151 +tp7330 +a(g303 +g1185 +tp7331 +a(g303 +g973 +tp7332 +a(g303 +g995 +tp7333 +a(g303 +g958 +tp7334 +a(g303 +g1151 +tp7335 +a(g303 +g1002 +tp7336 +a(g303 +g1005 +tp7337 +a(g303 +g997 +tp7338 +a(g303 +g968 +tp7339 +a(g303 +g1154 +tp7340 +a(g303 +g1185 +tp7341 +a(g303 +g1005 +tp7342 +a(g303 +g1009 +tp7343 +a(g303 +g958 +tp7344 +a(g303 +g1151 +tp7345 +a(g303 +g1185 +tp7346 +a(g303 +g997 +tp7347 +a(g303 +g995 +tp7348 +a(g303 +g958 +tp7349 +a(g303 +g973 +tp7350 +a(g303 +g1185 +tp7351 +a(g303 +g1154 +tp7352 +a(g303 +g958 +tp7353 +a(g303 +g997 +tp7354 +a(g303 +g1989 +tp7355 +a(g303 +g1002 +tp7356 +a(g303 +g995 +tp7357 +a(g303 +g1154 +tp7358 +a(g303 +g958 +tp7359 +a(g303 +g966 +tp7360 +a(g303 +g973 +tp7361 +a(g303 +g1151 +tp7362 +a(g303 +g958 +tp7363 +a(g303 +g968 +tp7364 +a(g303 +g966 +tp7365 +a(g303 +g973 +tp7366 +a(g303 +g973 +tp7367 +a(g303 +g1185 +tp7368 +a(g303 +g1154 +tp7369 +a(g303 +g958 +tp7370 +a(g303 +g992 +tp7371 +a(g303 +g997 +tp7372 +a(g303 +g958 +tp7373 +a(g303 +g968 +tp7374 +a(g303 +g1005 +tp7375 +a(g303 +g997 +tp7376 +a(g303 +g966 +tp7377 +a(g303 +g1154 +tp7378 +a(g303 +g997 +tp7379 +a(g303 +g1151 +tp7380 +a(g303 +g1668 +tp7381 +a(g303 +V\u000a +p7382 +tp7383 +a(g303 +V\u000a +p7384 +tp7385 +a(g303 +g3090 +tp7386 +a(g303 +g1154 +tp7387 +a(g303 +g958 +tp7388 +a(g303 +g1002 +tp7389 +a(g303 +g995 +tp7390 +a(g303 +g958 +tp7391 +a(g303 +g995 +tp7392 +a(g303 +g997 +tp7393 +a(g303 +g1154 +tp7394 +a(g303 +g958 +tp7395 +a(g303 +g1154 +tp7396 +a(g303 +g1185 +tp7397 +a(g303 +g1060 +tp7398 +a(g303 +g958 +tp7399 +a(g303 +g6750 +tp7400 +a(g303 +g6750 +tp7401 +a(g303 +g1668 +tp7402 +a(g303 +V\u000a +p7403 +tp7404 +a(g303 +V\u000a +p7405 +tp7406 +a(g303 +VY +p7407 +tp7408 +a(g303 +g1185 +tp7409 +a(g303 +g1260 +tp7410 +a(g303 +g958 +tp7411 +a(g303 +g968 +tp7412 +a(g303 +g966 +tp7413 +a(g303 +g973 +tp7414 +a(g303 +g958 +tp7415 +a(g303 +g997 +tp7416 +a(g303 +g1002 +tp7417 +a(g303 +g1154 +tp7418 +a(g303 +g1205 +tp7419 +a(g303 +g997 +tp7420 +a(g303 +g1005 +tp7421 +a(g303 +g958 +tp7422 +a(g303 +g968 +tp7423 +a(g303 +g1005 +tp7424 +a(g303 +g997 +tp7425 +a(g303 +g966 +tp7426 +a(g303 +g1154 +tp7427 +a(g303 +g997 +tp7428 +a(g303 +g958 +tp7429 +a(g303 +g1154 +tp7430 +a(g303 +g1205 +tp7431 +a(g303 +g997 +tp7432 +a(g303 +g958 +tp7433 +a(g303 +g1151 +tp7434 +a(g303 +g1002 +tp7435 +a(g303 +g1005 +tp7436 +a(g303 +g997 +tp7437 +a(g303 +g968 +tp7438 +a(g303 +g1154 +tp7439 +a(g303 +g1185 +tp7440 +a(g303 +g1005 +tp7441 +a(g303 +g1009 +tp7442 +a(g303 +g958 +tp7443 +a(g303 +g970 +tp7444 +a(g303 +g966 +tp7445 +a(g303 +g973 +tp7446 +a(g303 +g1260 +tp7447 +a(g303 +g966 +tp7448 +a(g303 +g1000 +tp7449 +a(g303 +g1000 +tp7450 +a(g303 +g1009 +tp7451 +a(g303 +g1069 +tp7452 +a(g303 +g958 +tp7453 +a(g303 +g1185 +tp7454 +a(g303 +g1005 +tp7455 +a(g303 +g958 +tp7456 +a(g303 +g995 +tp7457 +a(g303 +g997 +tp7458 +a(g303 +g1154 +tp7459 +a(g303 +g958 +tp7460 +a(g303 +g966 +tp7461 +a(g303 +g958 +tp7462 +a(g303 +g973 +tp7463 +a(g303 +g997 +tp7464 +a(g303 +g1215 +tp7465 +a(g303 +g958 +tp7466 +a(g303 +g966 +tp7467 +a(g303 +g1151 +tp7468 +a(g303 +g1151 +tp7469 +a(g303 +g1185 +tp7470 +a(g303 +g973 +tp7471 +a(g303 +g995 +tp7472 +a(g303 +g958 +tp7473 +a(g303 +g1151 +tp7474 +a(g303 +g1002 +tp7475 +a(g303 +g1005 +tp7476 +a(g303 +g997 +tp7477 +a(g303 +g968 +tp7478 +a(g303 +g1154 +tp7479 +a(g303 +g1185 +tp7480 +a(g303 +g1005 +tp7481 +a(g303 +g1009 +tp7482 +a(g303 +g958 +tp7483 +a(g303 +g1002 +tp7484 +a(g303 +g973 +tp7485 +a(g303 +g958 +tp7486 +a(g303 +g1009 +tp7487 +a(g303 +g1185 +tp7488 +a(g303 +g1260 +tp7489 +a(g303 +g1005 +tp7490 +a(g303 +g958 +tp7491 +a(g303 +g964 +tp7492 +a(g303 +g1005 +tp7493 +a(g303 +g1185 +tp7494 +a(g303 +g1325 +tp7495 +a(g303 +g1002 +tp7496 +a(g303 +g1000 +tp7497 +a(g303 +g997 +tp7498 +a(g303 +g958 +tp7499 +a(g303 +g995 +tp7500 +a(g303 +g968 +tp7501 +a(g303 +g1005 +tp7502 +a(g303 +g1002 +tp7503 +a(g303 +g964 +tp7504 +a(g303 +g1154 +tp7505 +a(g303 +g1668 +tp7506 +a(g303 +V\u000a +p7507 +tp7508 +a(g132 +g1640 +tp7509 +a(g862 +V\u000a +p7510 +tp7511 +a(g8 +VCHECKASK +p7512 +tp7513 +a(g624 +V=: +p7514 +tp7515 +a(g862 +g958 +tp7516 +a(g36 +V0 : 0 +p7517 +tp7518 +a(g303 +V\u000a +p7519 +tp7520 +a(g303 +g2663 +tp7521 +a(g303 +g997 +tp7522 +a(g303 +g966 +tp7523 +a(g303 +g1151 +tp7524 +a(g303 +g958 +tp7525 +a(g303 +g968 +tp7526 +a(g303 +g966 +tp7527 +a(g303 +g1154 +tp7528 +a(g303 +g966 +tp7529 +a(g303 +g1000 +tp7530 +a(g303 +g1185 +tp7531 +a(g303 +g1094 +tp7532 +a(g303 +g958 +tp7533 +a(g303 +g1325 +tp7534 +a(g303 +g1005 +tp7535 +a(g303 +g1185 +tp7536 +a(g303 +g970 +tp7537 +a(g303 +g958 +tp7538 +a(g303 +g1154 +tp7539 +a(g303 +g1205 +tp7540 +a(g303 +g997 +tp7541 +a(g303 +g958 +tp7542 +a(g303 +g995 +tp7543 +a(g303 +g997 +tp7544 +a(g303 +g1005 +tp7545 +a(g303 +g1354 +tp7546 +a(g303 +g997 +tp7547 +a(g303 +g1005 +tp7548 +a(g303 +g958 +tp7549 +a(g303 +g1260 +tp7550 +a(g303 +g995 +tp7551 +a(g303 +g1002 +tp7552 +a(g303 +g973 +tp7553 +a(g303 +g1094 +tp7554 +a(g303 +g958 +tp7555 +a(g303 +g3090 +tp7556 +a(g303 +g973 +tp7557 +a(g303 +g1154 +tp7558 +a(g303 +g997 +tp7559 +a(g303 +g1005 +tp7560 +a(g303 +g973 +tp7561 +a(g303 +g997 +tp7562 +a(g303 +g1154 +tp7563 +a(g303 +g958 +tp7564 +a(g303 +g968 +tp7565 +a(g303 +g1185 +tp7566 +a(g303 +g973 +tp7567 +a(g303 +g973 +tp7568 +a(g303 +g997 +tp7569 +a(g303 +g968 +tp7570 +a(g303 +g1154 +tp7571 +a(g303 +g1002 +tp7572 +a(g303 +g1185 +tp7573 +a(g303 +g973 +tp7574 +a(g303 +g958 +tp7575 +a(g303 +g973 +tp7576 +a(g303 +g1185 +tp7577 +a(g303 +g1215 +tp7578 +a(g303 +V? +p7579 +tp7580 +a(g303 +V\u000a +p7581 +tp7582 +a(g303 +V\u000a +p7583 +tp7584 +a(g303 +g1773 +tp7585 +a(g303 +g1154 +tp7586 +a(g303 +g1205 +tp7587 +a(g303 +g997 +tp7588 +a(g303 +g1005 +tp7589 +a(g303 +g1215 +tp7590 +a(g303 +g1002 +tp7591 +a(g303 +g995 +tp7592 +a(g303 +g997 +tp7593 +a(g303 +g958 +tp7594 +a(g303 +g1154 +tp7595 +a(g303 +g1205 +tp7596 +a(g303 +g997 +tp7597 +a(g303 +g958 +tp7598 +a(g303 +g1000 +tp7599 +a(g303 +g1185 +tp7600 +a(g303 +g968 +tp7601 +a(g303 +g966 +tp7602 +a(g303 +g1000 +tp7603 +a(g303 +g958 +tp7604 +a(g303 +g968 +tp7605 +a(g303 +g966 +tp7606 +a(g303 +g1154 +tp7607 +a(g303 +g966 +tp7608 +a(g303 +g1000 +tp7609 +a(g303 +g1185 +tp7610 +a(g303 +g1094 +tp7611 +a(g303 +g958 +tp7612 +a(g303 +g1002 +tp7613 +a(g303 +g995 +tp7614 +a(g303 +g958 +tp7615 +a(g303 +g1260 +tp7616 +a(g303 +g995 +tp7617 +a(g303 +g997 +tp7618 +a(g303 +g1151 +tp7619 +a(g303 +g958 +tp7620 +a(g303 +g1185 +tp7621 +a(g303 +g1325 +tp7622 +a(g303 +g1325 +tp7623 +a(g303 +g1000 +tp7624 +a(g303 +g1002 +tp7625 +a(g303 +g973 +tp7626 +a(g303 +g997 +tp7627 +a(g303 +g1668 +tp7628 +a(g303 +V\u000a +p7629 +tp7630 +a(g132 +g1640 +tp7631 +a(g862 +V\u000a +p7632 +tp7633 +a(g8 +VCHECKONLINE +p7634 +tp7635 +a(g624 +V=: +p7636 +tp7637 +a(g862 +g958 +tp7638 +a(g36 +V0 : 0 +p7639 +tp7640 +a(g303 +V\u000a +p7641 +tp7642 +a(g303 +g1074 +tp7643 +a(g303 +g973 +tp7644 +a(g303 +g958 +tp7645 +a(g303 +g966 +tp7646 +a(g303 +g968 +tp7647 +a(g303 +g1154 +tp7648 +a(g303 +g1002 +tp7649 +a(g303 +g1354 +tp7650 +a(g303 +g997 +tp7651 +a(g303 +g958 +tp7652 +a(g303 +g3090 +tp7653 +a(g303 +g973 +tp7654 +a(g303 +g1154 +tp7655 +a(g303 +g997 +tp7656 +a(g303 +g1005 +tp7657 +a(g303 +g973 +tp7658 +a(g303 +g997 +tp7659 +a(g303 +g1154 +tp7660 +a(g303 +g958 +tp7661 +a(g303 +g968 +tp7662 +a(g303 +g1185 +tp7663 +a(g303 +g973 +tp7664 +a(g303 +g973 +tp7665 +a(g303 +g997 +tp7666 +a(g303 +g968 +tp7667 +a(g303 +g1154 +tp7668 +a(g303 +g1002 +tp7669 +a(g303 +g1185 +tp7670 +a(g303 +g973 +tp7671 +a(g303 +g958 +tp7672 +a(g303 +g1002 +tp7673 +a(g303 +g995 +tp7674 +a(g303 +g958 +tp7675 +a(g303 +g973 +tp7676 +a(g303 +g997 +tp7677 +a(g303 +g997 +tp7678 +a(g303 +g1151 +tp7679 +a(g303 +g997 +tp7680 +a(g303 +g1151 +tp7681 +a(g303 +g958 +tp7682 +a(g303 +g1154 +tp7683 +a(g303 +g1185 +tp7684 +a(g303 +g958 +tp7685 +a(g303 +g1002 +tp7686 +a(g303 +g973 +tp7687 +a(g303 +g995 +tp7688 +a(g303 +g1154 +tp7689 +a(g303 +g966 +tp7690 +a(g303 +g1000 +tp7691 +a(g303 +g1000 +tp7692 +a(g303 +g958 +tp7693 +a(g303 +g964 +tp7694 +a(g303 +g966 +tp7695 +a(g303 +g968 +tp7696 +a(g303 +g1091 +tp7697 +a(g303 +g966 +tp7698 +a(g303 +g1094 +tp7699 +a(g303 +g997 +tp7700 +a(g303 +g995 +tp7701 +a(g303 +g1668 +tp7702 +a(g303 +V\u000a +p7703 +tp7704 +a(g303 +V\u000a +p7705 +tp7706 +a(g303 +g2199 +tp7707 +a(g303 +g1185 +tp7708 +a(g303 +g973 +tp7709 +a(g303 +g1154 +tp7710 +a(g303 +g1002 +tp7711 +a(g303 +g973 +tp7712 +a(g303 +g1260 +tp7713 +a(g303 +g997 +tp7714 +a(g303 +g958 +tp7715 +a(g303 +g1185 +tp7716 +a(g303 +g973 +tp7717 +a(g303 +g1000 +tp7718 +a(g303 +g1009 +tp7719 +a(g303 +g958 +tp7720 +a(g303 +g1002 +tp7721 +a(g303 +g1325 +tp7722 +a(g303 +g958 +tp7723 +a(g303 +g1009 +tp7724 +a(g303 +g1185 +tp7725 +a(g303 +g1260 +tp7726 +a(g303 +g958 +tp7727 +a(g303 +g1205 +tp7728 +a(g303 +g966 +tp7729 +a(g303 +g1354 +tp7730 +a(g303 +g997 +tp7731 +a(g303 +g958 +tp7732 +a(g303 +g966 +tp7733 +a(g303 +g973 +tp7734 +a(g303 +g958 +tp7735 +a(g303 +g966 +tp7736 +a(g303 +g968 +tp7737 +a(g303 +g1154 +tp7738 +a(g303 +g1002 +tp7739 +a(g303 +g1354 +tp7740 +a(g303 +g997 +tp7741 +a(g303 +g958 +tp7742 +a(g303 +g3090 +tp7743 +a(g303 +g973 +tp7744 +a(g303 +g1154 +tp7745 +a(g303 +g997 +tp7746 +a(g303 +g1005 +tp7747 +a(g303 +g973 +tp7748 +a(g303 +g997 +tp7749 +a(g303 +g1154 +tp7750 +a(g303 +g958 +tp7751 +a(g303 +g968 +tp7752 +a(g303 +g1185 +tp7753 +a(g303 +g973 +tp7754 +a(g303 +g973 +tp7755 +a(g303 +g997 +tp7756 +a(g303 +g968 +tp7757 +a(g303 +g1154 +tp7758 +a(g303 +g1002 +tp7759 +a(g303 +g1185 +tp7760 +a(g303 +g973 +tp7761 +a(g303 +g1668 +tp7762 +a(g303 +V\u000a +p7763 +tp7764 +a(g303 +V\u000a +p7765 +tp7766 +a(g303 +g1773 +tp7767 +a(g303 +g6113 +tp7768 +a(g303 +g958 +tp7769 +a(g303 +g1154 +tp7770 +a(g303 +g1185 +tp7771 +a(g303 +g958 +tp7772 +a(g303 +g968 +tp7773 +a(g303 +g1185 +tp7774 +a(g303 +g973 +tp7775 +a(g303 +g1154 +tp7776 +a(g303 +g1002 +tp7777 +a(g303 +g973 +tp7778 +a(g303 +g1260 +tp7779 +a(g303 +g997 +tp7780 +a(g303 +g7579 +tp7781 +a(g303 +V\u000a +p7782 +tp7783 +a(g132 +g1640 +tp7784 +a(g862 +V\u000a +p7785 +tp7786 +a(g8 +VCHECKREADSVR +p7787 +tp7788 +a(g624 +V=: +p7789 +tp7790 +a(g862 +g958 +tp7791 +a(g36 +V0 : 0 +p7792 +tp7793 +a(g303 +V\u000a +p7794 +tp7795 +a(g303 +g1074 +tp7796 +a(g303 +g973 +tp7797 +a(g303 +g958 +tp7798 +a(g303 +g966 +tp7799 +a(g303 +g968 +tp7800 +a(g303 +g1154 +tp7801 +a(g303 +g1002 +tp7802 +a(g303 +g1354 +tp7803 +a(g303 +g997 +tp7804 +a(g303 +g958 +tp7805 +a(g303 +g3090 +tp7806 +a(g303 +g973 +tp7807 +a(g303 +g1154 +tp7808 +a(g303 +g997 +tp7809 +a(g303 +g1005 +tp7810 +a(g303 +g973 +tp7811 +a(g303 +g997 +tp7812 +a(g303 +g1154 +tp7813 +a(g303 +g958 +tp7814 +a(g303 +g968 +tp7815 +a(g303 +g1185 +tp7816 +a(g303 +g973 +tp7817 +a(g303 +g973 +tp7818 +a(g303 +g997 +tp7819 +a(g303 +g968 +tp7820 +a(g303 +g1154 +tp7821 +a(g303 +g1002 +tp7822 +a(g303 +g1185 +tp7823 +a(g303 +g973 +tp7824 +a(g303 +g958 +tp7825 +a(g303 +g1002 +tp7826 +a(g303 +g995 +tp7827 +a(g303 +g958 +tp7828 +a(g303 +g973 +tp7829 +a(g303 +g997 +tp7830 +a(g303 +g997 +tp7831 +a(g303 +g1151 +tp7832 +a(g303 +g997 +tp7833 +a(g303 +g1151 +tp7834 +a(g303 +g958 +tp7835 +a(g303 +g1154 +tp7836 +a(g303 +g1185 +tp7837 +a(g303 +g958 +tp7838 +a(g303 +g1005 +tp7839 +a(g303 +g997 +tp7840 +a(g303 +g966 +tp7841 +a(g303 +g1151 +tp7842 +a(g303 +g958 +tp7843 +a(g303 +g1154 +tp7844 +a(g303 +g1205 +tp7845 +a(g303 +g997 +tp7846 +a(g303 +g958 +tp7847 +a(g303 +g995 +tp7848 +a(g303 +g997 +tp7849 +a(g303 +g1005 +tp7850 +a(g303 +g1354 +tp7851 +a(g303 +g997 +tp7852 +a(g303 +g1005 +tp7853 +a(g303 +g958 +tp7854 +a(g303 +g1005 +tp7855 +a(g303 +g997 +tp7856 +a(g303 +g964 +tp7857 +a(g303 +g1185 +tp7858 +a(g303 +g995 +tp7859 +a(g303 +g1002 +tp7860 +a(g303 +g1154 +tp7861 +a(g303 +g1185 +tp7862 +a(g303 +g1005 +tp7863 +a(g303 +g1009 +tp7864 +a(g303 +g958 +tp7865 +a(g303 +g968 +tp7866 +a(g303 +g966 +tp7867 +a(g303 +g1154 +tp7868 +a(g303 +g966 +tp7869 +a(g303 +g1000 +tp7870 +a(g303 +g1185 +tp7871 +a(g303 +g1094 +tp7872 +a(g303 +g1668 +tp7873 +a(g303 +V\u000a +p7874 +tp7875 +a(g303 +V\u000a +p7876 +tp7877 +a(g303 +g2199 +tp7878 +a(g303 +g1185 +tp7879 +a(g303 +g973 +tp7880 +a(g303 +g1154 +tp7881 +a(g303 +g1002 +tp7882 +a(g303 +g973 +tp7883 +a(g303 +g1260 +tp7884 +a(g303 +g997 +tp7885 +a(g303 +g958 +tp7886 +a(g303 +g1185 +tp7887 +a(g303 +g973 +tp7888 +a(g303 +g1000 +tp7889 +a(g303 +g1009 +tp7890 +a(g303 +g958 +tp7891 +a(g303 +g1002 +tp7892 +a(g303 +g1325 +tp7893 +a(g303 +g958 +tp7894 +a(g303 +g1009 +tp7895 +a(g303 +g1185 +tp7896 +a(g303 +g1260 +tp7897 +a(g303 +g958 +tp7898 +a(g303 +g1205 +tp7899 +a(g303 +g966 +tp7900 +a(g303 +g1354 +tp7901 +a(g303 +g997 +tp7902 +a(g303 +g958 +tp7903 +a(g303 +g966 +tp7904 +a(g303 +g973 +tp7905 +a(g303 +g958 +tp7906 +a(g303 +g966 +tp7907 +a(g303 +g968 +tp7908 +a(g303 +g1154 +tp7909 +a(g303 +g1002 +tp7910 +a(g303 +g1354 +tp7911 +a(g303 +g997 +tp7912 +a(g303 +g958 +tp7913 +a(g303 +g3090 +tp7914 +a(g303 +g973 +tp7915 +a(g303 +g1154 +tp7916 +a(g303 +g997 +tp7917 +a(g303 +g1005 +tp7918 +a(g303 +g973 +tp7919 +a(g303 +g997 +tp7920 +a(g303 +g1154 +tp7921 +a(g303 +g958 +tp7922 +a(g303 +g968 +tp7923 +a(g303 +g1185 +tp7924 +a(g303 +g973 +tp7925 +a(g303 +g973 +tp7926 +a(g303 +g997 +tp7927 +a(g303 +g968 +tp7928 +a(g303 +g1154 +tp7929 +a(g303 +g1002 +tp7930 +a(g303 +g1185 +tp7931 +a(g303 +g973 +tp7932 +a(g303 +g1668 +tp7933 +a(g303 +V\u000a +p7934 +tp7935 +a(g303 +V\u000a +p7936 +tp7937 +a(g303 +g1773 +tp7938 +a(g303 +g6113 +tp7939 +a(g303 +g958 +tp7940 +a(g303 +g1154 +tp7941 +a(g303 +g1185 +tp7942 +a(g303 +g958 +tp7943 +a(g303 +g968 +tp7944 +a(g303 +g1185 +tp7945 +a(g303 +g973 +tp7946 +a(g303 +g1154 +tp7947 +a(g303 +g1002 +tp7948 +a(g303 +g973 +tp7949 +a(g303 +g1260 +tp7950 +a(g303 +g997 +tp7951 +a(g303 +g7579 +tp7952 +a(g303 +V\u000a +p7953 +tp7954 +a(g132 +g1640 +tp7955 +a(g862 +V\u000a +p7956 +tp7957 +a(g8 +VCHECKSTARTUP +p7958 +tp7959 +a(g624 +V=: +p7960 +tp7961 +a(g862 +g958 +tp7962 +a(g36 +V0 : 0 +p7963 +tp7964 +a(g303 +V\u000a +p7965 +tp7966 +a(g303 +g1796 +tp7967 +a(g303 +g997 +tp7968 +a(g303 +g1154 +tp7969 +a(g303 +g1260 +tp7970 +a(g303 +g964 +tp7971 +a(g303 +g958 +tp7972 +a(g303 +g1005 +tp7973 +a(g303 +g997 +tp7974 +a(g303 +g964 +tp7975 +a(g303 +g1185 +tp7976 +a(g303 +g995 +tp7977 +a(g303 +g1002 +tp7978 +a(g303 +g1154 +tp7979 +a(g303 +g1185 +tp7980 +a(g303 +g1005 +tp7981 +a(g303 +g1009 +tp7982 +a(g303 +g958 +tp7983 +a(g303 +g1260 +tp7984 +a(g303 +g995 +tp7985 +a(g303 +g1002 +tp7986 +a(g303 +g973 +tp7987 +a(g303 +g1094 +tp7988 +a(g303 +g958 +tp7989 +a(g303 +g3090 +tp7990 +a(g303 +g973 +tp7991 +a(g303 +g1154 +tp7992 +a(g303 +g997 +tp7993 +a(g303 +g1005 +tp7994 +a(g303 +g973 +tp7995 +a(g303 +g997 +tp7996 +a(g303 +g1154 +tp7997 +a(g303 +g958 +tp7998 +a(g303 +g968 +tp7999 +a(g303 +g1185 +tp8000 +a(g303 +g973 +tp8001 +a(g303 +g973 +tp8002 +a(g303 +g997 +tp8003 +a(g303 +g968 +tp8004 +a(g303 +g1154 +tp8005 +a(g303 +g1002 +tp8006 +a(g303 +g1185 +tp8007 +a(g303 +g973 +tp8008 +a(g303 +g958 +tp8009 +a(g303 +g973 +tp8010 +a(g303 +g1185 +tp8011 +a(g303 +g1215 +tp8012 +a(g303 +g7579 +tp8013 +a(g303 +V\u000a +p8014 +tp8015 +a(g303 +V\u000a +p8016 +tp8017 +a(g303 +g1796 +tp8018 +a(g303 +g997 +tp8019 +a(g303 +g1000 +tp8020 +a(g303 +g997 +tp8021 +a(g303 +g968 +tp8022 +a(g303 +g1154 +tp8023 +a(g303 +g958 +tp8024 +a(g303 +g6755 +tp8025 +a(g303 +g1185 +tp8026 +a(g303 +g958 +tp8027 +a(g303 +g1002 +tp8028 +a(g303 +g1325 +tp8029 +a(g303 +g958 +tp8030 +a(g303 +g973 +tp8031 +a(g303 +g1185 +tp8032 +a(g303 +g1154 +tp8033 +a(g303 +g958 +tp8034 +a(g303 +g968 +tp8035 +a(g303 +g1185 +tp8036 +a(g303 +g973 +tp8037 +a(g303 +g973 +tp8038 +a(g303 +g997 +tp8039 +a(g303 +g968 +tp8040 +a(g303 +g1154 +tp8041 +a(g303 +g997 +tp8042 +a(g303 +g1151 +tp8043 +a(g303 +g1069 +tp8044 +a(g303 +g958 +tp8045 +a(g303 +g1154 +tp8046 +a(g303 +g1185 +tp8047 +a(g303 +g958 +tp8048 +a(g303 +g968 +tp8049 +a(g303 +g1185 +tp8050 +a(g303 +g970 +tp8051 +a(g303 +g964 +tp8052 +a(g303 +g1000 +tp8053 +a(g303 +g997 +tp8054 +a(g303 +g1154 +tp8055 +a(g303 +g997 +tp8056 +a(g303 +g958 +tp8057 +a(g303 +g995 +tp8058 +a(g303 +g997 +tp8059 +a(g303 +g1154 +tp8060 +a(g303 +g1260 +tp8061 +a(g303 +g964 +tp8062 +a(g303 +g958 +tp8063 +a(g303 +g1000 +tp8064 +a(g303 +g966 +tp8065 +a(g303 +g1154 +tp8066 +a(g303 +g997 +tp8067 +a(g303 +g1005 +tp8068 +a(g303 +g1668 +tp8069 +a(g303 +g958 +tp8070 +a(g303 +g1074 +tp8071 +a(g303 +g1325 +tp8072 +a(g303 +g1154 +tp8073 +a(g303 +g997 +tp8074 +a(g303 +g1005 +tp8075 +a(g303 +g958 +tp8076 +a(g303 +g1796 +tp8077 +a(g303 +g997 +tp8078 +a(g303 +g1154 +tp8079 +a(g303 +g1260 +tp8080 +a(g303 +g964 +tp8081 +a(g303 +g958 +tp8082 +a(g303 +g1002 +tp8083 +a(g303 +g995 +tp8084 +a(g303 +g958 +tp8085 +a(g303 +g1151 +tp8086 +a(g303 +g1185 +tp8087 +a(g303 +g973 +tp8088 +a(g303 +g997 +tp8089 +a(g303 +g1069 +tp8090 +a(g303 +g958 +tp8091 +a(g303 +g1005 +tp8092 +a(g303 +g997 +tp8093 +a(g303 +g964 +tp8094 +a(g303 +g1185 +tp8095 +a(g303 +g995 +tp8096 +a(g303 +g1002 +tp8097 +a(g303 +g1154 +tp8098 +a(g303 +g1185 +tp8099 +a(g303 +g1005 +tp8100 +a(g303 +g1009 +tp8101 +a(g303 +g958 +tp8102 +a(g303 +g968 +tp8103 +a(g303 +g966 +tp8104 +a(g303 +g973 +tp8105 +a(g303 +g958 +tp8106 +a(g303 +g992 +tp8107 +a(g303 +g997 +tp8108 +a(g303 +g958 +tp8109 +a(g303 +g1260 +tp8110 +a(g303 +g995 +tp8111 +a(g303 +g997 +tp8112 +a(g303 +g1151 +tp8113 +a(g303 +g958 +tp8114 +a(g303 +g1185 +tp8115 +a(g303 +g1325 +tp8116 +a(g303 +g1325 +tp8117 +a(g303 +g1000 +tp8118 +a(g303 +g1002 +tp8119 +a(g303 +g973 +tp8120 +a(g303 +g997 +tp8121 +a(g303 +g958 +tp8122 +a(g303 +g1215 +tp8123 +a(g303 +g1002 +tp8124 +a(g303 +g1154 +tp8125 +a(g303 +g1205 +tp8126 +a(g303 +g958 +tp8127 +a(g303 +g970 +tp8128 +a(g303 +g1185 +tp8129 +a(g303 +g1005 +tp8130 +a(g303 +g997 +tp8131 +a(g303 +g958 +tp8132 +a(g303 +g1185 +tp8133 +a(g303 +g964 +tp8134 +a(g303 +g1154 +tp8135 +a(g303 +g1002 +tp8136 +a(g303 +g1185 +tp8137 +a(g303 +g973 +tp8138 +a(g303 +g995 +tp8139 +a(g303 +g958 +tp8140 +a(g303 +g1002 +tp8141 +a(g303 +g973 +tp8142 +a(g303 +g958 +tp8143 +a(g303 +g2129 +tp8144 +a(g303 +g1185 +tp8145 +a(g303 +g1185 +tp8146 +a(g303 +g1000 +tp8147 +a(g303 +g995 +tp8148 +a(g303 +g958 +tp8149 +a(g303 +g970 +tp8150 +a(g303 +g997 +tp8151 +a(g303 +g973 +tp8152 +a(g303 +g1260 +tp8153 +a(g303 +g958 +tp8154 +a(g303 +g966 +tp8155 +a(g303 +g973 +tp8156 +a(g303 +g1151 +tp8157 +a(g303 +g958 +tp8158 +a(g303 +g1087 +tp8159 +a(g303 +g1005 +tp8160 +a(g303 +g997 +tp8161 +a(g303 +g1325 +tp8162 +a(g303 +g997 +tp8163 +a(g303 +g1005 +tp8164 +a(g303 +g997 +tp8165 +a(g303 +g973 +tp8166 +a(g303 +g968 +tp8167 +a(g303 +g997 +tp8168 +a(g303 +g995 +tp8169 +a(g303 +g958 +tp8170 +a(g303 +g1151 +tp8171 +a(g303 +g1002 +tp8172 +a(g303 +g966 +tp8173 +a(g303 +g1000 +tp8174 +a(g303 +g1185 +tp8175 +a(g303 +g1094 +tp8176 +a(g303 +g1668 +tp8177 +a(g303 +V\u000a +p8178 +tp8179 +a(g132 +g1640 +tp8180 +a(g862 +V\u000a +p8181 +tp8182 +a(g8 +Vcheckaccess +p8183 +tp8184 +a(g624 +V=: +p8185 +tp8186 +a(g862 +g958 +tp8187 +a(g108 +V3 : 0 +p8188 +tp8189 +a(g862 +V\u000a +p8190 +tp8191 +a(g132 +Vif. +p8192 +tp8193 +a(g862 +g958 +tp8194 +a(g8 +Vtestaccess +p8195 +tp8196 +a(g303 +g960 +tp8197 +a(g303 +g960 +tp8198 +a(g862 +g958 +tp8199 +a(g132 +Vdo. +p8200 +tp8201 +a(g862 +g958 +tp8202 +a(g252 +g1860 +tp8203 +a(g862 +g958 +tp8204 +a(g132 +Vreturn. +p8205 +tp8206 +a(g862 +g958 +tp8207 +a(g132 +Vend. +p8208 +tp8209 +a(g862 +V\u000a +p8210 +tp8211 +a(g8 +Vmsg +p8212 +tp8213 +a(g624 +V=. +p8214 +tp8215 +a(g862 +g958 +tp8216 +a(g303 +g960 +tp8217 +a(g303 +g1800 +tp8218 +a(g303 +g973 +tp8219 +a(g303 +g966 +tp8220 +a(g303 +g992 +tp8221 +a(g303 +g1000 +tp8222 +a(g303 +g997 +tp8223 +a(g303 +g958 +tp8224 +a(g303 +g1154 +tp8225 +a(g303 +g1185 +tp8226 +a(g303 +g958 +tp8227 +a(g303 +g1005 +tp8228 +a(g303 +g1260 +tp8229 +a(g303 +g973 +tp8230 +a(g303 +g958 +tp8231 +a(g303 +g1087 +tp8232 +a(g303 +g966 +tp8233 +a(g303 +g968 +tp8234 +a(g303 +g1091 +tp8235 +a(g303 +g966 +tp8236 +a(g303 +g1094 +tp8237 +a(g303 +g997 +tp8238 +a(g303 +g958 +tp8239 +a(g303 +g1098 +tp8240 +a(g303 +g966 +tp8241 +a(g303 +g973 +tp8242 +a(g303 +g966 +tp8243 +a(g303 +g1094 +tp8244 +a(g303 +g997 +tp8245 +a(g303 +g1005 +tp8246 +a(g303 +g1069 +tp8247 +a(g303 +g958 +tp8248 +a(g303 +g966 +tp8249 +a(g303 +g995 +tp8250 +a(g303 +g958 +tp8251 +a(g303 +g1009 +tp8252 +a(g303 +g1185 +tp8253 +a(g303 +g1260 +tp8254 +a(g303 +g958 +tp8255 +a(g303 +g1151 +tp8256 +a(g303 +g1185 +tp8257 +a(g303 +g958 +tp8258 +a(g303 +g973 +tp8259 +a(g303 +g1185 +tp8260 +a(g303 +g1154 +tp8261 +a(g303 +g958 +tp8262 +a(g303 +g1205 +tp8263 +a(g303 +g966 +tp8264 +a(g303 +g1354 +tp8265 +a(g303 +g997 +tp8266 +a(g303 +g958 +tp8267 +a(g303 +g966 +tp8268 +a(g303 +g968 +tp8269 +a(g303 +g968 +tp8270 +a(g303 +g997 +tp8271 +a(g303 +g995 +tp8272 +a(g303 +g995 +tp8273 +a(g303 +g958 +tp8274 +a(g303 +g1154 +tp8275 +a(g303 +g1185 +tp8276 +a(g303 +g958 +tp8277 +a(g303 +g1154 +tp8278 +a(g303 +g1205 +tp8279 +a(g303 +g997 +tp8280 +a(g303 +g958 +tp8281 +a(g303 +g1002 +tp8282 +a(g303 +g973 +tp8283 +a(g303 +g995 +tp8284 +a(g303 +g1154 +tp8285 +a(g303 +g966 +tp8286 +a(g303 +g1000 +tp8287 +a(g303 +g1000 +tp8288 +a(g303 +g966 +tp8289 +a(g303 +g1154 +tp8290 +a(g303 +g1002 +tp8291 +a(g303 +g1185 +tp8292 +a(g303 +g973 +tp8293 +a(g303 +g958 +tp8294 +a(g303 +g1325 +tp8295 +a(g303 +g1185 +tp8296 +a(g303 +g1000 +tp8297 +a(g303 +g1151 +tp8298 +a(g303 +g997 +tp8299 +a(g303 +g1005 +tp8300 +a(g303 +g1668 +tp8301 +a(g303 +g960 +tp8302 +a(g862 +V\u000a +p8303 +tp8304 +a(g132 +Vif. +p8305 +tp8306 +a(g862 +g958 +tp8307 +a(g8 +VIFWIN +p8308 +tp8309 +a(g862 +g958 +tp8310 +a(g132 +Vdo. +p8311 +tp8312 +a(g862 +V\u000a +p8313 +tp8314 +a(g8 +Vmsg +p8315 +tp8316 +a(g624 +V=. +p8317 +tp8318 +a(g862 +g958 +tp8319 +a(g8 +Vmsg +p8320 +tp8321 +a(g624 +g1069 +tp8322 +a(g8 +VLF2 +p8323 +tp8324 +a(g624 +g1069 +tp8325 +a(g303 +g960 +tp8326 +a(g303 +g2129 +tp8327 +a(g303 +g1185 +tp8328 +a(g303 +g958 +tp8329 +a(g303 +g1005 +tp8330 +a(g303 +g1260 +tp8331 +a(g303 +g973 +tp8332 +a(g303 +g958 +tp8333 +a(g303 +g966 +tp8334 +a(g303 +g995 +tp8335 +a(g303 +g958 +tp8336 +a(g303 +g1074 +tp8337 +a(g303 +g1151 +tp8338 +a(g303 +g970 +tp8339 +a(g303 +g1002 +tp8340 +a(g303 +g973 +tp8341 +a(g303 +g1002 +tp8342 +a(g303 +g995 +tp8343 +a(g303 +g1154 +tp8344 +a(g303 +g1005 +tp8345 +a(g303 +g966 +tp8346 +a(g303 +g1154 +tp8347 +a(g303 +g1185 +tp8348 +a(g303 +g1005 +tp8349 +a(g303 +g1069 +tp8350 +a(g303 +g958 +tp8351 +a(g303 +g1005 +tp8352 +a(g303 +g1002 +tp8353 +a(g303 +g1094 +tp8354 +a(g303 +g1205 +tp8355 +a(g303 +g1154 +tp8356 +a(g303 +g1712 +tp8357 +a(g303 +g968 +tp8358 +a(g303 +g1000 +tp8359 +a(g303 +g1002 +tp8360 +a(g303 +g968 +tp8361 +a(g303 +g1091 +tp8362 +a(g303 +g958 +tp8363 +a(g303 +g1154 +tp8364 +a(g303 +g1205 +tp8365 +a(g303 +g997 +tp8366 +a(g303 +g958 +tp8367 +a(g303 +g2649 +tp8368 +a(g303 +g958 +tp8369 +a(g303 +g1002 +tp8370 +a(g303 +g968 +tp8371 +a(g303 +g1185 +tp8372 +a(g303 +g973 +tp8373 +a(g303 +g1069 +tp8374 +a(g303 +g958 +tp8375 +a(g303 +g995 +tp8376 +a(g303 +g997 +tp8377 +a(g303 +g1000 +tp8378 +a(g303 +g997 +tp8379 +a(g303 +g968 +tp8380 +a(g303 +g1154 +tp8381 +a(g303 +g958 +tp8382 +a(g303 +g2663 +tp8383 +a(g303 +g1260 +tp8384 +a(g303 +g973 +tp8385 +a(g303 +g958 +tp8386 +a(g303 +g966 +tp8387 +a(g303 +g995 +tp8388 +a(g303 +g1668 +tp8389 +a(g303 +g1668 +tp8390 +a(g303 +g1668 +tp8391 +a(g303 +g958 +tp8392 +a(g303 +g966 +tp8393 +a(g303 +g973 +tp8394 +a(g303 +g1151 +tp8395 +a(g303 +g958 +tp8396 +a(g303 +g960 +tp8397 +a(g862 +V\u000a +p8398 +tp8399 +a(g8 +Vmsg +p8400 +tp8401 +a(g624 +V=. +p8402 +tp8403 +a(g862 +g958 +tp8404 +a(g8 +Vmsg +p8405 +tp8406 +a(g624 +g1069 +tp8407 +a(g303 +g960 +tp8408 +a(g303 +g1154 +tp8409 +a(g303 +g1205 +tp8410 +a(g303 +g997 +tp8411 +a(g303 +g973 +tp8412 +a(g303 +g958 +tp8413 +a(g303 +g995 +tp8414 +a(g303 +g997 +tp8415 +a(g303 +g1000 +tp8416 +a(g303 +g997 +tp8417 +a(g303 +g968 +tp8418 +a(g303 +g1154 +tp8419 +a(g303 +g958 +tp8420 +a(g303 +g1074 +tp8421 +a(g303 +g1151 +tp8422 +a(g303 +g970 +tp8423 +a(g303 +g1002 +tp8424 +a(g303 +g973 +tp8425 +a(g303 +g995 +tp8426 +a(g303 +g1154 +tp8427 +a(g303 +g1005 +tp8428 +a(g303 +g966 +tp8429 +a(g303 +g1154 +tp8430 +a(g303 +g1185 +tp8431 +a(g303 +g1005 +tp8432 +a(g303 +g1668 +tp8433 +a(g303 +g960 +tp8434 +a(g862 +V\u000a +p8435 +tp8436 +a(g132 +Vend. +p8437 +tp8438 +a(g862 +V\u000a +p8439 +tp8440 +a(g8 +Vinfo +p8441 +tp8442 +a(g862 +g958 +tp8443 +a(g8 +Vmsg +p8444 +tp8445 +a(g862 +V\u000a +p8446 +tp8447 +a(g252 +g1019 +tp8448 +a(g862 +V\u000a +p8449 +tp8450 +a(g132 +g1640 +tp8451 +a(g862 +V\u000a +p8452 +tp8453 +a(g8 +Vcheckaddonsdir +p8454 +tp8455 +a(g624 +V=: +p8456 +tp8457 +a(g862 +g958 +tp8458 +a(g108 +V3 : 0 +p8459 +tp8460 +a(g862 +V\u000a +p8461 +tp8462 +a(g8 +g1151 +tp8463 +a(g624 +V=. +p8464 +tp8465 +a(g862 +g958 +tp8466 +a(g8 +Vjpath +p8467 +tp8468 +a(g862 +g958 +tp8469 +a(g303 +g960 +tp8470 +a(g303 +g1857 +tp8471 +a(g303 +g966 +tp8472 +a(g303 +g1151 +tp8473 +a(g303 +g1151 +tp8474 +a(g303 +g1185 +tp8475 +a(g303 +g973 +tp8476 +a(g303 +g995 +tp8477 +a(g303 +g960 +tp8478 +a(g862 +V\u000a +p8479 +tp8480 +a(g132 +Vif. +p8481 +tp8482 +a(g862 +g958 +tp8483 +a(g624 +g1855 +tp8484 +a(g862 +g958 +tp8485 +a(g252 +g1860 +tp8486 +a(g624 +g2329 +tp8487 +a(g624 +g1060 +tp8488 +a(g252 +g1019 +tp8489 +a(g862 +g958 +tp8490 +a(g8 +g1151 +tp8491 +a(g862 +g958 +tp8492 +a(g132 +Vdo. +p8493 +tp8494 +a(g862 +g958 +tp8495 +a(g252 +g1860 +tp8496 +a(g862 +g958 +tp8497 +a(g132 +Vreturn. +p8498 +tp8499 +a(g862 +g958 +tp8500 +a(g132 +Vend. +p8501 +tp8502 +a(g862 +V\u000a +p8503 +tp8504 +a(g132 +Vif. +p8505 +tp8506 +a(g862 +g958 +tp8507 +a(g252 +g1860 +tp8508 +a(g624 +g2329 +tp8509 +a(g624 +g1060 +tp8510 +a(g252 +g2918 +tp8511 +a(g862 +g958 +tp8512 +a(g624 +g1060 +tp8513 +a(g624 +g1060 +tp8514 +a(g862 +g958 +tp8515 +a(g252 +g1019 +tp8516 +a(g624 +g1060 +tp8517 +a(g862 +g958 +tp8518 +a(g624 +g1071 +tp8519 +a(g8 +g1151 +tp8520 +a(g862 +g958 +tp8521 +a(g132 +Vdo. +p8522 +tp8523 +a(g862 +V\u000a +p8524 +tp8525 +a(g8 +Vlog +p8526 +tp8527 +a(g862 +g958 +tp8528 +a(g303 +g960 +tp8529 +a(g303 +g2199 +tp8530 +a(g303 +g1005 +tp8531 +a(g303 +g997 +tp8532 +a(g303 +g966 +tp8533 +a(g303 +g1154 +tp8534 +a(g303 +g997 +tp8535 +a(g303 +g1151 +tp8536 +a(g303 +g958 +tp8537 +a(g303 +g966 +tp8538 +a(g303 +g1151 +tp8539 +a(g303 +g1151 +tp8540 +a(g303 +g1185 +tp8541 +a(g303 +g973 +tp8542 +a(g303 +g995 +tp8543 +a(g303 +g958 +tp8544 +a(g303 +g1151 +tp8545 +a(g303 +g1002 +tp8546 +a(g303 +g1005 +tp8547 +a(g303 +g997 +tp8548 +a(g303 +g968 +tp8549 +a(g303 +g1154 +tp8550 +a(g303 +g1185 +tp8551 +a(g303 +g1005 +tp8552 +a(g303 +g1009 +tp8553 +a(g303 +g1060 +tp8554 +a(g303 +g958 +tp8555 +a(g303 +g960 +tp8556 +a(g624 +g1069 +tp8557 +a(g8 +g1151 +tp8558 +a(g862 +V\u000a +p8559 +tp8560 +a(g252 +g1860 +tp8561 +a(g862 +g958 +tp8562 +a(g132 +Vreturn. +p8563 +tp8564 +a(g862 +V\u000a +p8565 +tp8566 +a(g132 +Vend. +p8567 +tp8568 +a(g862 +V\u000a +p8569 +tp8570 +a(g8 +Vinfo +p8571 +tp8572 +a(g862 +g958 +tp8573 +a(g8 +VCHECKADDONSDIR +p8574 +tp8575 +a(g862 +g958 +tp8576 +a(g8 +Vrplc +p8577 +tp8578 +a(g862 +g958 +tp8579 +a(g303 +g960 +tp8580 +a(g303 +g6750 +tp8581 +a(g303 +g6750 +tp8582 +a(g303 +g960 +tp8583 +a(g624 +g1666 +tp8584 +a(g8 +g1151 +tp8585 +a(g862 +V\u000a +p8586 +tp8587 +a(g252 +g1019 +tp8588 +a(g862 +V\u000a +p8589 +tp8590 +a(g132 +g1640 +tp8591 +a(g862 +V\u000a +p8592 +tp8593 +a(g8 +Vgetonline +p8594 +tp8595 +a(g624 +V=: +p8596 +tp8597 +a(g862 +g958 +tp8598 +a(g108 +V3 : 0 +p8599 +tp8600 +a(g862 +V\u000a +p8601 +tp8602 +a(g8 +VONLINE +p8603 +tp8604 +a(g624 +V=: +p8605 +tp8606 +a(g862 +g958 +tp8607 +a(g252 +g1371 +tp8608 +a(g624 +g2890 +tp8609 +a(g252 +g1340 +tp8610 +a(g862 +g958 +tp8611 +a(g252 +g1371 +tp8612 +a(g862 +g958 +tp8613 +a(g8 +Vwdquery +p8614 +tp8615 +a(g862 +g958 +tp8616 +a(g28 +g1009 +tp8617 +a(g862 +V\u000a +p8618 +tp8619 +a(g132 +g1640 +tp8620 +a(g862 +V\u000a +p8621 +tp8622 +a(g8 +Vgetserver +p8623 +tp8624 +a(g624 +V=: +p8625 +tp8626 +a(g862 +g958 +tp8627 +a(g108 +V3 : 0 +p8628 +tp8629 +a(g862 +V\u000a +p8630 +tp8631 +a(g303 +g960 +tp8632 +a(g303 +g1005 +tp8633 +a(g303 +g968 +tp8634 +a(g303 +g958 +tp8635 +a(g303 +g964 +tp8636 +a(g303 +g960 +tp8637 +a(g624 +V=. +p8638 +tp8639 +a(g862 +g958 +tp8640 +a(g8 +Vhttpgetr +p8641 +tp8642 +a(g862 +g958 +tp8643 +a(g647 +g2312 +tp8644 +a(g8 +VWWW +p8645 +tp8646 +a(g624 +g1069 +tp8647 +a(g303 +g960 +tp8648 +a(g303 +g1005 +tp8649 +a(g303 +g997 +tp8650 +a(g303 +g1354 +tp8651 +a(g303 +g1002 +tp8652 +a(g303 +g995 +tp8653 +a(g303 +g1002 +tp8654 +a(g303 +g1185 +tp8655 +a(g303 +g973 +tp8656 +a(g303 +g1668 +tp8657 +a(g303 +g1154 +tp8658 +a(g303 +g1989 +tp8659 +a(g303 +g1154 +tp8660 +a(g303 +g960 +tp8661 +a(g647 +g1640 +tp8662 +a(g624 +g1666 +tp8663 +a(g252 +g1371 +tp8664 +a(g862 +V\u000a +p8665 +tp8666 +a(g132 +Vif. +p8667 +tp8668 +a(g862 +g958 +tp8669 +a(g8 +Vrc +p8670 +tp8671 +a(g862 +g958 +tp8672 +a(g132 +Vdo. +p8673 +tp8674 +a(g862 +g958 +tp8675 +a(g252 +g1019 +tp8676 +a(g862 +g958 +tp8677 +a(g132 +Vreturn. +p8678 +tp8679 +a(g862 +g958 +tp8680 +a(g132 +Vend. +p8681 +tp8682 +a(g862 +V\u000a +p8683 +tp8684 +a(g8 +Vwrite_lastupdate +p8685 +tp8686 +a(g303 +g960 +tp8687 +a(g303 +g960 +tp8688 +a(g862 +V\u000a +p8689 +tp8690 +a(g8 +VWWWREV +p8691 +tp8692 +a(g624 +V=: +p8693 +tp8694 +a(g862 +g958 +tp8695 +a(g8 +Vfixrev +p8696 +tp8697 +a(g862 +g958 +tp8698 +a(g8 +g964 +tp8699 +a(g862 +V\u000a +p8700 +tp8701 +a(g132 +Vif. +p8702 +tp8703 +a(g862 +g958 +tp8704 +a(g8 +VWWWREV +p8705 +tp8706 +a(g862 +g958 +tp8707 +a(g624 +g2890 +tp8708 +a(g862 +g958 +tp8709 +a(g8 +VREV +p8710 +tp8711 +a(g862 +g958 +tp8712 +a(g132 +Vdo. +p8713 +tp8714 +a(g862 +g958 +tp8715 +a(g252 +g1860 +tp8716 +a(g862 +g958 +tp8717 +a(g132 +Vreturn. +p8718 +tp8719 +a(g862 +g958 +tp8720 +a(g132 +Vend. +p8721 +tp8722 +a(g862 +V\u000a +p8723 +tp8724 +a(g8 +Vrefreshweb +p8725 +tp8726 +a(g303 +g960 +tp8727 +a(g303 +g960 +tp8728 +a(g862 +V\u000a +p8729 +tp8730 +a(g132 +g1640 +tp8731 +a(g862 +V\u000a +p8732 +tp8733 +a(g8 +Vcheckonline +p8734 +tp8735 +a(g624 +V=: +p8736 +tp8737 +a(g862 +g958 +tp8738 +a(g108 +V3 : 0 +p8739 +tp8740 +a(g862 +V\u000a +p8741 +tp8742 +a(g132 +Vselect. +p8743 +tp8744 +a(g862 +g958 +tp8745 +a(g8 +VReadCatalog_j_ +p8746 +tp8747 +a(g862 +V\u000a +p8748 +tp8749 +a(g132 +Vcase. +p8750 +tp8751 +a(g862 +g958 +tp8752 +a(g252 +g1019 +tp8753 +a(g862 +g958 +tp8754 +a(g132 +Vdo. +p8755 +tp8756 +a(g862 +V\u000a +p8757 +tp8758 +a(g132 +Vif. +p8759 +tp8760 +a(g862 +g958 +tp8761 +a(g8 +VREV +p8762 +tp8763 +a(g862 +g958 +tp8764 +a(g624 +g2876 +tp8765 +a(g624 +g1060 +tp8766 +a(g862 +g958 +tp8767 +a(g252 +g1019 +tp8768 +a(g862 +g958 +tp8769 +a(g132 +Vdo. +p8770 +tp8771 +a(g862 +V\u000a +p8772 +tp8773 +a(g8 +VONLINE +p8774 +tp8775 +a(g624 +V=: +p8776 +tp8777 +a(g862 +g958 +tp8778 +a(g252 +g1019 +tp8779 +a(g862 +V\u000a +p8780 +tp8781 +a(g8 +Vlog +p8782 +tp8783 +a(g862 +g958 +tp8784 +a(g303 +g960 +tp8785 +a(g303 +g1800 +tp8786 +a(g303 +g995 +tp8787 +a(g303 +g1002 +tp8788 +a(g303 +g973 +tp8789 +a(g303 +g1094 +tp8790 +a(g303 +g958 +tp8791 +a(g303 +g1000 +tp8792 +a(g303 +g1185 +tp8793 +a(g303 +g968 +tp8794 +a(g303 +g966 +tp8795 +a(g303 +g1000 +tp8796 +a(g303 +g958 +tp8797 +a(g303 +g968 +tp8798 +a(g303 +g1185 +tp8799 +a(g303 +g964 +tp8800 +a(g303 +g1009 +tp8801 +a(g303 +g958 +tp8802 +a(g303 +g1185 +tp8803 +a(g303 +g1325 +tp8804 +a(g303 +g958 +tp8805 +a(g303 +g968 +tp8806 +a(g303 +g966 +tp8807 +a(g303 +g1154 +tp8808 +a(g303 +g966 +tp8809 +a(g303 +g1000 +tp8810 +a(g303 +g1185 +tp8811 +a(g303 +g1094 +tp8812 +a(g303 +g1668 +tp8813 +a(g303 +g958 +tp8814 +a(g303 +g1796 +tp8815 +a(g303 +g997 +tp8816 +a(g303 +g997 +tp8817 +a(g303 +g958 +tp8818 +a(g303 +g1087 +tp8819 +a(g303 +g1005 +tp8820 +a(g303 +g997 +tp8821 +a(g303 +g1325 +tp8822 +a(g303 +g997 +tp8823 +a(g303 +g1005 +tp8824 +a(g303 +g997 +tp8825 +a(g303 +g973 +tp8826 +a(g303 +g968 +tp8827 +a(g303 +g997 +tp8828 +a(g303 +g995 +tp8829 +a(g303 +g958 +tp8830 +a(g303 +g1154 +tp8831 +a(g303 +g1185 +tp8832 +a(g303 +g958 +tp8833 +a(g303 +g968 +tp8834 +a(g303 +g1205 +tp8835 +a(g303 +g966 +tp8836 +a(g303 +g973 +tp8837 +a(g303 +g1094 +tp8838 +a(g303 +g997 +tp8839 +a(g303 +g958 +tp8840 +a(g303 +g1154 +tp8841 +a(g303 +g1205 +tp8842 +a(g303 +g997 +tp8843 +a(g303 +g958 +tp8844 +a(g303 +g995 +tp8845 +a(g303 +g997 +tp8846 +a(g303 +g1154 +tp8847 +a(g303 +g1154 +tp8848 +a(g303 +g1002 +tp8849 +a(g303 +g973 +tp8850 +a(g303 +g1094 +tp8851 +a(g303 +g1668 +tp8852 +a(g303 +g960 +tp8853 +a(g862 +V\u000a +p8854 +tp8855 +a(g252 +g1860 +tp8856 +a(g862 +g958 +tp8857 +a(g132 +Vreturn. +p8858 +tp8859 +a(g862 +V\u000a +p8860 +tp8861 +a(g132 +Vend. +p8862 +tp8863 +a(g862 +V\u000a +p8864 +tp8865 +a(g132 +Vif. +p8866 +tp8867 +a(g862 +g958 +tp8868 +a(g252 +g1019 +tp8869 +a(g862 +g958 +tp8870 +a(g624 +g2890 +tp8871 +a(g862 +g958 +tp8872 +a(g8 +Vgetonline +p8873 +tp8874 +a(g862 +g958 +tp8875 +a(g303 +g960 +tp8876 +a(g303 +g2663 +tp8877 +a(g303 +g997 +tp8878 +a(g303 +g966 +tp8879 +a(g303 +g1151 +tp8880 +a(g303 +g958 +tp8881 +a(g303 +g2199 +tp8882 +a(g303 +g966 +tp8883 +a(g303 +g1154 +tp8884 +a(g303 +g966 +tp8885 +a(g303 +g1000 +tp8886 +a(g303 +g1185 +tp8887 +a(g303 +g1094 +tp8888 +a(g303 +g958 +tp8889 +a(g303 +g1325 +tp8890 +a(g303 +g1005 +tp8891 +a(g303 +g1185 +tp8892 +a(g303 +g970 +tp8893 +a(g303 +g958 +tp8894 +a(g303 +g1796 +tp8895 +a(g303 +g997 +tp8896 +a(g303 +g1005 +tp8897 +a(g303 +g1354 +tp8898 +a(g303 +g997 +tp8899 +a(g303 +g1005 +tp8900 +a(g303 +g960 +tp8901 +a(g624 +g1666 +tp8902 +a(g8 +VCHECKREADSVR +p8903 +tp8904 +a(g862 +g958 +tp8905 +a(g132 +Vdo. +p8906 +tp8907 +a(g862 +g958 +tp8908 +a(g252 +g1019 +tp8909 +a(g862 +g958 +tp8910 +a(g132 +Vreturn. +p8911 +tp8912 +a(g862 +g958 +tp8913 +a(g132 +Vend. +p8914 +tp8915 +a(g862 +V\u000a +p8916 +tp8917 +a(g132 +Vcase. +p8918 +tp8919 +a(g862 +g958 +tp8920 +a(g252 +g1860 +tp8921 +a(g862 +g958 +tp8922 +a(g132 +Vdo. +p8923 +tp8924 +a(g862 +V\u000a +p8925 +tp8926 +a(g8 +VONLINE +p8927 +tp8928 +a(g624 +V=: +p8929 +tp8930 +a(g862 +g958 +tp8931 +a(g252 +g1860 +tp8932 +a(g862 +V\u000a +p8933 +tp8934 +a(g132 +Vcase. +p8935 +tp8936 +a(g862 +g958 +tp8937 +a(g252 +g1371 +tp8938 +a(g862 +g958 +tp8939 +a(g132 +Vdo. +p8940 +tp8941 +a(g862 +V\u000a +p8942 +tp8943 +a(g132 +Vif. +p8944 +tp8945 +a(g862 +g958 +tp8946 +a(g8 +VREV +p8947 +tp8948 +a(g862 +g958 +tp8949 +a(g624 +g2876 +tp8950 +a(g624 +g1060 +tp8951 +a(g862 +g958 +tp8952 +a(g252 +g1019 +tp8953 +a(g862 +g958 +tp8954 +a(g132 +Vdo. +p8955 +tp8956 +a(g862 +V\u000a +p8957 +tp8958 +a(g132 +Vif. +p8959 +tp8960 +a(g862 +g958 +tp8961 +a(g252 +g1019 +tp8962 +a(g862 +g958 +tp8963 +a(g624 +g2890 +tp8964 +a(g862 +g958 +tp8965 +a(g8 +Vgetonline +p8966 +tp8967 +a(g862 +g958 +tp8968 +a(g303 +g960 +tp8969 +a(g303 +g2663 +tp8970 +a(g303 +g997 +tp8971 +a(g303 +g966 +tp8972 +a(g303 +g1151 +tp8973 +a(g303 +g958 +tp8974 +a(g303 +g2199 +tp8975 +a(g303 +g966 +tp8976 +a(g303 +g1154 +tp8977 +a(g303 +g966 +tp8978 +a(g303 +g1000 +tp8979 +a(g303 +g1185 +tp8980 +a(g303 +g1094 +tp8981 +a(g303 +g958 +tp8982 +a(g303 +g1325 +tp8983 +a(g303 +g1005 +tp8984 +a(g303 +g1185 +tp8985 +a(g303 +g970 +tp8986 +a(g303 +g958 +tp8987 +a(g303 +g1796 +tp8988 +a(g303 +g997 +tp8989 +a(g303 +g1005 +tp8990 +a(g303 +g1354 +tp8991 +a(g303 +g997 +tp8992 +a(g303 +g1005 +tp8993 +a(g303 +g960 +tp8994 +a(g624 +g1666 +tp8995 +a(g8 +VCHECKASK +p8996 +tp8997 +a(g862 +g958 +tp8998 +a(g132 +Vdo. +p8999 +tp9000 +a(g862 +V\u000a +p9001 +tp9002 +a(g8 +Vlog +p9003 +tp9004 +a(g862 +g958 +tp9005 +a(g303 +g960 +tp9006 +a(g303 +g1800 +tp9007 +a(g303 +g995 +tp9008 +a(g303 +g1002 +tp9009 +a(g303 +g973 +tp9010 +a(g303 +g1094 +tp9011 +a(g303 +g958 +tp9012 +a(g303 +g1000 +tp9013 +a(g303 +g1185 +tp9014 +a(g303 +g968 +tp9015 +a(g303 +g966 +tp9016 +a(g303 +g1000 +tp9017 +a(g303 +g958 +tp9018 +a(g303 +g968 +tp9019 +a(g303 +g1185 +tp9020 +a(g303 +g964 +tp9021 +a(g303 +g1009 +tp9022 +a(g303 +g958 +tp9023 +a(g303 +g1185 +tp9024 +a(g303 +g1325 +tp9025 +a(g303 +g958 +tp9026 +a(g303 +g968 +tp9027 +a(g303 +g966 +tp9028 +a(g303 +g1154 +tp9029 +a(g303 +g966 +tp9030 +a(g303 +g1000 +tp9031 +a(g303 +g1185 +tp9032 +a(g303 +g1094 +tp9033 +a(g303 +g1668 +tp9034 +a(g303 +g958 +tp9035 +a(g303 +g1796 +tp9036 +a(g303 +g997 +tp9037 +a(g303 +g997 +tp9038 +a(g303 +g958 +tp9039 +a(g303 +g1087 +tp9040 +a(g303 +g1005 +tp9041 +a(g303 +g997 +tp9042 +a(g303 +g1325 +tp9043 +a(g303 +g997 +tp9044 +a(g303 +g1005 +tp9045 +a(g303 +g997 +tp9046 +a(g303 +g973 +tp9047 +a(g303 +g968 +tp9048 +a(g303 +g997 +tp9049 +a(g303 +g995 +tp9050 +a(g303 +g958 +tp9051 +a(g303 +g1154 +tp9052 +a(g303 +g1185 +tp9053 +a(g303 +g958 +tp9054 +a(g303 +g968 +tp9055 +a(g303 +g1205 +tp9056 +a(g303 +g966 +tp9057 +a(g303 +g973 +tp9058 +a(g303 +g1094 +tp9059 +a(g303 +g997 +tp9060 +a(g303 +g958 +tp9061 +a(g303 +g1154 +tp9062 +a(g303 +g1205 +tp9063 +a(g303 +g997 +tp9064 +a(g303 +g958 +tp9065 +a(g303 +g995 +tp9066 +a(g303 +g997 +tp9067 +a(g303 +g1154 +tp9068 +a(g303 +g1154 +tp9069 +a(g303 +g1002 +tp9070 +a(g303 +g973 +tp9071 +a(g303 +g1094 +tp9072 +a(g303 +g1668 +tp9073 +a(g303 +g960 +tp9074 +a(g862 +V\u000a +p9075 +tp9076 +a(g252 +g1860 +tp9077 +a(g862 +g958 +tp9078 +a(g132 +Vreturn. +p9079 +tp9080 +a(g862 +V\u000a +p9081 +tp9082 +a(g132 +Vend. +p9083 +tp9084 +a(g862 +V\u000a +p9085 +tp9086 +a(g132 +Velse. +p9087 +tp9088 +a(g862 +V\u000a +p9089 +tp9090 +a(g132 +Vif. +p9091 +tp9092 +a(g862 +g958 +tp9093 +a(g252 +g1019 +tp9094 +a(g862 +g958 +tp9095 +a(g624 +g2890 +tp9096 +a(g862 +g958 +tp9097 +a(g8 +Vgetonline +p9098 +tp9099 +a(g862 +g958 +tp9100 +a(g303 +g960 +tp9101 +a(g303 +g1796 +tp9102 +a(g303 +g997 +tp9103 +a(g303 +g1154 +tp9104 +a(g303 +g1260 +tp9105 +a(g303 +g964 +tp9106 +a(g303 +g958 +tp9107 +a(g303 +g2663 +tp9108 +a(g303 +g997 +tp9109 +a(g303 +g964 +tp9110 +a(g303 +g1185 +tp9111 +a(g303 +g995 +tp9112 +a(g303 +g1002 +tp9113 +a(g303 +g1154 +tp9114 +a(g303 +g1185 +tp9115 +a(g303 +g1005 +tp9116 +a(g303 +g1009 +tp9117 +a(g303 +g960 +tp9118 +a(g624 +g1666 +tp9119 +a(g8 +VCHECKSTARTUP +p9120 +tp9121 +a(g862 +g958 +tp9122 +a(g132 +Vdo. +p9123 +tp9124 +a(g862 +g958 +tp9125 +a(g252 +g1019 +tp9126 +a(g862 +g958 +tp9127 +a(g132 +Vreturn. +p9128 +tp9129 +a(g862 +g958 +tp9130 +a(g132 +Vend. +p9131 +tp9132 +a(g862 +V\u000a +p9133 +tp9134 +a(g132 +Vend. +p9135 +tp9136 +a(g862 +V\u000a +p9137 +tp9138 +a(g132 +Vend. +p9139 +tp9140 +a(g862 +V\u000a +p9141 +tp9142 +a(g8 +Vlog +p9143 +tp9144 +a(g862 +g958 +tp9145 +a(g303 +g960 +tp9146 +a(g303 +g1800 +tp9147 +a(g303 +g964 +tp9148 +a(g303 +g1151 +tp9149 +a(g303 +g966 +tp9150 +a(g303 +g1154 +tp9151 +a(g303 +g1002 +tp9152 +a(g303 +g973 +tp9153 +a(g303 +g1094 +tp9154 +a(g303 +g958 +tp9155 +a(g303 +g995 +tp9156 +a(g303 +g997 +tp9157 +a(g303 +g1005 +tp9158 +a(g303 +g1354 +tp9159 +a(g303 +g997 +tp9160 +a(g303 +g1005 +tp9161 +a(g303 +g958 +tp9162 +a(g303 +g968 +tp9163 +a(g303 +g966 +tp9164 +a(g303 +g1154 +tp9165 +a(g303 +g966 +tp9166 +a(g303 +g1000 +tp9167 +a(g303 +g1185 +tp9168 +a(g303 +g1094 +tp9169 +a(g303 +g1668 +tp9170 +a(g303 +g1668 +tp9171 +a(g303 +g1668 +tp9172 +a(g303 +g960 +tp9173 +a(g862 +V\u000a +p9174 +tp9175 +a(g132 +Vif. +p9176 +tp9177 +a(g862 +g958 +tp9178 +a(g252 +g1019 +tp9179 +a(g862 +g958 +tp9180 +a(g624 +g2890 +tp9181 +a(g862 +g958 +tp9182 +a(g8 +Vgetserver +p9183 +tp9184 +a(g303 +g960 +tp9185 +a(g303 +g960 +tp9186 +a(g862 +g958 +tp9187 +a(g132 +Vdo. +p9188 +tp9189 +a(g862 +V\u000a +p9190 +tp9191 +a(g8 +VONLINE +p9192 +tp9193 +a(g624 +V=: +p9194 +tp9195 +a(g862 +g958 +tp9196 +a(g252 +g1019 +tp9197 +a(g862 +V\u000a +p9198 +tp9199 +a(g8 +Vlog +p9200 +tp9201 +a(g862 +g958 +tp9202 +a(g303 +g960 +tp9203 +a(g303 +g5408 +tp9204 +a(g303 +g1185 +tp9205 +a(g303 +g1005 +tp9206 +a(g303 +g1091 +tp9207 +a(g303 +g1002 +tp9208 +a(g303 +g973 +tp9209 +a(g303 +g1094 +tp9210 +a(g303 +g958 +tp9211 +a(g303 +g1185 +tp9212 +a(g303 +g1325 +tp9213 +a(g303 +g1325 +tp9214 +a(g303 +g1000 +tp9215 +a(g303 +g1002 +tp9216 +a(g303 +g973 +tp9217 +a(g303 +g997 +tp9218 +a(g303 +g958 +tp9219 +a(g303 +g1260 +tp9220 +a(g303 +g995 +tp9221 +a(g303 +g1002 +tp9222 +a(g303 +g973 +tp9223 +a(g303 +g1094 +tp9224 +a(g303 +g958 +tp9225 +a(g303 +g1000 +tp9226 +a(g303 +g1185 +tp9227 +a(g303 +g968 +tp9228 +a(g303 +g966 +tp9229 +a(g303 +g1000 +tp9230 +a(g303 +g958 +tp9231 +a(g303 +g968 +tp9232 +a(g303 +g1185 +tp9233 +a(g303 +g964 +tp9234 +a(g303 +g1009 +tp9235 +a(g303 +g958 +tp9236 +a(g303 +g1185 +tp9237 +a(g303 +g1325 +tp9238 +a(g303 +g958 +tp9239 +a(g303 +g968 +tp9240 +a(g303 +g966 +tp9241 +a(g303 +g1154 +tp9242 +a(g303 +g966 +tp9243 +a(g303 +g1000 +tp9244 +a(g303 +g1185 +tp9245 +a(g303 +g1094 +tp9246 +a(g303 +g1668 +tp9247 +a(g303 +g960 +tp9248 +a(g862 +V\u000a +p9249 +tp9250 +a(g132 +Velse. +p9251 +tp9252 +a(g862 +V\u000a +p9253 +tp9254 +a(g8 +Vlog +p9255 +tp9256 +a(g862 +g958 +tp9257 +a(g303 +g960 +tp9258 +a(g303 +g1744 +tp9259 +a(g303 +g1185 +tp9260 +a(g303 +g973 +tp9261 +a(g303 +g997 +tp9262 +a(g303 +g1668 +tp9263 +a(g303 +g960 +tp9264 +a(g862 +V\u000a +p9265 +tp9266 +a(g132 +Vend. +p9267 +tp9268 +a(g862 +V\u000a +p9269 +tp9270 +a(g252 +g1860 +tp9271 +a(g862 +V\u000a +p9272 +tp9273 +a(g132 +g1640 +tp9274 +a(g862 +V\u000a +p9275 +tp9276 +a(g8 +Vcheckstatus +p9277 +tp9278 +a(g624 +V=: +p9279 +tp9280 +a(g862 +g958 +tp9281 +a(g108 +V3 : 0 +p9282 +tp9283 +a(g862 +V\u000a +p9284 +tp9285 +a(g132 +Vif. +p9286 +tp9287 +a(g862 +g958 +tp9288 +a(g252 +g1019 +tp9289 +a(g862 +g958 +tp9290 +a(g8 +g997 +tp9291 +a(g624 +g1668 +tp9292 +a(g862 +g958 +tp9293 +a(g624 +g1855 +tp9294 +a(g8 +VLIBS +p9295 +tp9296 +a(g862 +g958 +tp9297 +a(g132 +Vdo. +p9298 +tp9299 +a(g862 +g958 +tp9300 +a(g303 +g960 +tp9301 +a(g303 +g960 +tp9302 +a(g862 +g958 +tp9303 +a(g132 +Vreturn. +p9304 +tp9305 +a(g862 +g958 +tp9306 +a(g132 +Vend. +p9307 +tp9308 +a(g862 +V\u000a +p9309 +tp9310 +a(g8 +Vmsk +p9311 +tp9312 +a(g624 +V=. +p9313 +tp9314 +a(g862 +g958 +tp9315 +a(g8 +Vmasklib +p9316 +tp9317 +a(g862 +g958 +tp9318 +a(g8 +VPKGDATA +p9319 +tp9320 +a(g862 +V\u000a +p9321 +tp9322 +a(g8 +Vups +p9323 +tp9324 +a(g624 +V=. +p9325 +tp9326 +a(g862 +g958 +tp9327 +a(g8 +Vpkgups +p9328 +tp9329 +a(g303 +g960 +tp9330 +a(g303 +g960 +tp9331 +a(g862 +V\u000a +p9332 +tp9333 +a(g8 +Vlibupm +p9334 +tp9335 +a(g624 +V=. +p9336 +tp9337 +a(g862 +g958 +tp9338 +a(g252 +g1860 +tp9339 +a(g862 +g958 +tp9340 +a(g8 +g997 +tp9341 +a(g624 +g1668 +tp9342 +a(g862 +g958 +tp9343 +a(g8 +Vmsk +p9344 +tp9345 +a(g862 +g958 +tp9346 +a(g624 +g2870 +tp9347 +a(g624 +g1668 +tp9348 +a(g862 +g958 +tp9349 +a(g8 +Vups +p9350 +tp9351 +a(g862 +V\u000a +p9352 +tp9353 +a(g8 +Vmsk +p9354 +tp9355 +a(g624 +V=. +p9356 +tp9357 +a(g862 +g958 +tp9358 +a(g624 +g1712 +tp9359 +a(g624 +g1668 +tp9360 +a(g862 +g958 +tp9361 +a(g8 +Vmsk +p9362 +tp9363 +a(g862 +V\u000a +p9364 +tp9365 +a(g8 +Vaddnim +p9366 +tp9367 +a(g624 +V=. +p9368 +tp9369 +a(g862 +g958 +tp9370 +a(g624 +g2879 +tp9371 +a(g624 +g1144 +tp9372 +a(g8 +Vmsk +p9373 +tp9374 +a(g862 +g958 +tp9375 +a(g624 +g2870 +tp9376 +a(g624 +g1668 +tp9377 +a(g862 +g958 +tp9378 +a(g8 +Vpkgnew +p9379 +tp9380 +a(g303 +g960 +tp9381 +a(g303 +g960 +tp9382 +a(g862 +V\u000a +p9383 +tp9384 +a(g8 +Vaddupm +p9385 +tp9386 +a(g624 +V=. +p9387 +tp9388 +a(g862 +g958 +tp9389 +a(g624 +g2879 +tp9390 +a(g624 +g1144 +tp9391 +a(g8 +Vmsk +p9392 +tp9393 +a(g862 +g958 +tp9394 +a(g624 +g2870 +tp9395 +a(g624 +g1668 +tp9396 +a(g862 +g958 +tp9397 +a(g8 +Vpkgups +p9398 +tp9399 +a(g303 +g960 +tp9400 +a(g303 +g960 +tp9401 +a(g862 +V\u000a +p9402 +tp9403 +a(g8 +Vtot +p9404 +tp9405 +a(g624 +V=. +p9406 +tp9407 +a(g862 +g958 +tp9408 +a(g624 +g2879 +tp9409 +a(g624 +g1144 +tp9410 +a(g8 +Vaddnim +p9411 +tp9412 +a(g624 +g1069 +tp9413 +a(g8 +Vaddupm +p9414 +tp9415 +a(g624 +g1069 +tp9416 +a(g8 +Vlibupm +p9417 +tp9418 +a(g862 +V\u000a +p9419 +tp9420 +a(g132 +Vif. +p9421 +tp9422 +a(g862 +g958 +tp9423 +a(g252 +g1019 +tp9424 +a(g862 +g958 +tp9425 +a(g624 +g2890 +tp9426 +a(g862 +g958 +tp9427 +a(g8 +Vtot +p9428 +tp9429 +a(g862 +g958 +tp9430 +a(g132 +Vdo. +p9431 +tp9432 +a(g862 +V\u000a +p9433 +tp9434 +a(g303 +g960 +tp9435 +a(g303 +g1074 +tp9436 +a(g303 +g1000 +tp9437 +a(g303 +g1000 +tp9438 +a(g303 +g958 +tp9439 +a(g303 +g966 +tp9440 +a(g303 +g1354 +tp9441 +a(g303 +g966 +tp9442 +a(g303 +g1002 +tp9443 +a(g303 +g1000 +tp9444 +a(g303 +g966 +tp9445 +a(g303 +g992 +tp9446 +a(g303 +g1000 +tp9447 +a(g303 +g997 +tp9448 +a(g303 +g958 +tp9449 +a(g303 +g964 +tp9450 +a(g303 +g966 +tp9451 +a(g303 +g968 +tp9452 +a(g303 +g1091 +tp9453 +a(g303 +g966 +tp9454 +a(g303 +g1094 +tp9455 +a(g303 +g997 +tp9456 +a(g303 +g995 +tp9457 +a(g303 +g958 +tp9458 +a(g303 +g966 +tp9459 +a(g303 +g1005 +tp9460 +a(g303 +g997 +tp9461 +a(g303 +g958 +tp9462 +a(g303 +g1002 +tp9463 +a(g303 +g973 +tp9464 +a(g303 +g995 +tp9465 +a(g303 +g1154 +tp9466 +a(g303 +g966 +tp9467 +a(g303 +g1000 +tp9468 +a(g303 +g1000 +tp9469 +a(g303 +g997 +tp9470 +a(g303 +g1151 +tp9471 +a(g303 +g958 +tp9472 +a(g303 +g966 +tp9473 +a(g303 +g973 +tp9474 +a(g303 +g1151 +tp9475 +a(g303 +g958 +tp9476 +a(g303 +g1260 +tp9477 +a(g303 +g964 +tp9478 +a(g303 +g958 +tp9479 +a(g303 +g1154 +tp9480 +a(g303 +g1185 +tp9481 +a(g303 +g958 +tp9482 +a(g303 +g1151 +tp9483 +a(g303 +g966 +tp9484 +a(g303 +g1154 +tp9485 +a(g303 +g997 +tp9486 +a(g303 +g1668 +tp9487 +a(g303 +g960 +tp9488 +a(g862 +g958 +tp9489 +a(g132 +Vreturn. +p9490 +tp9491 +a(g862 +V\u000a +p9492 +tp9493 +a(g132 +Vend. +p9494 +tp9495 +a(g862 +V\u000a +p9496 +tp9497 +a(g132 +Vselect. +p9498 +tp9499 +a(g862 +g958 +tp9500 +a(g252 +g1019 +tp9501 +a(g862 +g958 +tp9502 +a(g624 +g1071 +tp9503 +a(g862 +g958 +tp9504 +a(g8 +Vaddnim +p9505 +tp9506 +a(g624 +g1069 +tp9507 +a(g8 +Vaddupm +p9508 +tp9509 +a(g862 +V\u000a +p9510 +tp9511 +a(g132 +Vcase. +p9512 +tp9513 +a(g862 +g958 +tp9514 +a(g252 +g1019 +tp9515 +a(g862 +g958 +tp9516 +a(g252 +g1019 +tp9517 +a(g862 +g958 +tp9518 +a(g132 +Vdo. +p9519 +tp9520 +a(g862 +V\u000a +p9521 +tp9522 +a(g8 +Vmsg +p9523 +tp9524 +a(g624 +V=. +p9525 +tp9526 +a(g862 +g958 +tp9527 +a(g303 +g960 +tp9528 +a(g303 +g1074 +tp9529 +a(g303 +g1151 +tp9530 +a(g303 +g1151 +tp9531 +a(g303 +g1185 +tp9532 +a(g303 +g973 +tp9533 +a(g303 +g995 +tp9534 +a(g303 +g958 +tp9535 +a(g303 +g966 +tp9536 +a(g303 +g1005 +tp9537 +a(g303 +g997 +tp9538 +a(g303 +g958 +tp9539 +a(g303 +g1260 +tp9540 +a(g303 +g964 +tp9541 +a(g303 +g958 +tp9542 +a(g303 +g1154 +tp9543 +a(g303 +g1185 +tp9544 +a(g303 +g958 +tp9545 +a(g303 +g1151 +tp9546 +a(g303 +g966 +tp9547 +a(g303 +g1154 +tp9548 +a(g303 +g997 +tp9549 +a(g303 +g1668 +tp9550 +a(g303 +g960 +tp9551 +a(g862 +V\u000a +p9552 +tp9553 +a(g132 +Vcase. +p9554 +tp9555 +a(g862 +g958 +tp9556 +a(g252 +g1019 +tp9557 +a(g862 +g958 +tp9558 +a(g252 +g1860 +tp9559 +a(g862 +g958 +tp9560 +a(g132 +Vdo. +p9561 +tp9562 +a(g862 +V\u000a +p9563 +tp9564 +a(g8 +Vmsg +p9565 +tp9566 +a(g624 +V=. +p9567 +tp9568 +a(g862 +g958 +tp9569 +a(g303 +g960 +tp9570 +a(g303 +g1074 +tp9571 +a(g303 +g1000 +tp9572 +a(g303 +g1000 +tp9573 +a(g303 +g958 +tp9574 +a(g303 +g966 +tp9575 +a(g303 +g1151 +tp9576 +a(g303 +g1151 +tp9577 +a(g303 +g1185 +tp9578 +a(g303 +g973 +tp9579 +a(g303 +g995 +tp9580 +a(g303 +g958 +tp9581 +a(g303 +g966 +tp9582 +a(g303 +g1005 +tp9583 +a(g303 +g997 +tp9584 +a(g303 +g958 +tp9585 +a(g303 +g1002 +tp9586 +a(g303 +g973 +tp9587 +a(g303 +g995 +tp9588 +a(g303 +g1154 +tp9589 +a(g303 +g966 +tp9590 +a(g303 +g1000 +tp9591 +a(g303 +g1000 +tp9592 +a(g303 +g997 +tp9593 +a(g303 +g1151 +tp9594 +a(g303 +g1069 +tp9595 +a(g303 +g958 +tp9596 +a(g303 +g960 +tp9597 +a(g624 +g1069 +tp9598 +a(g647 +g2312 +tp9599 +a(g624 +g2003 +tp9600 +a(g624 +g1060 +tp9601 +a(g8 +Vaddupm +p9602 +tp9603 +a(g647 +g1640 +tp9604 +a(g624 +g1069 +tp9605 +a(g862 +g958 +tp9606 +a(g303 +g960 +tp9607 +a(g303 +g958 +tp9608 +a(g303 +g968 +tp9609 +a(g303 +g966 +tp9610 +a(g303 +g973 +tp9611 +a(g303 +g958 +tp9612 +a(g303 +g992 +tp9613 +a(g303 +g997 +tp9614 +a(g303 +g958 +tp9615 +a(g303 +g1260 +tp9616 +a(g303 +g964 +tp9617 +a(g303 +g1094 +tp9618 +a(g303 +g1005 +tp9619 +a(g303 +g966 +tp9620 +a(g303 +g1151 +tp9621 +a(g303 +g997 +tp9622 +a(g303 +g1151 +tp9623 +a(g303 +g1668 +tp9624 +a(g303 +g960 +tp9625 +a(g862 +V\u000a +p9626 +tp9627 +a(g132 +Vcase. +p9628 +tp9629 +a(g862 +g958 +tp9630 +a(g252 +g1860 +tp9631 +a(g862 +g958 +tp9632 +a(g252 +g1019 +tp9633 +a(g862 +g958 +tp9634 +a(g132 +Vdo. +p9635 +tp9636 +a(g862 +V\u000a +p9637 +tp9638 +a(g132 +Vif. +p9639 +tp9640 +a(g862 +g958 +tp9641 +a(g8 +Vaddnim +p9642 +tp9643 +a(g862 +g958 +tp9644 +a(g624 +g2890 +tp9645 +a(g862 +g958 +tp9646 +a(g624 +g1071 +tp9647 +a(g624 +g1060 +tp9648 +a(g624 +g1855 +tp9649 +a(g8 +VPKGDATA +p9650 +tp9651 +a(g862 +g958 +tp9652 +a(g132 +Vdo. +p9653 +tp9654 +a(g862 +V\u000a +p9655 +tp9656 +a(g8 +Vmsg +p9657 +tp9658 +a(g624 +V=. +p9659 +tp9660 +a(g862 +g958 +tp9661 +a(g303 +g960 +tp9662 +a(g303 +g6755 +tp9663 +a(g303 +g1185 +tp9664 +a(g303 +g958 +tp9665 +a(g303 +g966 +tp9666 +a(g303 +g1151 +tp9667 +a(g303 +g1151 +tp9668 +a(g303 +g1185 +tp9669 +a(g303 +g973 +tp9670 +a(g303 +g995 +tp9671 +a(g303 +g958 +tp9672 +a(g303 +g966 +tp9673 +a(g303 +g1005 +tp9674 +a(g303 +g997 +tp9675 +a(g303 +g958 +tp9676 +a(g303 +g1002 +tp9677 +a(g303 +g973 +tp9678 +a(g303 +g995 +tp9679 +a(g303 +g1154 +tp9680 +a(g303 +g966 +tp9681 +a(g303 +g1000 +tp9682 +a(g303 +g1000 +tp9683 +a(g303 +g997 +tp9684 +a(g303 +g1151 +tp9685 +a(g303 +g1668 +tp9686 +a(g303 +g960 +tp9687 +a(g862 +V\u000a +p9688 +tp9689 +a(g132 +Velse. +p9690 +tp9691 +a(g862 +V\u000a +p9692 +tp9693 +a(g8 +g962 +tp9694 +a(g624 +V=. +p9695 +tp9696 +a(g862 +g958 +tp9697 +a(g303 +g960 +tp9698 +a(g303 +g958 +tp9699 +a(g303 +g966 +tp9700 +a(g303 +g1151 +tp9701 +a(g303 +g1151 +tp9702 +a(g303 +g1185 +tp9703 +a(g303 +g973 +tp9704 +a(g303 +g960 +tp9705 +a(g624 +g1069 +tp9706 +a(g647 +g2312 +tp9707 +a(g303 +g960 +tp9708 +a(g303 +g995 +tp9709 +a(g303 +g960 +tp9710 +a(g624 +g1855 +tp9711 +a(g624 +g1857 +tp9712 +a(g252 +g1860 +tp9713 +a(g624 +g1071 +tp9714 +a(g8 +Vaddnim +p9715 +tp9716 +a(g647 +g1640 +tp9717 +a(g624 +g1069 +tp9718 +a(g303 +g960 +tp9719 +a(g303 +g958 +tp9720 +a(g303 +g966 +tp9721 +a(g303 +g1005 +tp9722 +a(g303 +g997 +tp9723 +a(g303 +g958 +tp9724 +a(g303 +g973 +tp9725 +a(g303 +g1185 +tp9726 +a(g303 +g1154 +tp9727 +a(g303 +g958 +tp9728 +a(g303 +g1009 +tp9729 +a(g303 +g997 +tp9730 +a(g303 +g1154 +tp9731 +a(g303 +g958 +tp9732 +a(g303 +g1002 +tp9733 +a(g303 +g973 +tp9734 +a(g303 +g995 +tp9735 +a(g303 +g1154 +tp9736 +a(g303 +g966 +tp9737 +a(g303 +g1000 +tp9738 +a(g303 +g1000 +tp9739 +a(g303 +g997 +tp9740 +a(g303 +g1151 +tp9741 +a(g303 +g1668 +tp9742 +a(g303 +g960 +tp9743 +a(g862 +V\u000a +p9744 +tp9745 +a(g8 +Vmsg +p9746 +tp9747 +a(g624 +V=. +p9748 +tp9749 +a(g862 +g958 +tp9750 +a(g303 +g960 +tp9751 +a(g303 +g3090 +tp9752 +a(g303 +g973 +tp9753 +a(g303 +g995 +tp9754 +a(g303 +g1154 +tp9755 +a(g303 +g966 +tp9756 +a(g303 +g1000 +tp9757 +a(g303 +g1000 +tp9758 +a(g303 +g997 +tp9759 +a(g303 +g1151 +tp9760 +a(g303 +g958 +tp9761 +a(g303 +g966 +tp9762 +a(g303 +g1151 +tp9763 +a(g303 +g1151 +tp9764 +a(g303 +g1185 +tp9765 +a(g303 +g973 +tp9766 +a(g303 +g995 +tp9767 +a(g303 +g958 +tp9768 +a(g303 +g966 +tp9769 +a(g303 +g1005 +tp9770 +a(g303 +g997 +tp9771 +a(g303 +g958 +tp9772 +a(g303 +g1260 +tp9773 +a(g303 +g964 +tp9774 +a(g303 +g958 +tp9775 +a(g303 +g1154 +tp9776 +a(g303 +g1185 +tp9777 +a(g303 +g958 +tp9778 +a(g303 +g1151 +tp9779 +a(g303 +g966 +tp9780 +a(g303 +g1154 +tp9781 +a(g303 +g997 +tp9782 +a(g303 +g1069 +tp9783 +a(g303 +g958 +tp9784 +a(g303 +g960 +tp9785 +a(g624 +g1069 +tp9786 +a(g647 +g2312 +tp9787 +a(g624 +g2003 +tp9788 +a(g624 +g1060 +tp9789 +a(g8 +Vaddnim +p9790 +tp9791 +a(g647 +g1640 +tp9792 +a(g624 +g1069 +tp9793 +a(g8 +g962 +tp9794 +a(g862 +V\u000a +p9795 +tp9796 +a(g132 +Vend. +p9797 +tp9798 +a(g862 +V\u000a +p9799 +tp9800 +a(g132 +Vcase. +p9801 +tp9802 +a(g862 +g958 +tp9803 +a(g252 +g1860 +tp9804 +a(g862 +g958 +tp9805 +a(g252 +g1860 +tp9806 +a(g862 +g958 +tp9807 +a(g132 +Vdo. +p9808 +tp9809 +a(g862 +V\u000a +p9810 +tp9811 +a(g8 +g962 +tp9812 +a(g624 +V=. +p9813 +tp9814 +a(g862 +g958 +tp9815 +a(g647 +g2312 +tp9816 +a(g624 +g2003 +tp9817 +a(g624 +g1060 +tp9818 +a(g8 +Vaddupm +p9819 +tp9820 +a(g647 +g1640 +tp9821 +a(g624 +g1069 +tp9822 +a(g303 +g960 +tp9823 +a(g303 +g958 +tp9824 +a(g303 +g966 +tp9825 +a(g303 +g1151 +tp9826 +a(g303 +g1151 +tp9827 +a(g303 +g1185 +tp9828 +a(g303 +g973 +tp9829 +a(g303 +g960 +tp9830 +a(g624 +g1069 +tp9831 +a(g647 +g2312 +tp9832 +a(g303 +g960 +tp9833 +a(g303 +g995 +tp9834 +a(g303 +g960 +tp9835 +a(g624 +g1855 +tp9836 +a(g624 +g1857 +tp9837 +a(g252 +g1860 +tp9838 +a(g624 +g1071 +tp9839 +a(g8 +Vaddupm +p9840 +tp9841 +a(g647 +g1640 +tp9842 +a(g624 +g1069 +tp9843 +a(g303 +g960 +tp9844 +a(g303 +g958 +tp9845 +a(g303 +g968 +tp9846 +a(g303 +g966 +tp9847 +a(g303 +g973 +tp9848 +a(g303 +g958 +tp9849 +a(g303 +g992 +tp9850 +a(g303 +g997 +tp9851 +a(g303 +g958 +tp9852 +a(g303 +g1260 +tp9853 +a(g303 +g964 +tp9854 +a(g303 +g1094 +tp9855 +a(g303 +g1005 +tp9856 +a(g303 +g966 +tp9857 +a(g303 +g1151 +tp9858 +a(g303 +g997 +tp9859 +a(g303 +g1151 +tp9860 +a(g303 +g1069 +tp9861 +a(g303 +g958 +tp9862 +a(g303 +g960 +tp9863 +a(g862 +V\u000a +p9864 +tp9865 +a(g8 +Vmsg +p9866 +tp9867 +a(g624 +V=. +p9868 +tp9869 +a(g862 +g958 +tp9870 +a(g8 +g962 +tp9871 +a(g624 +g1069 +tp9872 +a(g647 +g2312 +tp9873 +a(g624 +g2003 +tp9874 +a(g624 +g1060 +tp9875 +a(g8 +Vaddnim +p9876 +tp9877 +a(g647 +g1640 +tp9878 +a(g624 +g1069 +tp9879 +a(g862 +g958 +tp9880 +a(g303 +g960 +tp9881 +a(g303 +g958 +tp9882 +a(g303 +g966 +tp9883 +a(g303 +g1151 +tp9884 +a(g303 +g1151 +tp9885 +a(g303 +g1185 +tp9886 +a(g303 +g973 +tp9887 +a(g303 +g960 +tp9888 +a(g624 +g1069 +tp9889 +a(g647 +g2312 +tp9890 +a(g303 +g960 +tp9891 +a(g303 +g995 +tp9892 +a(g303 +g960 +tp9893 +a(g624 +g1855 +tp9894 +a(g624 +g1857 +tp9895 +a(g252 +g1860 +tp9896 +a(g624 +g1071 +tp9897 +a(g8 +Vaddnim +p9898 +tp9899 +a(g647 +g1640 +tp9900 +a(g624 +g1069 +tp9901 +a(g303 +g960 +tp9902 +a(g303 +g958 +tp9903 +a(g303 +g966 +tp9904 +a(g303 +g1005 +tp9905 +a(g303 +g997 +tp9906 +a(g303 +g958 +tp9907 +a(g303 +g973 +tp9908 +a(g303 +g1185 +tp9909 +a(g303 +g1154 +tp9910 +a(g303 +g958 +tp9911 +a(g303 +g1009 +tp9912 +a(g303 +g997 +tp9913 +a(g303 +g1154 +tp9914 +a(g303 +g958 +tp9915 +a(g303 +g1002 +tp9916 +a(g303 +g973 +tp9917 +a(g303 +g995 +tp9918 +a(g303 +g1154 +tp9919 +a(g303 +g966 +tp9920 +a(g303 +g1000 +tp9921 +a(g303 +g1000 +tp9922 +a(g303 +g997 +tp9923 +a(g303 +g1151 +tp9924 +a(g303 +g1668 +tp9925 +a(g303 +g960 +tp9926 +a(g862 +V\u000a +p9927 +tp9928 +a(g132 +Vend. +p9929 +tp9930 +a(g862 +V\u000a +p9931 +tp9932 +a(g132 +Vif. +p9933 +tp9934 +a(g862 +g958 +tp9935 +a(g252 +g1019 +tp9936 +a(g862 +g958 +tp9937 +a(g624 +g2890 +tp9938 +a(g862 +g958 +tp9939 +a(g8 +Vlibupm +p9940 +tp9941 +a(g862 +g958 +tp9942 +a(g132 +Vdo. +p9943 +tp9944 +a(g862 +V\u000a +p9945 +tp9946 +a(g8 +Vmsg +p9947 +tp9948 +a(g624 +g1069 +tp9949 +a(g8 +VLF +p9950 +tp9951 +a(g624 +g1069 +tp9952 +a(g303 +g960 +tp9953 +a(g303 +g2129 +tp9954 +a(g303 +g1205 +tp9955 +a(g303 +g997 +tp9956 +a(g303 +g958 +tp9957 +a(g303 +g992 +tp9958 +a(g303 +g966 +tp9959 +a(g303 +g995 +tp9960 +a(g303 +g997 +tp9961 +a(g303 +g958 +tp9962 +a(g303 +g1000 +tp9963 +a(g303 +g1002 +tp9964 +a(g303 +g992 +tp9965 +a(g303 +g1005 +tp9966 +a(g303 +g966 +tp9967 +a(g303 +g1005 +tp9968 +a(g303 +g1009 +tp9969 +a(g303 +g958 +tp9970 +a(g303 +g1002 +tp9971 +a(g303 +g995 +tp9972 +a(g303 +g958 +tp9973 +a(g303 +g1260 +tp9974 +a(g303 +g964 +tp9975 +a(g303 +g958 +tp9976 +a(g303 +g1154 +tp9977 +a(g303 +g1185 +tp9978 +a(g303 +g958 +tp9979 +a(g303 +g1151 +tp9980 +a(g303 +g966 +tp9981 +a(g303 +g1154 +tp9982 +a(g303 +g997 +tp9983 +a(g303 +g1668 +tp9984 +a(g303 +g960 +tp9985 +a(g862 +V\u000a +p9986 +tp9987 +a(g132 +Velse. +p9988 +tp9989 +a(g862 +V\u000a +p9990 +tp9991 +a(g8 +Vmsg +p9992 +tp9993 +a(g624 +g1069 +tp9994 +a(g8 +VLF +p9995 +tp9996 +a(g624 +g1069 +tp9997 +a(g303 +g960 +tp9998 +a(g303 +g2129 +tp9999 +a(g303 +g1205 +tp10000 +a(g303 +g997 +tp10001 +a(g303 +g1005 +tp10002 +a(g303 +g997 +tp10003 +a(g303 +g958 +tp10004 +a(g303 +g1002 +tp10005 +a(g303 +g995 +tp10006 +a(g303 +g958 +tp10007 +a(g303 +g966 +tp10008 +a(g303 +g958 +tp10009 +a(g303 +g973 +tp10010 +a(g303 +g997 +tp10011 +a(g303 +g1215 +tp10012 +a(g303 +g997 +tp10013 +a(g303 +g1005 +tp10014 +a(g303 +g958 +tp10015 +a(g303 +g1354 +tp10016 +a(g303 +g997 +tp10017 +a(g303 +g1005 +tp10018 +a(g303 +g995 +tp10019 +a(g303 +g1002 +tp10020 +a(g303 +g1185 +tp10021 +a(g303 +g973 +tp10022 +a(g303 +g958 +tp10023 +a(g303 +g1185 +tp10024 +a(g303 +g1325 +tp10025 +a(g303 +g958 +tp10026 +a(g303 +g1154 +tp10027 +a(g303 +g1205 +tp10028 +a(g303 +g997 +tp10029 +a(g303 +g958 +tp10030 +a(g303 +g992 +tp10031 +a(g303 +g966 +tp10032 +a(g303 +g995 +tp10033 +a(g303 +g997 +tp10034 +a(g303 +g958 +tp10035 +a(g303 +g1000 +tp10036 +a(g303 +g1002 +tp10037 +a(g303 +g992 +tp10038 +a(g303 +g1005 +tp10039 +a(g303 +g966 +tp10040 +a(g303 +g1005 +tp10041 +a(g303 +g1009 +tp10042 +a(g303 +g1668 +tp10043 +a(g303 +g960 +tp10044 +a(g862 +V\u000a +p10045 +tp10046 +a(g132 +Vend. +p10047 +tp10048 +a(g862 +V\u000a +p10049 +tp10050 +a(g132 +g1640 +tp10051 +a(g862 +V\u000a +p10052 +tp10053 +a(g8 +Vwrite_lastupdate +p10054 +tp10055 +a(g624 +V=: +p10056 +tp10057 +a(g862 +g958 +tp10058 +a(g108 +V3 : 0 +p10059 +tp10060 +a(g862 +V\u000a +p10061 +tp10062 +a(g8 +Vtxt +p10063 +tp10064 +a(g624 +V=. +p10065 +tp10066 +a(g862 +g958 +tp10067 +a(g624 +g2003 +tp10068 +a(g624 +g1060 +tp10069 +a(g862 +g958 +tp10070 +a(g252 +g4183 +tp10071 +a(g624 +g2329 +tp10072 +a(g624 +g1060 +tp10073 +a(g252 +g1019 +tp10074 +a(g862 +g958 +tp10075 +a(g303 +g960 +tp10076 +a(g303 +g960 +tp10077 +a(g862 +V\u000a +p10078 +tp10079 +a(g8 +Vtxt +p10080 +tp10081 +a(g862 +g958 +tp10082 +a(g8 +Vfwrites +p10083 +tp10084 +a(g862 +g958 +tp10085 +a(g8 +VADDCFG +p10086 +tp10087 +a(g624 +g1069 +tp10088 +a(g303 +g960 +tp10089 +a(g303 +g1000 +tp10090 +a(g303 +g966 +tp10091 +a(g303 +g995 +tp10092 +a(g303 +g1154 +tp10093 +a(g303 +g1260 +tp10094 +a(g303 +g964 +tp10095 +a(g303 +g1151 +tp10096 +a(g303 +g966 +tp10097 +a(g303 +g1154 +tp10098 +a(g303 +g997 +tp10099 +a(g303 +g1668 +tp10100 +a(g303 +g1154 +tp10101 +a(g303 +g1989 +tp10102 +a(g303 +g1154 +tp10103 +a(g303 +g960 +tp10104 +a(g862 +V\u000a +p10105 +tp10106 +a(g132 +g1640 +tp10107 +a(g862 +V\u000a +p10108 +tp10109 +a(g8 +Vchecklastupdate +p10110 +tp10111 +a(g624 +V=: +p10112 +tp10113 +a(g862 +g958 +tp10114 +a(g108 +V3 : 0 +p10115 +tp10116 +a(g862 +V\u000a +p10117 +tp10118 +a(g132 +Vif. +p10119 +tp10120 +a(g862 +g958 +tp10121 +a(g252 +V_1 +p10122 +tp10123 +a(g862 +g958 +tp10124 +a(g624 +g1712 +tp10125 +a(g624 +g1060 +tp10126 +a(g862 +g958 +tp10127 +a(g8 +VLASTUPD +p10128 +tp10129 +a(g862 +g958 +tp10130 +a(g132 +Vdo. +p10131 +tp10132 +a(g862 +V\u000a +p10133 +tp10134 +a(g8 +Vres +p10135 +tp10136 +a(g624 +V=. +p10137 +tp10138 +a(g862 +g958 +tp10139 +a(g303 +g960 +tp10140 +a(g303 +g1205 +tp10141 +a(g303 +g966 +tp10142 +a(g303 +g995 +tp10143 +a(g303 +g958 +tp10144 +a(g303 +g973 +tp10145 +a(g303 +g997 +tp10146 +a(g303 +g1354 +tp10147 +a(g303 +g997 +tp10148 +a(g303 +g1005 +tp10149 +a(g303 +g958 +tp10150 +a(g303 +g992 +tp10151 +a(g303 +g997 +tp10152 +a(g303 +g997 +tp10153 +a(g303 +g973 +tp10154 +a(g303 +g958 +tp10155 +a(g303 +g1260 +tp10156 +a(g303 +g964 +tp10157 +a(g303 +g1151 +tp10158 +a(g303 +g966 +tp10159 +a(g303 +g1154 +tp10160 +a(g303 +g997 +tp10161 +a(g303 +g1151 +tp10162 +a(g303 +g1668 +tp10163 +a(g303 +g960 +tp10164 +a(g862 +V\u000a +p10165 +tp10166 +a(g132 +Velse. +p10167 +tp10168 +a(g862 +V\u000a +p10169 +tp10170 +a(g8 +Vres +p10171 +tp10172 +a(g624 +V=. +p10173 +tp10174 +a(g862 +g958 +tp10175 +a(g303 +g960 +tp10176 +a(g303 +g1215 +tp10177 +a(g303 +g966 +tp10178 +a(g303 +g995 +tp10179 +a(g303 +g958 +tp10180 +a(g303 +g1000 +tp10181 +a(g303 +g966 +tp10182 +a(g303 +g995 +tp10183 +a(g303 +g1154 +tp10184 +a(g303 +g958 +tp10185 +a(g303 +g1260 +tp10186 +a(g303 +g964 +tp10187 +a(g303 +g1151 +tp10188 +a(g303 +g966 +tp10189 +a(g303 +g1154 +tp10190 +a(g303 +g997 +tp10191 +a(g303 +g1151 +tp10192 +a(g303 +g1060 +tp10193 +a(g303 +g958 +tp10194 +a(g303 +g960 +tp10195 +a(g624 +g1069 +tp10196 +a(g8 +Vtimestamp +p10197 +tp10198 +a(g862 +g958 +tp10199 +a(g8 +VLASTUPD +p10200 +tp10201 +a(g862 +V\u000a +p10202 +tp10203 +a(g132 +Vend. +p10204 +tp10205 +a(g862 +V\u000a +p10206 +tp10207 +a(g303 +g960 +tp10208 +a(g303 +g1786 +tp10209 +a(g303 +g1185 +tp10210 +a(g303 +g968 +tp10211 +a(g303 +g966 +tp10212 +a(g303 +g1000 +tp10213 +a(g303 +g958 +tp10214 +a(g303 +g2649 +tp10215 +a(g303 +g1074 +tp10216 +a(g303 +g1786 +tp10217 +a(g303 +g958 +tp10218 +a(g303 +g1002 +tp10219 +a(g303 +g973 +tp10220 +a(g303 +g1325 +tp10221 +a(g303 +g1185 +tp10222 +a(g303 +g1005 +tp10223 +a(g303 +g970 +tp10224 +a(g303 +g966 +tp10225 +a(g303 +g1154 +tp10226 +a(g303 +g1002 +tp10227 +a(g303 +g1185 +tp10228 +a(g303 +g973 +tp10229 +a(g303 +g958 +tp10230 +a(g303 +g960 +tp10231 +a(g624 +g1069 +tp10232 +a(g8 +Vres +p10233 +tp10234 +a(g862 +V\u000a +p10235 +tp10236 +a(g132 +g1640 +tp10237 +a(g862 +V\u000a +p10238 +tp10239 +a(g8 +Vgetdepend +p10240 +tp10241 +a(g624 +V=: +p10242 +tp10243 +a(g862 +g958 +tp10244 +a(g108 +V3 : 0 +p10245 +tp10246 +a(g862 +V\u000a +p10247 +tp10248 +a(g132 +Vif. +p10249 +tp10250 +a(g862 +g958 +tp10251 +a(g252 +g1019 +tp10252 +a(g862 +g958 +tp10253 +a(g624 +g2890 +tp10254 +a(g862 +g958 +tp10255 +a(g624 +g1855 +tp10256 +a(g28 +g1009 +tp10257 +a(g862 +g958 +tp10258 +a(g132 +Vdo. +p10259 +tp10260 +a(g862 +g958 +tp10261 +a(g28 +g1009 +tp10262 +a(g862 +g958 +tp10263 +a(g132 +Vreturn. +p10264 +tp10265 +a(g862 +g958 +tp10266 +a(g132 +Vend. +p10267 +tp10268 +a(g862 +V\u000a +p10269 +tp10270 +a(g8 +Vdep +p10271 +tp10272 +a(g624 +V=. +p10273 +tp10274 +a(g862 +g958 +tp10275 +a(g8 +Vgetdepend_console +p10276 +tp10277 +a(g862 +g958 +tp10278 +a(g252 +g1860 +tp10279 +a(g624 +g2314 +tp10280 +a(g624 +g2003 +tp10281 +a(g252 +g1860 +tp10282 +a(g862 +g958 +tp10283 +a(g28 +g1009 +tp10284 +a(g862 +V\u000a +p10285 +tp10286 +a(g8 +VPKGDATA +p10287 +tp10288 +a(g862 +g958 +tp10289 +a(g624 +g1855 +tp10290 +a(g624 +g1857 +tp10291 +a(g862 +g958 +tp10292 +a(g647 +g2312 +tp10293 +a(g252 +g1860 +tp10294 +a(g624 +g2314 +tp10295 +a(g624 +g2003 +tp10296 +a(g252 +g1860 +tp10297 +a(g862 +g958 +tp10298 +a(g8 +VPKGDATA +p10299 +tp10300 +a(g647 +g1640 +tp10301 +a(g862 +g958 +tp10302 +a(g8 +g997 +tp10303 +a(g624 +g1668 +tp10304 +a(g862 +g958 +tp10305 +a(g8 +Vdep +p10306 +tp10307 +a(g862 +V\u000a +p10308 +tp10309 +a(g132 +g1640 +tp10310 +a(g862 +V\u000a +p10311 +tp10312 +a(g8 +Vgetdepend_console +p10313 +tp10314 +a(g624 +V=: +p10315 +tp10316 +a(g862 +g958 +tp10317 +a(g108 +V3 : 0 +p10318 +tp10319 +a(g862 +V\u000a +p10320 +tp10321 +a(g132 +Vif. +p10322 +tp10323 +a(g862 +g958 +tp10324 +a(g252 +g1019 +tp10325 +a(g862 +g958 +tp10326 +a(g624 +g2890 +tp10327 +a(g862 +g958 +tp10328 +a(g624 +g1855 +tp10329 +a(g28 +g1009 +tp10330 +a(g862 +g958 +tp10331 +a(g132 +Vdo. +p10332 +tp10333 +a(g862 +g958 +tp10334 +a(g28 +g1009 +tp10335 +a(g862 +g958 +tp10336 +a(g132 +Vreturn. +p10337 +tp10338 +a(g862 +g958 +tp10339 +a(g132 +Vend. +p10340 +tp10341 +a(g862 +V\u000a +p10342 +tp10343 +a(g8 +Vold +p10344 +tp10345 +a(g624 +V=. +p10346 +tp10347 +a(g862 +g958 +tp10348 +a(g303 +g960 +tp10349 +a(g303 +g960 +tp10350 +a(g862 +V\u000a +p10351 +tp10352 +a(g8 +Vids +p10353 +tp10354 +a(g624 +V=. +p10355 +tp10356 +a(g862 +g958 +tp10357 +a(g252 +g1860 +tp10358 +a(g624 +g2314 +tp10359 +a(g624 +g2003 +tp10360 +a(g252 +g1860 +tp10361 +a(g862 +g958 +tp10362 +a(g8 +VPKGDATA +p10363 +tp10364 +a(g862 +V\u000a +p10365 +tp10366 +a(g8 +Vdep +p10367 +tp10368 +a(g624 +V=. +p10369 +tp10370 +a(g862 +g958 +tp10371 +a(g252 +g4183 +tp10372 +a(g624 +g2314 +tp10373 +a(g624 +g2003 +tp10374 +a(g252 +g1860 +tp10375 +a(g862 +g958 +tp10376 +a(g8 +VPKGDATA +p10377 +tp10378 +a(g862 +V\u000a +p10379 +tp10380 +a(g8 +Vres +p10381 +tp10382 +a(g624 +V=. +p10383 +tp10384 +a(g862 +g958 +tp10385 +a(g624 +g1857 +tp10386 +a(g624 +g1668 +tp10387 +a(g862 +g958 +tp10388 +a(g624 +g1071 +tp10389 +a(g624 +g1666 +tp10390 +a(g624 +g1668 +tp10391 +a(g252 +V_1 +p10392 +tp10393 +a(g862 +g958 +tp10394 +a(g624 +g1666 +tp10395 +a(g862 +g958 +tp10396 +a(g303 +g960 +tp10397 +a(g303 +g1069 +tp10398 +a(g303 +g960 +tp10399 +a(g862 +g958 +tp10400 +a(g624 +g1069 +tp10401 +a(g8 +Veach +p10402 +tp10403 +a(g862 +g958 +tp10404 +a(g647 +g2312 +tp10405 +a(g8 +Vids +p10406 +tp10407 +a(g862 +g958 +tp10408 +a(g8 +g997 +tp10409 +a(g624 +g1668 +tp10410 +a(g862 +g958 +tp10411 +a(g28 +g1009 +tp10412 +a(g647 +g1640 +tp10413 +a(g862 +g958 +tp10414 +a(g624 +g1855 +tp10415 +a(g862 +g958 +tp10416 +a(g8 +Vdep +p10417 +tp10418 +a(g862 +V\u000a +p10419 +tp10420 +a(g132 +Vwhilst. +p10421 +tp10422 +a(g862 +g958 +tp10423 +a(g624 +g1712 +tp10424 +a(g624 +g1668 +tp10425 +a(g862 +g958 +tp10426 +a(g8 +Vres +p10427 +tp10428 +a(g624 +g1712 +tp10429 +a(g624 +g1060 +tp10430 +a(g8 +Vold +p10431 +tp10432 +a(g862 +g958 +tp10433 +a(g132 +Vdo. +p10434 +tp10435 +a(g862 +V\u000a +p10436 +tp10437 +a(g8 +Vold +p10438 +tp10439 +a(g624 +V=. +p10440 +tp10441 +a(g862 +g958 +tp10442 +a(g8 +Vres +p10443 +tp10444 +a(g862 +V\u000a +p10445 +tp10446 +a(g8 +Vres +p10447 +tp10448 +a(g624 +V=. +p10449 +tp10450 +a(g862 +g958 +tp10451 +a(g624 +g1857 +tp10452 +a(g624 +g1668 +tp10453 +a(g862 +g958 +tp10454 +a(g8 +Vres +p10455 +tp10456 +a(g624 +g1069 +tp10457 +a(g862 +g958 +tp10458 +a(g624 +g1071 +tp10459 +a(g624 +g1666 +tp10460 +a(g624 +g1668 +tp10461 +a(g252 +V_1 +p10462 +tp10463 +a(g862 +g958 +tp10464 +a(g624 +g1666 +tp10465 +a(g862 +g958 +tp10466 +a(g303 +g960 +tp10467 +a(g303 +g1069 +tp10468 +a(g303 +g960 +tp10469 +a(g862 +g958 +tp10470 +a(g624 +g1069 +tp10471 +a(g8 +Veach +p10472 +tp10473 +a(g862 +g958 +tp10474 +a(g647 +g2312 +tp10475 +a(g8 +Vids +p10476 +tp10477 +a(g862 +g958 +tp10478 +a(g8 +g997 +tp10479 +a(g624 +g1668 +tp10480 +a(g862 +g958 +tp10481 +a(g8 +Vres +p10482 +tp10483 +a(g647 +g1640 +tp10484 +a(g862 +g958 +tp10485 +a(g624 +g1855 +tp10486 +a(g862 +g958 +tp10487 +a(g8 +Vdep +p10488 +tp10489 +a(g862 +V\u000a +p10490 +tp10491 +a(g132 +Vend. +p10492 +tp10493 +a(g862 +V\u000a +p10494 +tp10495 +a(g624 +g1857 +tp10496 +a(g624 +g1668 +tp10497 +a(g862 +g958 +tp10498 +a(g28 +g1009 +tp10499 +a(g624 +g1069 +tp10500 +a(g862 +g958 +tp10501 +a(g8 +Vres +p10502 +tp10503 +a(g862 +g958 +tp10504 +a(g624 +g1712 +tp10505 +a(g624 +g1668 +tp10506 +a(g862 +g958 +tp10507 +a(g8 +g966 +tp10508 +a(g624 +g1060 +tp10509 +a(g624 +g1069 +tp10510 +a(g862 +g958 +tp10511 +a(g624 +g2314 +tp10512 +a(g624 +g1668 +tp10513 +a(g624 +g2003 +tp10514 +a(g252 +g1860 +tp10515 +a(g862 +g958 +tp10516 +a(g8 +VADDINS +p10517 +tp10518 +a(g862 +V\u000a +p10519 +tp10520 +a(g132 +g1640 +tp10521 +a(g862 +V\u000a +p10522 +tp10523 +a(g8 +Vhttpget +p10524 +tp10525 +a(g624 +V=: +p10526 +tp10527 +a(g862 +g958 +tp10528 +a(g108 +V3 : 0 +p10529 +tp10530 +a(g862 +V\u000a +p10531 +tp10532 +a(g303 +g960 +tp10533 +a(g303 +g1325 +tp10534 +a(g303 +g958 +tp10535 +a(g303 +g1154 +tp10536 +a(g303 +g960 +tp10537 +a(g624 +V=. +p10538 +tp10539 +a(g862 +g958 +tp10540 +a(g252 +g1371 +tp10541 +a(g862 +g958 +tp10542 +a(g624 +g2314 +tp10543 +a(g624 +g1668 +tp10544 +a(g862 +g958 +tp10545 +a(g647 +g2312 +tp10546 +a(g8 +Vboxxopen +p10547 +tp10548 +a(g862 +g958 +tp10549 +a(g28 +g1009 +tp10550 +a(g647 +g1640 +tp10551 +a(g624 +g1069 +tp10552 +a(g8 +g966 +tp10553 +a(g624 +g1060 +tp10554 +a(g862 +V\u000a +p10555 +tp10556 +a(g28 +g973 +tp10557 +a(g624 +V=. +p10558 +tp10559 +a(g862 +g958 +tp10560 +a(g8 +g1325 +tp10561 +a(g862 +g958 +tp10562 +a(g624 +g1855 +tp10563 +a(g624 +g1857 +tp10564 +a(g862 +g958 +tp10565 +a(g624 +g1712 +tp10566 +a(g624 +g1668 +tp10567 +a(g862 +g958 +tp10568 +a(g624 +g2879 +tp10569 +a(g624 +g1668 +tp10570 +a(g624 +g1144 +tp10571 +a(g624 +V\u005c +p10572 +tp10573 +a(g624 +g1668 +tp10574 +a(g862 +g958 +tp10575 +a(g8 +g1325 +tp10576 +a(g862 +g958 +tp10577 +a(g8 +g997 +tp10578 +a(g624 +g1668 +tp10579 +a(g862 +g958 +tp10580 +a(g303 +g960 +tp10581 +a(g303 +g2890 +tp10582 +a(g303 +g1144 +tp10583 +a(g303 +g960 +tp10584 +a(g862 +V\u000a +p10585 +tp10586 +a(g8 +g964 +tp10587 +a(g624 +V=. +p10588 +tp10589 +a(g862 +g958 +tp10590 +a(g8 +Vjpath +p10591 +tp10592 +a(g862 +g958 +tp10593 +a(g303 +g960 +tp10594 +a(g303 +g1857 +tp10595 +a(g303 +g1154 +tp10596 +a(g303 +g997 +tp10597 +a(g303 +g970 +tp10598 +a(g303 +g964 +tp10599 +a(g303 +g1144 +tp10600 +a(g303 +g960 +tp10601 +a(g624 +g1069 +tp10602 +a(g28 +g973 +tp10603 +a(g862 +V\u000a +p10604 +tp10605 +a(g8 +g1175 +tp10606 +a(g624 +V=. +p10607 +tp10608 +a(g862 +g958 +tp10609 +a(g8 +Vjpath +p10610 +tp10611 +a(g862 +g958 +tp10612 +a(g303 +g960 +tp10613 +a(g303 +g1857 +tp10614 +a(g303 +g1154 +tp10615 +a(g303 +g997 +tp10616 +a(g303 +g970 +tp10617 +a(g303 +g964 +tp10618 +a(g303 +g1144 +tp10619 +a(g303 +g1205 +tp10620 +a(g303 +g1154 +tp10621 +a(g303 +g1154 +tp10622 +a(g303 +g964 +tp10623 +a(g303 +g1094 +tp10624 +a(g303 +g997 +tp10625 +a(g303 +g1154 +tp10626 +a(g303 +g1668 +tp10627 +a(g303 +g1000 +tp10628 +a(g303 +g1185 +tp10629 +a(g303 +g1094 +tp10630 +a(g303 +g960 +tp10631 +a(g862 +V\u000a +p10632 +tp10633 +a(g8 +g1154 +tp10634 +a(g624 +V=. +p10635 +tp10636 +a(g862 +g958 +tp10637 +a(g624 +g2003 +tp10638 +a(g624 +g1060 +tp10639 +a(g624 +g2314 +tp10640 +a(g624 +g1668 +tp10641 +a(g8 +g1154 +tp10642 +a(g624 +g1069 +tp10643 +a(g252 +g1340 +tp10644 +a(g862 +V\u000a +p10645 +tp10646 +a(g8 +Vferase +p10647 +tp10648 +a(g862 +g958 +tp10649 +a(g8 +g964 +tp10650 +a(g624 +g1666 +tp10651 +a(g8 +g1175 +tp10652 +a(g862 +V\u000a +p10653 +tp10654 +a(g8 +Vfail +p10655 +tp10656 +a(g624 +V=. +p10657 +tp10658 +a(g862 +g958 +tp10659 +a(g252 +g1019 +tp10660 +a(g862 +V\u000a +p10661 +tp10662 +a(g8 +Vcmd +p10663 +tp10664 +a(g624 +V=. +p10665 +tp10666 +a(g862 +g958 +tp10667 +a(g8 +VHTTPCMD +p10668 +tp10669 +a(g862 +g958 +tp10670 +a(g8 +Vrplc +p10671 +tp10672 +a(g862 +g958 +tp10673 +a(g303 +g960 +tp10674 +a(g303 +g1771 +tp10675 +a(g303 +g1773 +tp10676 +a(g303 +g960 +tp10677 +a(g624 +g1666 +tp10678 +a(g647 +g2312 +tp10679 +a(g8 +Vdquote +p10680 +tp10681 +a(g862 +g958 +tp10682 +a(g8 +g964 +tp10683 +a(g647 +g1640 +tp10684 +a(g624 +g1666 +tp10685 +a(g303 +g960 +tp10686 +a(g303 +g1771 +tp10687 +a(g303 +g1786 +tp10688 +a(g303 +g960 +tp10689 +a(g624 +g1666 +tp10690 +a(g647 +g2312 +tp10691 +a(g8 +Vdquote +p10692 +tp10693 +a(g862 +g958 +tp10694 +a(g8 +g1175 +tp10695 +a(g647 +g1640 +tp10696 +a(g624 +g1666 +tp10697 +a(g303 +g960 +tp10698 +a(g303 +g1771 +tp10699 +a(g303 +g1154 +tp10700 +a(g303 +g960 +tp10701 +a(g624 +g1666 +tp10702 +a(g8 +g1154 +tp10703 +a(g624 +g1666 +tp10704 +a(g303 +g960 +tp10705 +a(g303 +g1771 +tp10706 +a(g303 +g2129 +tp10707 +a(g303 +g960 +tp10708 +a(g624 +g1666 +tp10709 +a(g647 +g2312 +tp10710 +a(g624 +g2003 +tp10711 +a(g624 +g1060 +tp10712 +a(g8 +VTIMEOUT +p10713 +tp10714 +a(g647 +g1640 +tp10715 +a(g624 +g1666 +tp10716 +a(g303 +g960 +tp10717 +a(g303 +g1771 +tp10718 +a(g303 +g1800 +tp10719 +a(g303 +g960 +tp10720 +a(g624 +g1666 +tp10721 +a(g8 +g1325 +tp10722 +a(g862 +V\u000a +p10723 +tp10724 +a(g132 +Vif. +p10725 +tp10726 +a(g862 +g958 +tp10727 +a(g8 +VIFIOS +p10728 +tp10729 +a(g862 +g958 +tp10730 +a(g624 +g2879 +tp10731 +a(g624 +g1668 +tp10732 +a(g862 +g958 +tp10733 +a(g8 +VUNAME +p10734 +tp10735 +a(g624 +g1712 +tp10736 +a(g624 +g1060 +tp10737 +a(g303 +g960 +tp10738 +a(g303 +g1074 +tp10739 +a(g303 +g973 +tp10740 +a(g303 +g1151 +tp10741 +a(g303 +g1005 +tp10742 +a(g303 +g1185 +tp10743 +a(g303 +g1002 +tp10744 +a(g303 +g1151 +tp10745 +a(g303 +g960 +tp10746 +a(g862 +g958 +tp10747 +a(g132 +Vdo. +p10748 +tp10749 +a(g862 +V\u000a +p10750 +tp10751 +a(g8 +Vrequire +p10752 +tp10753 +a(g862 +g958 +tp10754 +a(g303 +g960 +tp10755 +a(g303 +g995 +tp10756 +a(g303 +g1185 +tp10757 +a(g303 +g968 +tp10758 +a(g303 +g1091 +tp10759 +a(g303 +g997 +tp10760 +a(g303 +g1154 +tp10761 +a(g303 +g960 +tp10762 +a(g862 +V\u000a +p10763 +tp10764 +a(g252 +g1860 +tp10765 +a(g624 +g2329 +tp10766 +a(g624 +g1060 +tp10767 +a(g252 +V55 +p10768 +tp10769 +a(g862 +g958 +tp10770 +a(g624 +g1060 +tp10771 +a(g624 +g1060 +tp10772 +a(g252 +g1019 +tp10773 +a(g624 +g1060 +tp10774 +a(g862 +g958 +tp10775 +a(g624 +g1071 +tp10776 +a(g8 +g964 +tp10777 +a(g862 +V\u000a +p10778 +tp10779 +a(g8 +Vrc +p10780 +tp10781 +a(g624 +V=. +p10782 +tp10783 +a(g862 +g958 +tp10784 +a(g252 +g1019 +tp10785 +a(g862 +g958 +tp10786 +a(g624 +g2865 +tp10787 +a(g862 +g958 +tp10788 +a(g8 +g997 +tp10789 +a(g624 +V=. +p10790 +tp10791 +a(g862 +g958 +tp10792 +a(g8 +Vpp +p10793 +tp10794 +a(g624 +V=. +p10795 +tp10796 +a(g862 +g958 +tp10797 +a(g303 +g960 +tp10798 +a(g303 +g960 +tp10799 +a(g862 +V\u000a +p10800 +tp10801 +a(g132 +Vwhilst. +p10802 +tp10803 +a(g862 +g958 +tp10804 +a(g252 +g1019 +tp10805 +a(g862 +g958 +tp10806 +a(g132 +Vdo. +p10807 +tp10808 +a(g862 +V\u000a +p10809 +tp10810 +a(g303 +g960 +tp10811 +a(g303 +g1005 +tp10812 +a(g303 +g968 +tp10813 +a(g303 +g958 +tp10814 +a(g303 +g995 +tp10815 +a(g303 +g1091 +tp10816 +a(g303 +g960 +tp10817 +a(g624 +V=. +p10818 +tp10819 +a(g862 +g958 +tp10820 +a(g8 +Vsdsocket_jsocket_ +p10821 +tp10822 +a(g303 +g960 +tp10823 +a(g303 +g960 +tp10824 +a(g862 +V\u000a +p10825 +tp10826 +a(g132 +Vif. +p10827 +tp10828 +a(g862 +g958 +tp10829 +a(g252 +g1019 +tp10830 +a(g624 +g1857 +tp10831 +a(g624 +g1060 +tp10832 +a(g8 +Vrc +p10833 +tp10834 +a(g862 +g958 +tp10835 +a(g132 +Vdo. +p10836 +tp10837 +a(g862 +g958 +tp10838 +a(g132 +Vbreak. +p10839 +tp10840 +a(g862 +g958 +tp10841 +a(g132 +Vend. +p10842 +tp10843 +a(g862 +V\u000a +p10844 +tp10845 +a(g8 +Vrc +p10846 +tp10847 +a(g624 +V=. +p10848 +tp10849 +a(g862 +g958 +tp10850 +a(g8 +Vsdconnect_jsocket_ +p10851 +tp10852 +a(g862 +g958 +tp10853 +a(g8 +Vsk +p10854 +tp10855 +a(g624 +g1666 +tp10856 +a(g8 +VPF_INET_jsocket_ +p10857 +tp10858 +a(g624 +g1666 +tp10859 +a(g303 +g960 +tp10860 +a(g303 +g1371 +tp10861 +a(g303 +g1340 +tp10862 +a(g303 +g1668 +tp10863 +a(g303 +g1371 +tp10864 +a(g303 +g1860 +tp10865 +a(g303 +g1668 +tp10866 +a(g303 +g4183 +tp10867 +a(g303 +g1055 +tp10868 +a(g303 +g1668 +tp10869 +a(g303 +g2873 +tp10870 +a(g303 +g2345 +tp10871 +a(g303 +g960 +tp10872 +a(g624 +g1666 +tp10873 +a(g252 +V80 +p10874 +tp10875 +a(g862 +V\u000a +p10876 +tp10877 +a(g132 +Vif. +p10878 +tp10879 +a(g862 +g958 +tp10880 +a(g252 +g1019 +tp10881 +a(g624 +g1857 +tp10882 +a(g624 +g1060 +tp10883 +a(g8 +Vrc +p10884 +tp10885 +a(g862 +g958 +tp10886 +a(g132 +Vdo. +p10887 +tp10888 +a(g862 +g958 +tp10889 +a(g132 +Vbreak. +p10890 +tp10891 +a(g862 +g958 +tp10892 +a(g132 +Vend. +p10893 +tp10894 +a(g862 +V\u000a +p10895 +tp10896 +a(g303 +g960 +tp10897 +a(g303 +g1005 +tp10898 +a(g303 +g968 +tp10899 +a(g303 +g958 +tp10900 +a(g303 +g995 +tp10901 +a(g303 +g997 +tp10902 +a(g303 +g973 +tp10903 +a(g303 +g1154 +tp10904 +a(g303 +g960 +tp10905 +a(g624 +V=. +p10906 +tp10907 +a(g862 +g958 +tp10908 +a(g647 +g2312 +tp10909 +a(g303 +g960 +tp10910 +a(g303 +g6241 +tp10911 +a(g303 +g1869 +tp10912 +a(g303 +g2129 +tp10913 +a(g303 +g958 +tp10914 +a(g303 +g960 +tp10915 +a(g624 +g1069 +tp10916 +a(g8 +g1325 +tp10917 +a(g624 +g1069 +tp10918 +a(g303 +g960 +tp10919 +a(g303 +g958 +tp10920 +a(g303 +g7043 +tp10921 +a(g303 +g2129 +tp10922 +a(g303 +g2129 +tp10923 +a(g303 +g1087 +tp10924 +a(g303 +g1144 +tp10925 +a(g303 +g1860 +tp10926 +a(g303 +g1668 +tp10927 +a(g303 +g1019 +tp10928 +a(g303 +g960 +tp10929 +a(g624 +g1069 +tp10930 +a(g8 +VLF2 +p10931 +tp10932 +a(g647 +g1640 +tp10933 +a(g862 +g958 +tp10934 +a(g8 +Vsdsend_jsocket_ +p10935 +tp10936 +a(g862 +g958 +tp10937 +a(g8 +Vsk +p10938 +tp10939 +a(g624 +g1666 +tp10940 +a(g252 +g1019 +tp10941 +a(g862 +V\u000a +p10942 +tp10943 +a(g132 +Vif. +p10944 +tp10945 +a(g862 +g958 +tp10946 +a(g252 +g1019 +tp10947 +a(g624 +g1857 +tp10948 +a(g624 +g1060 +tp10949 +a(g8 +Vrc +p10950 +tp10951 +a(g862 +g958 +tp10952 +a(g132 +Vdo. +p10953 +tp10954 +a(g862 +g958 +tp10955 +a(g132 +Vbreak. +p10956 +tp10957 +a(g862 +g958 +tp10958 +a(g132 +Vend. +p10959 +tp10960 +a(g862 +V\u000a +p10961 +tp10962 +a(g132 +Vwhile. +p10963 +tp10964 +a(g862 +g958 +tp10965 +a(g647 +g2312 +tp10966 +a(g647 +g2312 +tp10967 +a(g252 +g1019 +tp10968 +a(g624 +g2890 +tp10969 +a(g8 +Vrc +p10970 +tp10971 +a(g647 +g1640 +tp10972 +a(g624 +g2870 +tp10973 +a(g624 +g1668 +tp10974 +a(g647 +g2312 +tp10975 +a(g624 +g2870 +tp10976 +a(g624 +g1855 +tp10977 +a(g28 +g970 +tp10978 +a(g647 +g1640 +tp10979 +a(g647 +g1640 +tp10980 +a(g862 +g958 +tp10981 +a(g624 +g2865 +tp10982 +a(g624 +g2865 +tp10983 +a(g862 +g958 +tp10984 +a(g303 +g960 +tp10985 +a(g303 +g1005 +tp10986 +a(g303 +g968 +tp10987 +a(g303 +g958 +tp10988 +a(g303 +g970 +tp10989 +a(g303 +g960 +tp10990 +a(g624 +V=. +p10991 +tp10992 +a(g862 +g958 +tp10993 +a(g8 +Vsdrecv_jsocket_ +p10994 +tp10995 +a(g862 +g958 +tp10996 +a(g8 +Vsk +p10997 +tp10998 +a(g624 +g1069 +tp10999 +a(g252 +V4096 +p11000 +tp11001 +a(g862 +g958 +tp11002 +a(g132 +Vdo. +p11003 +tp11004 +a(g862 +V\u000a +p11005 +tp11006 +a(g8 +Vpp +p11007 +tp11008 +a(g624 +V=. +p11009 +tp11010 +a(g862 +g958 +tp11011 +a(g8 +Vpp +p11012 +tp11013 +a(g624 +g1069 +tp11014 +a(g28 +g970 +tp11015 +a(g862 +V\u000a +p11016 +tp11017 +a(g132 +Vend. +p11018 +tp11019 +a(g862 +V\u000a +p11020 +tp11021 +a(g132 +Vend. +p11022 +tp11023 +a(g862 +V\u000a +p11024 +tp11025 +a(g8 +Vsdclose_jsocket_ +p11026 +tp11027 +a(g862 +g958 +tp11028 +a(g8 +Vsk +p11029 +tp11030 +a(g862 +V\u000a +p11031 +tp11032 +a(g132 +Vif. +p11033 +tp11034 +a(g862 +g958 +tp11035 +a(g252 +g1019 +tp11036 +a(g624 +g1857 +tp11037 +a(g624 +g1060 +tp11038 +a(g8 +Vrc +p11039 +tp11040 +a(g862 +g958 +tp11041 +a(g132 +Vdo. +p11042 +tp11043 +a(g862 +g958 +tp11044 +a(g8 +Vfail +p11045 +tp11046 +a(g624 +V=. +p11047 +tp11048 +a(g862 +g958 +tp11049 +a(g252 +g1860 +tp11050 +a(g862 +V\u000a +p11051 +tp11052 +a(g132 +Velseif. +p11053 +tp11054 +a(g862 +g958 +tp11055 +a(g252 +g1860 +tp11056 +a(g862 +g958 +tp11057 +a(g624 +g1712 +tp11058 +a(g624 +g1668 +tp11059 +a(g624 +g2958 +tp11060 +a(g8 +g997 +tp11061 +a(g624 +g1668 +tp11062 +a(g862 +g958 +tp11063 +a(g303 +g960 +tp11064 +a(g303 +g1371 +tp11065 +a(g303 +g1019 +tp11066 +a(g303 +g1019 +tp11067 +a(g303 +g958 +tp11068 +a(g303 +g1773 +tp11069 +a(g303 +g6113 +tp11070 +a(g303 +g960 +tp11071 +a(g862 +g958 +tp11072 +a(g8 +g1869 +tp11073 +a(g624 +g1668 +tp11074 +a(g862 +g958 +tp11075 +a(g647 +g2312 +tp11076 +a(g252 +V20 +p11077 +tp11078 +a(g624 +g2314 +tp11079 +a(g624 +g1668 +tp11080 +a(g8 +Vpp +p11081 +tp11082 +a(g647 +g1640 +tp11083 +a(g862 +g958 +tp11084 +a(g132 +Vdo. +p11085 +tp11086 +a(g862 +g958 +tp11087 +a(g8 +Vfail +p11088 +tp11089 +a(g624 +V=. +p11090 +tp11091 +a(g862 +g958 +tp11092 +a(g252 +g1860 +tp11093 +a(g862 +g958 +tp11094 +a(g624 +g2865 +tp11095 +a(g862 +g958 +tp11096 +a(g8 +g997 +tp11097 +a(g624 +V=. +p11098 +tp11099 +a(g862 +g958 +tp11100 +a(g647 +g2312 +tp11101 +a(g624 +g2314 +tp11102 +a(g624 +g1668 +tp11103 +a(g624 +g1857 +tp11104 +a(g862 +g958 +tp11105 +a(g8 +g1002 +tp11106 +a(g624 +g1668 +tp11107 +a(g624 +g2320 +tp11108 +a(g8 +VLF +p11109 +tp11110 +a(g647 +g1640 +tp11111 +a(g862 +g958 +tp11112 +a(g8 +Vpp +p11113 +tp11114 +a(g862 +V\u000a +p11115 +tp11116 +a(g132 +Velseif. +p11117 +tp11118 +a(g862 +g958 +tp11119 +a(g624 +g1855 +tp11120 +a(g8 +Vp1 +p11121 +tp11122 +a(g624 +V=. +p11123 +tp11124 +a(g862 +g958 +tp11125 +a(g8 +g3090 +tp11126 +a(g624 +g1668 +tp11127 +a(g862 +g958 +tp11128 +a(g647 +g2312 +tp11129 +a(g8 +VCRLF +p11130 +tp11131 +a(g624 +g1069 +tp11132 +a(g8 +VCRLF +p11133 +tp11134 +a(g647 +g1640 +tp11135 +a(g862 +g958 +tp11136 +a(g8 +g1869 +tp11137 +a(g624 +g1668 +tp11138 +a(g862 +g958 +tp11139 +a(g252 +V500 +p11140 +tp11141 +a(g624 +g2314 +tp11142 +a(g624 +g1668 +tp11143 +a(g8 +Vpp +p11144 +tp11145 +a(g862 +g958 +tp11146 +a(g132 +Vdo. +p11147 +tp11148 +a(g862 +g958 +tp11149 +a(g8 +Vp2 +p11150 +tp11151 +a(g624 +V=. +p11152 +tp11153 +a(g862 +g958 +tp11154 +a(g252 +g2873 +tp11155 +a(g862 +V\u000a +p11156 +tp11157 +a(g132 +Velseif. +p11158 +tp11159 +a(g862 +g958 +tp11160 +a(g624 +g1855 +tp11161 +a(g8 +Vp1 +p11162 +tp11163 +a(g624 +V=. +p11164 +tp11165 +a(g862 +g958 +tp11166 +a(g8 +g3090 +tp11167 +a(g624 +g1668 +tp11168 +a(g862 +g958 +tp11169 +a(g8 +VLF2 +p11170 +tp11171 +a(g862 +g958 +tp11172 +a(g8 +g1869 +tp11173 +a(g624 +g1668 +tp11174 +a(g862 +g958 +tp11175 +a(g252 +V500 +p11176 +tp11177 +a(g624 +g2314 +tp11178 +a(g624 +g1668 +tp11179 +a(g8 +Vpp +p11180 +tp11181 +a(g862 +g958 +tp11182 +a(g132 +Vdo. +p11183 +tp11184 +a(g862 +g958 +tp11185 +a(g8 +Vp2 +p11186 +tp11187 +a(g624 +V=. +p11188 +tp11189 +a(g862 +g958 +tp11190 +a(g252 +g1371 +tp11191 +a(g862 +V\u000a +p11192 +tp11193 +a(g132 +Velseif. +p11194 +tp11195 +a(g862 +g958 +tp11196 +a(g132 +Vdo. +p11197 +tp11198 +a(g862 +g958 +tp11199 +a(g8 +Vfail +p11200 +tp11201 +a(g624 +V=. +p11202 +tp11203 +a(g862 +g958 +tp11204 +a(g252 +g1860 +tp11205 +a(g862 +V\u000a +p11206 +tp11207 +a(g132 +Vend. +p11208 +tp11209 +a(g862 +V\u000a +p11210 +tp11211 +a(g132 +Vif. +p11212 +tp11213 +a(g862 +g958 +tp11214 +a(g252 +g1019 +tp11215 +a(g624 +g2890 +tp11216 +a(g8 +Vfail +p11217 +tp11218 +a(g862 +g958 +tp11219 +a(g132 +Vdo. +p11220 +tp11221 +a(g862 +V\u000a +p11222 +tp11223 +a(g647 +g2312 +tp11224 +a(g647 +g2312 +tp11225 +a(g8 +Vp2 +p11226 +tp11227 +a(g624 +g2879 +tp11228 +a(g624 +g2314 +tp11229 +a(g624 +g1668 +tp11230 +a(g8 +Vp1 +p11231 +tp11232 +a(g647 +g1640 +tp11233 +a(g624 +g3103 +tp11234 +a(g624 +g1668 +tp11235 +a(g8 +Vpp +p11236 +tp11237 +a(g647 +g1640 +tp11238 +a(g862 +g958 +tp11239 +a(g252 +g1860 +tp11240 +a(g624 +g2329 +tp11241 +a(g624 +g1060 +tp11242 +a(g252 +g1371 +tp11243 +a(g862 +g958 +tp11244 +a(g624 +g1071 +tp11245 +a(g8 +g964 +tp11246 +a(g862 +V\u000a +p11247 +tp11248 +a(g132 +Velse. +p11249 +tp11250 +a(g862 +V\u000a +p11251 +tp11252 +a(g132 +Vif. +p11253 +tp11254 +a(g862 +g958 +tp11255 +a(g252 +g1019 +tp11256 +a(g624 +g1857 +tp11257 +a(g624 +g1060 +tp11258 +a(g8 +Vrc +p11259 +tp11260 +a(g862 +g958 +tp11261 +a(g132 +Vdo. +p11262 +tp11263 +a(g862 +g958 +tp11264 +a(g8 +g997 +tp11265 +a(g624 +V=. +p11266 +tp11267 +a(g862 +g958 +tp11268 +a(g8 +Vsderror_jsocket_ +p11269 +tp11270 +a(g862 +g958 +tp11271 +a(g8 +Vrc +p11272 +tp11273 +a(g862 +g958 +tp11274 +a(g132 +Vend. +p11275 +tp11276 +a(g862 +V\u000a +p11277 +tp11278 +a(g132 +Vend. +p11279 +tp11280 +a(g862 +V\u000a +p11281 +tp11282 +a(g132 +Velseif. +p11283 +tp11284 +a(g862 +g958 +tp11285 +a(g132 +Vdo. +p11286 +tp11287 +a(g862 +V\u000a +p11288 +tp11289 +a(g132 +Vtry. +p11290 +tp11291 +a(g862 +V\u000a +p11292 +tp11293 +a(g8 +g997 +tp11294 +a(g624 +V=. +p11295 +tp11296 +a(g862 +g958 +tp11297 +a(g8 +Vshellcmd +p11298 +tp11299 +a(g862 +g958 +tp11300 +a(g8 +Vcmd +p11301 +tp11302 +a(g862 +V\u000a +p11303 +tp11304 +a(g132 +Vcatch. +p11305 +tp11306 +a(g862 +g958 +tp11307 +a(g8 +Vfail +p11308 +tp11309 +a(g624 +V=. +p11310 +tp11311 +a(g862 +g958 +tp11312 +a(g252 +g1860 +tp11313 +a(g862 +g958 +tp11314 +a(g132 +Vend. +p11315 +tp11316 +a(g862 +V\u000a +p11317 +tp11318 +a(g132 +Vend. +p11319 +tp11320 +a(g862 +V\u000a +p11321 +tp11322 +a(g132 +Vif. +p11323 +tp11324 +a(g862 +g958 +tp11325 +a(g8 +Vfail +p11326 +tp11327 +a(g862 +g958 +tp11328 +a(g624 +g2879 +tp11329 +a(g624 +g1668 +tp11330 +a(g862 +g958 +tp11331 +a(g252 +g1019 +tp11332 +a(g862 +g958 +tp11333 +a(g624 +g2876 +tp11334 +a(g624 +g1060 +tp11335 +a(g862 +g958 +tp11336 +a(g8 +Vfsize +p11337 +tp11338 +a(g862 +g958 +tp11339 +a(g8 +g964 +tp11340 +a(g862 +g958 +tp11341 +a(g132 +Vdo. +p11342 +tp11343 +a(g862 +V\u000a +p11344 +tp11345 +a(g132 +Vif. +p11346 +tp11347 +a(g862 +g958 +tp11348 +a(g252 +V_1 +p11349 +tp11350 +a(g624 +g1712 +tp11351 +a(g624 +g1060 +tp11352 +a(g8 +Vmsg +p11353 +tp11354 +a(g624 +V=. +p11355 +tp11356 +a(g862 +g958 +tp11357 +a(g8 +Vfreads +p11358 +tp11359 +a(g862 +g958 +tp11360 +a(g8 +g1175 +tp11361 +a(g862 +g958 +tp11362 +a(g132 +Vdo. +p11363 +tp11364 +a(g862 +V\u000a +p11365 +tp11366 +a(g132 +Vif. +p11367 +tp11368 +a(g862 +g958 +tp11369 +a(g252 +g1019 +tp11370 +a(g624 +g2890 +tp11371 +a(g624 +g1855 +tp11372 +a(g8 +Vmsg +p11373 +tp11374 +a(g624 +V=. +p11375 +tp11376 +a(g862 +g958 +tp11377 +a(g8 +g997 +tp11378 +a(g862 +g958 +tp11379 +a(g132 +Vdo. +p11380 +tp11381 +a(g862 +g958 +tp11382 +a(g8 +Vmsg +p11383 +tp11384 +a(g624 +V=. +p11385 +tp11386 +a(g862 +g958 +tp11387 +a(g303 +g960 +tp11388 +a(g303 +g1800 +tp11389 +a(g303 +g973 +tp11390 +a(g303 +g997 +tp11391 +a(g303 +g1989 +tp11392 +a(g303 +g964 +tp11393 +a(g303 +g997 +tp11394 +a(g303 +g968 +tp11395 +a(g303 +g1154 +tp11396 +a(g303 +g997 +tp11397 +a(g303 +g1151 +tp11398 +a(g303 +g958 +tp11399 +a(g303 +g997 +tp11400 +a(g303 +g1005 +tp11401 +a(g303 +g1005 +tp11402 +a(g303 +g1185 +tp11403 +a(g303 +g1005 +tp11404 +a(g303 +g960 +tp11405 +a(g862 +g958 +tp11406 +a(g132 +Vend. +p11407 +tp11408 +a(g862 +g958 +tp11409 +a(g132 +Vend. +p11410 +tp11411 +a(g862 +V\u000a +p11412 +tp11413 +a(g8 +Vlog +p11414 +tp11415 +a(g862 +g958 +tp11416 +a(g303 +g960 +tp11417 +a(g303 +g2199 +tp11418 +a(g303 +g1185 +tp11419 +a(g303 +g973 +tp11420 +a(g303 +g973 +tp11421 +a(g303 +g997 +tp11422 +a(g303 +g968 +tp11423 +a(g303 +g1154 +tp11424 +a(g303 +g1002 +tp11425 +a(g303 +g1185 +tp11426 +a(g303 +g973 +tp11427 +a(g303 +g958 +tp11428 +a(g303 +g1325 +tp11429 +a(g303 +g966 +tp11430 +a(g303 +g1002 +tp11431 +a(g303 +g1000 +tp11432 +a(g303 +g997 +tp11433 +a(g303 +g1151 +tp11434 +a(g303 +g1060 +tp11435 +a(g303 +g958 +tp11436 +a(g303 +g960 +tp11437 +a(g624 +g1069 +tp11438 +a(g8 +Vmsg +p11439 +tp11440 +a(g862 +V\u000a +p11441 +tp11442 +a(g8 +Vinfo +p11443 +tp11444 +a(g862 +g958 +tp11445 +a(g303 +g960 +tp11446 +a(g303 +g2199 +tp11447 +a(g303 +g1185 +tp11448 +a(g303 +g973 +tp11449 +a(g303 +g973 +tp11450 +a(g303 +g997 +tp11451 +a(g303 +g968 +tp11452 +a(g303 +g1154 +tp11453 +a(g303 +g1002 +tp11454 +a(g303 +g1185 +tp11455 +a(g303 +g973 +tp11456 +a(g303 +g958 +tp11457 +a(g303 +g1325 +tp11458 +a(g303 +g966 +tp11459 +a(g303 +g1002 +tp11460 +a(g303 +g1000 +tp11461 +a(g303 +g997 +tp11462 +a(g303 +g1151 +tp11463 +a(g303 +g1060 +tp11464 +a(g303 +g960 +tp11465 +a(g624 +g1069 +tp11466 +a(g8 +VLF2 +p11467 +tp11468 +a(g624 +g1069 +tp11469 +a(g8 +Vmsg +p11470 +tp11471 +a(g862 +V\u000a +p11472 +tp11473 +a(g8 +g1005 +tp11474 +a(g624 +V=. +p11475 +tp11476 +a(g862 +g958 +tp11477 +a(g252 +g1860 +tp11478 +a(g624 +g1666 +tp11479 +a(g8 +Vmsg +p11480 +tp11481 +a(g862 +V\u000a +p11482 +tp11483 +a(g8 +Vferase +p11484 +tp11485 +a(g862 +g958 +tp11486 +a(g8 +g964 +tp11487 +a(g624 +g1666 +tp11488 +a(g8 +g1175 +tp11489 +a(g862 +V\u000a +p11490 +tp11491 +a(g132 +Velse. +p11492 +tp11493 +a(g862 +V\u000a +p11494 +tp11495 +a(g8 +g1005 +tp11496 +a(g624 +V=. +p11497 +tp11498 +a(g862 +g958 +tp11499 +a(g252 +g1019 +tp11500 +a(g624 +g1666 +tp11501 +a(g8 +g964 +tp11502 +a(g862 +V\u000a +p11503 +tp11504 +a(g8 +Vferase +p11505 +tp11506 +a(g862 +g958 +tp11507 +a(g8 +g1175 +tp11508 +a(g862 +V\u000a +p11509 +tp11510 +a(g132 +Vend. +p11511 +tp11512 +a(g862 +V\u000a +p11513 +tp11514 +a(g8 +g1005 +tp11515 +a(g862 +V\u000a +p11516 +tp11517 +a(g132 +g1640 +tp11518 +a(g862 +V\u000a +p11519 +tp11520 +a(g8 +Vhttpgetr +p11521 +tp11522 +a(g624 +V=: +p11523 +tp11524 +a(g862 +g958 +tp11525 +a(g108 +V3 : 0 +p11526 +tp11527 +a(g862 +V\u000a +p11528 +tp11529 +a(g8 +Vres +p11530 +tp11531 +a(g624 +V=. +p11532 +tp11533 +a(g862 +g958 +tp11534 +a(g8 +Vhttpget +p11535 +tp11536 +a(g862 +g958 +tp11537 +a(g28 +g1009 +tp11538 +a(g862 +V\u000a +p11539 +tp11540 +a(g132 +Vif. +p11541 +tp11542 +a(g862 +g958 +tp11543 +a(g252 +g1019 +tp11544 +a(g862 +g958 +tp11545 +a(g624 +g2890 +tp11546 +a(g862 +g958 +tp11547 +a(g252 +g1019 +tp11548 +a(g862 +g958 +tp11549 +a(g8 +Vpick +p11550 +tp11551 +a(g862 +g958 +tp11552 +a(g8 +Vres +p11553 +tp11554 +a(g862 +g958 +tp11555 +a(g132 +Vdo. +p11556 +tp11557 +a(g862 +V\u000a +p11558 +tp11559 +a(g8 +g1325 +tp11560 +a(g624 +V=. +p11561 +tp11562 +a(g862 +g958 +tp11563 +a(g252 +g1860 +tp11564 +a(g862 +g958 +tp11565 +a(g8 +Vpick +p11566 +tp11567 +a(g862 +g958 +tp11568 +a(g8 +Vres +p11569 +tp11570 +a(g862 +V\u000a +p11571 +tp11572 +a(g8 +Vtxt +p11573 +tp11574 +a(g624 +V=. +p11575 +tp11576 +a(g862 +g958 +tp11577 +a(g8 +Vfreads +p11578 +tp11579 +a(g862 +g958 +tp11580 +a(g8 +g1325 +tp11581 +a(g862 +V\u000a +p11582 +tp11583 +a(g8 +Vferase +p11584 +tp11585 +a(g862 +g958 +tp11586 +a(g8 +g1325 +tp11587 +a(g862 +V\u000a +p11588 +tp11589 +a(g252 +g1019 +tp11590 +a(g624 +g1666 +tp11591 +a(g8 +Vtxt +p11592 +tp11593 +a(g862 +V\u000a +p11594 +tp11595 +a(g132 +Vend. +p11596 +tp11597 +a(g862 +V\u000a +p11598 +tp11599 +a(g132 +g1640 +tp11600 +a(g862 +V\u000a +p11601 +tp11602 +a(g8 +Vinstall +p11603 +tp11604 +a(g624 +V=: +p11605 +tp11606 +a(g862 +g958 +tp11607 +a(g108 +V3 : 0 +p11608 +tp11609 +a(g862 +V\u000a +p11610 +tp11611 +a(g8 +Vdat +p11612 +tp11613 +a(g624 +V=. +p11614 +tp11615 +a(g862 +g958 +tp11616 +a(g8 +Vgetdepend +p11617 +tp11618 +a(g862 +g958 +tp11619 +a(g28 +g1009 +tp11620 +a(g862 +V\u000a +p11621 +tp11622 +a(g303 +g960 +tp11623 +a(g303 +g973 +tp11624 +a(g303 +g1260 +tp11625 +a(g303 +g970 +tp11626 +a(g303 +g958 +tp11627 +a(g303 +g995 +tp11628 +a(g303 +g1002 +tp11629 +a(g303 +g1425 +tp11630 +a(g303 +g960 +tp11631 +a(g624 +V=. +p11632 +tp11633 +a(g862 +g958 +tp11634 +a(g8 +Vpmview_applycounts +p11635 +tp11636 +a(g862 +g958 +tp11637 +a(g8 +Vdat +p11638 +tp11639 +a(g862 +V\u000a +p11640 +tp11641 +a(g8 +Vmany +p11642 +tp11643 +a(g624 +V=. +p11644 +tp11645 +a(g862 +g958 +tp11646 +a(g252 +g1860 +tp11647 +a(g862 +g958 +tp11648 +a(g624 +g1071 +tp11649 +a(g862 +g958 +tp11650 +a(g8 +Vnum +p11651 +tp11652 +a(g862 +V\u000a +p11653 +tp11654 +a(g8 +Vmsg +p11655 +tp11656 +a(g624 +V=. +p11657 +tp11658 +a(g862 +g958 +tp11659 +a(g303 +g960 +tp11660 +a(g303 +g3090 +tp11661 +a(g303 +g973 +tp11662 +a(g303 +g995 +tp11663 +a(g303 +g1154 +tp11664 +a(g303 +g966 +tp11665 +a(g303 +g1000 +tp11666 +a(g303 +g1000 +tp11667 +a(g303 +g1002 +tp11668 +a(g303 +g973 +tp11669 +a(g303 +g1094 +tp11670 +a(g303 +g958 +tp11671 +a(g303 +g960 +tp11672 +a(g624 +g1069 +tp11673 +a(g647 +g2312 +tp11674 +a(g624 +g2003 +tp11675 +a(g624 +g1060 +tp11676 +a(g8 +Vnum +p11677 +tp11678 +a(g647 +g1640 +tp11679 +a(g624 +g1069 +tp11680 +a(g303 +g960 +tp11681 +a(g303 +g958 +tp11682 +a(g303 +g964 +tp11683 +a(g303 +g966 +tp11684 +a(g303 +g968 +tp11685 +a(g303 +g1091 +tp11686 +a(g303 +g966 +tp11687 +a(g303 +g1094 +tp11688 +a(g303 +g997 +tp11689 +a(g303 +g960 +tp11690 +a(g624 +g1069 +tp11691 +a(g8 +Vmany +p11692 +tp11693 +a(g624 +g1855 +tp11694 +a(g303 +g960 +tp11695 +a(g303 +g995 +tp11696 +a(g303 +g960 +tp11697 +a(g862 +V\u000a +p11698 +tp11699 +a(g8 +Vmsg +p11700 +tp11701 +a(g624 +V=. +p11702 +tp11703 +a(g862 +g958 +tp11704 +a(g8 +Vmsg +p11705 +tp11706 +a(g624 +g1069 +tp11707 +a(g303 +g960 +tp11708 +a(g303 +g958 +tp11709 +a(g303 +g1185 +tp11710 +a(g303 +g1325 +tp11711 +a(g303 +g958 +tp11712 +a(g303 +g960 +tp11713 +a(g624 +g1069 +tp11714 +a(g647 +g2312 +tp11715 +a(g8 +Vmany +p11716 +tp11717 +a(g624 +g1855 +tp11718 +a(g303 +g960 +tp11719 +a(g303 +g1154 +tp11720 +a(g303 +g1185 +tp11721 +a(g303 +g1154 +tp11722 +a(g303 +g966 +tp11723 +a(g303 +g1000 +tp11724 +a(g303 +g958 +tp11725 +a(g303 +g960 +tp11726 +a(g647 +g1640 +tp11727 +a(g624 +g1069 +tp11728 +a(g303 +g960 +tp11729 +a(g303 +g995 +tp11730 +a(g303 +g1002 +tp11731 +a(g303 +g1425 +tp11732 +a(g303 +g997 +tp11733 +a(g303 +g958 +tp11734 +a(g303 +g960 +tp11735 +a(g624 +g1069 +tp11736 +a(g8 +Vsizefmt +p11737 +tp11738 +a(g862 +g958 +tp11739 +a(g8 +Vsiz +p11740 +tp11741 +a(g862 +V\u000a +p11742 +tp11743 +a(g8 +Vlog +p11744 +tp11745 +a(g862 +g958 +tp11746 +a(g8 +Vmsg +p11747 +tp11748 +a(g862 +V\u000a +p11749 +tp11750 +a(g8 +Vinstalldo +p11751 +tp11752 +a(g862 +g958 +tp11753 +a(g252 +g1860 +tp11754 +a(g862 +g958 +tp11755 +a(g624 +g2314 +tp11756 +a(g624 +g2003 +tp11757 +a(g252 +g1860 +tp11758 +a(g862 +g958 +tp11759 +a(g8 +Vdat +p11760 +tp11761 +a(g862 +V\u000a +p11762 +tp11763 +a(g8 +Vlog +p11764 +tp11765 +a(g862 +g958 +tp11766 +a(g303 +g960 +tp11767 +a(g303 +g1744 +tp11768 +a(g303 +g1185 +tp11769 +a(g303 +g973 +tp11770 +a(g303 +g997 +tp11771 +a(g303 +g1668 +tp11772 +a(g303 +g960 +tp11773 +a(g862 +V\u000a +p11774 +tp11775 +a(g8 +Vreadlocal +p11776 +tp11777 +a(g303 +g960 +tp11778 +a(g303 +g960 +tp11779 +a(g862 +V\u000a +p11780 +tp11781 +a(g8 +Vpacman_init +p11782 +tp11783 +a(g862 +g958 +tp11784 +a(g252 +g1019 +tp11785 +a(g862 +V\u000a +p11786 +tp11787 +a(g132 +g1640 +tp11788 +a(g862 +V\u000a +p11789 +tp11790 +a(g8 +Vinstall_console +p11791 +tp11792 +a(g624 +V=: +p11793 +tp11794 +a(g862 +g958 +tp11795 +a(g108 +V3 : 0 +p11796 +tp11797 +a(g862 +V\u000a +p11798 +tp11799 +a(g132 +Vif. +p11800 +tp11801 +a(g862 +g958 +tp11802 +a(g624 +g1712 +tp11803 +a(g624 +g1668 +tp11804 +a(g862 +g958 +tp11805 +a(g8 +Vinit_console +p11806 +tp11807 +a(g862 +g958 +tp11808 +a(g303 +g960 +tp11809 +a(g303 +g995 +tp11810 +a(g303 +g997 +tp11811 +a(g303 +g1005 +tp11812 +a(g303 +g1354 +tp11813 +a(g303 +g997 +tp11814 +a(g303 +g1005 +tp11815 +a(g303 +g960 +tp11816 +a(g862 +g958 +tp11817 +a(g132 +Vdo. +p11818 +tp11819 +a(g862 +g958 +tp11820 +a(g303 +g960 +tp11821 +a(g303 +g960 +tp11822 +a(g862 +g958 +tp11823 +a(g132 +Vreturn. +p11824 +tp11825 +a(g862 +g958 +tp11826 +a(g132 +Vend. +p11827 +tp11828 +a(g862 +V\u000a +p11829 +tp11830 +a(g8 +Vpkgs +p11831 +tp11832 +a(g624 +V=. +p11833 +tp11834 +a(g862 +g958 +tp11835 +a(g8 +Vgetnames +p11836 +tp11837 +a(g862 +g958 +tp11838 +a(g28 +g1009 +tp11839 +a(g862 +V\u000a +p11840 +tp11841 +a(g132 +Vif. +p11842 +tp11843 +a(g862 +g958 +tp11844 +a(g8 +Vpkgs +p11845 +tp11846 +a(g862 +g958 +tp11847 +a(g624 +g1712 +tp11848 +a(g624 +g1060 +tp11849 +a(g862 +g958 +tp11850 +a(g624 +g1069 +tp11851 +a(g624 +g1071 +tp11852 +a(g303 +g960 +tp11853 +a(g303 +g966 +tp11854 +a(g303 +g1000 +tp11855 +a(g303 +g1000 +tp11856 +a(g303 +g960 +tp11857 +a(g862 +g958 +tp11858 +a(g132 +Vdo. +p11859 +tp11860 +a(g862 +g958 +tp11861 +a(g8 +Vpkgs +p11862 +tp11863 +a(g624 +V=. +p11864 +tp11865 +a(g862 +g958 +tp11866 +a(g252 +g1860 +tp11867 +a(g862 +g958 +tp11868 +a(g624 +g2314 +tp11869 +a(g624 +g2003 +tp11870 +a(g252 +g1860 +tp11871 +a(g862 +g958 +tp11872 +a(g8 +VPKGDATA +p11873 +tp11874 +a(g862 +g958 +tp11875 +a(g132 +Vend. +p11876 +tp11877 +a(g862 +V\u000a +p11878 +tp11879 +a(g8 +Vpkgs +p11880 +tp11881 +a(g624 +V=. +p11882 +tp11883 +a(g862 +g958 +tp11884 +a(g8 +Vpkgs +p11885 +tp11886 +a(g862 +g958 +tp11887 +a(g647 +g2312 +tp11888 +a(g8 +g997 +tp11889 +a(g624 +g1668 +tp11890 +a(g862 +g958 +tp11891 +a(g624 +g1855 +tp11892 +a(g862 +g958 +tp11893 +a(g624 +g2865 +tp11894 +a(g647 +g1640 +tp11895 +a(g862 +g958 +tp11896 +a(g624 +g1857 +tp11897 +a(g624 +g1668 +tp11898 +a(g862 +g958 +tp11899 +a(g647 +g2312 +tp11900 +a(g624 +g1071 +tp11901 +a(g303 +g960 +tp11902 +a(g303 +g992 +tp11903 +a(g303 +g966 +tp11904 +a(g303 +g995 +tp11905 +a(g303 +g997 +tp11906 +a(g303 +g958 +tp11907 +a(g303 +g1000 +tp11908 +a(g303 +g1002 +tp11909 +a(g303 +g992 +tp11910 +a(g303 +g1005 +tp11911 +a(g303 +g966 +tp11912 +a(g303 +g1005 +tp11913 +a(g303 +g1009 +tp11914 +a(g303 +g960 +tp11915 +a(g647 +g1640 +tp11916 +a(g624 +g1069 +tp11917 +a(g862 +g958 +tp11918 +a(g647 +g2312 +tp11919 +a(g647 +g2312 +tp11920 +a(g8 +Vpkgnew +p11921 +tp11922 +a(g862 +g958 +tp11923 +a(g624 +g2879 +tp11924 +a(g624 +g1668 +tp11925 +a(g862 +g958 +tp11926 +a(g8 +Vpkgups +p11927 +tp11928 +a(g647 +g1640 +tp11929 +a(g862 +g958 +tp11930 +a(g624 +g1855 +tp11931 +a(g862 +g958 +tp11932 +a(g252 +g1860 +tp11933 +a(g624 +g2320 +tp11934 +a(g624 +g2314 +tp11935 +a(g624 +g2003 +tp11936 +a(g252 +g1860 +tp11937 +a(g624 +g2958 +tp11938 +a(g624 +g2893 +tp11939 +a(g647 +g1640 +tp11940 +a(g862 +g958 +tp11941 +a(g8 +VPKGDATA +p11942 +tp11943 +a(g862 +V\u000a +p11944 +tp11945 +a(g8 +Vpkgs +p11946 +tp11947 +a(g624 +V=. +p11948 +tp11949 +a(g862 +g958 +tp11950 +a(g8 +Vpkgs +p11951 +tp11952 +a(g862 +g958 +tp11953 +a(g624 +g1712 +tp11954 +a(g624 +g1668 +tp11955 +a(g862 +g958 +tp11956 +a(g8 +VIgnore +p11957 +tp11958 +a(g862 +V\u000a +p11959 +tp11960 +a(g8 +Vpkgs +p11961 +tp11962 +a(g624 +V=. +p11963 +tp11964 +a(g862 +g958 +tp11965 +a(g8 +Vgetdepend_console +p11966 +tp11967 +a(g862 +g958 +tp11968 +a(g8 +Vpkgs +p11969 +tp11970 +a(g862 +V\u000a +p11971 +tp11972 +a(g132 +Vif. +p11973 +tp11974 +a(g862 +g958 +tp11975 +a(g252 +g1019 +tp11976 +a(g862 +g958 +tp11977 +a(g624 +g2890 +tp11978 +a(g862 +g958 +tp11979 +a(g8 +Vnum +p11980 +tp11981 +a(g624 +V=. +p11982 +tp11983 +a(g862 +g958 +tp11984 +a(g624 +g1855 +tp11985 +a(g8 +Vpkgs +p11986 +tp11987 +a(g862 +g958 +tp11988 +a(g132 +Vdo. +p11989 +tp11990 +a(g862 +g958 +tp11991 +a(g303 +g960 +tp11992 +a(g303 +g960 +tp11993 +a(g862 +g958 +tp11994 +a(g132 +Vreturn. +p11995 +tp11996 +a(g862 +g958 +tp11997 +a(g132 +Vend. +p11998 +tp11999 +a(g862 +V\u000a +p12000 +tp12001 +a(g8 +Vmany +p12002 +tp12003 +a(g624 +V=. +p12004 +tp12005 +a(g862 +g958 +tp12006 +a(g252 +g1860 +tp12007 +a(g862 +g958 +tp12008 +a(g624 +g1071 +tp12009 +a(g862 +g958 +tp12010 +a(g8 +Vnum +p12011 +tp12012 +a(g862 +V\u000a +p12013 +tp12014 +a(g8 +Vmsg +p12015 +tp12016 +a(g624 +V=. +p12017 +tp12018 +a(g862 +g958 +tp12019 +a(g303 +g960 +tp12020 +a(g303 +g3090 +tp12021 +a(g303 +g973 +tp12022 +a(g303 +g995 +tp12023 +a(g303 +g1154 +tp12024 +a(g303 +g966 +tp12025 +a(g303 +g1000 +tp12026 +a(g303 +g1000 +tp12027 +a(g303 +g1002 +tp12028 +a(g303 +g973 +tp12029 +a(g303 +g1094 +tp12030 +a(g303 +g958 +tp12031 +a(g303 +g960 +tp12032 +a(g624 +g1069 +tp12033 +a(g647 +g2312 +tp12034 +a(g624 +g2003 +tp12035 +a(g624 +g1060 +tp12036 +a(g8 +Vnum +p12037 +tp12038 +a(g647 +g1640 +tp12039 +a(g624 +g1069 +tp12040 +a(g303 +g960 +tp12041 +a(g303 +g958 +tp12042 +a(g303 +g964 +tp12043 +a(g303 +g966 +tp12044 +a(g303 +g968 +tp12045 +a(g303 +g1091 +tp12046 +a(g303 +g966 +tp12047 +a(g303 +g1094 +tp12048 +a(g303 +g997 +tp12049 +a(g303 +g960 +tp12050 +a(g624 +g1069 +tp12051 +a(g8 +Vmany +p12052 +tp12053 +a(g624 +g1855 +tp12054 +a(g303 +g960 +tp12055 +a(g303 +g995 +tp12056 +a(g303 +g960 +tp12057 +a(g862 +V\u000a +p12058 +tp12059 +a(g8 +Vlog +p12060 +tp12061 +a(g862 +g958 +tp12062 +a(g8 +Vmsg +p12063 +tp12064 +a(g862 +V\u000a +p12065 +tp12066 +a(g8 +Vinstalldo +p12067 +tp12068 +a(g862 +g958 +tp12069 +a(g8 +Vpkgs +p12070 +tp12071 +a(g862 +V\u000a +p12072 +tp12073 +a(g8 +Vlog +p12074 +tp12075 +a(g862 +g958 +tp12076 +a(g303 +g960 +tp12077 +a(g303 +g1744 +tp12078 +a(g303 +g1185 +tp12079 +a(g303 +g973 +tp12080 +a(g303 +g997 +tp12081 +a(g303 +g1668 +tp12082 +a(g303 +g960 +tp12083 +a(g862 +V\u000a +p12084 +tp12085 +a(g8 +Vreadlocal +p12086 +tp12087 +a(g303 +g960 +tp12088 +a(g303 +g960 +tp12089 +a(g862 +V\u000a +p12090 +tp12091 +a(g8 +Vpacman_init +p12092 +tp12093 +a(g862 +g958 +tp12094 +a(g303 +g960 +tp12095 +a(g303 +g960 +tp12096 +a(g862 +V\u000a +p12097 +tp12098 +a(g8 +Vcheckstatus +p12099 +tp12100 +a(g303 +g960 +tp12101 +a(g303 +g960 +tp12102 +a(g862 +V\u000a +p12103 +tp12104 +a(g132 +g1640 +tp12105 +a(g862 +V\u000a +p12106 +tp12107 +a(g8 +Vupgrade_console +p12108 +tp12109 +a(g624 +V=: +p12110 +tp12111 +a(g862 +g958 +tp12112 +a(g108 +V3 : 0 +p12113 +tp12114 +a(g862 +V\u000a +p12115 +tp12116 +a(g132 +Vif. +p12117 +tp12118 +a(g862 +g958 +tp12119 +a(g624 +g1712 +tp12120 +a(g624 +g1668 +tp12121 +a(g862 +g958 +tp12122 +a(g8 +Vinit_console +p12123 +tp12124 +a(g862 +g958 +tp12125 +a(g303 +g960 +tp12126 +a(g303 +g1005 +tp12127 +a(g303 +g997 +tp12128 +a(g303 +g966 +tp12129 +a(g303 +g1151 +tp12130 +a(g303 +g960 +tp12131 +a(g862 +g958 +tp12132 +a(g132 +Vdo. +p12133 +tp12134 +a(g862 +g958 +tp12135 +a(g303 +g960 +tp12136 +a(g303 +g960 +tp12137 +a(g862 +g958 +tp12138 +a(g132 +Vreturn. +p12139 +tp12140 +a(g862 +g958 +tp12141 +a(g132 +Vend. +p12142 +tp12143 +a(g862 +V\u000a +p12144 +tp12145 +a(g8 +Vpkgs +p12146 +tp12147 +a(g624 +V=. +p12148 +tp12149 +a(g862 +g958 +tp12150 +a(g8 +Vgetnames +p12151 +tp12152 +a(g862 +g958 +tp12153 +a(g28 +g1009 +tp12154 +a(g862 +V\u000a +p12155 +tp12156 +a(g132 +Vif. +p12157 +tp12158 +a(g862 +g958 +tp12159 +a(g647 +g2312 +tp12160 +a(g252 +g1019 +tp12161 +a(g624 +g2890 +tp12162 +a(g624 +g1855 +tp12163 +a(g8 +Vpkgs +p12164 +tp12165 +a(g647 +g1640 +tp12166 +a(g862 +g958 +tp12167 +a(g624 +g2879 +tp12168 +a(g624 +g1668 +tp12169 +a(g862 +g958 +tp12170 +a(g8 +Vpkgs +p12171 +tp12172 +a(g862 +g958 +tp12173 +a(g624 +g1712 +tp12174 +a(g624 +g1060 +tp12175 +a(g862 +g958 +tp12176 +a(g624 +g1069 +tp12177 +a(g624 +g1071 +tp12178 +a(g303 +g960 +tp12179 +a(g303 +g966 +tp12180 +a(g303 +g1000 +tp12181 +a(g303 +g1000 +tp12182 +a(g303 +g960 +tp12183 +a(g862 +g958 +tp12184 +a(g132 +Vdo. +p12185 +tp12186 +a(g862 +g958 +tp12187 +a(g8 +Vpkgs +p12188 +tp12189 +a(g624 +V=. +p12190 +tp12191 +a(g862 +g958 +tp12192 +a(g252 +g1860 +tp12193 +a(g624 +g2314 +tp12194 +a(g624 +g2003 +tp12195 +a(g252 +g1860 +tp12196 +a(g862 +g958 +tp12197 +a(g8 +VPKGDATA +p12198 +tp12199 +a(g862 +g958 +tp12200 +a(g132 +Vend. +p12201 +tp12202 +a(g862 +V\u000a +p12203 +tp12204 +a(g8 +Vpkgs +p12205 +tp12206 +a(g624 +V=. +p12207 +tp12208 +a(g862 +g958 +tp12209 +a(g8 +Vpkgs +p12210 +tp12211 +a(g862 +g958 +tp12212 +a(g647 +g2312 +tp12213 +a(g8 +g997 +tp12214 +a(g624 +g1668 +tp12215 +a(g862 +g958 +tp12216 +a(g624 +g1855 +tp12217 +a(g862 +g958 +tp12218 +a(g624 +g2865 +tp12219 +a(g647 +g1640 +tp12220 +a(g862 +g958 +tp12221 +a(g647 +g2312 +tp12222 +a(g8 +Vpkgups +p12223 +tp12224 +a(g862 +g958 +tp12225 +a(g624 +g1855 +tp12226 +a(g862 +g958 +tp12227 +a(g252 +g1860 +tp12228 +a(g624 +g2320 +tp12229 +a(g624 +g2314 +tp12230 +a(g624 +g2003 +tp12231 +a(g252 +g1860 +tp12232 +a(g624 +g2958 +tp12233 +a(g624 +g2893 +tp12234 +a(g647 +g1640 +tp12235 +a(g8 +VPKGDATA +p12236 +tp12237 +a(g862 +V\u000a +p12238 +tp12239 +a(g8 +Vinstall_console +p12240 +tp12241 +a(g862 +g958 +tp12242 +a(g8 +Vpkgs +p12243 +tp12244 +a(g862 +V\u000a +p12245 +tp12246 +a(g132 +g1640 +tp12247 +a(g862 +V\u000a +p12248 +tp12249 +a(g8 +Vinstalldo +p12250 +tp12251 +a(g624 +V=: +p12252 +tp12253 +a(g862 +g958 +tp12254 +a(g108 +V3 : 0 +p12255 +tp12256 +a(g862 +V\u000a +p12257 +tp12258 +a(g8 +Vmsk +p12259 +tp12260 +a(g624 +V=. +p12261 +tp12262 +a(g862 +g958 +tp12263 +a(g624 +g1712 +tp12264 +a(g624 +g1668 +tp12265 +a(g862 +g958 +tp12266 +a(g28 +g1009 +tp12267 +a(g862 +g958 +tp12268 +a(g8 +g997 +tp12269 +a(g624 +g1668 +tp12270 +a(g862 +g958 +tp12271 +a(g624 +g1071 +tp12272 +a(g8 +VBASELIB +p12273 +tp12274 +a(g862 +V\u000a +p12275 +tp12276 +a(g132 +Vif. +p12277 +tp12278 +a(g862 +g958 +tp12279 +a(g252 +g1019 +tp12280 +a(g862 +g958 +tp12281 +a(g8 +g997 +tp12282 +a(g624 +g1668 +tp12283 +a(g862 +g958 +tp12284 +a(g8 +Vmsk +p12285 +tp12286 +a(g862 +g958 +tp12287 +a(g132 +Vdo. +p12288 +tp12289 +a(g862 +V\u000a +p12290 +tp12291 +a(g8 +Vinstall_library +p12292 +tp12293 +a(g303 +g960 +tp12294 +a(g303 +g960 +tp12295 +a(g862 +V\u000a +p12296 +tp12297 +a(g132 +Vend. +p12298 +tp12299 +a(g862 +V\u000a +p12300 +tp12301 +a(g8 +Vinstall_addon +p12302 +tp12303 +a(g862 +g958 +tp12304 +a(g8 +Veach +p12305 +tp12306 +a(g862 +g958 +tp12307 +a(g8 +Vmsk +p12308 +tp12309 +a(g862 +g958 +tp12310 +a(g624 +g1855 +tp12311 +a(g862 +g958 +tp12312 +a(g28 +g1009 +tp12313 +a(g862 +V\u000a +p12314 +tp12315 +a(g132 +g1640 +tp12316 +a(g862 +V\u000a +p12317 +tp12318 +a(g8 +Vinstall_addon +p12319 +tp12320 +a(g624 +V=: +p12321 +tp12322 +a(g862 +g958 +tp12323 +a(g108 +V3 : 0 +p12324 +tp12325 +a(g862 +V\u000a +p12326 +tp12327 +a(g8 +Vndx +p12328 +tp12329 +a(g624 +V=. +p12330 +tp12331 +a(g862 +g958 +tp12332 +a(g647 +g2312 +tp12333 +a(g624 +g2314 +tp12334 +a(g624 +g1668 +tp12335 +a(g624 +g2003 +tp12336 +a(g252 +g1860 +tp12337 +a(g862 +g958 +tp12338 +a(g8 +VZIPS +p12339 +tp12340 +a(g647 +g1640 +tp12341 +a(g862 +g958 +tp12342 +a(g8 +g1002 +tp12343 +a(g624 +g1668 +tp12344 +a(g862 +g958 +tp12345 +a(g624 +g1071 +tp12346 +a(g28 +g1009 +tp12347 +a(g862 +V\u000a +p12348 +tp12349 +a(g132 +Vif. +p12350 +tp12351 +a(g862 +g958 +tp12352 +a(g8 +Vndx +p12353 +tp12354 +a(g862 +g958 +tp12355 +a(g624 +g2890 +tp12356 +a(g862 +g958 +tp12357 +a(g624 +g1855 +tp12358 +a(g8 +VZIPS +p12359 +tp12360 +a(g862 +g958 +tp12361 +a(g132 +Vdo. +p12362 +tp12363 +a(g862 +g958 +tp12364 +a(g8 +VEMPTY +p12365 +tp12366 +a(g862 +g958 +tp12367 +a(g132 +Vreturn. +p12368 +tp12369 +a(g862 +g958 +tp12370 +a(g132 +Vend. +p12371 +tp12372 +a(g862 +V\u000a +p12373 +tp12374 +a(g8 +Vlog +p12375 +tp12376 +a(g862 +g958 +tp12377 +a(g303 +g960 +tp12378 +a(g303 +g1744 +tp12379 +a(g303 +g1185 +tp12380 +a(g303 +g1215 +tp12381 +a(g303 +g973 +tp12382 +a(g303 +g1000 +tp12383 +a(g303 +g1185 +tp12384 +a(g303 +g966 +tp12385 +a(g303 +g1151 +tp12386 +a(g303 +g1002 +tp12387 +a(g303 +g973 +tp12388 +a(g303 +g1094 +tp12389 +a(g303 +g958 +tp12390 +a(g303 +g960 +tp12391 +a(g624 +g1069 +tp12392 +a(g28 +g1009 +tp12393 +a(g624 +g1069 +tp12394 +a(g303 +g960 +tp12395 +a(g303 +g1668 +tp12396 +a(g303 +g1668 +tp12397 +a(g303 +g1668 +tp12398 +a(g303 +g960 +tp12399 +a(g862 +V\u000a +p12400 +tp12401 +a(g8 +g1325 +tp12402 +a(g624 +V=. +p12403 +tp12404 +a(g862 +g958 +tp12405 +a(g252 +g1340 +tp12406 +a(g862 +g958 +tp12407 +a(g8 +Vpick +p12408 +tp12409 +a(g862 +g958 +tp12410 +a(g8 +Vndx +p12411 +tp12412 +a(g862 +g958 +tp12413 +a(g624 +g2314 +tp12414 +a(g862 +g958 +tp12415 +a(g8 +VZIPS +p12416 +tp12417 +a(g862 +V\u000a +p12418 +tp12419 +a(g303 +g960 +tp12420 +a(g303 +g1005 +tp12421 +a(g303 +g968 +tp12422 +a(g303 +g958 +tp12423 +a(g303 +g964 +tp12424 +a(g303 +g960 +tp12425 +a(g624 +V=. +p12426 +tp12427 +a(g862 +g958 +tp12428 +a(g8 +Vhttpget +p12429 +tp12430 +a(g862 +g958 +tp12431 +a(g8 +VWWW +p12432 +tp12433 +a(g624 +g1069 +tp12434 +a(g303 +g960 +tp12435 +a(g303 +g966 +tp12436 +a(g303 +g1151 +tp12437 +a(g303 +g1151 +tp12438 +a(g303 +g1185 +tp12439 +a(g303 +g973 +tp12440 +a(g303 +g995 +tp12441 +a(g303 +g1144 +tp12442 +a(g303 +g960 +tp12443 +a(g624 +g1069 +tp12444 +a(g8 +g1325 +tp12445 +a(g862 +V\u000a +p12446 +tp12447 +a(g132 +Vif. +p12448 +tp12449 +a(g862 +g958 +tp12450 +a(g8 +Vrc +p12451 +tp12452 +a(g862 +g958 +tp12453 +a(g132 +Vdo. +p12454 +tp12455 +a(g862 +g958 +tp12456 +a(g132 +Vreturn. +p12457 +tp12458 +a(g862 +g958 +tp12459 +a(g132 +Vend. +p12460 +tp12461 +a(g862 +V\u000a +p12462 +tp12463 +a(g8 +Vlog +p12464 +tp12465 +a(g862 +g958 +tp12466 +a(g303 +g960 +tp12467 +a(g303 +g3090 +tp12468 +a(g303 +g973 +tp12469 +a(g303 +g995 +tp12470 +a(g303 +g1154 +tp12471 +a(g303 +g966 +tp12472 +a(g303 +g1000 +tp12473 +a(g303 +g1000 +tp12474 +a(g303 +g1002 +tp12475 +a(g303 +g973 +tp12476 +a(g303 +g1094 +tp12477 +a(g303 +g958 +tp12478 +a(g303 +g960 +tp12479 +a(g624 +g1069 +tp12480 +a(g28 +g1009 +tp12481 +a(g624 +g1069 +tp12482 +a(g303 +g960 +tp12483 +a(g303 +g1668 +tp12484 +a(g303 +g1668 +tp12485 +a(g303 +g1668 +tp12486 +a(g303 +g960 +tp12487 +a(g862 +V\u000a +p12488 +tp12489 +a(g8 +Vmsg +p12490 +tp12491 +a(g624 +V=. +p12492 +tp12493 +a(g862 +g958 +tp12494 +a(g8 +Vunzip +p12495 +tp12496 +a(g862 +g958 +tp12497 +a(g8 +g964 +tp12498 +a(g624 +g1666 +tp12499 +a(g8 +Vjpath +p12500 +tp12501 +a(g303 +g960 +tp12502 +a(g303 +g1857 +tp12503 +a(g303 +g966 +tp12504 +a(g303 +g1151 +tp12505 +a(g303 +g1151 +tp12506 +a(g303 +g1185 +tp12507 +a(g303 +g973 +tp12508 +a(g303 +g995 +tp12509 +a(g303 +g960 +tp12510 +a(g862 +V\u000a +p12511 +tp12512 +a(g8 +Vferase +p12513 +tp12514 +a(g862 +g958 +tp12515 +a(g8 +g964 +tp12516 +a(g862 +V\u000a +p12517 +tp12518 +a(g132 +Vif. +p12519 +tp12520 +a(g862 +g958 +tp12521 +a(g252 +g1019 +tp12522 +a(g624 +g2876 +tp12523 +a(g624 +g1060 +tp12524 +a(g8 +Vfsize +p12525 +tp12526 +a(g862 +g958 +tp12527 +a(g8 +Vjpath +p12528 +tp12529 +a(g303 +g960 +tp12530 +a(g303 +g1857 +tp12531 +a(g303 +g966 +tp12532 +a(g303 +g1151 +tp12533 +a(g303 +g1151 +tp12534 +a(g303 +g1185 +tp12535 +a(g303 +g973 +tp12536 +a(g303 +g995 +tp12537 +a(g303 +g1144 +tp12538 +a(g303 +g960 +tp12539 +a(g624 +g1069 +tp12540 +a(g28 +g1009 +tp12541 +a(g624 +g1069 +tp12542 +a(g303 +g960 +tp12543 +a(g303 +g1144 +tp12544 +a(g303 +g970 +tp12545 +a(g303 +g966 +tp12546 +a(g303 +g973 +tp12547 +a(g303 +g1002 +tp12548 +a(g303 +g1325 +tp12549 +a(g303 +g997 +tp12550 +a(g303 +g995 +tp12551 +a(g303 +g1154 +tp12552 +a(g303 +g1668 +tp12553 +a(g303 +g1002 +tp12554 +a(g303 +g962 +tp12555 +a(g303 +g995 +tp12556 +a(g303 +g960 +tp12557 +a(g862 +g958 +tp12558 +a(g132 +Vdo. +p12559 +tp12560 +a(g862 +V\u000a +p12561 +tp12562 +a(g8 +Vlog +p12563 +tp12564 +a(g862 +g958 +tp12565 +a(g303 +g960 +tp12566 +a(g303 +g1869 +tp12567 +a(g303 +g1989 +tp12568 +a(g303 +g1154 +tp12569 +a(g303 +g1005 +tp12570 +a(g303 +g966 +tp12571 +a(g303 +g968 +tp12572 +a(g303 +g1154 +tp12573 +a(g303 +g1002 +tp12574 +a(g303 +g1185 +tp12575 +a(g303 +g973 +tp12576 +a(g303 +g958 +tp12577 +a(g303 +g1325 +tp12578 +a(g303 +g966 +tp12579 +a(g303 +g1002 +tp12580 +a(g303 +g1000 +tp12581 +a(g303 +g997 +tp12582 +a(g303 +g1151 +tp12583 +a(g303 +g1060 +tp12584 +a(g303 +g958 +tp12585 +a(g303 +g960 +tp12586 +a(g624 +g1069 +tp12587 +a(g8 +Vmsg +p12588 +tp12589 +a(g862 +V\u000a +p12590 +tp12591 +a(g8 +Vinfo +p12592 +tp12593 +a(g862 +g958 +tp12594 +a(g303 +g960 +tp12595 +a(g303 +g1869 +tp12596 +a(g303 +g1989 +tp12597 +a(g303 +g1154 +tp12598 +a(g303 +g1005 +tp12599 +a(g303 +g966 +tp12600 +a(g303 +g968 +tp12601 +a(g303 +g1154 +tp12602 +a(g303 +g1002 +tp12603 +a(g303 +g1185 +tp12604 +a(g303 +g973 +tp12605 +a(g303 +g958 +tp12606 +a(g303 +g1325 +tp12607 +a(g303 +g966 +tp12608 +a(g303 +g1002 +tp12609 +a(g303 +g1000 +tp12610 +a(g303 +g997 +tp12611 +a(g303 +g1151 +tp12612 +a(g303 +g1060 +tp12613 +a(g303 +g960 +tp12614 +a(g624 +g1069 +tp12615 +a(g8 +VLF2 +p12616 +tp12617 +a(g624 +g1069 +tp12618 +a(g8 +Vmsg +p12619 +tp12620 +a(g862 +V\u000a +p12621 +tp12622 +a(g132 +Vreturn. +p12623 +tp12624 +a(g862 +V\u000a +p12625 +tp12626 +a(g132 +Vend. +p12627 +tp12628 +a(g862 +V\u000a +p12629 +tp12630 +a(g8 +Vinstall_addins +p12631 +tp12632 +a(g862 +g958 +tp12633 +a(g28 +g1009 +tp12634 +a(g862 +V\u000a +p12635 +tp12636 +a(g8 +Vinstall_config +p12637 +tp12638 +a(g862 +g958 +tp12639 +a(g28 +g1009 +tp12640 +a(g862 +V\u000a +p12641 +tp12642 +a(g132 +g1640 +tp12643 +a(g862 +V\u000a +p12644 +tp12645 +a(g8 +Vinstall_addins +p12646 +tp12647 +a(g624 +V=: +p12648 +tp12649 +a(g862 +g958 +tp12650 +a(g108 +V3 :0 +p12651 +tp12652 +a(g862 +V\u000a +p12653 +tp12654 +a(g8 +Vfl +p12655 +tp12656 +a(g624 +V=. +p12657 +tp12658 +a(g862 +g958 +tp12659 +a(g8 +VADDCFG +p12660 +tp12661 +a(g624 +g1069 +tp12662 +a(g303 +g960 +tp12663 +a(g303 +g966 +tp12664 +a(g303 +g1151 +tp12665 +a(g303 +g1151 +tp12666 +a(g303 +g1002 +tp12667 +a(g303 +g973 +tp12668 +a(g303 +g995 +tp12669 +a(g303 +g1668 +tp12670 +a(g303 +g1154 +tp12671 +a(g303 +g1989 +tp12672 +a(g303 +g1154 +tp12673 +a(g303 +g960 +tp12674 +a(g862 +V\u000a +p12675 +tp12676 +a(g8 +Vins +p12677 +tp12678 +a(g624 +V=. +p12679 +tp12680 +a(g862 +g958 +tp12681 +a(g8 +Vfixjal2 +p12682 +tp12683 +a(g862 +g958 +tp12684 +a(g8 +Vfreads +p12685 +tp12686 +a(g862 +g958 +tp12687 +a(g8 +Vfl +p12688 +tp12689 +a(g862 +V\u000a +p12690 +tp12691 +a(g8 +Vins +p12692 +tp12693 +a(g624 +V=. +p12694 +tp12695 +a(g862 +g958 +tp12696 +a(g8 +Vins +p12697 +tp12698 +a(g862 +g958 +tp12699 +a(g624 +g1855 +tp12700 +a(g624 +g1857 +tp12701 +a(g862 +g958 +tp12702 +a(g647 +g2312 +tp12703 +a(g624 +g1071 +tp12704 +a(g28 +g1009 +tp12705 +a(g647 +g1640 +tp12706 +a(g862 +g958 +tp12707 +a(g624 +g1857 +tp12708 +a(g624 +g1060 +tp12709 +a(g862 +g958 +tp12710 +a(g624 +g2314 +tp12711 +a(g624 +g1668 +tp12712 +a(g624 +g2003 +tp12713 +a(g252 +g1860 +tp12714 +a(g862 +g958 +tp12715 +a(g8 +Vins +p12716 +tp12717 +a(g862 +V\u000a +p12718 +tp12719 +a(g8 +Vndx +p12720 +tp12721 +a(g624 +V=. +p12722 +tp12723 +a(g862 +g958 +tp12724 +a(g647 +g2312 +tp12725 +a(g624 +g2314 +tp12726 +a(g624 +g1668 +tp12727 +a(g624 +g2003 +tp12728 +a(g252 +g1860 +tp12729 +a(g862 +g958 +tp12730 +a(g8 +VADDONS +p12731 +tp12732 +a(g647 +g1640 +tp12733 +a(g862 +g958 +tp12734 +a(g8 +g1002 +tp12735 +a(g624 +g1668 +tp12736 +a(g862 +g958 +tp12737 +a(g624 +g1071 +tp12738 +a(g28 +g1009 +tp12739 +a(g862 +V\u000a +p12740 +tp12741 +a(g8 +Vins +p12742 +tp12743 +a(g624 +V=. +p12744 +tp12745 +a(g862 +g958 +tp12746 +a(g8 +Vsort +p12747 +tp12748 +a(g862 +g958 +tp12749 +a(g8 +Vins +p12750 +tp12751 +a(g624 +g1069 +tp12752 +a(g862 +g958 +tp12753 +a(g252 +g1371 +tp12754 +a(g862 +g958 +tp12755 +a(g624 +g2314 +tp12756 +a(g624 +g1668 +tp12757 +a(g862 +g958 +tp12758 +a(g8 +Vndx +p12759 +tp12760 +a(g862 +g958 +tp12761 +a(g624 +g2314 +tp12762 +a(g862 +g958 +tp12763 +a(g8 +VADDONS +p12764 +tp12765 +a(g862 +V\u000a +p12766 +tp12767 +a(g647 +g2312 +tp12768 +a(g8 +Vfmtjal2 +p12769 +tp12770 +a(g862 +g958 +tp12771 +a(g8 +Vins +p12772 +tp12773 +a(g647 +g1640 +tp12774 +a(g862 +g958 +tp12775 +a(g8 +Vfwrites +p12776 +tp12777 +a(g862 +g958 +tp12778 +a(g8 +Vfl +p12779 +tp12780 +a(g862 +V\u000a +p12781 +tp12782 +a(g132 +g1640 +tp12783 +a(g862 +V\u000a +p12784 +tp12785 +a(g8 +Vinstall_config +p12786 +tp12787 +a(g624 +V=: +p12788 +tp12789 +a(g862 +g958 +tp12790 +a(g108 +V3 : 0 +p12791 +tp12792 +a(g862 +V\u000a +p12793 +tp12794 +a(g8 +VADDLABS +p12795 +tp12796 +a(g624 +V=: +p12797 +tp12798 +a(g862 +g958 +tp12799 +a(g303 +g960 +tp12800 +a(g303 +g960 +tp12801 +a(g862 +V\u000a +p12802 +tp12803 +a(g252 +g1019 +tp12804 +a(g624 +g2329 +tp12805 +a(g624 +g1060 +tp12806 +a(g252 +g1019 +tp12807 +a(g862 +g958 +tp12808 +a(g624 +g1060 +tp12809 +a(g624 +g1060 +tp12810 +a(g862 +g958 +tp12811 +a(g624 +g2893 +tp12812 +a(g862 +g958 +tp12813 +a(g624 +g1071 +tp12814 +a(g862 +g958 +tp12815 +a(g8 +VADDCFGIJS +p12816 +tp12817 +a(g862 +V\u000a +p12818 +tp12819 +a(g8 +Vinstall_labs +p12820 +tp12821 +a(g862 +g958 +tp12822 +a(g28 +g1009 +tp12823 +a(g862 +V\u000a +p12824 +tp12825 +a(g8 +Vwrite_config +p12826 +tp12827 +a(g303 +g960 +tp12828 +a(g303 +g960 +tp12829 +a(g862 +V\u000a +p12830 +tp12831 +a(g132 +g1640 +tp12832 +a(g862 +V\u000a +p12833 +tp12834 +a(g8 +Vinstall_labs +p12835 +tp12836 +a(g624 +V=: +p12837 +tp12838 +a(g862 +g958 +tp12839 +a(g108 +V3 : 0 +p12840 +tp12841 +a(g862 +V\u000a +p12842 +tp12843 +a(g8 +Vlabs +p12844 +tp12845 +a(g624 +V=. +p12846 +tp12847 +a(g862 +g958 +tp12848 +a(g8 +Vdirtree +p12849 +tp12850 +a(g862 +g958 +tp12851 +a(g8 +Vjpath +p12852 +tp12853 +a(g862 +g958 +tp12854 +a(g303 +g960 +tp12855 +a(g303 +g1857 +tp12856 +a(g303 +g966 +tp12857 +a(g303 +g1151 +tp12858 +a(g303 +g1151 +tp12859 +a(g303 +g1185 +tp12860 +a(g303 +g973 +tp12861 +a(g303 +g995 +tp12862 +a(g303 +g1144 +tp12863 +a(g303 +g960 +tp12864 +a(g624 +g1069 +tp12865 +a(g28 +g1009 +tp12866 +a(g624 +g1069 +tp12867 +a(g303 +g960 +tp12868 +a(g303 +g1144 +tp12869 +a(g303 +g2870 +tp12870 +a(g303 +g1668 +tp12871 +a(g303 +g1002 +tp12872 +a(g303 +g962 +tp12873 +a(g303 +g1154 +tp12874 +a(g303 +g960 +tp12875 +a(g862 +V\u000a +p12876 +tp12877 +a(g132 +Vif. +p12878 +tp12879 +a(g862 +g958 +tp12880 +a(g252 +g1019 +tp12881 +a(g624 +g2890 +tp12882 +a(g624 +g1855 +tp12883 +a(g8 +Vlabs +p12884 +tp12885 +a(g862 +g958 +tp12886 +a(g132 +Vdo. +p12887 +tp12888 +a(g862 +g958 +tp12889 +a(g132 +Vreturn. +p12890 +tp12891 +a(g862 +g958 +tp12892 +a(g132 +Vend. +p12893 +tp12894 +a(g862 +V\u000a +p12895 +tp12896 +a(g8 +Vpfx +p12897 +tp12898 +a(g624 +V=. +p12899 +tp12900 +a(g862 +g958 +tp12901 +a(g8 +Vjpath +p12902 +tp12903 +a(g862 +g958 +tp12904 +a(g303 +g960 +tp12905 +a(g303 +g1857 +tp12906 +a(g303 +g966 +tp12907 +a(g303 +g1151 +tp12908 +a(g303 +g1151 +tp12909 +a(g303 +g1185 +tp12910 +a(g303 +g973 +tp12911 +a(g303 +g995 +tp12912 +a(g303 +g1144 +tp12913 +a(g303 +g960 +tp12914 +a(g862 +V\u000a +p12915 +tp12916 +a(g8 +Vlabs +p12917 +tp12918 +a(g624 +V=. +p12919 +tp12920 +a(g862 +g958 +tp12921 +a(g647 +g2312 +tp12922 +a(g624 +g1855 +tp12923 +a(g8 +Vpfx +p12924 +tp12925 +a(g647 +g1640 +tp12926 +a(g862 +g958 +tp12927 +a(g624 +g3103 +tp12928 +a(g624 +g1668 +tp12929 +a(g8 +Veach +p12930 +tp12931 +a(g862 +g958 +tp12932 +a(g624 +g2314 +tp12933 +a(g624 +g1668 +tp12934 +a(g624 +g2003 +tp12935 +a(g252 +g1860 +tp12936 +a(g862 +g958 +tp12937 +a(g8 +Vlabs +p12938 +tp12939 +a(g862 +V\u000a +p12940 +tp12941 +a(g8 +VLABCATEGORY +p12942 +tp12943 +a(g624 +V=: +p12944 +tp12945 +a(g862 +g958 +tp12946 +a(g303 +g960 +tp12947 +a(g303 +g960 +tp12948 +a(g862 +V\u000a +p12949 +tp12950 +a(g252 +g1019 +tp12951 +a(g624 +g2329 +tp12952 +a(g624 +g1060 +tp12953 +a(g252 +g1019 +tp12954 +a(g862 +g958 +tp12955 +a(g624 +g1060 +tp12956 +a(g624 +g1060 +tp12957 +a(g624 +g2893 +tp12958 +a(g862 +g958 +tp12959 +a(g624 +g1071 +tp12960 +a(g8 +Vjpath +p12961 +tp12962 +a(g862 +g958 +tp12963 +a(g303 +g960 +tp12964 +a(g303 +g1857 +tp12965 +a(g303 +g966 +tp12966 +a(g303 +g1151 +tp12967 +a(g303 +g1151 +tp12968 +a(g303 +g1185 +tp12969 +a(g303 +g973 +tp12970 +a(g303 +g995 +tp12971 +a(g303 +g1144 +tp12972 +a(g303 +g960 +tp12973 +a(g624 +g1069 +tp12974 +a(g28 +g1009 +tp12975 +a(g624 +g1069 +tp12976 +a(g303 +g960 +tp12977 +a(g303 +g1144 +tp12978 +a(g303 +g970 +tp12979 +a(g303 +g966 +tp12980 +a(g303 +g973 +tp12981 +a(g303 +g1002 +tp12982 +a(g303 +g1325 +tp12983 +a(g303 +g997 +tp12984 +a(g303 +g995 +tp12985 +a(g303 +g1154 +tp12986 +a(g303 +g1668 +tp12987 +a(g303 +g1002 +tp12988 +a(g303 +g962 +tp12989 +a(g303 +g995 +tp12990 +a(g303 +g960 +tp12991 +a(g862 +V\u000a +p12992 +tp12993 +a(g8 +Vcat +p12994 +tp12995 +a(g624 +V=. +p12996 +tp12997 +a(g862 +g958 +tp12998 +a(g8 +VLABCATEGORY +p12999 +tp13000 +a(g862 +V\u000a +p13001 +tp13002 +a(g132 +Vif. +p13003 +tp13004 +a(g862 +g958 +tp13005 +a(g252 +g1019 +tp13006 +a(g862 +g958 +tp13007 +a(g624 +g2890 +tp13008 +a(g862 +g958 +tp13009 +a(g624 +g1855 +tp13010 +a(g8 +Vcat +p13011 +tp13012 +a(g862 +g958 +tp13013 +a(g132 +Vdo. +p13014 +tp13015 +a(g862 +V\u000a +p13016 +tp13017 +a(g8 +Vcat +p13018 +tp13019 +a(g624 +V=. +p13020 +tp13021 +a(g862 +g958 +tp13022 +a(g8 +Vtoupper1 +p13023 +tp13024 +a(g862 +g958 +tp13025 +a(g647 +g2312 +tp13026 +a(g28 +g1009 +tp13027 +a(g862 +g958 +tp13028 +a(g8 +g1002 +tp13029 +a(g624 +g1668 +tp13030 +a(g862 +g958 +tp13031 +a(g303 +g960 +tp13032 +a(g303 +g1144 +tp13033 +a(g303 +g960 +tp13034 +a(g647 +g1640 +tp13035 +a(g862 +g958 +tp13036 +a(g624 +g2314 +tp13037 +a(g624 +g1668 +tp13038 +a(g862 +g958 +tp13039 +a(g28 +g1009 +tp13040 +a(g862 +V\u000a +p13041 +tp13042 +a(g132 +Vend. +p13043 +tp13044 +a(g862 +V\u000a +p13045 +tp13046 +a(g8 +Vnew +p13047 +tp13048 +a(g624 +V=. +p13049 +tp13050 +a(g862 +g958 +tp13051 +a(g8 +Vlabs +p13052 +tp13053 +a(g862 +g958 +tp13054 +a(g624 +g1069 +tp13055 +a(g8 +Veach +p13056 +tp13057 +a(g862 +g958 +tp13058 +a(g624 +g1071 +tp13059 +a(g303 +g960 +tp13060 +a(g303 +g958 +tp13061 +a(g303 +g960 +tp13062 +a(g624 +g1069 +tp13063 +a(g8 +Vcat +p13064 +tp13065 +a(g862 +V\u000a +p13066 +tp13067 +a(g8 +Vtxt +p13068 +tp13069 +a(g624 +V=. +p13070 +tp13071 +a(g862 +g958 +tp13072 +a(g8 +Vsort +p13073 +tp13074 +a(g862 +g958 +tp13075 +a(g624 +g1857 +tp13076 +a(g624 +g1668 +tp13077 +a(g862 +g958 +tp13078 +a(g8 +Vnew +p13079 +tp13080 +a(g624 +g1069 +tp13081 +a(g624 +g1071 +tp13082 +a(g624 +g1666 +tp13083 +a(g624 +g1668 +tp13084 +a(g252 +V_2 +p13085 +tp13086 +a(g862 +g958 +tp13087 +a(g8 +VADDLABS +p13088 +tp13089 +a(g862 +V\u000a +p13090 +tp13091 +a(g8 +Vndx +p13092 +tp13093 +a(g624 +V=. +p13094 +tp13095 +a(g862 +g958 +tp13096 +a(g252 +g2873 +tp13097 +a(g862 +g958 +tp13098 +a(g624 +g2879 +tp13099 +a(g862 +g958 +tp13100 +a(g647 +g2312 +tp13101 +a(g252 +g1860 +tp13102 +a(g862 +g958 +tp13103 +a(g8 +g1002 +tp13104 +a(g624 +g1668 +tp13105 +a(g624 +g1857 +tp13106 +a(g862 +g958 +tp13107 +a(g303 +g960 +tp13108 +a(g303 +g1668 +tp13109 +a(g303 +g1002 +tp13110 +a(g303 +g962 +tp13111 +a(g303 +g1154 +tp13112 +a(g303 +g960 +tp13113 +a(g624 +g2320 +tp13114 +a(g8 +g1869 +tp13115 +a(g624 +g1668 +tp13116 +a(g647 +g1640 +tp13117 +a(g862 +g958 +tp13118 +a(g624 +g2320 +tp13119 +a(g624 +g2876 +tp13120 +a(g862 +g958 +tp13121 +a(g8 +Vtxt +p13122 +tp13123 +a(g862 +V\u000a +p13124 +tp13125 +a(g8 +Vmsk +p13126 +tp13127 +a(g624 +V=. +p13128 +tp13129 +a(g862 +g958 +tp13130 +a(g8 +Vfexist +p13131 +tp13132 +a(g862 +g958 +tp13133 +a(g624 +g2320 +tp13134 +a(g624 +g2876 +tp13135 +a(g862 +g958 +tp13136 +a(g647 +g2312 +tp13137 +a(g624 +g1071 +tp13138 +a(g8 +Vpfx +p13139 +tp13140 +a(g647 +g1640 +tp13141 +a(g862 +g958 +tp13142 +a(g624 +g1069 +tp13143 +a(g8 +Veach +p13144 +tp13145 +a(g862 +g958 +tp13146 +a(g8 +Vndx +p13147 +tp13148 +a(g862 +g958 +tp13149 +a(g624 +g2314 +tp13150 +a(g624 +g1668 +tp13151 +a(g8 +Veach +p13152 +tp13153 +a(g862 +g958 +tp13154 +a(g8 +Vtxt +p13155 +tp13156 +a(g862 +V\u000a +p13157 +tp13158 +a(g8 +Vtxt +p13159 +tp13160 +a(g624 +V=. +p13161 +tp13162 +a(g862 +g958 +tp13163 +a(g8 +Vmsk +p13164 +tp13165 +a(g862 +g958 +tp13166 +a(g624 +g1855 +tp13167 +a(g862 +g958 +tp13168 +a(g8 +Vtxt +p13169 +tp13170 +a(g862 +V\u000a +p13171 +tp13172 +a(g8 +VADDLABS +p13173 +tp13174 +a(g624 +V=: +p13175 +tp13176 +a(g862 +g958 +tp13177 +a(g624 +g1666 +tp13178 +a(g862 +g958 +tp13179 +a(g8 +Vtxt +p13180 +tp13181 +a(g862 +g958 +tp13182 +a(g624 +g1069 +tp13183 +a(g8 +Veach +p13184 +tp13185 +a(g862 +g958 +tp13186 +a(g8 +VLF +p13187 +tp13188 +a(g862 +V\u000a +p13189 +tp13190 +a(g132 +g1640 +tp13191 +a(g862 +V\u000a +p13192 +tp13193 +a(g8 +Vinstall_library +p13194 +tp13195 +a(g624 +V=: +p13196 +tp13197 +a(g862 +g958 +tp13198 +a(g108 +V3 : 0 +p13199 +tp13200 +a(g862 +V\u000a +p13201 +tp13202 +a(g8 +Vlog +p13203 +tp13204 +a(g862 +g958 +tp13205 +a(g303 +g960 +tp13206 +a(g303 +g1744 +tp13207 +a(g303 +g1185 +tp13208 +a(g303 +g1215 +tp13209 +a(g303 +g973 +tp13210 +a(g303 +g1000 +tp13211 +a(g303 +g1185 +tp13212 +a(g303 +g966 +tp13213 +a(g303 +g1151 +tp13214 +a(g303 +g1002 +tp13215 +a(g303 +g973 +tp13216 +a(g303 +g1094 +tp13217 +a(g303 +g958 +tp13218 +a(g303 +g992 +tp13219 +a(g303 +g966 +tp13220 +a(g303 +g995 +tp13221 +a(g303 +g997 +tp13222 +a(g303 +g958 +tp13223 +a(g303 +g1000 +tp13224 +a(g303 +g1002 +tp13225 +a(g303 +g992 +tp13226 +a(g303 +g1005 +tp13227 +a(g303 +g966 +tp13228 +a(g303 +g1005 +tp13229 +a(g303 +g1009 +tp13230 +a(g303 +g1668 +tp13231 +a(g303 +g1668 +tp13232 +a(g303 +g1668 +tp13233 +a(g303 +g960 +tp13234 +a(g862 +V\u000a +p13235 +tp13236 +a(g8 +g1325 +tp13237 +a(g624 +V=. +p13238 +tp13239 +a(g862 +g958 +tp13240 +a(g252 +g1860 +tp13241 +a(g862 +g958 +tp13242 +a(g8 +Vpick +p13243 +tp13244 +a(g862 +g958 +tp13245 +a(g8 +VLIB +p13246 +tp13247 +a(g862 +V\u000a +p13248 +tp13249 +a(g303 +g960 +tp13250 +a(g303 +g1005 +tp13251 +a(g303 +g968 +tp13252 +a(g303 +g958 +tp13253 +a(g303 +g964 +tp13254 +a(g303 +g960 +tp13255 +a(g624 +V=. +p13256 +tp13257 +a(g862 +g958 +tp13258 +a(g8 +Vhttpget +p13259 +tp13260 +a(g862 +g958 +tp13261 +a(g8 +VWWW +p13262 +tp13263 +a(g624 +g1069 +tp13264 +a(g303 +g960 +tp13265 +a(g303 +g1000 +tp13266 +a(g303 +g1002 +tp13267 +a(g303 +g992 +tp13268 +a(g303 +g1005 +tp13269 +a(g303 +g966 +tp13270 +a(g303 +g1005 +tp13271 +a(g303 +g1009 +tp13272 +a(g303 +g1144 +tp13273 +a(g303 +g960 +tp13274 +a(g624 +g1069 +tp13275 +a(g8 +g1325 +tp13276 +a(g862 +V\u000a +p13277 +tp13278 +a(g132 +Vif. +p13279 +tp13280 +a(g862 +g958 +tp13281 +a(g8 +Vrc +p13282 +tp13283 +a(g862 +g958 +tp13284 +a(g132 +Vdo. +p13285 +tp13286 +a(g862 +g958 +tp13287 +a(g132 +Vreturn. +p13288 +tp13289 +a(g862 +g958 +tp13290 +a(g132 +Vend. +p13291 +tp13292 +a(g862 +V\u000a +p13293 +tp13294 +a(g8 +Vlog +p13295 +tp13296 +a(g862 +g958 +tp13297 +a(g303 +g960 +tp13298 +a(g303 +g3090 +tp13299 +a(g303 +g973 +tp13300 +a(g303 +g995 +tp13301 +a(g303 +g1154 +tp13302 +a(g303 +g966 +tp13303 +a(g303 +g1000 +tp13304 +a(g303 +g1000 +tp13305 +a(g303 +g1002 +tp13306 +a(g303 +g973 +tp13307 +a(g303 +g1094 +tp13308 +a(g303 +g958 +tp13309 +a(g303 +g992 +tp13310 +a(g303 +g966 +tp13311 +a(g303 +g995 +tp13312 +a(g303 +g997 +tp13313 +a(g303 +g958 +tp13314 +a(g303 +g1000 +tp13315 +a(g303 +g1002 +tp13316 +a(g303 +g992 +tp13317 +a(g303 +g1005 +tp13318 +a(g303 +g966 +tp13319 +a(g303 +g1005 +tp13320 +a(g303 +g1009 +tp13321 +a(g303 +g1668 +tp13322 +a(g303 +g1668 +tp13323 +a(g303 +g1668 +tp13324 +a(g303 +g960 +tp13325 +a(g862 +V\u000a +p13326 +tp13327 +a(g8 +Vunzip +p13328 +tp13329 +a(g862 +g958 +tp13330 +a(g8 +g964 +tp13331 +a(g624 +g1666 +tp13332 +a(g8 +Vjpath +p13333 +tp13334 +a(g303 +g960 +tp13335 +a(g303 +g1857 +tp13336 +a(g303 +g995 +tp13337 +a(g303 +g1009 +tp13338 +a(g303 +g995 +tp13339 +a(g303 +g1154 +tp13340 +a(g303 +g997 +tp13341 +a(g303 +g970 +tp13342 +a(g303 +g960 +tp13343 +a(g862 +V\u000a +p13344 +tp13345 +a(g8 +Vferase +p13346 +tp13347 +a(g862 +g958 +tp13348 +a(g8 +g964 +tp13349 +a(g862 +V\u000a +p13350 +tp13351 +a(g8 +Vreadlin +p13352 +tp13353 +a(g303 +g960 +tp13354 +a(g303 +g960 +tp13355 +a(g862 +V\u000a +p13356 +tp13357 +a(g132 +g1640 +tp13358 +a(g862 +V\u000a +p13359 +tp13360 +a(g8 +Vwrite_config +p13361 +tp13362 +a(g624 +V=: +p13363 +tp13364 +a(g862 +g958 +tp13365 +a(g108 +V3 : 0 +p13366 +tp13367 +a(g862 +V\u000a +p13368 +tp13369 +a(g8 +Vtxt +p13370 +tp13371 +a(g624 +V=. +p13372 +tp13373 +a(g862 +g958 +tp13374 +a(g303 +g960 +tp13375 +a(g303 +g6755 +tp13376 +a(g303 +g6115 +tp13377 +a(g303 +g1668 +tp13378 +a(g303 +g958 +tp13379 +a(g303 +g1074 +tp13380 +a(g303 +g1151 +tp13381 +a(g303 +g1151 +tp13382 +a(g303 +g1185 +tp13383 +a(g303 +g973 +tp13384 +a(g303 +g958 +tp13385 +a(g303 +g968 +tp13386 +a(g303 +g1185 +tp13387 +a(g303 +g973 +tp13388 +a(g303 +g1325 +tp13389 +a(g303 +g1002 +tp13390 +a(g303 +g1094 +tp13391 +a(g303 +g1260 +tp13392 +a(g303 +g1005 +tp13393 +a(g303 +g966 +tp13394 +a(g303 +g1154 +tp13395 +a(g303 +g1002 +tp13396 +a(g303 +g1185 +tp13397 +a(g303 +g973 +tp13398 +a(g303 +g960 +tp13399 +a(g624 +g1069 +tp13400 +a(g8 +VLF2 +p13401 +tp13402 +a(g862 +V\u000a +p13403 +tp13404 +a(g8 +Vtxt +p13405 +tp13406 +a(g624 +V=. +p13407 +tp13408 +a(g862 +g958 +tp13409 +a(g8 +Vtxt +p13410 +tp13411 +a(g624 +g1069 +tp13412 +a(g303 +g960 +tp13413 +a(g303 +g1074 +tp13414 +a(g303 +g1744 +tp13415 +a(g303 +g1744 +tp13416 +a(g303 +g1786 +tp13417 +a(g303 +g1074 +tp13418 +a(g303 +g6115 +tp13419 +a(g303 +g1796 +tp13420 +a(g303 +g2890 +tp13421 +a(g303 +g1060 +tp13422 +a(g303 +g958 +tp13423 +a(g303 +g1019 +tp13424 +a(g303 +g958 +tp13425 +a(g303 +g1060 +tp13426 +a(g303 +g958 +tp13427 +a(g303 +g1019 +tp13428 +a(g303 +g960 +tp13429 +a(g624 +g1069 +tp13430 +a(g8 +VLF +p13431 +tp13432 +a(g624 +g1069 +tp13433 +a(g8 +VADDLABS +p13434 +tp13435 +a(g624 +g1069 +tp13436 +a(g303 +g960 +tp13437 +a(g303 +g1640 +tp13438 +a(g303 +g960 +tp13439 +a(g624 +g1069 +tp13440 +a(g8 +VLF +p13441 +tp13442 +a(g862 +V\u000a +p13443 +tp13444 +a(g8 +Vtxt +p13445 +tp13446 +a(g862 +g958 +tp13447 +a(g8 +Vfwrites +p13448 +tp13449 +a(g862 +g958 +tp13450 +a(g8 +VADDCFGIJS +p13451 +tp13452 +a(g862 +V\u000a +p13453 +tp13454 +a(g132 +g1640 +tp13455 +a(g862 +V\u000a +p13456 +tp13457 +a(g8 +Vshow_console +p13458 +tp13459 +a(g624 +V=: +p13460 +tp13461 +a(g862 +g958 +tp13462 +a(g108 +V4 : 0 +p13463 +tp13464 +a(g862 +V\u000a +p13465 +tp13466 +a(g132 +Vif. +p13467 +tp13468 +a(g862 +g958 +tp13469 +a(g624 +g1712 +tp13470 +a(g624 +g1668 +tp13471 +a(g862 +g958 +tp13472 +a(g8 +Vinit_console +p13473 +tp13474 +a(g862 +g958 +tp13475 +a(g303 +g960 +tp13476 +a(g303 +g1005 +tp13477 +a(g303 +g997 +tp13478 +a(g303 +g966 +tp13479 +a(g303 +g1151 +tp13480 +a(g303 +g960 +tp13481 +a(g862 +g958 +tp13482 +a(g132 +Vdo. +p13483 +tp13484 +a(g862 +g958 +tp13485 +a(g303 +g960 +tp13486 +a(g303 +g960 +tp13487 +a(g862 +g958 +tp13488 +a(g132 +Vreturn. +p13489 +tp13490 +a(g862 +g958 +tp13491 +a(g132 +Vend. +p13492 +tp13493 +a(g862 +V\u000a +p13494 +tp13495 +a(g132 +Vselect. +p13496 +tp13497 +a(g862 +g958 +tp13498 +a(g28 +g1989 +tp13499 +a(g862 +V\u000a +p13500 +tp13501 +a(g132 +Vcase. +p13502 +tp13503 +a(g862 +g958 +tp13504 +a(g303 +g960 +tp13505 +a(g303 +g995 +tp13506 +a(g303 +g997 +tp13507 +a(g303 +g966 +tp13508 +a(g303 +g1005 +tp13509 +a(g303 +g968 +tp13510 +a(g303 +g1205 +tp13511 +a(g303 +g960 +tp13512 +a(g862 +g958 +tp13513 +a(g132 +Vdo. +p13514 +tp13515 +a(g862 +V\u000a +p13516 +tp13517 +a(g8 +Vpkgs +p13518 +tp13519 +a(g624 +V=. +p13520 +tp13521 +a(g862 +g958 +tp13522 +a(g8 +Vgetnames +p13523 +tp13524 +a(g862 +g958 +tp13525 +a(g28 +g1009 +tp13526 +a(g862 +V\u000a +p13527 +tp13528 +a(g8 +Vres +p13529 +tp13530 +a(g624 +V=. +p13531 +tp13532 +a(g862 +g958 +tp13533 +a(g647 +g2312 +tp13534 +a(g8 +Vpkgsearch +p13535 +tp13536 +a(g862 +g958 +tp13537 +a(g8 +Vpkgs +p13538 +tp13539 +a(g647 +g1640 +tp13540 +a(g862 +g958 +tp13541 +a(g624 +g1855 +tp13542 +a(g862 +g958 +tp13543 +a(g252 +g1860 +tp13544 +a(g862 +g958 +tp13545 +a(g252 +g1371 +tp13546 +a(g862 +g958 +tp13547 +a(g252 +g1340 +tp13548 +a(g862 +g958 +tp13549 +a(g252 +g2873 +tp13550 +a(g862 +g958 +tp13551 +a(g624 +g2314 +tp13552 +a(g624 +g2003 +tp13553 +a(g252 +g1860 +tp13554 +a(g862 +g958 +tp13555 +a(g8 +VPKGDATA +p13556 +tp13557 +a(g862 +V\u000a +p13558 +tp13559 +a(g8 +Vres +p13560 +tp13561 +a(g624 +V=. +p13562 +tp13563 +a(g862 +g958 +tp13564 +a(g8 +Vcurtailcaption +p13565 +tp13566 +a(g862 +g958 +tp13567 +a(g8 +Vres +p13568 +tp13569 +a(g862 +V\u000a +p13570 +tp13571 +a(g132 +Vcase. +p13572 +tp13573 +a(g862 +g958 +tp13574 +a(g303 +g960 +tp13575 +a(g303 +g995 +tp13576 +a(g303 +g1205 +tp13577 +a(g303 +g1185 +tp13578 +a(g303 +g1215 +tp13579 +a(g303 +g960 +tp13580 +a(g862 +g958 +tp13581 +a(g132 +Vdo. +p13582 +tp13583 +a(g862 +V\u000a +p13584 +tp13585 +a(g8 +Vpkgs +p13586 +tp13587 +a(g624 +V=. +p13588 +tp13589 +a(g862 +g958 +tp13590 +a(g8 +Vgetnames +p13591 +tp13592 +a(g862 +g958 +tp13593 +a(g28 +g1009 +tp13594 +a(g862 +V\u000a +p13595 +tp13596 +a(g132 +Vif. +p13597 +tp13598 +a(g862 +g958 +tp13599 +a(g8 +Vpkgs +p13600 +tp13601 +a(g862 +g958 +tp13602 +a(g624 +g1712 +tp13603 +a(g624 +g1060 +tp13604 +a(g862 +g958 +tp13605 +a(g624 +g1069 +tp13606 +a(g624 +g1071 +tp13607 +a(g303 +g960 +tp13608 +a(g303 +g966 +tp13609 +a(g303 +g1000 +tp13610 +a(g303 +g1000 +tp13611 +a(g303 +g960 +tp13612 +a(g862 +g958 +tp13613 +a(g132 +Vdo. +p13614 +tp13615 +a(g862 +g958 +tp13616 +a(g8 +Vpkgs +p13617 +tp13618 +a(g624 +V=. +p13619 +tp13620 +a(g862 +g958 +tp13621 +a(g252 +g1860 +tp13622 +a(g862 +g958 +tp13623 +a(g624 +g2314 +tp13624 +a(g624 +g2003 +tp13625 +a(g252 +g1860 +tp13626 +a(g862 +g958 +tp13627 +a(g8 +VPKGDATA +p13628 +tp13629 +a(g862 +g958 +tp13630 +a(g132 +Vend. +p13631 +tp13632 +a(g862 +V\u000a +p13633 +tp13634 +a(g8 +Vres +p13635 +tp13636 +a(g624 +V=. +p13637 +tp13638 +a(g862 +g958 +tp13639 +a(g647 +g2312 +tp13640 +a(g8 +Vmsk +p13641 +tp13642 +a(g624 +V=. +p13643 +tp13644 +a(g862 +g958 +tp13645 +a(g8 +Vpkgshow +p13646 +tp13647 +a(g862 +g958 +tp13648 +a(g8 +Vpkgs +p13649 +tp13650 +a(g647 +g1640 +tp13651 +a(g862 +g958 +tp13652 +a(g624 +g1855 +tp13653 +a(g862 +g958 +tp13654 +a(g252 +g2918 +tp13655 +a(g862 +g958 +tp13656 +a(g624 +g2314 +tp13657 +a(g624 +g2003 +tp13658 +a(g252 +g1860 +tp13659 +a(g862 +g958 +tp13660 +a(g8 +VPKGDATA +p13661 +tp13662 +a(g862 +V\u000a +p13663 +tp13664 +a(g132 +Vif. +p13665 +tp13666 +a(g862 +g958 +tp13667 +a(g624 +g1855 +tp13668 +a(g8 +Vres +p13669 +tp13670 +a(g862 +g958 +tp13671 +a(g132 +Vdo. +p13672 +tp13673 +a(g862 +V\u000a +p13674 +tp13675 +a(g8 +Vres +p13676 +tp13677 +a(g624 +V=. +p13678 +tp13679 +a(g862 +g958 +tp13680 +a(g624 +g1069 +tp13681 +a(g647 +g2312 +tp13682 +a(g647 +g2312 +tp13683 +a(g624 +g1071 +tp13684 +a(g303 +g960 +tp13685 +a(g303 +g2890 +tp13686 +a(g303 +g2890 +tp13687 +a(g303 +g958 +tp13688 +a(g303 +g960 +tp13689 +a(g647 +g1640 +tp13690 +a(g624 +g1069 +tp13691 +a(g862 +g958 +tp13692 +a(g624 +g2320 +tp13693 +a(g624 +g1668 +tp13694 +a(g624 +g2876 +tp13695 +a(g862 +g958 +tp13696 +a(g8 +Vmsk +p13697 +tp13698 +a(g862 +g958 +tp13699 +a(g624 +g1855 +tp13700 +a(g862 +g958 +tp13701 +a(g252 +g1860 +tp13702 +a(g862 +g958 +tp13703 +a(g624 +g2314 +tp13704 +a(g624 +g2003 +tp13705 +a(g252 +g1860 +tp13706 +a(g862 +g958 +tp13707 +a(g8 +VPKGDATA +p13708 +tp13709 +a(g647 +g1640 +tp13710 +a(g862 +g958 +tp13711 +a(g624 +g1069 +tp13712 +a(g624 +g1668 +tp13713 +a(g862 +g958 +tp13714 +a(g8 +Vres +p13715 +tp13716 +a(g862 +V\u000a +p13717 +tp13718 +a(g8 +Vres +p13719 +tp13720 +a(g624 +V=. +p13721 +tp13722 +a(g862 +g958 +tp13723 +a(g647 +g2312 +tp13724 +a(g252 +g1371 +tp13725 +a(g624 +g1855 +tp13726 +a(g8 +VLF +p13727 +tp13728 +a(g647 +g1640 +tp13729 +a(g862 +g958 +tp13730 +a(g8 +Vjoinstring +p13731 +tp13732 +a(g862 +g958 +tp13733 +a(g647 +g2312 +tp13734 +a(g252 +V70 +p13735 +tp13736 +a(g624 +g2320 +tp13737 +a(g8 +Vfoldtext +p13738 +tp13739 +a(g647 +g1640 +tp13740 +a(g624 +g2320 +tp13741 +a(g624 +g1668 +tp13742 +a(g624 +g2876 +tp13743 +a(g862 +g958 +tp13744 +a(g8 +Vres +p13745 +tp13746 +a(g862 +V\u000a +p13747 +tp13748 +a(g132 +Vend. +p13749 +tp13750 +a(g862 +V\u000a +p13751 +tp13752 +a(g132 +Vcase. +p13753 +tp13754 +a(g862 +g958 +tp13755 +a(g303 +g960 +tp13756 +a(g303 +g995 +tp13757 +a(g303 +g1205 +tp13758 +a(g303 +g1185 +tp13759 +a(g303 +g1215 +tp13760 +a(g303 +g1002 +tp13761 +a(g303 +g973 +tp13762 +a(g303 +g995 +tp13763 +a(g303 +g1154 +tp13764 +a(g303 +g966 +tp13765 +a(g303 +g1000 +tp13766 +a(g303 +g1000 +tp13767 +a(g303 +g997 +tp13768 +a(g303 +g1151 +tp13769 +a(g303 +g960 +tp13770 +a(g862 +g958 +tp13771 +a(g132 +Vdo. +p13772 +tp13773 +a(g862 +V\u000a +p13774 +tp13775 +a(g8 +Vdat +p13776 +tp13777 +a(g624 +V=. +p13778 +tp13779 +a(g862 +g958 +tp13780 +a(g647 +g2312 +tp13781 +a(g8 +Visjpkgout +p13782 +tp13783 +a(g862 +g958 +tp13784 +a(g28 +g1009 +tp13785 +a(g647 +g1640 +tp13786 +a(g862 +g958 +tp13787 +a(g624 +g2314 +tp13788 +a(g624 +g1060 +tp13789 +a(g624 +g1060 +tp13790 +a(g862 +g958 +tp13791 +a(g647 +g2312 +tp13792 +a(g252 +g1860 +tp13793 +a(g862 +g958 +tp13794 +a(g252 +g1371 +tp13795 +a(g862 +g958 +tp13796 +a(g252 +g1340 +tp13797 +a(g862 +g958 +tp13798 +a(g252 +g2873 +tp13799 +a(g862 +g958 +tp13800 +a(g624 +g2314 +tp13801 +a(g624 +g2003 +tp13802 +a(g252 +g1860 +tp13803 +a(g862 +g958 +tp13804 +a(g8 +VPKGDATA +p13805 +tp13806 +a(g647 +g1640 +tp13807 +a(g624 +g1666 +tp13808 +a(g624 +g1071 +tp13809 +a(g28 +g1009 +tp13810 +a(g862 +V\u000a +p13811 +tp13812 +a(g8 +Vres +p13813 +tp13814 +a(g624 +V=. +p13815 +tp13816 +a(g862 +g958 +tp13817 +a(g647 +g2312 +tp13818 +a(g624 +g1712 +tp13819 +a(g624 +g1668 +tp13820 +a(g624 +g2958 +tp13821 +a(g8 +Vpkgnew +p13822 +tp13823 +a(g862 +g958 +tp13824 +a(g624 +g1855 +tp13825 +a(g862 +g958 +tp13826 +a(g624 +g2893 +tp13827 +a(g647 +g1640 +tp13828 +a(g8 +Vdat +p13829 +tp13830 +a(g862 +V\u000a +p13831 +tp13832 +a(g8 +Vres +p13833 +tp13834 +a(g624 +V=. +p13835 +tp13836 +a(g862 +g958 +tp13837 +a(g8 +Vcurtailcaption +p13838 +tp13839 +a(g862 +g958 +tp13840 +a(g8 +Vres +p13841 +tp13842 +a(g862 +V\u000a +p13843 +tp13844 +a(g132 +Vcase. +p13845 +tp13846 +a(g862 +g958 +tp13847 +a(g303 +g960 +tp13848 +a(g303 +g995 +tp13849 +a(g303 +g1205 +tp13850 +a(g303 +g1185 +tp13851 +a(g303 +g1215 +tp13852 +a(g303 +g973 +tp13853 +a(g303 +g1185 +tp13854 +a(g303 +g1154 +tp13855 +a(g303 +g1002 +tp13856 +a(g303 +g973 +tp13857 +a(g303 +g995 +tp13858 +a(g303 +g1154 +tp13859 +a(g303 +g966 +tp13860 +a(g303 +g1000 +tp13861 +a(g303 +g1000 +tp13862 +a(g303 +g997 +tp13863 +a(g303 +g1151 +tp13864 +a(g303 +g960 +tp13865 +a(g862 +g958 +tp13866 +a(g132 +Vdo. +p13867 +tp13868 +a(g862 +V\u000a +p13869 +tp13870 +a(g8 +Vdat +p13871 +tp13872 +a(g624 +V=. +p13873 +tp13874 +a(g862 +g958 +tp13875 +a(g647 +g2312 +tp13876 +a(g8 +Visjpkgout +p13877 +tp13878 +a(g862 +g958 +tp13879 +a(g28 +g1009 +tp13880 +a(g647 +g1640 +tp13881 +a(g862 +g958 +tp13882 +a(g624 +g2314 +tp13883 +a(g624 +g1060 +tp13884 +a(g624 +g1060 +tp13885 +a(g862 +g958 +tp13886 +a(g647 +g2312 +tp13887 +a(g252 +g1860 +tp13888 +a(g862 +g958 +tp13889 +a(g252 +g1371 +tp13890 +a(g862 +g958 +tp13891 +a(g252 +g1340 +tp13892 +a(g862 +g958 +tp13893 +a(g252 +g2873 +tp13894 +a(g862 +g958 +tp13895 +a(g624 +g2314 +tp13896 +a(g624 +g2003 +tp13897 +a(g252 +g1860 +tp13898 +a(g862 +g958 +tp13899 +a(g8 +VPKGDATA +p13900 +tp13901 +a(g647 +g1640 +tp13902 +a(g624 +g1666 +tp13903 +a(g624 +g1071 +tp13904 +a(g28 +g1009 +tp13905 +a(g862 +V\u000a +p13906 +tp13907 +a(g8 +Vres +p13908 +tp13909 +a(g624 +V=. +p13910 +tp13911 +a(g862 +g958 +tp13912 +a(g647 +g2312 +tp13913 +a(g8 +Vpkgnew +p13914 +tp13915 +a(g862 +g958 +tp13916 +a(g624 +g1855 +tp13917 +a(g862 +g958 +tp13918 +a(g252 +g1019 +tp13919 +a(g862 +g958 +tp13920 +a(g252 +g1371 +tp13921 +a(g862 +g958 +tp13922 +a(g252 +g1340 +tp13923 +a(g624 +g2320 +tp13924 +a(g624 +g2314 +tp13925 +a(g624 +g2003 +tp13926 +a(g252 +g1860 +tp13927 +a(g624 +g2958 +tp13928 +a(g624 +g2893 +tp13929 +a(g647 +g1640 +tp13930 +a(g8 +Vdat +p13931 +tp13932 +a(g862 +V\u000a +p13933 +tp13934 +a(g8 +Vres +p13935 +tp13936 +a(g624 +V=. +p13937 +tp13938 +a(g862 +g958 +tp13939 +a(g8 +Vcurtailcaption +p13940 +tp13941 +a(g862 +g958 +tp13942 +a(g8 +Vres +p13943 +tp13944 +a(g862 +V\u000a +p13945 +tp13946 +a(g132 +Vcase. +p13947 +tp13948 +a(g862 +g958 +tp13949 +a(g303 +g960 +tp13950 +a(g303 +g995 +tp13951 +a(g303 +g1205 +tp13952 +a(g303 +g1185 +tp13953 +a(g303 +g1215 +tp13954 +a(g303 +g1260 +tp13955 +a(g303 +g964 +tp13956 +a(g303 +g1094 +tp13957 +a(g303 +g1005 +tp13958 +a(g303 +g966 +tp13959 +a(g303 +g1151 +tp13960 +a(g303 +g997 +tp13961 +a(g303 +g960 +tp13962 +a(g862 +g958 +tp13963 +a(g132 +Vdo. +p13964 +tp13965 +a(g862 +V\u000a +p13966 +tp13967 +a(g8 +Vdat +p13968 +tp13969 +a(g624 +V=. +p13970 +tp13971 +a(g862 +g958 +tp13972 +a(g647 +g2312 +tp13973 +a(g8 +Visjpkgout +p13974 +tp13975 +a(g862 +g958 +tp13976 +a(g28 +g1009 +tp13977 +a(g647 +g1640 +tp13978 +a(g862 +g958 +tp13979 +a(g624 +g2314 +tp13980 +a(g624 +g1060 +tp13981 +a(g624 +g1060 +tp13982 +a(g862 +g958 +tp13983 +a(g647 +g2312 +tp13984 +a(g252 +g1860 +tp13985 +a(g862 +g958 +tp13986 +a(g252 +g1371 +tp13987 +a(g862 +g958 +tp13988 +a(g252 +g1340 +tp13989 +a(g862 +g958 +tp13990 +a(g252 +g2873 +tp13991 +a(g862 +g958 +tp13992 +a(g624 +g2314 +tp13993 +a(g624 +g2003 +tp13994 +a(g252 +g1860 +tp13995 +a(g862 +g958 +tp13996 +a(g8 +VPKGDATA +p13997 +tp13998 +a(g647 +g1640 +tp13999 +a(g624 +g1666 +tp14000 +a(g624 +g1071 +tp14001 +a(g28 +g1009 +tp14002 +a(g862 +V\u000a +p14003 +tp14004 +a(g8 +Vres +p14005 +tp14006 +a(g624 +V=. +p14007 +tp14008 +a(g862 +g958 +tp14009 +a(g647 +g2312 +tp14010 +a(g8 +Vpkgups +p14011 +tp14012 +a(g862 +g958 +tp14013 +a(g624 +g1855 +tp14014 +a(g862 +g958 +tp14015 +a(g624 +g2893 +tp14016 +a(g647 +g1640 +tp14017 +a(g8 +Vdat +p14018 +tp14019 +a(g862 +V\u000a +p14020 +tp14021 +a(g8 +Vres +p14022 +tp14023 +a(g624 +V=. +p14024 +tp14025 +a(g862 +g958 +tp14026 +a(g8 +Vcurtailcaption +p14027 +tp14028 +a(g862 +g958 +tp14029 +a(g8 +Vres +p14030 +tp14031 +a(g862 +V\u000a +p14032 +tp14033 +a(g132 +Vcase. +p14034 +tp14035 +a(g862 +g958 +tp14036 +a(g303 +g960 +tp14037 +a(g303 +g995 +tp14038 +a(g303 +g1154 +tp14039 +a(g303 +g966 +tp14040 +a(g303 +g1154 +tp14041 +a(g303 +g1260 +tp14042 +a(g303 +g995 +tp14043 +a(g303 +g960 +tp14044 +a(g862 +g958 +tp14045 +a(g132 +Vdo. +p14046 +tp14047 +a(g862 +V\u000a +p14048 +tp14049 +a(g8 +Vres +p14050 +tp14051 +a(g624 +V=. +p14052 +tp14053 +a(g862 +g958 +tp14054 +a(g8 +Vchecklastupdate +p14055 +tp14056 +a(g303 +g960 +tp14057 +a(g303 +g960 +tp14058 +a(g862 +V\u000a +p14059 +tp14060 +a(g8 +Vres +p14061 +tp14062 +a(g624 +V=. +p14063 +tp14064 +a(g862 +g958 +tp14065 +a(g8 +Vres +p14066 +tp14067 +a(g624 +g1069 +tp14068 +a(g8 +VLF +p14069 +tp14070 +a(g624 +g1069 +tp14071 +a(g8 +Vcheckstatus +p14072 +tp14073 +a(g303 +g960 +tp14074 +a(g303 +g960 +tp14075 +a(g862 +V\u000a +p14076 +tp14077 +a(g132 +Vend. +p14078 +tp14079 +a(g862 +V\u000a +p14080 +tp14081 +a(g8 +Vres +p14082 +tp14083 +a(g862 +V\u000a +p14084 +tp14085 +a(g132 +g1640 +tp14086 +a(g862 +V\u000a +p14087 +tp14088 +a(g8 +Vshowfiles_console +p14089 +tp14090 +a(g624 +V=: +p14091 +tp14092 +a(g862 +g958 +tp14093 +a(g108 +V4 : 0 +p14094 +tp14095 +a(g862 +V\u000a +p14096 +tp14097 +a(g132 +Vif. +p14098 +tp14099 +a(g862 +g958 +tp14100 +a(g624 +g1712 +tp14101 +a(g624 +g1668 +tp14102 +a(g862 +g958 +tp14103 +a(g8 +Vinit_console +p14104 +tp14105 +a(g862 +g958 +tp14106 +a(g303 +g960 +tp14107 +a(g303 +g1005 +tp14108 +a(g303 +g997 +tp14109 +a(g303 +g966 +tp14110 +a(g303 +g1151 +tp14111 +a(g303 +g960 +tp14112 +a(g862 +g958 +tp14113 +a(g132 +Vdo. +p14114 +tp14115 +a(g862 +g958 +tp14116 +a(g303 +g960 +tp14117 +a(g303 +g960 +tp14118 +a(g862 +g958 +tp14119 +a(g132 +Vreturn. +p14120 +tp14121 +a(g862 +g958 +tp14122 +a(g132 +Vend. +p14123 +tp14124 +a(g862 +V\u000a +p14125 +tp14126 +a(g8 +Vpkgs +p14127 +tp14128 +a(g624 +V=. +p14129 +tp14130 +a(g862 +g958 +tp14131 +a(g8 +Vgetnames +p14132 +tp14133 +a(g862 +g958 +tp14134 +a(g28 +g1009 +tp14135 +a(g862 +V\u000a +p14136 +tp14137 +a(g8 +Vpkgs +p14138 +tp14139 +a(g624 +V=. +p14140 +tp14141 +a(g862 +g958 +tp14142 +a(g8 +Vpkgs +p14143 +tp14144 +a(g862 +g958 +tp14145 +a(g647 +g2312 +tp14146 +a(g8 +g997 +tp14147 +a(g624 +g1668 +tp14148 +a(g862 +g958 +tp14149 +a(g624 +g1855 +tp14150 +a(g862 +g958 +tp14151 +a(g624 +g2865 +tp14152 +a(g647 +g1640 +tp14153 +a(g862 +g958 +tp14154 +a(g647 +g2312 +tp14155 +a(g624 +g1712 +tp14156 +a(g624 +g1668 +tp14157 +a(g624 +g2958 +tp14158 +a(g8 +Vpkgnew +p14159 +tp14160 +a(g862 +g958 +tp14161 +a(g624 +g1855 +tp14162 +a(g862 +g958 +tp14163 +a(g252 +g1860 +tp14164 +a(g624 +g2320 +tp14165 +a(g624 +g2314 +tp14166 +a(g624 +g2003 +tp14167 +a(g252 +g1860 +tp14168 +a(g624 +g2958 +tp14169 +a(g624 +g2893 +tp14170 +a(g647 +g1640 +tp14171 +a(g862 +g958 +tp14172 +a(g8 +VPKGDATA +p14173 +tp14174 +a(g862 +V\u000a +p14175 +tp14176 +a(g8 +Vpkgs +p14177 +tp14178 +a(g624 +V=. +p14179 +tp14180 +a(g862 +g958 +tp14181 +a(g8 +Vpkgs +p14182 +tp14183 +a(g862 +g958 +tp14184 +a(g624 +g1712 +tp14185 +a(g624 +g1668 +tp14186 +a(g862 +g958 +tp14187 +a(g624 +g1071 +tp14188 +a(g8 +VBASELIB +p14189 +tp14190 +a(g862 +V\u000a +p14191 +tp14192 +a(g132 +Vif. +p14193 +tp14194 +a(g862 +g958 +tp14195 +a(g252 +g1019 +tp14196 +a(g624 +g2890 +tp14197 +a(g624 +g1855 +tp14198 +a(g8 +Vpkgs +p14199 +tp14200 +a(g862 +g958 +tp14201 +a(g132 +Vdo. +p14202 +tp14203 +a(g862 +g958 +tp14204 +a(g303 +g960 +tp14205 +a(g303 +g960 +tp14206 +a(g862 +g958 +tp14207 +a(g132 +Vreturn. +p14208 +tp14209 +a(g862 +g958 +tp14210 +a(g132 +Vend. +p14211 +tp14212 +a(g862 +V\u000a +p14213 +tp14214 +a(g8 +Vfn +p14215 +tp14216 +a(g624 +V=. +p14217 +tp14218 +a(g862 +g958 +tp14219 +a(g647 +g2312 +tp14220 +a(g624 +g1071 +tp14221 +a(g303 +g960 +tp14222 +a(g303 +g1857 +tp14223 +a(g303 +g966 +tp14224 +a(g303 +g1151 +tp14225 +a(g303 +g1151 +tp14226 +a(g303 +g1185 +tp14227 +a(g303 +g973 +tp14228 +a(g303 +g995 +tp14229 +a(g303 +g1144 +tp14230 +a(g303 +g960 +tp14231 +a(g647 +g1640 +tp14232 +a(g862 +g958 +tp14233 +a(g624 +g1069 +tp14234 +a(g624 +g2320 +tp14235 +a(g624 +g1668 +tp14236 +a(g624 +g2876 +tp14237 +a(g862 +g958 +tp14238 +a(g647 +g2312 +tp14239 +a(g8 +Vpkgs +p14240 +tp14241 +a(g647 +g1640 +tp14242 +a(g862 +g958 +tp14243 +a(g624 +g1069 +tp14244 +a(g624 +g2320 +tp14245 +a(g624 +g1668 +tp14246 +a(g624 +g2876 +tp14247 +a(g862 +g958 +tp14248 +a(g624 +g1071 +tp14249 +a(g303 +g960 +tp14250 +a(g303 +g1144 +tp14251 +a(g303 +g960 +tp14252 +a(g624 +g1069 +tp14253 +a(g28 +g1989 +tp14254 +a(g624 +g1069 +tp14255 +a(g647 +g2312 +tp14256 +a(g28 +g1989 +tp14257 +a(g624 +g1712 +tp14258 +a(g624 +g1060 +tp14259 +a(g303 +g960 +tp14260 +a(g303 +g1205 +tp14261 +a(g303 +g1002 +tp14262 +a(g303 +g995 +tp14263 +a(g303 +g1154 +tp14264 +a(g303 +g1185 +tp14265 +a(g303 +g1005 +tp14266 +a(g303 +g1009 +tp14267 +a(g303 +g960 +tp14268 +a(g647 +g1640 +tp14269 +a(g624 +g2314 +tp14270 +a(g624 +g1060 +tp14271 +a(g624 +g1060 +tp14272 +a(g303 +g960 +tp14273 +a(g303 +g1668 +tp14274 +a(g303 +g1002 +tp14275 +a(g303 +g962 +tp14276 +a(g303 +g995 +tp14277 +a(g303 +g960 +tp14278 +a(g624 +g1666 +tp14279 +a(g303 +g960 +tp14280 +a(g303 +g1668 +tp14281 +a(g303 +g1154 +tp14282 +a(g303 +g1989 +tp14283 +a(g303 +g1154 +tp14284 +a(g303 +g960 +tp14285 +a(g862 +V\u000a +p14286 +tp14287 +a(g8 +Vres +p14288 +tp14289 +a(g624 +V=. +p14290 +tp14291 +a(g862 +g958 +tp14292 +a(g8 +Vres +p14293 +tp14294 +a(g862 +g958 +tp14295 +a(g624 +g1855 +tp14296 +a(g624 +g1857 +tp14297 +a(g862 +g958 +tp14298 +a(g8 +Vmsk +p14299 +tp14300 +a(g624 +V=. +p14301 +tp14302 +a(g862 +g958 +tp14303 +a(g647 +g2312 +tp14304 +a(g624 +g1071 +tp14305 +a(g252 +V_1 +p14306 +tp14307 +a(g647 +g1640 +tp14308 +a(g862 +g958 +tp14309 +a(g624 +g1857 +tp14310 +a(g624 +g1060 +tp14311 +a(g862 +g958 +tp14312 +a(g8 +Vres +p14313 +tp14314 +a(g624 +V=. +p14315 +tp14316 +a(g862 +g958 +tp14317 +a(g8 +Vfread +p14318 +tp14319 +a(g624 +g2958 +tp14320 +a(g8 +Vjpath +p14321 +tp14322 +a(g862 +g958 +tp14323 +a(g624 +g2320 +tp14324 +a(g624 +g1668 +tp14325 +a(g624 +g2876 +tp14326 +a(g862 +g958 +tp14327 +a(g8 +Vfn +p14328 +tp14329 +a(g862 +V\u000a +p14330 +tp14331 +a(g132 +Vif. +p14332 +tp14333 +a(g862 +g958 +tp14334 +a(g624 +g1855 +tp14335 +a(g8 +Vres +p14336 +tp14337 +a(g862 +g958 +tp14338 +a(g132 +Vdo. +p14339 +tp14340 +a(g862 +V\u000a +p14341 +tp14342 +a(g8 +Vres +p14343 +tp14344 +a(g624 +V=. +p14345 +tp14346 +a(g862 +g958 +tp14347 +a(g624 +g1069 +tp14348 +a(g647 +g2312 +tp14349 +a(g647 +g2312 +tp14350 +a(g624 +g1071 +tp14351 +a(g303 +g960 +tp14352 +a(g303 +g2890 +tp14353 +a(g303 +g2890 +tp14354 +a(g303 +g958 +tp14355 +a(g303 +g960 +tp14356 +a(g647 +g1640 +tp14357 +a(g624 +g1069 +tp14358 +a(g862 +g958 +tp14359 +a(g624 +g2320 +tp14360 +a(g624 +g1668 +tp14361 +a(g624 +g2876 +tp14362 +a(g862 +g958 +tp14363 +a(g8 +Vmsk +p14364 +tp14365 +a(g624 +g1855 +tp14366 +a(g8 +Vpkgs +p14367 +tp14368 +a(g647 +g1640 +tp14369 +a(g862 +g958 +tp14370 +a(g624 +g1069 +tp14371 +a(g624 +g1668 +tp14372 +a(g862 +g958 +tp14373 +a(g8 +Vres +p14374 +tp14375 +a(g862 +V\u000a +p14376 +tp14377 +a(g8 +Vres +p14378 +tp14379 +a(g624 +V=. +p14380 +tp14381 +a(g862 +g958 +tp14382 +a(g647 +g2312 +tp14383 +a(g252 +g1371 +tp14384 +a(g624 +g1855 +tp14385 +a(g8 +VLF +p14386 +tp14387 +a(g647 +g1640 +tp14388 +a(g862 +g958 +tp14389 +a(g8 +Vjoinstring +p14390 +tp14391 +a(g862 +g958 +tp14392 +a(g8 +Vres +p14393 +tp14394 +a(g862 +V\u000a +p14395 +tp14396 +a(g132 +Vend. +p14397 +tp14398 +a(g862 +V\u000a +p14399 +tp14400 +a(g132 +g1640 +tp14401 +a(g862 +V\u000a +p14402 +tp14403 +a(g8 +Vremove_console +p14404 +tp14405 +a(g624 +V=: +p14406 +tp14407 +a(g862 +g958 +tp14408 +a(g108 +V3 : 0 +p14409 +tp14410 +a(g862 +V\u000a +p14411 +tp14412 +a(g132 +Vif. +p14413 +tp14414 +a(g862 +g958 +tp14415 +a(g624 +g1712 +tp14416 +a(g624 +g1668 +tp14417 +a(g862 +g958 +tp14418 +a(g8 +Vinit_console +p14419 +tp14420 +a(g862 +g958 +tp14421 +a(g303 +g960 +tp14422 +a(g303 +g997 +tp14423 +a(g303 +g1151 +tp14424 +a(g303 +g1002 +tp14425 +a(g303 +g1154 +tp14426 +a(g303 +g960 +tp14427 +a(g862 +g958 +tp14428 +a(g132 +Vdo. +p14429 +tp14430 +a(g862 +g958 +tp14431 +a(g303 +g960 +tp14432 +a(g303 +g960 +tp14433 +a(g862 +g958 +tp14434 +a(g132 +Vreturn. +p14435 +tp14436 +a(g862 +g958 +tp14437 +a(g132 +Vend. +p14438 +tp14439 +a(g862 +V\u000a +p14440 +tp14441 +a(g8 +Vpkgs +p14442 +tp14443 +a(g624 +V=. +p14444 +tp14445 +a(g862 +g958 +tp14446 +a(g8 +Vgetnames +p14447 +tp14448 +a(g862 +g958 +tp14449 +a(g28 +g1009 +tp14450 +a(g862 +V\u000a +p14451 +tp14452 +a(g132 +Vif. +p14453 +tp14454 +a(g862 +g958 +tp14455 +a(g8 +Vpkgs +p14456 +tp14457 +a(g862 +g958 +tp14458 +a(g624 +g1712 +tp14459 +a(g624 +g1060 +tp14460 +a(g862 +g958 +tp14461 +a(g624 +g1069 +tp14462 +a(g624 +g1071 +tp14463 +a(g303 +g960 +tp14464 +a(g303 +g966 +tp14465 +a(g303 +g1000 +tp14466 +a(g303 +g1000 +tp14467 +a(g303 +g960 +tp14468 +a(g862 +g958 +tp14469 +a(g132 +Vdo. +p14470 +tp14471 +a(g862 +g958 +tp14472 +a(g8 +Vpkgs +p14473 +tp14474 +a(g624 +V=. +p14475 +tp14476 +a(g862 +g958 +tp14477 +a(g252 +g1860 +tp14478 +a(g862 +g958 +tp14479 +a(g624 +g2314 +tp14480 +a(g624 +g2003 +tp14481 +a(g252 +g1860 +tp14482 +a(g862 +g958 +tp14483 +a(g8 +VPKGDATA +p14484 +tp14485 +a(g862 +g958 +tp14486 +a(g132 +Vend. +p14487 +tp14488 +a(g862 +V\u000a +p14489 +tp14490 +a(g8 +Vpkgs +p14491 +tp14492 +a(g624 +V=. +p14493 +tp14494 +a(g862 +g958 +tp14495 +a(g8 +Vpkgs +p14496 +tp14497 +a(g862 +g958 +tp14498 +a(g647 +g2312 +tp14499 +a(g8 +g997 +tp14500 +a(g624 +g1668 +tp14501 +a(g862 +g958 +tp14502 +a(g624 +g1855 +tp14503 +a(g862 +g958 +tp14504 +a(g624 +g2865 +tp14505 +a(g647 +g1640 +tp14506 +a(g862 +g958 +tp14507 +a(g647 +g2312 +tp14508 +a(g624 +g1712 +tp14509 +a(g624 +g1668 +tp14510 +a(g624 +g2958 +tp14511 +a(g8 +Vpkgnew +p14512 +tp14513 +a(g862 +g958 +tp14514 +a(g624 +g1855 +tp14515 +a(g862 +g958 +tp14516 +a(g252 +g1860 +tp14517 +a(g624 +g2320 +tp14518 +a(g624 +g2314 +tp14519 +a(g624 +g2003 +tp14520 +a(g252 +g1860 +tp14521 +a(g624 +g2958 +tp14522 +a(g624 +g2893 +tp14523 +a(g647 +g1640 +tp14524 +a(g862 +g958 +tp14525 +a(g8 +VPKGDATA +p14526 +tp14527 +a(g862 +V\u000a +p14528 +tp14529 +a(g8 +Vpkgs +p14530 +tp14531 +a(g624 +V=. +p14532 +tp14533 +a(g862 +g958 +tp14534 +a(g8 +Vpkgs +p14535 +tp14536 +a(g862 +g958 +tp14537 +a(g624 +g1712 +tp14538 +a(g624 +g1668 +tp14539 +a(g862 +g958 +tp14540 +a(g624 +g1071 +tp14541 +a(g8 +VBASELIB +p14542 +tp14543 +a(g862 +V\u000a +p14544 +tp14545 +a(g132 +Vif. +p14546 +tp14547 +a(g862 +g958 +tp14548 +a(g252 +g1019 +tp14549 +a(g862 +g958 +tp14550 +a(g624 +g2890 +tp14551 +a(g862 +g958 +tp14552 +a(g8 +Vnum +p14553 +tp14554 +a(g624 +V=. +p14555 +tp14556 +a(g862 +g958 +tp14557 +a(g624 +g1855 +tp14558 +a(g8 +Vpkgs +p14559 +tp14560 +a(g862 +g958 +tp14561 +a(g132 +Vdo. +p14562 +tp14563 +a(g862 +g958 +tp14564 +a(g303 +g960 +tp14565 +a(g303 +g960 +tp14566 +a(g862 +g958 +tp14567 +a(g132 +Vreturn. +p14568 +tp14569 +a(g862 +g958 +tp14570 +a(g132 +Vend. +p14571 +tp14572 +a(g862 +V\u000a +p14573 +tp14574 +a(g8 +Vmany +p14575 +tp14576 +a(g624 +V=. +p14577 +tp14578 +a(g862 +g958 +tp14579 +a(g252 +g1860 +tp14580 +a(g862 +g958 +tp14581 +a(g624 +g1071 +tp14582 +a(g862 +g958 +tp14583 +a(g8 +Vnum +p14584 +tp14585 +a(g862 +V\u000a +p14586 +tp14587 +a(g8 +Vmsg +p14588 +tp14589 +a(g624 +V=. +p14590 +tp14591 +a(g862 +g958 +tp14592 +a(g303 +g960 +tp14593 +a(g303 +g2663 +tp14594 +a(g303 +g997 +tp14595 +a(g303 +g970 +tp14596 +a(g303 +g1185 +tp14597 +a(g303 +g1354 +tp14598 +a(g303 +g1002 +tp14599 +a(g303 +g973 +tp14600 +a(g303 +g1094 +tp14601 +a(g303 +g958 +tp14602 +a(g303 +g960 +tp14603 +a(g624 +g1069 +tp14604 +a(g647 +g2312 +tp14605 +a(g624 +g2003 +tp14606 +a(g624 +g1060 +tp14607 +a(g8 +Vnum +p14608 +tp14609 +a(g647 +g1640 +tp14610 +a(g624 +g1069 +tp14611 +a(g303 +g960 +tp14612 +a(g303 +g958 +tp14613 +a(g303 +g964 +tp14614 +a(g303 +g966 +tp14615 +a(g303 +g968 +tp14616 +a(g303 +g1091 +tp14617 +a(g303 +g966 +tp14618 +a(g303 +g1094 +tp14619 +a(g303 +g997 +tp14620 +a(g303 +g960 +tp14621 +a(g624 +g1069 +tp14622 +a(g8 +Vmany +p14623 +tp14624 +a(g624 +g1855 +tp14625 +a(g303 +g960 +tp14626 +a(g303 +g995 +tp14627 +a(g303 +g960 +tp14628 +a(g862 +V\u000a +p14629 +tp14630 +a(g8 +Vlog +p14631 +tp14632 +a(g862 +g958 +tp14633 +a(g8 +Vmsg +p14634 +tp14635 +a(g862 +V\u000a +p14636 +tp14637 +a(g8 +Vremove_addon +p14638 +tp14639 +a(g862 +g958 +tp14640 +a(g8 +Veach +p14641 +tp14642 +a(g862 +g958 +tp14643 +a(g8 +Vpkgs +p14644 +tp14645 +a(g862 +V\u000a +p14646 +tp14647 +a(g8 +Vlog +p14648 +tp14649 +a(g862 +g958 +tp14650 +a(g303 +g960 +tp14651 +a(g303 +g1744 +tp14652 +a(g303 +g1185 +tp14653 +a(g303 +g973 +tp14654 +a(g303 +g997 +tp14655 +a(g303 +g1668 +tp14656 +a(g303 +g960 +tp14657 +a(g862 +V\u000a +p14658 +tp14659 +a(g8 +Vreadlocal +p14660 +tp14661 +a(g303 +g960 +tp14662 +a(g303 +g960 +tp14663 +a(g862 +V\u000a +p14664 +tp14665 +a(g8 +Vpacman_init +p14666 +tp14667 +a(g862 +g958 +tp14668 +a(g303 +g960 +tp14669 +a(g303 +g960 +tp14670 +a(g862 +V\u000a +p14671 +tp14672 +a(g8 +Vcheckstatus +p14673 +tp14674 +a(g303 +g960 +tp14675 +a(g303 +g960 +tp14676 +a(g862 +V\u000a +p14677 +tp14678 +a(g132 +g1640 +tp14679 +a(g862 +V\u000a\u000a +p14680 +tp14681 +a(g8 +Vremove_addon +p14682 +tp14683 +a(g624 +V=: +p14684 +tp14685 +a(g862 +g958 +tp14686 +a(g108 +V3 : 0 +p14687 +tp14688 +a(g862 +V\u000a +p14689 +tp14690 +a(g8 +Vlog +p14691 +tp14692 +a(g862 +g958 +tp14693 +a(g303 +g960 +tp14694 +a(g303 +g2663 +tp14695 +a(g303 +g997 +tp14696 +a(g303 +g970 +tp14697 +a(g303 +g1185 +tp14698 +a(g303 +g1354 +tp14699 +a(g303 +g1002 +tp14700 +a(g303 +g973 +tp14701 +a(g303 +g1094 +tp14702 +a(g303 +g958 +tp14703 +a(g303 +g960 +tp14704 +a(g624 +g1069 +tp14705 +a(g28 +g1009 +tp14706 +a(g624 +g1069 +tp14707 +a(g303 +g960 +tp14708 +a(g303 +g1668 +tp14709 +a(g303 +g1668 +tp14710 +a(g303 +g1668 +tp14711 +a(g303 +g960 +tp14712 +a(g862 +V\u000a +p14713 +tp14714 +a(g8 +Vtreepath +p14715 +tp14716 +a(g624 +V=. +p14717 +tp14718 +a(g862 +g958 +tp14719 +a(g8 +Vjpath +p14720 +tp14721 +a(g862 +g958 +tp14722 +a(g303 +g960 +tp14723 +a(g303 +g1857 +tp14724 +a(g303 +g966 +tp14725 +a(g303 +g1151 +tp14726 +a(g303 +g1151 +tp14727 +a(g303 +g1185 +tp14728 +a(g303 +g973 +tp14729 +a(g303 +g995 +tp14730 +a(g303 +g1144 +tp14731 +a(g303 +g960 +tp14732 +a(g624 +g1069 +tp14733 +a(g28 +g1009 +tp14734 +a(g862 +V\u000a +p14735 +tp14736 +a(g132 +Vif. +p14737 +tp14738 +a(g862 +g958 +tp14739 +a(g647 +g2312 +tp14740 +a(g647 +g2312 +tp14741 +a(g252 +g1019 +tp14742 +a(g862 +g958 +tp14743 +a(g624 +g1071 +tp14744 +a(g862 +g958 +tp14745 +a(g624 +g1855 +tp14746 +a(g624 +g2958 +tp14747 +a(g8 +Vdirtree +p14748 +tp14749 +a(g647 +g1640 +tp14750 +a(g862 +g958 +tp14751 +a(g624 +g2870 +tp14752 +a(g624 +g1668 +tp14753 +a(g862 +g958 +tp14754 +a(g624 +g1712 +tp14755 +a(g624 +g1668 +tp14756 +a(g624 +g2958 +tp14757 +a(g8 +Vdeltree +p14758 +tp14759 +a(g647 +g1640 +tp14760 +a(g862 +g958 +tp14761 +a(g8 +Vtreepath +p14762 +tp14763 +a(g862 +g958 +tp14764 +a(g132 +Vdo. +p14765 +tp14766 +a(g862 +V\u000a +p14767 +tp14768 +a(g8 +Vnf +p14769 +tp14770 +a(g624 +V=. +p14771 +tp14772 +a(g862 +g958 +tp14773 +a(g624 +g1855 +tp14774 +a(g8 +Vdirtree +p14775 +tp14776 +a(g862 +g958 +tp14777 +a(g8 +Vtreepath +p14778 +tp14779 +a(g862 +V\u000a +p14780 +tp14781 +a(g8 +Vnd +p14782 +tp14783 +a(g624 +V=. +p14784 +tp14785 +a(g862 +g958 +tp14786 +a(g624 +g1071 +tp14787 +a(g624 +g1060 +tp14788 +a(g862 +g958 +tp14789 +a(g624 +g1855 +tp14790 +a(g862 +g958 +tp14791 +a(g8 +Vdirpath +p14792 +tp14793 +a(g862 +g958 +tp14794 +a(g8 +Vtreepath +p14795 +tp14796 +a(g862 +V\u000a +p14797 +tp14798 +a(g8 +Vnd +p14799 +tp14800 +a(g624 +V=. +p14801 +tp14802 +a(g862 +g958 +tp14803 +a(g8 +Vnd +p14804 +tp14805 +a(g862 +g958 +tp14806 +a(g624 +g2879 +tp14807 +a(g862 +g958 +tp14808 +a(g647 +g2312 +tp14809 +a(g8 +Vtolower +p14810 +tp14811 +a(g862 +g958 +tp14812 +a(g8 +Vtreepath +p14813 +tp14814 +a(g647 +g1640 +tp14815 +a(g862 +g958 +tp14816 +a(g8 +g997 +tp14817 +a(g624 +g1668 +tp14818 +a(g862 +g958 +tp14819 +a(g8 +Vdirpath +p14820 +tp14821 +a(g862 +g958 +tp14822 +a(g8 +Vjpath +p14823 +tp14824 +a(g862 +g958 +tp14825 +a(g303 +g960 +tp14826 +a(g303 +g1857 +tp14827 +a(g303 +g966 +tp14828 +a(g303 +g1151 +tp14829 +a(g303 +g1151 +tp14830 +a(g303 +g1185 +tp14831 +a(g303 +g973 +tp14832 +a(g303 +g995 +tp14833 +a(g303 +g1144 +tp14834 +a(g303 +g960 +tp14835 +a(g624 +g1069 +tp14836 +a(g862 +g958 +tp14837 +a(g303 +g960 +tp14838 +a(g303 +g1144 +tp14839 +a(g303 +g960 +tp14840 +a(g862 +g958 +tp14841 +a(g8 +Vtaketo +p14842 +tp14843 +a(g862 +g958 +tp14844 +a(g28 +g1009 +tp14845 +a(g862 +V\u000a +p14846 +tp14847 +a(g8 +Vmsg +p14848 +tp14849 +a(g624 +V=. +p14850 +tp14851 +a(g862 +g958 +tp14852 +a(g647 +g2312 +tp14853 +a(g624 +g2003 +tp14854 +a(g624 +g1060 +tp14855 +a(g8 +Vnd +p14856 +tp14857 +a(g647 +g1640 +tp14858 +a(g624 +g1069 +tp14859 +a(g303 +g960 +tp14860 +a(g303 +g958 +tp14861 +a(g303 +g1151 +tp14862 +a(g303 +g1002 +tp14863 +a(g303 +g1005 +tp14864 +a(g303 +g997 +tp14865 +a(g303 +g968 +tp14866 +a(g303 +g1154 +tp14867 +a(g303 +g1185 +tp14868 +a(g303 +g1005 +tp14869 +a(g303 +g1002 +tp14870 +a(g303 +g997 +tp14871 +a(g303 +g995 +tp14872 +a(g303 +g958 +tp14873 +a(g303 +g966 +tp14874 +a(g303 +g973 +tp14875 +a(g303 +g1151 +tp14876 +a(g303 +g958 +tp14877 +a(g303 +g960 +tp14878 +a(g624 +g1069 +tp14879 +a(g647 +g2312 +tp14880 +a(g624 +g2003 +tp14881 +a(g624 +g1060 +tp14882 +a(g8 +Vnf +p14883 +tp14884 +a(g647 +g1640 +tp14885 +a(g624 +g1069 +tp14886 +a(g303 +g960 +tp14887 +a(g303 +g958 +tp14888 +a(g303 +g1325 +tp14889 +a(g303 +g1002 +tp14890 +a(g303 +g1000 +tp14891 +a(g303 +g997 +tp14892 +a(g303 +g995 +tp14893 +a(g303 +g958 +tp14894 +a(g303 +g973 +tp14895 +a(g303 +g1185 +tp14896 +a(g303 +g1154 +tp14897 +a(g303 +g958 +tp14898 +a(g303 +g1005 +tp14899 +a(g303 +g997 +tp14900 +a(g303 +g970 +tp14901 +a(g303 +g1185 +tp14902 +a(g303 +g1354 +tp14903 +a(g303 +g997 +tp14904 +a(g303 +g1151 +tp14905 +a(g303 +g1668 +tp14906 +a(g303 +g960 +tp14907 +a(g862 +V\u000a +p14908 +tp14909 +a(g8 +Vlog +p14910 +tp14911 +a(g862 +g958 +tp14912 +a(g303 +g960 +tp14913 +a(g303 +g2663 +tp14914 +a(g303 +g997 +tp14915 +a(g303 +g970 +tp14916 +a(g303 +g1185 +tp14917 +a(g303 +g1354 +tp14918 +a(g303 +g997 +tp14919 +a(g303 +g958 +tp14920 +a(g303 +g1325 +tp14921 +a(g303 +g966 +tp14922 +a(g303 +g1002 +tp14923 +a(g303 +g1000 +tp14924 +a(g303 +g997 +tp14925 +a(g303 +g1151 +tp14926 +a(g303 +g1060 +tp14927 +a(g303 +g958 +tp14928 +a(g303 +g960 +tp14929 +a(g624 +g1069 +tp14930 +a(g8 +Vmsg +p14931 +tp14932 +a(g862 +V\u000a +p14933 +tp14934 +a(g8 +Vinfo +p14935 +tp14936 +a(g862 +g958 +tp14937 +a(g303 +g960 +tp14938 +a(g303 +g2663 +tp14939 +a(g303 +g997 +tp14940 +a(g303 +g970 +tp14941 +a(g303 +g1185 +tp14942 +a(g303 +g1354 +tp14943 +a(g303 +g997 +tp14944 +a(g303 +g958 +tp14945 +a(g303 +g1325 +tp14946 +a(g303 +g966 +tp14947 +a(g303 +g1002 +tp14948 +a(g303 +g1000 +tp14949 +a(g303 +g997 +tp14950 +a(g303 +g1151 +tp14951 +a(g303 +g1060 +tp14952 +a(g303 +g960 +tp14953 +a(g624 +g1069 +tp14954 +a(g8 +VLF2 +p14955 +tp14956 +a(g624 +g1069 +tp14957 +a(g8 +Vmsg +p14958 +tp14959 +a(g862 +V\u000a +p14960 +tp14961 +a(g132 +Vreturn. +p14962 +tp14963 +a(g862 +V\u000a +p14964 +tp14965 +a(g132 +Vend. +p14966 +tp14967 +a(g862 +V\u000a +p14968 +tp14969 +a(g8 +Vremove_addins +p14970 +tp14971 +a(g862 +g958 +tp14972 +a(g28 +g1009 +tp14973 +a(g862 +V\u000a +p14974 +tp14975 +a(g8 +Vremove_config +p14976 +tp14977 +a(g862 +g958 +tp14978 +a(g28 +g1009 +tp14979 +a(g862 +V\u000a +p14980 +tp14981 +a(g132 +g1640 +tp14982 +a(g862 +V\u000a +p14983 +tp14984 +a(g8 +Vremove_addins +p14985 +tp14986 +a(g624 +V=: +p14987 +tp14988 +a(g862 +g958 +tp14989 +a(g108 +V3 :0 +p14990 +tp14991 +a(g862 +V\u000a +p14992 +tp14993 +a(g8 +Vfl +p14994 +tp14995 +a(g624 +V=. +p14996 +tp14997 +a(g862 +g958 +tp14998 +a(g8 +VADDCFG +p14999 +tp15000 +a(g624 +g1069 +tp15001 +a(g303 +g960 +tp15002 +a(g303 +g966 +tp15003 +a(g303 +g1151 +tp15004 +a(g303 +g1151 +tp15005 +a(g303 +g1002 +tp15006 +a(g303 +g973 +tp15007 +a(g303 +g995 +tp15008 +a(g303 +g1668 +tp15009 +a(g303 +g1154 +tp15010 +a(g303 +g1989 +tp15011 +a(g303 +g1154 +tp15012 +a(g303 +g960 +tp15013 +a(g862 +V\u000a +p15014 +tp15015 +a(g8 +Vins +p15016 +tp15017 +a(g624 +V=. +p15018 +tp15019 +a(g862 +g958 +tp15020 +a(g8 +Vfixjal2 +p15021 +tp15022 +a(g862 +g958 +tp15023 +a(g8 +Vfreads +p15024 +tp15025 +a(g862 +g958 +tp15026 +a(g8 +Vfl +p15027 +tp15028 +a(g862 +V\u000a +p15029 +tp15030 +a(g8 +Vins +p15031 +tp15032 +a(g624 +V=. +p15033 +tp15034 +a(g862 +g958 +tp15035 +a(g8 +Vins +p15036 +tp15037 +a(g862 +g958 +tp15038 +a(g624 +g1855 +tp15039 +a(g624 +g1857 +tp15040 +a(g862 +g958 +tp15041 +a(g647 +g2312 +tp15042 +a(g624 +g1071 +tp15043 +a(g28 +g1009 +tp15044 +a(g647 +g1640 +tp15045 +a(g862 +g958 +tp15046 +a(g624 +g1857 +tp15047 +a(g624 +g1060 +tp15048 +a(g862 +g958 +tp15049 +a(g624 +g2314 +tp15050 +a(g624 +g1668 +tp15051 +a(g624 +g2003 +tp15052 +a(g252 +g1860 +tp15053 +a(g862 +g958 +tp15054 +a(g8 +Vins +p15055 +tp15056 +a(g862 +V\u000a +p15057 +tp15058 +a(g647 +g2312 +tp15059 +a(g8 +Vfmtjal2 +p15060 +tp15061 +a(g862 +g958 +tp15062 +a(g8 +Vins +p15063 +tp15064 +a(g647 +g1640 +tp15065 +a(g862 +g958 +tp15066 +a(g8 +Vfwrites +p15067 +tp15068 +a(g862 +g958 +tp15069 +a(g8 +Vfl +p15070 +tp15071 +a(g862 +V\u000a +p15072 +tp15073 +a(g132 +g1640 +tp15074 +a(g862 +V\u000a +p15075 +tp15076 +a(g8 +Vremove_config +p15077 +tp15078 +a(g624 +V=: +p15079 +tp15080 +a(g862 +g958 +tp15081 +a(g108 +V3 : 0 +p15082 +tp15083 +a(g862 +V\u000a +p15084 +tp15085 +a(g8 +VADDLABS +p15086 +tp15087 +a(g624 +V=: +p15088 +tp15089 +a(g862 +g958 +tp15090 +a(g303 +g960 +tp15091 +a(g303 +g960 +tp15092 +a(g862 +V\u000a +p15093 +tp15094 +a(g252 +g1019 +tp15095 +a(g624 +g2329 +tp15096 +a(g624 +g1060 +tp15097 +a(g252 +g1019 +tp15098 +a(g862 +g958 +tp15099 +a(g624 +g1060 +tp15100 +a(g624 +g1060 +tp15101 +a(g862 +g958 +tp15102 +a(g624 +g2893 +tp15103 +a(g862 +g958 +tp15104 +a(g624 +g1071 +tp15105 +a(g862 +g958 +tp15106 +a(g8 +VADDCFGIJS +p15107 +tp15108 +a(g862 +V\u000a +p15109 +tp15110 +a(g8 +Vremove_labs +p15111 +tp15112 +a(g862 +g958 +tp15113 +a(g28 +g1009 +tp15114 +a(g862 +V\u000a +p15115 +tp15116 +a(g8 +Vwrite_config +p15117 +tp15118 +a(g303 +g960 +tp15119 +a(g303 +g960 +tp15120 +a(g862 +V\u000a +p15121 +tp15122 +a(g132 +g1640 +tp15123 +a(g862 +V\u000a +p15124 +tp15125 +a(g8 +Vremove_labs +p15126 +tp15127 +a(g624 +V=: +p15128 +tp15129 +a(g862 +g958 +tp15130 +a(g108 +V3 : 0 +p15131 +tp15132 +a(g862 +V\u000a +p15133 +tp15134 +a(g8 +Vtxt +p15135 +tp15136 +a(g624 +V=. +p15137 +tp15138 +a(g862 +g958 +tp15139 +a(g624 +g1071 +tp15140 +a(g624 +g1666 +tp15141 +a(g624 +g1668 +tp15142 +a(g252 +V_2 +p15143 +tp15144 +a(g862 +g958 +tp15145 +a(g8 +VADDLABS +p15146 +tp15147 +a(g862 +V\u000a +p15148 +tp15149 +a(g8 +Vtxt +p15150 +tp15151 +a(g624 +V=. +p15152 +tp15153 +a(g862 +g958 +tp15154 +a(g8 +Vtxt +p15155 +tp15156 +a(g862 +g958 +tp15157 +a(g624 +g1855 +tp15158 +a(g624 +g1857 +tp15159 +a(g862 +g958 +tp15160 +a(g647 +g2312 +tp15161 +a(g624 +g1071 +tp15162 +a(g8 +Vjpathsep +p15163 +tp15164 +a(g862 +g958 +tp15165 +a(g28 +g1009 +tp15166 +a(g647 +g1640 +tp15167 +a(g862 +g958 +tp15168 +a(g624 +g1857 +tp15169 +a(g624 +g1060 +tp15170 +a(g862 +g958 +tp15171 +a(g647 +g2312 +tp15172 +a(g624 +g1855 +tp15173 +a(g28 +g1009 +tp15174 +a(g647 +g1640 +tp15175 +a(g624 +g2320 +tp15176 +a(g624 +g2314 +tp15177 +a(g624 +g1668 +tp15178 +a(g862 +g958 +tp15179 +a(g8 +Veach +p15180 +tp15181 +a(g862 +g958 +tp15182 +a(g8 +Vtxt +p15183 +tp15184 +a(g862 +V\u000a +p15185 +tp15186 +a(g8 +VADDLABS +p15187 +tp15188 +a(g624 +V=: +p15189 +tp15190 +a(g862 +g958 +tp15191 +a(g624 +g1666 +tp15192 +a(g862 +g958 +tp15193 +a(g8 +Vtxt +p15194 +tp15195 +a(g862 +g958 +tp15196 +a(g624 +g1069 +tp15197 +a(g8 +Veach +p15198 +tp15199 +a(g862 +g958 +tp15200 +a(g8 +VLF +p15201 +tp15202 +a(g862 +V\u000a +p15203 +tp15204 +a(g132 +g1640 +tp15205 +a(g862 +V\u000a +p15206 +tp15207 +a(g8 +VLOG +p15208 +tp15209 +a(g624 +V=: +p15210 +tp15211 +a(g862 +g958 +tp15212 +a(g252 +g1860 +tp15213 +a(g862 +V\u000a +p15214 +tp15215 +a(g8 +Vlog +p15216 +tp15217 +a(g624 +V=: +p15218 +tp15219 +a(g862 +g958 +tp15220 +a(g108 +V3 : 0 +p15221 +tp15222 +a(g862 +V\u000a +p15223 +tp15224 +a(g132 +Vif. +p15225 +tp15226 +a(g862 +g958 +tp15227 +a(g8 +VLOG +p15228 +tp15229 +a(g862 +g958 +tp15230 +a(g132 +Vdo. +p15231 +tp15232 +a(g862 +g958 +tp15233 +a(g8 +Vsmoutput +p15234 +tp15235 +a(g862 +g958 +tp15236 +a(g28 +g1009 +tp15237 +a(g862 +g958 +tp15238 +a(g132 +Vend. +p15239 +tp15240 +a(g862 +V\u000a +p15241 +tp15242 +a(g132 +g1640 +tp15243 +a(g862 +V\u000a +p15244 +tp15245 +a(g8 +Vlogstatus +p15246 +tp15247 +a(g624 +V=: +p15248 +tp15249 +a(g862 +g958 +tp15250 +a(g108 +V3 : 0 +p15251 +tp15252 +a(g862 +V\u000a +p15253 +tp15254 +a(g132 +Vif. +p15255 +tp15256 +a(g862 +g958 +tp15257 +a(g8 +VONLINE +p15258 +tp15259 +a(g862 +g958 +tp15260 +a(g132 +Vdo. +p15261 +tp15262 +a(g862 +V\u000a +p15263 +tp15264 +a(g8 +Vlog +p15265 +tp15266 +a(g862 +g958 +tp15267 +a(g8 +Vcheckstatus +p15268 +tp15269 +a(g303 +g960 +tp15270 +a(g303 +g960 +tp15271 +a(g862 +V\u000a +p15272 +tp15273 +a(g132 +Vend. +p15274 +tp15275 +a(g862 +V\u000a +p15276 +tp15277 +a(g132 +g1640 +tp15278 +a(g862 +V\u000a +p15279 +tp15280 +a(g8 +Vreadlin +p15281 +tp15282 +a(g624 +V=: +p15283 +tp15284 +a(g862 +g958 +tp15285 +a(g108 +V3 : 0 +p15286 +tp15287 +a(g862 +V\u000a +p15288 +tp15289 +a(g8 +VLIN +p15290 +tp15291 +a(g624 +V=: +p15292 +tp15293 +a(g862 +g958 +tp15294 +a(g252 +g4183 +tp15295 +a(g862 +g958 +tp15296 +a(g252 +g1860 +tp15297 +a(g862 +g958 +tp15298 +a(g252 +g1860 +tp15299 +a(g862 +g958 +tp15300 +a(g624 +g2876 +tp15301 +a(g624 +g1668 +tp15302 +a(g862 +g958 +tp15303 +a(g8 +Vfixver +p15304 +tp15305 +a(g862 +g958 +tp15306 +a(g8 +Vfreads +p15307 +tp15308 +a(g862 +g958 +tp15309 +a(g8 +VLIBVER +p15310 +tp15311 +a(g862 +V\u000a +p15312 +tp15313 +a(g132 +g1640 +tp15314 +a(g862 +V\u000a +p15315 +tp15316 +a(g8 +Vreadlocal +p15317 +tp15318 +a(g624 +V=: +p15319 +tp15320 +a(g862 +g958 +tp15321 +a(g108 +V3 : 0 +p15322 +tp15323 +a(g862 +V\u000a +p15324 +tp15325 +a(g8 +Vreadlin +p15326 +tp15327 +a(g303 +g960 +tp15328 +a(g303 +g960 +tp15329 +a(g862 +V\u000a +p15330 +tp15331 +a(g8 +VADDONS +p15332 +tp15333 +a(g624 +V=: +p15334 +tp15335 +a(g862 +g958 +tp15336 +a(g8 +Vfixjal +p15337 +tp15338 +a(g862 +g958 +tp15339 +a(g8 +Vfreads +p15340 +tp15341 +a(g862 +g958 +tp15342 +a(g8 +VADDCFG +p15343 +tp15344 +a(g624 +g1069 +tp15345 +a(g303 +g960 +tp15346 +a(g303 +g966 +tp15347 +a(g303 +g1151 +tp15348 +a(g303 +g1151 +tp15349 +a(g303 +g1185 +tp15350 +a(g303 +g973 +tp15351 +a(g303 +g995 +tp15352 +a(g303 +g1668 +tp15353 +a(g303 +g1154 +tp15354 +a(g303 +g1989 +tp15355 +a(g303 +g1154 +tp15356 +a(g303 +g960 +tp15357 +a(g862 +V\u000a +p15358 +tp15359 +a(g8 +VADDINS +p15360 +tp15361 +a(g624 +V=: +p15362 +tp15363 +a(g862 +g958 +tp15364 +a(g8 +Vfixjal2 +p15365 +tp15366 +a(g862 +g958 +tp15367 +a(g8 +Vfreads +p15368 +tp15369 +a(g862 +g958 +tp15370 +a(g8 +VADDCFG +p15371 +tp15372 +a(g624 +g1069 +tp15373 +a(g303 +g960 +tp15374 +a(g303 +g966 +tp15375 +a(g303 +g1151 +tp15376 +a(g303 +g1151 +tp15377 +a(g303 +g1002 +tp15378 +a(g303 +g973 +tp15379 +a(g303 +g995 +tp15380 +a(g303 +g1668 +tp15381 +a(g303 +g1154 +tp15382 +a(g303 +g1989 +tp15383 +a(g303 +g1154 +tp15384 +a(g303 +g960 +tp15385 +a(g862 +V\u000a +p15386 +tp15387 +a(g8 +VREV +p15388 +tp15389 +a(g624 +V=: +p15390 +tp15391 +a(g862 +g958 +tp15392 +a(g8 +Vfixrev +p15393 +tp15394 +a(g862 +g958 +tp15395 +a(g8 +Vfreads +p15396 +tp15397 +a(g862 +g958 +tp15398 +a(g8 +VADDCFG +p15399 +tp15400 +a(g624 +g1069 +tp15401 +a(g303 +g960 +tp15402 +a(g303 +g1005 +tp15403 +a(g303 +g997 +tp15404 +a(g303 +g1354 +tp15405 +a(g303 +g1002 +tp15406 +a(g303 +g995 +tp15407 +a(g303 +g1002 +tp15408 +a(g303 +g1185 +tp15409 +a(g303 +g973 +tp15410 +a(g303 +g1668 +tp15411 +a(g303 +g1154 +tp15412 +a(g303 +g1989 +tp15413 +a(g303 +g1154 +tp15414 +a(g303 +g960 +tp15415 +a(g862 +V\u000a +p15416 +tp15417 +a(g8 +VLASTUPD +p15418 +tp15419 +a(g624 +V=: +p15420 +tp15421 +a(g862 +g958 +tp15422 +a(g8 +Vfixupd +p15423 +tp15424 +a(g862 +g958 +tp15425 +a(g8 +Vfreads +p15426 +tp15427 +a(g862 +g958 +tp15428 +a(g8 +VADDCFG +p15429 +tp15430 +a(g624 +g1069 +tp15431 +a(g303 +g960 +tp15432 +a(g303 +g1000 +tp15433 +a(g303 +g966 +tp15434 +a(g303 +g995 +tp15435 +a(g303 +g1154 +tp15436 +a(g303 +g1260 +tp15437 +a(g303 +g964 +tp15438 +a(g303 +g1151 +tp15439 +a(g303 +g966 +tp15440 +a(g303 +g1154 +tp15441 +a(g303 +g997 +tp15442 +a(g303 +g1668 +tp15443 +a(g303 +g1154 +tp15444 +a(g303 +g1989 +tp15445 +a(g303 +g1154 +tp15446 +a(g303 +g960 +tp15447 +a(g862 +V\u000a +p15448 +tp15449 +a(g8 +VLIBS +p15450 +tp15451 +a(g624 +V=: +p15452 +tp15453 +a(g862 +g958 +tp15454 +a(g8 +Vfixlibs +p15455 +tp15456 +a(g862 +g958 +tp15457 +a(g8 +Vfreads +p15458 +tp15459 +a(g862 +g958 +tp15460 +a(g8 +VADDCFG +p15461 +tp15462 +a(g624 +g1069 +tp15463 +a(g303 +g960 +tp15464 +a(g303 +g1000 +tp15465 +a(g303 +g1002 +tp15466 +a(g303 +g992 +tp15467 +a(g303 +g1005 +tp15468 +a(g303 +g966 +tp15469 +a(g303 +g1005 +tp15470 +a(g303 +g1009 +tp15471 +a(g303 +g1668 +tp15472 +a(g303 +g1154 +tp15473 +a(g303 +g1989 +tp15474 +a(g303 +g1154 +tp15475 +a(g303 +g960 +tp15476 +a(g862 +V\u000a +p15477 +tp15478 +a(g8 +VLIB +p15479 +tp15480 +a(g624 +V=: +p15481 +tp15482 +a(g862 +g958 +tp15483 +a(g8 +Vfixlib +p15484 +tp15485 +a(g862 +g958 +tp15486 +a(g8 +VLIBS +p15487 +tp15488 +a(g862 +V\u000a +p15489 +tp15490 +a(g8 +VZIPS +p15491 +tp15492 +a(g624 +V=: +p15493 +tp15494 +a(g862 +g958 +tp15495 +a(g8 +Vfixzips +p15496 +tp15497 +a(g862 +g958 +tp15498 +a(g8 +Vfreads +p15499 +tp15500 +a(g862 +g958 +tp15501 +a(g8 +VADDCFG +p15502 +tp15503 +a(g624 +g1069 +tp15504 +a(g303 +g960 +tp15505 +a(g303 +g1425 +tp15506 +a(g303 +g1002 +tp15507 +a(g303 +g964 +tp15508 +a(g303 +g995 +tp15509 +a(g303 +g1668 +tp15510 +a(g303 +g1154 +tp15511 +a(g303 +g1989 +tp15512 +a(g303 +g1154 +tp15513 +a(g303 +g960 +tp15514 +a(g862 +V\u000a +p15515 +tp15516 +a(g8 +VEMPTY +p15517 +tp15518 +a(g862 +V\u000a +p15519 +tp15520 +a(g132 +g1640 +tp15521 +a(g862 +V\u000a +p15522 +tp15523 +a(g8 +Vreadtree +p15524 +tp15525 +a(g624 +V=: +p15526 +tp15527 +a(g862 +g958 +tp15528 +a(g108 +V3 : 0 +p15529 +tp15530 +a(g862 +V\u000a +p15531 +tp15532 +a(g8 +g1325 +tp15533 +a(g624 +V=. +p15534 +tp15535 +a(g862 +g958 +tp15536 +a(g8 +VADDCFG +p15537 +tp15538 +a(g624 +g1069 +tp15539 +a(g303 +g960 +tp15540 +a(g303 +g1154 +tp15541 +a(g303 +g1005 +tp15542 +a(g303 +g997 +tp15543 +a(g303 +g997 +tp15544 +a(g303 +g1668 +tp15545 +a(g303 +g1154 +tp15546 +a(g303 +g1989 +tp15547 +a(g303 +g1154 +tp15548 +a(g303 +g960 +tp15549 +a(g862 +V\u000a +p15550 +tp15551 +a(g8 +Vtree +p15552 +tp15553 +a(g624 +V=. +p15554 +tp15555 +a(g862 +g958 +tp15556 +a(g8 +VLF +p15557 +tp15558 +a(g862 +g958 +tp15559 +a(g624 +g1712 +tp15560 +a(g624 +g1668 +tp15561 +a(g624 +g1857 +tp15562 +a(g862 +g958 +tp15563 +a(g8 +Vfreads +p15564 +tp15565 +a(g862 +g958 +tp15566 +a(g8 +g1325 +tp15567 +a(g862 +V\u000a +p15568 +tp15569 +a(g132 +Vif. +p15570 +tp15571 +a(g862 +g958 +tp15572 +a(g624 +g1712 +tp15573 +a(g624 +g1668 +tp15574 +a(g862 +g958 +tp15575 +a(g647 +g2312 +tp15576 +a(g624 +g1071 +tp15577 +a(g8 +Vtree +p15578 +tp15579 +a(g647 +g1640 +tp15580 +a(g862 +g958 +tp15581 +a(g8 +g997 +tp15582 +a(g624 +g1668 +tp15583 +a(g862 +g958 +tp15584 +a(g303 +g960 +tp15585 +a(g303 +g968 +tp15586 +a(g303 +g1260 +tp15587 +a(g303 +g1005 +tp15588 +a(g303 +g1005 +tp15589 +a(g303 +g997 +tp15590 +a(g303 +g973 +tp15591 +a(g303 +g1154 +tp15592 +a(g303 +g960 +tp15593 +a(g624 +g1666 +tp15594 +a(g303 +g960 +tp15595 +a(g303 +g995 +tp15596 +a(g303 +g1154 +tp15597 +a(g303 +g966 +tp15598 +a(g303 +g992 +tp15599 +a(g303 +g1000 +tp15600 +a(g303 +g997 +tp15601 +a(g303 +g960 +tp15602 +a(g862 +g958 +tp15603 +a(g132 +Vdo. +p15604 +tp15605 +a(g862 +V\u000a +p15606 +tp15607 +a(g8 +Vtree +p15608 +tp15609 +a(g624 +V=. +p15610 +tp15611 +a(g862 +g958 +tp15612 +a(g303 +g960 +tp15613 +a(g303 +g968 +tp15614 +a(g303 +g1260 +tp15615 +a(g303 +g1005 +tp15616 +a(g303 +g1005 +tp15617 +a(g303 +g997 +tp15618 +a(g303 +g973 +tp15619 +a(g303 +g1154 +tp15620 +a(g303 +g960 +tp15621 +a(g862 +V\u000a +p15622 +tp15623 +a(g8 +Vwritetree +p15624 +tp15625 +a(g862 +g958 +tp15626 +a(g8 +Vtree +p15627 +tp15628 +a(g862 +V\u000a +p15629 +tp15630 +a(g132 +Vend. +p15631 +tp15632 +a(g862 +V\u000a +p15633 +tp15634 +a(g8 +Vtree +p15635 +tp15636 +a(g862 +V\u000a +p15637 +tp15638 +a(g132 +g1640 +tp15639 +a(g862 +V\u000a +p15640 +tp15641 +a(g8 +Vwritetree +p15642 +tp15643 +a(g624 +V=: +p15644 +tp15645 +a(g862 +g958 +tp15646 +a(g108 +V3 : 0 +p15647 +tp15648 +a(g862 +V\u000a +p15649 +tp15650 +a(g28 +g1009 +tp15651 +a(g862 +g958 +tp15652 +a(g8 +Vfwritenew +p15653 +tp15654 +a(g862 +g958 +tp15655 +a(g8 +VADDCFG +p15656 +tp15657 +a(g624 +g1069 +tp15658 +a(g303 +g960 +tp15659 +a(g303 +g1154 +tp15660 +a(g303 +g1005 +tp15661 +a(g303 +g997 +tp15662 +a(g303 +g997 +tp15663 +a(g303 +g1668 +tp15664 +a(g303 +g1154 +tp15665 +a(g303 +g1989 +tp15666 +a(g303 +g1154 +tp15667 +a(g303 +g960 +tp15668 +a(g862 +V\u000a +p15669 +tp15670 +a(g132 +g1640 +tp15671 +a(g862 +V\u000a +p15672 +tp15673 +a(g8 +Vrefreshweb +p15674 +tp15675 +a(g624 +V=: +p15676 +tp15677 +a(g862 +g958 +tp15678 +a(g108 +V3 : 0 +p15679 +tp15680 +a(g862 +V\u000a +p15681 +tp15682 +a(g132 +Vif. +p15683 +tp15684 +a(g862 +g958 +tp15685 +a(g252 +g1019 +tp15686 +a(g862 +g958 +tp15687 +a(g624 +g2890 +tp15688 +a(g862 +g958 +tp15689 +a(g8 +Vrefreshjal +p15690 +tp15691 +a(g303 +g960 +tp15692 +a(g303 +g960 +tp15693 +a(g862 +g958 +tp15694 +a(g132 +Vdo. +p15695 +tp15696 +a(g862 +g958 +tp15697 +a(g252 +g1019 +tp15698 +a(g862 +g958 +tp15699 +a(g132 +Vreturn. +p15700 +tp15701 +a(g862 +g958 +tp15702 +a(g132 +Vend. +p15703 +tp15704 +a(g862 +V\u000a +p15705 +tp15706 +a(g8 +Vreadlocal +p15707 +tp15708 +a(g303 +g960 +tp15709 +a(g303 +g960 +tp15710 +a(g862 +V\u000a +p15711 +tp15712 +a(g252 +g1860 +tp15713 +a(g862 +V\u000a +p15714 +tp15715 +a(g132 +g1640 +tp15716 +a(g862 +V\u000a +p15717 +tp15718 +a(g8 +Vrefreshaddins +p15719 +tp15720 +a(g624 +V=: +p15721 +tp15722 +a(g862 +g958 +tp15723 +a(g108 +V3 : 0 +p15724 +tp15725 +a(g862 +V\u000a +p15726 +tp15727 +a(g8 +VADDLABS +p15728 +tp15729 +a(g624 +V=: +p15730 +tp15731 +a(g862 +g958 +tp15732 +a(g303 +g960 +tp15733 +a(g303 +g960 +tp15734 +a(g862 +V\u000a +p15735 +tp15736 +a(g8 +g1325 +tp15737 +a(g624 +V=. +p15738 +tp15739 +a(g862 +g958 +tp15740 +a(g8 +VADDCFG +p15741 +tp15742 +a(g624 +g1069 +tp15743 +a(g303 +g960 +tp15744 +a(g303 +g966 +tp15745 +a(g303 +g1151 +tp15746 +a(g303 +g1151 +tp15747 +a(g303 +g1002 +tp15748 +a(g303 +g973 +tp15749 +a(g303 +g995 +tp15750 +a(g303 +g1668 +tp15751 +a(g303 +g1154 +tp15752 +a(g303 +g1989 +tp15753 +a(g303 +g1154 +tp15754 +a(g303 +g960 +tp15755 +a(g862 +V\u000a +p15756 +tp15757 +a(g8 +g964 +tp15758 +a(g624 +V=. +p15759 +tp15760 +a(g862 +g958 +tp15761 +a(g8 +Vjpath +p15762 +tp15763 +a(g862 +g958 +tp15764 +a(g303 +g960 +tp15765 +a(g303 +g1857 +tp15766 +a(g303 +g966 +tp15767 +a(g303 +g1151 +tp15768 +a(g303 +g1151 +tp15769 +a(g303 +g1185 +tp15770 +a(g303 +g973 +tp15771 +a(g303 +g995 +tp15772 +a(g303 +g1144 +tp15773 +a(g303 +g960 +tp15774 +a(g862 +V\u000a +p15775 +tp15776 +a(g8 +Vsd +p15777 +tp15778 +a(g624 +V=. +p15779 +tp15780 +a(g862 +g958 +tp15781 +a(g624 +g1666 +tp15782 +a(g8 +Vsubdir +p15783 +tp15784 +a(g862 +g958 +tp15785 +a(g8 +Veach +p15786 +tp15787 +a(g862 +g958 +tp15788 +a(g8 +Vsubdir +p15789 +tp15790 +a(g862 +g958 +tp15791 +a(g8 +g964 +tp15792 +a(g862 +V\u000a +p15793 +tp15794 +a(g132 +Vif. +p15795 +tp15796 +a(g862 +g958 +tp15797 +a(g252 +g1019 +tp15798 +a(g624 +g2890 +tp15799 +a(g624 +g1855 +tp15800 +a(g8 +Vsd +p15801 +tp15802 +a(g862 +g958 +tp15803 +a(g132 +Vdo. +p15804 +tp15805 +a(g862 +V\u000a +p15806 +tp15807 +a(g303 +g960 +tp15808 +a(g303 +g960 +tp15809 +a(g862 +g958 +tp15810 +a(g8 +Vfwrite +p15811 +tp15812 +a(g862 +g958 +tp15813 +a(g8 +g1325 +tp15814 +a(g862 +V\u000a +p15815 +tp15816 +a(g8 +Vwrite_config +p15817 +tp15818 +a(g303 +g960 +tp15819 +a(g303 +g960 +tp15820 +a(g862 +g958 +tp15821 +a(g132 +Vreturn. +p15822 +tp15823 +a(g862 +V\u000a +p15824 +tp15825 +a(g132 +Vend. +p15826 +tp15827 +a(g862 +V\u000a +p15828 +tp15829 +a(g8 +g1005 +tp15830 +a(g624 +V=. +p15831 +tp15832 +a(g862 +g958 +tp15833 +a(g8 +g995 +tp15834 +a(g624 +V=. +p15835 +tp15836 +a(g862 +g958 +tp15837 +a(g303 +g960 +tp15838 +a(g303 +g960 +tp15839 +a(g862 +V\u000a +p15840 +tp15841 +a(g8 +Vfor_d +p15842 +tp15843 +a(g624 +g1668 +tp15844 +a(g862 +g958 +tp15845 +a(g8 +Vsd +p15846 +tp15847 +a(g862 +g958 +tp15848 +a(g132 +Vdo. +p15849 +tp15850 +a(g862 +V\u000a +p15851 +tp15852 +a(g8 +Vmft +p15853 +tp15854 +a(g624 +V=. +p15855 +tp15856 +a(g862 +g958 +tp15857 +a(g8 +Vfreads +p15858 +tp15859 +a(g862 +g958 +tp15860 +a(g647 +g2312 +tp15861 +a(g624 +g2876 +tp15862 +a(g8 +g1151 +tp15863 +a(g647 +g1640 +tp15864 +a(g624 +g1069 +tp15865 +a(g303 +g960 +tp15866 +a(g303 +g970 +tp15867 +a(g303 +g966 +tp15868 +a(g303 +g973 +tp15869 +a(g303 +g1002 +tp15870 +a(g303 +g1325 +tp15871 +a(g303 +g997 +tp15872 +a(g303 +g995 +tp15873 +a(g303 +g1154 +tp15874 +a(g303 +g1668 +tp15875 +a(g303 +g1002 +tp15876 +a(g303 +g962 +tp15877 +a(g303 +g995 +tp15878 +a(g303 +g960 +tp15879 +a(g862 +V\u000a +p15880 +tp15881 +a(g132 +Vif. +p15882 +tp15883 +a(g862 +g958 +tp15884 +a(g8 +Vmft +p15885 +tp15886 +a(g862 +g958 +tp15887 +a(g624 +g1712 +tp15888 +a(g624 +g1060 +tp15889 +a(g862 +g958 +tp15890 +a(g252 +V_1 +p15891 +tp15892 +a(g862 +g958 +tp15893 +a(g132 +Vdo. +p15894 +tp15895 +a(g862 +g958 +tp15896 +a(g132 +Vcontinue. +p15897 +tp15898 +a(g862 +g958 +tp15899 +a(g132 +Vend. +p15900 +tp15901 +a(g862 +V\u000a +p15902 +tp15903 +a(g8 +VVERSION +p15904 +tp15905 +a(g624 +V=: +p15906 +tp15907 +a(g862 +g958 +tp15908 +a(g303 +g960 +tp15909 +a(g303 +g960 +tp15910 +a(g862 +V\u000a +p15911 +tp15912 +a(g252 +g1019 +tp15913 +a(g624 +g2329 +tp15914 +a(g624 +g1060 +tp15915 +a(g252 +V100 +p15916 +tp15917 +a(g862 +g958 +tp15918 +a(g8 +Vmft +p15919 +tp15920 +a(g862 +V\u000a +p15921 +tp15922 +a(g8 +Vver +p15923 +tp15924 +a(g624 +V=. +p15925 +tp15926 +a(g862 +g958 +tp15927 +a(g8 +Vfmtver +p15928 +tp15929 +a(g862 +g958 +tp15930 +a(g8 +Vfixver +p15931 +tp15932 +a(g862 +g958 +tp15933 +a(g8 +VVERSION +p15934 +tp15935 +a(g862 +V\u000a +p15936 +tp15937 +a(g28 +g973 +tp15938 +a(g624 +V=. +p15939 +tp15940 +a(g862 +g958 +tp15941 +a(g624 +g3103 +tp15942 +a(g624 +g1060 +tp15943 +a(g862 +g958 +tp15944 +a(g647 +g2312 +tp15945 +a(g624 +g1855 +tp15946 +a(g8 +g964 +tp15947 +a(g647 +g1640 +tp15948 +a(g862 +g958 +tp15949 +a(g624 +g3103 +tp15950 +a(g624 +g1668 +tp15951 +a(g862 +g958 +tp15952 +a(g624 +g2876 +tp15953 +a(g8 +g1151 +tp15954 +a(g862 +V\u000a +p15955 +tp15956 +a(g28 +g973 +tp15957 +a(g624 +V=. +p15958 +tp15959 +a(g862 +g958 +tp15960 +a(g303 +g960 +tp15961 +a(g303 +g1144 +tp15962 +a(g303 +g960 +tp15963 +a(g862 +g958 +tp15964 +a(g647 +g2312 +tp15965 +a(g8 +g3090 +tp15966 +a(g624 +g1668 +tp15967 +a(g28 +g973 +tp15968 +a(g624 +g2890 +tp15969 +a(g303 +g960 +tp15970 +a(g303 +V\u005c +p15971 +tp15972 +a(g303 +g960 +tp15973 +a(g647 +g1640 +tp15974 +a(g862 +g958 +tp15975 +a(g624 +g3103 +tp15976 +a(g862 +g958 +tp15977 +a(g28 +g973 +tp15978 +a(g862 +V\u000a +p15979 +tp15980 +a(g8 +g1005 +tp15981 +a(g624 +V=. +p15982 +tp15983 +a(g862 +g958 +tp15984 +a(g8 +g1005 +tp15985 +a(g624 +g1069 +tp15986 +a(g28 +g973 +tp15987 +a(g624 +g1069 +tp15988 +a(g303 +g960 +tp15989 +a(g303 +g958 +tp15990 +a(g303 +g960 +tp15991 +a(g624 +g1069 +tp15992 +a(g8 +Vver +p15993 +tp15994 +a(g624 +g1069 +tp15995 +a(g8 +VLF +p15996 +tp15997 +a(g862 +V\u000a +p15998 +tp15999 +a(g8 +g995 +tp16000 +a(g624 +V=. +p16001 +tp16002 +a(g862 +g958 +tp16003 +a(g8 +g995 +tp16004 +a(g624 +g1069 +tp16005 +a(g8 +g1151 +tp16006 +a(g862 +V\u000a +p16007 +tp16008 +a(g132 +Vend. +p16009 +tp16010 +a(g862 +V\u000a +p16011 +tp16012 +a(g8 +g1005 +tp16013 +a(g862 +g958 +tp16014 +a(g8 +Vfwritenew +p16015 +tp16016 +a(g862 +g958 +tp16017 +a(g8 +g1325 +tp16018 +a(g862 +V\u000a +p16019 +tp16020 +a(g8 +g995 +tp16021 +a(g624 +V=. +p16022 +tp16023 +a(g862 +g958 +tp16024 +a(g647 +g2312 +tp16025 +a(g624 +g1855 +tp16026 +a(g8 +g964 +tp16027 +a(g647 +g1640 +tp16028 +a(g862 +g958 +tp16029 +a(g624 +g3103 +tp16030 +a(g624 +g1668 +tp16031 +a(g8 +Veach +p16032 +tp16033 +a(g862 +g958 +tp16034 +a(g624 +g3103 +tp16035 +a(g624 +g1060 +tp16036 +a(g862 +g958 +tp16037 +a(g8 +Veach +p16038 +tp16039 +a(g862 +g958 +tp16040 +a(g8 +g995 +tp16041 +a(g862 +V\u000a +p16042 +tp16043 +a(g8 +Vinstall_labs +p16044 +tp16045 +a(g862 +g958 +tp16046 +a(g8 +Veach +p16047 +tp16048 +a(g862 +g958 +tp16049 +a(g8 +g995 +tp16050 +a(g862 +V\u000a +p16051 +tp16052 +a(g8 +Vwrite_config +p16053 +tp16054 +a(g303 +g960 +tp16055 +a(g303 +g960 +tp16056 +a(g862 +V\u000a +p16057 +tp16058 +a(g132 +g1640 +tp16059 +a(g862 +V\u000a +p16060 +tp16061 +a(g8 +Vrefreshjal +p16062 +tp16063 +a(g624 +V=: +p16064 +tp16065 +a(g862 +g958 +tp16066 +a(g108 +V3 : 0 +p16067 +tp16068 +a(g862 +V\u000a +p16069 +tp16070 +a(g303 +g960 +tp16071 +a(g303 +g1005 +tp16072 +a(g303 +g968 +tp16073 +a(g303 +g958 +tp16074 +a(g303 +g964 +tp16075 +a(g303 +g960 +tp16076 +a(g624 +V=. +p16077 +tp16078 +a(g862 +g958 +tp16079 +a(g8 +Vhttpget +p16080 +tp16081 +a(g862 +g958 +tp16082 +a(g8 +VWWW +p16083 +tp16084 +a(g624 +g1069 +tp16085 +a(g8 +Vzipext +p16086 +tp16087 +a(g862 +g958 +tp16088 +a(g303 +g960 +tp16089 +a(g303 +g962 +tp16090 +a(g303 +g966 +tp16091 +a(g303 +g1000 +tp16092 +a(g303 +g960 +tp16093 +a(g862 +V\u000a +p16094 +tp16095 +a(g132 +Vif. +p16096 +tp16097 +a(g862 +g958 +tp16098 +a(g8 +Vrc +p16099 +tp16100 +a(g862 +g958 +tp16101 +a(g132 +Vdo. +p16102 +tp16103 +a(g862 +g958 +tp16104 +a(g252 +g1019 +tp16105 +a(g862 +g958 +tp16106 +a(g132 +Vreturn. +p16107 +tp16108 +a(g862 +g958 +tp16109 +a(g132 +Vend. +p16110 +tp16111 +a(g862 +V\u000a +p16112 +tp16113 +a(g8 +Vunzip +p16114 +tp16115 +a(g862 +g958 +tp16116 +a(g8 +g964 +tp16117 +a(g624 +g1666 +tp16118 +a(g8 +VADDCFG +p16119 +tp16120 +a(g862 +V\u000a +p16121 +tp16122 +a(g8 +Vferase +p16123 +tp16124 +a(g862 +g958 +tp16125 +a(g8 +g964 +tp16126 +a(g862 +V\u000a +p16127 +tp16128 +a(g132 +Vif. +p16129 +tp16130 +a(g862 +g958 +tp16131 +a(g624 +g2870 +tp16132 +a(g624 +g1668 +tp16133 +a(g624 +g1144 +tp16134 +a(g862 +g958 +tp16135 +a(g8 +VCFGFILES +p16136 +tp16137 +a(g862 +g958 +tp16138 +a(g8 +g997 +tp16139 +a(g624 +g1668 +tp16140 +a(g862 +g958 +tp16141 +a(g624 +g2314 +tp16142 +a(g624 +g1668 +tp16143 +a(g624 +g2003 +tp16144 +a(g252 +g1860 +tp16145 +a(g862 +g958 +tp16146 +a(g624 +g2865 +tp16147 +a(g862 +g958 +tp16148 +a(g252 +g1860 +tp16149 +a(g624 +g2329 +tp16150 +a(g624 +g1060 +tp16151 +a(g252 +g1019 +tp16152 +a(g862 +g958 +tp16153 +a(g8 +VADDCFG +p16154 +tp16155 +a(g624 +g1069 +tp16156 +a(g303 +g960 +tp16157 +a(g303 +g2870 +tp16158 +a(g303 +g960 +tp16159 +a(g862 +g958 +tp16160 +a(g132 +Vdo. +p16161 +tp16162 +a(g862 +g958 +tp16163 +a(g252 +g1860 +tp16164 +a(g862 +g958 +tp16165 +a(g132 +Vreturn. +p16166 +tp16167 +a(g862 +g958 +tp16168 +a(g132 +Vend. +p16169 +tp16170 +a(g862 +V\u000a +p16171 +tp16172 +a(g8 +Vmsg +p16173 +tp16174 +a(g624 +V=. +p16175 +tp16176 +a(g862 +g958 +tp16177 +a(g303 +g960 +tp16178 +a(g303 +g2199 +tp16179 +a(g303 +g1185 +tp16180 +a(g303 +g1260 +tp16181 +a(g303 +g1000 +tp16182 +a(g303 +g1151 +tp16183 +a(g303 +g958 +tp16184 +a(g303 +g973 +tp16185 +a(g303 +g1185 +tp16186 +a(g303 +g1154 +tp16187 +a(g303 +g958 +tp16188 +a(g303 +g1002 +tp16189 +a(g303 +g973 +tp16190 +a(g303 +g995 +tp16191 +a(g303 +g1154 +tp16192 +a(g303 +g966 +tp16193 +a(g303 +g1000 +tp16194 +a(g303 +g1000 +tp16195 +a(g303 +g958 +tp16196 +a(g303 +g1154 +tp16197 +a(g303 +g1205 +tp16198 +a(g303 +g997 +tp16199 +a(g303 +g958 +tp16200 +a(g303 +g1000 +tp16201 +a(g303 +g1185 +tp16202 +a(g303 +g968 +tp16203 +a(g303 +g966 +tp16204 +a(g303 +g1000 +tp16205 +a(g303 +g958 +tp16206 +a(g303 +g1005 +tp16207 +a(g303 +g997 +tp16208 +a(g303 +g964 +tp16209 +a(g303 +g1185 +tp16210 +a(g303 +g995 +tp16211 +a(g303 +g1002 +tp16212 +a(g303 +g1154 +tp16213 +a(g303 +g1185 +tp16214 +a(g303 +g1005 +tp16215 +a(g303 +g1009 +tp16216 +a(g303 +g958 +tp16217 +a(g303 +g968 +tp16218 +a(g303 +g966 +tp16219 +a(g303 +g1154 +tp16220 +a(g303 +g966 +tp16221 +a(g303 +g1000 +tp16222 +a(g303 +g1185 +tp16223 +a(g303 +g1094 +tp16224 +a(g303 +g1668 +tp16225 +a(g303 +g960 +tp16226 +a(g862 +V\u000a +p16227 +tp16228 +a(g8 +Vlog +p16229 +tp16230 +a(g862 +g958 +tp16231 +a(g8 +Vmsg +p16232 +tp16233 +a(g862 +V\u000a +p16234 +tp16235 +a(g8 +Vinfo +p16236 +tp16237 +a(g862 +g958 +tp16238 +a(g8 +Vmsg +p16239 +tp16240 +a(g862 +V\u000a +p16241 +tp16242 +a(g252 +g1019 +tp16243 +a(g862 +V\u000a +p16244 +tp16245 +a(g132 +g1640 +tp16246 +a(g862 +V\u000a +p16247 +tp16248 +a(g8 +Vupdatejal +p16249 +tp16250 +a(g624 +V=: +p16251 +tp16252 +a(g862 +g958 +tp16253 +a(g108 +V3 : 0 +p16254 +tp16255 +a(g862 +V\u000a +p16256 +tp16257 +a(g8 +Vlog +p16258 +tp16259 +a(g862 +g958 +tp16260 +a(g303 +g960 +tp16261 +a(g303 +g1800 +tp16262 +a(g303 +g964 +tp16263 +a(g303 +g1151 +tp16264 +a(g303 +g966 +tp16265 +a(g303 +g1154 +tp16266 +a(g303 +g1002 +tp16267 +a(g303 +g973 +tp16268 +a(g303 +g1094 +tp16269 +a(g303 +g958 +tp16270 +a(g303 +g995 +tp16271 +a(g303 +g997 +tp16272 +a(g303 +g1005 +tp16273 +a(g303 +g1354 +tp16274 +a(g303 +g997 +tp16275 +a(g303 +g1005 +tp16276 +a(g303 +g958 +tp16277 +a(g303 +g968 +tp16278 +a(g303 +g966 +tp16279 +a(g303 +g1154 +tp16280 +a(g303 +g966 +tp16281 +a(g303 +g1000 +tp16282 +a(g303 +g1185 +tp16283 +a(g303 +g1094 +tp16284 +a(g303 +g1668 +tp16285 +a(g303 +g1668 +tp16286 +a(g303 +g1668 +tp16287 +a(g303 +g960 +tp16288 +a(g862 +V\u000a +p16289 +tp16290 +a(g132 +Vif. +p16291 +tp16292 +a(g862 +g958 +tp16293 +a(g624 +g1712 +tp16294 +a(g624 +g1668 +tp16295 +a(g862 +g958 +tp16296 +a(g8 +Vinit_console +p16297 +tp16298 +a(g862 +g958 +tp16299 +a(g303 +g960 +tp16300 +a(g303 +g995 +tp16301 +a(g303 +g997 +tp16302 +a(g303 +g1005 +tp16303 +a(g303 +g1354 +tp16304 +a(g303 +g997 +tp16305 +a(g303 +g1005 +tp16306 +a(g303 +g960 +tp16307 +a(g862 +g958 +tp16308 +a(g132 +Vdo. +p16309 +tp16310 +a(g862 +g958 +tp16311 +a(g303 +g960 +tp16312 +a(g303 +g960 +tp16313 +a(g862 +g958 +tp16314 +a(g132 +Vreturn. +p16315 +tp16316 +a(g862 +g958 +tp16317 +a(g132 +Vend. +p16318 +tp16319 +a(g862 +V\u000a +p16320 +tp16321 +a(g8 +Vrefreshaddins +p16322 +tp16323 +a(g303 +g960 +tp16324 +a(g303 +g960 +tp16325 +a(g862 +V\u000a +p16326 +tp16327 +a(g8 +Vreadlocal +p16328 +tp16329 +a(g303 +g960 +tp16330 +a(g303 +g960 +tp16331 +a(g862 +V\u000a +p16332 +tp16333 +a(g8 +Vpacman_init +p16334 +tp16335 +a(g303 +g960 +tp16336 +a(g303 +g960 +tp16337 +a(g862 +V\u000a +p16338 +tp16339 +a(g8 +Vres +p16340 +tp16341 +a(g624 +V=. +p16342 +tp16343 +a(g862 +g958 +tp16344 +a(g8 +Vchecklastupdate +p16345 +tp16346 +a(g303 +g960 +tp16347 +a(g303 +g960 +tp16348 +a(g862 +V\u000a +p16349 +tp16350 +a(g8 +Vres +p16351 +tp16352 +a(g624 +g1069 +tp16353 +a(g8 +VLF +p16354 +tp16355 +a(g624 +g1069 +tp16356 +a(g8 +Vcheckstatus +p16357 +tp16358 +a(g303 +g960 +tp16359 +a(g303 +g960 +tp16360 +a(g862 +V\u000a +p16361 +tp16362 +a(g132 +g1640 +tp16363 +a(g862 +V\u000a +p16364 +tp16365 +a(g8 +VRELIBMSG +p16366 +tp16367 +a(g624 +V=: +p16368 +tp16369 +a(g862 +g958 +tp16370 +a(g36 +V0 : 0 +p16371 +tp16372 +a(g303 +V\u000a +p16373 +tp16374 +a(g303 +g7407 +tp16375 +a(g303 +g1185 +tp16376 +a(g303 +g1260 +tp16377 +a(g303 +g958 +tp16378 +a(g303 +g966 +tp16379 +a(g303 +g1005 +tp16380 +a(g303 +g997 +tp16381 +a(g303 +g958 +tp16382 +a(g303 +g973 +tp16383 +a(g303 +g1185 +tp16384 +a(g303 +g1215 +tp16385 +a(g303 +g958 +tp16386 +a(g303 +g1260 +tp16387 +a(g303 +g995 +tp16388 +a(g303 +g1002 +tp16389 +a(g303 +g973 +tp16390 +a(g303 +g1094 +tp16391 +a(g303 +g958 +tp16392 +a(g303 +g1154 +tp16393 +a(g303 +g1205 +tp16394 +a(g303 +g997 +tp16395 +a(g303 +g958 +tp16396 +a(g303 +g6750 +tp16397 +a(g303 +g6750 +tp16398 +a(g303 +g958 +tp16399 +a(g303 +g992 +tp16400 +a(g303 +g966 +tp16401 +a(g303 +g995 +tp16402 +a(g303 +g997 +tp16403 +a(g303 +g958 +tp16404 +a(g303 +g1000 +tp16405 +a(g303 +g1002 +tp16406 +a(g303 +g992 +tp16407 +a(g303 +g1005 +tp16408 +a(g303 +g966 +tp16409 +a(g303 +g1005 +tp16410 +a(g303 +g1009 +tp16411 +a(g303 +g1069 +tp16412 +a(g303 +g958 +tp16413 +a(g303 +g966 +tp16414 +a(g303 +g973 +tp16415 +a(g303 +g1151 +tp16416 +a(g303 +g958 +tp16417 +a(g303 +g968 +tp16418 +a(g303 +g966 +tp16419 +a(g303 +g973 +tp16420 +a(g303 +g958 +tp16421 +a(g303 +g995 +tp16422 +a(g303 +g1215 +tp16423 +a(g303 +g1002 +tp16424 +a(g303 +g1154 +tp16425 +a(g303 +g968 +tp16426 +a(g303 +g1205 +tp16427 +a(g303 +g958 +tp16428 +a(g303 +g1154 +tp16429 +a(g303 +g1185 +tp16430 +a(g303 +g958 +tp16431 +a(g303 +g1154 +tp16432 +a(g303 +g1205 +tp16433 +a(g303 +g997 +tp16434 +a(g303 +g958 +tp16435 +a(g303 +g7407 +tp16436 +a(g303 +g7407 +tp16437 +a(g303 +g958 +tp16438 +a(g303 +g992 +tp16439 +a(g303 +g966 +tp16440 +a(g303 +g995 +tp16441 +a(g303 +g997 +tp16442 +a(g303 +g958 +tp16443 +a(g303 +g1000 +tp16444 +a(g303 +g1002 +tp16445 +a(g303 +g992 +tp16446 +a(g303 +g1005 +tp16447 +a(g303 +g966 +tp16448 +a(g303 +g1005 +tp16449 +a(g303 +g1009 +tp16450 +a(g303 +g1668 +tp16451 +a(g303 +V\u000a +p16452 +tp16453 +a(g303 +V\u000a +p16454 +tp16455 +a(g303 +g2129 +tp16456 +a(g303 +g1205 +tp16457 +a(g303 +g1002 +tp16458 +a(g303 +g995 +tp16459 +a(g303 +g958 +tp16460 +a(g303 +g1215 +tp16461 +a(g303 +g1002 +tp16462 +a(g303 +g1000 +tp16463 +a(g303 +g1000 +tp16464 +a(g303 +g958 +tp16465 +a(g303 +g1151 +tp16466 +a(g303 +g1185 +tp16467 +a(g303 +g1215 +tp16468 +a(g303 +g973 +tp16469 +a(g303 +g1000 +tp16470 +a(g303 +g1185 +tp16471 +a(g303 +g966 +tp16472 +a(g303 +g1151 +tp16473 +a(g303 +g958 +tp16474 +a(g303 +g1154 +tp16475 +a(g303 +g1205 +tp16476 +a(g303 +g997 +tp16477 +a(g303 +g958 +tp16478 +a(g303 +g7407 +tp16479 +a(g303 +g7407 +tp16480 +a(g303 +g958 +tp16481 +a(g303 +g1354 +tp16482 +a(g303 +g997 +tp16483 +a(g303 +g1005 +tp16484 +a(g303 +g995 +tp16485 +a(g303 +g1002 +tp16486 +a(g303 +g1185 +tp16487 +a(g303 +g973 +tp16488 +a(g303 +g958 +tp16489 +a(g303 +g1185 +tp16490 +a(g303 +g1325 +tp16491 +a(g303 +g958 +tp16492 +a(g303 +g1154 +tp16493 +a(g303 +g1205 +tp16494 +a(g303 +g997 +tp16495 +a(g303 +g958 +tp16496 +a(g303 +g992 +tp16497 +a(g303 +g966 +tp16498 +a(g303 +g995 +tp16499 +a(g303 +g997 +tp16500 +a(g303 +g958 +tp16501 +a(g303 +g1000 +tp16502 +a(g303 +g1002 +tp16503 +a(g303 +g992 +tp16504 +a(g303 +g1005 +tp16505 +a(g303 +g966 +tp16506 +a(g303 +g1005 +tp16507 +a(g303 +g1009 +tp16508 +a(g303 +g958 +tp16509 +a(g303 +g966 +tp16510 +a(g303 +g973 +tp16511 +a(g303 +g1151 +tp16512 +a(g303 +g958 +tp16513 +a(g303 +g1185 +tp16514 +a(g303 +g1354 +tp16515 +a(g303 +g997 +tp16516 +a(g303 +g1005 +tp16517 +a(g303 +g1215 +tp16518 +a(g303 +g1005 +tp16519 +a(g303 +g1002 +tp16520 +a(g303 +g1154 +tp16521 +a(g303 +g997 +tp16522 +a(g303 +g958 +tp16523 +a(g303 +g997 +tp16524 +a(g303 +g1989 +tp16525 +a(g303 +g1002 +tp16526 +a(g303 +g995 +tp16527 +a(g303 +g1154 +tp16528 +a(g303 +g1002 +tp16529 +a(g303 +g973 +tp16530 +a(g303 +g1094 +tp16531 +a(g303 +g958 +tp16532 +a(g303 +g1325 +tp16533 +a(g303 +g1002 +tp16534 +a(g303 +g1000 +tp16535 +a(g303 +g997 +tp16536 +a(g303 +g995 +tp16537 +a(g303 +g1668 +tp16538 +a(g303 +g958 +tp16539 +a(g303 +g1074 +tp16540 +a(g303 +g1151 +tp16541 +a(g303 +g1151 +tp16542 +a(g303 +g1185 +tp16543 +a(g303 +g973 +tp16544 +a(g303 +g995 +tp16545 +a(g303 +g958 +tp16546 +a(g303 +g966 +tp16547 +a(g303 +g1005 +tp16548 +a(g303 +g997 +tp16549 +a(g303 +g958 +tp16550 +a(g303 +g973 +tp16551 +a(g303 +g1185 +tp16552 +a(g303 +g1154 +tp16553 +a(g303 +g958 +tp16554 +a(g303 +g966 +tp16555 +a(g303 +g1325 +tp16556 +a(g303 +g1325 +tp16557 +a(g303 +g997 +tp16558 +a(g303 +g968 +tp16559 +a(g303 +g1154 +tp16560 +a(g303 +g997 +tp16561 +a(g303 +g1151 +tp16562 +a(g303 +g1668 +tp16563 +a(g303 +V\u000a +p16564 +tp16565 +a(g303 +V\u000a +p16566 +tp16567 +a(g303 +g1773 +tp16568 +a(g303 +g6113 +tp16569 +a(g303 +g958 +tp16570 +a(g303 +g1154 +tp16571 +a(g303 +g1185 +tp16572 +a(g303 +g958 +tp16573 +a(g303 +g995 +tp16574 +a(g303 +g1215 +tp16575 +a(g303 +g1002 +tp16576 +a(g303 +g1154 +tp16577 +a(g303 +g968 +tp16578 +a(g303 +g1205 +tp16579 +a(g303 +g958 +tp16580 +a(g303 +g1154 +tp16581 +a(g303 +g1185 +tp16582 +a(g303 +g958 +tp16583 +a(g303 +g1154 +tp16584 +a(g303 +g1205 +tp16585 +a(g303 +g997 +tp16586 +a(g303 +g958 +tp16587 +a(g303 +g7407 +tp16588 +a(g303 +g7407 +tp16589 +a(g303 +g958 +tp16590 +a(g303 +g1000 +tp16591 +a(g303 +g1002 +tp16592 +a(g303 +g992 +tp16593 +a(g303 +g1005 +tp16594 +a(g303 +g966 +tp16595 +a(g303 +g1005 +tp16596 +a(g303 +g1009 +tp16597 +a(g303 +g7579 +tp16598 +a(g303 +V\u000a +p16599 +tp16600 +a(g132 +g1640 +tp16601 +a(g862 +V\u000a +p16602 +tp16603 +a(g8 +Vprelib +p16604 +tp16605 +a(g624 +V=: +p16606 +tp16607 +a(g862 +g958 +tp16608 +a(g108 +V3 : 0 +p16609 +tp16610 +a(g862 +V\u000a +p16611 +tp16612 +a(g8 +Vold +p16613 +tp16614 +a(g624 +V=. +p16615 +tp16616 +a(g862 +g958 +tp16617 +a(g8 +VLIBTREE +p16618 +tp16619 +a(g862 +V\u000a +p16620 +tp16621 +a(g8 +Vnew +p16622 +tp16623 +a(g624 +V=. +p16624 +tp16625 +a(g862 +g958 +tp16626 +a(g647 +g2312 +tp16627 +a(g647 +g2312 +tp16628 +a(g303 +g960 +tp16629 +a(g303 +g995 +tp16630 +a(g303 +g1154 +tp16631 +a(g303 +g966 +tp16632 +a(g303 +g992 +tp16633 +a(g303 +g1000 +tp16634 +a(g303 +g997 +tp16635 +a(g303 +g960 +tp16636 +a(g624 +g1666 +tp16637 +a(g303 +g960 +tp16638 +a(g303 +g968 +tp16639 +a(g303 +g1260 +tp16640 +a(g303 +g1005 +tp16641 +a(g303 +g1005 +tp16642 +a(g303 +g997 +tp16643 +a(g303 +g973 +tp16644 +a(g303 +g1154 +tp16645 +a(g303 +g960 +tp16646 +a(g647 +g1640 +tp16647 +a(g862 +g958 +tp16648 +a(g8 +g1002 +tp16649 +a(g624 +g1668 +tp16650 +a(g862 +g958 +tp16651 +a(g624 +g1071 +tp16652 +a(g8 +Vold +p16653 +tp16654 +a(g647 +g1640 +tp16655 +a(g862 +g958 +tp16656 +a(g8 +Vpick +p16657 +tp16658 +a(g862 +g958 +tp16659 +a(g303 +g960 +tp16660 +a(g303 +g968 +tp16661 +a(g303 +g1260 +tp16662 +a(g303 +g1005 +tp16663 +a(g303 +g1005 +tp16664 +a(g303 +g997 +tp16665 +a(g303 +g973 +tp16666 +a(g303 +g1154 +tp16667 +a(g303 +g960 +tp16668 +a(g624 +g1666 +tp16669 +a(g303 +g960 +tp16670 +a(g303 +g992 +tp16671 +a(g303 +g997 +tp16672 +a(g303 +g1154 +tp16673 +a(g303 +g966 +tp16674 +a(g303 +g960 +tp16675 +a(g862 +V\u000a +p16676 +tp16677 +a(g8 +Vmsg +p16678 +tp16679 +a(g624 +V=. +p16680 +tp16681 +a(g862 +g958 +tp16682 +a(g8 +VRELIBMSG +p16683 +tp16684 +a(g862 +g958 +tp16685 +a(g8 +Vrplc +p16686 +tp16687 +a(g862 +g958 +tp16688 +a(g647 +g2312 +tp16689 +a(g303 +g960 +tp16690 +a(g303 +g6750 +tp16691 +a(g303 +g6750 +tp16692 +a(g303 +g960 +tp16693 +a(g624 +g1666 +tp16694 +a(g303 +g960 +tp16695 +a(g303 +g7407 +tp16696 +a(g303 +g7407 +tp16697 +a(g303 +g960 +tp16698 +a(g647 +g1640 +tp16699 +a(g624 +g1069 +tp16700 +a(g624 +g1668 +tp16701 +a(g8 +Vold +p16702 +tp16703 +a(g624 +g1666 +tp16704 +a(g8 +Vnew +p16705 +tp16706 +a(g862 +V\u000a +p16707 +tp16708 +a(g132 +Vif. +p16709 +tp16710 +a(g862 +g958 +tp16711 +a(g252 +g1019 +tp16712 +a(g862 +g958 +tp16713 +a(g624 +g2890 +tp16714 +a(g862 +g958 +tp16715 +a(g8 +Vquery +p16716 +tp16717 +a(g862 +g958 +tp16718 +a(g8 +VSYSNAME +p16719 +tp16720 +a(g624 +g1666 +tp16721 +a(g8 +Vmsg +p16722 +tp16723 +a(g862 +g958 +tp16724 +a(g132 +Vdo. +p16725 +tp16726 +a(g862 +V\u000a +p16727 +tp16728 +a(g8 +Vinfo +p16729 +tp16730 +a(g862 +g958 +tp16731 +a(g303 +g960 +tp16732 +a(g303 +g6755 +tp16733 +a(g303 +g1185 +tp16734 +a(g303 +g1154 +tp16735 +a(g303 +g958 +tp16736 +a(g303 +g1151 +tp16737 +a(g303 +g1185 +tp16738 +a(g303 +g973 +tp16739 +a(g303 +g997 +tp16740 +a(g303 +g1668 +tp16741 +a(g303 +g960 +tp16742 +a(g862 +g958 +tp16743 +a(g132 +Vreturn. +p16744 +tp16745 +a(g862 +V\u000a +p16746 +tp16747 +a(g132 +Vend. +p16748 +tp16749 +a(g862 +V\u000a +p16750 +tp16751 +a(g8 +Vswitchlibrary +p16752 +tp16753 +a(g862 +g958 +tp16754 +a(g252 +g1860 +tp16755 +a(g862 +g958 +tp16756 +a(g8 +Vpick +p16757 +tp16758 +a(g862 +g958 +tp16759 +a(g8 +Vnew +p16760 +tp16761 +a(g862 +V\u000a +p16762 +tp16763 +a(g132 +g1640 +tp16764 +a(g862 +V\u000a +p16765 +tp16766 +a(g8 +Vswitchlibrary +p16767 +tp16768 +a(g624 +V=: +p16769 +tp16770 +a(g862 +g958 +tp16771 +a(g108 +V3 : 0 +p16772 +tp16773 +a(g862 +V\u000a +p16774 +tp16775 +a(g303 +g960 +tp16776 +a(g303 +g960 +tp16777 +a(g862 +g958 +tp16778 +a(g8 +Vfwrite +p16779 +tp16780 +a(g862 +g958 +tp16781 +a(g8 +VLIBVER +p16782 +tp16783 +a(g862 +V\u000a +p16784 +tp16785 +a(g8 +Vwritetree +p16786 +tp16787 +a(g862 +g958 +tp16788 +a(g8 +VLIBTREE +p16789 +tp16790 +a(g624 +V=: +p16791 +tp16792 +a(g862 +g958 +tp16793 +a(g28 +g1009 +tp16794 +a(g862 +V\u000a +p16795 +tp16796 +a(g8 +Vrefreshjal +p16797 +tp16798 +a(g303 +g960 +tp16799 +a(g303 +g960 +tp16800 +a(g862 +V\u000a +p16801 +tp16802 +a(g8 +Vreadlocal +p16803 +tp16804 +a(g303 +g960 +tp16805 +a(g303 +g960 +tp16806 +a(g862 +V\u000a +p16807 +tp16808 +a(g8 +Vpmview_setpn +p16809 +tp16810 +a(g303 +g960 +tp16811 +a(g303 +g960 +tp16812 +a(g862 +V\u000a +p16813 +tp16814 +a(g132 +g1640 +tp16815 +a(g862 +V\u000a +p16816 +tp16817 +a(g8 +Vmasklib +p16818 +tp16819 +a(g624 +V=: +p16820 +tp16821 +a(g862 +g958 +tp16822 +a(g108 +V3 : 0 +p16823 +tp16824 +a(g862 +V\u000a +p16825 +tp16826 +a(g647 +g2312 +tp16827 +a(g252 +g1860 +tp16828 +a(g862 +g958 +tp16829 +a(g624 +g2314 +tp16830 +a(g624 +g2003 +tp16831 +a(g252 +g1860 +tp16832 +a(g862 +g958 +tp16833 +a(g28 +g1009 +tp16834 +a(g647 +g1640 +tp16835 +a(g862 +g958 +tp16836 +a(g624 +g2890 +tp16837 +a(g862 +g958 +tp16838 +a(g624 +g1071 +tp16839 +a(g8 +VBASELIB +p16840 +tp16841 +a(g862 +V\u000a +p16842 +tp16843 +a(g132 +g1640 +tp16844 +a(g862 +V\u000a +p16845 +tp16846 +a(g8 +Vpkglater +p16847 +tp16848 +a(g624 +V=: +p16849 +tp16850 +a(g862 +g958 +tp16851 +a(g108 +V3 : 0 +p16852 +tp16853 +a(g862 +V\u000a +p16854 +tp16855 +a(g8 +Vdat +p16856 +tp16857 +a(g624 +V=. +p16858 +tp16859 +a(g862 +g958 +tp16860 +a(g647 +g2312 +tp16861 +a(g8 +g995 +tp16862 +a(g624 +V=. +p16863 +tp16864 +a(g8 +Visjpkgout +p16865 +tp16866 +a(g862 +g958 +tp16867 +a(g28 +g1009 +tp16868 +a(g647 +g1640 +tp16869 +a(g624 +g2314 +tp16870 +a(g624 +g1060 +tp16871 +a(g624 +g1060 +tp16872 +a(g862 +g958 +tp16873 +a(g8 +VPKGDATA +p16874 +tp16875 +a(g624 +g1666 +tp16876 +a(g624 +g1071 +tp16877 +a(g28 +g1009 +tp16878 +a(g862 +V\u000a +p16879 +tp16880 +a(g132 +Vif. +p16881 +tp16882 +a(g862 +g958 +tp16883 +a(g252 +g1019 +tp16884 +a(g624 +g2890 +tp16885 +a(g624 +g1855 +tp16886 +a(g8 +Vdat +p16887 +tp16888 +a(g862 +g958 +tp16889 +a(g132 +Vdo. +p16890 +tp16891 +a(g862 +g958 +tp16892 +a(g624 +g1057 +tp16893 +a(g252 +g1019 +tp16894 +a(g862 +g958 +tp16895 +a(g132 +Vreturn. +p16896 +tp16897 +a(g862 +g958 +tp16898 +a(g132 +Vend. +p16899 +tp16900 +a(g862 +V\u000a +p16901 +tp16902 +a(g8 +Vloc +p16903 +tp16904 +a(g624 +V=. +p16905 +tp16906 +a(g862 +g958 +tp16907 +a(g8 +Vfixvers +p16908 +tp16909 +a(g862 +g958 +tp16910 +a(g624 +g2876 +tp16911 +a(g862 +g958 +tp16912 +a(g647 +g2312 +tp16913 +a(g252 +g1371 +tp16914 +a(g624 +g1712 +tp16915 +a(g8 +g995 +tp16916 +a(g647 +g1640 +tp16917 +a(g862 +g958 +tp16918 +a(g624 +g2314 +tp16919 +a(g624 +g2003 +tp16920 +a(g252 +g1860 +tp16921 +a(g862 +g958 +tp16922 +a(g8 +Vdat +p16923 +tp16924 +a(g862 +V\u000a +p16925 +tp16926 +a(g8 +Vsrv +p16927 +tp16928 +a(g624 +V=. +p16929 +tp16930 +a(g862 +g958 +tp16931 +a(g8 +Vfixvers +p16932 +tp16933 +a(g862 +g958 +tp16934 +a(g624 +g2876 +tp16935 +a(g862 +g958 +tp16936 +a(g647 +g2312 +tp16937 +a(g252 +g1340 +tp16938 +a(g624 +g1712 +tp16939 +a(g8 +g995 +tp16940 +a(g647 +g1640 +tp16941 +a(g862 +g958 +tp16942 +a(g624 +g2314 +tp16943 +a(g624 +g2003 +tp16944 +a(g252 +g1860 +tp16945 +a(g862 +g958 +tp16946 +a(g8 +Vdat +p16947 +tp16948 +a(g862 +V\u000a +p16949 +tp16950 +a(g624 +g2314 +tp16951 +a(g624 +g1668 +tp16952 +a(g624 +g2003 +tp16953 +a(g252 +g1860 +tp16954 +a(g862 +g958 +tp16955 +a(g624 +g1144 +tp16956 +a(g624 +g1060 +tp16957 +a(g624 +g2003 +tp16958 +a(g252 +g1371 +tp16959 +a(g862 +g958 +tp16960 +a(g8 +Vsrv +p16961 +tp16962 +a(g862 +g958 +tp16963 +a(g624 +g1069 +tp16964 +a(g624 +g1060 +tp16965 +a(g624 +g2003 +tp16966 +a(g252 +g1860 +tp16967 +a(g862 +g958 +tp16968 +a(g8 +Vloc +p16969 +tp16970 +a(g862 +V\u000a +p16971 +tp16972 +a(g132 +g1640 +tp16973 +a(g862 +V\u000a +p16974 +tp16975 +a(g8 +Vpkgnew +p16976 +tp16977 +a(g624 +V=: +p16978 +tp16979 +a(g862 +g958 +tp16980 +a(g108 +V3 : 0 +p16981 +tp16982 +a(g862 +V\u000a +p16983 +tp16984 +a(g8 +Vdat +p16985 +tp16986 +a(g624 +V=. +p16987 +tp16988 +a(g862 +g958 +tp16989 +a(g647 +g2312 +tp16990 +a(g8 +g995 +tp16991 +a(g624 +V=. +p16992 +tp16993 +a(g8 +Visjpkgout +p16994 +tp16995 +a(g862 +g958 +tp16996 +a(g28 +g1009 +tp16997 +a(g647 +g1640 +tp16998 +a(g624 +g2314 +tp16999 +a(g624 +g1060 +tp17000 +a(g624 +g1060 +tp17001 +a(g862 +g958 +tp17002 +a(g8 +VPKGDATA +p17003 +tp17004 +a(g624 +g1666 +tp17005 +a(g624 +g1071 +tp17006 +a(g28 +g1009 +tp17007 +a(g862 +V\u000a +p17008 +tp17009 +a(g132 +Vif. +p17010 +tp17011 +a(g862 +g958 +tp17012 +a(g252 +g1019 +tp17013 +a(g624 +g2890 +tp17014 +a(g624 +g1855 +tp17015 +a(g8 +Vdat +p17016 +tp17017 +a(g862 +g958 +tp17018 +a(g132 +Vdo. +p17019 +tp17020 +a(g862 +g958 +tp17021 +a(g624 +g1057 +tp17022 +a(g252 +g1019 +tp17023 +a(g862 +g958 +tp17024 +a(g132 +Vreturn. +p17025 +tp17026 +a(g862 +g958 +tp17027 +a(g132 +Vend. +p17028 +tp17029 +a(g862 +V\u000a +p17030 +tp17031 +a(g252 +g1019 +tp17032 +a(g862 +g958 +tp17033 +a(g624 +g2890 +tp17034 +a(g862 +g958 +tp17035 +a(g624 +g1855 +tp17036 +a(g862 +g958 +tp17037 +a(g624 +g2320 +tp17038 +a(g624 +g2876 +tp17039 +a(g862 +g958 +tp17040 +a(g647 +g2312 +tp17041 +a(g252 +g1371 +tp17042 +a(g624 +g1712 +tp17043 +a(g8 +g995 +tp17044 +a(g647 +g1640 +tp17045 +a(g862 +g958 +tp17046 +a(g624 +g2314 +tp17047 +a(g624 +g2003 +tp17048 +a(g252 +g1860 +tp17049 +a(g862 +g958 +tp17050 +a(g8 +Vdat +p17051 +tp17052 +a(g862 +V\u000a +p17053 +tp17054 +a(g132 +g1640 +tp17055 +a(g862 +V\u000a +p17056 +tp17057 +a(g8 +Vpkgups +p17058 +tp17059 +a(g624 +V=: +p17060 +tp17061 +a(g862 +g958 +tp17062 +a(g8 +Vpkgnew +p17063 +tp17064 +a(g862 +g958 +tp17065 +a(g624 +g1071 +tp17066 +a(g862 +g958 +tp17067 +a(g8 +Vpkglater +p17068 +tp17069 +a(g862 +V\u000a +p17070 +tp17071 +a(g8 +Vpkgsearch +p17072 +tp17073 +a(g624 +V=: +p17074 +tp17075 +a(g862 +g958 +tp17076 +a(g108 +V3 : 0 +p17077 +tp17078 +a(g862 +V\u000a +p17079 +tp17080 +a(g624 +g2879 +tp17081 +a(g624 +g1668 +tp17082 +a(g624 +g1144 +tp17083 +a(g624 +g2003 +tp17084 +a(g252 +g1860 +tp17085 +a(g862 +g958 +tp17086 +a(g624 +g2879 +tp17087 +a(g624 +g1668 +tp17088 +a(g624 +g1144 +tp17089 +a(g862 +g958 +tp17090 +a(g28 +g1009 +tp17091 +a(g862 +g958 +tp17092 +a(g8 +g1869 +tp17093 +a(g624 +g1668 +tp17094 +a(g624 +g2003 +tp17095 +a(g252 +g1860 +tp17096 +a(g624 +g2320 +tp17097 +a(g624 +g2876 +tp17098 +a(g624 +g2003 +tp17099 +a(g647 +g2312 +tp17100 +a(g252 +g1019 +tp17101 +a(g862 +g958 +tp17102 +a(g247 +g3034 +tp17103 +a(g647 +g1640 +tp17104 +a(g862 +g958 +tp17105 +a(g252 +g1860 +tp17106 +a(g624 +g2314 +tp17107 +a(g624 +g2003 +tp17108 +a(g252 +g1860 +tp17109 +a(g862 +g958 +tp17110 +a(g8 +VPKGDATA +p17111 +tp17112 +a(g862 +V\u000a +p17113 +tp17114 +a(g132 +g1640 +tp17115 +a(g862 +V\u000a +p17116 +tp17117 +a(g8 +Vpkgshow +p17118 +tp17119 +a(g624 +V=: +p17120 +tp17121 +a(g862 +g958 +tp17122 +a(g108 +V3 : 0 +p17123 +tp17124 +a(g862 +V\u000a +p17125 +tp17126 +a(g28 +g1009 +tp17127 +a(g862 +g958 +tp17128 +a(g8 +g997 +tp17129 +a(g624 +g1668 +tp17130 +a(g624 +g1857 +tp17131 +a(g862 +g958 +tp17132 +a(g252 +g1860 +tp17133 +a(g624 +g2314 +tp17134 +a(g624 +g2003 +tp17135 +a(g252 +g1860 +tp17136 +a(g862 +g958 +tp17137 +a(g8 +VPKGDATA +p17138 +tp17139 +a(g862 +V\u000a +p17140 +tp17141 +a(g132 +g1640 +tp17142 +a(g862 +V\u000a +p17143 +tp17144 +a(g8 +Vsetshowall +p17145 +tp17146 +a(g624 +V=: +p17147 +tp17148 +a(g862 +g958 +tp17149 +a(g108 +V3 : 0 +p17150 +tp17151 +a(g862 +V\u000a +p17152 +tp17153 +a(g8 +VPKGDATA +p17154 +tp17155 +a(g624 +V=: +p17156 +tp17157 +a(g862 +g958 +tp17158 +a(g647 +g2312 +tp17159 +a(g624 +g1071 +tp17160 +a(g28 +g1009 +tp17161 +a(g647 +g1640 +tp17162 +a(g862 +g958 +tp17163 +a(g647 +g2312 +tp17164 +a(g624 +g1071 +tp17165 +a(g647 +g2312 +tp17166 +a(g8 +g3090 +tp17167 +a(g624 +g1668 +tp17168 +a(g8 +VDATAMASK +p17169 +tp17170 +a(g647 +g1640 +tp17171 +a(g624 +g1666 +tp17172 +a(g252 +g1019 +tp17173 +a(g647 +g1640 +tp17174 +a(g862 +g958 +tp17175 +a(g624 +g3103 +tp17176 +a(g862 +g958 +tp17177 +a(g8 +VPKGDATA +p17178 +tp17179 +a(g862 +V\u000a +p17180 +tp17181 +a(g132 +g1640 +tp17182 +a(g862 +V\u000a +p17183 +tp17184 +a(g8 +Vsetshownew +p17185 +tp17186 +a(g624 +V=: +p17187 +tp17188 +a(g862 +g958 +tp17189 +a(g108 +V3 : 0 +p17190 +tp17191 +a(g862 +V\u000a +p17192 +tp17193 +a(g8 +Vndx +p17194 +tp17195 +a(g624 +V=. +p17196 +tp17197 +a(g862 +g958 +tp17198 +a(g8 +g3090 +tp17199 +a(g624 +g1668 +tp17200 +a(g862 +g958 +tp17201 +a(g8 +VDATAMASK +p17202 +tp17203 +a(g862 +g958 +tp17204 +a(g624 +g2870 +tp17205 +a(g624 +g1668 +tp17206 +a(g862 +g958 +tp17207 +a(g8 +Vpkgnew +p17208 +tp17209 +a(g303 +g960 +tp17210 +a(g303 +g960 +tp17211 +a(g862 +V\u000a +p17212 +tp17213 +a(g8 +VPKGDATA +p17214 +tp17215 +a(g624 +V=: +p17216 +tp17217 +a(g862 +g958 +tp17218 +a(g647 +g2312 +tp17219 +a(g624 +g1071 +tp17220 +a(g28 +g1009 +tp17221 +a(g647 +g1640 +tp17222 +a(g862 +g958 +tp17223 +a(g647 +g2312 +tp17224 +a(g624 +g1071 +tp17225 +a(g8 +Vndx +p17226 +tp17227 +a(g624 +g1666 +tp17228 +a(g252 +g1019 +tp17229 +a(g647 +g1640 +tp17230 +a(g862 +g958 +tp17231 +a(g624 +g3103 +tp17232 +a(g862 +g958 +tp17233 +a(g8 +VPKGDATA +p17234 +tp17235 +a(g862 +V\u000a +p17236 +tp17237 +a(g132 +g1640 +tp17238 +a(g862 +V\u000a +p17239 +tp17240 +a(g8 +Vsetshowups +p17241 +tp17242 +a(g624 +V=: +p17243 +tp17244 +a(g862 +g958 +tp17245 +a(g108 +V3 : 0 +p17246 +tp17247 +a(g862 +V\u000a +p17248 +tp17249 +a(g8 +Vndx +p17250 +tp17251 +a(g624 +V=. +p17252 +tp17253 +a(g862 +g958 +tp17254 +a(g8 +g3090 +tp17255 +a(g624 +g1668 +tp17256 +a(g862 +g958 +tp17257 +a(g8 +VDATAMASK +p17258 +tp17259 +a(g862 +g958 +tp17260 +a(g624 +g2870 +tp17261 +a(g624 +g1668 +tp17262 +a(g862 +g958 +tp17263 +a(g8 +Vpkgups +p17264 +tp17265 +a(g303 +g960 +tp17266 +a(g303 +g960 +tp17267 +a(g862 +V\u000a +p17268 +tp17269 +a(g8 +VPKGDATA +p17270 +tp17271 +a(g624 +V=: +p17272 +tp17273 +a(g862 +g958 +tp17274 +a(g647 +g2312 +tp17275 +a(g624 +g1071 +tp17276 +a(g28 +g1009 +tp17277 +a(g647 +g1640 +tp17278 +a(g862 +g958 +tp17279 +a(g647 +g2312 +tp17280 +a(g624 +g1071 +tp17281 +a(g8 +Vndx +p17282 +tp17283 +a(g624 +g1666 +tp17284 +a(g252 +g1019 +tp17285 +a(g647 +g1640 +tp17286 +a(g862 +g958 +tp17287 +a(g624 +g3103 +tp17288 +a(g862 +g958 +tp17289 +a(g8 +VPKGDATA +p17290 +tp17291 +a(g862 +V\u000a +p17292 +tp17293 +a(g132 +g1640 +tp17294 +a(g862 +V\u000a +p17295 +tp17296 +a(g8 +Vsplitlib +p17297 +tp17298 +a(g624 +V=: +p17299 +tp17300 +a(g862 +g958 +tp17301 +a(g108 +V3 : 0 +p17302 +tp17303 +a(g862 +V\u000a +p17304 +tp17305 +a(g132 +Vif. +p17306 +tp17307 +a(g862 +g958 +tp17308 +a(g252 +g1019 +tp17309 +a(g624 +g2890 +tp17310 +a(g624 +g1855 +tp17311 +a(g28 +g1009 +tp17312 +a(g862 +g958 +tp17313 +a(g132 +Vdo. +p17314 +tp17315 +a(g862 +V\u000a +p17316 +tp17317 +a(g252 +g1371 +tp17318 +a(g862 +g958 +tp17319 +a(g624 +g1057 +tp17320 +a(g862 +g958 +tp17321 +a(g624 +g1071 +tp17322 +a(g28 +g1009 +tp17323 +a(g862 +g958 +tp17324 +a(g132 +Vreturn. +p17325 +tp17326 +a(g862 +V\u000a +p17327 +tp17328 +a(g132 +Vend. +p17329 +tp17330 +a(g862 +V\u000a +p17331 +tp17332 +a(g8 +Vmsk +p17333 +tp17334 +a(g624 +V=. +p17335 +tp17336 +a(g862 +g958 +tp17337 +a(g8 +Vmasklib +p17338 +tp17339 +a(g862 +g958 +tp17340 +a(g28 +g1009 +tp17341 +a(g862 +V\u000a +p17342 +tp17343 +a(g647 +g2312 +tp17344 +a(g8 +Vmsk +p17345 +tp17346 +a(g624 +g1855 +tp17347 +a(g28 +g1009 +tp17348 +a(g647 +g1640 +tp17349 +a(g862 +g958 +tp17350 +a(g624 +g1666 +tp17351 +a(g862 +g958 +tp17352 +a(g624 +g1071 +tp17353 +a(g647 +g2312 +tp17354 +a(g624 +g1712 +tp17355 +a(g624 +g1668 +tp17356 +a(g8 +Vmsk +p17357 +tp17358 +a(g647 +g1640 +tp17359 +a(g624 +g1855 +tp17360 +a(g28 +g1009 +tp17361 +a(g862 +V\u000a +p17362 +tp17363 +a(g132 +g1640 +tp17364 +a(g862 +V\u000a +p17365 +tp17366 +a(g8 +Vpacman_init +p17367 +tp17368 +a(g624 +V=: +p17369 +tp17370 +a(g862 +g958 +tp17371 +a(g108 +V3 : 0 +p17372 +tp17373 +a(g862 +V\u000a +p17374 +tp17375 +a(g8 +Vdat +p17376 +tp17377 +a(g624 +V=. +p17378 +tp17379 +a(g862 +g958 +tp17380 +a(g8 +VADDONS +p17381 +tp17382 +a(g862 +g958 +tp17383 +a(g624 +g1855 +tp17384 +a(g624 +g1857 +tp17385 +a(g862 +g958 +tp17386 +a(g647 +g2312 +tp17387 +a(g624 +g2314 +tp17388 +a(g624 +g1668 +tp17389 +a(g624 +g2003 +tp17390 +a(g252 +g1860 +tp17391 +a(g862 +g958 +tp17392 +a(g8 +VADDONS +p17393 +tp17394 +a(g647 +g1640 +tp17395 +a(g862 +g958 +tp17396 +a(g8 +g997 +tp17397 +a(g624 +g1668 +tp17398 +a(g862 +g958 +tp17399 +a(g624 +g2314 +tp17400 +a(g624 +g1668 +tp17401 +a(g624 +g2003 +tp17402 +a(g252 +g1860 +tp17403 +a(g862 +g958 +tp17404 +a(g8 +VZIPS +p17405 +tp17406 +a(g862 +V\u000a +p17407 +tp17408 +a(g132 +Vif. +p17409 +tp17410 +a(g862 +g958 +tp17411 +a(g252 +g1019 +tp17412 +a(g624 +g2890 +tp17413 +a(g624 +g1855 +tp17414 +a(g8 +Vdat +p17415 +tp17416 +a(g862 +g958 +tp17417 +a(g132 +Vdo. +p17418 +tp17419 +a(g862 +V\u000a +p17420 +tp17421 +a(g8 +Vdat +p17422 +tp17423 +a(g624 +V=. +p17424 +tp17425 +a(g862 +g958 +tp17426 +a(g8 +g1002 +tp17427 +a(g624 +g1668 +tp17428 +a(g252 +g1019 +tp17429 +a(g862 +g958 +tp17430 +a(g252 +g4183 +tp17431 +a(g862 +V\u000a +p17432 +tp17433 +a(g132 +Velse. +p17434 +tp17435 +a(g862 +V\u000a +p17436 +tp17437 +a(g8 +Vndx +p17438 +tp17439 +a(g624 +V=. +p17440 +tp17441 +a(g862 +g958 +tp17442 +a(g647 +g2312 +tp17443 +a(g624 +g2314 +tp17444 +a(g624 +g1668 +tp17445 +a(g624 +g2003 +tp17446 +a(g252 +g1860 +tp17447 +a(g862 +g958 +tp17448 +a(g8 +VADDINS +p17449 +tp17450 +a(g647 +g1640 +tp17451 +a(g862 +g958 +tp17452 +a(g8 +g1002 +tp17453 +a(g624 +g1668 +tp17454 +a(g862 +g958 +tp17455 +a(g624 +g2314 +tp17456 +a(g624 +g1668 +tp17457 +a(g624 +g2003 +tp17458 +a(g252 +g1860 +tp17459 +a(g862 +g958 +tp17460 +a(g8 +Vdat +p17461 +tp17462 +a(g862 +V\u000a +p17463 +tp17464 +a(g8 +Vins +p17465 +tp17466 +a(g624 +V=. +p17467 +tp17468 +a(g862 +g958 +tp17469 +a(g8 +Vndx +p17470 +tp17471 +a(g862 +g958 +tp17472 +a(g624 +g2314 +tp17473 +a(g862 +g958 +tp17474 +a(g647 +g2312 +tp17475 +a(g252 +g1860 +tp17476 +a(g862 +g958 +tp17477 +a(g624 +g2314 +tp17478 +a(g624 +g2003 +tp17479 +a(g252 +g1860 +tp17480 +a(g862 +g958 +tp17481 +a(g8 +VADDINS +p17482 +tp17483 +a(g647 +g1640 +tp17484 +a(g624 +g1069 +tp17485 +a(g624 +g1071 +tp17486 +a(g303 +g960 +tp17487 +a(g303 +g960 +tp17488 +a(g862 +V\u000a +p17489 +tp17490 +a(g8 +Vdat +p17491 +tp17492 +a(g624 +V=. +p17493 +tp17494 +a(g862 +g958 +tp17495 +a(g8 +Vdat +p17496 +tp17497 +a(g624 +g1069 +tp17498 +a(g624 +g1668 +tp17499 +a(g624 +g1071 +tp17500 +a(g303 +g960 +tp17501 +a(g303 +g960 +tp17502 +a(g862 +V\u000a +p17503 +tp17504 +a(g8 +Vdat +p17505 +tp17506 +a(g624 +V=. +p17507 +tp17508 +a(g862 +g958 +tp17509 +a(g252 +g1019 +tp17510 +a(g862 +g958 +tp17511 +a(g252 +g2918 +tp17512 +a(g862 +g958 +tp17513 +a(g252 +g1860 +tp17514 +a(g862 +g958 +tp17515 +a(g252 +g1340 +tp17516 +a(g862 +g958 +tp17517 +a(g252 +g2873 +tp17518 +a(g862 +g958 +tp17519 +a(g252 +g1371 +tp17520 +a(g862 +g958 +tp17521 +a(g624 +g2314 +tp17522 +a(g624 +g2003 +tp17523 +a(g252 +g1860 +tp17524 +a(g862 +g958 +tp17525 +a(g8 +Vdat +p17526 +tp17527 +a(g862 +V\u000a +p17528 +tp17529 +a(g8 +Vdat +p17530 +tp17531 +a(g624 +V=. +p17532 +tp17533 +a(g862 +g958 +tp17534 +a(g8 +Vins +p17535 +tp17536 +a(g862 +g958 +tp17537 +a(g252 +g1860 +tp17538 +a(g862 +g958 +tp17539 +a(g624 +g3103 +tp17540 +a(g624 +g2003 +tp17541 +a(g252 +g1019 +tp17542 +a(g862 +g958 +tp17543 +a(g252 +g1860 +tp17544 +a(g862 +g958 +tp17545 +a(g8 +Vdat +p17546 +tp17547 +a(g862 +V\u000a +p17548 +tp17549 +a(g132 +Vend. +p17550 +tp17551 +a(g862 +V\u000a +p17552 +tp17553 +a(g8 +Vlib +p17554 +tp17555 +a(g624 +V=. +p17556 +tp17557 +a(g862 +g958 +tp17558 +a(g303 +g960 +tp17559 +a(g303 +g992 +tp17560 +a(g303 +g966 +tp17561 +a(g303 +g995 +tp17562 +a(g303 +g997 +tp17563 +a(g303 +g958 +tp17564 +a(g303 +g1000 +tp17565 +a(g303 +g1002 +tp17566 +a(g303 +g992 +tp17567 +a(g303 +g1005 +tp17568 +a(g303 +g966 +tp17569 +a(g303 +g1005 +tp17570 +a(g303 +g1009 +tp17571 +a(g303 +g960 +tp17572 +a(g624 +g1666 +tp17573 +a(g647 +g2312 +tp17574 +a(g8 +Vfmtver +p17575 +tp17576 +a(g862 +g958 +tp17577 +a(g8 +VLIN +p17578 +tp17579 +a(g647 +g1640 +tp17580 +a(g624 +g1666 +tp17581 +a(g647 +g2312 +tp17582 +a(g8 +Vfmtver +p17583 +tp17584 +a(g862 +g958 +tp17585 +a(g252 +g1019 +tp17586 +a(g862 +g958 +tp17587 +a(g8 +Vpick +p17588 +tp17589 +a(g862 +g958 +tp17590 +a(g8 +VLIB +p17591 +tp17592 +a(g647 +g1640 +tp17593 +a(g624 +g1666 +tp17594 +a(g303 +g960 +tp17595 +a(g303 +g992 +tp17596 +a(g303 +g966 +tp17597 +a(g303 +g995 +tp17598 +a(g303 +g997 +tp17599 +a(g303 +g958 +tp17600 +a(g303 +g1000 +tp17601 +a(g303 +g1002 +tp17602 +a(g303 +g992 +tp17603 +a(g303 +g1005 +tp17604 +a(g303 +g966 +tp17605 +a(g303 +g1005 +tp17606 +a(g303 +g1009 +tp17607 +a(g303 +g958 +tp17608 +a(g303 +g995 +tp17609 +a(g303 +g968 +tp17610 +a(g303 +g1005 +tp17611 +a(g303 +g1002 +tp17612 +a(g303 +g964 +tp17613 +a(g303 +g1154 +tp17614 +a(g303 +g995 +tp17615 +a(g303 +g960 +tp17616 +a(g624 +g1666 +tp17617 +a(g8 +VLIBDESC +p17618 +tp17619 +a(g624 +g1666 +tp17620 +a(g303 +g960 +tp17621 +a(g303 +g960 +tp17622 +a(g862 +V\u000a +p17623 +tp17624 +a(g8 +Vdat +p17625 +tp17626 +a(g624 +V=. +p17627 +tp17628 +a(g862 +g958 +tp17629 +a(g8 +Vdat +p17630 +tp17631 +a(g624 +g1069 +tp17632 +a(g8 +Vlib +p17633 +tp17634 +a(g862 +V\u000a +p17635 +tp17636 +a(g8 +Vdat +p17637 +tp17638 +a(g624 +V=. +p17639 +tp17640 +a(g862 +g958 +tp17641 +a(g647 +g2312 +tp17642 +a(g624 +g1071 +tp17643 +a(g252 +g1019 +tp17644 +a(g647 +g1640 +tp17645 +a(g624 +g1069 +tp17646 +a(g624 +g1668 +tp17647 +a(g8 +Vdat +p17648 +tp17649 +a(g862 +V\u000a +p17650 +tp17651 +a(g8 +VPKGDATA +p17652 +tp17653 +a(g624 +V=: +p17654 +tp17655 +a(g862 +g958 +tp17656 +a(g8 +Vsort +p17657 +tp17658 +a(g862 +g958 +tp17659 +a(g8 +Vdat +p17660 +tp17661 +a(g862 +V\u000a +p17662 +tp17663 +a(g8 +Vnms +p17664 +tp17665 +a(g624 +V=. +p17666 +tp17667 +a(g862 +g958 +tp17668 +a(g252 +g1860 +tp17669 +a(g862 +g958 +tp17670 +a(g624 +g2314 +tp17671 +a(g624 +g2003 +tp17672 +a(g252 +g1860 +tp17673 +a(g862 +g958 +tp17674 +a(g8 +VPKGDATA +p17675 +tp17676 +a(g862 +V\u000a +p17677 +tp17678 +a(g8 +Vnms +p17679 +tp17680 +a(g624 +V=. +p17681 +tp17682 +a(g862 +g958 +tp17683 +a(g624 +g1857 +tp17684 +a(g624 +g1668 +tp17685 +a(g862 +g958 +tp17686 +a(g647 +g2312 +tp17687 +a(g8 +Vnms +p17688 +tp17689 +a(g862 +g958 +tp17690 +a(g8 +g1002 +tp17691 +a(g624 +g1668 +tp17692 +a(g624 +g2320 +tp17693 +a(g624 +g2876 +tp17694 +a(g862 +g958 +tp17695 +a(g303 +g960 +tp17696 +a(g303 +g1144 +tp17697 +a(g303 +g960 +tp17698 +a(g647 +g1640 +tp17699 +a(g862 +g958 +tp17700 +a(g624 +g2314 +tp17701 +a(g624 +g1668 +tp17702 +a(g8 +Veach +p17703 +tp17704 +a(g862 +g958 +tp17705 +a(g8 +Vnms +p17706 +tp17707 +a(g862 +V\u000a +p17708 +tp17709 +a(g8 +VSECTION +p17710 +tp17711 +a(g624 +V=: +p17712 +tp17713 +a(g862 +g958 +tp17714 +a(g303 +g960 +tp17715 +a(g303 +g1074 +tp17716 +a(g303 +g1000 +tp17717 +a(g303 +g1000 +tp17718 +a(g303 +g960 +tp17719 +a(g624 +g1666 +tp17720 +a(g8 +Vnms +p17721 +tp17722 +a(g862 +V\u000a +p17723 +tp17724 +a(g8 +VDATAMASK +p17725 +tp17726 +a(g624 +V=: +p17727 +tp17728 +a(g862 +g958 +tp17729 +a(g647 +g2312 +tp17730 +a(g624 +g1855 +tp17731 +a(g8 +VPKGDATA +p17732 +tp17733 +a(g647 +g1640 +tp17734 +a(g862 +g958 +tp17735 +a(g624 +g1057 +tp17736 +a(g862 +g958 +tp17737 +a(g252 +g1860 +tp17738 +a(g862 +V\u000a +p17739 +tp17740 +a(g8 +VEMPTY +p17741 +tp17742 +a(g862 +V\u000a +p17743 +tp17744 +a(g132 +g1640 +tp17745 +a(g862 +V\u000a +p17746 +tp17747 +a(g8 +Vinit_console +p17748 +tp17749 +a(g624 +V=: +p17750 +tp17751 +a(g862 +g958 +tp17752 +a(g108 +V3 : 0 +p17753 +tp17754 +a(g862 +V\u000a +p17755 +tp17756 +a(g132 +Vif. +p17757 +tp17758 +a(g862 +g958 +tp17759 +a(g252 +g1019 +tp17760 +a(g624 +g2890 +tp17761 +a(g624 +g1855 +tp17762 +a(g28 +g1009 +tp17763 +a(g862 +g958 +tp17764 +a(g132 +Vdo. +p17765 +tp17766 +a(g862 +g958 +tp17767 +a(g28 +g1009 +tp17768 +a(g624 +V=. +p17769 +tp17770 +a(g862 +g958 +tp17771 +a(g303 +g960 +tp17772 +a(g303 +g1005 +tp17773 +a(g303 +g997 +tp17774 +a(g303 +g966 +tp17775 +a(g303 +g1151 +tp17776 +a(g303 +g960 +tp17777 +a(g862 +g958 +tp17778 +a(g132 +Vend. +p17779 +tp17780 +a(g862 +V\u000a +p17781 +tp17782 +a(g132 +Vselect. +p17783 +tp17784 +a(g862 +g958 +tp17785 +a(g28 +g1009 +tp17786 +a(g862 +V\u000a +p17787 +tp17788 +a(g132 +Vfcase. +p17789 +tp17790 +a(g862 +g958 +tp17791 +a(g303 +g960 +tp17792 +a(g303 +g997 +tp17793 +a(g303 +g1151 +tp17794 +a(g303 +g1002 +tp17795 +a(g303 +g1154 +tp17796 +a(g303 +g960 +tp17797 +a(g624 +g1666 +tp17798 +a(g303 +g960 +tp17799 +a(g303 +g995 +tp17800 +a(g303 +g997 +tp17801 +a(g303 +g1005 +tp17802 +a(g303 +g1354 +tp17803 +a(g303 +g997 +tp17804 +a(g303 +g1005 +tp17805 +a(g303 +g960 +tp17806 +a(g862 +g958 +tp17807 +a(g132 +Vdo. +p17808 +tp17809 +a(g862 +V\u000a +p17810 +tp17811 +a(g132 +Vif. +p17812 +tp17813 +a(g862 +g958 +tp17814 +a(g624 +g1712 +tp17815 +a(g624 +g1668 +tp17816 +a(g862 +g958 +tp17817 +a(g8 +Vcheckaccess +p17818 +tp17819 +a(g303 +g960 +tp17820 +a(g303 +g960 +tp17821 +a(g862 +g958 +tp17822 +a(g132 +Vdo. +p17823 +tp17824 +a(g862 +g958 +tp17825 +a(g252 +g1019 +tp17826 +a(g862 +g958 +tp17827 +a(g132 +Vreturn. +p17828 +tp17829 +a(g862 +g958 +tp17830 +a(g132 +Vend. +p17831 +tp17832 +a(g862 +V\u000a +p17833 +tp17834 +a(g132 +Vcase. +p17835 +tp17836 +a(g862 +g958 +tp17837 +a(g303 +g960 +tp17838 +a(g303 +g1005 +tp17839 +a(g303 +g997 +tp17840 +a(g303 +g966 +tp17841 +a(g303 +g1151 +tp17842 +a(g303 +g960 +tp17843 +a(g862 +g958 +tp17844 +a(g132 +Vdo. +p17845 +tp17846 +a(g862 +V\u000a +p17847 +tp17848 +a(g132 +Vif. +p17849 +tp17850 +a(g862 +g958 +tp17851 +a(g624 +g1712 +tp17852 +a(g624 +g1668 +tp17853 +a(g862 +g958 +tp17854 +a(g8 +Vcheckaddonsdir +p17855 +tp17856 +a(g303 +g960 +tp17857 +a(g303 +g960 +tp17858 +a(g862 +g958 +tp17859 +a(g132 +Vdo. +p17860 +tp17861 +a(g862 +g958 +tp17862 +a(g252 +g1019 +tp17863 +a(g862 +g958 +tp17864 +a(g132 +Vreturn. +p17865 +tp17866 +a(g862 +g958 +tp17867 +a(g132 +Vend. +p17868 +tp17869 +a(g862 +V\u000a +p17870 +tp17871 +a(g8 +Vsetfiles +p17872 +tp17873 +a(g303 +g960 +tp17874 +a(g303 +g960 +tp17875 +a(g862 +V\u000a +p17876 +tp17877 +a(g8 +Vreadlocal +p17878 +tp17879 +a(g303 +g960 +tp17880 +a(g303 +g960 +tp17881 +a(g862 +V\u000a +p17882 +tp17883 +a(g8 +Vpacman_init +p17884 +tp17885 +a(g862 +g958 +tp17886 +a(g303 +g960 +tp17887 +a(g303 +g960 +tp17888 +a(g862 +V\u000a +p17889 +tp17890 +a(g8 +Vres +p17891 +tp17892 +a(g624 +V=. +p17893 +tp17894 +a(g862 +g958 +tp17895 +a(g252 +g1860 +tp17896 +a(g862 +V\u000a +p17897 +tp17898 +a(g132 +Vcase. +p17899 +tp17900 +a(g862 +g958 +tp17901 +a(g132 +Vdo. +p17902 +tp17903 +a(g862 +g958 +tp17904 +a(g8 +Vres +p17905 +tp17906 +a(g624 +V=. +p17907 +tp17908 +a(g862 +g958 +tp17909 +a(g252 +g1019 +tp17910 +a(g862 +V\u000a +p17911 +tp17912 +a(g132 +Vend. +p17913 +tp17914 +a(g862 +V\u000a +p17915 +tp17916 +a(g132 +Vif. +p17917 +tp17918 +a(g862 +g958 +tp17919 +a(g28 +g1009 +tp17920 +a(g862 +g958 +tp17921 +a(g624 +g1712 +tp17922 +a(g624 +g1060 +tp17923 +a(g862 +g958 +tp17924 +a(g303 +g960 +tp17925 +a(g303 +g995 +tp17926 +a(g303 +g997 +tp17927 +a(g303 +g1005 +tp17928 +a(g303 +g1354 +tp17929 +a(g303 +g997 +tp17930 +a(g303 +g1005 +tp17931 +a(g303 +g960 +tp17932 +a(g862 +g958 +tp17933 +a(g132 +Vdo. +p17934 +tp17935 +a(g862 +g958 +tp17936 +a(g8 +Vres +p17937 +tp17938 +a(g624 +V=. +p17939 +tp17940 +a(g862 +g958 +tp17941 +a(g8 +Vgetserver +p17942 +tp17943 +a(g303 +g960 +tp17944 +a(g303 +g960 +tp17945 +a(g862 +V +p17946 +tp17947 +a(g132 +Vend. +p17948 +tp17949 +a(g862 +V\u000a +p17950 +tp17951 +a(g8 +Vres +p17952 +tp17953 +a(g862 +V\u000a +p17954 +tp17955 +a(g132 +g1640 +tp17956 +a(g862 +V\u000a +p17957 +tp17958 +a(g8 +Vjpkg +p17959 +tp17960 +a(g624 +V=: +p17961 +tp17962 +a(g862 +g958 +tp17963 +a(g108 +V4 : 0 +p17964 +tp17965 +a(g862 +V\u000a +p17966 +tp17967 +a(g132 +Vselect. +p17968 +tp17969 +a(g862 +g958 +tp17970 +a(g28 +g1989 +tp17971 +a(g862 +V\u000a +p17972 +tp17973 +a(g132 +Vcase. +p17974 +tp17975 +a(g862 +g958 +tp17976 +a(g303 +g960 +tp17977 +a(g303 +g1205 +tp17978 +a(g303 +g1002 +tp17979 +a(g303 +g995 +tp17980 +a(g303 +g1154 +tp17981 +a(g303 +g1185 +tp17982 +a(g303 +g1005 +tp17983 +a(g303 +g1009 +tp17984 +a(g303 +g960 +tp17985 +a(g624 +g1666 +tp17986 +a(g303 +g960 +tp17987 +a(g303 +g970 +tp17988 +a(g303 +g966 +tp17989 +a(g303 +g973 +tp17990 +a(g303 +g1002 +tp17991 +a(g303 +g1325 +tp17992 +a(g303 +g997 +tp17993 +a(g303 +g995 +tp17994 +a(g303 +g1154 +tp17995 +a(g303 +g960 +tp17996 +a(g862 +g958 +tp17997 +a(g132 +Vdo. +p17998 +tp17999 +a(g862 +V\u000a +p18000 +tp18001 +a(g28 +g1989 +tp18002 +a(g862 +g958 +tp18003 +a(g8 +Vshowfiles_console +p18004 +tp18005 +a(g862 +g958 +tp18006 +a(g28 +g1009 +tp18007 +a(g862 +V\u000a +p18008 +tp18009 +a(g132 +Vcase. +p18010 +tp18011 +a(g862 +g958 +tp18012 +a(g303 +g960 +tp18013 +a(g303 +g1002 +tp18014 +a(g303 +g973 +tp18015 +a(g303 +g995 +tp18016 +a(g303 +g1154 +tp18017 +a(g303 +g966 +tp18018 +a(g303 +g1000 +tp18019 +a(g303 +g1000 +tp18020 +a(g303 +g960 +tp18021 +a(g862 +g958 +tp18022 +a(g132 +Vdo. +p18023 +tp18024 +a(g862 +V\u000a +p18025 +tp18026 +a(g8 +Vinstall_console +p18027 +tp18028 +a(g862 +g958 +tp18029 +a(g28 +g1009 +tp18030 +a(g862 +V\u000a +p18031 +tp18032 +a(g132 +Vcase. +p18033 +tp18034 +a(g862 +g958 +tp18035 +a(g303 +g960 +tp18036 +a(g303 +g1005 +tp18037 +a(g303 +g997 +tp18038 +a(g303 +g1002 +tp18039 +a(g303 +g973 +tp18040 +a(g303 +g995 +tp18041 +a(g303 +g1154 +tp18042 +a(g303 +g966 +tp18043 +a(g303 +g1000 +tp18044 +a(g303 +g1000 +tp18045 +a(g303 +g960 +tp18046 +a(g862 +g958 +tp18047 +a(g132 +Vdo. +p18048 +tp18049 +a(g862 +V\u000a +p18050 +tp18051 +a(g8 +Vremove_console +p18052 +tp18053 +a(g862 +g958 +tp18054 +a(g28 +g1009 +tp18055 +a(g862 +V\u000a +p18056 +tp18057 +a(g8 +Vinstall_console +p18058 +tp18059 +a(g862 +g958 +tp18060 +a(g28 +g1009 +tp18061 +a(g862 +V\u000a +p18062 +tp18063 +a(g132 +Vcase. +p18064 +tp18065 +a(g862 +g958 +tp18066 +a(g303 +g960 +tp18067 +a(g303 +g1005 +tp18068 +a(g303 +g997 +tp18069 +a(g303 +g970 +tp18070 +a(g303 +g1185 +tp18071 +a(g303 +g1354 +tp18072 +a(g303 +g997 +tp18073 +a(g303 +g960 +tp18074 +a(g862 +g958 +tp18075 +a(g132 +Vdo. +p18076 +tp18077 +a(g862 +V\u000a +p18078 +tp18079 +a(g8 +Vremove_console +p18080 +tp18081 +a(g862 +g958 +tp18082 +a(g28 +g1009 +tp18083 +a(g862 +V\u000a +p18084 +tp18085 +a(g132 +Vcase. +p18086 +tp18087 +a(g862 +g958 +tp18088 +a(g624 +g1666 +tp18089 +a(g624 +g1060 +tp18090 +a(g303 +g960 +tp18091 +a(g303 +g995 +tp18092 +a(g303 +g1205 +tp18093 +a(g303 +g1185 +tp18094 +a(g303 +g1215 +tp18095 +a(g303 +g958 +tp18096 +a(g303 +g995 +tp18097 +a(g303 +g997 +tp18098 +a(g303 +g966 +tp18099 +a(g303 +g1005 +tp18100 +a(g303 +g968 +tp18101 +a(g303 +g1205 +tp18102 +a(g303 +g958 +tp18103 +a(g303 +g995 +tp18104 +a(g303 +g1205 +tp18105 +a(g303 +g1185 +tp18106 +a(g303 +g1215 +tp18107 +a(g303 +g1002 +tp18108 +a(g303 +g973 +tp18109 +a(g303 +g995 +tp18110 +a(g303 +g1154 +tp18111 +a(g303 +g966 +tp18112 +a(g303 +g1000 +tp18113 +a(g303 +g1000 +tp18114 +a(g303 +g997 +tp18115 +a(g303 +g1151 +tp18116 +a(g303 +g958 +tp18117 +a(g303 +g995 +tp18118 +a(g303 +g1205 +tp18119 +a(g303 +g1185 +tp18120 +a(g303 +g1215 +tp18121 +a(g303 +g973 +tp18122 +a(g303 +g1185 +tp18123 +a(g303 +g1154 +tp18124 +a(g303 +g1002 +tp18125 +a(g303 +g973 +tp18126 +a(g303 +g995 +tp18127 +a(g303 +g1154 +tp18128 +a(g303 +g966 +tp18129 +a(g303 +g1000 +tp18130 +a(g303 +g1000 +tp18131 +a(g303 +g997 +tp18132 +a(g303 +g1151 +tp18133 +a(g303 +g958 +tp18134 +a(g303 +g995 +tp18135 +a(g303 +g1205 +tp18136 +a(g303 +g1185 +tp18137 +a(g303 +g1215 +tp18138 +a(g303 +g1260 +tp18139 +a(g303 +g964 +tp18140 +a(g303 +g1094 +tp18141 +a(g303 +g1005 +tp18142 +a(g303 +g966 +tp18143 +a(g303 +g1151 +tp18144 +a(g303 +g997 +tp18145 +a(g303 +g958 +tp18146 +a(g303 +g995 +tp18147 +a(g303 +g1154 +tp18148 +a(g303 +g966 +tp18149 +a(g303 +g1154 +tp18150 +a(g303 +g1260 +tp18151 +a(g303 +g995 +tp18152 +a(g303 +g960 +tp18153 +a(g862 +g958 +tp18154 +a(g132 +Vdo. +p18155 +tp18156 +a(g862 +V\u000a +p18157 +tp18158 +a(g28 +g1989 +tp18159 +a(g862 +g958 +tp18160 +a(g8 +Vshow_console +p18161 +tp18162 +a(g862 +g958 +tp18163 +a(g28 +g1009 +tp18164 +a(g862 +V\u000a +p18165 +tp18166 +a(g132 +Vcase. +p18167 +tp18168 +a(g862 +g958 +tp18169 +a(g303 +g960 +tp18170 +a(g303 +g1260 +tp18171 +a(g303 +g964 +tp18172 +a(g303 +g1151 +tp18173 +a(g303 +g966 +tp18174 +a(g303 +g1154 +tp18175 +a(g303 +g997 +tp18176 +a(g303 +g960 +tp18177 +a(g862 +V +p18178 +tp18179 +a(g132 +Vdo. +p18180 +tp18181 +a(g862 +V\u000a +p18182 +tp18183 +a(g8 +Vupdatejal +p18184 +tp18185 +a(g862 +g958 +tp18186 +a(g303 +g960 +tp18187 +a(g303 +g960 +tp18188 +a(g862 +V\u000a +p18189 +tp18190 +a(g132 +Vcase. +p18191 +tp18192 +a(g862 +g958 +tp18193 +a(g303 +g960 +tp18194 +a(g303 +g1260 +tp18195 +a(g303 +g964 +tp18196 +a(g303 +g1094 +tp18197 +a(g303 +g1005 +tp18198 +a(g303 +g966 +tp18199 +a(g303 +g1151 +tp18200 +a(g303 +g997 +tp18201 +a(g303 +g960 +tp18202 +a(g862 +g958 +tp18203 +a(g132 +Vdo. +p18204 +tp18205 +a(g862 +V\u000a +p18206 +tp18207 +a(g8 +Vupgrade_console +p18208 +tp18209 +a(g862 +g958 +tp18210 +a(g28 +g1009 +tp18211 +a(g862 +V\u000a +p18212 +tp18213 +a(g132 +Vcase. +p18214 +tp18215 +a(g862 +g958 +tp18216 +a(g132 +Vdo. +p18217 +tp18218 +a(g862 +V\u000a +p18219 +tp18220 +a(g8 +Vmsg +p18221 +tp18222 +a(g624 +V=. +p18223 +tp18224 +a(g862 +g958 +tp18225 +a(g303 +g960 +tp18226 +a(g303 +VV +p18227 +tp18228 +a(g303 +g966 +tp18229 +a(g303 +g1000 +tp18230 +a(g303 +g1002 +tp18231 +a(g303 +g1151 +tp18232 +a(g303 +g958 +tp18233 +a(g303 +g1185 +tp18234 +a(g303 +g964 +tp18235 +a(g303 +g1154 +tp18236 +a(g303 +g1002 +tp18237 +a(g303 +g1185 +tp18238 +a(g303 +g973 +tp18239 +a(g303 +g995 +tp18240 +a(g303 +g958 +tp18241 +a(g303 +g966 +tp18242 +a(g303 +g1005 +tp18243 +a(g303 +g997 +tp18244 +a(g303 +g1060 +tp18245 +a(g303 +g960 +tp18246 +a(g624 +g1069 +tp18247 +a(g8 +VLF +p18248 +tp18249 +a(g862 +V\u000a +p18250 +tp18251 +a(g8 +Vmsg +p18252 +tp18253 +a(g624 +V=. +p18254 +tp18255 +a(g862 +g958 +tp18256 +a(g8 +Vmsg +p18257 +tp18258 +a(g624 +g1069 +tp18259 +a(g303 +g960 +tp18260 +a(g303 +g958 +tp18261 +a(g303 +g958 +tp18262 +a(g303 +g1205 +tp18263 +a(g303 +g1002 +tp18264 +a(g303 +g995 +tp18265 +a(g303 +g1154 +tp18266 +a(g303 +g1185 +tp18267 +a(g303 +g1005 +tp18268 +a(g303 +g1009 +tp18269 +a(g303 +g1069 +tp18270 +a(g303 +g958 +tp18271 +a(g303 +g1002 +tp18272 +a(g303 +g973 +tp18273 +a(g303 +g995 +tp18274 +a(g303 +g1154 +tp18275 +a(g303 +g966 +tp18276 +a(g303 +g1000 +tp18277 +a(g303 +g1000 +tp18278 +a(g303 +g1069 +tp18279 +a(g303 +g958 +tp18280 +a(g303 +g970 +tp18281 +a(g303 +g966 +tp18282 +a(g303 +g973 +tp18283 +a(g303 +g1002 +tp18284 +a(g303 +g1325 +tp18285 +a(g303 +g997 +tp18286 +a(g303 +g995 +tp18287 +a(g303 +g1154 +tp18288 +a(g303 +g1069 +tp18289 +a(g303 +g958 +tp18290 +a(g303 +g1005 +tp18291 +a(g303 +g997 +tp18292 +a(g303 +g970 +tp18293 +a(g303 +g1185 +tp18294 +a(g303 +g1354 +tp18295 +a(g303 +g997 +tp18296 +a(g303 +g1069 +tp18297 +a(g303 +g958 +tp18298 +a(g303 +g1005 +tp18299 +a(g303 +g997 +tp18300 +a(g303 +g1002 +tp18301 +a(g303 +g973 +tp18302 +a(g303 +g995 +tp18303 +a(g303 +g1154 +tp18304 +a(g303 +g966 +tp18305 +a(g303 +g1000 +tp18306 +a(g303 +g1000 +tp18307 +a(g303 +g1069 +tp18308 +a(g303 +g958 +tp18309 +a(g303 +g995 +tp18310 +a(g303 +g1205 +tp18311 +a(g303 +g1185 +tp18312 +a(g303 +g1215 +tp18313 +a(g303 +g1069 +tp18314 +a(g303 +g958 +tp18315 +a(g303 +g995 +tp18316 +a(g303 +g997 +tp18317 +a(g303 +g966 +tp18318 +a(g303 +g1005 +tp18319 +a(g303 +g968 +tp18320 +a(g303 +g1205 +tp18321 +a(g303 +g1069 +tp18322 +a(g303 +g960 +tp18323 +a(g624 +g1069 +tp18324 +a(g8 +VLF +p18325 +tp18326 +a(g862 +V\u000a +p18327 +tp18328 +a(g8 +Vmsg +p18329 +tp18330 +a(g624 +V=. +p18331 +tp18332 +a(g862 +g958 +tp18333 +a(g8 +Vmsg +p18334 +tp18335 +a(g624 +g1069 +tp18336 +a(g303 +g960 +tp18337 +a(g303 +g958 +tp18338 +a(g303 +g958 +tp18339 +a(g303 +g995 +tp18340 +a(g303 +g1205 +tp18341 +a(g303 +g1185 +tp18342 +a(g303 +g1215 +tp18343 +a(g303 +g1002 +tp18344 +a(g303 +g973 +tp18345 +a(g303 +g995 +tp18346 +a(g303 +g1154 +tp18347 +a(g303 +g966 +tp18348 +a(g303 +g1000 +tp18349 +a(g303 +g1000 +tp18350 +a(g303 +g997 +tp18351 +a(g303 +g1151 +tp18352 +a(g303 +g1069 +tp18353 +a(g303 +g958 +tp18354 +a(g303 +g995 +tp18355 +a(g303 +g1205 +tp18356 +a(g303 +g1185 +tp18357 +a(g303 +g1215 +tp18358 +a(g303 +g973 +tp18359 +a(g303 +g1185 +tp18360 +a(g303 +g1154 +tp18361 +a(g303 +g1002 +tp18362 +a(g303 +g973 +tp18363 +a(g303 +g995 +tp18364 +a(g303 +g1154 +tp18365 +a(g303 +g966 +tp18366 +a(g303 +g1000 +tp18367 +a(g303 +g1000 +tp18368 +a(g303 +g997 +tp18369 +a(g303 +g1151 +tp18370 +a(g303 +g1069 +tp18371 +a(g303 +g958 +tp18372 +a(g303 +g995 +tp18373 +a(g303 +g1205 +tp18374 +a(g303 +g1185 +tp18375 +a(g303 +g1215 +tp18376 +a(g303 +g1260 +tp18377 +a(g303 +g964 +tp18378 +a(g303 +g1094 +tp18379 +a(g303 +g1005 +tp18380 +a(g303 +g966 +tp18381 +a(g303 +g1151 +tp18382 +a(g303 +g997 +tp18383 +a(g303 +g1069 +tp18384 +a(g303 +g958 +tp18385 +a(g303 +g995 +tp18386 +a(g303 +g1154 +tp18387 +a(g303 +g966 +tp18388 +a(g303 +g1154 +tp18389 +a(g303 +g1260 +tp18390 +a(g303 +g995 +tp18391 +a(g303 +g1069 +tp18392 +a(g303 +g960 +tp18393 +a(g624 +g1069 +tp18394 +a(g8 +VLF +p18395 +tp18396 +a(g862 +V\u000a +p18397 +tp18398 +a(g8 +Vmsg +p18399 +tp18400 +a(g624 +g1069 +tp18401 +a(g303 +g960 +tp18402 +a(g303 +g958 +tp18403 +a(g303 +g958 +tp18404 +a(g303 +g1260 +tp18405 +a(g303 +g964 +tp18406 +a(g303 +g1151 +tp18407 +a(g303 +g966 +tp18408 +a(g303 +g1154 +tp18409 +a(g303 +g997 +tp18410 +a(g303 +g1069 +tp18411 +a(g303 +g958 +tp18412 +a(g303 +g1260 +tp18413 +a(g303 +g964 +tp18414 +a(g303 +g1094 +tp18415 +a(g303 +g1005 +tp18416 +a(g303 +g966 +tp18417 +a(g303 +g1151 +tp18418 +a(g303 +g997 +tp18419 +a(g303 +g960 +tp18420 +a(g862 +V\u000a +p18421 +tp18422 +a(g132 +Vend. +p18423 +tp18424 +a(g862 +V\u000a +p18425 +tp18426 +a(g132 +g1640 +tp18427 +a(g862 +V\u000a +p18428 +tp18429 +a(g8 +Vdo_install +p18430 +tp18431 +a(g624 +V=: +p18432 +tp18433 +a(g862 +g958 +tp18434 +a(g108 +V3 : 0 +p18435 +tp18436 +a(g862 +V\u000a +p18437 +tp18438 +a(g132 +Vif. +p18439 +tp18440 +a(g862 +g958 +tp18441 +a(g624 +g1712 +tp18442 +a(g624 +g1668 +tp18443 +a(g862 +g958 +tp18444 +a(g8 +Vcheckaccess_jpacman_ +p18445 +tp18446 +a(g862 +g958 +tp18447 +a(g303 +g960 +tp18448 +a(g303 +g960 +tp18449 +a(g862 +g958 +tp18450 +a(g132 +Vdo. +p18451 +tp18452 +a(g862 +g958 +tp18453 +a(g132 +Vreturn. +p18454 +tp18455 +a(g862 +g958 +tp18456 +a(g132 +Vend. +p18457 +tp18458 +a(g862 +V\u000a +p18459 +tp18460 +a(g303 +g960 +tp18461 +a(g303 +g1260 +tp18462 +a(g303 +g964 +tp18463 +a(g303 +g1151 +tp18464 +a(g303 +g966 +tp18465 +a(g303 +g1154 +tp18466 +a(g303 +g997 +tp18467 +a(g303 +g960 +tp18468 +a(g862 +g958 +tp18469 +a(g8 +Vjpkg +p18470 +tp18471 +a(g862 +g958 +tp18472 +a(g303 +g960 +tp18473 +a(g303 +g960 +tp18474 +a(g862 +V\u000a +p18475 +tp18476 +a(g132 +Vselect. +p18477 +tp18478 +a(g862 +g958 +tp18479 +a(g28 +g1009 +tp18480 +a(g862 +V\u000a +p18481 +tp18482 +a(g132 +Vcase. +p18483 +tp18484 +a(g862 +g958 +tp18485 +a(g303 +g960 +tp18486 +a(g303 +g1175 +tp18487 +a(g303 +g1154 +tp18488 +a(g303 +g1002 +tp18489 +a(g303 +g1151 +tp18490 +a(g303 +g997 +tp18491 +a(g303 +g960 +tp18492 +a(g624 +g1666 +tp18493 +a(g303 +g960 +tp18494 +a(g303 +g966 +tp18495 +a(g303 +g973 +tp18496 +a(g303 +g1094 +tp18497 +a(g303 +g1000 +tp18498 +a(g303 +g997 +tp18499 +a(g303 +g960 +tp18500 +a(g862 +g958 +tp18501 +a(g132 +Vdo. +p18502 +tp18503 +a(g862 +V\u000a +p18504 +tp18505 +a(g303 +g960 +tp18506 +a(g303 +g1002 +tp18507 +a(g303 +g973 +tp18508 +a(g303 +g995 +tp18509 +a(g303 +g1154 +tp18510 +a(g303 +g966 +tp18511 +a(g303 +g1000 +tp18512 +a(g303 +g1000 +tp18513 +a(g303 +g960 +tp18514 +a(g862 +g958 +tp18515 +a(g8 +Vjpkg +p18516 +tp18517 +a(g862 +g958 +tp18518 +a(g303 +g960 +tp18519 +a(g303 +g992 +tp18520 +a(g303 +g966 +tp18521 +a(g303 +g995 +tp18522 +a(g303 +g997 +tp18523 +a(g303 +g958 +tp18524 +a(g303 +g1000 +tp18525 +a(g303 +g1002 +tp18526 +a(g303 +g992 +tp18527 +a(g303 +g1005 +tp18528 +a(g303 +g966 +tp18529 +a(g303 +g1005 +tp18530 +a(g303 +g1009 +tp18531 +a(g303 +g958 +tp18532 +a(g303 +g1002 +tp18533 +a(g303 +g1151 +tp18534 +a(g303 +g997 +tp18535 +a(g303 +g1144 +tp18536 +a(g303 +g1175 +tp18537 +a(g303 +g1154 +tp18538 +a(g303 +g960 +tp18539 +a(g862 +V\u000a +p18540 +tp18541 +a(g8 +Vgetqtbin +p18542 +tp18543 +a(g862 +g958 +tp18544 +a(g647 +g2312 +tp18545 +a(g28 +g1009 +tp18546 +a(g624 +g1712 +tp18547 +a(g624 +g1060 +tp18548 +a(g303 +g960 +tp18549 +a(g303 +g966 +tp18550 +a(g303 +g973 +tp18551 +a(g303 +g1094 +tp18552 +a(g303 +g1000 +tp18553 +a(g303 +g997 +tp18554 +a(g303 +g960 +tp18555 +a(g647 +g1640 +tp18556 +a(g624 +g2314 +tp18557 +a(g624 +g1060 +tp18558 +a(g624 +g1060 +tp18559 +a(g252 +g1019 +tp18560 +a(g624 +g1666 +tp18561 +a(g303 +g960 +tp18562 +a(g303 +g966 +tp18563 +a(g303 +g973 +tp18564 +a(g303 +g1094 +tp18565 +a(g303 +g1000 +tp18566 +a(g303 +g997 +tp18567 +a(g303 +g960 +tp18568 +a(g862 +V\u000a +p18569 +tp18570 +a(g8 +Vmsg +p18571 +tp18572 +a(g624 +V=. +p18573 +tp18574 +a(g862 +g958 +tp18575 +a(g647 +g2312 +tp18576 +a(g624 +g2879 +tp18577 +a(g624 +g1144 +tp18578 +a(g862 +g958 +tp18579 +a(g252 +g1371 +tp18580 +a(g862 +g958 +tp18581 +a(g252 +g1860 +tp18582 +a(g862 +g958 +tp18583 +a(g624 +g2870 +tp18584 +a(g862 +g958 +tp18585 +a(g8 +VIFWIN +p18586 +tp18587 +a(g624 +g1069 +tp18588 +a(g303 +g960 +tp18589 +a(g303 +g1744 +tp18590 +a(g303 +g966 +tp18591 +a(g303 +g1005 +tp18592 +a(g303 +g1215 +tp18593 +a(g303 +g1002 +tp18594 +a(g303 +g973 +tp18595 +a(g303 +g960 +tp18596 +a(g624 +g1712 +tp18597 +a(g624 +g1060 +tp18598 +a(g8 +VUNAME +p18599 +tp18600 +a(g647 +g1640 +tp18601 +a(g862 +g958 +tp18602 +a(g8 +Vpick +p18603 +tp18604 +a(g862 +g958 +tp18605 +a(g303 +g960 +tp18606 +a(g303 +g962 +tp18607 +a(g303 +g1175 +tp18608 +a(g303 +g1154 +tp18609 +a(g303 +g1668 +tp18610 +a(g303 +g995 +tp18611 +a(g303 +g1205 +tp18612 +a(g303 +g960 +tp18613 +a(g624 +g1666 +tp18614 +a(g303 +g960 +tp18615 +a(g303 +g1154 +tp18616 +a(g303 +g1205 +tp18617 +a(g303 +g997 +tp18618 +a(g303 +g958 +tp18619 +a(g303 +g962 +tp18620 +a(g303 +g1175 +tp18621 +a(g303 +g1154 +tp18622 +a(g303 +g958 +tp18623 +a(g303 +g1002 +tp18624 +a(g303 +g968 +tp18625 +a(g303 +g1185 +tp18626 +a(g303 +g973 +tp18627 +a(g303 +g960 +tp18628 +a(g624 +g1666 +tp18629 +a(g303 +g960 +tp18630 +a(g303 +g962 +tp18631 +a(g303 +g1175 +tp18632 +a(g303 +g1154 +tp18633 +a(g303 +g1668 +tp18634 +a(g303 +g968 +tp18635 +a(g303 +g970 +tp18636 +a(g303 +g1151 +tp18637 +a(g303 +g960 +tp18638 +a(g862 +V\u000a +p18639 +tp18640 +a(g8 +Vsmoutput +p18641 +tp18642 +a(g862 +g958 +tp18643 +a(g303 +g960 +tp18644 +a(g303 +g997 +tp18645 +a(g303 +g1989 +tp18646 +a(g303 +g1002 +tp18647 +a(g303 +g1154 +tp18648 +a(g303 +g958 +tp18649 +a(g303 +g966 +tp18650 +a(g303 +g973 +tp18651 +a(g303 +g1151 +tp18652 +a(g303 +g958 +tp18653 +a(g303 +g1005 +tp18654 +a(g303 +g997 +tp18655 +a(g303 +g995 +tp18656 +a(g303 +g1154 +tp18657 +a(g303 +g966 +tp18658 +a(g303 +g1005 +tp18659 +a(g303 +g1154 +tp18660 +a(g303 +g958 +tp18661 +a(g303 +g2649 +tp18662 +a(g303 +g958 +tp18663 +a(g303 +g1260 +tp18664 +a(g303 +g995 +tp18665 +a(g303 +g1002 +tp18666 +a(g303 +g973 +tp18667 +a(g303 +g1094 +tp18668 +a(g303 +g958 +tp18669 +a(g303 +g960 +tp18670 +a(g624 +g1069 +tp18671 +a(g8 +Vmsg +p18672 +tp18673 +a(g862 +V\u000a +p18674 +tp18675 +a(g132 +Vcase. +p18676 +tp18677 +a(g862 +g958 +tp18678 +a(g303 +g960 +tp18679 +a(g303 +g966 +tp18680 +a(g303 +g1000 +tp18681 +a(g303 +g1000 +tp18682 +a(g303 +g960 +tp18683 +a(g862 +g958 +tp18684 +a(g132 +Vdo. +p18685 +tp18686 +a(g862 +V\u000a +p18687 +tp18688 +a(g303 +g960 +tp18689 +a(g303 +g1002 +tp18690 +a(g303 +g973 +tp18691 +a(g303 +g995 +tp18692 +a(g303 +g1154 +tp18693 +a(g303 +g966 +tp18694 +a(g303 +g1000 +tp18695 +a(g303 +g1000 +tp18696 +a(g303 +g960 +tp18697 +a(g862 +g958 +tp18698 +a(g8 +Vjpkg +p18699 +tp18700 +a(g862 +g958 +tp18701 +a(g303 +g960 +tp18702 +a(g303 +g966 +tp18703 +a(g303 +g1000 +tp18704 +a(g303 +g1000 +tp18705 +a(g303 +g960 +tp18706 +a(g862 +V\u000a +p18707 +tp18708 +a(g8 +Vgetqtbin +p18709 +tp18710 +a(g862 +g958 +tp18711 +a(g252 +g1019 +tp18712 +a(g862 +V\u000a +p18713 +tp18714 +a(g132 +Vend. +p18715 +tp18716 +a(g862 +V\u000a +p18717 +tp18718 +a(g132 +g1640 +tp18719 +a(g862 +V\u000a +p18720 +tp18721 +a(g8 +Vdo_getqtbin +p18722 +tp18723 +a(g624 +V=: +p18724 +tp18725 +a(g862 +g958 +tp18726 +a(g108 +V3 : 0 +p18727 +tp18728 +a(g862 +V\u000a +p18729 +tp18730 +a(g8 +Vsmoutput +p18731 +tp18732 +a(g862 +g958 +tp18733 +a(g303 +g960 +tp18734 +a(g303 +g3090 +tp18735 +a(g303 +g973 +tp18736 +a(g303 +g995 +tp18737 +a(g303 +g1154 +tp18738 +a(g303 +g966 +tp18739 +a(g303 +g1000 +tp18740 +a(g303 +g1000 +tp18741 +a(g303 +g1002 +tp18742 +a(g303 +g973 +tp18743 +a(g303 +g1094 +tp18744 +a(g303 +g958 +tp18745 +a(g303 +g2649 +tp18746 +a(g303 +VQ +p18747 +tp18748 +a(g303 +g1154 +tp18749 +a(g303 +g958 +tp18750 +a(g303 +g992 +tp18751 +a(g303 +g1002 +tp18752 +a(g303 +g973 +tp18753 +a(g303 +g966 +tp18754 +a(g303 +g1005 +tp18755 +a(g303 +g1002 +tp18756 +a(g303 +g997 +tp18757 +a(g303 +g995 +tp18758 +a(g303 +g1668 +tp18759 +a(g303 +g1668 +tp18760 +a(g303 +g1668 +tp18761 +a(g303 +g960 +tp18762 +a(g862 +V\u000a +p18763 +tp18764 +a(g132 +Vif. +p18765 +tp18766 +a(g862 +g958 +tp18767 +a(g303 +g960 +tp18768 +a(g303 +g1786 +tp18769 +a(g303 +g1002 +tp18770 +a(g303 +g973 +tp18771 +a(g303 +g1260 +tp18772 +a(g303 +g1989 +tp18773 +a(g303 +g960 +tp18774 +a(g624 +g1712 +tp18775 +a(g624 +g1060 +tp18776 +a(g8 +VUNAME +p18777 +tp18778 +a(g862 +g958 +tp18779 +a(g132 +Vdo. +p18780 +tp18781 +a(g862 +V\u000a +p18782 +tp18783 +a(g132 +Vif. +p18784 +tp18785 +a(g862 +g958 +tp18786 +a(g8 +VIFRASPI +p18787 +tp18788 +a(g862 +g958 +tp18789 +a(g132 +Vdo. +p18790 +tp18791 +a(g862 +V\u000a +p18792 +tp18793 +a(g8 +g1425 +tp18794 +a(g624 +V=. +p18795 +tp18796 +a(g862 +g958 +tp18797 +a(g303 +g960 +tp18798 +a(g303 +g962 +tp18799 +a(g303 +g1175 +tp18800 +a(g303 +g1154 +tp18801 +a(g303 +g1712 +tp18802 +a(g303 +g1005 +tp18803 +a(g303 +g966 +tp18804 +a(g303 +g995 +tp18805 +a(g303 +g964 +tp18806 +a(g303 +g1002 +tp18807 +a(g303 +g1712 +tp18808 +a(g303 +g1340 +tp18809 +a(g303 +g1371 +tp18810 +a(g303 +g1668 +tp18811 +a(g303 +g1154 +tp18812 +a(g303 +g966 +tp18813 +a(g303 +g1005 +tp18814 +a(g303 +g1668 +tp18815 +a(g303 +g1094 +tp18816 +a(g303 +g1425 +tp18817 +a(g303 +g960 +tp18818 +a(g862 +V\u000a +p18819 +tp18820 +a(g132 +Velse. +p18821 +tp18822 +a(g862 +V\u000a +p18823 +tp18824 +a(g8 +g1425 +tp18825 +a(g624 +V=. +p18826 +tp18827 +a(g862 +g958 +tp18828 +a(g303 +g960 +tp18829 +a(g303 +g962 +tp18830 +a(g303 +g1175 +tp18831 +a(g303 +g1154 +tp18832 +a(g303 +g1712 +tp18833 +a(g303 +g960 +tp18834 +a(g624 +g1069 +tp18835 +a(g647 +g2312 +tp18836 +a(g647 +g2312 +tp18837 +a(g28 +g1009 +tp18838 +a(g624 +g1712 +tp18839 +a(g624 +g1060 +tp18840 +a(g303 +g960 +tp18841 +a(g303 +g995 +tp18842 +a(g303 +g1000 +tp18843 +a(g303 +g1002 +tp18844 +a(g303 +g970 +tp18845 +a(g303 +g960 +tp18846 +a(g647 +g1640 +tp18847 +a(g862 +g958 +tp18848 +a(g8 +Vpick +p18849 +tp18850 +a(g862 +g958 +tp18851 +a(g303 +g960 +tp18852 +a(g303 +g1000 +tp18853 +a(g303 +g1002 +tp18854 +a(g303 +g973 +tp18855 +a(g303 +g1260 +tp18856 +a(g303 +g1989 +tp18857 +a(g303 +g960 +tp18858 +a(g624 +g1666 +tp18859 +a(g303 +g960 +tp18860 +a(g303 +g995 +tp18861 +a(g303 +g1000 +tp18862 +a(g303 +g1002 +tp18863 +a(g303 +g970 +tp18864 +a(g303 +g960 +tp18865 +a(g647 +g1640 +tp18866 +a(g624 +g1069 +tp18867 +a(g303 +g960 +tp18868 +a(g303 +g1712 +tp18869 +a(g303 +g960 +tp18870 +a(g624 +g1069 +tp18871 +a(g647 +g2312 +tp18872 +a(g8 +VIF64 +p18873 +tp18874 +a(g862 +g958 +tp18875 +a(g8 +Vpick +p18876 +tp18877 +a(g862 +g958 +tp18878 +a(g303 +g960 +tp18879 +a(g303 +g1989 +tp18880 +a(g303 +g2345 +tp18881 +a(g303 +g4183 +tp18882 +a(g303 +g960 +tp18883 +a(g624 +g1666 +tp18884 +a(g303 +g960 +tp18885 +a(g303 +g1989 +tp18886 +a(g303 +g4183 +tp18887 +a(g303 +g2873 +tp18888 +a(g303 +g960 +tp18889 +a(g647 +g1640 +tp18890 +a(g624 +g1069 +tp18891 +a(g303 +g960 +tp18892 +a(g303 +g1668 +tp18893 +a(g303 +g1154 +tp18894 +a(g303 +g966 +tp18895 +a(g303 +g1005 +tp18896 +a(g303 +g1668 +tp18897 +a(g303 +g1094 +tp18898 +a(g303 +g1425 +tp18899 +a(g303 +g960 +tp18900 +a(g862 +V\u000a +p18901 +tp18902 +a(g132 +Vend. +p18903 +tp18904 +a(g862 +V\u000a +p18905 +tp18906 +a(g8 +Vz1 +p18907 +tp18908 +a(g624 +V=. +p18909 +tp18910 +a(g862 +g958 +tp18911 +a(g303 +g960 +tp18912 +a(g303 +g1000 +tp18913 +a(g303 +g1002 +tp18914 +a(g303 +g992 +tp18915 +a(g303 +g962 +tp18916 +a(g303 +g1175 +tp18917 +a(g303 +g1154 +tp18918 +a(g303 +g1668 +tp18919 +a(g303 +g995 +tp18920 +a(g303 +g1185 +tp18921 +a(g303 +g960 +tp18922 +a(g862 +V\u000a +p18923 +tp18924 +a(g132 +Velseif. +p18925 +tp18926 +a(g862 +g958 +tp18927 +a(g8 +VIFWIN +p18928 +tp18929 +a(g862 +g958 +tp18930 +a(g132 +Vdo. +p18931 +tp18932 +a(g862 +V\u000a +p18933 +tp18934 +a(g8 +g1425 +tp18935 +a(g624 +V=. +p18936 +tp18937 +a(g862 +g958 +tp18938 +a(g303 +g960 +tp18939 +a(g303 +g962 +tp18940 +a(g303 +g1175 +tp18941 +a(g303 +g1154 +tp18942 +a(g303 +g1712 +tp18943 +a(g303 +g1215 +tp18944 +a(g303 +g1002 +tp18945 +a(g303 +g973 +tp18946 +a(g303 +g960 +tp18947 +a(g624 +g1069 +tp18948 +a(g647 +g2312 +tp18949 +a(g647 +g2312 +tp18950 +a(g28 +g1009 +tp18951 +a(g624 +g1712 +tp18952 +a(g624 +g1060 +tp18953 +a(g303 +g960 +tp18954 +a(g303 +g995 +tp18955 +a(g303 +g1000 +tp18956 +a(g303 +g1002 +tp18957 +a(g303 +g970 +tp18958 +a(g303 +g960 +tp18959 +a(g647 +g1640 +tp18960 +a(g624 +g1855 +tp18961 +a(g303 +g960 +tp18962 +a(g303 +g995 +tp18963 +a(g303 +g1000 +tp18964 +a(g303 +g1002 +tp18965 +a(g303 +g970 +tp18966 +a(g303 +g960 +tp18967 +a(g647 +g1640 +tp18968 +a(g624 +g1069 +tp18969 +a(g303 +g960 +tp18970 +a(g303 +g1712 +tp18971 +a(g303 +g960 +tp18972 +a(g624 +g1069 +tp18973 +a(g647 +g2312 +tp18974 +a(g8 +VIF64 +p18975 +tp18976 +a(g862 +g958 +tp18977 +a(g8 +Vpick +p18978 +tp18979 +a(g862 +g958 +tp18980 +a(g303 +g960 +tp18981 +a(g303 +g1989 +tp18982 +a(g303 +g2345 +tp18983 +a(g303 +g4183 +tp18984 +a(g303 +g960 +tp18985 +a(g624 +g1666 +tp18986 +a(g303 +g960 +tp18987 +a(g303 +g1989 +tp18988 +a(g303 +g4183 +tp18989 +a(g303 +g2873 +tp18990 +a(g303 +g960 +tp18991 +a(g647 +g1640 +tp18992 +a(g624 +g1069 +tp18993 +a(g303 +g960 +tp18994 +a(g303 +g1668 +tp18995 +a(g303 +g1425 +tp18996 +a(g303 +g1002 +tp18997 +a(g303 +g964 +tp18998 +a(g303 +g960 +tp18999 +a(g862 +V\u000a +p19000 +tp19001 +a(g8 +Vz1 +p19002 +tp19003 +a(g624 +V=. +p19004 +tp19005 +a(g862 +g958 +tp19006 +a(g303 +g960 +tp19007 +a(g303 +g962 +tp19008 +a(g303 +g1175 +tp19009 +a(g303 +g1154 +tp19010 +a(g303 +g1668 +tp19011 +a(g303 +g1151 +tp19012 +a(g303 +g1000 +tp19013 +a(g303 +g1000 +tp19014 +a(g303 +g960 +tp19015 +a(g862 +V\u000a +p19016 +tp19017 +a(g132 +Velseif. +p19018 +tp19019 +a(g862 +g958 +tp19020 +a(g132 +Vdo. +p19021 +tp19022 +a(g862 +V\u000a +p19023 +tp19024 +a(g8 +g1425 +tp19025 +a(g624 +V=. +p19026 +tp19027 +a(g862 +g958 +tp19028 +a(g303 +g960 +tp19029 +a(g303 +g962 +tp19030 +a(g303 +g1175 +tp19031 +a(g303 +g1154 +tp19032 +a(g303 +g1712 +tp19033 +a(g303 +g970 +tp19034 +a(g303 +g966 +tp19035 +a(g303 +g968 +tp19036 +a(g303 +g960 +tp19037 +a(g624 +g1069 +tp19038 +a(g647 +g2312 +tp19039 +a(g647 +g2312 +tp19040 +a(g28 +g1009 +tp19041 +a(g624 +g1712 +tp19042 +a(g624 +g1060 +tp19043 +a(g303 +g960 +tp19044 +a(g303 +g995 +tp19045 +a(g303 +g1000 +tp19046 +a(g303 +g1002 +tp19047 +a(g303 +g970 +tp19048 +a(g303 +g960 +tp19049 +a(g647 +g1640 +tp19050 +a(g624 +g1855 +tp19051 +a(g303 +g960 +tp19052 +a(g303 +g995 +tp19053 +a(g303 +g1000 +tp19054 +a(g303 +g1002 +tp19055 +a(g303 +g970 +tp19056 +a(g303 +g960 +tp19057 +a(g647 +g1640 +tp19058 +a(g624 +g1069 +tp19059 +a(g303 +g960 +tp19060 +a(g303 +g1712 +tp19061 +a(g303 +g960 +tp19062 +a(g624 +g1069 +tp19063 +a(g647 +g2312 +tp19064 +a(g8 +VIF64 +p19065 +tp19066 +a(g862 +g958 +tp19067 +a(g8 +Vpick +p19068 +tp19069 +a(g862 +g958 +tp19070 +a(g303 +g960 +tp19071 +a(g303 +g1989 +tp19072 +a(g303 +g2345 +tp19073 +a(g303 +g4183 +tp19074 +a(g303 +g960 +tp19075 +a(g624 +g1666 +tp19076 +a(g303 +g960 +tp19077 +a(g303 +g1989 +tp19078 +a(g303 +g4183 +tp19079 +a(g303 +g2873 +tp19080 +a(g303 +g960 +tp19081 +a(g647 +g1640 +tp19082 +a(g624 +g1069 +tp19083 +a(g303 +g960 +tp19084 +a(g303 +g1668 +tp19085 +a(g303 +g1425 +tp19086 +a(g303 +g1002 +tp19087 +a(g303 +g964 +tp19088 +a(g303 +g960 +tp19089 +a(g862 +V\u000a +p19090 +tp19091 +a(g8 +Vz1 +p19092 +tp19093 +a(g624 +V=. +p19094 +tp19095 +a(g862 +g958 +tp19096 +a(g303 +g960 +tp19097 +a(g303 +g1000 +tp19098 +a(g303 +g1002 +tp19099 +a(g303 +g992 +tp19100 +a(g303 +g962 +tp19101 +a(g303 +g1175 +tp19102 +a(g303 +g1154 +tp19103 +a(g303 +g1668 +tp19104 +a(g303 +g1151 +tp19105 +a(g303 +g1009 +tp19106 +a(g303 +g1000 +tp19107 +a(g303 +g1002 +tp19108 +a(g303 +g992 +tp19109 +a(g303 +g960 +tp19110 +a(g862 +V\u000a +p19111 +tp19112 +a(g132 +Vend. +p19113 +tp19114 +a(g862 +V\u000a +p19115 +tp19116 +a(g303 +g960 +tp19117 +a(g303 +g1005 +tp19118 +a(g303 +g968 +tp19119 +a(g303 +g958 +tp19120 +a(g303 +g964 +tp19121 +a(g303 +g960 +tp19122 +a(g624 +V=. +p19123 +tp19124 +a(g862 +g958 +tp19125 +a(g8 +Vhttpget_jpacman_ +p19126 +tp19127 +a(g862 +g958 +tp19128 +a(g303 +g960 +tp19129 +a(g303 +g1205 +tp19130 +a(g303 +g1154 +tp19131 +a(g303 +g1154 +tp19132 +a(g303 +g964 +tp19133 +a(g303 +g1060 +tp19134 +a(g303 +g1144 +tp19135 +a(g303 +g1144 +tp19136 +a(g303 +g1215 +tp19137 +a(g303 +g1215 +tp19138 +a(g303 +g1215 +tp19139 +a(g303 +g1668 +tp19140 +a(g303 +g962 +tp19141 +a(g303 +g995 +tp19142 +a(g303 +g1185 +tp19143 +a(g303 +g1325 +tp19144 +a(g303 +g1154 +tp19145 +a(g303 +g1215 +tp19146 +a(g303 +g966 +tp19147 +a(g303 +g1005 +tp19148 +a(g303 +g997 +tp19149 +a(g303 +g1668 +tp19150 +a(g303 +g968 +tp19151 +a(g303 +g1185 +tp19152 +a(g303 +g970 +tp19153 +a(g303 +g1144 +tp19154 +a(g303 +g1151 +tp19155 +a(g303 +g1185 +tp19156 +a(g303 +g1215 +tp19157 +a(g303 +g973 +tp19158 +a(g303 +g1000 +tp19159 +a(g303 +g1185 +tp19160 +a(g303 +g966 +tp19161 +a(g303 +g1151 +tp19162 +a(g303 +g1144 +tp19163 +a(g303 +g962 +tp19164 +a(g303 +g2345 +tp19165 +a(g303 +g1019 +tp19166 +a(g303 +g1371 +tp19167 +a(g303 +g1144 +tp19168 +a(g303 +g1175 +tp19169 +a(g303 +g1154 +tp19170 +a(g303 +g1002 +tp19171 +a(g303 +g1151 +tp19172 +a(g303 +g997 +tp19173 +a(g303 +g1144 +tp19174 +a(g303 +g960 +tp19175 +a(g624 +g1069 +tp19176 +a(g8 +g1425 +tp19177 +a(g862 +V\u000a +p19178 +tp19179 +a(g132 +Vif. +p19180 +tp19181 +a(g862 +g958 +tp19182 +a(g8 +Vrc +p19183 +tp19184 +a(g862 +g958 +tp19185 +a(g132 +Vdo. +p19186 +tp19187 +a(g862 +V\u000a +p19188 +tp19189 +a(g8 +Vsmoutput +p19190 +tp19191 +a(g862 +g958 +tp19192 +a(g303 +g960 +tp19193 +a(g303 +g1260 +tp19194 +a(g303 +g973 +tp19195 +a(g303 +g966 +tp19196 +a(g303 +g992 +tp19197 +a(g303 +g1000 +tp19198 +a(g303 +g997 +tp19199 +a(g303 +g958 +tp19200 +a(g303 +g1154 +tp19201 +a(g303 +g1185 +tp19202 +a(g303 +g958 +tp19203 +a(g303 +g1151 +tp19204 +a(g303 +g1185 +tp19205 +a(g303 +g1215 +tp19206 +a(g303 +g973 +tp19207 +a(g303 +g1000 +tp19208 +a(g303 +g1185 +tp19209 +a(g303 +g966 +tp19210 +a(g303 +g1151 +tp19211 +a(g303 +g1060 +tp19212 +a(g303 +g958 +tp19213 +a(g303 +g960 +tp19214 +a(g624 +g1069 +tp19215 +a(g8 +g1425 +tp19216 +a(g862 +g958 +tp19217 +a(g132 +Vreturn. +p19218 +tp19219 +a(g862 +V\u000a +p19220 +tp19221 +a(g132 +Vend. +p19222 +tp19223 +a(g862 +V\u000a +p19224 +tp19225 +a(g8 +g1151 +tp19226 +a(g624 +V=. +p19227 +tp19228 +a(g862 +g958 +tp19229 +a(g8 +Vjpath +p19230 +tp19231 +a(g862 +g958 +tp19232 +a(g303 +g960 +tp19233 +a(g303 +g1857 +tp19234 +a(g303 +g992 +tp19235 +a(g303 +g1002 +tp19236 +a(g303 +g973 +tp19237 +a(g303 +g960 +tp19238 +a(g862 +V\u000a +p19239 +tp19240 +a(g132 +Vif. +p19241 +tp19242 +a(g862 +g958 +tp19243 +a(g8 +VIFWIN +p19244 +tp19245 +a(g862 +g958 +tp19246 +a(g132 +Vdo. +p19247 +tp19248 +a(g862 +V\u000a +p19249 +tp19250 +a(g8 +Vunzip_jpacman_ +p19251 +tp19252 +a(g862 +g958 +tp19253 +a(g8 +g964 +tp19254 +a(g624 +g1666 +tp19255 +a(g8 +g1151 +tp19256 +a(g862 +V\u000a +p19257 +tp19258 +a(g132 +Velse. +p19259 +tp19260 +a(g862 +V\u000a +p19261 +tp19262 +a(g132 +Vif. +p19263 +tp19264 +a(g862 +g958 +tp19265 +a(g303 +g960 +tp19266 +a(g303 +g1786 +tp19267 +a(g303 +g1002 +tp19268 +a(g303 +g973 +tp19269 +a(g303 +g1260 +tp19270 +a(g303 +g1989 +tp19271 +a(g303 +g960 +tp19272 +a(g624 +g1712 +tp19273 +a(g624 +g1060 +tp19274 +a(g8 +VUNAME +p19275 +tp19276 +a(g862 +g958 +tp19277 +a(g132 +Vdo. +p19278 +tp19279 +a(g862 +V\u000a +p19280 +tp19281 +a(g132 +Vif. +p19282 +tp19283 +a(g862 +g958 +tp19284 +a(g647 +g2312 +tp19285 +a(g252 +g1019 +tp19286 +a(g624 +g1857 +tp19287 +a(g624 +g1060 +tp19288 +a(g8 +VFHS +p19289 +tp19290 +a(g647 +g1640 +tp19291 +a(g862 +g958 +tp19292 +a(g132 +Vdo. +p19293 +tp19294 +a(g862 +V\u000a +p19295 +tp19296 +a(g132 +Vif. +p19297 +tp19298 +a(g862 +g958 +tp19299 +a(g8 +VIFRASPI +p19300 +tp19301 +a(g862 +g958 +tp19302 +a(g132 +Vdo. +p19303 +tp19304 +a(g862 +V\u000a +p19305 +tp19306 +a(g8 +Vd1 +p19307 +tp19308 +a(g624 +V=. +p19309 +tp19310 +a(g862 +g958 +tp19311 +a(g303 +g960 +tp19312 +a(g303 +g1144 +tp19313 +a(g303 +g1260 +tp19314 +a(g303 +g995 +tp19315 +a(g303 +g1005 +tp19316 +a(g303 +g1144 +tp19317 +a(g303 +g1000 +tp19318 +a(g303 +g1002 +tp19319 +a(g303 +g992 +tp19320 +a(g303 +g1144 +tp19321 +a(g303 +g966 +tp19322 +a(g303 +g1005 +tp19323 +a(g303 +g970 +tp19324 +a(g303 +g1712 +tp19325 +a(g303 +g1000 +tp19326 +a(g303 +g1002 +tp19327 +a(g303 +g973 +tp19328 +a(g303 +g1260 +tp19329 +a(g303 +g1989 +tp19330 +a(g303 +g1712 +tp19331 +a(g303 +g1094 +tp19332 +a(g303 +g973 +tp19333 +a(g303 +g1260 +tp19334 +a(g303 +g997 +tp19335 +a(g303 +g966 +tp19336 +a(g303 +g992 +tp19337 +a(g303 +g1002 +tp19338 +a(g303 +g1205 +tp19339 +a(g303 +g1325 +tp19340 +a(g303 +g1144 +tp19341 +a(g303 +g1668 +tp19342 +a(g303 +g960 +tp19343 +a(g862 +V\u000a +p19344 +tp19345 +a(g132 +Velseif. +p19346 +tp19347 +a(g862 +g958 +tp19348 +a(g8 +VIF64 +p19349 +tp19350 +a(g862 +g958 +tp19351 +a(g132 +Vdo. +p19352 +tp19353 +a(g862 +V\u000a +p19354 +tp19355 +a(g8 +Vd1 +p19356 +tp19357 +a(g624 +V=. +p19358 +tp19359 +a(g862 +g958 +tp19360 +a(g303 +g960 +tp19361 +a(g303 +g1144 +tp19362 +a(g303 +g1260 +tp19363 +a(g303 +g995 +tp19364 +a(g303 +g1005 +tp19365 +a(g303 +g1144 +tp19366 +a(g303 +g1000 +tp19367 +a(g303 +g1002 +tp19368 +a(g303 +g992 +tp19369 +a(g303 +g1144 +tp19370 +a(g303 +g1989 +tp19371 +a(g303 +g2345 +tp19372 +a(g303 +g4183 +tp19373 +a(g303 +g3034 +tp19374 +a(g303 +g4183 +tp19375 +a(g303 +g2873 +tp19376 +a(g303 +g1712 +tp19377 +a(g303 +g1000 +tp19378 +a(g303 +g1002 +tp19379 +a(g303 +g973 +tp19380 +a(g303 +g1260 +tp19381 +a(g303 +g1989 +tp19382 +a(g303 +g1712 +tp19383 +a(g303 +g1094 +tp19384 +a(g303 +g973 +tp19385 +a(g303 +g1260 +tp19386 +a(g303 +g1144 +tp19387 +a(g303 +g1668 +tp19388 +a(g303 +g960 +tp19389 +a(g862 +V\u000a +p19390 +tp19391 +a(g132 +Velseif. +p19392 +tp19393 +a(g862 +g958 +tp19394 +a(g132 +Vdo. +p19395 +tp19396 +a(g862 +V\u000a +p19397 +tp19398 +a(g8 +Vd1 +p19399 +tp19400 +a(g624 +V=. +p19401 +tp19402 +a(g862 +g958 +tp19403 +a(g303 +g960 +tp19404 +a(g303 +g1144 +tp19405 +a(g303 +g1260 +tp19406 +a(g303 +g995 +tp19407 +a(g303 +g1005 +tp19408 +a(g303 +g1144 +tp19409 +a(g303 +g1000 +tp19410 +a(g303 +g1002 +tp19411 +a(g303 +g992 +tp19412 +a(g303 +g1144 +tp19413 +a(g303 +g1002 +tp19414 +a(g303 +g1340 +tp19415 +a(g303 +g2345 +tp19416 +a(g303 +g4183 +tp19417 +a(g303 +g1712 +tp19418 +a(g303 +g1000 +tp19419 +a(g303 +g1002 +tp19420 +a(g303 +g973 +tp19421 +a(g303 +g1260 +tp19422 +a(g303 +g1989 +tp19423 +a(g303 +g1712 +tp19424 +a(g303 +g1094 +tp19425 +a(g303 +g973 +tp19426 +a(g303 +g1260 +tp19427 +a(g303 +g1144 +tp19428 +a(g303 +g1668 +tp19429 +a(g303 +g960 +tp19430 +a(g862 +V\u000a +p19431 +tp19432 +a(g132 +Vend. +p19433 +tp19434 +a(g862 +V\u000a +p19435 +tp19436 +a(g8 +Vhostcmd_jpacman_ +p19437 +tp19438 +a(g862 +g958 +tp19439 +a(g303 +g960 +tp19440 +a(g303 +g968 +tp19441 +a(g303 +g1151 +tp19442 +a(g303 +g958 +tp19443 +a(g303 +g1144 +tp19444 +a(g303 +g1260 +tp19445 +a(g303 +g995 +tp19446 +a(g303 +g1005 +tp19447 +a(g303 +g1144 +tp19448 +a(g303 +g992 +tp19449 +a(g303 +g1002 +tp19450 +a(g303 +g973 +tp19451 +a(g303 +g958 +tp19452 +a(g303 +g2320 +tp19453 +a(g303 +g2320 +tp19454 +a(g303 +g958 +tp19455 +a(g303 +g1154 +tp19456 +a(g303 +g966 +tp19457 +a(g303 +g1005 +tp19458 +a(g303 +g958 +tp19459 +a(g303 +g1712 +tp19460 +a(g303 +g1712 +tp19461 +a(g303 +g973 +tp19462 +a(g303 +g1185 +tp19463 +a(g303 +g1712 +tp19464 +a(g303 +g995 +tp19465 +a(g303 +g966 +tp19466 +a(g303 +g970 +tp19467 +a(g303 +g997 +tp19468 +a(g303 +g1712 +tp19469 +a(g303 +g1185 +tp19470 +a(g303 +g1215 +tp19471 +a(g303 +g973 +tp19472 +a(g303 +g997 +tp19473 +a(g303 +g1005 +tp19474 +a(g303 +g958 +tp19475 +a(g303 +g1712 +tp19476 +a(g303 +g1712 +tp19477 +a(g303 +g973 +tp19478 +a(g303 +g1185 +tp19479 +a(g303 +g1712 +tp19480 +a(g303 +g995 +tp19481 +a(g303 +g966 +tp19482 +a(g303 +g970 +tp19483 +a(g303 +g997 +tp19484 +a(g303 +g1712 +tp19485 +a(g303 +g964 +tp19486 +a(g303 +g997 +tp19487 +a(g303 +g1005 +tp19488 +a(g303 +g970 +tp19489 +a(g303 +g1002 +tp19490 +a(g303 +g995 +tp19491 +a(g303 +g995 +tp19492 +a(g303 +g1002 +tp19493 +a(g303 +g1185 +tp19494 +a(g303 +g973 +tp19495 +a(g303 +g995 +tp19496 +a(g303 +g958 +tp19497 +a(g303 +g1712 +tp19498 +a(g303 +g1989 +tp19499 +a(g303 +g1425 +tp19500 +a(g303 +g1325 +tp19501 +a(g303 +g958 +tp19502 +a(g303 +g960 +tp19503 +a(g624 +g1069 +tp19504 +a(g647 +g2312 +tp19505 +a(g8 +Vdquote +p19506 +tp19507 +a(g862 +g958 +tp19508 +a(g8 +g964 +tp19509 +a(g647 +g1640 +tp19510 +a(g624 +g1069 +tp19511 +a(g862 +g958 +tp19512 +a(g303 +g960 +tp19513 +a(g303 +g958 +tp19514 +a(g303 +g2320 +tp19515 +a(g303 +g2320 +tp19516 +a(g303 +g958 +tp19517 +a(g303 +g968 +tp19518 +a(g303 +g1205 +tp19519 +a(g303 +g970 +tp19520 +a(g303 +g1185 +tp19521 +a(g303 +g1151 +tp19522 +a(g303 +g958 +tp19523 +a(g303 +g1055 +tp19524 +a(g303 +g2918 +tp19525 +a(g303 +g2918 +tp19526 +a(g303 +g958 +tp19527 +a(g303 +g962 +tp19528 +a(g303 +g1175 +tp19529 +a(g303 +g1154 +tp19530 +a(g303 +g958 +tp19531 +a(g303 +g2320 +tp19532 +a(g303 +g2320 +tp19533 +a(g303 +g958 +tp19534 +a(g303 +g968 +tp19535 +a(g303 +g1205 +tp19536 +a(g303 +g970 +tp19537 +a(g303 +g1185 +tp19538 +a(g303 +g1151 +tp19539 +a(g303 +g958 +tp19540 +a(g303 +g4183 +tp19541 +a(g303 +g2873 +tp19542 +a(g303 +g2873 +tp19543 +a(g303 +g958 +tp19544 +a(g303 +g1000 +tp19545 +a(g303 +g1002 +tp19546 +a(g303 +g992 +tp19547 +a(g303 +g962 +tp19548 +a(g303 +g1175 +tp19549 +a(g303 +g1154 +tp19550 +a(g303 +g1668 +tp19551 +a(g303 +g995 +tp19552 +a(g303 +g1185 +tp19553 +a(g303 +g958 +tp19554 +a(g303 +g2320 +tp19555 +a(g303 +g2320 +tp19556 +a(g303 +g958 +tp19557 +a(g303 +g970 +tp19558 +a(g303 +g1354 +tp19559 +a(g303 +g958 +tp19560 +a(g303 +g1000 +tp19561 +a(g303 +g1002 +tp19562 +a(g303 +g992 +tp19563 +a(g303 +g962 +tp19564 +a(g303 +g1175 +tp19565 +a(g303 +g1154 +tp19566 +a(g303 +g1668 +tp19567 +a(g303 +g995 +tp19568 +a(g303 +g1185 +tp19569 +a(g303 +g958 +tp19570 +a(g303 +g960 +tp19571 +a(g624 +g1069 +tp19572 +a(g8 +Vd1 +p19573 +tp19574 +a(g862 +V\u000a +p19575 +tp19576 +a(g132 +Velse. +p19577 +tp19578 +a(g862 +V\u000a +p19579 +tp19580 +a(g8 +Vhostcmd_jpacman_ +p19581 +tp19582 +a(g862 +g958 +tp19583 +a(g303 +g960 +tp19584 +a(g303 +g968 +tp19585 +a(g303 +g1151 +tp19586 +a(g303 +g958 +tp19587 +a(g303 +g960 +tp19588 +a(g624 +g1069 +tp19589 +a(g647 +g2312 +tp19590 +a(g8 +Vdquote +p19591 +tp19592 +a(g862 +g958 +tp19593 +a(g8 +g1151 +tp19594 +a(g647 +g1640 +tp19595 +a(g624 +g1069 +tp19596 +a(g303 +g960 +tp19597 +a(g303 +g958 +tp19598 +a(g303 +g2320 +tp19599 +a(g303 +g2320 +tp19600 +a(g303 +g958 +tp19601 +a(g303 +g1154 +tp19602 +a(g303 +g966 +tp19603 +a(g303 +g1005 +tp19604 +a(g303 +g958 +tp19605 +a(g303 +g1989 +tp19606 +a(g303 +g1425 +tp19607 +a(g303 +g1325 +tp19608 +a(g303 +g958 +tp19609 +a(g303 +g960 +tp19610 +a(g624 +g1069 +tp19611 +a(g647 +g2312 +tp19612 +a(g8 +Vdquote +p19613 +tp19614 +a(g862 +g958 +tp19615 +a(g8 +g964 +tp19616 +a(g647 +g1640 +tp19617 +a(g862 +V\u000a +p19618 +tp19619 +a(g132 +Vend. +p19620 +tp19621 +a(g862 +V\u000a +p19622 +tp19623 +a(g132 +Velse. +p19624 +tp19625 +a(g862 +V\u000a +p19626 +tp19627 +a(g8 +Vhostcmd_jpacman_ +p19628 +tp19629 +a(g862 +g958 +tp19630 +a(g303 +g960 +tp19631 +a(g303 +g1260 +tp19632 +a(g303 +g973 +tp19633 +a(g303 +g1425 +tp19634 +a(g303 +g1002 +tp19635 +a(g303 +g964 +tp19636 +a(g303 +g958 +tp19637 +a(g303 +g1712 +tp19638 +a(g303 +g1185 +tp19639 +a(g303 +g958 +tp19640 +a(g303 +g960 +tp19641 +a(g624 +g1069 +tp19642 +a(g647 +g2312 +tp19643 +a(g8 +Vdquote +p19644 +tp19645 +a(g862 +g958 +tp19646 +a(g8 +g964 +tp19647 +a(g647 +g1640 +tp19648 +a(g624 +g1069 +tp19649 +a(g303 +g960 +tp19650 +a(g303 +g958 +tp19651 +a(g303 +g1712 +tp19652 +a(g303 +g1151 +tp19653 +a(g303 +g958 +tp19654 +a(g303 +g960 +tp19655 +a(g624 +g1069 +tp19656 +a(g8 +Vdquote +p19657 +tp19658 +a(g862 +g958 +tp19659 +a(g8 +g1151 +tp19660 +a(g862 +V\u000a +p19661 +tp19662 +a(g132 +Vend. +p19663 +tp19664 +a(g862 +V\u000a +p19665 +tp19666 +a(g132 +Vend. +p19667 +tp19668 +a(g862 +V\u000a +p19669 +tp19670 +a(g8 +Vferase +p19671 +tp19672 +a(g862 +g958 +tp19673 +a(g8 +g964 +tp19674 +a(g862 +V\u000a +p19675 +tp19676 +a(g132 +Vif. +p19677 +tp19678 +a(g862 +g958 +tp19679 +a(g624 +g1855 +tp19680 +a(g252 +g1860 +tp19681 +a(g624 +g2329 +tp19682 +a(g624 +g1060 +tp19683 +a(g252 +g1019 +tp19684 +a(g862 +g958 +tp19685 +a(g647 +g2312 +tp19686 +a(g647 +g2312 +tp19687 +a(g252 +g1019 +tp19688 +a(g624 +g1857 +tp19689 +a(g624 +g1060 +tp19690 +a(g8 +VFHS +p19691 +tp19692 +a(g647 +g1640 +tp19693 +a(g624 +g2870 +tp19694 +a(g624 +g1668 +tp19695 +a(g303 +g960 +tp19696 +a(g303 +g1786 +tp19697 +a(g303 +g1002 +tp19698 +a(g303 +g973 +tp19699 +a(g303 +g1260 +tp19700 +a(g303 +g1989 +tp19701 +a(g303 +g960 +tp19702 +a(g624 +g1712 +tp19703 +a(g624 +g1060 +tp19704 +a(g8 +VUNAME +p19705 +tp19706 +a(g647 +g1640 +tp19707 +a(g624 +g2314 +tp19708 +a(g624 +g1060 +tp19709 +a(g624 +g1060 +tp19710 +a(g647 +g2312 +tp19711 +a(g8 +Vjpath +p19712 +tp19713 +a(g862 +g958 +tp19714 +a(g303 +g960 +tp19715 +a(g303 +g1857 +tp19716 +a(g303 +g992 +tp19717 +a(g303 +g1002 +tp19718 +a(g303 +g973 +tp19719 +a(g303 +g1144 +tp19720 +a(g303 +g960 +tp19721 +a(g624 +g1069 +tp19722 +a(g8 +Vz1 +p19723 +tp19724 +a(g647 +g1640 +tp19725 +a(g624 +g1666 +tp19726 +a(g303 +g960 +tp19727 +a(g303 +g1144 +tp19728 +a(g303 +g1260 +tp19729 +a(g303 +g995 +tp19730 +a(g303 +g1005 +tp19731 +a(g303 +g1144 +tp19732 +a(g303 +g992 +tp19733 +a(g303 +g1002 +tp19734 +a(g303 +g973 +tp19735 +a(g303 +g1144 +tp19736 +a(g303 +g962 +tp19737 +a(g303 +g1175 +tp19738 +a(g303 +g1154 +tp19739 +a(g303 +g960 +tp19740 +a(g862 +g958 +tp19741 +a(g132 +Vdo. +p19742 +tp19743 +a(g862 +V\u000a +p19744 +tp19745 +a(g28 +g970 +tp19746 +a(g624 +V=. +p19747 +tp19748 +a(g862 +g958 +tp19749 +a(g303 +g960 +tp19750 +a(g303 +g2777 +tp19751 +a(g303 +g1002 +tp19752 +a(g303 +g973 +tp19753 +a(g303 +g1002 +tp19754 +a(g303 +g995 +tp19755 +a(g303 +g1205 +tp19756 +a(g303 +g997 +tp19757 +a(g303 +g1151 +tp19758 +a(g303 +g958 +tp19759 +a(g303 +g1002 +tp19760 +a(g303 +g973 +tp19761 +a(g303 +g995 +tp19762 +a(g303 +g1154 +tp19763 +a(g303 +g966 +tp19764 +a(g303 +g1000 +tp19765 +a(g303 +g1000 +tp19766 +a(g303 +g958 +tp19767 +a(g303 +g1185 +tp19768 +a(g303 +g1325 +tp19769 +a(g303 +g958 +tp19770 +a(g303 +g2649 +tp19771 +a(g303 +g18747 +tp19772 +a(g303 +g1154 +tp19773 +a(g303 +g958 +tp19774 +a(g303 +g992 +tp19775 +a(g303 +g1002 +tp19776 +a(g303 +g973 +tp19777 +a(g303 +g966 +tp19778 +a(g303 +g1005 +tp19779 +a(g303 +g1002 +tp19780 +a(g303 +g997 +tp19781 +a(g303 +g995 +tp19782 +a(g303 +g1668 +tp19783 +a(g303 +g960 +tp19784 +a(g862 +V\u000a +p19785 +tp19786 +a(g132 +Velse. +p19787 +tp19788 +a(g862 +V\u000a +p19789 +tp19790 +a(g28 +g970 +tp19791 +a(g624 +V=. +p19792 +tp19793 +a(g862 +g958 +tp19794 +a(g303 +g960 +tp19795 +a(g303 +g1800 +tp19796 +a(g303 +g973 +tp19797 +a(g303 +g966 +tp19798 +a(g303 +g992 +tp19799 +a(g303 +g1000 +tp19800 +a(g303 +g997 +tp19801 +a(g303 +g958 +tp19802 +a(g303 +g1154 +tp19803 +a(g303 +g1185 +tp19804 +a(g303 +g958 +tp19805 +a(g303 +g1002 +tp19806 +a(g303 +g973 +tp19807 +a(g303 +g995 +tp19808 +a(g303 +g1154 +tp19809 +a(g303 +g966 +tp19810 +a(g303 +g1000 +tp19811 +a(g303 +g1000 +tp19812 +a(g303 +g958 +tp19813 +a(g303 +g2649 +tp19814 +a(g303 +g18747 +tp19815 +a(g303 +g1154 +tp19816 +a(g303 +g958 +tp19817 +a(g303 +g992 +tp19818 +a(g303 +g1002 +tp19819 +a(g303 +g973 +tp19820 +a(g303 +g966 +tp19821 +a(g303 +g1005 +tp19822 +a(g303 +g1002 +tp19823 +a(g303 +g997 +tp19824 +a(g303 +g995 +tp19825 +a(g303 +g1668 +tp19826 +a(g303 +g960 +tp19827 +a(g624 +g1069 +tp19828 +a(g8 +VLF +p19829 +tp19830 +a(g862 +V\u000a +p19831 +tp19832 +a(g28 +g970 +tp19833 +a(g624 +V=. +p19834 +tp19835 +a(g862 +g958 +tp19836 +a(g28 +g970 +tp19837 +a(g624 +g1069 +tp19838 +a(g303 +g960 +tp19839 +a(g303 +g968 +tp19840 +a(g303 +g1205 +tp19841 +a(g303 +g997 +tp19842 +a(g303 +g968 +tp19843 +a(g303 +g1091 +tp19844 +a(g303 +g958 +tp19845 +a(g303 +g1154 +tp19846 +a(g303 +g1205 +tp19847 +a(g303 +g966 +tp19848 +a(g303 +g1154 +tp19849 +a(g303 +g958 +tp19850 +a(g303 +g1009 +tp19851 +a(g303 +g1185 +tp19852 +a(g303 +g1260 +tp19853 +a(g303 +g958 +tp19854 +a(g303 +g1205 +tp19855 +a(g303 +g966 +tp19856 +a(g303 +g1354 +tp19857 +a(g303 +g997 +tp19858 +a(g303 +g958 +tp19859 +a(g303 +g1215 +tp19860 +a(g303 +g1005 +tp19861 +a(g303 +g1002 +tp19862 +a(g303 +g1154 +tp19863 +a(g303 +g997 +tp19864 +a(g303 +g958 +tp19865 +a(g303 +g964 +tp19866 +a(g303 +g997 +tp19867 +a(g303 +g1005 +tp19868 +a(g303 +g970 +tp19869 +a(g303 +g1002 +tp19870 +a(g303 +g995 +tp19871 +a(g303 +g995 +tp19872 +a(g303 +g1002 +tp19873 +a(g303 +g1185 +tp19874 +a(g303 +g973 +tp19875 +a(g303 +g958 +tp19876 +a(g303 +g1325 +tp19877 +a(g303 +g1185 +tp19878 +a(g303 +g1005 +tp19879 +a(g303 +g1060 +tp19880 +a(g303 +g958 +tp19881 +a(g303 +g960 +tp19882 +a(g624 +g1069 +tp19883 +a(g8 +VLF +p19884 +tp19885 +a(g624 +g1069 +tp19886 +a(g647 +g2312 +tp19887 +a(g647 +g2312 +tp19888 +a(g252 +g1019 +tp19889 +a(g624 +g1857 +tp19890 +a(g624 +g1060 +tp19891 +a(g8 +VFHS +p19892 +tp19893 +a(g647 +g1640 +tp19894 +a(g624 +g2870 +tp19895 +a(g624 +g1668 +tp19896 +a(g303 +g960 +tp19897 +a(g303 +g1786 +tp19898 +a(g303 +g1002 +tp19899 +a(g303 +g973 +tp19900 +a(g303 +g1260 +tp19901 +a(g303 +g1989 +tp19902 +a(g303 +g960 +tp19903 +a(g624 +g1712 +tp19904 +a(g624 +g1060 +tp19905 +a(g8 +VUNAME +p19906 +tp19907 +a(g647 +g1640 +tp19908 +a(g624 +g2314 +tp19909 +a(g624 +g1060 +tp19910 +a(g624 +g1060 +tp19911 +a(g647 +g2312 +tp19912 +a(g8 +Vjpath +p19913 +tp19914 +a(g862 +g958 +tp19915 +a(g303 +g960 +tp19916 +a(g303 +g1857 +tp19917 +a(g303 +g992 +tp19918 +a(g303 +g1002 +tp19919 +a(g303 +g973 +tp19920 +a(g303 +g960 +tp19921 +a(g647 +g1640 +tp19922 +a(g624 +g1666 +tp19923 +a(g303 +g960 +tp19924 +a(g303 +g1144 +tp19925 +a(g303 +g1260 +tp19926 +a(g303 +g995 +tp19927 +a(g303 +g1005 +tp19928 +a(g303 +g1144 +tp19929 +a(g303 +g992 +tp19930 +a(g303 +g1002 +tp19931 +a(g303 +g973 +tp19932 +a(g303 +g960 +tp19933 +a(g862 +V\u000a +p19934 +tp19935 +a(g132 +Vend. +p19936 +tp19937 +a(g862 +V\u000a +p19938 +tp19939 +a(g8 +Vsmoutput +p19940 +tp19941 +a(g862 +g958 +tp19942 +a(g28 +g970 +tp19943 +a(g862 +V\u000a +p19944 +tp19945 +a(g132 +Vif. +p19946 +tp19947 +a(g862 +g958 +tp19948 +a(g303 +g960 +tp19949 +a(g303 +g1786 +tp19950 +a(g303 +g1002 +tp19951 +a(g303 +g973 +tp19952 +a(g303 +g1260 +tp19953 +a(g303 +g1989 +tp19954 +a(g303 +g960 +tp19955 +a(g624 +g1712 +tp19956 +a(g624 +g1060 +tp19957 +a(g8 +VUNAME +p19958 +tp19959 +a(g862 +g958 +tp19960 +a(g132 +Vdo. +p19961 +tp19962 +a(g862 +g958 +tp19963 +a(g132 +Vreturn. +p19964 +tp19965 +a(g862 +g958 +tp19966 +a(g132 +Vend. +p19967 +tp19968 +a(g862 +V\u000a\u000a +p19969 +tp19970 +a(g8 +Vtgt +p19971 +tp19972 +a(g624 +V=. +p19973 +tp19974 +a(g862 +g958 +tp19975 +a(g8 +Vjpath +p19976 +tp19977 +a(g862 +g958 +tp19978 +a(g8 +VIFWIN +p19979 +tp19980 +a(g624 +g2314 +tp19981 +a(g624 +g1060 +tp19982 +a(g624 +g1060 +tp19983 +a(g303 +g960 +tp19984 +a(g303 +g1857 +tp19985 +a(g303 +g1002 +tp19986 +a(g303 +g973 +tp19987 +a(g303 +g995 +tp19988 +a(g303 +g1154 +tp19989 +a(g303 +g966 +tp19990 +a(g303 +g1000 +tp19991 +a(g303 +g1000 +tp19992 +a(g303 +g1144 +tp19993 +a(g303 +g18747 +tp19994 +a(g303 +g1154 +tp19995 +a(g303 +g960 +tp19996 +a(g624 +g1666 +tp19997 +a(g303 +g960 +tp19998 +a(g303 +g1857 +tp19999 +a(g303 +g992 +tp20000 +a(g303 +g1002 +tp20001 +a(g303 +g973 +tp20002 +a(g303 +g1144 +tp20003 +a(g303 +g18747 +tp20004 +a(g303 +g1154 +tp20005 +a(g303 +g2918 +tp20006 +a(g303 +g2199 +tp20007 +a(g303 +g1185 +tp20008 +a(g303 +g1005 +tp20009 +a(g303 +g997 +tp20010 +a(g303 +g1668 +tp20011 +a(g303 +g1151 +tp20012 +a(g303 +g1000 +tp20013 +a(g303 +g1000 +tp20014 +a(g303 +g960 +tp20015 +a(g862 +V\u000a +p20016 +tp20017 +a(g28 +g1009 +tp20018 +a(g624 +V=. +p20019 +tp20020 +a(g862 +g958 +tp20021 +a(g647 +g2312 +tp20022 +a(g624 +g2870 +tp20023 +a(g624 +g1855 +tp20024 +a(g28 +g1009 +tp20025 +a(g647 +g1640 +tp20026 +a(g624 +g2314 +tp20027 +a(g624 +g1060 +tp20028 +a(g624 +g1060 +tp20029 +a(g252 +g1019 +tp20030 +a(g624 +g1666 +tp20031 +a(g28 +g1009 +tp20032 +a(g862 +V\u000a +p20033 +tp20034 +a(g8 +Vsmoutput +p20035 +tp20036 +a(g862 +g958 +tp20037 +a(g303 +g960 +tp20038 +a(g303 +g3090 +tp20039 +a(g303 +g973 +tp20040 +a(g303 +g995 +tp20041 +a(g303 +g1154 +tp20042 +a(g303 +g966 +tp20043 +a(g303 +g1000 +tp20044 +a(g303 +g1000 +tp20045 +a(g303 +g1002 +tp20046 +a(g303 +g973 +tp20047 +a(g303 +g1094 +tp20048 +a(g303 +g958 +tp20049 +a(g303 +g18747 +tp20050 +a(g303 +g1154 +tp20051 +a(g303 +g958 +tp20052 +a(g303 +g1000 +tp20053 +a(g303 +g1002 +tp20054 +a(g303 +g992 +tp20055 +a(g303 +g1005 +tp20056 +a(g303 +g966 +tp20057 +a(g303 +g1005 +tp20058 +a(g303 +g1009 +tp20059 +a(g303 +g1668 +tp20060 +a(g303 +g1668 +tp20061 +a(g303 +g1668 +tp20062 +a(g303 +g960 +tp20063 +a(g862 +V\u000a +p20064 +tp20065 +a(g132 +Vif. +p20066 +tp20067 +a(g862 +g958 +tp20068 +a(g8 +VIFWIN +p20069 +tp20070 +a(g862 +g958 +tp20071 +a(g132 +Vdo. +p20072 +tp20073 +a(g862 +V\u000a +p20074 +tp20075 +a(g8 +g1425 +tp20076 +a(g624 +V=. +p20077 +tp20078 +a(g862 +g958 +tp20079 +a(g303 +g960 +tp20080 +a(g303 +g1175 +tp20081 +a(g303 +g1154 +tp20082 +a(g303 +g2918 +tp20083 +a(g303 +g1340 +tp20084 +a(g303 +g1712 +tp20085 +a(g303 +g960 +tp20086 +a(g624 +g1069 +tp20087 +a(g647 +g2312 +tp20088 +a(g647 +g2312 +tp20089 +a(g28 +g1009 +tp20090 +a(g624 +g1712 +tp20091 +a(g624 +g1060 +tp20092 +a(g303 +g960 +tp20093 +a(g303 +g966 +tp20094 +a(g303 +g973 +tp20095 +a(g303 +g1094 +tp20096 +a(g303 +g1000 +tp20097 +a(g303 +g997 +tp20098 +a(g303 +g960 +tp20099 +a(g647 +g1640 +tp20100 +a(g862 +g958 +tp20101 +a(g8 +Vpick +p20102 +tp20103 +a(g862 +g958 +tp20104 +a(g303 +g960 +tp20105 +a(g303 +g1215 +tp20106 +a(g303 +g1002 +tp20107 +a(g303 +g973 +tp20108 +a(g303 +g960 +tp20109 +a(g624 +g1666 +tp20110 +a(g303 +g960 +tp20111 +a(g303 +g966 +tp20112 +a(g303 +g973 +tp20113 +a(g303 +g1094 +tp20114 +a(g303 +g1000 +tp20115 +a(g303 +g997 +tp20116 +a(g303 +g960 +tp20117 +a(g647 +g1640 +tp20118 +a(g624 +g1069 +tp20119 +a(g303 +g960 +tp20120 +a(g303 +g1712 +tp20121 +a(g303 +g960 +tp20122 +a(g624 +g1069 +tp20123 +a(g647 +g2312 +tp20124 +a(g647 +g2312 +tp20125 +a(g28 +g1009 +tp20126 +a(g624 +g1712 +tp20127 +a(g624 +g1060 +tp20128 +a(g303 +g960 +tp20129 +a(g303 +g995 +tp20130 +a(g303 +g1000 +tp20131 +a(g303 +g1002 +tp20132 +a(g303 +g970 +tp20133 +a(g303 +g960 +tp20134 +a(g647 +g1640 +tp20135 +a(g624 +g1855 +tp20136 +a(g303 +g960 +tp20137 +a(g303 +g995 +tp20138 +a(g303 +g1000 +tp20139 +a(g303 +g1002 +tp20140 +a(g303 +g970 +tp20141 +a(g303 +g1712 +tp20142 +a(g303 +g960 +tp20143 +a(g647 +g1640 +tp20144 +a(g624 +g1069 +tp20145 +a(g647 +g2312 +tp20146 +a(g8 +VIF64 +p20147 +tp20148 +a(g862 +g958 +tp20149 +a(g8 +Vpick +p20150 +tp20151 +a(g862 +g958 +tp20152 +a(g303 +g960 +tp20153 +a(g303 +g1989 +tp20154 +a(g303 +g2345 +tp20155 +a(g303 +g4183 +tp20156 +a(g303 +g960 +tp20157 +a(g624 +g1666 +tp20158 +a(g303 +g960 +tp20159 +a(g303 +g1989 +tp20160 +a(g303 +g4183 +tp20161 +a(g303 +g2873 +tp20162 +a(g303 +g960 +tp20163 +a(g647 +g1640 +tp20164 +a(g624 +g1069 +tp20165 +a(g303 +g960 +tp20166 +a(g303 +g1668 +tp20167 +a(g303 +g1425 +tp20168 +a(g303 +g1002 +tp20169 +a(g303 +g964 +tp20170 +a(g303 +g960 +tp20171 +a(g862 +V\u000a +p20172 +tp20173 +a(g132 +Velse. +p20174 +tp20175 +a(g862 +V\u000a +p20176 +tp20177 +a(g8 +g1425 +tp20178 +a(g624 +V=. +p20179 +tp20180 +a(g862 +g958 +tp20181 +a(g303 +g960 +tp20182 +a(g303 +g1175 +tp20183 +a(g303 +g1154 +tp20184 +a(g303 +g2918 +tp20185 +a(g303 +g1340 +tp20186 +a(g303 +g1712 +tp20187 +a(g303 +g970 +tp20188 +a(g303 +g966 +tp20189 +a(g303 +g968 +tp20190 +a(g303 +g1712 +tp20191 +a(g303 +g960 +tp20192 +a(g624 +g1069 +tp20193 +a(g647 +g2312 +tp20194 +a(g647 +g2312 +tp20195 +a(g28 +g1009 +tp20196 +a(g624 +g1712 +tp20197 +a(g624 +g1060 +tp20198 +a(g303 +g960 +tp20199 +a(g303 +g995 +tp20200 +a(g303 +g1000 +tp20201 +a(g303 +g1002 +tp20202 +a(g303 +g970 +tp20203 +a(g303 +g960 +tp20204 +a(g647 +g1640 +tp20205 +a(g624 +g1855 +tp20206 +a(g303 +g960 +tp20207 +a(g303 +g995 +tp20208 +a(g303 +g1000 +tp20209 +a(g303 +g1002 +tp20210 +a(g303 +g970 +tp20211 +a(g303 +g1712 +tp20212 +a(g303 +g960 +tp20213 +a(g647 +g1640 +tp20214 +a(g624 +g1069 +tp20215 +a(g647 +g2312 +tp20216 +a(g8 +VIF64 +p20217 +tp20218 +a(g862 +g958 +tp20219 +a(g8 +Vpick +p20220 +tp20221 +a(g862 +g958 +tp20222 +a(g303 +g960 +tp20223 +a(g303 +g1989 +tp20224 +a(g303 +g2345 +tp20225 +a(g303 +g4183 +tp20226 +a(g303 +g960 +tp20227 +a(g624 +g1666 +tp20228 +a(g303 +g960 +tp20229 +a(g303 +g1989 +tp20230 +a(g303 +g4183 +tp20231 +a(g303 +g2873 +tp20232 +a(g303 +g960 +tp20233 +a(g647 +g1640 +tp20234 +a(g624 +g1069 +tp20235 +a(g303 +g960 +tp20236 +a(g303 +g1668 +tp20237 +a(g303 +g1425 +tp20238 +a(g303 +g1002 +tp20239 +a(g303 +g964 +tp20240 +a(g303 +g960 +tp20241 +a(g862 +V\u000a +p20242 +tp20243 +a(g132 +Vend. +p20244 +tp20245 +a(g862 +V\u000a +p20246 +tp20247 +a(g303 +g960 +tp20248 +a(g303 +g1005 +tp20249 +a(g303 +g968 +tp20250 +a(g303 +g958 +tp20251 +a(g303 +g964 +tp20252 +a(g303 +g960 +tp20253 +a(g624 +V=. +p20254 +tp20255 +a(g862 +g958 +tp20256 +a(g8 +Vhttpget_jpacman_ +p20257 +tp20258 +a(g862 +g958 +tp20259 +a(g303 +g960 +tp20260 +a(g303 +g1205 +tp20261 +a(g303 +g1154 +tp20262 +a(g303 +g1154 +tp20263 +a(g303 +g964 +tp20264 +a(g303 +g1060 +tp20265 +a(g303 +g1144 +tp20266 +a(g303 +g1144 +tp20267 +a(g303 +g1215 +tp20268 +a(g303 +g1215 +tp20269 +a(g303 +g1215 +tp20270 +a(g303 +g1668 +tp20271 +a(g303 +g962 +tp20272 +a(g303 +g995 +tp20273 +a(g303 +g1185 +tp20274 +a(g303 +g1325 +tp20275 +a(g303 +g1154 +tp20276 +a(g303 +g1215 +tp20277 +a(g303 +g966 +tp20278 +a(g303 +g1005 +tp20279 +a(g303 +g997 +tp20280 +a(g303 +g1668 +tp20281 +a(g303 +g968 +tp20282 +a(g303 +g1185 +tp20283 +a(g303 +g970 +tp20284 +a(g303 +g1144 +tp20285 +a(g303 +g1151 +tp20286 +a(g303 +g1185 +tp20287 +a(g303 +g1215 +tp20288 +a(g303 +g973 +tp20289 +a(g303 +g1000 +tp20290 +a(g303 +g1185 +tp20291 +a(g303 +g966 +tp20292 +a(g303 +g1151 +tp20293 +a(g303 +g1144 +tp20294 +a(g303 +g962 +tp20295 +a(g303 +g2345 +tp20296 +a(g303 +g1019 +tp20297 +a(g303 +g1371 +tp20298 +a(g303 +g1144 +tp20299 +a(g303 +g1175 +tp20300 +a(g303 +g1154 +tp20301 +a(g303 +g1000 +tp20302 +a(g303 +g1002 +tp20303 +a(g303 +g992 +tp20304 +a(g303 +g1144 +tp20305 +a(g303 +g960 +tp20306 +a(g624 +g1069 +tp20307 +a(g8 +g1425 +tp20308 +a(g862 +V\u000a +p20309 +tp20310 +a(g132 +Vif. +p20311 +tp20312 +a(g862 +g958 +tp20313 +a(g8 +Vrc +p20314 +tp20315 +a(g862 +g958 +tp20316 +a(g132 +Vdo. +p20317 +tp20318 +a(g862 +V\u000a +p20319 +tp20320 +a(g8 +Vsmoutput +p20321 +tp20322 +a(g862 +g958 +tp20323 +a(g303 +g960 +tp20324 +a(g303 +g1260 +tp20325 +a(g303 +g973 +tp20326 +a(g303 +g966 +tp20327 +a(g303 +g992 +tp20328 +a(g303 +g1000 +tp20329 +a(g303 +g997 +tp20330 +a(g303 +g958 +tp20331 +a(g303 +g1154 +tp20332 +a(g303 +g1185 +tp20333 +a(g303 +g958 +tp20334 +a(g303 +g1151 +tp20335 +a(g303 +g1185 +tp20336 +a(g303 +g1215 +tp20337 +a(g303 +g973 +tp20338 +a(g303 +g1000 +tp20339 +a(g303 +g1185 +tp20340 +a(g303 +g966 +tp20341 +a(g303 +g1151 +tp20342 +a(g303 +g1060 +tp20343 +a(g303 +g958 +tp20344 +a(g303 +g960 +tp20345 +a(g624 +g1069 +tp20346 +a(g8 +g1425 +tp20347 +a(g862 +g958 +tp20348 +a(g132 +Vreturn. +p20349 +tp20350 +a(g862 +V\u000a +p20351 +tp20352 +a(g132 +Vend. +p20353 +tp20354 +a(g862 +V\u000a +p20355 +tp20356 +a(g8 +g1151 +tp20357 +a(g624 +V=. +p20358 +tp20359 +a(g862 +g958 +tp20360 +a(g8 +Vjpath +p20361 +tp20362 +a(g862 +g958 +tp20363 +a(g8 +VIFWIN +p20364 +tp20365 +a(g624 +g2314 +tp20366 +a(g624 +g1060 +tp20367 +a(g624 +g1060 +tp20368 +a(g303 +g960 +tp20369 +a(g303 +g1857 +tp20370 +a(g303 +g1002 +tp20371 +a(g303 +g973 +tp20372 +a(g303 +g995 +tp20373 +a(g303 +g1154 +tp20374 +a(g303 +g966 +tp20375 +a(g303 +g1000 +tp20376 +a(g303 +g1000 +tp20377 +a(g303 +g960 +tp20378 +a(g624 +g1666 +tp20379 +a(g303 +g960 +tp20380 +a(g303 +g1857 +tp20381 +a(g303 +g992 +tp20382 +a(g303 +g1002 +tp20383 +a(g303 +g973 +tp20384 +a(g303 +g960 +tp20385 +a(g862 +V\u000a +p20386 +tp20387 +a(g132 +Vif. +p20388 +tp20389 +a(g862 +g958 +tp20390 +a(g8 +VIFWIN +p20391 +tp20392 +a(g862 +g958 +tp20393 +a(g132 +Vdo. +p20394 +tp20395 +a(g862 +V\u000a +p20396 +tp20397 +a(g8 +Vunzip_jpacman_ +p20398 +tp20399 +a(g862 +g958 +tp20400 +a(g8 +g964 +tp20401 +a(g624 +g1666 +tp20402 +a(g8 +g1151 +tp20403 +a(g862 +V\u000a +p20404 +tp20405 +a(g132 +Velse. +p20406 +tp20407 +a(g862 +V\u000a +p20408 +tp20409 +a(g8 +Vhostcmd_jpacman_ +p20410 +tp20411 +a(g862 +g958 +tp20412 +a(g303 +g960 +tp20413 +a(g303 +g1260 +tp20414 +a(g303 +g973 +tp20415 +a(g303 +g1425 +tp20416 +a(g303 +g1002 +tp20417 +a(g303 +g964 +tp20418 +a(g303 +g958 +tp20419 +a(g303 +g1712 +tp20420 +a(g303 +g1185 +tp20421 +a(g303 +g958 +tp20422 +a(g303 +g960 +tp20423 +a(g624 +g1069 +tp20424 +a(g647 +g2312 +tp20425 +a(g8 +Vdquote +p20426 +tp20427 +a(g862 +g958 +tp20428 +a(g8 +g964 +tp20429 +a(g647 +g1640 +tp20430 +a(g624 +g1069 +tp20431 +a(g303 +g960 +tp20432 +a(g303 +g958 +tp20433 +a(g303 +g1712 +tp20434 +a(g303 +g1151 +tp20435 +a(g303 +g958 +tp20436 +a(g303 +g960 +tp20437 +a(g624 +g1069 +tp20438 +a(g8 +Vdquote +p20439 +tp20440 +a(g862 +g958 +tp20441 +a(g8 +g1151 +tp20442 +a(g862 +V\u000a +p20443 +tp20444 +a(g132 +Vend. +p20445 +tp20446 +a(g862 +V\u000a +p20447 +tp20448 +a(g8 +Vferase +p20449 +tp20450 +a(g862 +g958 +tp20451 +a(g8 +g964 +tp20452 +a(g862 +V\u000a +p20453 +tp20454 +a(g132 +Vif. +p20455 +tp20456 +a(g862 +g958 +tp20457 +a(g624 +g1855 +tp20458 +a(g252 +g1860 +tp20459 +a(g624 +g2329 +tp20460 +a(g624 +g1060 +tp20461 +a(g252 +g1019 +tp20462 +a(g862 +g958 +tp20463 +a(g8 +Vtgt +p20464 +tp20465 +a(g862 +g958 +tp20466 +a(g132 +Vdo. +p20467 +tp20468 +a(g862 +V\u000a +p20469 +tp20470 +a(g28 +g970 +tp20471 +a(g624 +V=. +p20472 +tp20473 +a(g862 +g958 +tp20474 +a(g303 +g960 +tp20475 +a(g303 +g2777 +tp20476 +a(g303 +g1002 +tp20477 +a(g303 +g973 +tp20478 +a(g303 +g1002 +tp20479 +a(g303 +g995 +tp20480 +a(g303 +g1205 +tp20481 +a(g303 +g997 +tp20482 +a(g303 +g1151 +tp20483 +a(g303 +g958 +tp20484 +a(g303 +g1002 +tp20485 +a(g303 +g973 +tp20486 +a(g303 +g995 +tp20487 +a(g303 +g1154 +tp20488 +a(g303 +g966 +tp20489 +a(g303 +g1000 +tp20490 +a(g303 +g1000 +tp20491 +a(g303 +g958 +tp20492 +a(g303 +g1185 +tp20493 +a(g303 +g1325 +tp20494 +a(g303 +g958 +tp20495 +a(g303 +g18747 +tp20496 +a(g303 +g1154 +tp20497 +a(g303 +g958 +tp20498 +a(g303 +g992 +tp20499 +a(g303 +g1002 +tp20500 +a(g303 +g973 +tp20501 +a(g303 +g966 +tp20502 +a(g303 +g1005 +tp20503 +a(g303 +g1002 +tp20504 +a(g303 +g997 +tp20505 +a(g303 +g995 +tp20506 +a(g303 +g1668 +tp20507 +a(g303 +g960 +tp20508 +a(g862 +V\u000a +p20509 +tp20510 +a(g132 +Velse. +p20511 +tp20512 +a(g862 +V\u000a +p20513 +tp20514 +a(g28 +g970 +tp20515 +a(g624 +V=. +p20516 +tp20517 +a(g862 +g958 +tp20518 +a(g303 +g960 +tp20519 +a(g303 +g1800 +tp20520 +a(g303 +g973 +tp20521 +a(g303 +g966 +tp20522 +a(g303 +g992 +tp20523 +a(g303 +g1000 +tp20524 +a(g303 +g997 +tp20525 +a(g303 +g958 +tp20526 +a(g303 +g1154 +tp20527 +a(g303 +g1185 +tp20528 +a(g303 +g958 +tp20529 +a(g303 +g1002 +tp20530 +a(g303 +g973 +tp20531 +a(g303 +g995 +tp20532 +a(g303 +g1154 +tp20533 +a(g303 +g966 +tp20534 +a(g303 +g1000 +tp20535 +a(g303 +g1000 +tp20536 +a(g303 +g958 +tp20537 +a(g303 +g18747 +tp20538 +a(g303 +g1154 +tp20539 +a(g303 +g958 +tp20540 +a(g303 +g992 +tp20541 +a(g303 +g1002 +tp20542 +a(g303 +g973 +tp20543 +a(g303 +g966 +tp20544 +a(g303 +g1005 +tp20545 +a(g303 +g1002 +tp20546 +a(g303 +g997 +tp20547 +a(g303 +g995 +tp20548 +a(g303 +g1668 +tp20549 +a(g303 +g960 +tp20550 +a(g624 +g1069 +tp20551 +a(g8 +VLF +p20552 +tp20553 +a(g862 +V\u000a +p20554 +tp20555 +a(g28 +g970 +tp20556 +a(g624 +V=. +p20557 +tp20558 +a(g862 +g958 +tp20559 +a(g28 +g970 +tp20560 +a(g624 +g1069 +tp20561 +a(g303 +g960 +tp20562 +a(g303 +g968 +tp20563 +a(g303 +g1205 +tp20564 +a(g303 +g997 +tp20565 +a(g303 +g968 +tp20566 +a(g303 +g1091 +tp20567 +a(g303 +g958 +tp20568 +a(g303 +g1154 +tp20569 +a(g303 +g1205 +tp20570 +a(g303 +g966 +tp20571 +a(g303 +g1154 +tp20572 +a(g303 +g958 +tp20573 +a(g303 +g1009 +tp20574 +a(g303 +g1185 +tp20575 +a(g303 +g1260 +tp20576 +a(g303 +g958 +tp20577 +a(g303 +g1205 +tp20578 +a(g303 +g966 +tp20579 +a(g303 +g1354 +tp20580 +a(g303 +g997 +tp20581 +a(g303 +g958 +tp20582 +a(g303 +g1215 +tp20583 +a(g303 +g1005 +tp20584 +a(g303 +g1002 +tp20585 +a(g303 +g1154 +tp20586 +a(g303 +g997 +tp20587 +a(g303 +g958 +tp20588 +a(g303 +g964 +tp20589 +a(g303 +g997 +tp20590 +a(g303 +g1005 +tp20591 +a(g303 +g970 +tp20592 +a(g303 +g1002 +tp20593 +a(g303 +g995 +tp20594 +a(g303 +g995 +tp20595 +a(g303 +g1002 +tp20596 +a(g303 +g1185 +tp20597 +a(g303 +g973 +tp20598 +a(g303 +g958 +tp20599 +a(g303 +g1325 +tp20600 +a(g303 +g1185 +tp20601 +a(g303 +g1005 +tp20602 +a(g303 +g1060 +tp20603 +a(g303 +g958 +tp20604 +a(g303 +g960 +tp20605 +a(g624 +g1069 +tp20606 +a(g8 +VLF +p20607 +tp20608 +a(g624 +g1069 +tp20609 +a(g8 +VIFWIN +p20610 +tp20611 +a(g624 +g2314 +tp20612 +a(g624 +g1060 +tp20613 +a(g624 +g1060 +tp20614 +a(g8 +Vtgt +p20615 +tp20616 +a(g624 +g1666 +tp20617 +a(g8 +Vjpath +p20618 +tp20619 +a(g303 +g960 +tp20620 +a(g303 +g1857 +tp20621 +a(g303 +g992 +tp20622 +a(g303 +g1002 +tp20623 +a(g303 +g973 +tp20624 +a(g303 +g960 +tp20625 +a(g862 +V\u000a +p20626 +tp20627 +a(g132 +Vend. +p20628 +tp20629 +a(g862 +V\u000a +p20630 +tp20631 +a(g8 +Vsmoutput +p20632 +tp20633 +a(g862 +g958 +tp20634 +a(g28 +g970 +tp20635 +a(g862 +V\u000a\u000a +p20636 +tp20637 +a(g132 +g1640 +tp20638 +a(g862 +V\u000a +p20639 +tp20640 +a(g8 +Vjpkg_z_ +p20641 +tp20642 +a(g624 +V=: +p20643 +tp20644 +a(g862 +g958 +tp20645 +a(g108 +V3 : 0 +p20646 +tp20647 +a(g862 +V\u000a +p20648 +tp20649 +a(g303 +g960 +tp20650 +a(g303 +g1205 +tp20651 +a(g303 +g997 +tp20652 +a(g303 +g1000 +tp20653 +a(g303 +g964 +tp20654 +a(g303 +g960 +tp20655 +a(g862 +g958 +tp20656 +a(g8 +Vjpkg +p20657 +tp20658 +a(g862 +g958 +tp20659 +a(g28 +g1009 +tp20660 +a(g862 +V\u000a +p20661 +tp20662 +a(g624 +g1060 +tp20663 +a(g862 +V\u000a +p20664 +tp20665 +a(g8 +g966 +tp20666 +a(g624 +V=. +p20667 +tp20668 +a(g862 +g958 +tp20669 +a(g8 +Vconew +p20670 +tp20671 +a(g862 +g958 +tp20672 +a(g303 +g960 +tp20673 +a(g303 +g962 +tp20674 +a(g303 +g964 +tp20675 +a(g303 +g966 +tp20676 +a(g303 +g968 +tp20677 +a(g303 +g970 +tp20678 +a(g303 +g966 +tp20679 +a(g303 +g973 +tp20680 +a(g303 +g960 +tp20681 +a(g862 +V\u000a +p20682 +tp20683 +a(g8 +Vres +p20684 +tp20685 +a(g624 +V=. +p20686 +tp20687 +a(g862 +g958 +tp20688 +a(g28 +g1989 +tp20689 +a(g862 +g958 +tp20690 +a(g8 +Vjpkg__a +p20691 +tp20692 +a(g862 +g958 +tp20693 +a(g28 +g1009 +tp20694 +a(g862 +V\u000a +p20695 +tp20696 +a(g8 +Vdestroy__a +p20697 +tp20698 +a(g303 +g960 +tp20699 +a(g303 +g960 +tp20700 +a(g862 +V\u000a +p20701 +tp20702 +a(g8 +Vres +p20703 +tp20704 +a(g862 +V\u000a +p20705 +tp20706 +a(g132 +g1640 +tp20707 +a(g862 +V\u000a +p20708 +tp20709 +a(g8 +Vjpkgv_z_ +p20710 +tp20711 +a(g624 +V=: +p20712 +tp20713 +a(g862 +g958 +tp20714 +a(g647 +g2312 +tp20715 +a(g624 +g1071 +tp20716 +a(g624 +g2958 +tp20717 +a(g624 +g1060 +tp20718 +a(g624 +g2876 +tp20719 +a(g624 +g2003 +tp20720 +a(g252 +g1860 +tp20721 +a(g624 +g2958 +tp20722 +a(g624 +g3023 +tp20723 +a(g624 +g1060 +tp20724 +a(g624 +g3265 +tp20725 +a(g624 +g1060 +tp20726 +a(g647 +g2312 +tp20727 +a(g252 +g1019 +tp20728 +a(g862 +g958 +tp20729 +a(g624 +g1857 +tp20730 +a(g624 +g1060 +tp20731 +a(g862 +g958 +tp20732 +a(g624 +g1855 +tp20733 +a(g647 +g1640 +tp20734 +a(g647 +g1640 +tp20735 +a(g624 +g2958 +tp20736 +a(g8 +Vjpkg +p20737 +tp20738 +a(g862 +V\u000a +p20739 +tp20740 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pawn_example b/tests/examplefiles/output/pawn_example new file mode 100644 index 0000000..4283a0a --- /dev/null +++ b/tests/examplefiles/output/pawn_example @@ -0,0 +1,3037 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV{ +p956 +tp957 +a(g423 +Vinclude +p958 +tp959 +a(g7 +V. +p960 +tp961 +a(g423 +Vi +p962 +tp963 +a(g7 +V} +p964 +tp965 +a(g892 +V\u000a +p966 +tp967 +a(g7 +g956 +tp968 +a(g423 +Vnested +p969 +tp970 +a(g7 +g960 +tp971 +a(g423 +g962 +tp972 +a(g892 +V +p973 +tp974 +a(g7 +g956 +tp975 +a(g423 +Vinclude +p976 +tp977 +a(g7 +g960 +tp978 +a(g423 +g962 +tp979 +a(g7 +g964 +tp980 +a(g7 +g964 +tp981 +a(g892 +V\u000a +p982 +tp983 +a(g892 +V\u000a +p984 +tp985 +a(g400 +V& +p986 +tp987 +a(g423 +VSCOPED +p988 +tp989 +a(g400 +V- +p990 +tp991 +a(g423 +VDEFINE +p992 +tp993 +a(g892 +g973 +tp994 +a(g423 +VMY_NAME +p995 +tp996 +a(g892 +g973 +tp997 +a(g76 +V" +p998 +tp999 +a(g76 +VAbe +p1000 +tp1001 +a(g76 +g998 +tp1002 +a(g892 +V\u000a +p1003 +tp1004 +a(g892 +V\u000a +p1005 +tp1006 +a(g423 +VDEF +p1007 +tp1008 +a(g892 +g973 +tp1009 +a(g423 +VVAR +p1010 +tp1011 +a(g892 +g973 +tp1012 +a(g423 +g962 +tp1013 +a(g892 +g973 +tp1014 +a(g423 +VAS +p1015 +tp1016 +a(g892 +g973 +tp1017 +a(g423 +VINT +p1018 +tp1019 +a(g892 +g973 +tp1020 +a(g423 +VNO +p1021 +tp1022 +a(g400 +g990 +tp1023 +a(g423 +VUNDO +p1024 +tp1025 +a(g7 +g960 +tp1026 +a(g892 +V\u000a +p1027 +tp1028 +a(g423 +g962 +tp1029 +a(g892 +g973 +tp1030 +a(g400 +V= +p1031 +tp1032 +a(g892 +g973 +tp1033 +a(g285 +V0xABE +p1034 +tp1035 +a(g892 +g973 +tp1036 +a(g400 +V+ +p1037 +tp1038 +a(g892 +g973 +tp1039 +a(g22 +V1337 +p1040 +tp1041 +a(g892 +g973 +tp1042 +a(g400 +V/ +p1043 +tp1044 +a(g892 +g973 +tp1045 +a(g7 +V( +p1046 +tp1047 +a(g22 +V1 +p1048 +tp1049 +a(g892 +g973 +tp1050 +a(g400 +V* +p1051 +tp1052 +a(g892 +g973 +tp1053 +a(g302 +V1.00 +p1054 +tp1055 +a(g7 +V) +p1056 +tp1057 +a(g892 +V\u000a +p1058 +tp1059 +a(g892 +V\u000a +p1060 +tp1061 +a(g423 +Vdef +p1062 +tp1063 +a(g892 +g973 +tp1064 +a(g423 +Vvar +p1065 +tp1066 +a(g892 +g973 +tp1067 +a(g423 +Vclowercasetest +p1068 +tp1069 +a(g892 +g973 +tp1070 +a(g423 +Vas +p1071 +tp1072 +a(g892 +g973 +tp1073 +a(g810 +Vchar +p1074 +tp1075 +a(g892 +g973 +tp1076 +a(g423 +Vno +p1077 +tp1078 +a(g400 +g990 +tp1079 +a(g423 +Vundo +p1080 +tp1081 +a(g7 +g960 +tp1082 +a(g892 +V\u000a +p1083 +tp1084 +a(g423 +VDEF +p1085 +tp1086 +a(g892 +g973 +tp1087 +a(g423 +VVAR +p1088 +tp1089 +a(g892 +g973 +tp1090 +a(g423 +Vvardashtest +p1091 +tp1092 +a(g892 +g973 +tp1093 +a(g423 +VAS +p1094 +tp1095 +a(g892 +g973 +tp1096 +a(g423 +VDATETIME +p1097 +tp1098 +a(g400 +g990 +tp1099 +a(g423 +VTZ +p1100 +tp1101 +a(g892 +g973 +tp1102 +a(g423 +VNO +p1103 +tp1104 +a(g400 +g990 +tp1105 +a(g423 +VUNDO +p1106 +tp1107 +a(g7 +g960 +tp1108 +a(g892 +V\u000a +p1109 +tp1110 +a(g892 +V\u000a +p1111 +tp1112 +a(g423 +VDEFINE +p1113 +tp1114 +a(g892 +g973 +tp1115 +a(g423 +VTEMP +p1116 +tp1117 +a(g400 +g990 +tp1118 +a(g423 +VTABLE +p1119 +tp1120 +a(g892 +g973 +tp1121 +a(g423 +VttNames +p1122 +tp1123 +a(g892 +g973 +tp1124 +a(g423 +VNO +p1125 +tp1126 +a(g400 +g990 +tp1127 +a(g423 +VUNDO +p1128 +tp1129 +a(g892 +V\u000a +p1130 +tp1131 +a(g892 +V +p1132 +tp1133 +a(g423 +VFIELD +p1134 +tp1135 +a(g892 +g973 +tp1136 +a(g423 +VcName +p1137 +tp1138 +a(g892 +g973 +tp1139 +a(g423 +VAS +p1140 +tp1141 +a(g892 +g973 +tp1142 +a(g423 +VCHAR +p1143 +tp1144 +a(g892 +V\u000a +p1145 +tp1146 +a(g892 +V +p1147 +tp1148 +a(g423 +VINDEX +p1149 +tp1150 +a(g892 +g973 +tp1151 +a(g423 +VIXPK_ttNames +p1152 +tp1153 +a(g892 +g973 +tp1154 +a(g423 +VIS +p1155 +tp1156 +a(g892 +g973 +tp1157 +a(g423 +VPRIMARY +p1158 +tp1159 +a(g892 +g973 +tp1160 +a(g423 +VUNIQUE +p1161 +tp1162 +a(g892 +g973 +tp1163 +a(g423 +VcName +p1164 +tp1165 +a(g7 +g960 +tp1166 +a(g892 +V\u000a +p1167 +tp1168 +a(g892 +V\u000a +p1169 +tp1170 +a(g760 +V/* One-line comment */ +p1171 +tp1172 +a(g892 +V\u000a +p1173 +tp1174 +a(g760 +V/* Two-line\u000a Comment */ +p1175 +tp1176 +a(g892 +V\u000a +p1177 +tp1178 +a(g892 +V\u000a +p1179 +tp1180 +a(g423 +VCREATE +p1181 +tp1182 +a(g892 +g973 +tp1183 +a(g423 +VttNames +p1184 +tp1185 +a(g7 +g960 +tp1186 +a(g892 +V\u000a +p1187 +tp1188 +a(g423 +VASSIGN +p1189 +tp1190 +a(g892 +g973 +tp1191 +a(g423 +VttNames +p1192 +tp1193 +a(g7 +g960 +tp1194 +a(g423 +VcName +p1195 +tp1196 +a(g892 +g973 +tp1197 +a(g400 +g1031 +tp1198 +a(g892 +g973 +tp1199 +a(g7 +g956 +tp1200 +a(g400 +g986 +tp1201 +a(g423 +VMY_NAME +p1202 +tp1203 +a(g7 +g964 +tp1204 +a(g7 +g960 +tp1205 +a(g892 +V\u000a +p1206 +tp1207 +a(g892 +V\u000a +p1208 +tp1209 +a(g423 +VFOR +p1210 +tp1211 +a(g892 +g973 +tp1212 +a(g423 +VEACH +p1213 +tp1214 +a(g892 +g973 +tp1215 +a(g423 +VttNames +p1216 +tp1217 +a(g400 +V: +p1218 +tp1219 +a(g892 +V\u000a +p1220 +tp1221 +a(g892 +V +p1222 +tp1223 +a(g423 +VMESSAGE +p1224 +tp1225 +a(g892 +g973 +tp1226 +a(g76 +g998 +tp1227 +a(g76 +VHello, +p1228 +tp1229 +a(g76 +g998 +tp1230 +a(g892 +g973 +tp1231 +a(g400 +g1037 +tp1232 +a(g892 +g973 +tp1233 +a(g423 +VttNames +p1234 +tp1235 +a(g7 +g960 +tp1236 +a(g423 +VcName +p1237 +tp1238 +a(g892 +g973 +tp1239 +a(g400 +g1037 +tp1240 +a(g892 +g973 +tp1241 +a(g80 +V'!' +p1242 +tp1243 +a(g892 +g973 +tp1244 +a(g423 +VVIEW +p1245 +tp1246 +a(g400 +g990 +tp1247 +a(g423 +VAS +p1248 +tp1249 +a(g892 +g973 +tp1250 +a(g423 +VALERT +p1251 +tp1252 +a(g400 +g990 +tp1253 +a(g423 +VBOX +p1254 +tp1255 +a(g7 +g960 +tp1256 +a(g892 +V\u000a +p1257 +tp1258 +a(g423 +VEND +p1259 +tp1260 +a(g7 +g960 +tp1261 +a(g892 +V\u000a +p1262 +tp1263 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/perl_misc b/tests/examplefiles/output/perl_misc new file mode 100644 index 0000000..f1e4754 --- /dev/null +++ b/tests/examplefiles/output/perl_misc @@ -0,0 +1,3578 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Hashbang' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg5 +g8 +sS'Preproc' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag8 +ag18 +ag921 +ag891 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/usr/bin/perl +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g898 +V# from http://gist.github.com/485595 +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g745 +Vuse +p964 +tp965 +a(g827 +V +p966 +tp967 +a(g621 +Vstrict +p968 +tp969 +a(g706 +V; +p970 +tp971 +a(g827 +V\u000a +p972 +tp973 +a(g745 +Vuse +p974 +tp975 +a(g827 +g966 +tp976 +a(g621 +Vwarnings +p977 +tp978 +a(g706 +g970 +tp979 +a(g827 +V\u000a +p980 +tp981 +a(g745 +Vuse +p982 +tp983 +a(g827 +g966 +tp984 +a(g621 +VTime::HiRes +p985 +tp986 +a(g827 +g966 +tp987 +a(g89 +V'usleep' +p988 +tp989 +a(g706 +g970 +tp990 +a(g827 +V\u000a\u000a +p991 +tp992 +a(g745 +Vfor +p993 +tp994 +a(g827 +g966 +tp995 +a(g706 +V( +p996 +tp997 +a(g37 +V1 +p998 +tp999 +a(g413 +V.. +p1000 +tp1001 +a(g37 +V5 +p1002 +tp1003 +a(g706 +V) +p1004 +tp1005 +a(g827 +g966 +tp1006 +a(g706 +V{ +p1007 +tp1008 +a(g827 +V\u000a +p1009 +tp1010 +a(g682 +Vopen +p1011 +tp1012 +a(g827 +g966 +tp1013 +a(g745 +Vmy +p1014 +tp1015 +a(g827 +g966 +tp1016 +a(g441 +V$ +p1017 +tp1018 +a(g441 +Vin +p1019 +tp1020 +a(g706 +V, +p1021 +tp1022 +a(g827 +g966 +tp1023 +a(g89 +V'<' +p1024 +tp1025 +a(g706 +g1021 +tp1026 +a(g827 +g966 +tp1027 +a(g89 +V'/proc/sys/kernel/random/entropy_avail' +p1028 +tp1029 +a(g827 +g966 +tp1030 +a(g427 +Vor +p1031 +tp1032 +a(g827 +g966 +tp1033 +a(g682 +Vdie +p1034 +tp1035 +a(g706 +g970 +tp1036 +a(g827 +V\u000a +p1037 +tp1038 +a(g745 +Vprint +p1039 +tp1040 +a(g827 +g966 +tp1041 +a(g197 +V<$in> +p1042 +tp1043 +a(g706 +g970 +tp1044 +a(g827 +V\u000a +p1045 +tp1046 +a(g682 +Vclose +p1047 +tp1048 +a(g827 +g966 +tp1049 +a(g441 +g1017 +tp1050 +a(g441 +Vin +p1051 +tp1052 +a(g706 +g970 +tp1053 +a(g827 +V\u000a +p1054 +tp1055 +a(g436 +V +p1056 +tp1057 +a(g436 +Vusleep +p1058 +tp1059 +a(g827 +g966 +tp1060 +a(g37 +V100_000 +p1061 +tp1062 +a(g706 +g970 +tp1063 +a(g827 +V\u000a +p1064 +tp1065 +a(g706 +V} +p1066 +tp1067 +a(g827 +V\u000a\u000a +p1068 +tp1069 +a(g898 +V# other miscellaneous tests of numbers separated by _ +p1070 +tp1071 +a(g827 +V\u000a +p1072 +tp1073 +a(g898 +V#usleep 100_000; +p1074 +tp1075 +a(g827 +V\u000a +p1076 +tp1077 +a(g37 +V100_000_000 +p1078 +tp1079 +a(g706 +g970 +tp1080 +a(g827 +V\u000a +p1081 +tp1082 +a(g745 +Vmy +p1083 +tp1084 +a(g827 +g966 +tp1085 +a(g441 +g1017 +tp1086 +a(g441 +Vnichts +p1087 +tp1088 +a(g827 +g966 +tp1089 +a(g413 +V= +p1090 +tp1091 +a(g827 +g966 +tp1092 +a(g315 +V0.005_006 +p1093 +tp1094 +a(g706 +g970 +tp1095 +a(g827 +V\u000a +p1096 +tp1097 +a(g745 +Vprint +p1098 +tp1099 +a(g827 +g966 +tp1100 +a(g89 +V"$nichts\u005cn" +p1101 +tp1102 +a(g706 +g970 +tp1103 +a(g827 +V\u000a +p1104 +tp1105 +a(g745 +Vmy +p1106 +tp1107 +a(g827 +g966 +tp1108 +a(g441 +g1017 +tp1109 +a(g441 +Vnichts2 +p1110 +tp1111 +a(g827 +g966 +tp1112 +a(g413 +g1090 +tp1113 +a(g827 +g966 +tp1114 +a(g315 +V0.005_006_007 +p1115 +tp1116 +a(g706 +g970 +tp1117 +a(g827 +V\u000a +p1118 +tp1119 +a(g745 +Vprint +p1120 +tp1121 +a(g827 +g966 +tp1122 +a(g315 +V900_800_700.005_006_007 +p1123 +tp1124 +a(g706 +g1021 +tp1125 +a(g827 +g966 +tp1126 +a(g470 +V$/ +p1127 +tp1128 +a(g706 +g970 +tp1129 +a(g827 +V\u000a\u000a +p1130 +tp1131 +a(g898 +V# numbers from `man 1 perlnumber` +p1132 +tp1133 +a(g827 +V\u000a +p1134 +tp1135 +a(g745 +Vmy +p1136 +tp1137 +a(g827 +g966 +tp1138 +a(g441 +g1017 +tp1139 +a(g441 +Vn +p1140 +tp1141 +a(g706 +g970 +tp1142 +a(g827 +V\u000a +p1143 +tp1144 +a(g441 +g1017 +tp1145 +a(g441 +g1140 +tp1146 +a(g827 +g966 +tp1147 +a(g413 +g1090 +tp1148 +a(g827 +g966 +tp1149 +a(g37 +V1234 +p1150 +tp1151 +a(g706 +g970 +tp1152 +a(g827 +V +p1153 +tp1154 +a(g898 +V# decimal integer +p1155 +tp1156 +a(g827 +V\u000a +p1157 +tp1158 +a(g441 +g1017 +tp1159 +a(g441 +g1140 +tp1160 +a(g827 +g966 +tp1161 +a(g413 +g1090 +tp1162 +a(g827 +g966 +tp1163 +a(g266 +V0b1110011 +p1164 +tp1165 +a(g706 +g970 +tp1166 +a(g827 +V +p1167 +tp1168 +a(g898 +V# binary integer +p1169 +tp1170 +a(g827 +V\u000a +p1171 +tp1172 +a(g441 +g1017 +tp1173 +a(g441 +g1140 +tp1174 +a(g827 +g966 +tp1175 +a(g413 +g1090 +tp1176 +a(g827 +g966 +tp1177 +a(g282 +V01234 +p1178 +tp1179 +a(g706 +g970 +tp1180 +a(g827 +V +p1181 +tp1182 +a(g898 +V# octal integer +p1183 +tp1184 +a(g827 +V\u000a +p1185 +tp1186 +a(g441 +g1017 +tp1187 +a(g441 +g1140 +tp1188 +a(g827 +g966 +tp1189 +a(g413 +g1090 +tp1190 +a(g827 +g966 +tp1191 +a(g298 +V0x1234 +p1192 +tp1193 +a(g706 +g970 +tp1194 +a(g827 +V +p1195 +tp1196 +a(g898 +V# hexadecimal integer +p1197 +tp1198 +a(g827 +V\u000a +p1199 +tp1200 +a(g441 +g1017 +tp1201 +a(g441 +g1140 +tp1202 +a(g827 +g966 +tp1203 +a(g413 +g1090 +tp1204 +a(g827 +g966 +tp1205 +a(g315 +V12.34e-56 +p1206 +tp1207 +a(g706 +g970 +tp1208 +a(g827 +V +p1209 +tp1210 +a(g898 +V# exponential notation +p1211 +tp1212 +a(g827 +V\u000a +p1213 +tp1214 +a(g441 +g1017 +tp1215 +a(g441 +g1140 +tp1216 +a(g827 +g966 +tp1217 +a(g413 +g1090 +tp1218 +a(g827 +g966 +tp1219 +a(g89 +V"-12.34e56" +p1220 +tp1221 +a(g706 +g970 +tp1222 +a(g827 +V +p1223 +tp1224 +a(g898 +V# number specified as a string +p1225 +tp1226 +a(g827 +V\u000a +p1227 +tp1228 +a(g441 +g1017 +tp1229 +a(g441 +g1140 +tp1230 +a(g827 +g966 +tp1231 +a(g413 +g1090 +tp1232 +a(g827 +g966 +tp1233 +a(g89 +V"1234" +p1234 +tp1235 +a(g706 +g970 +tp1236 +a(g827 +V +p1237 +tp1238 +a(g898 +V# number specified as a string +p1239 +tp1240 +a(g827 +V\u000a\u000a +p1241 +tp1242 +a(g898 +V# other numbers +p1243 +tp1244 +a(g827 +V\u000a +p1245 +tp1246 +a(g745 +Vfor +p1247 +tp1248 +a(g827 +g966 +tp1249 +a(g706 +g996 +tp1250 +a(g827 +V\u000a +p1251 +tp1252 +a(g413 +V- +p1253 +tp1254 +a(g37 +V9876 +p1255 +tp1256 +a(g706 +g1021 +tp1257 +a(g827 +V\u000a +p1258 +tp1259 +a(g413 +V+ +p1260 +tp1261 +a(g37 +V8765 +p1262 +tp1263 +a(g706 +g1021 +tp1264 +a(g827 +V\u000a +p1265 +tp1266 +a(g413 +g1253 +tp1267 +a(g315 +V9876.02 +p1268 +tp1269 +a(g706 +g1021 +tp1270 +a(g827 +V\u000a +p1271 +tp1272 +a(g413 +g1253 +tp1273 +a(g315 +V9876.02e+10 +p1274 +tp1275 +a(g706 +g1021 +tp1276 +a(g827 +V\u000a +p1277 +tp1278 +a(g413 +g1260 +tp1279 +a(g315 +V765_432e30 +p1280 +tp1281 +a(g706 +g1021 +tp1282 +a(g827 +V\u000a +p1283 +tp1284 +a(g37 +V2002 +p1285 +tp1286 +a(g413 +V. +p1287 +tp1288 +a(g706 +g1021 +tp1289 +a(g827 +V\u000a +p1290 +tp1291 +a(g315 +V.2002 +p1292 +tp1293 +a(g706 +g1021 +tp1294 +a(g827 +V\u000a +p1295 +tp1296 +a(g706 +g1004 +tp1297 +a(g827 +g966 +tp1298 +a(g706 +g1007 +tp1299 +a(g827 +V\u000a +p1300 +tp1301 +a(g745 +Vprint +p1302 +tp1303 +a(g827 +g966 +tp1304 +a(g441 +g1017 +tp1305 +a(g441 +V_ +p1306 +tp1307 +a(g706 +g1021 +tp1308 +a(g827 +g966 +tp1309 +a(g89 +V"\u005cn" +p1310 +tp1311 +a(g706 +g970 +tp1312 +a(g827 +V\u000a +p1313 +tp1314 +a(g706 +g1066 +tp1315 +a(g827 +V\u000a\u000a +p1316 +tp1317 +a(g898 +V# operators on numbers +p1318 +tp1319 +a(g827 +V\u000a +p1320 +tp1321 +a(g745 +Vfor +p1322 +tp1323 +a(g827 +g966 +tp1324 +a(g706 +g996 +tp1325 +a(g827 +V\u000a +p1326 +tp1327 +a(g441 +g1017 +tp1328 +a(g441 +g1140 +tp1329 +a(g827 +g966 +tp1330 +a(g413 +g1260 +tp1331 +a(g827 +g966 +tp1332 +a(g37 +V300 +p1333 +tp1334 +a(g706 +g1021 +tp1335 +a(g827 +V\u000a +p1336 +tp1337 +a(g441 +g1017 +tp1338 +a(g441 +g1140 +tp1339 +a(g827 +g966 +tp1340 +a(g413 +g1253 +tp1341 +a(g827 +g966 +tp1342 +a(g37 +V300 +p1343 +tp1344 +a(g706 +g1021 +tp1345 +a(g827 +V\u000a +p1346 +tp1347 +a(g441 +g1017 +tp1348 +a(g441 +g1140 +tp1349 +a(g827 +g966 +tp1350 +a(g413 +V/ +p1351 +tp1352 +a(g827 +g966 +tp1353 +a(g37 +V300 +p1354 +tp1355 +a(g827 +g966 +tp1356 +a(g413 +g1260 +tp1357 +a(g827 +g966 +tp1358 +a(g37 +V10 +p1359 +tp1360 +a(g706 +g1021 +tp1361 +a(g827 +V\u000a +p1362 +tp1363 +a(g441 +g1017 +tp1364 +a(g441 +g1140 +tp1365 +a(g827 +g966 +tp1366 +a(g413 +V* +p1367 +tp1368 +a(g827 +g966 +tp1369 +a(g37 +V250 +p1370 +tp1371 +a(g827 +g966 +tp1372 +a(g413 +g1351 +tp1373 +a(g827 +g966 +tp1374 +a(g315 +V2.0 +p1375 +tp1376 +a(g706 +g1021 +tp1377 +a(g827 +V\u000a +p1378 +tp1379 +a(g441 +g1017 +tp1380 +a(g441 +g1140 +tp1381 +a(g827 +g966 +tp1382 +a(g413 +V== +p1383 +tp1384 +a(g827 +g966 +tp1385 +a(g37 +V100 +p1386 +tp1387 +a(g706 +g1021 +tp1388 +a(g827 +V\u000a +p1389 +tp1390 +a(g441 +g1017 +tp1391 +a(g441 +g1140 +tp1392 +a(g827 +g966 +tp1393 +a(g413 +V!= +p1394 +tp1395 +a(g827 +g966 +tp1396 +a(g37 +V100 +p1397 +tp1398 +a(g706 +g1021 +tp1399 +a(g827 +V\u000a +p1400 +tp1401 +a(g441 +g1017 +tp1402 +a(g441 +g1140 +tp1403 +a(g827 +g966 +tp1404 +a(g413 +V> +p1405 +tp1406 +a(g827 +g966 +tp1407 +a(g37 +V100 +p1408 +tp1409 +a(g706 +g1021 +tp1410 +a(g827 +V\u000a +p1411 +tp1412 +a(g441 +g1017 +tp1413 +a(g441 +g1140 +tp1414 +a(g827 +g966 +tp1415 +a(g413 +V>= +p1416 +tp1417 +a(g827 +g966 +tp1418 +a(g37 +V100 +p1419 +tp1420 +a(g706 +g1021 +tp1421 +a(g827 +V\u000a +p1422 +tp1423 +a(g441 +g1017 +tp1424 +a(g441 +g1140 +tp1425 +a(g827 +g966 +tp1426 +a(g413 +V< +p1427 +tp1428 +a(g827 +g966 +tp1429 +a(g37 +V100 +p1430 +tp1431 +a(g706 +g1021 +tp1432 +a(g827 +V\u000a +p1433 +tp1434 +a(g441 +g1017 +tp1435 +a(g441 +g1140 +tp1436 +a(g827 +g966 +tp1437 +a(g413 +V<= +p1438 +tp1439 +a(g827 +g966 +tp1440 +a(g37 +V100 +p1441 +tp1442 +a(g706 +g1021 +tp1443 +a(g827 +V\u000a +p1444 +tp1445 +a(g441 +g1017 +tp1446 +a(g441 +g1140 +tp1447 +a(g827 +g966 +tp1448 +a(g441 +V% +p1449 +tp1450 +a(g827 +g966 +tp1451 +a(g441 +V2 +p1452 +tp1453 +a(g706 +g1021 +tp1454 +a(g827 +V\u000a +p1455 +tp1456 +a(g682 +Vabs +p1457 +tp1458 +a(g827 +g966 +tp1459 +a(g441 +g1017 +tp1460 +a(g441 +g1140 +tp1461 +a(g706 +g1021 +tp1462 +a(g827 +V\u000a +p1463 +tp1464 +a(g706 +g1004 +tp1465 +a(g827 +g966 +tp1466 +a(g706 +g1007 +tp1467 +a(g827 +V\u000a +p1468 +tp1469 +a(g745 +Vprint +p1470 +tp1471 +a(g827 +g966 +tp1472 +a(g441 +g1017 +tp1473 +a(g441 +g1306 +tp1474 +a(g706 +g1021 +tp1475 +a(g827 +g966 +tp1476 +a(g89 +V"\u005cn" +p1477 +tp1478 +a(g706 +g970 +tp1479 +a(g827 +V\u000a +p1480 +tp1481 +a(g706 +g1066 +tp1482 +a(g827 +V\u000a +p1483 +tp1484 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/perl_perl5db b/tests/examplefiles/output/perl_perl5db new file mode 100644 index 0000000..b68bd7b --- /dev/null +++ b/tests/examplefiles/output/perl_perl5db @@ -0,0 +1,15828 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV=head1 NAME \u000a\u000aperl5db.pl - the perl debugger\u000a\u000a=head1 SYNOPSIS\u000a\u000a perl -d your_Perl_script\u000a\u000a=head1 DESCRIPTION\u000a\u000aAfter this routine is over, we don't have user code executing in the debugger's\u000acontext, so we can use C freely.\u000a\u000a=cut +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g906 +V############################################## Begin lexical danger zone +p960 +tp961 +a(g827 +V\u000a\u000a +p962 +tp963 +a(g906 +V# 'my' variables used here could leak into (that is, be visible in) +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g906 +V# the context that the code being evaluated is executing in. This means that +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g906 +V# the code could modify the debugger's variables. +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g906 +V# +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g906 +V# Fiddling with the debugger's context could be Bad. We insulate things as +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g906 +V# much as we can. +p984 +tp985 +a(g827 +V\u000a\u000a +p986 +tp987 +a(g745 +Vsub +p988 +tp989 +a(g827 +V +p990 +tp991 +a(g574 +Veval +p992 +tp993 +a(g827 +g990 +tp994 +a(g706 +V{ +p995 +tp996 +a(g827 +V\u000a\u000a +p997 +tp998 +a(g906 +V# 'my' would make it visible from user code +p999 +tp1000 +a(g827 +V\u000a +p1001 +tp1002 +a(g906 +V# but so does local! --tchrist +p1003 +tp1004 +a(g827 +V\u000a +p1005 +tp1006 +a(g906 +V# Remember: this localizes @DB::res, not @main::res. +p1007 +tp1008 +a(g827 +V\u000a +p1009 +tp1010 +a(g682 +Vlocal +p1011 +tp1012 +a(g827 +g990 +tp1013 +a(g441 +V@ +p1014 +tp1015 +a(g441 +Vres +p1016 +tp1017 +a(g706 +V; +p1018 +tp1019 +a(g827 +V\u000a +p1020 +tp1021 +a(g706 +g995 +tp1022 +a(g827 +V\u000a\u000a +p1023 +tp1024 +a(g906 +V# Try to keep the user code from messing with us. Save these so that +p1025 +tp1026 +a(g827 +V\u000a +p1027 +tp1028 +a(g906 +V# even if the eval'ed code changes them, we can put them back again. +p1029 +tp1030 +a(g827 +V\u000a +p1031 +tp1032 +a(g906 +V# Needed because the user could refer directly to the debugger's +p1033 +tp1034 +a(g827 +V\u000a +p1035 +tp1036 +a(g906 +V# package globals (and any 'my' variables in this containing scope) +p1037 +tp1038 +a(g827 +V\u000a +p1039 +tp1040 +a(g906 +V# inside the eval(), and we want to try to stay safe. +p1041 +tp1042 +a(g827 +V\u000a +p1043 +tp1044 +a(g682 +Vlocal +p1045 +tp1046 +a(g827 +g990 +tp1047 +a(g441 +V$ +p1048 +tp1049 +a(g441 +Votrace +p1050 +tp1051 +a(g827 +V +p1052 +tp1053 +a(g413 +V= +p1054 +tp1055 +a(g827 +g990 +tp1056 +a(g441 +g1048 +tp1057 +a(g441 +Vtrace +p1058 +tp1059 +a(g706 +g1018 +tp1060 +a(g827 +V\u000a +p1061 +tp1062 +a(g682 +Vlocal +p1063 +tp1064 +a(g827 +g990 +tp1065 +a(g441 +g1048 +tp1066 +a(g441 +Vosingle +p1067 +tp1068 +a(g827 +g990 +tp1069 +a(g413 +g1054 +tp1070 +a(g827 +g990 +tp1071 +a(g441 +g1048 +tp1072 +a(g441 +Vsingle +p1073 +tp1074 +a(g706 +g1018 +tp1075 +a(g827 +V\u000a +p1076 +tp1077 +a(g682 +Vlocal +p1078 +tp1079 +a(g827 +g990 +tp1080 +a(g441 +g1048 +tp1081 +a(g441 +Vod +p1082 +tp1083 +a(g827 +V +p1084 +tp1085 +a(g413 +g1054 +tp1086 +a(g827 +g990 +tp1087 +a(g470 +V$^D +p1088 +tp1089 +a(g706 +g1018 +tp1090 +a(g827 +V\u000a\u000a +p1091 +tp1092 +a(g906 +V# Untaint the incoming eval() argument. +p1093 +tp1094 +a(g827 +V\u000a +p1095 +tp1096 +a(g706 +g995 +tp1097 +a(g827 +g990 +tp1098 +a(g706 +V( +p1099 +tp1100 +a(g441 +g1048 +tp1101 +a(g441 +Vevalarg +p1102 +tp1103 +a(g706 +V) +p1104 +tp1105 +a(g827 +g990 +tp1106 +a(g413 +g1054 +tp1107 +a(g827 +g990 +tp1108 +a(g441 +g1048 +tp1109 +a(g441 +Vevalarg +p1110 +tp1111 +a(g827 +g990 +tp1112 +a(g413 +V=~ +p1113 +tp1114 +a(g197 +V /(.*)/s +p1115 +tp1116 +a(g706 +g1018 +tp1117 +a(g827 +g990 +tp1118 +a(g706 +V} +p1119 +tp1120 +a(g827 +V\u000a\u000a +p1121 +tp1122 +a(g906 +V# $usercontext built in DB::DB near the comment +p1123 +tp1124 +a(g827 +V\u000a +p1125 +tp1126 +a(g906 +V# "set up the context for DB::eval ..." +p1127 +tp1128 +a(g827 +V\u000a +p1129 +tp1130 +a(g906 +V# Evaluate and save any results. +p1131 +tp1132 +a(g827 +V\u000a +p1133 +tp1134 +a(g441 +g1014 +tp1135 +a(g441 +Vres +p1136 +tp1137 +a(g827 +g990 +tp1138 +a(g413 +g1054 +tp1139 +a(g827 +g990 +tp1140 +a(g682 +Veval +p1141 +tp1142 +a(g827 +g990 +tp1143 +a(g89 +V"$usercontext $evalarg;\u005cn" +p1144 +tp1145 +a(g706 +g1018 +tp1146 +a(g827 +V +p1147 +tp1148 +a(g906 +V# '\u005cn' for nice recursive debug +p1149 +tp1150 +a(g827 +V\u000a\u000a +p1151 +tp1152 +a(g906 +V# Restore those old values. +p1153 +tp1154 +a(g827 +V\u000a +p1155 +tp1156 +a(g441 +g1048 +tp1157 +a(g441 +Vtrace +p1158 +tp1159 +a(g827 +V +p1160 +tp1161 +a(g413 +g1054 +tp1162 +a(g827 +g990 +tp1163 +a(g441 +g1048 +tp1164 +a(g441 +Votrace +p1165 +tp1166 +a(g706 +g1018 +tp1167 +a(g827 +V\u000a +p1168 +tp1169 +a(g441 +g1048 +tp1170 +a(g441 +Vsingle +p1171 +tp1172 +a(g827 +g990 +tp1173 +a(g413 +g1054 +tp1174 +a(g827 +g990 +tp1175 +a(g441 +g1048 +tp1176 +a(g441 +Vosingle +p1177 +tp1178 +a(g706 +g1018 +tp1179 +a(g827 +V\u000a +p1180 +tp1181 +a(g470 +V$^D +p1182 +tp1183 +a(g827 +V +p1184 +tp1185 +a(g413 +g1054 +tp1186 +a(g827 +g990 +tp1187 +a(g441 +g1048 +tp1188 +a(g441 +Vod +p1189 +tp1190 +a(g706 +g1018 +tp1191 +a(g827 +V\u000a +p1192 +tp1193 +a(g706 +g1119 +tp1194 +a(g827 +V\u000a\u000a +p1195 +tp1196 +a(g906 +V# Save the current value of $@, and preserve it in the debugger's copy +p1197 +tp1198 +a(g827 +V\u000a +p1199 +tp1200 +a(g906 +V# of the saved precious globals. +p1201 +tp1202 +a(g827 +V\u000a +p1203 +tp1204 +a(g745 +Vmy +p1205 +tp1206 +a(g827 +g990 +tp1207 +a(g441 +g1048 +tp1208 +a(g441 +Vat +p1209 +tp1210 +a(g827 +g990 +tp1211 +a(g413 +g1054 +tp1212 +a(g827 +g990 +tp1213 +a(g470 +V$@ +p1214 +tp1215 +a(g706 +g1018 +tp1216 +a(g827 +V\u000a\u000a +p1217 +tp1218 +a(g906 +V# Since we're only saving $@, we only have to localize the array element +p1219 +tp1220 +a(g827 +V\u000a +p1221 +tp1222 +a(g906 +V# that it will be stored in. +p1223 +tp1224 +a(g827 +V\u000a +p1225 +tp1226 +a(g682 +Vlocal +p1227 +tp1228 +a(g827 +g990 +tp1229 +a(g441 +g1048 +tp1230 +a(g441 +Vsaved +p1231 +tp1232 +a(g706 +V[ +p1233 +tp1234 +a(g37 +V0 +p1235 +tp1236 +a(g706 +V] +p1237 +tp1238 +a(g706 +g1018 +tp1239 +a(g827 +V +p1240 +tp1241 +a(g906 +V# Preserve the old value of $@ +p1242 +tp1243 +a(g827 +V\u000a +p1244 +tp1245 +a(g682 +Veval +p1246 +tp1247 +a(g827 +g990 +tp1248 +a(g706 +g995 +tp1249 +a(g827 +g990 +tp1250 +a(g413 +V& +p1251 +tp1252 +a(g436 +V +p1253 +tp1254 +a(g621 +VDB:: +p1255 +tp1256 +a(g436 +g1253 +tp1257 +a(g436 +Vsave +p1258 +tp1259 +a(g827 +g990 +tp1260 +a(g706 +g1119 +tp1261 +a(g706 +g1018 +tp1262 +a(g827 +V\u000a\u000a +p1263 +tp1264 +a(g906 +V# Now see whether we need to report an error back to the user. +p1265 +tp1266 +a(g827 +V\u000a +p1267 +tp1268 +a(g745 +Vif +p1269 +tp1270 +a(g827 +g990 +tp1271 +a(g706 +g1099 +tp1272 +a(g441 +g1048 +tp1273 +a(g441 +Vat +p1274 +tp1275 +a(g706 +g1104 +tp1276 +a(g827 +g990 +tp1277 +a(g706 +g995 +tp1278 +a(g827 +V\u000a +p1279 +tp1280 +a(g682 +Vlocal +p1281 +tp1282 +a(g827 +g990 +tp1283 +a(g470 +V$\u005c +p1284 +tp1285 +a(g827 +g990 +tp1286 +a(g413 +g1054 +tp1287 +a(g827 +g990 +tp1288 +a(g89 +V'' +p1289 +tp1290 +a(g706 +g1018 +tp1291 +a(g827 +V\u000a +p1292 +tp1293 +a(g745 +Vprint +p1294 +tp1295 +a(g827 +g990 +tp1296 +a(g441 +g1048 +tp1297 +a(g441 +VOUT +p1298 +tp1299 +a(g827 +g990 +tp1300 +a(g441 +g1048 +tp1301 +a(g441 +Vat +p1302 +tp1303 +a(g706 +g1018 +tp1304 +a(g827 +V\u000a +p1305 +tp1306 +a(g706 +g1119 +tp1307 +a(g827 +V\u000a\u000a +p1308 +tp1309 +a(g906 +V# Display as required by the caller. $onetimeDump and $onetimedumpDepth +p1310 +tp1311 +a(g827 +V\u000a +p1312 +tp1313 +a(g906 +V# are package globals. +p1314 +tp1315 +a(g827 +V\u000a +p1316 +tp1317 +a(g745 +Velsif +p1318 +tp1319 +a(g827 +g990 +tp1320 +a(g706 +g1099 +tp1321 +a(g441 +g1048 +tp1322 +a(g441 +VonetimeDump +p1323 +tp1324 +a(g706 +g1104 +tp1325 +a(g827 +g990 +tp1326 +a(g706 +g995 +tp1327 +a(g827 +V\u000a +p1328 +tp1329 +a(g745 +Vif +p1330 +tp1331 +a(g827 +g990 +tp1332 +a(g706 +g1099 +tp1333 +a(g827 +g990 +tp1334 +a(g441 +g1048 +tp1335 +a(g441 +VonetimeDump +p1336 +tp1337 +a(g827 +g990 +tp1338 +a(g427 +Veq +p1339 +tp1340 +a(g827 +g990 +tp1341 +a(g89 +V'dump' +p1342 +tp1343 +a(g827 +g990 +tp1344 +a(g706 +g1104 +tp1345 +a(g827 +g990 +tp1346 +a(g706 +g995 +tp1347 +a(g827 +V\u000a +p1348 +tp1349 +a(g682 +Vlocal +p1350 +tp1351 +a(g827 +g990 +tp1352 +a(g441 +g1048 +tp1353 +a(g441 +Voption +p1354 +tp1355 +a(g706 +g995 +tp1356 +a(g436 +g1253 +tp1357 +a(g436 +VdumpDepth +p1358 +tp1359 +a(g706 +g1119 +tp1360 +a(g827 +g990 +tp1361 +a(g413 +g1054 +tp1362 +a(g827 +g990 +tp1363 +a(g441 +g1048 +tp1364 +a(g441 +VonetimedumpDepth +p1365 +tp1366 +a(g827 +V\u000a +p1367 +tp1368 +a(g745 +Vif +p1369 +tp1370 +a(g827 +g990 +tp1371 +a(g682 +Vdefined +p1372 +tp1373 +a(g827 +g990 +tp1374 +a(g441 +g1048 +tp1375 +a(g441 +VonetimedumpDepth +p1376 +tp1377 +a(g706 +g1018 +tp1378 +a(g827 +V\u000a +p1379 +tp1380 +a(g436 +g1253 +tp1381 +a(g436 +Vdumpit +p1382 +tp1383 +a(g706 +g1099 +tp1384 +a(g827 +g990 +tp1385 +a(g441 +g1048 +tp1386 +a(g441 +VOUT +p1387 +tp1388 +a(g706 +V, +p1389 +tp1390 +a(g827 +g990 +tp1391 +a(g413 +V\u005c +p1392 +tp1393 +a(g441 +g1014 +tp1394 +a(g441 +Vres +p1395 +tp1396 +a(g827 +g990 +tp1397 +a(g706 +g1104 +tp1398 +a(g706 +g1018 +tp1399 +a(g827 +V\u000a +p1400 +tp1401 +a(g706 +g1119 +tp1402 +a(g827 +V\u000a +p1403 +tp1404 +a(g745 +Velsif +p1405 +tp1406 +a(g827 +g990 +tp1407 +a(g706 +g1099 +tp1408 +a(g827 +g990 +tp1409 +a(g441 +g1048 +tp1410 +a(g441 +VonetimeDump +p1411 +tp1412 +a(g827 +g990 +tp1413 +a(g427 +Veq +p1414 +tp1415 +a(g827 +g990 +tp1416 +a(g89 +V'methods' +p1417 +tp1418 +a(g827 +g990 +tp1419 +a(g706 +g1104 +tp1420 +a(g827 +g990 +tp1421 +a(g706 +g995 +tp1422 +a(g827 +V\u000a +p1423 +tp1424 +a(g436 +g1253 +tp1425 +a(g436 +Vmethods +p1426 +tp1427 +a(g706 +g1099 +tp1428 +a(g827 +g990 +tp1429 +a(g441 +g1048 +tp1430 +a(g441 +Vres +p1431 +tp1432 +a(g706 +g1233 +tp1433 +a(g37 +g1235 +tp1434 +a(g706 +g1237 +tp1435 +a(g827 +g990 +tp1436 +a(g706 +g1104 +tp1437 +a(g706 +g1018 +tp1438 +a(g827 +V\u000a +p1439 +tp1440 +a(g706 +g1119 +tp1441 +a(g827 +V\u000a +p1442 +tp1443 +a(g706 +g1119 +tp1444 +a(g827 +g990 +tp1445 +a(g906 +V## end elsif ($onetimeDump) +p1446 +tp1447 +a(g827 +V\u000a +p1448 +tp1449 +a(g441 +g1014 +tp1450 +a(g441 +Vres +p1451 +tp1452 +a(g706 +g1018 +tp1453 +a(g827 +V\u000a +p1454 +tp1455 +a(g706 +g1119 +tp1456 +a(g827 +g990 +tp1457 +a(g906 +V## end sub eval +p1458 +tp1459 +a(g827 +V\u000a\u000a +p1460 +tp1461 +a(g906 +V############################################## End lexical danger zone +p1462 +tp1463 +a(g827 +V\u000a\u000a +p1464 +tp1465 +a(g906 +V# After this point it is safe to introduce lexicals. +p1466 +tp1467 +a(g827 +V\u000a +p1468 +tp1469 +a(g906 +V# The code being debugged will be executing in its own context, and +p1470 +tp1471 +a(g827 +V\u000a +p1472 +tp1473 +a(g906 +V# can't see the inside of the debugger. +p1474 +tp1475 +a(g827 +V\u000a +p1476 +tp1477 +a(g906 +g976 +tp1478 +a(g827 +V\u000a +p1479 +tp1480 +a(g906 +V# However, one should not overdo it: leave as much control from outside as +p1481 +tp1482 +a(g827 +V\u000a +p1483 +tp1484 +a(g906 +V# possible. If you make something a lexical, it's not going to be addressable +p1485 +tp1486 +a(g827 +V\u000a +p1487 +tp1488 +a(g906 +V# from outside the debugger even if you know its name. +p1489 +tp1490 +a(g827 +V\u000a\u000a +p1491 +tp1492 +a(g906 +V# This file is automatically included if you do perl -d. +p1493 +tp1494 +a(g827 +V\u000a +p1495 +tp1496 +a(g906 +V# It's probably not useful to include this yourself. +p1497 +tp1498 +a(g827 +V\u000a +p1499 +tp1500 +a(g906 +g976 +tp1501 +a(g827 +V\u000a +p1502 +tp1503 +a(g906 +V# Before venturing further into these twisty passages, it is +p1504 +tp1505 +a(g827 +V\u000a +p1506 +tp1507 +a(g906 +V# wise to read the perldebguts man page or risk the ire of dragons. +p1508 +tp1509 +a(g827 +V\u000a +p1510 +tp1511 +a(g906 +g976 +tp1512 +a(g827 +V\u000a +p1513 +tp1514 +a(g906 +V# (It should be noted that perldebguts will tell you a lot about +p1515 +tp1516 +a(g827 +V\u000a +p1517 +tp1518 +a(g906 +V# the underlying mechanics of how the debugger interfaces into the +p1519 +tp1520 +a(g827 +V\u000a +p1521 +tp1522 +a(g906 +V# Perl interpreter, but not a lot about the debugger itself. The new +p1523 +tp1524 +a(g827 +V\u000a +p1525 +tp1526 +a(g906 +V# comments in this code try to address this problem.) +p1527 +tp1528 +a(g827 +V\u000a\u000a +p1529 +tp1530 +a(g906 +V# Note that no subroutine call is possible until &DB::sub is defined +p1531 +tp1532 +a(g827 +V\u000a +p1533 +tp1534 +a(g906 +V# (for subroutines defined outside of the package DB). In fact the same is +p1535 +tp1536 +a(g827 +V\u000a +p1537 +tp1538 +a(g906 +V# true if $deep is not defined. +p1539 +tp1540 +a(g827 +V\u000a\u000a +p1541 +tp1542 +a(g906 +V# Enhanced by ilya@math.ohio-state.edu (Ilya Zakharevich) +p1543 +tp1544 +a(g827 +V\u000a\u000a +p1545 +tp1546 +a(g906 +V# modified Perl debugger, to be run from Emacs in perldb-mode +p1547 +tp1548 +a(g827 +V\u000a +p1549 +tp1550 +a(g906 +V# Ray Lischner (uunet!mntgfx!lisch) as of 5 Nov 1990 +p1551 +tp1552 +a(g827 +V\u000a +p1553 +tp1554 +a(g906 +V# Johan Vromans -- upgrade to 4.0 pl 10 +p1555 +tp1556 +a(g827 +V\u000a +p1557 +tp1558 +a(g906 +V# Ilya Zakharevich -- patches after 5.001 (and some before ;-) +p1559 +tp1560 +a(g827 +V\u000a\u000a +p1561 +tp1562 +a(g906 +V# (We have made efforts to clarify the comments in the change log +p1563 +tp1564 +a(g827 +V\u000a +p1565 +tp1566 +a(g906 +V# in other places; some of them may seem somewhat obscure as they +p1567 +tp1568 +a(g827 +V\u000a +p1569 +tp1570 +a(g906 +V# were originally written, and explaining them away from the code +p1571 +tp1572 +a(g827 +V\u000a +p1573 +tp1574 +a(g906 +V# in question seems conterproductive.. -JM) +p1575 +tp1576 +a(g827 +V\u000a\u000a +p1577 +tp1578 +a(g8 +V=head1 DEBUGGER INITIALIZATION\u000a\u000aThe debugger starts up in phases.\u000a\u000a=head2 BASIC SETUP\u000a\u000aFirst, it initializes the environment it wants to run in: turning off\u000awarnings during its own compilation, defining variables which it will need\u000ato avoid warnings later, setting itself up to not exit when the program\u000aterminates, and defaulting to printing return values for the C command.\u000a\u000a=cut +p1579 +tp1580 +a(g827 +V\u000a\u000a +p1581 +tp1582 +a(g906 +V# Needed for the statement after exec(): +p1583 +tp1584 +a(g827 +V\u000a +p1585 +tp1586 +a(g906 +g976 +tp1587 +a(g827 +V\u000a +p1588 +tp1589 +a(g906 +V# This BEGIN block is simply used to switch off warnings during debugger +p1590 +tp1591 +a(g827 +V\u000a +p1592 +tp1593 +a(g906 +V# compiliation. Probably it would be better practice to fix the warnings, +p1594 +tp1595 +a(g827 +V\u000a +p1596 +tp1597 +a(g906 +V# but this is how it's done at the moment. +p1598 +tp1599 +a(g827 +V\u000a\u000a +p1600 +tp1601 +a(g745 +VBEGIN +p1602 +tp1603 +a(g827 +g990 +tp1604 +a(g706 +g995 +tp1605 +a(g827 +V\u000a +p1606 +tp1607 +a(g441 +g1048 +tp1608 +a(g441 +Vini_warn +p1609 +tp1610 +a(g827 +g990 +tp1611 +a(g413 +g1054 +tp1612 +a(g827 +g990 +tp1613 +a(g470 +V$^W +p1614 +tp1615 +a(g706 +g1018 +tp1616 +a(g827 +V\u000a +p1617 +tp1618 +a(g470 +V$^W +p1619 +tp1620 +a(g827 +V +p1621 +tp1622 +a(g413 +g1054 +tp1623 +a(g827 +g990 +tp1624 +a(g37 +g1235 +tp1625 +a(g706 +g1018 +tp1626 +a(g827 +V\u000a +p1627 +tp1628 +a(g706 +g1119 +tp1629 +a(g827 +V +p1630 +tp1631 +a(g906 +V# Switch compilation warnings off until another BEGIN. +p1632 +tp1633 +a(g827 +V\u000a\u000a +p1634 +tp1635 +a(g906 +V# test if assertions are supported and actived: +p1636 +tp1637 +a(g827 +V\u000a +p1638 +tp1639 +a(g745 +VBEGIN +p1640 +tp1641 +a(g827 +g990 +tp1642 +a(g706 +g995 +tp1643 +a(g827 +V\u000a +p1644 +tp1645 +a(g441 +g1048 +tp1646 +a(g441 +Vini_assertion +p1647 +tp1648 +a(g827 +g990 +tp1649 +a(g413 +g1054 +tp1650 +a(g827 +g990 +tp1651 +a(g682 +Veval +p1652 +tp1653 +a(g827 +g990 +tp1654 +a(g89 +V"sub asserting_test : assertion {1}; 1" +p1655 +tp1656 +a(g706 +g1018 +tp1657 +a(g827 +V\u000a\u000a +p1658 +tp1659 +a(g906 +V# $ini_assertion = undef => assertions unsupported, +p1660 +tp1661 +a(g827 +V\u000a +p1662 +tp1663 +a(g906 +V# " = 1 => assertions supported +p1664 +tp1665 +a(g827 +V\u000a +p1666 +tp1667 +a(g906 +V# print "\u005c$ini_assertion=$ini_assertion\u005cn"; +p1668 +tp1669 +a(g827 +V\u000a +p1670 +tp1671 +a(g706 +g1119 +tp1672 +a(g827 +V\u000a\u000a +p1673 +tp1674 +a(g682 +Vlocal +p1675 +tp1676 +a(g827 +g990 +tp1677 +a(g706 +g1099 +tp1678 +a(g470 +V$^W +p1679 +tp1680 +a(g706 +g1104 +tp1681 +a(g827 +g990 +tp1682 +a(g413 +g1054 +tp1683 +a(g827 +g990 +tp1684 +a(g37 +g1235 +tp1685 +a(g706 +g1018 +tp1686 +a(g827 +V +p1687 +tp1688 +a(g906 +V# Switch run-time warnings off during init. +p1689 +tp1690 +a(g827 +V\u000a\u000a +p1691 +tp1692 +a(g8 +V=head2 THREADS SUPPORT\u000a\u000aIf we are running under a threaded Perl, we require threads and threads::shared\u000aif the environment variable C is set, to enable proper\u000athreaded debugger control. C<-dt> can also be used to set this.\u000a\u000aEach new thread will be announced and the debugger prompt will always inform\u000ayou of each new thread created. It will also indicate the thread id in which\u000awe are currently running within the prompt like this:\u000a\u000a [tid] DB<$i>\u000a\u000aWhere C<[tid]> is an integer thread id and C<$i> is the familiar debugger\u000acommand prompt. The prompt will show: C<[0]> when running under threads, but\u000anot actually in a thread. C<[tid]> is consistent with C usage.\u000a\u000aWhile running under threads, when you set or delete a breakpoint (etc.), this\u000awill apply to all threads, not just the currently running one. When you are \u000ain a currently executing thread, you will stay there until it completes. With\u000athe current implementation it is not currently possible to hop from one thread\u000ato another.\u000a\u000aThe C and C commands are currently fairly minimal - see C and C.\u000a\u000aNote that threading support was built into the debugger as of Perl version\u000aC<5.8.6> and debugger version C<1.2.8>.\u000a\u000a=cut +p1693 +tp1694 +a(g827 +V\u000a\u000a +p1695 +tp1696 +a(g745 +VBEGIN +p1697 +tp1698 +a(g827 +g990 +tp1699 +a(g706 +g995 +tp1700 +a(g827 +V\u000a +p1701 +tp1702 +a(g906 +V# ensure we can share our non-threaded variables or no-op +p1703 +tp1704 +a(g827 +V\u000a +p1705 +tp1706 +a(g745 +Vif +p1707 +tp1708 +a(g827 +g990 +tp1709 +a(g706 +g1099 +tp1710 +a(g441 +g1048 +tp1711 +a(g441 +VENV +p1712 +tp1713 +a(g706 +g995 +tp1714 +a(g436 +g1253 +tp1715 +a(g436 +VPERL5DB_THREADED +p1716 +tp1717 +a(g706 +g1119 +tp1718 +a(g706 +g1104 +tp1719 +a(g827 +g990 +tp1720 +a(g706 +g995 +tp1721 +a(g827 +V\u000a +p1722 +tp1723 +a(g745 +Vrequire +p1724 +tp1725 +a(g827 +g990 +tp1726 +a(g621 +Vthreads +p1727 +tp1728 +a(g706 +g1018 +tp1729 +a(g827 +V\u000a +p1730 +tp1731 +a(g745 +Vrequire +p1732 +tp1733 +a(g827 +g990 +tp1734 +a(g621 +Vthreads::shared +p1735 +tp1736 +a(g706 +g1018 +tp1737 +a(g827 +V\u000a +p1738 +tp1739 +a(g682 +Vimport +p1740 +tp1741 +a(g827 +g990 +tp1742 +a(g436 +g1253 +tp1743 +a(g621 +Vthreads:: +p1744 +tp1745 +a(g436 +g1253 +tp1746 +a(g436 +Vshared +p1747 +tp1748 +a(g827 +g990 +tp1749 +a(g237 +Vqw( +p1750 +tp1751 +a(g237 +Vshare +p1752 +tp1753 +a(g237 +g1104 +tp1754 +a(g706 +g1018 +tp1755 +a(g827 +V\u000a +p1756 +tp1757 +a(g441 +g1048 +tp1758 +a(g441 +VDBGR +p1759 +tp1760 +a(g706 +g1018 +tp1761 +a(g827 +V\u000a +p1762 +tp1763 +a(g436 +g1253 +tp1764 +a(g436 +Vshare +p1765 +tp1766 +a(g706 +g1099 +tp1767 +a(g413 +V\u005c +p1768 +tp1769 +a(g441 +g1048 +tp1770 +a(g441 +VDBGR +p1771 +tp1772 +a(g706 +g1104 +tp1773 +a(g706 +g1018 +tp1774 +a(g827 +V\u000a +p1775 +tp1776 +a(g436 +g1253 +tp1777 +a(g436 +Vlock +p1778 +tp1779 +a(g706 +g1099 +tp1780 +a(g441 +g1048 +tp1781 +a(g441 +VDBGR +p1782 +tp1783 +a(g706 +g1104 +tp1784 +a(g706 +g1018 +tp1785 +a(g827 +V\u000a +p1786 +tp1787 +a(g745 +Vprint +p1788 +tp1789 +a(g827 +g990 +tp1790 +a(g89 +V"Threads support enabled\u005cn" +p1791 +tp1792 +a(g706 +g1018 +tp1793 +a(g827 +V\u000a +p1794 +tp1795 +a(g706 +g1119 +tp1796 +a(g827 +g990 +tp1797 +a(g745 +Velse +p1798 +tp1799 +a(g827 +g990 +tp1800 +a(g706 +g995 +tp1801 +a(g827 +V\u000a +p1802 +tp1803 +a(g413 +V* +p1804 +tp1805 +a(g436 +g1253 +tp1806 +a(g436 +Vlock +p1807 +tp1808 +a(g827 +V +p1809 +tp1810 +a(g413 +g1054 +tp1811 +a(g827 +g990 +tp1812 +a(g436 +g1253 +tp1813 +a(g436 +Vsub +p1814 +tp1815 +a(g706 +g1099 +tp1816 +a(g413 +g1804 +tp1817 +a(g706 +g1104 +tp1818 +a(g827 +g990 +tp1819 +a(g706 +g995 +tp1820 +a(g706 +g1119 +tp1821 +a(g706 +g1018 +tp1822 +a(g827 +V\u000a +p1823 +tp1824 +a(g413 +g1804 +tp1825 +a(g436 +g1253 +tp1826 +a(g436 +Vshare +p1827 +tp1828 +a(g827 +g990 +tp1829 +a(g413 +g1054 +tp1830 +a(g827 +g990 +tp1831 +a(g436 +g1253 +tp1832 +a(g436 +Vsub +p1833 +tp1834 +a(g706 +g1099 +tp1835 +a(g413 +g1804 +tp1836 +a(g706 +g1104 +tp1837 +a(g827 +g990 +tp1838 +a(g706 +g995 +tp1839 +a(g706 +g1119 +tp1840 +a(g706 +g1018 +tp1841 +a(g827 +V\u000a +p1842 +tp1843 +a(g706 +g1119 +tp1844 +a(g827 +V\u000a +p1845 +tp1846 +a(g706 +g1119 +tp1847 +a(g827 +V\u000a\u000a +p1848 +tp1849 +a(g906 +V# This would probably be better done with "use vars", but that wasn't around +p1850 +tp1851 +a(g827 +V\u000a +p1852 +tp1853 +a(g906 +V# when this code was originally written. (Neither was "use strict".) And on +p1854 +tp1855 +a(g827 +V\u000a +p1856 +tp1857 +a(g906 +V# the principle of not fiddling with something that was working, this was +p1858 +tp1859 +a(g827 +V\u000a +p1860 +tp1861 +a(g906 +V# left alone. +p1862 +tp1863 +a(g827 +V\u000a +p1864 +tp1865 +a(g682 +Vwarn +p1866 +tp1867 +a(g706 +g1099 +tp1868 +a(g827 +V +p1869 +tp1870 +a(g906 +V# Do not ;-) +p1871 +tp1872 +a(g827 +V\u000a +p1873 +tp1874 +a(g906 +V# These variables control the execution of 'dumpvar.pl'. +p1875 +tp1876 +a(g827 +V\u000a +p1877 +tp1878 +a(g441 +g1048 +tp1879 +a(g621 +Vdumpvar:: +p1880 +tp1881 +a(g441 +VhashDepth +p1882 +tp1883 +a(g706 +g1389 +tp1884 +a(g827 +V\u000a +p1885 +tp1886 +a(g441 +g1048 +tp1887 +a(g621 +Vdumpvar:: +p1888 +tp1889 +a(g441 +VarrayDepth +p1890 +tp1891 +a(g706 +g1389 +tp1892 +a(g827 +V\u000a +p1893 +tp1894 +a(g441 +g1048 +tp1895 +a(g621 +Vdumpvar:: +p1896 +tp1897 +a(g441 +VdumpDBFiles +p1898 +tp1899 +a(g706 +g1389 +tp1900 +a(g827 +V\u000a +p1901 +tp1902 +a(g441 +g1048 +tp1903 +a(g621 +Vdumpvar:: +p1904 +tp1905 +a(g441 +VdumpPackages +p1906 +tp1907 +a(g706 +g1389 +tp1908 +a(g827 +V\u000a +p1909 +tp1910 +a(g441 +g1048 +tp1911 +a(g621 +Vdumpvar:: +p1912 +tp1913 +a(g441 +VquoteHighBit +p1914 +tp1915 +a(g706 +g1389 +tp1916 +a(g827 +V\u000a +p1917 +tp1918 +a(g441 +g1048 +tp1919 +a(g621 +Vdumpvar:: +p1920 +tp1921 +a(g441 +VprintUndef +p1922 +tp1923 +a(g706 +g1389 +tp1924 +a(g827 +V\u000a +p1925 +tp1926 +a(g441 +g1048 +tp1927 +a(g621 +Vdumpvar:: +p1928 +tp1929 +a(g441 +VglobPrint +p1930 +tp1931 +a(g706 +g1389 +tp1932 +a(g827 +V\u000a +p1933 +tp1934 +a(g441 +g1048 +tp1935 +a(g621 +Vdumpvar:: +p1936 +tp1937 +a(g441 +VusageOnly +p1938 +tp1939 +a(g706 +g1389 +tp1940 +a(g827 +V\u000a\u000a +p1941 +tp1942 +a(g906 +V# used to save @ARGV and extract any debugger-related flags. +p1943 +tp1944 +a(g827 +V\u000a +p1945 +tp1946 +a(g441 +g1014 +tp1947 +a(g441 +VARGS +p1948 +tp1949 +a(g706 +g1389 +tp1950 +a(g827 +V\u000a\u000a +p1951 +tp1952 +a(g906 +V# used to control die() reporting in diesignal() +p1953 +tp1954 +a(g827 +V\u000a +p1955 +tp1956 +a(g441 +g1048 +tp1957 +a(g621 +VCarp:: +p1958 +tp1959 +a(g441 +VCarpLevel +p1960 +tp1961 +a(g706 +g1389 +tp1962 +a(g827 +V\u000a\u000a +p1963 +tp1964 +a(g906 +V# used to prevent multiple entries to diesignal() +p1965 +tp1966 +a(g827 +V\u000a +p1967 +tp1968 +a(g906 +V# (if for instance diesignal() itself dies) +p1969 +tp1970 +a(g827 +V\u000a +p1971 +tp1972 +a(g441 +g1048 +tp1973 +a(g441 +Vpanic +p1974 +tp1975 +a(g706 +g1389 +tp1976 +a(g827 +V\u000a\u000a +p1977 +tp1978 +a(g906 +V# used to prevent the debugger from running nonstop +p1979 +tp1980 +a(g827 +V\u000a +p1981 +tp1982 +a(g906 +V# after a restart +p1983 +tp1984 +a(g827 +V\u000a +p1985 +tp1986 +a(g441 +g1048 +tp1987 +a(g441 +Vsecond_time +p1988 +tp1989 +a(g706 +g1389 +tp1990 +a(g827 +V\u000a +p1991 +tp1992 +a(g706 +g1104 +tp1993 +a(g827 +V\u000a +p1994 +tp1995 +a(g745 +Vif +p1996 +tp1997 +a(g827 +g990 +tp1998 +a(g37 +g1235 +tp1999 +a(g706 +g1018 +tp2000 +a(g827 +V\u000a\u000a +p2001 +tp2002 +a(g745 +Vforeach +p2003 +tp2004 +a(g827 +g990 +tp2005 +a(g745 +Vmy +p2006 +tp2007 +a(g827 +g990 +tp2008 +a(g441 +g1048 +tp2009 +a(g441 +Vk +p2010 +tp2011 +a(g827 +g990 +tp2012 +a(g706 +g1099 +tp2013 +a(g682 +Vkeys +p2014 +tp2015 +a(g827 +g990 +tp2016 +a(g706 +g1099 +tp2017 +a(g441 +V% +p2018 +tp2019 +a(g441 +VINC +p2020 +tp2021 +a(g706 +g1104 +tp2022 +a(g706 +g1104 +tp2023 +a(g827 +g990 +tp2024 +a(g706 +g995 +tp2025 +a(g827 +V\u000a +p2026 +tp2027 +a(g413 +g1251 +tp2028 +a(g436 +g1253 +tp2029 +a(g436 +Vshare +p2030 +tp2031 +a(g706 +g1099 +tp2032 +a(g413 +V\u005c +p2033 +tp2034 +a(g441 +g1048 +tp2035 +a(g621 +Vmain:: +p2036 +tp2037 +a(g706 +g995 +tp2038 +a(g89 +V'_<' +p2039 +tp2040 +a(g413 +V. +p2041 +tp2042 +a(g441 +g1048 +tp2043 +a(g441 +Vfilename +p2044 +tp2045 +a(g706 +g1119 +tp2046 +a(g706 +g1104 +tp2047 +a(g706 +g1018 +tp2048 +a(g827 +V\u000a +p2049 +tp2050 +a(g706 +g1119 +tp2051 +a(g706 +g1018 +tp2052 +a(g827 +V\u000a\u000a +p2053 +tp2054 +a(g906 +V# Command-line + PERLLIB: +p2055 +tp2056 +a(g827 +V\u000a +p2057 +tp2058 +a(g906 +V# Save the contents of @INC before they are modified elsewhere. +p2059 +tp2060 +a(g827 +V\u000a +p2061 +tp2062 +a(g441 +g1014 +tp2063 +a(g441 +Vini_INC +p2064 +tp2065 +a(g827 +g990 +tp2066 +a(g413 +g1054 +tp2067 +a(g827 +g990 +tp2068 +a(g441 +g1014 +tp2069 +a(g441 +VINC +p2070 +tp2071 +a(g706 +g1018 +tp2072 +a(g827 +V\u000a\u000a +p2073 +tp2074 +a(g906 +V# This was an attempt to clear out the previous values of various +p2075 +tp2076 +a(g827 +V\u000a +p2077 +tp2078 +a(g906 +V# trapped errors. Apparently it didn't help. XXX More info needed! +p2079 +tp2080 +a(g827 +V\u000a +p2081 +tp2082 +a(g906 +V# $prevwarn = $prevdie = $prevbus = $prevsegv = ''; # Does not help?! +p2083 +tp2084 +a(g827 +V\u000a\u000a +p2085 +tp2086 +a(g906 +V# We set these variables to safe values. We don't want to blindly turn +p2087 +tp2088 +a(g827 +V\u000a +p2089 +tp2090 +a(g906 +V# off warnings, because other packages may still want them. +p2091 +tp2092 +a(g827 +V\u000a +p2093 +tp2094 +a(g441 +g1048 +tp2095 +a(g441 +Vtrace +p2096 +tp2097 +a(g827 +g990 +tp2098 +a(g413 +g1054 +tp2099 +a(g827 +g990 +tp2100 +a(g441 +g1048 +tp2101 +a(g441 +Vsignal +p2102 +tp2103 +a(g827 +g990 +tp2104 +a(g413 +g1054 +tp2105 +a(g827 +g990 +tp2106 +a(g441 +g1048 +tp2107 +a(g441 +Vsingle +p2108 +tp2109 +a(g827 +g990 +tp2110 +a(g413 +g1054 +tp2111 +a(g827 +g990 +tp2112 +a(g37 +g1235 +tp2113 +a(g706 +g1018 +tp2114 +a(g827 +V +p2115 +tp2116 +a(g906 +V# Uninitialized warning suppression +p2117 +tp2118 +a(g827 +V\u000a +p2119 +tp2120 +a(g906 +V# (local $^W cannot help - other packages!). +p2121 +tp2122 +a(g827 +V\u000a\u000a +p2123 +tp2124 +a(g906 +V# Default to not exiting when program finishes; print the return +p2125 +tp2126 +a(g827 +V\u000a +p2127 +tp2128 +a(g906 +V# value when the 'r' command is used to return from a subroutine. +p2129 +tp2130 +a(g827 +V\u000a +p2131 +tp2132 +a(g441 +g1048 +tp2133 +a(g441 +Vinhibit_exit +p2134 +tp2135 +a(g827 +g990 +tp2136 +a(g413 +g1054 +tp2137 +a(g827 +g990 +tp2138 +a(g441 +g1048 +tp2139 +a(g441 +Voption +p2140 +tp2141 +a(g706 +g995 +tp2142 +a(g436 +g1253 +tp2143 +a(g436 +VPrintRet +p2144 +tp2145 +a(g706 +g1119 +tp2146 +a(g827 +g990 +tp2147 +a(g413 +g1054 +tp2148 +a(g827 +g990 +tp2149 +a(g37 +V1 +p2150 +tp2151 +a(g706 +g1018 +tp2152 +a(g827 +V\u000a\u000a +p2153 +tp2154 +a(g8 +V=head1 OPTION PROCESSING\u000a\u000aThe debugger's options are actually spread out over the debugger itself and \u000aC; some of these are variables to be set, while others are \u000asubs to be called with a value. To try to make this a little easier to\u000amanage, the debugger uses a few data structures to define what options\u000aare legal and how they are to be processed.\u000a\u000aFirst, the C<@options> array defines the I of all the options that\u000aare to be accepted.\u000a\u000a=cut +p2155 +tp2156 +a(g827 +V\u000a\u000a +p2157 +tp2158 +a(g441 +g1014 +tp2159 +a(g441 +Voptions +p2160 +tp2161 +a(g827 +g990 +tp2162 +a(g413 +g1054 +tp2163 +a(g827 +g990 +tp2164 +a(g237 +Vqw( +p2165 +tp2166 +a(g237 +V\u000a CommandSet\u000a hashDepth arrayDepth dumpDepth\u000a DumpDBFiles DumpPackages DumpReused\u000a compactDump veryCompact quote\u000a HighBit undefPrint globPrint\u000a PrintRet UsageOnly frame\u000a AutoTrace TTY noTTY\u000a ReadLine NonStop LineInfo\u000a maxTraceLen recallCommand ShellBang\u000a pager tkRunning ornaments\u000a signalLevel warnLevel dieLevel\u000a inhibit_exit ImmediateStop bareStringify\u000a CreateTTY RemotePort windowSize\u000a DollarCaretP OnlyAssertions WarnAssertions\u000a +p2167 +tp2168 +a(g237 +g1104 +tp2169 +a(g706 +g1018 +tp2170 +a(g827 +V\u000a\u000a +p2171 +tp2172 +a(g441 +g1014 +tp2173 +a(g441 +VRememberOnROptions +p2174 +tp2175 +a(g827 +g990 +tp2176 +a(g413 +g1054 +tp2177 +a(g827 +g990 +tp2178 +a(g237 +Vqw( +p2179 +tp2180 +a(g237 +VDollarCaretP OnlyAssertions +p2181 +tp2182 +a(g237 +g1104 +tp2183 +a(g706 +g1018 +tp2184 +a(g827 +V\u000a\u000a +p2185 +tp2186 +a(g8 +V=pod\u000a\u000aSecond, C lists the variables that each option uses to save its\u000astate.\u000a\u000a=cut +p2187 +tp2188 +a(g827 +V\u000a\u000a +p2189 +tp2190 +a(g441 +g2018 +tp2191 +a(g441 +VoptionVars +p2192 +tp2193 +a(g827 +g990 +tp2194 +a(g413 +g1054 +tp2195 +a(g827 +g990 +tp2196 +a(g706 +g1099 +tp2197 +a(g827 +V\u000a +p2198 +tp2199 +a(g436 +g1253 +tp2200 +a(g436 +VhashDepth +p2201 +tp2202 +a(g827 +V +p2203 +tp2204 +a(g413 +g1054 +tp2205 +a(g413 +V> +p2206 +tp2207 +a(g827 +g990 +tp2208 +a(g413 +V\u005c +p2209 +tp2210 +a(g441 +g1048 +tp2211 +a(g621 +Vdumpvar:: +p2212 +tp2213 +a(g441 +VhashDepth +p2214 +tp2215 +a(g706 +g1389 +tp2216 +a(g827 +V\u000a +p2217 +tp2218 +a(g436 +g1253 +tp2219 +a(g436 +VarrayDepth +p2220 +tp2221 +a(g827 +V +p2222 +tp2223 +a(g413 +g1054 +tp2224 +a(g413 +g2206 +tp2225 +a(g827 +g990 +tp2226 +a(g413 +V\u005c +p2227 +tp2228 +a(g441 +g1048 +tp2229 +a(g621 +Vdumpvar:: +p2230 +tp2231 +a(g441 +VarrayDepth +p2232 +tp2233 +a(g706 +g1389 +tp2234 +a(g827 +V\u000a +p2235 +tp2236 +a(g436 +g1253 +tp2237 +a(g436 +VCommandSet +p2238 +tp2239 +a(g827 +V +p2240 +tp2241 +a(g413 +g1054 +tp2242 +a(g413 +g2206 +tp2243 +a(g827 +g990 +tp2244 +a(g413 +V\u005c +p2245 +tp2246 +a(g441 +g1048 +tp2247 +a(g441 +VCommandSet +p2248 +tp2249 +a(g706 +g1389 +tp2250 +a(g827 +V\u000a +p2251 +tp2252 +a(g436 +g1253 +tp2253 +a(g436 +VDumpDBFiles +p2254 +tp2255 +a(g827 +V +p2256 +tp2257 +a(g413 +g1054 +tp2258 +a(g413 +g2206 +tp2259 +a(g827 +g990 +tp2260 +a(g413 +V\u005c +p2261 +tp2262 +a(g441 +g1048 +tp2263 +a(g621 +Vdumpvar:: +p2264 +tp2265 +a(g441 +VdumpDBFiles +p2266 +tp2267 +a(g706 +g1389 +tp2268 +a(g827 +V\u000a +p2269 +tp2270 +a(g436 +g1253 +tp2271 +a(g436 +VDumpPackages +p2272 +tp2273 +a(g827 +V +p2274 +tp2275 +a(g413 +g1054 +tp2276 +a(g413 +g2206 +tp2277 +a(g827 +g990 +tp2278 +a(g413 +V\u005c +p2279 +tp2280 +a(g441 +g1048 +tp2281 +a(g621 +Vdumpvar:: +p2282 +tp2283 +a(g441 +VdumpPackages +p2284 +tp2285 +a(g706 +g1389 +tp2286 +a(g827 +V\u000a +p2287 +tp2288 +a(g436 +g1253 +tp2289 +a(g436 +VDumpReused +p2290 +tp2291 +a(g827 +V +p2292 +tp2293 +a(g413 +g1054 +tp2294 +a(g413 +g2206 +tp2295 +a(g827 +g990 +tp2296 +a(g413 +V\u005c +p2297 +tp2298 +a(g441 +g1048 +tp2299 +a(g621 +Vdumpvar:: +p2300 +tp2301 +a(g441 +VdumpReused +p2302 +tp2303 +a(g706 +g1389 +tp2304 +a(g827 +V\u000a +p2305 +tp2306 +a(g436 +g1253 +tp2307 +a(g436 +VHighBit +p2308 +tp2309 +a(g827 +V +p2310 +tp2311 +a(g413 +g1054 +tp2312 +a(g413 +g2206 +tp2313 +a(g827 +g990 +tp2314 +a(g413 +V\u005c +p2315 +tp2316 +a(g441 +g1048 +tp2317 +a(g621 +Vdumpvar:: +p2318 +tp2319 +a(g441 +VquoteHighBit +p2320 +tp2321 +a(g706 +g1389 +tp2322 +a(g827 +V\u000a +p2323 +tp2324 +a(g436 +g1253 +tp2325 +a(g436 +VundefPrint +p2326 +tp2327 +a(g827 +V +p2328 +tp2329 +a(g413 +g1054 +tp2330 +a(g413 +g2206 +tp2331 +a(g827 +g990 +tp2332 +a(g413 +V\u005c +p2333 +tp2334 +a(g441 +g1048 +tp2335 +a(g621 +Vdumpvar:: +p2336 +tp2337 +a(g441 +VprintUndef +p2338 +tp2339 +a(g706 +g1389 +tp2340 +a(g827 +V\u000a +p2341 +tp2342 +a(g436 +g1253 +tp2343 +a(g436 +VglobPrint +p2344 +tp2345 +a(g827 +V +p2346 +tp2347 +a(g413 +g1054 +tp2348 +a(g413 +g2206 +tp2349 +a(g827 +g990 +tp2350 +a(g413 +V\u005c +p2351 +tp2352 +a(g441 +g1048 +tp2353 +a(g621 +Vdumpvar:: +p2354 +tp2355 +a(g441 +VglobPrint +p2356 +tp2357 +a(g706 +g1389 +tp2358 +a(g827 +V\u000a +p2359 +tp2360 +a(g436 +g1253 +tp2361 +a(g436 +VUsageOnly +p2362 +tp2363 +a(g827 +V +p2364 +tp2365 +a(g413 +g1054 +tp2366 +a(g413 +g2206 +tp2367 +a(g827 +g990 +tp2368 +a(g413 +V\u005c +p2369 +tp2370 +a(g441 +g1048 +tp2371 +a(g621 +Vdumpvar:: +p2372 +tp2373 +a(g441 +VusageOnly +p2374 +tp2375 +a(g706 +g1389 +tp2376 +a(g827 +V\u000a +p2377 +tp2378 +a(g436 +g1253 +tp2379 +a(g436 +VCreateTTY +p2380 +tp2381 +a(g827 +V +p2382 +tp2383 +a(g413 +g1054 +tp2384 +a(g413 +g2206 +tp2385 +a(g827 +g990 +tp2386 +a(g413 +V\u005c +p2387 +tp2388 +a(g441 +g1048 +tp2389 +a(g441 +VCreateTTY +p2390 +tp2391 +a(g706 +g1389 +tp2392 +a(g827 +V\u000a +p2393 +tp2394 +a(g436 +g1253 +tp2395 +a(g436 +VbareStringify +p2396 +tp2397 +a(g827 +g990 +tp2398 +a(g413 +g1054 +tp2399 +a(g413 +g2206 +tp2400 +a(g827 +g990 +tp2401 +a(g413 +V\u005c +p2402 +tp2403 +a(g441 +g1048 +tp2404 +a(g621 +Vdumpvar:: +p2405 +tp2406 +a(g441 +VbareStringify +p2407 +tp2408 +a(g706 +g1389 +tp2409 +a(g827 +V\u000a +p2410 +tp2411 +a(g436 +g1253 +tp2412 +a(g436 +Vframe +p2413 +tp2414 +a(g827 +V +p2415 +tp2416 +a(g413 +g1054 +tp2417 +a(g413 +g2206 +tp2418 +a(g827 +g990 +tp2419 +a(g413 +V\u005c +p2420 +tp2421 +a(g441 +g1048 +tp2422 +a(g441 +Vframe +p2423 +tp2424 +a(g706 +g1389 +tp2425 +a(g827 +V\u000a +p2426 +tp2427 +a(g436 +g1253 +tp2428 +a(g436 +VAutoTrace +p2429 +tp2430 +a(g827 +V +p2431 +tp2432 +a(g413 +g1054 +tp2433 +a(g413 +g2206 +tp2434 +a(g827 +g990 +tp2435 +a(g413 +V\u005c +p2436 +tp2437 +a(g441 +g1048 +tp2438 +a(g441 +Vtrace +p2439 +tp2440 +a(g706 +g1389 +tp2441 +a(g827 +V\u000a +p2442 +tp2443 +a(g436 +g1253 +tp2444 +a(g436 +Vinhibit_exit +p2445 +tp2446 +a(g827 +V +p2447 +tp2448 +a(g413 +g1054 +tp2449 +a(g413 +g2206 +tp2450 +a(g827 +g990 +tp2451 +a(g413 +V\u005c +p2452 +tp2453 +a(g441 +g1048 +tp2454 +a(g441 +Vinhibit_exit +p2455 +tp2456 +a(g706 +g1389 +tp2457 +a(g827 +V\u000a +p2458 +tp2459 +a(g436 +g1253 +tp2460 +a(g436 +VmaxTraceLen +p2461 +tp2462 +a(g827 +V +p2463 +tp2464 +a(g413 +g1054 +tp2465 +a(g413 +g2206 +tp2466 +a(g827 +g990 +tp2467 +a(g413 +V\u005c +p2468 +tp2469 +a(g441 +g1048 +tp2470 +a(g441 +Vmaxtrace +p2471 +tp2472 +a(g706 +g1389 +tp2473 +a(g827 +V\u000a +p2474 +tp2475 +a(g436 +g1253 +tp2476 +a(g436 +VImmediateStop +p2477 +tp2478 +a(g827 +g990 +tp2479 +a(g413 +g1054 +tp2480 +a(g413 +g2206 +tp2481 +a(g827 +g990 +tp2482 +a(g413 +V\u005c +p2483 +tp2484 +a(g441 +g1048 +tp2485 +a(g441 +VImmediateStop +p2486 +tp2487 +a(g706 +g1389 +tp2488 +a(g827 +V\u000a +p2489 +tp2490 +a(g436 +g1253 +tp2491 +a(g436 +VRemotePort +p2492 +tp2493 +a(g827 +V +p2494 +tp2495 +a(g413 +g1054 +tp2496 +a(g413 +g2206 +tp2497 +a(g827 +g990 +tp2498 +a(g413 +V\u005c +p2499 +tp2500 +a(g441 +g1048 +tp2501 +a(g441 +Vremoteport +p2502 +tp2503 +a(g706 +g1389 +tp2504 +a(g827 +V\u000a +p2505 +tp2506 +a(g436 +g1253 +tp2507 +a(g436 +VwindowSize +p2508 +tp2509 +a(g827 +V +p2510 +tp2511 +a(g413 +g1054 +tp2512 +a(g413 +g2206 +tp2513 +a(g827 +g990 +tp2514 +a(g413 +V\u005c +p2515 +tp2516 +a(g441 +g1048 +tp2517 +a(g441 +Vwindow +p2518 +tp2519 +a(g706 +g1389 +tp2520 +a(g827 +V\u000a +p2521 +tp2522 +a(g436 +g1253 +tp2523 +a(g436 +VWarnAssertions +p2524 +tp2525 +a(g827 +g990 +tp2526 +a(g413 +g1054 +tp2527 +a(g413 +g2206 +tp2528 +a(g827 +g990 +tp2529 +a(g413 +V\u005c +p2530 +tp2531 +a(g441 +g1048 +tp2532 +a(g441 +Vwarnassertions +p2533 +tp2534 +a(g706 +g1389 +tp2535 +a(g827 +V\u000a +p2536 +tp2537 +a(g706 +g1104 +tp2538 +a(g706 +g1018 +tp2539 +a(g827 +V\u000a\u000a +p2540 +tp2541 +a(g8 +V=pod\u000a\u000aThird, C<%optionAction> defines the subroutine to be called to process each\u000aoption.\u000a\u000a=cut +p2542 +tp2543 +a(g827 +V \u000a\u000a +p2544 +tp2545 +a(g441 +g2018 +tp2546 +a(g441 +VoptionAction +p2547 +tp2548 +a(g827 +g990 +tp2549 +a(g413 +g1054 +tp2550 +a(g827 +g990 +tp2551 +a(g706 +g1099 +tp2552 +a(g827 +V\u000a +p2553 +tp2554 +a(g436 +g1253 +tp2555 +a(g436 +VcompactDump +p2556 +tp2557 +a(g827 +V +p2558 +tp2559 +a(g413 +g1054 +tp2560 +a(g413 +g2206 +tp2561 +a(g827 +g990 +tp2562 +a(g413 +V\u005c +p2563 +tp2564 +a(g413 +g1251 +tp2565 +a(g436 +g1253 +tp2566 +a(g621 +Vdumpvar:: +p2567 +tp2568 +a(g436 +g1253 +tp2569 +a(g436 +VcompactDump +p2570 +tp2571 +a(g706 +g1389 +tp2572 +a(g827 +V\u000a +p2573 +tp2574 +a(g436 +g1253 +tp2575 +a(g436 +VveryCompact +p2576 +tp2577 +a(g827 +V +p2578 +tp2579 +a(g413 +g1054 +tp2580 +a(g413 +g2206 +tp2581 +a(g827 +g990 +tp2582 +a(g413 +V\u005c +p2583 +tp2584 +a(g413 +g1251 +tp2585 +a(g436 +g1253 +tp2586 +a(g621 +Vdumpvar:: +p2587 +tp2588 +a(g436 +g1253 +tp2589 +a(g436 +VveryCompact +p2590 +tp2591 +a(g706 +g1389 +tp2592 +a(g827 +V\u000a +p2593 +tp2594 +a(g436 +g1253 +tp2595 +a(g436 +Vquote +p2596 +tp2597 +a(g827 +V +p2598 +tp2599 +a(g413 +g1054 +tp2600 +a(g413 +g2206 +tp2601 +a(g827 +g990 +tp2602 +a(g413 +V\u005c +p2603 +tp2604 +a(g413 +g1251 +tp2605 +a(g436 +g1253 +tp2606 +a(g621 +Vdumpvar:: +p2607 +tp2608 +a(g436 +g1253 +tp2609 +a(g436 +Vquote +p2610 +tp2611 +a(g706 +g1389 +tp2612 +a(g827 +V\u000a +p2613 +tp2614 +a(g436 +g1253 +tp2615 +a(g436 +VTTY +p2616 +tp2617 +a(g827 +V +p2618 +tp2619 +a(g413 +g1054 +tp2620 +a(g413 +g2206 +tp2621 +a(g827 +g990 +tp2622 +a(g413 +V\u005c +p2623 +tp2624 +a(g413 +g1251 +tp2625 +a(g436 +g1253 +tp2626 +a(g436 +VTTY +p2627 +tp2628 +a(g706 +g1389 +tp2629 +a(g827 +V\u000a +p2630 +tp2631 +a(g436 +g1253 +tp2632 +a(g436 +VnoTTY +p2633 +tp2634 +a(g827 +V +p2635 +tp2636 +a(g413 +g1054 +tp2637 +a(g413 +g2206 +tp2638 +a(g827 +g990 +tp2639 +a(g413 +V\u005c +p2640 +tp2641 +a(g413 +g1251 +tp2642 +a(g436 +g1253 +tp2643 +a(g436 +VnoTTY +p2644 +tp2645 +a(g706 +g1389 +tp2646 +a(g827 +V\u000a +p2647 +tp2648 +a(g436 +g1253 +tp2649 +a(g436 +VReadLine +p2650 +tp2651 +a(g827 +V +p2652 +tp2653 +a(g413 +g1054 +tp2654 +a(g413 +g2206 +tp2655 +a(g827 +g990 +tp2656 +a(g413 +V\u005c +p2657 +tp2658 +a(g413 +g1251 +tp2659 +a(g436 +g1253 +tp2660 +a(g436 +VReadLine +p2661 +tp2662 +a(g706 +g1389 +tp2663 +a(g827 +V\u000a +p2664 +tp2665 +a(g436 +g1253 +tp2666 +a(g436 +VNonStop +p2667 +tp2668 +a(g827 +V +p2669 +tp2670 +a(g413 +g1054 +tp2671 +a(g413 +g2206 +tp2672 +a(g827 +g990 +tp2673 +a(g413 +V\u005c +p2674 +tp2675 +a(g413 +g1251 +tp2676 +a(g436 +g1253 +tp2677 +a(g436 +VNonStop +p2678 +tp2679 +a(g706 +g1389 +tp2680 +a(g827 +V\u000a +p2681 +tp2682 +a(g436 +g1253 +tp2683 +a(g436 +VLineInfo +p2684 +tp2685 +a(g827 +V +p2686 +tp2687 +a(g413 +g1054 +tp2688 +a(g413 +g2206 +tp2689 +a(g827 +g990 +tp2690 +a(g413 +V\u005c +p2691 +tp2692 +a(g413 +g1251 +tp2693 +a(g436 +g1253 +tp2694 +a(g436 +VLineInfo +p2695 +tp2696 +a(g706 +g1389 +tp2697 +a(g827 +V\u000a +p2698 +tp2699 +a(g436 +g1253 +tp2700 +a(g436 +VrecallCommand +p2701 +tp2702 +a(g827 +g990 +tp2703 +a(g413 +g1054 +tp2704 +a(g413 +g2206 +tp2705 +a(g827 +g990 +tp2706 +a(g413 +V\u005c +p2707 +tp2708 +a(g413 +g1251 +tp2709 +a(g436 +g1253 +tp2710 +a(g436 +VrecallCommand +p2711 +tp2712 +a(g706 +g1389 +tp2713 +a(g827 +V\u000a +p2714 +tp2715 +a(g436 +g1253 +tp2716 +a(g436 +VShellBang +p2717 +tp2718 +a(g827 +V +p2719 +tp2720 +a(g413 +g1054 +tp2721 +a(g413 +g2206 +tp2722 +a(g827 +g990 +tp2723 +a(g413 +V\u005c +p2724 +tp2725 +a(g413 +g1251 +tp2726 +a(g436 +g1253 +tp2727 +a(g436 +VshellBang +p2728 +tp2729 +a(g706 +g1389 +tp2730 +a(g827 +V\u000a +p2731 +tp2732 +a(g436 +g1253 +tp2733 +a(g436 +Vpager +p2734 +tp2735 +a(g827 +V +p2736 +tp2737 +a(g413 +g1054 +tp2738 +a(g413 +g2206 +tp2739 +a(g827 +g990 +tp2740 +a(g413 +V\u005c +p2741 +tp2742 +a(g413 +g1251 +tp2743 +a(g436 +g1253 +tp2744 +a(g436 +Vpager +p2745 +tp2746 +a(g706 +g1389 +tp2747 +a(g827 +V\u000a +p2748 +tp2749 +a(g436 +g1253 +tp2750 +a(g436 +VsignalLevel +p2751 +tp2752 +a(g827 +V +p2753 +tp2754 +a(g413 +g1054 +tp2755 +a(g413 +g2206 +tp2756 +a(g827 +g990 +tp2757 +a(g413 +V\u005c +p2758 +tp2759 +a(g413 +g1251 +tp2760 +a(g436 +g1253 +tp2761 +a(g436 +VsignalLevel +p2762 +tp2763 +a(g706 +g1389 +tp2764 +a(g827 +V\u000a +p2765 +tp2766 +a(g436 +g1253 +tp2767 +a(g436 +VwarnLevel +p2768 +tp2769 +a(g827 +V +p2770 +tp2771 +a(g413 +g1054 +tp2772 +a(g413 +g2206 +tp2773 +a(g827 +g990 +tp2774 +a(g413 +V\u005c +p2775 +tp2776 +a(g413 +g1251 +tp2777 +a(g436 +g1253 +tp2778 +a(g436 +VwarnLevel +p2779 +tp2780 +a(g706 +g1389 +tp2781 +a(g827 +V\u000a +p2782 +tp2783 +a(g436 +g1253 +tp2784 +a(g436 +VdieLevel +p2785 +tp2786 +a(g827 +V +p2787 +tp2788 +a(g413 +g1054 +tp2789 +a(g413 +g2206 +tp2790 +a(g827 +g990 +tp2791 +a(g413 +V\u005c +p2792 +tp2793 +a(g413 +g1251 +tp2794 +a(g436 +g1253 +tp2795 +a(g436 +VdieLevel +p2796 +tp2797 +a(g706 +g1389 +tp2798 +a(g827 +V\u000a +p2799 +tp2800 +a(g436 +g1253 +tp2801 +a(g436 +VtkRunning +p2802 +tp2803 +a(g827 +V +p2804 +tp2805 +a(g413 +g1054 +tp2806 +a(g413 +g2206 +tp2807 +a(g827 +g990 +tp2808 +a(g413 +V\u005c +p2809 +tp2810 +a(g413 +g1251 +tp2811 +a(g436 +g1253 +tp2812 +a(g436 +VtkRunning +p2813 +tp2814 +a(g706 +g1389 +tp2815 +a(g827 +V\u000a +p2816 +tp2817 +a(g436 +g1253 +tp2818 +a(g436 +Vornaments +p2819 +tp2820 +a(g827 +V +p2821 +tp2822 +a(g413 +g1054 +tp2823 +a(g413 +g2206 +tp2824 +a(g827 +g990 +tp2825 +a(g413 +V\u005c +p2826 +tp2827 +a(g413 +g1251 +tp2828 +a(g436 +g1253 +tp2829 +a(g436 +Vornaments +p2830 +tp2831 +a(g706 +g1389 +tp2832 +a(g827 +V\u000a +p2833 +tp2834 +a(g436 +g1253 +tp2835 +a(g436 +VRemotePort +p2836 +tp2837 +a(g827 +V +p2838 +tp2839 +a(g413 +g1054 +tp2840 +a(g413 +g2206 +tp2841 +a(g827 +g990 +tp2842 +a(g413 +V\u005c +p2843 +tp2844 +a(g413 +g1251 +tp2845 +a(g436 +g1253 +tp2846 +a(g436 +VRemotePort +p2847 +tp2848 +a(g706 +g1389 +tp2849 +a(g827 +V\u000a +p2850 +tp2851 +a(g436 +g1253 +tp2852 +a(g436 +VDollarCaretP +p2853 +tp2854 +a(g827 +V +p2855 +tp2856 +a(g413 +g1054 +tp2857 +a(g413 +g2206 +tp2858 +a(g827 +g990 +tp2859 +a(g413 +V\u005c +p2860 +tp2861 +a(g413 +g1251 +tp2862 +a(g436 +g1253 +tp2863 +a(g436 +VDollarCaretP +p2864 +tp2865 +a(g706 +g1389 +tp2866 +a(g827 +V\u000a +p2867 +tp2868 +a(g436 +g1253 +tp2869 +a(g436 +VOnlyAssertions +p2870 +tp2871 +a(g413 +g1054 +tp2872 +a(g413 +g2206 +tp2873 +a(g827 +g990 +tp2874 +a(g413 +V\u005c +p2875 +tp2876 +a(g413 +g1251 +tp2877 +a(g436 +g1253 +tp2878 +a(g436 +VOnlyAssertions +p2879 +tp2880 +a(g706 +g1389 +tp2881 +a(g827 +V\u000a +p2882 +tp2883 +a(g706 +g1104 +tp2884 +a(g706 +g1018 +tp2885 +a(g827 +V\u000a\u000a +p2886 +tp2887 +a(g8 +V=pod\u000a\u000aLast, the C<%optionRequire> notes modules that must be Cd if an\u000aoption is used.\u000a\u000a=cut +p2888 +tp2889 +a(g827 +V\u000a\u000a +p2890 +tp2891 +a(g906 +V# Note that this list is not complete: several options not listed here +p2892 +tp2893 +a(g827 +V\u000a +p2894 +tp2895 +a(g906 +V# actually require that dumpvar.pl be loaded for them to work, but are +p2896 +tp2897 +a(g827 +V\u000a +p2898 +tp2899 +a(g906 +V# not in the table. A subsequent patch will correct this problem; for +p2900 +tp2901 +a(g827 +V\u000a +p2902 +tp2903 +a(g906 +V# the moment, we're just recommenting, and we are NOT going to change +p2904 +tp2905 +a(g827 +V\u000a +p2906 +tp2907 +a(g906 +V# function. +p2908 +tp2909 +a(g827 +V\u000a +p2910 +tp2911 +a(g441 +g2018 +tp2912 +a(g441 +VoptionRequire +p2913 +tp2914 +a(g827 +g990 +tp2915 +a(g413 +g1054 +tp2916 +a(g827 +g990 +tp2917 +a(g706 +g1099 +tp2918 +a(g827 +V\u000a +p2919 +tp2920 +a(g436 +g1253 +tp2921 +a(g436 +VcompactDump +p2922 +tp2923 +a(g827 +g990 +tp2924 +a(g413 +g1054 +tp2925 +a(g413 +g2206 +tp2926 +a(g827 +g990 +tp2927 +a(g89 +V'dumpvar.pl' +p2928 +tp2929 +a(g706 +g1389 +tp2930 +a(g827 +V\u000a +p2931 +tp2932 +a(g436 +g1253 +tp2933 +a(g436 +VveryCompact +p2934 +tp2935 +a(g827 +g990 +tp2936 +a(g413 +g1054 +tp2937 +a(g413 +g2206 +tp2938 +a(g827 +g990 +tp2939 +a(g89 +V'dumpvar.pl' +p2940 +tp2941 +a(g706 +g1389 +tp2942 +a(g827 +V\u000a +p2943 +tp2944 +a(g436 +g1253 +tp2945 +a(g436 +Vquote +p2946 +tp2947 +a(g827 +V +p2948 +tp2949 +a(g413 +g1054 +tp2950 +a(g413 +g2206 +tp2951 +a(g827 +g990 +tp2952 +a(g89 +V'dumpvar.pl' +p2953 +tp2954 +a(g706 +g1389 +tp2955 +a(g827 +V\u000a +p2956 +tp2957 +a(g706 +g1104 +tp2958 +a(g706 +g1018 +tp2959 +a(g827 +V\u000a\u000a +p2960 +tp2961 +a(g8 +V=pod\u000a\u000aThere are a number of initialization-related variables which can be set\u000aby putting code to set them in a BEGIN block in the C environment\u000avariable. These are:\u000a\u000a=over 4\u000a\u000a=item C<$rl> - readline control XXX needs more explanation\u000a\u000a=item C<$warnLevel> - whether or not debugger takes over warning handling\u000a\u000a=item C<$dieLevel> - whether or not debugger takes over die handling\u000a\u000a=item C<$signalLevel> - whether or not debugger takes over signal handling\u000a\u000a=item C<$pre> - preprompt actions (array reference)\u000a\u000a=item C<$post> - postprompt actions (array reference)\u000a\u000a=item C<$pretype>\u000a\u000a=item C<$CreateTTY> - whether or not to create a new TTY for this debugger\u000a\u000a=item C<$CommandSet> - which command set to use (defaults to new, documented set)\u000a\u000a=back\u000a\u000a=cut +p2962 +tp2963 +a(g827 +V\u000a\u000a +p2964 +tp2965 +a(g906 +V# These guys may be defined in $ENV{PERL5DB} : +p2966 +tp2967 +a(g827 +V\u000a +p2968 +tp2969 +a(g441 +g1048 +tp2970 +a(g441 +Vrl +p2971 +tp2972 +a(g827 +V +p2973 +tp2974 +a(g413 +g1054 +tp2975 +a(g827 +g990 +tp2976 +a(g37 +g2150 +tp2977 +a(g827 +V +p2978 +tp2979 +a(g745 +Vunless +p2980 +tp2981 +a(g827 +g990 +tp2982 +a(g682 +Vdefined +p2983 +tp2984 +a(g827 +g990 +tp2985 +a(g441 +g1048 +tp2986 +a(g441 +Vrl +p2987 +tp2988 +a(g706 +g1018 +tp2989 +a(g827 +V\u000a +p2990 +tp2991 +a(g441 +g1048 +tp2992 +a(g441 +VwarnLevel +p2993 +tp2994 +a(g827 +V +p2995 +tp2996 +a(g413 +g1054 +tp2997 +a(g827 +g990 +tp2998 +a(g37 +g2150 +tp2999 +a(g827 +V +p3000 +tp3001 +a(g745 +Vunless +p3002 +tp3003 +a(g827 +g990 +tp3004 +a(g682 +Vdefined +p3005 +tp3006 +a(g827 +g990 +tp3007 +a(g441 +g1048 +tp3008 +a(g441 +VwarnLevel +p3009 +tp3010 +a(g706 +g1018 +tp3011 +a(g827 +V\u000a +p3012 +tp3013 +a(g441 +g1048 +tp3014 +a(g441 +VdieLevel +p3015 +tp3016 +a(g827 +V +p3017 +tp3018 +a(g413 +g1054 +tp3019 +a(g827 +g990 +tp3020 +a(g37 +g2150 +tp3021 +a(g827 +V +p3022 +tp3023 +a(g745 +Vunless +p3024 +tp3025 +a(g827 +g990 +tp3026 +a(g682 +Vdefined +p3027 +tp3028 +a(g827 +g990 +tp3029 +a(g441 +g1048 +tp3030 +a(g441 +VdieLevel +p3031 +tp3032 +a(g706 +g1018 +tp3033 +a(g827 +V\u000a +p3034 +tp3035 +a(g441 +g1048 +tp3036 +a(g441 +VsignalLevel +p3037 +tp3038 +a(g827 +g990 +tp3039 +a(g413 +g1054 +tp3040 +a(g827 +g990 +tp3041 +a(g37 +g2150 +tp3042 +a(g827 +V +p3043 +tp3044 +a(g745 +Vunless +p3045 +tp3046 +a(g827 +g990 +tp3047 +a(g682 +Vdefined +p3048 +tp3049 +a(g827 +g990 +tp3050 +a(g441 +g1048 +tp3051 +a(g441 +VsignalLevel +p3052 +tp3053 +a(g706 +g1018 +tp3054 +a(g827 +V\u000a +p3055 +tp3056 +a(g441 +g1048 +tp3057 +a(g441 +Vpre +p3058 +tp3059 +a(g827 +V +p3060 +tp3061 +a(g413 +g1054 +tp3062 +a(g827 +g990 +tp3063 +a(g413 +V[] +p3064 +tp3065 +a(g827 +V +p3066 +tp3067 +a(g745 +Vunless +p3068 +tp3069 +a(g827 +g990 +tp3070 +a(g682 +Vdefined +p3071 +tp3072 +a(g827 +g990 +tp3073 +a(g441 +g1048 +tp3074 +a(g441 +Vpre +p3075 +tp3076 +a(g706 +g1018 +tp3077 +a(g827 +V\u000a +p3078 +tp3079 +a(g441 +g1048 +tp3080 +a(g441 +Vpost +p3081 +tp3082 +a(g827 +V +p3083 +tp3084 +a(g413 +g1054 +tp3085 +a(g827 +g990 +tp3086 +a(g413 +V[] +p3087 +tp3088 +a(g827 +V +p3089 +tp3090 +a(g745 +Vunless +p3091 +tp3092 +a(g827 +g990 +tp3093 +a(g682 +Vdefined +p3094 +tp3095 +a(g827 +g990 +tp3096 +a(g441 +g1048 +tp3097 +a(g441 +Vpost +p3098 +tp3099 +a(g706 +g1018 +tp3100 +a(g827 +V\u000a +p3101 +tp3102 +a(g441 +g1048 +tp3103 +a(g441 +Vpretype +p3104 +tp3105 +a(g827 +V +p3106 +tp3107 +a(g413 +g1054 +tp3108 +a(g827 +g990 +tp3109 +a(g413 +V[] +p3110 +tp3111 +a(g827 +V +p3112 +tp3113 +a(g745 +Vunless +p3114 +tp3115 +a(g827 +g990 +tp3116 +a(g682 +Vdefined +p3117 +tp3118 +a(g827 +g990 +tp3119 +a(g441 +g1048 +tp3120 +a(g441 +Vpretype +p3121 +tp3122 +a(g706 +g1018 +tp3123 +a(g827 +V\u000a +p3124 +tp3125 +a(g441 +g1048 +tp3126 +a(g441 +VCreateTTY +p3127 +tp3128 +a(g827 +V +p3129 +tp3130 +a(g413 +g1054 +tp3131 +a(g827 +g990 +tp3132 +a(g37 +V3 +p3133 +tp3134 +a(g827 +V +p3135 +tp3136 +a(g745 +Vunless +p3137 +tp3138 +a(g827 +g990 +tp3139 +a(g682 +Vdefined +p3140 +tp3141 +a(g827 +g990 +tp3142 +a(g441 +g1048 +tp3143 +a(g441 +VCreateTTY +p3144 +tp3145 +a(g706 +g1018 +tp3146 +a(g827 +V\u000a +p3147 +tp3148 +a(g441 +g1048 +tp3149 +a(g441 +VCommandSet +p3150 +tp3151 +a(g827 +V +p3152 +tp3153 +a(g413 +g1054 +tp3154 +a(g827 +g990 +tp3155 +a(g89 +V'580' +p3156 +tp3157 +a(g827 +g990 +tp3158 +a(g745 +Vunless +p3159 +tp3160 +a(g827 +g990 +tp3161 +a(g682 +Vdefined +p3162 +tp3163 +a(g827 +g990 +tp3164 +a(g441 +g1048 +tp3165 +a(g441 +VCommandSet +p3166 +tp3167 +a(g706 +g1018 +tp3168 +a(g827 +V\u000a\u000a +p3169 +tp3170 +a(g436 +g1253 +tp3171 +a(g436 +Vshare +p3172 +tp3173 +a(g706 +g1099 +tp3174 +a(g441 +g1048 +tp3175 +a(g441 +Vrl +p3176 +tp3177 +a(g706 +g1104 +tp3178 +a(g706 +g1018 +tp3179 +a(g827 +V\u000a +p3180 +tp3181 +a(g436 +g1253 +tp3182 +a(g436 +Vshare +p3183 +tp3184 +a(g706 +g1099 +tp3185 +a(g441 +g1048 +tp3186 +a(g441 +VwarnLevel +p3187 +tp3188 +a(g706 +g1104 +tp3189 +a(g706 +g1018 +tp3190 +a(g827 +V\u000a +p3191 +tp3192 +a(g436 +g1253 +tp3193 +a(g436 +Vshare +p3194 +tp3195 +a(g706 +g1099 +tp3196 +a(g441 +g1048 +tp3197 +a(g441 +VdieLevel +p3198 +tp3199 +a(g706 +g1104 +tp3200 +a(g706 +g1018 +tp3201 +a(g827 +V\u000a +p3202 +tp3203 +a(g436 +g1253 +tp3204 +a(g436 +Vshare +p3205 +tp3206 +a(g706 +g1099 +tp3207 +a(g441 +g1048 +tp3208 +a(g441 +VsignalLevel +p3209 +tp3210 +a(g706 +g1104 +tp3211 +a(g706 +g1018 +tp3212 +a(g827 +V\u000a +p3213 +tp3214 +a(g436 +g1253 +tp3215 +a(g436 +Vshare +p3216 +tp3217 +a(g706 +g1099 +tp3218 +a(g441 +g1048 +tp3219 +a(g441 +Vpre +p3220 +tp3221 +a(g706 +g1104 +tp3222 +a(g706 +g1018 +tp3223 +a(g827 +V\u000a +p3224 +tp3225 +a(g436 +g1253 +tp3226 +a(g436 +Vshare +p3227 +tp3228 +a(g706 +g1099 +tp3229 +a(g441 +g1048 +tp3230 +a(g441 +Vpost +p3231 +tp3232 +a(g706 +g1104 +tp3233 +a(g706 +g1018 +tp3234 +a(g827 +V\u000a +p3235 +tp3236 +a(g436 +g1253 +tp3237 +a(g436 +Vshare +p3238 +tp3239 +a(g706 +g1099 +tp3240 +a(g441 +g1048 +tp3241 +a(g441 +Vpretype +p3242 +tp3243 +a(g706 +g1104 +tp3244 +a(g706 +g1018 +tp3245 +a(g827 +V\u000a +p3246 +tp3247 +a(g436 +g1253 +tp3248 +a(g436 +Vshare +p3249 +tp3250 +a(g706 +g1099 +tp3251 +a(g441 +g1048 +tp3252 +a(g441 +Vrl +p3253 +tp3254 +a(g706 +g1104 +tp3255 +a(g706 +g1018 +tp3256 +a(g827 +V\u000a +p3257 +tp3258 +a(g436 +g1253 +tp3259 +a(g436 +Vshare +p3260 +tp3261 +a(g706 +g1099 +tp3262 +a(g441 +g1048 +tp3263 +a(g441 +VCreateTTY +p3264 +tp3265 +a(g706 +g1104 +tp3266 +a(g706 +g1018 +tp3267 +a(g827 +V\u000a +p3268 +tp3269 +a(g436 +g1253 +tp3270 +a(g436 +Vshare +p3271 +tp3272 +a(g706 +g1099 +tp3273 +a(g441 +g1048 +tp3274 +a(g441 +VCommandSet +p3275 +tp3276 +a(g706 +g1104 +tp3277 +a(g706 +g1018 +tp3278 +a(g827 +V\u000a\u000a +p3279 +tp3280 +a(g8 +V=pod\u000a\u000aThe default C, C, and C handlers are set up.\u000a\u000a=cut +p3281 +tp3282 +a(g827 +V\u000a\u000a +p3283 +tp3284 +a(g436 +g1253 +tp3285 +a(g436 +VwarnLevel +p3286 +tp3287 +a(g706 +g1099 +tp3288 +a(g441 +g1048 +tp3289 +a(g441 +VwarnLevel +p3290 +tp3291 +a(g706 +g1104 +tp3292 +a(g706 +g1018 +tp3293 +a(g827 +V\u000a +p3294 +tp3295 +a(g436 +g1253 +tp3296 +a(g436 +VdieLevel +p3297 +tp3298 +a(g706 +g1099 +tp3299 +a(g441 +g1048 +tp3300 +a(g441 +VdieLevel +p3301 +tp3302 +a(g706 +g1104 +tp3303 +a(g706 +g1018 +tp3304 +a(g827 +V\u000a +p3305 +tp3306 +a(g436 +g1253 +tp3307 +a(g436 +VsignalLevel +p3308 +tp3309 +a(g706 +g1099 +tp3310 +a(g441 +g1048 +tp3311 +a(g441 +VsignalLevel +p3312 +tp3313 +a(g706 +g1104 +tp3314 +a(g706 +g1018 +tp3315 +a(g827 +V\u000a\u000a +p3316 +tp3317 +a(g8 +V=pod\u000a\u000aThe pager to be used is needed next. We try to get it from the\u000aenvironment first. if it's not defined there, we try to find it in\u000athe Perl C. If it's not there, we default to C. We\u000athen call the C function to save the pager name.\u000a\u000a=cut +p3318 +tp3319 +a(g827 +V\u000a\u000a +p3320 +tp3321 +a(g906 +V# This routine makes sure $pager is set up so that '|' can use it. +p3322 +tp3323 +a(g827 +V\u000a +p3324 +tp3325 +a(g436 +g1253 +tp3326 +a(g436 +Vpager +p3327 +tp3328 +a(g706 +g1099 +tp3329 +a(g827 +V\u000a\u000a +p3330 +tp3331 +a(g906 +V# If PAGER is defined in the environment, use it. +p3332 +tp3333 +a(g827 +V\u000a +p3334 +tp3335 +a(g682 +Vdefined +p3336 +tp3337 +a(g827 +g990 +tp3338 +a(g441 +g1048 +tp3339 +a(g441 +VENV +p3340 +tp3341 +a(g706 +g995 +tp3342 +a(g436 +g1253 +tp3343 +a(g436 +VPAGER +p3344 +tp3345 +a(g706 +g1119 +tp3346 +a(g827 +V\u000a +p3347 +tp3348 +a(g706 +V? +p3349 +tp3350 +a(g827 +g990 +tp3351 +a(g441 +g1048 +tp3352 +a(g441 +VENV +p3353 +tp3354 +a(g706 +g995 +tp3355 +a(g436 +g1253 +tp3356 +a(g436 +VPAGER +p3357 +tp3358 +a(g706 +g1119 +tp3359 +a(g827 +V\u000a\u000a +p3360 +tp3361 +a(g906 +V# If not, see if Config.pm defines it. +p3362 +tp3363 +a(g827 +V\u000a +p3364 +tp3365 +a(g706 +V: +p3366 +tp3367 +a(g827 +g990 +tp3368 +a(g682 +Veval +p3369 +tp3370 +a(g827 +g990 +tp3371 +a(g706 +g995 +tp3372 +a(g827 +g990 +tp3373 +a(g745 +Vrequire +p3374 +tp3375 +a(g827 +g990 +tp3376 +a(g621 +VConfig +p3377 +tp3378 +a(g827 +g990 +tp3379 +a(g706 +g1119 +tp3380 +a(g827 +V\u000a +p3381 +tp3382 +a(g413 +V&& +p3383 +tp3384 +a(g827 +g990 +tp3385 +a(g682 +Vdefined +p3386 +tp3387 +a(g827 +g990 +tp3388 +a(g441 +g1048 +tp3389 +a(g621 +VConfig:: +p3390 +tp3391 +a(g441 +VConfig +p3392 +tp3393 +a(g706 +g995 +tp3394 +a(g436 +g1253 +tp3395 +a(g436 +Vpager +p3396 +tp3397 +a(g706 +g1119 +tp3398 +a(g827 +V\u000a +p3399 +tp3400 +a(g706 +g3349 +tp3401 +a(g827 +g990 +tp3402 +a(g441 +g1048 +tp3403 +a(g621 +VConfig:: +p3404 +tp3405 +a(g441 +VConfig +p3406 +tp3407 +a(g706 +g995 +tp3408 +a(g436 +g1253 +tp3409 +a(g436 +Vpager +p3410 +tp3411 +a(g706 +g1119 +tp3412 +a(g827 +V\u000a\u000a +p3413 +tp3414 +a(g906 +V# If not, fall back to 'more'. +p3415 +tp3416 +a(g827 +V\u000a +p3417 +tp3418 +a(g706 +g3366 +tp3419 +a(g827 +g990 +tp3420 +a(g89 +V'more' +p3421 +tp3422 +a(g827 +V\u000a +p3423 +tp3424 +a(g706 +g1104 +tp3425 +a(g827 +V\u000a +p3426 +tp3427 +a(g745 +Vunless +p3428 +tp3429 +a(g827 +g990 +tp3430 +a(g682 +Vdefined +p3431 +tp3432 +a(g827 +g990 +tp3433 +a(g441 +g1048 +tp3434 +a(g441 +Vpager +p3435 +tp3436 +a(g706 +g1018 +tp3437 +a(g827 +V\u000a\u000a +p3438 +tp3439 +a(g8 +V=pod\u000a\u000aWe set up the command to be used to access the man pages, the command\u000arecall character (C unless otherwise defined) and the shell escape\u000acharacter (C unless otherwise defined). Yes, these do conflict, and\u000aneither works in the debugger at the moment.\u000a\u000a=cut +p3440 +tp3441 +a(g827 +V\u000a\u000a +p3442 +tp3443 +a(g436 +g1253 +tp3444 +a(g436 +Vsetman +p3445 +tp3446 +a(g706 +g1099 +tp3447 +a(g706 +g1104 +tp3448 +a(g706 +g1018 +tp3449 +a(g827 +V\u000a\u000a +p3450 +tp3451 +a(g906 +V# Set up defaults for command recall and shell escape (note: +p3452 +tp3453 +a(g827 +V\u000a +p3454 +tp3455 +a(g906 +V# these currently don't work in linemode debugging). +p3456 +tp3457 +a(g827 +V\u000a +p3458 +tp3459 +a(g413 +g1251 +tp3460 +a(g436 +g1253 +tp3461 +a(g436 +VrecallCommand +p3462 +tp3463 +a(g706 +g1099 +tp3464 +a(g89 +V"!" +p3465 +tp3466 +a(g706 +g1104 +tp3467 +a(g827 +g990 +tp3468 +a(g745 +Vunless +p3469 +tp3470 +a(g827 +g990 +tp3471 +a(g682 +Vdefined +p3472 +tp3473 +a(g827 +g990 +tp3474 +a(g441 +g1048 +tp3475 +a(g441 +Vprc +p3476 +tp3477 +a(g706 +g1018 +tp3478 +a(g827 +V\u000a +p3479 +tp3480 +a(g413 +g1251 +tp3481 +a(g436 +g1253 +tp3482 +a(g436 +VshellBang +p3483 +tp3484 +a(g706 +g1099 +tp3485 +a(g89 +V"!" +p3486 +tp3487 +a(g706 +g1104 +tp3488 +a(g827 +V +p3489 +tp3490 +a(g745 +Vunless +p3491 +tp3492 +a(g827 +g990 +tp3493 +a(g682 +Vdefined +p3494 +tp3495 +a(g827 +g990 +tp3496 +a(g441 +g1048 +tp3497 +a(g441 +Vpsh +p3498 +tp3499 +a(g706 +g1018 +tp3500 +a(g827 +V\u000a\u000a +p3501 +tp3502 +a(g8 +V=pod\u000a\u000aWe then set up the gigantic string containing the debugger help.\u000aWe also set the limit on the number of arguments we'll display during a\u000atrace.\u000a\u000a=cut +p3503 +tp3504 +a(g827 +V\u000a\u000a +p3505 +tp3506 +a(g436 +g1253 +tp3507 +a(g436 +Vsethelp +p3508 +tp3509 +a(g706 +g1099 +tp3510 +a(g706 +g1104 +tp3511 +a(g706 +g1018 +tp3512 +a(g827 +V\u000a\u000a +p3513 +tp3514 +a(g906 +V# If we didn't get a default for the length of eval/stack trace args, +p3515 +tp3516 +a(g827 +V\u000a +p3517 +tp3518 +a(g906 +V# set it here. +p3519 +tp3520 +a(g827 +V\u000a +p3521 +tp3522 +a(g441 +g1048 +tp3523 +a(g441 +Vmaxtrace +p3524 +tp3525 +a(g827 +g990 +tp3526 +a(g413 +g1054 +tp3527 +a(g827 +g990 +tp3528 +a(g37 +V400 +p3529 +tp3530 +a(g827 +g990 +tp3531 +a(g745 +Vunless +p3532 +tp3533 +a(g827 +g990 +tp3534 +a(g682 +Vdefined +p3535 +tp3536 +a(g827 +g990 +tp3537 +a(g441 +g1048 +tp3538 +a(g441 +Vmaxtrace +p3539 +tp3540 +a(g706 +g1018 +tp3541 +a(g827 +V\u000a\u000a +p3542 +tp3543 +a(g8 +V=head2 SETTING UP THE DEBUGGER GREETING\u000a\u000aThe debugger I helps to inform the user how many debuggers are\u000arunning, and whether the current debugger is the primary or a child.\u000a\u000aIf we are the primary, we just hang onto our pid so we'll have it when\u000aor if we start a child debugger. If we are a child, we'll set things up\u000aso we'll have a unique greeting and so the parent will give us our own\u000aTTY later.\u000a\u000aWe save the current contents of the C environment variable\u000abecause we mess around with it. We'll also need to hang onto it because\u000awe'll need it if we restart.\u000a\u000aChild debuggers make a label out of the current PID structure recorded in\u000aPERLDB_PIDS plus the new PID. They also mark themselves as not having a TTY\u000ayet so the parent will give them one later via C.\u000a\u000a=cut +p3544 +tp3545 +a(g827 +V\u000a\u000a +p3546 +tp3547 +a(g906 +V# Save the current contents of the environment; we're about to +p3548 +tp3549 +a(g827 +V\u000a +p3550 +tp3551 +a(g906 +V# much with it. We'll need this if we have to restart. +p3552 +tp3553 +a(g827 +V\u000a +p3554 +tp3555 +a(g441 +g1048 +tp3556 +a(g441 +Vini_pids +p3557 +tp3558 +a(g827 +g990 +tp3559 +a(g413 +g1054 +tp3560 +a(g827 +g990 +tp3561 +a(g441 +g1048 +tp3562 +a(g441 +VENV +p3563 +tp3564 +a(g706 +g995 +tp3565 +a(g436 +g1253 +tp3566 +a(g436 +VPERLDB_PIDS +p3567 +tp3568 +a(g706 +g1119 +tp3569 +a(g706 +g1018 +tp3570 +a(g827 +V\u000a\u000a +p3571 +tp3572 +a(g745 +Vif +p3573 +tp3574 +a(g827 +g990 +tp3575 +a(g706 +g1099 +tp3576 +a(g827 +g990 +tp3577 +a(g682 +Vdefined +p3578 +tp3579 +a(g827 +g990 +tp3580 +a(g441 +g1048 +tp3581 +a(g441 +VENV +p3582 +tp3583 +a(g706 +g995 +tp3584 +a(g436 +g1253 +tp3585 +a(g436 +VPERLDB_PIDS +p3586 +tp3587 +a(g706 +g1119 +tp3588 +a(g827 +g990 +tp3589 +a(g706 +g1104 +tp3590 +a(g827 +g990 +tp3591 +a(g706 +g995 +tp3592 +a(g827 +V\u000a\u000a +p3593 +tp3594 +a(g906 +V# We're a child. Make us a label out of the current PID structure +p3595 +tp3596 +a(g827 +V\u000a +p3597 +tp3598 +a(g906 +V# recorded in PERLDB_PIDS plus our (new) PID. Mark us as not having +p3599 +tp3600 +a(g827 +V\u000a +p3601 +tp3602 +a(g906 +V# a term yet so the parent will give us one later via resetterm(). +p3603 +tp3604 +a(g827 +V\u000a +p3605 +tp3606 +a(g441 +g1048 +tp3607 +a(g441 +Vpids +p3608 +tp3609 +a(g827 +g990 +tp3610 +a(g413 +g1054 +tp3611 +a(g827 +g990 +tp3612 +a(g89 +V"[$ENV{PERLDB_PIDS}]" +p3613 +tp3614 +a(g706 +g1018 +tp3615 +a(g827 +V\u000a +p3616 +tp3617 +a(g441 +g1048 +tp3618 +a(g441 +VENV +p3619 +tp3620 +a(g706 +g995 +tp3621 +a(g436 +g1253 +tp3622 +a(g436 +VPERLDB_PIDS +p3623 +tp3624 +a(g706 +g1119 +tp3625 +a(g827 +g990 +tp3626 +a(g413 +g2041 +tp3627 +a(g413 +g1054 +tp3628 +a(g827 +g990 +tp3629 +a(g89 +V"->$$" +p3630 +tp3631 +a(g706 +g1018 +tp3632 +a(g827 +V\u000a +p3633 +tp3634 +a(g441 +g1048 +tp3635 +a(g441 +Vterm_pid +p3636 +tp3637 +a(g827 +g990 +tp3638 +a(g413 +g1054 +tp3639 +a(g827 +g990 +tp3640 +a(g413 +V- +p3641 +tp3642 +a(g37 +g2150 +tp3643 +a(g706 +g1018 +tp3644 +a(g827 +V\u000a +p3645 +tp3646 +a(g706 +g1119 +tp3647 +a(g827 +g990 +tp3648 +a(g906 +V## end if (defined $ENV{PERLDB_PIDS... +p3649 +tp3650 +a(g827 +V\u000a +p3651 +tp3652 +a(g745 +Velse +p3653 +tp3654 +a(g827 +g990 +tp3655 +a(g706 +g995 +tp3656 +a(g827 +V\u000a\u000a +p3657 +tp3658 +a(g906 +V# We're the parent PID. Initialize PERLDB_PID in case we end up with a +p3659 +tp3660 +a(g827 +V\u000a +p3661 +tp3662 +a(g906 +V# child debugger, and mark us as the parent, so we'll know to set up +p3663 +tp3664 +a(g827 +V\u000a +p3665 +tp3666 +a(g906 +V# more TTY's is we have to. +p3667 +tp3668 +a(g827 +V\u000a +p3669 +tp3670 +a(g441 +g1048 +tp3671 +a(g441 +VENV +p3672 +tp3673 +a(g706 +g995 +tp3674 +a(g436 +g1253 +tp3675 +a(g436 +VPERLDB_PIDS +p3676 +tp3677 +a(g706 +g1119 +tp3678 +a(g827 +g990 +tp3679 +a(g413 +g1054 +tp3680 +a(g827 +g990 +tp3681 +a(g89 +V"$$" +p3682 +tp3683 +a(g706 +g1018 +tp3684 +a(g827 +V\u000a +p3685 +tp3686 +a(g441 +g1048 +tp3687 +a(g441 +Vpids +p3688 +tp3689 +a(g827 +V +p3690 +tp3691 +a(g413 +g1054 +tp3692 +a(g827 +g990 +tp3693 +a(g89 +V"{pid=$$}" +p3694 +tp3695 +a(g706 +g1018 +tp3696 +a(g827 +V\u000a +p3697 +tp3698 +a(g441 +g1048 +tp3699 +a(g441 +Vterm_pid +p3700 +tp3701 +a(g827 +V +p3702 +tp3703 +a(g413 +g1054 +tp3704 +a(g827 +g990 +tp3705 +a(g470 +V$$ +p3706 +tp3707 +a(g706 +g1018 +tp3708 +a(g827 +V\u000a +p3709 +tp3710 +a(g706 +g1119 +tp3711 +a(g827 +V\u000a\u000a +p3712 +tp3713 +a(g441 +g1048 +tp3714 +a(g441 +Vpidprompt +p3715 +tp3716 +a(g827 +g990 +tp3717 +a(g413 +g1054 +tp3718 +a(g827 +g990 +tp3719 +a(g89 +V'' +p3720 +tp3721 +a(g706 +g1018 +tp3722 +a(g827 +V\u000a\u000a +p3723 +tp3724 +a(g906 +V# Sets up $emacs as a synonym for $slave_editor. +p3725 +tp3726 +a(g827 +V\u000a +p3727 +tp3728 +a(g413 +g1804 +tp3729 +a(g436 +g1253 +tp3730 +a(g436 +Vemacs +p3731 +tp3732 +a(g827 +g990 +tp3733 +a(g413 +g1054 +tp3734 +a(g827 +g990 +tp3735 +a(g441 +g1048 +tp3736 +a(g441 +Vslave_editor +p3737 +tp3738 +a(g827 +g990 +tp3739 +a(g745 +Vif +p3740 +tp3741 +a(g827 +g990 +tp3742 +a(g441 +g1048 +tp3743 +a(g441 +Vslave_editor +p3744 +tp3745 +a(g706 +g1018 +tp3746 +a(g827 +V +p3747 +tp3748 +a(g906 +V# May be used in afterinit()... +p3749 +tp3750 +a(g827 +V\u000a\u000a +p3751 +tp3752 +a(g8 +V=head2 READING THE RC FILE\u000a\u000aThe debugger will read a file of initialization options if supplied. If \u000arunning interactively, this is C<.perldb>; if not, it's C.\u000a\u000a=cut +p3753 +tp3754 +a(g827 +V \u000a\u000a +p3755 +tp3756 +a(g906 +V# As noted, this test really doesn't check accurately that the debugger +p3757 +tp3758 +a(g827 +V\u000a +p3759 +tp3760 +a(g906 +V# is running at a terminal or not. +p3761 +tp3762 +a(g827 +V\u000a\u000a +p3763 +tp3764 +a(g745 +Vif +p3765 +tp3766 +a(g827 +g990 +tp3767 +a(g706 +g1099 +tp3768 +a(g827 +g990 +tp3769 +a(g413 +g3641 +tp3770 +a(g436 +g1253 +tp3771 +a(g436 +Ve +p3772 +tp3773 +a(g827 +g990 +tp3774 +a(g89 +V"/dev/tty" +p3775 +tp3776 +a(g827 +g990 +tp3777 +a(g706 +g1104 +tp3778 +a(g827 +g990 +tp3779 +a(g706 +g995 +tp3780 +a(g827 +V +p3781 +tp3782 +a(g906 +V# this is the wrong metric! +p3783 +tp3784 +a(g827 +V\u000a +p3785 +tp3786 +a(g441 +g1048 +tp3787 +a(g441 +Vrcfile +p3788 +tp3789 +a(g827 +g990 +tp3790 +a(g413 +g1054 +tp3791 +a(g827 +g990 +tp3792 +a(g89 +V".perldb" +p3793 +tp3794 +a(g706 +g1018 +tp3795 +a(g827 +V\u000a +p3796 +tp3797 +a(g706 +g1119 +tp3798 +a(g827 +V\u000a +p3799 +tp3800 +a(g745 +Velse +p3801 +tp3802 +a(g827 +g990 +tp3803 +a(g706 +g995 +tp3804 +a(g827 +V\u000a +p3805 +tp3806 +a(g441 +g1048 +tp3807 +a(g441 +Vrcfile +p3808 +tp3809 +a(g827 +g990 +tp3810 +a(g413 +g1054 +tp3811 +a(g827 +g990 +tp3812 +a(g89 +V"perldb.ini" +p3813 +tp3814 +a(g706 +g1018 +tp3815 +a(g827 +V\u000a +p3816 +tp3817 +a(g706 +g1119 +tp3818 +a(g827 +V\u000a\u000a +p3819 +tp3820 +a(g8 +V=pod\u000a\u000aThe debugger does a safety test of the file to be read. It must be owned\u000aeither by the current user or root, and must only be writable by the owner.\u000a\u000a=cut +p3821 +tp3822 +a(g827 +V\u000a\u000a +p3823 +tp3824 +a(g906 +V# This wraps a safety test around "do" to read and evaluate the init file. +p3825 +tp3826 +a(g827 +V\u000a +p3827 +tp3828 +a(g906 +g976 +tp3829 +a(g827 +V\u000a +p3830 +tp3831 +a(g906 +V# This isn't really safe, because there's a race +p3832 +tp3833 +a(g827 +V\u000a +p3834 +tp3835 +a(g906 +V# between checking and opening. The solution is to +p3836 +tp3837 +a(g827 +V\u000a +p3838 +tp3839 +a(g906 +V# open and fstat the handle, but then you have to read and +p3840 +tp3841 +a(g827 +V\u000a +p3842 +tp3843 +a(g906 +V# eval the contents. But then the silly thing gets +p3844 +tp3845 +a(g827 +V\u000a +p3846 +tp3847 +a(g906 +V# your lexical scope, which is unfortunate at best. +p3848 +tp3849 +a(g827 +V\u000a +p3850 +tp3851 +a(g745 +Vsub +p3852 +tp3853 +a(g827 +g990 +tp3854 +a(g574 +Vsafe_do +p3855 +tp3856 +a(g827 +g990 +tp3857 +a(g706 +g995 +tp3858 +a(g827 +V\u000a +p3859 +tp3860 +a(g745 +Vmy +p3861 +tp3862 +a(g827 +g990 +tp3863 +a(g441 +g1048 +tp3864 +a(g441 +Vfile +p3865 +tp3866 +a(g827 +g990 +tp3867 +a(g413 +g1054 +tp3868 +a(g827 +g990 +tp3869 +a(g682 +Vshift +p3870 +tp3871 +a(g706 +g1018 +tp3872 +a(g827 +V\u000a\u000a +p3873 +tp3874 +a(g906 +V# Just exactly what part of the word "CORE::" don't you understand? +p3875 +tp3876 +a(g827 +V\u000a +p3877 +tp3878 +a(g682 +Vlocal +p3879 +tp3880 +a(g827 +g990 +tp3881 +a(g441 +g1048 +tp3882 +a(g441 +VSIG +p3883 +tp3884 +a(g706 +g995 +tp3885 +a(g694 +V__WARN__ +p3886 +tp3887 +a(g706 +g1119 +tp3888 +a(g706 +g1018 +tp3889 +a(g827 +V\u000a +p3890 +tp3891 +a(g682 +Vlocal +p3892 +tp3893 +a(g827 +g990 +tp3894 +a(g441 +g1048 +tp3895 +a(g441 +VSIG +p3896 +tp3897 +a(g706 +g995 +tp3898 +a(g694 +V__DIE__ +p3899 +tp3900 +a(g706 +g1119 +tp3901 +a(g706 +g1018 +tp3902 +a(g827 +V\u000a\u000a +p3903 +tp3904 +a(g745 +Vunless +p3905 +tp3906 +a(g827 +g990 +tp3907 +a(g706 +g1099 +tp3908 +a(g827 +g990 +tp3909 +a(g436 +g1253 +tp3910 +a(g436 +Vis_safe_file +p3911 +tp3912 +a(g706 +g1099 +tp3913 +a(g441 +g1048 +tp3914 +a(g441 +Vfile +p3915 +tp3916 +a(g706 +g1104 +tp3917 +a(g827 +g990 +tp3918 +a(g706 +g1104 +tp3919 +a(g827 +g990 +tp3920 +a(g706 +g995 +tp3921 +a(g827 +V\u000a +p3922 +tp3923 +a(g436 +g1253 +tp3924 +a(g621 +VCORE:: +p3925 +tp3926 +a(g682 +Vwarn +p3927 +tp3928 +a(g827 +g990 +tp3929 +a(g89 +V<< +p3930 +tp3931 +a(g133 +VEO_GRIPE +p3932 +tp3933 +a(g89 +V;\u000aperldb: Must not source insecure rcfile $file.\u000a You or the superuser must be the owner, and it must not \u000a be writable by anyone but its owner.\u000a +p3934 +tp3935 +a(g133 +VEO_GRIPE +p3936 +tp3937 +a(g827 +V\u000a +p3938 +tp3939 +a(g827 +V +p3940 +tp3941 +a(g745 +Vreturn +p3942 +tp3943 +a(g706 +g1018 +tp3944 +a(g827 +V\u000a +p3945 +tp3946 +a(g706 +g1119 +tp3947 +a(g827 +g990 +tp3948 +a(g906 +V## end unless (is_safe_file($file... +p3949 +tp3950 +a(g827 +V\u000a\u000a +p3951 +tp3952 +a(g745 +Vdo +p3953 +tp3954 +a(g827 +g990 +tp3955 +a(g441 +g1048 +tp3956 +a(g441 +Vfile +p3957 +tp3958 +a(g706 +g1018 +tp3959 +a(g827 +V\u000a +p3960 +tp3961 +a(g436 +g1253 +tp3962 +a(g621 +VCORE:: +p3963 +tp3964 +a(g682 +Vwarn +p3965 +tp3966 +a(g706 +g1099 +tp3967 +a(g89 +V"perldb: couldn't parse $file: $@" +p3968 +tp3969 +a(g706 +g1104 +tp3970 +a(g827 +g990 +tp3971 +a(g745 +Vif +p3972 +tp3973 +a(g827 +g990 +tp3974 +a(g470 +V$@ +p3975 +tp3976 +a(g706 +g1018 +tp3977 +a(g827 +V\u000a +p3978 +tp3979 +a(g706 +g1119 +tp3980 +a(g827 +g990 +tp3981 +a(g906 +V## end sub safe_do +p3982 +tp3983 +a(g827 +V\u000a\u000a +p3984 +tp3985 +a(g906 +V# This is the safety test itself. +p3986 +tp3987 +a(g827 +V\u000a +p3988 +tp3989 +a(g906 +g976 +tp3990 +a(g827 +V\u000a +p3991 +tp3992 +a(g906 +V# Verifies that owner is either real user or superuser and that no +p3993 +tp3994 +a(g827 +V\u000a +p3995 +tp3996 +a(g906 +V# one but owner may write to it. This function is of limited use +p3997 +tp3998 +a(g827 +V\u000a +p3999 +tp4000 +a(g906 +V# when called on a path instead of upon a handle, because there are +p4001 +tp4002 +a(g827 +V\u000a +p4003 +tp4004 +a(g906 +V# no guarantees that filename (by dirent) whose file (by ino) is +p4005 +tp4006 +a(g827 +V\u000a +p4007 +tp4008 +a(g906 +V# eventually accessed is the same as the one tested. +p4009 +tp4010 +a(g827 +V\u000a +p4011 +tp4012 +a(g906 +V# Assumes that the file's existence is not in doubt. +p4013 +tp4014 +a(g827 +V\u000a +p4015 +tp4016 +a(g745 +Vsub +p4017 +tp4018 +a(g827 +g990 +tp4019 +a(g574 +Vis_safe_file +p4020 +tp4021 +a(g827 +g990 +tp4022 +a(g706 +g995 +tp4023 +a(g827 +V\u000a +p4024 +tp4025 +a(g745 +Vmy +p4026 +tp4027 +a(g827 +g990 +tp4028 +a(g441 +g1048 +tp4029 +a(g441 +Vpath +p4030 +tp4031 +a(g827 +g990 +tp4032 +a(g413 +g1054 +tp4033 +a(g827 +g990 +tp4034 +a(g682 +Vshift +p4035 +tp4036 +a(g706 +g1018 +tp4037 +a(g827 +V\u000a +p4038 +tp4039 +a(g682 +Vstat +p4040 +tp4041 +a(g706 +g1099 +tp4042 +a(g441 +g1048 +tp4043 +a(g441 +Vpath +p4044 +tp4045 +a(g706 +g1104 +tp4046 +a(g827 +g990 +tp4047 +a(g413 +V|| +p4048 +tp4049 +a(g827 +g990 +tp4050 +a(g745 +Vreturn +p4051 +tp4052 +a(g706 +g1018 +tp4053 +a(g827 +V +p4054 +tp4055 +a(g906 +V# mysteriously vaporized +p4056 +tp4057 +a(g827 +V\u000a +p4058 +tp4059 +a(g745 +Vmy +p4060 +tp4061 +a(g827 +g990 +tp4062 +a(g706 +g1099 +tp4063 +a(g827 +g990 +tp4064 +a(g441 +g1048 +tp4065 +a(g441 +Vdev +p4066 +tp4067 +a(g706 +g1389 +tp4068 +a(g827 +g990 +tp4069 +a(g441 +g1048 +tp4070 +a(g441 +Vino +p4071 +tp4072 +a(g706 +g1389 +tp4073 +a(g827 +g990 +tp4074 +a(g441 +g1048 +tp4075 +a(g441 +Vmode +p4076 +tp4077 +a(g706 +g1389 +tp4078 +a(g827 +g990 +tp4079 +a(g441 +g1048 +tp4080 +a(g441 +Vnlink +p4081 +tp4082 +a(g706 +g1389 +tp4083 +a(g827 +g990 +tp4084 +a(g441 +g1048 +tp4085 +a(g441 +Vuid +p4086 +tp4087 +a(g706 +g1389 +tp4088 +a(g827 +g990 +tp4089 +a(g441 +g1048 +tp4090 +a(g441 +Vgid +p4091 +tp4092 +a(g827 +g990 +tp4093 +a(g706 +g1104 +tp4094 +a(g827 +g990 +tp4095 +a(g413 +g1054 +tp4096 +a(g827 +g990 +tp4097 +a(g682 +Vstat +p4098 +tp4099 +a(g706 +g1099 +tp4100 +a(g436 +g1253 +tp4101 +a(g436 +V_ +p4102 +tp4103 +a(g706 +g1104 +tp4104 +a(g706 +g1018 +tp4105 +a(g827 +V\u000a\u000a +p4106 +tp4107 +a(g745 +Vreturn +p4108 +tp4109 +a(g827 +g990 +tp4110 +a(g37 +g1235 +tp4111 +a(g827 +g990 +tp4112 +a(g745 +Vif +p4113 +tp4114 +a(g827 +g990 +tp4115 +a(g441 +g1048 +tp4116 +a(g441 +Vuid +p4117 +tp4118 +a(g827 +g990 +tp4119 +a(g413 +V!= +p4120 +tp4121 +a(g827 +g990 +tp4122 +a(g37 +g1235 +tp4123 +a(g827 +g990 +tp4124 +a(g413 +V&& +p4125 +tp4126 +a(g827 +g990 +tp4127 +a(g441 +g1048 +tp4128 +a(g441 +Vuid +p4129 +tp4130 +a(g827 +g990 +tp4131 +a(g413 +V!= +p4132 +tp4133 +a(g827 +g990 +tp4134 +a(g470 +V$< +p4135 +tp4136 +a(g706 +g1018 +tp4137 +a(g827 +V\u000a +p4138 +tp4139 +a(g745 +Vreturn +p4140 +tp4141 +a(g827 +g990 +tp4142 +a(g37 +g1235 +tp4143 +a(g827 +g990 +tp4144 +a(g745 +Vif +p4145 +tp4146 +a(g827 +g990 +tp4147 +a(g441 +g1048 +tp4148 +a(g441 +Vmode +p4149 +tp4150 +a(g827 +g990 +tp4151 +a(g413 +g1251 +tp4152 +a(g827 +g990 +tp4153 +a(g282 +V022 +p4154 +tp4155 +a(g706 +g1018 +tp4156 +a(g827 +V\u000a +p4157 +tp4158 +a(g745 +Vreturn +p4159 +tp4160 +a(g827 +g990 +tp4161 +a(g37 +g2150 +tp4162 +a(g706 +g1018 +tp4163 +a(g827 +V\u000a +p4164 +tp4165 +a(g706 +g1119 +tp4166 +a(g827 +g990 +tp4167 +a(g906 +V## end sub is_safe_file +p4168 +tp4169 +a(g827 +V\u000a\u000a +p4170 +tp4171 +a(g906 +V# If the rcfile (whichever one we decided was the right one to read) +p4172 +tp4173 +a(g827 +V\u000a +p4174 +tp4175 +a(g906 +V# exists, we safely do it. +p4176 +tp4177 +a(g827 +V\u000a +p4178 +tp4179 +a(g745 +Vif +p4180 +tp4181 +a(g827 +g990 +tp4182 +a(g706 +g1099 +tp4183 +a(g827 +g990 +tp4184 +a(g413 +g3641 +tp4185 +a(g436 +g1253 +tp4186 +a(g436 +Vf +p4187 +tp4188 +a(g827 +g990 +tp4189 +a(g441 +g1048 +tp4190 +a(g441 +Vrcfile +p4191 +tp4192 +a(g827 +g990 +tp4193 +a(g706 +g1104 +tp4194 +a(g827 +g990 +tp4195 +a(g706 +g995 +tp4196 +a(g827 +V\u000a +p4197 +tp4198 +a(g436 +g1253 +tp4199 +a(g436 +Vsafe_do +p4200 +tp4201 +a(g706 +g1099 +tp4202 +a(g89 +V"./$rcfile" +p4203 +tp4204 +a(g706 +g1104 +tp4205 +a(g706 +g1018 +tp4206 +a(g827 +V\u000a +p4207 +tp4208 +a(g706 +g1119 +tp4209 +a(g827 +V\u000a\u000a +p4210 +tp4211 +a(g906 +V# If there isn't one here, try the user's home directory. +p4212 +tp4213 +a(g827 +V\u000a +p4214 +tp4215 +a(g745 +Velsif +p4216 +tp4217 +a(g827 +g990 +tp4218 +a(g706 +g1099 +tp4219 +a(g827 +g990 +tp4220 +a(g682 +Vdefined +p4221 +tp4222 +a(g827 +g990 +tp4223 +a(g441 +g1048 +tp4224 +a(g441 +VENV +p4225 +tp4226 +a(g706 +g995 +tp4227 +a(g436 +g1253 +tp4228 +a(g436 +VHOME +p4229 +tp4230 +a(g706 +g1119 +tp4231 +a(g827 +g990 +tp4232 +a(g413 +V&& +p4233 +tp4234 +a(g827 +g990 +tp4235 +a(g413 +g3641 +tp4236 +a(g436 +g1253 +tp4237 +a(g436 +g4187 +tp4238 +a(g827 +g990 +tp4239 +a(g89 +V"$ENV{HOME}/$rcfile" +p4240 +tp4241 +a(g827 +g990 +tp4242 +a(g706 +g1104 +tp4243 +a(g827 +g990 +tp4244 +a(g706 +g995 +tp4245 +a(g827 +V\u000a +p4246 +tp4247 +a(g436 +g1253 +tp4248 +a(g436 +Vsafe_do +p4249 +tp4250 +a(g706 +g1099 +tp4251 +a(g89 +V"$ENV{HOME}/$rcfile" +p4252 +tp4253 +a(g706 +g1104 +tp4254 +a(g706 +g1018 +tp4255 +a(g827 +V\u000a +p4256 +tp4257 +a(g706 +g1119 +tp4258 +a(g827 +V\u000a\u000a +p4259 +tp4260 +a(g906 +V# Else try the login directory. +p4261 +tp4262 +a(g827 +V\u000a +p4263 +tp4264 +a(g745 +Velsif +p4265 +tp4266 +a(g827 +g990 +tp4267 +a(g706 +g1099 +tp4268 +a(g827 +g990 +tp4269 +a(g682 +Vdefined +p4270 +tp4271 +a(g827 +g990 +tp4272 +a(g441 +g1048 +tp4273 +a(g441 +VENV +p4274 +tp4275 +a(g706 +g995 +tp4276 +a(g436 +g1253 +tp4277 +a(g436 +VLOGDIR +p4278 +tp4279 +a(g706 +g1119 +tp4280 +a(g827 +g990 +tp4281 +a(g413 +V&& +p4282 +tp4283 +a(g827 +g990 +tp4284 +a(g413 +g3641 +tp4285 +a(g436 +g1253 +tp4286 +a(g436 +g4187 +tp4287 +a(g827 +g990 +tp4288 +a(g89 +V"$ENV{LOGDIR}/$rcfile" +p4289 +tp4290 +a(g827 +g990 +tp4291 +a(g706 +g1104 +tp4292 +a(g827 +g990 +tp4293 +a(g706 +g995 +tp4294 +a(g827 +V\u000a +p4295 +tp4296 +a(g436 +g1253 +tp4297 +a(g436 +Vsafe_do +p4298 +tp4299 +a(g706 +g1099 +tp4300 +a(g89 +V"$ENV{LOGDIR}/$rcfile" +p4301 +tp4302 +a(g706 +g1104 +tp4303 +a(g706 +g1018 +tp4304 +a(g827 +V\u000a +p4305 +tp4306 +a(g706 +g1119 +tp4307 +a(g827 +V\u000a\u000a +p4308 +tp4309 +a(g906 +V# If the PERLDB_OPTS variable has options in it, parse those out next. +p4310 +tp4311 +a(g827 +V\u000a +p4312 +tp4313 +a(g745 +Vif +p4314 +tp4315 +a(g827 +g990 +tp4316 +a(g706 +g1099 +tp4317 +a(g827 +g990 +tp4318 +a(g682 +Vdefined +p4319 +tp4320 +a(g827 +g990 +tp4321 +a(g441 +g1048 +tp4322 +a(g441 +VENV +p4323 +tp4324 +a(g706 +g995 +tp4325 +a(g436 +g1253 +tp4326 +a(g436 +VPERLDB_OPTS +p4327 +tp4328 +a(g706 +g1119 +tp4329 +a(g827 +g990 +tp4330 +a(g706 +g1104 +tp4331 +a(g827 +g990 +tp4332 +a(g706 +g995 +tp4333 +a(g827 +V\u000a +p4334 +tp4335 +a(g436 +g1253 +tp4336 +a(g436 +Vparse_options +p4337 +tp4338 +a(g706 +g1099 +tp4339 +a(g827 +g990 +tp4340 +a(g441 +g1048 +tp4341 +a(g441 +VENV +p4342 +tp4343 +a(g706 +g995 +tp4344 +a(g436 +g1253 +tp4345 +a(g436 +VPERLDB_OPTS +p4346 +tp4347 +a(g706 +g1119 +tp4348 +a(g827 +g990 +tp4349 +a(g706 +g1104 +tp4350 +a(g706 +g1018 +tp4351 +a(g827 +V\u000a +p4352 +tp4353 +a(g706 +g1119 +tp4354 +a(g827 +V\u000a\u000a +p4355 +tp4356 +a(g8 +V=pod\u000a\u000aThe last thing we do during initialization is determine which subroutine is\u000ato be used to obtain a new terminal when a new debugger is started. Right now,\u000athe debugger only handles X Windows and OS/2.\u000a\u000a=cut +p4357 +tp4358 +a(g827 +V\u000a\u000a +p4359 +tp4360 +a(g906 +V# Set up the get_fork_TTY subroutine to be aliased to the proper routine. +p4361 +tp4362 +a(g827 +V\u000a +p4363 +tp4364 +a(g906 +V# Works if you're running an xterm or xterm-like window, or you're on +p4365 +tp4366 +a(g827 +V\u000a +p4367 +tp4368 +a(g906 +V# OS/2. This may need some expansion: for instance, this doesn't handle +p4369 +tp4370 +a(g827 +V\u000a +p4371 +tp4372 +a(g906 +V# OS X Terminal windows. +p4373 +tp4374 +a(g827 +V\u000a\u000a +p4375 +tp4376 +a(g745 +Vif +p4377 +tp4378 +a(g827 +g990 +tp4379 +a(g706 +g1099 +tp4380 +a(g827 +V\u000a +p4381 +tp4382 +a(g427 +Vnot +p4383 +tp4384 +a(g827 +g990 +tp4385 +a(g682 +Vdefined +p4386 +tp4387 +a(g827 +g990 +tp4388 +a(g413 +g1251 +tp4389 +a(g436 +g1253 +tp4390 +a(g436 +Vget_fork_TTY +p4391 +tp4392 +a(g827 +V +p4393 +tp4394 +a(g906 +V# no routine exists, +p4395 +tp4396 +a(g827 +V\u000a +p4397 +tp4398 +a(g427 +Vand +p4399 +tp4400 +a(g827 +g990 +tp4401 +a(g682 +Vdefined +p4402 +tp4403 +a(g827 +g990 +tp4404 +a(g441 +g1048 +tp4405 +a(g441 +VENV +p4406 +tp4407 +a(g706 +g995 +tp4408 +a(g436 +g1253 +tp4409 +a(g436 +VTERM +p4410 +tp4411 +a(g706 +g1119 +tp4412 +a(g827 +V +p4413 +tp4414 +a(g906 +V# and we know what kind +p4415 +tp4416 +a(g827 +V\u000a +p4417 +tp4418 +a(g906 +V# of terminal this is, +p4419 +tp4420 +a(g827 +V\u000a +p4421 +tp4422 +a(g427 +Vand +p4423 +tp4424 +a(g827 +g990 +tp4425 +a(g441 +g1048 +tp4426 +a(g441 +VENV +p4427 +tp4428 +a(g706 +g995 +tp4429 +a(g436 +g1253 +tp4430 +a(g436 +VTERM +p4431 +tp4432 +a(g706 +g1119 +tp4433 +a(g827 +g990 +tp4434 +a(g427 +Veq +p4435 +tp4436 +a(g827 +g990 +tp4437 +a(g89 +V'xterm' +p4438 +tp4439 +a(g827 +V +p4440 +tp4441 +a(g906 +V# and it's an xterm, +p4442 +tp4443 +a(g827 +V\u000a +p4444 +tp4445 +a(g906 +V# and defined $ENV{WINDOWID} # and we know what window this is, <- wrong metric +p4446 +tp4447 +a(g827 +V\u000a +p4448 +tp4449 +a(g427 +Vand +p4450 +tp4451 +a(g827 +g990 +tp4452 +a(g682 +Vdefined +p4453 +tp4454 +a(g827 +g990 +tp4455 +a(g441 +g1048 +tp4456 +a(g441 +VENV +p4457 +tp4458 +a(g706 +g995 +tp4459 +a(g436 +g1253 +tp4460 +a(g436 +VDISPLAY +p4461 +tp4462 +a(g706 +g1119 +tp4463 +a(g827 +V +p4464 +tp4465 +a(g906 +V# and what display it's on, +p4466 +tp4467 +a(g827 +V\u000a +p4468 +tp4469 +a(g706 +g1104 +tp4470 +a(g827 +V\u000a +p4471 +tp4472 +a(g706 +g995 +tp4473 +a(g827 +V\u000a +p4474 +tp4475 +a(g413 +g1804 +tp4476 +a(g436 +g1253 +tp4477 +a(g436 +Vget_fork_TTY +p4478 +tp4479 +a(g827 +g990 +tp4480 +a(g413 +g1054 +tp4481 +a(g827 +g990 +tp4482 +a(g413 +V\u005c +p4483 +tp4484 +a(g413 +g1251 +tp4485 +a(g436 +g1253 +tp4486 +a(g436 +Vxterm_get_fork_TTY +p4487 +tp4488 +a(g706 +g1018 +tp4489 +a(g827 +V +p4490 +tp4491 +a(g906 +V# use the xterm version +p4492 +tp4493 +a(g827 +V\u000a +p4494 +tp4495 +a(g706 +g1119 +tp4496 +a(g827 +g990 +tp4497 +a(g906 +V## end if (not defined &get_fork_TTY... +p4498 +tp4499 +a(g827 +V\u000a +p4500 +tp4501 +a(g745 +Velsif +p4502 +tp4503 +a(g827 +g990 +tp4504 +a(g706 +g1099 +tp4505 +a(g827 +g990 +tp4506 +a(g470 +V$^O +p4507 +tp4508 +a(g827 +g990 +tp4509 +a(g427 +Veq +p4510 +tp4511 +a(g827 +g990 +tp4512 +a(g89 +V'os2' +p4513 +tp4514 +a(g827 +g990 +tp4515 +a(g706 +g1104 +tp4516 +a(g827 +g990 +tp4517 +a(g706 +g995 +tp4518 +a(g827 +V +p4519 +tp4520 +a(g906 +V# If this is OS/2, +p4521 +tp4522 +a(g827 +V\u000a +p4523 +tp4524 +a(g413 +g1804 +tp4525 +a(g436 +g1253 +tp4526 +a(g436 +Vget_fork_TTY +p4527 +tp4528 +a(g827 +g990 +tp4529 +a(g413 +g1054 +tp4530 +a(g827 +g990 +tp4531 +a(g413 +V\u005c +p4532 +tp4533 +a(g413 +g1251 +tp4534 +a(g436 +g1253 +tp4535 +a(g436 +Vos2_get_fork_TTY +p4536 +tp4537 +a(g706 +g1018 +tp4538 +a(g827 +V +p4539 +tp4540 +a(g906 +V# use the OS/2 version +p4541 +tp4542 +a(g827 +V\u000a +p4543 +tp4544 +a(g706 +g1119 +tp4545 +a(g827 +V\u000a\u000a +p4546 +tp4547 +a(g906 +V# untaint $^O, which may have been tainted by the last statement. +p4548 +tp4549 +a(g827 +V\u000a +p4550 +tp4551 +a(g906 +V# see bug [perl #24674] +p4552 +tp4553 +a(g827 +V\u000a +p4554 +tp4555 +a(g470 +V$^O +p4556 +tp4557 +a(g827 +g990 +tp4558 +a(g413 +V=~ +p4559 +tp4560 +a(g827 +g990 +tp4561 +a(g197 +Vm/^(.*)\u005cz/ +p4562 +tp4563 +a(g706 +g1018 +tp4564 +a(g827 +V\u000a +p4565 +tp4566 +a(g470 +V$^O +p4567 +tp4568 +a(g827 +g990 +tp4569 +a(g413 +g1054 +tp4570 +a(g827 +g990 +tp4571 +a(g441 +g1048 +tp4572 +a(g441 +g2150 +tp4573 +a(g706 +g1018 +tp4574 +a(g827 +V\u000a\u000a +p4575 +tp4576 +a(g906 +V# Here begin the unreadable code. It needs fixing. +p4577 +tp4578 +a(g827 +V\u000a\u000a +p4579 +tp4580 +a(g8 +V=head2 RESTART PROCESSING\u000a\u000aThis section handles the restart command. When the C command is invoked, it\u000atries to capture all of the state it can into environment variables, and\u000athen sets C. When we start executing again, we check to see\u000aif C is there; if so, we reload all the information that\u000athe R command stuffed into the environment variables.\u000a\u000a PERLDB_RESTART - flag only, contains no restart data itself. \u000a PERLDB_HIST - command history, if it's available\u000a PERLDB_ON_LOAD - breakpoints set by the rc file\u000a PERLDB_POSTPONE - subs that have been loaded/not executed, and have actions\u000a PERLDB_VISITED - files that had breakpoints\u000a PERLDB_FILE_... - breakpoints for a file\u000a PERLDB_OPT - active options\u000a PERLDB_INC - the original @INC\u000a PERLDB_PRETYPE - preprompt debugger actions\u000a PERLDB_PRE - preprompt Perl code\u000a PERLDB_POST - post-prompt Perl code\u000a PERLDB_TYPEAHEAD - typeahead captured by readline()\u000a\u000aWe chug through all these variables and plug the values saved in them\u000aback into the appropriate spots in the debugger.\u000a\u000a=cut +p4581 +tp4582 +a(g827 +V\u000a\u000a +p4583 +tp4584 +a(g745 +Vif +p4585 +tp4586 +a(g827 +g990 +tp4587 +a(g706 +g1099 +tp4588 +a(g827 +g990 +tp4589 +a(g682 +Vexists +p4590 +tp4591 +a(g827 +g990 +tp4592 +a(g441 +g1048 +tp4593 +a(g441 +VENV +p4594 +tp4595 +a(g706 +g995 +tp4596 +a(g436 +g1253 +tp4597 +a(g436 +VPERLDB_RESTART +p4598 +tp4599 +a(g706 +g1119 +tp4600 +a(g827 +g990 +tp4601 +a(g706 +g1104 +tp4602 +a(g827 +g990 +tp4603 +a(g706 +g995 +tp4604 +a(g827 +V\u000a\u000a +p4605 +tp4606 +a(g906 +V# We're restarting, so we don't need the flag that says to restart anymore. +p4607 +tp4608 +a(g827 +V\u000a +p4609 +tp4610 +a(g682 +Vdelete +p4611 +tp4612 +a(g827 +g990 +tp4613 +a(g441 +g1048 +tp4614 +a(g441 +VENV +p4615 +tp4616 +a(g706 +g995 +tp4617 +a(g436 +g1253 +tp4618 +a(g436 +VPERLDB_RESTART +p4619 +tp4620 +a(g706 +g1119 +tp4621 +a(g706 +g1018 +tp4622 +a(g827 +V\u000a\u000a +p4623 +tp4624 +a(g906 +V# $restart = 1; +p4625 +tp4626 +a(g827 +V\u000a +p4627 +tp4628 +a(g441 +g1014 +tp4629 +a(g441 +Vhist +p4630 +tp4631 +a(g827 +V +p4632 +tp4633 +a(g413 +g1054 +tp4634 +a(g827 +g990 +tp4635 +a(g436 +g1253 +tp4636 +a(g436 +Vget_list +p4637 +tp4638 +a(g706 +g1099 +tp4639 +a(g89 +V'PERLDB_HIST' +p4640 +tp4641 +a(g706 +g1104 +tp4642 +a(g706 +g1018 +tp4643 +a(g827 +V\u000a +p4644 +tp4645 +a(g441 +g2018 +tp4646 +a(g441 +Vbreak_on_load +p4647 +tp4648 +a(g827 +g990 +tp4649 +a(g413 +g1054 +tp4650 +a(g827 +g990 +tp4651 +a(g436 +g1253 +tp4652 +a(g436 +Vget_list +p4653 +tp4654 +a(g706 +g1099 +tp4655 +a(g89 +V"PERLDB_ON_LOAD" +p4656 +tp4657 +a(g706 +g1104 +tp4658 +a(g706 +g1018 +tp4659 +a(g827 +V\u000a +p4660 +tp4661 +a(g441 +g2018 +tp4662 +a(g441 +Vpostponed +p4663 +tp4664 +a(g827 +V +p4665 +tp4666 +a(g413 +g1054 +tp4667 +a(g827 +g990 +tp4668 +a(g436 +g1253 +tp4669 +a(g436 +Vget_list +p4670 +tp4671 +a(g706 +g1099 +tp4672 +a(g89 +V"PERLDB_POSTPONE" +p4673 +tp4674 +a(g706 +g1104 +tp4675 +a(g706 +g1018 +tp4676 +a(g827 +V\u000a\u000a +p4677 +tp4678 +a(g436 +g1253 +tp4679 +a(g436 +Vshare +p4680 +tp4681 +a(g706 +g1099 +tp4682 +a(g441 +g1014 +tp4683 +a(g441 +Vhist +p4684 +tp4685 +a(g706 +g1104 +tp4686 +a(g706 +g1018 +tp4687 +a(g827 +V\u000a +p4688 +tp4689 +a(g436 +g1253 +tp4690 +a(g436 +Vshare +p4691 +tp4692 +a(g706 +g1099 +tp4693 +a(g441 +g1014 +tp4694 +a(g441 +Vtruehist +p4695 +tp4696 +a(g706 +g1104 +tp4697 +a(g706 +g1018 +tp4698 +a(g827 +V\u000a +p4699 +tp4700 +a(g436 +g1253 +tp4701 +a(g436 +Vshare +p4702 +tp4703 +a(g706 +g1099 +tp4704 +a(g441 +g2018 +tp4705 +a(g441 +Vbreak_on_load +p4706 +tp4707 +a(g706 +g1104 +tp4708 +a(g706 +g1018 +tp4709 +a(g827 +V\u000a +p4710 +tp4711 +a(g436 +g1253 +tp4712 +a(g436 +Vshare +p4713 +tp4714 +a(g706 +g1099 +tp4715 +a(g441 +g2018 +tp4716 +a(g441 +Vpostponed +p4717 +tp4718 +a(g706 +g1104 +tp4719 +a(g706 +g1018 +tp4720 +a(g827 +V\u000a\u000a +p4721 +tp4722 +a(g906 +V# restore breakpoints/actions +p4723 +tp4724 +a(g827 +V\u000a +p4725 +tp4726 +a(g745 +Vmy +p4727 +tp4728 +a(g827 +g990 +tp4729 +a(g441 +g1014 +tp4730 +a(g441 +Vhad_breakpoints +p4731 +tp4732 +a(g827 +g990 +tp4733 +a(g413 +g1054 +tp4734 +a(g827 +g990 +tp4735 +a(g436 +g1253 +tp4736 +a(g436 +Vget_list +p4737 +tp4738 +a(g706 +g1099 +tp4739 +a(g89 +V"PERLDB_VISITED" +p4740 +tp4741 +a(g706 +g1104 +tp4742 +a(g706 +g1018 +tp4743 +a(g827 +V\u000a +p4744 +tp4745 +a(g745 +Vfor +p4746 +tp4747 +a(g827 +g990 +tp4748 +a(g706 +g1099 +tp4749 +a(g827 +g990 +tp4750 +a(g37 +g1235 +tp4751 +a(g827 +g990 +tp4752 +a(g413 +V.. +p4753 +tp4754 +a(g827 +g990 +tp4755 +a(g441 +V$# +p4756 +tp4757 +a(g441 +Vhad_breakpoints +p4758 +tp4759 +a(g827 +g990 +tp4760 +a(g706 +g1104 +tp4761 +a(g827 +g990 +tp4762 +a(g706 +g995 +tp4763 +a(g827 +V\u000a +p4764 +tp4765 +a(g745 +Vmy +p4766 +tp4767 +a(g827 +g990 +tp4768 +a(g441 +g2018 +tp4769 +a(g441 +Vpf +p4770 +tp4771 +a(g827 +g990 +tp4772 +a(g413 +g1054 +tp4773 +a(g827 +g990 +tp4774 +a(g436 +g1253 +tp4775 +a(g436 +Vget_list +p4776 +tp4777 +a(g706 +g1099 +tp4778 +a(g89 +V"PERLDB_FILE_$_" +p4779 +tp4780 +a(g706 +g1104 +tp4781 +a(g706 +g1018 +tp4782 +a(g827 +V\u000a +p4783 +tp4784 +a(g441 +g1048 +tp4785 +a(g441 +Vpostponed_file +p4786 +tp4787 +a(g706 +g995 +tp4788 +a(g827 +g990 +tp4789 +a(g441 +g1048 +tp4790 +a(g441 +Vhad_breakpoints +p4791 +tp4792 +a(g706 +g1233 +tp4793 +a(g441 +g1048 +tp4794 +a(g441 +g4102 +tp4795 +a(g706 +g1237 +tp4796 +a(g827 +g990 +tp4797 +a(g706 +g1119 +tp4798 +a(g827 +g990 +tp4799 +a(g413 +g1054 +tp4800 +a(g827 +g990 +tp4801 +a(g413 +V\u005c +p4802 +tp4803 +a(g441 +g2018 +tp4804 +a(g441 +Vpf +p4805 +tp4806 +a(g827 +g990 +tp4807 +a(g745 +Vif +p4808 +tp4809 +a(g827 +g990 +tp4810 +a(g441 +g2018 +tp4811 +a(g441 +Vpf +p4812 +tp4813 +a(g706 +g1018 +tp4814 +a(g827 +V\u000a +p4815 +tp4816 +a(g706 +g1119 +tp4817 +a(g827 +V\u000a\u000a +p4818 +tp4819 +a(g906 +V# restore options +p4820 +tp4821 +a(g827 +V\u000a +p4822 +tp4823 +a(g745 +Vmy +p4824 +tp4825 +a(g827 +g990 +tp4826 +a(g441 +g2018 +tp4827 +a(g441 +Vopt +p4828 +tp4829 +a(g827 +g990 +tp4830 +a(g413 +g1054 +tp4831 +a(g827 +g990 +tp4832 +a(g436 +g1253 +tp4833 +a(g436 +Vget_list +p4834 +tp4835 +a(g706 +g1099 +tp4836 +a(g89 +V"PERLDB_OPT" +p4837 +tp4838 +a(g706 +g1104 +tp4839 +a(g706 +g1018 +tp4840 +a(g827 +V\u000a +p4841 +tp4842 +a(g745 +Vmy +p4843 +tp4844 +a(g827 +g990 +tp4845 +a(g706 +g1099 +tp4846 +a(g827 +g990 +tp4847 +a(g441 +g1048 +tp4848 +a(g441 +Vopt +p4849 +tp4850 +a(g706 +g1389 +tp4851 +a(g827 +g990 +tp4852 +a(g441 +g1048 +tp4853 +a(g441 +Vval +p4854 +tp4855 +a(g827 +g990 +tp4856 +a(g706 +g1104 +tp4857 +a(g706 +g1018 +tp4858 +a(g827 +V\u000a +p4859 +tp4860 +a(g745 +Vwhile +p4861 +tp4862 +a(g827 +g990 +tp4863 +a(g706 +g1099 +tp4864 +a(g827 +g990 +tp4865 +a(g706 +g1099 +tp4866 +a(g827 +g990 +tp4867 +a(g441 +g1048 +tp4868 +a(g441 +Vopt +p4869 +tp4870 +a(g706 +g1389 +tp4871 +a(g827 +g990 +tp4872 +a(g441 +g1048 +tp4873 +a(g441 +Vval +p4874 +tp4875 +a(g827 +g990 +tp4876 +a(g706 +g1104 +tp4877 +a(g827 +g990 +tp4878 +a(g413 +g1054 +tp4879 +a(g827 +g990 +tp4880 +a(g682 +Veach +p4881 +tp4882 +a(g827 +g990 +tp4883 +a(g441 +g2018 +tp4884 +a(g441 +Vopt +p4885 +tp4886 +a(g827 +g990 +tp4887 +a(g706 +g1104 +tp4888 +a(g827 +g990 +tp4889 +a(g706 +g995 +tp4890 +a(g827 +V\u000a +p4891 +tp4892 +a(g441 +g1048 +tp4893 +a(g441 +Vval +p4894 +tp4895 +a(g827 +g990 +tp4896 +a(g413 +V=~ +p4897 +tp4898 +a(g827 +g990 +tp4899 +a(g197 +Vs/[\u005c\u005c\u005c']/\u005c\u005c$1/g +p4900 +tp4901 +a(g706 +g1018 +tp4902 +a(g827 +V\u000a +p4903 +tp4904 +a(g436 +g1253 +tp4905 +a(g436 +Vparse_options +p4906 +tp4907 +a(g706 +g1099 +tp4908 +a(g89 +V"$opt'$val'" +p4909 +tp4910 +a(g706 +g1104 +tp4911 +a(g706 +g1018 +tp4912 +a(g827 +V\u000a +p4913 +tp4914 +a(g706 +g1119 +tp4915 +a(g827 +V\u000a\u000a +p4916 +tp4917 +a(g906 +V# restore original @INC +p4918 +tp4919 +a(g827 +V\u000a +p4920 +tp4921 +a(g441 +g1014 +tp4922 +a(g441 +VINC +p4923 +tp4924 +a(g827 +V +p4925 +tp4926 +a(g413 +g1054 +tp4927 +a(g827 +g990 +tp4928 +a(g436 +g1253 +tp4929 +a(g436 +Vget_list +p4930 +tp4931 +a(g706 +g1099 +tp4932 +a(g89 +V"PERLDB_INC" +p4933 +tp4934 +a(g706 +g1104 +tp4935 +a(g706 +g1018 +tp4936 +a(g827 +V\u000a +p4937 +tp4938 +a(g441 +g1014 +tp4939 +a(g441 +Vini_INC +p4940 +tp4941 +a(g827 +g990 +tp4942 +a(g413 +g1054 +tp4943 +a(g827 +g990 +tp4944 +a(g441 +g1014 +tp4945 +a(g441 +VINC +p4946 +tp4947 +a(g706 +g1018 +tp4948 +a(g827 +V\u000a\u000a +p4949 +tp4950 +a(g906 +V# return pre/postprompt actions and typeahead buffer +p4951 +tp4952 +a(g827 +V\u000a +p4953 +tp4954 +a(g441 +g1048 +tp4955 +a(g441 +Vpretype +p4956 +tp4957 +a(g827 +V +p4958 +tp4959 +a(g413 +g1054 +tp4960 +a(g827 +g990 +tp4961 +a(g706 +g1233 +tp4962 +a(g827 +g990 +tp4963 +a(g436 +g1253 +tp4964 +a(g436 +Vget_list +p4965 +tp4966 +a(g706 +g1099 +tp4967 +a(g89 +V"PERLDB_PRETYPE" +p4968 +tp4969 +a(g706 +g1104 +tp4970 +a(g827 +g990 +tp4971 +a(g706 +g1237 +tp4972 +a(g706 +g1018 +tp4973 +a(g827 +V\u000a +p4974 +tp4975 +a(g441 +g1048 +tp4976 +a(g441 +Vpre +p4977 +tp4978 +a(g827 +V +p4979 +tp4980 +a(g413 +g1054 +tp4981 +a(g827 +g990 +tp4982 +a(g706 +g1233 +tp4983 +a(g827 +g990 +tp4984 +a(g436 +g1253 +tp4985 +a(g436 +Vget_list +p4986 +tp4987 +a(g706 +g1099 +tp4988 +a(g89 +V"PERLDB_PRE" +p4989 +tp4990 +a(g706 +g1104 +tp4991 +a(g827 +g990 +tp4992 +a(g706 +g1237 +tp4993 +a(g706 +g1018 +tp4994 +a(g827 +V\u000a +p4995 +tp4996 +a(g441 +g1048 +tp4997 +a(g441 +Vpost +p4998 +tp4999 +a(g827 +V +p5000 +tp5001 +a(g413 +g1054 +tp5002 +a(g827 +g990 +tp5003 +a(g706 +g1233 +tp5004 +a(g827 +g990 +tp5005 +a(g436 +g1253 +tp5006 +a(g436 +Vget_list +p5007 +tp5008 +a(g706 +g1099 +tp5009 +a(g89 +V"PERLDB_POST" +p5010 +tp5011 +a(g706 +g1104 +tp5012 +a(g827 +g990 +tp5013 +a(g706 +g1237 +tp5014 +a(g706 +g1018 +tp5015 +a(g827 +V\u000a +p5016 +tp5017 +a(g441 +g1014 +tp5018 +a(g441 +Vtypeahead +p5019 +tp5020 +a(g827 +g990 +tp5021 +a(g413 +g1054 +tp5022 +a(g827 +g990 +tp5023 +a(g436 +g1253 +tp5024 +a(g436 +Vget_list +p5025 +tp5026 +a(g706 +g1099 +tp5027 +a(g827 +g990 +tp5028 +a(g89 +V"PERLDB_TYPEAHEAD" +p5029 +tp5030 +a(g706 +g1389 +tp5031 +a(g827 +g990 +tp5032 +a(g441 +g1014 +tp5033 +a(g441 +Vtypeahead +p5034 +tp5035 +a(g827 +g990 +tp5036 +a(g706 +g1104 +tp5037 +a(g706 +g1018 +tp5038 +a(g827 +V\u000a +p5039 +tp5040 +a(g706 +g1119 +tp5041 +a(g827 +g990 +tp5042 +a(g906 +V## end if (exists $ENV{PERLDB_RESTART... +p5043 +tp5044 +a(g827 +V\u000a\u000a +p5045 +tp5046 +a(g8 +V=head2 SETTING UP THE TERMINAL\u000a\u000aNow, we'll decide how the debugger is going to interact with the user.\u000aIf there's no TTY, we set the debugger to run non-stop; there's not going\u000ato be anyone there to enter commands.\u000a\u000a=cut +p5047 +tp5048 +a(g827 +V\u000a\u000a +p5049 +tp5050 +a(g745 +Vif +p5051 +tp5052 +a(g827 +g990 +tp5053 +a(g706 +g1099 +tp5054 +a(g441 +g1048 +tp5055 +a(g441 +Vnotty +p5056 +tp5057 +a(g706 +g1104 +tp5058 +a(g827 +g990 +tp5059 +a(g706 +g995 +tp5060 +a(g827 +V\u000a +p5061 +tp5062 +a(g441 +g1048 +tp5063 +a(g441 +Vrunnonstop +p5064 +tp5065 +a(g827 +g990 +tp5066 +a(g413 +g1054 +tp5067 +a(g827 +g990 +tp5068 +a(g37 +g2150 +tp5069 +a(g706 +g1018 +tp5070 +a(g827 +V\u000a +p5071 +tp5072 +a(g436 +g1253 +tp5073 +a(g436 +Vshare +p5074 +tp5075 +a(g706 +g1099 +tp5076 +a(g441 +g1048 +tp5077 +a(g441 +Vrunnonstop +p5078 +tp5079 +a(g706 +g1104 +tp5080 +a(g706 +g1018 +tp5081 +a(g827 +V\u000a +p5082 +tp5083 +a(g706 +g1119 +tp5084 +a(g827 +V\u000a\u000a +p5085 +tp5086 +a(g8 +V=pod\u000a\u000aIf there is a TTY, we have to determine who it belongs to before we can\u000aproceed. If this is a slave editor or graphical debugger (denoted by\u000athe first command-line switch being '-emacs'), we shift this off and\u000aset C<$rl> to 0 (XXX ostensibly to do straight reads).\u000a\u000a=cut +p5087 +tp5088 +a(g827 +V\u000a\u000a +p5089 +tp5090 +a(g745 +Velse +p5091 +tp5092 +a(g827 +g990 +tp5093 +a(g706 +g995 +tp5094 +a(g827 +V\u000a\u000a +p5095 +tp5096 +a(g906 +V# Is Perl being run from a slave editor or graphical debugger? +p5097 +tp5098 +a(g827 +V\u000a +p5099 +tp5100 +a(g906 +V# If so, don't use readline, and set $slave_editor = 1. +p5101 +tp5102 +a(g827 +V\u000a +p5103 +tp5104 +a(g441 +g1048 +tp5105 +a(g441 +Vslave_editor +p5106 +tp5107 +a(g827 +g990 +tp5108 +a(g413 +g1054 +tp5109 +a(g827 +V\u000a +p5110 +tp5111 +a(g706 +g1099 +tp5112 +a(g827 +g990 +tp5113 +a(g706 +g1099 +tp5114 +a(g827 +g990 +tp5115 +a(g682 +Vdefined +p5116 +tp5117 +a(g827 +g990 +tp5118 +a(g441 +g1048 +tp5119 +a(g621 +Vmain:: +p5120 +tp5121 +a(g441 +VARGV +p5122 +tp5123 +a(g706 +g1233 +tp5124 +a(g37 +g1235 +tp5125 +a(g706 +g1237 +tp5126 +a(g827 +g990 +tp5127 +a(g706 +g1104 +tp5128 +a(g827 +g990 +tp5129 +a(g427 +Vand +p5130 +tp5131 +a(g827 +g990 +tp5132 +a(g706 +g1099 +tp5133 +a(g827 +g990 +tp5134 +a(g441 +g1048 +tp5135 +a(g621 +Vmain:: +p5136 +tp5137 +a(g441 +VARGV +p5138 +tp5139 +a(g706 +g1233 +tp5140 +a(g37 +g1235 +tp5141 +a(g706 +g1237 +tp5142 +a(g827 +g990 +tp5143 +a(g427 +Veq +p5144 +tp5145 +a(g827 +g990 +tp5146 +a(g89 +V'-emacs' +p5147 +tp5148 +a(g827 +g990 +tp5149 +a(g706 +g1104 +tp5150 +a(g827 +g990 +tp5151 +a(g706 +g1104 +tp5152 +a(g706 +g1018 +tp5153 +a(g827 +V\u000a +p5154 +tp5155 +a(g441 +g1048 +tp5156 +a(g441 +Vrl +p5157 +tp5158 +a(g827 +g990 +tp5159 +a(g413 +g1054 +tp5160 +a(g827 +g990 +tp5161 +a(g37 +g1235 +tp5162 +a(g706 +g1389 +tp5163 +a(g827 +g990 +tp5164 +a(g682 +Vshift +p5165 +tp5166 +a(g706 +g1099 +tp5167 +a(g441 +g1014 +tp5168 +a(g621 +Vmain:: +p5169 +tp5170 +a(g441 +VARGV +p5171 +tp5172 +a(g706 +g1104 +tp5173 +a(g827 +g990 +tp5174 +a(g745 +Vif +p5175 +tp5176 +a(g827 +g990 +tp5177 +a(g441 +g1048 +tp5178 +a(g441 +Vslave_editor +p5179 +tp5180 +a(g706 +g1018 +tp5181 +a(g827 +V\u000a\u000a +p5182 +tp5183 +a(g906 +V#require Term::ReadLine; +p5184 +tp5185 +a(g827 +V\u000a\u000a +p5186 +tp5187 +a(g8 +V=pod\u000a\u000aWe then determine what the console should be on various systems:\u000a\u000a=over 4\u000a\u000a=item * Cygwin - We use C instead of a separate device.\u000a\u000a=cut +p5188 +tp5189 +a(g827 +V\u000a\u000a +p5190 +tp5191 +a(g745 +Vif +p5192 +tp5193 +a(g827 +g990 +tp5194 +a(g706 +g1099 +tp5195 +a(g827 +g990 +tp5196 +a(g470 +V$^O +p5197 +tp5198 +a(g827 +g990 +tp5199 +a(g427 +Veq +p5200 +tp5201 +a(g827 +g990 +tp5202 +a(g89 +V'cygwin' +p5203 +tp5204 +a(g827 +g990 +tp5205 +a(g706 +g1104 +tp5206 +a(g827 +g990 +tp5207 +a(g706 +g995 +tp5208 +a(g827 +V\u000a\u000a +p5209 +tp5210 +a(g906 +V# /dev/tty is binary. use stdin for textmode +p5211 +tp5212 +a(g827 +V\u000a +p5213 +tp5214 +a(g682 +Vundef +p5215 +tp5216 +a(g827 +g990 +tp5217 +a(g441 +g1048 +tp5218 +a(g441 +Vconsole +p5219 +tp5220 +a(g706 +g1018 +tp5221 +a(g827 +V\u000a +p5222 +tp5223 +a(g706 +g1119 +tp5224 +a(g827 +V\u000a\u000a +p5225 +tp5226 +a(g8 +V=item * Unix - use C.\u000a\u000a=cut +p5227 +tp5228 +a(g827 +V\u000a\u000a +p5229 +tp5230 +a(g745 +Velsif +p5231 +tp5232 +a(g827 +g990 +tp5233 +a(g706 +g1099 +tp5234 +a(g827 +g990 +tp5235 +a(g413 +g3641 +tp5236 +a(g436 +g1253 +tp5237 +a(g436 +g3772 +tp5238 +a(g827 +g990 +tp5239 +a(g89 +V"/dev/tty" +p5240 +tp5241 +a(g827 +g990 +tp5242 +a(g706 +g1104 +tp5243 +a(g827 +g990 +tp5244 +a(g706 +g995 +tp5245 +a(g827 +V\u000a +p5246 +tp5247 +a(g441 +g1048 +tp5248 +a(g441 +Vconsole +p5249 +tp5250 +a(g827 +g990 +tp5251 +a(g413 +g1054 +tp5252 +a(g827 +g990 +tp5253 +a(g89 +V"/dev/tty" +p5254 +tp5255 +a(g706 +g1018 +tp5256 +a(g827 +V\u000a +p5257 +tp5258 +a(g706 +g1119 +tp5259 +a(g827 +V\u000a\u000a +p5260 +tp5261 +a(g8 +V=item * Windows or MSDOS - use C.\u000a\u000a=cut +p5262 +tp5263 +a(g827 +V\u000a\u000a +p5264 +tp5265 +a(g745 +Velsif +p5266 +tp5267 +a(g827 +g990 +tp5268 +a(g706 +g1099 +tp5269 +a(g827 +g990 +tp5270 +a(g470 +V$^O +p5271 +tp5272 +a(g827 +g990 +tp5273 +a(g427 +Veq +p5274 +tp5275 +a(g827 +g990 +tp5276 +a(g89 +V'dos' +p5277 +tp5278 +a(g827 +g990 +tp5279 +a(g427 +Vor +p5280 +tp5281 +a(g827 +g990 +tp5282 +a(g413 +g3641 +tp5283 +a(g436 +g1253 +tp5284 +a(g436 +g3772 +tp5285 +a(g827 +g990 +tp5286 +a(g89 +V"con" +p5287 +tp5288 +a(g827 +g990 +tp5289 +a(g427 +Vor +p5290 +tp5291 +a(g827 +g990 +tp5292 +a(g470 +V$^O +p5293 +tp5294 +a(g827 +g990 +tp5295 +a(g427 +Veq +p5296 +tp5297 +a(g827 +g990 +tp5298 +a(g89 +V'MSWin32' +p5299 +tp5300 +a(g827 +g990 +tp5301 +a(g706 +g1104 +tp5302 +a(g827 +g990 +tp5303 +a(g706 +g995 +tp5304 +a(g827 +V\u000a +p5305 +tp5306 +a(g441 +g1048 +tp5307 +a(g441 +Vconsole +p5308 +tp5309 +a(g827 +g990 +tp5310 +a(g413 +g1054 +tp5311 +a(g827 +g990 +tp5312 +a(g89 +V"con" +p5313 +tp5314 +a(g706 +g1018 +tp5315 +a(g827 +V\u000a +p5316 +tp5317 +a(g706 +g1119 +tp5318 +a(g827 +V\u000a\u000a +p5319 +tp5320 +a(g8 +V=item * MacOS - use C if this is the MPW version; C if not.\u000a\u000aNote that Mac OS X returns C, not C. Also note that the debugger doesn't do anything special for C. Maybe it should.\u000a\u000a=cut +p5321 +tp5322 +a(g827 +V\u000a\u000a +p5323 +tp5324 +a(g745 +Velsif +p5325 +tp5326 +a(g827 +g990 +tp5327 +a(g706 +g1099 +tp5328 +a(g827 +g990 +tp5329 +a(g470 +V$^O +p5330 +tp5331 +a(g827 +g990 +tp5332 +a(g427 +Veq +p5333 +tp5334 +a(g827 +g990 +tp5335 +a(g89 +V'MacOS' +p5336 +tp5337 +a(g827 +g990 +tp5338 +a(g706 +g1104 +tp5339 +a(g827 +g990 +tp5340 +a(g706 +g995 +tp5341 +a(g827 +V\u000a +p5342 +tp5343 +a(g745 +Vif +p5344 +tp5345 +a(g827 +g990 +tp5346 +a(g706 +g1099 +tp5347 +a(g827 +g990 +tp5348 +a(g441 +g1048 +tp5349 +a(g621 +VMacPerl:: +p5350 +tp5351 +a(g441 +VVersion +p5352 +tp5353 +a(g827 +g990 +tp5354 +a(g413 +V!~ +p5355 +tp5356 +a(g827 +g990 +tp5357 +a(g197 +V/MPW/ +p5358 +tp5359 +a(g827 +g990 +tp5360 +a(g706 +g1104 +tp5361 +a(g827 +g990 +tp5362 +a(g706 +g995 +tp5363 +a(g827 +V\u000a +p5364 +tp5365 +a(g441 +g1048 +tp5366 +a(g441 +Vconsole +p5367 +tp5368 +a(g827 +g990 +tp5369 +a(g413 +g1054 +tp5370 +a(g827 +V\u000a +p5371 +tp5372 +a(g89 +V"Dev:Console:Perl Debug" +p5373 +tp5374 +a(g706 +g1018 +tp5375 +a(g827 +V +p5376 +tp5377 +a(g906 +V# Separate window for application +p5378 +tp5379 +a(g827 +V\u000a +p5380 +tp5381 +a(g706 +g1119 +tp5382 +a(g827 +V\u000a +p5383 +tp5384 +a(g745 +Velse +p5385 +tp5386 +a(g827 +g990 +tp5387 +a(g706 +g995 +tp5388 +a(g827 +V\u000a +p5389 +tp5390 +a(g441 +g1048 +tp5391 +a(g441 +Vconsole +p5392 +tp5393 +a(g827 +g990 +tp5394 +a(g413 +g1054 +tp5395 +a(g827 +g990 +tp5396 +a(g89 +V"Dev:Console" +p5397 +tp5398 +a(g706 +g1018 +tp5399 +a(g827 +V\u000a +p5400 +tp5401 +a(g706 +g1119 +tp5402 +a(g827 +V\u000a +p5403 +tp5404 +a(g706 +g1119 +tp5405 +a(g827 +g990 +tp5406 +a(g906 +V## end elsif ($^O eq 'MacOS') +p5407 +tp5408 +a(g827 +V\u000a\u000a +p5409 +tp5410 +a(g8 +V=item * VMS - use C.\u000a\u000a=cut +p5411 +tp5412 +a(g827 +V\u000a\u000a +p5413 +tp5414 +a(g745 +Velse +p5415 +tp5416 +a(g827 +g990 +tp5417 +a(g706 +g995 +tp5418 +a(g827 +V\u000a\u000a +p5419 +tp5420 +a(g906 +V# everything else is ... +p5421 +tp5422 +a(g827 +V\u000a +p5423 +tp5424 +a(g441 +g1048 +tp5425 +a(g441 +Vconsole +p5426 +tp5427 +a(g827 +g990 +tp5428 +a(g413 +g1054 +tp5429 +a(g827 +g990 +tp5430 +a(g89 +V"sys\u005c$command" +p5431 +tp5432 +a(g706 +g1018 +tp5433 +a(g827 +V\u000a +p5434 +tp5435 +a(g706 +g1119 +tp5436 +a(g827 +V\u000a\u000a +p5437 +tp5438 +a(g8 +V=pod\u000a\u000a=back\u000a\u000aSeveral other systems don't use a specific console. We C\u000afor those (Windows using a slave editor/graphical debugger, NetWare, OS/2\u000awith a slave editor, Epoc).\u000a\u000a=cut +p5439 +tp5440 +a(g827 +V\u000a\u000a +p5441 +tp5442 +a(g745 +Vif +p5443 +tp5444 +a(g827 +g990 +tp5445 +a(g706 +g1099 +tp5446 +a(g827 +g990 +tp5447 +a(g706 +g1099 +tp5448 +a(g827 +g990 +tp5449 +a(g470 +V$^O +p5450 +tp5451 +a(g827 +g990 +tp5452 +a(g427 +Veq +p5453 +tp5454 +a(g827 +g990 +tp5455 +a(g89 +V'MSWin32' +p5456 +tp5457 +a(g827 +g990 +tp5458 +a(g706 +g1104 +tp5459 +a(g827 +g990 +tp5460 +a(g427 +Vand +p5461 +tp5462 +a(g827 +g990 +tp5463 +a(g706 +g1099 +tp5464 +a(g827 +g990 +tp5465 +a(g441 +g1048 +tp5466 +a(g441 +Vslave_editor +p5467 +tp5468 +a(g827 +g990 +tp5469 +a(g427 +Vor +p5470 +tp5471 +a(g827 +g990 +tp5472 +a(g682 +Vdefined +p5473 +tp5474 +a(g827 +g990 +tp5475 +a(g441 +g1048 +tp5476 +a(g441 +VENV +p5477 +tp5478 +a(g706 +g995 +tp5479 +a(g436 +g1253 +tp5480 +a(g436 +VEMACS +p5481 +tp5482 +a(g706 +g1119 +tp5483 +a(g827 +g990 +tp5484 +a(g706 +g1104 +tp5485 +a(g827 +g990 +tp5486 +a(g706 +g1104 +tp5487 +a(g827 +g990 +tp5488 +a(g706 +g995 +tp5489 +a(g827 +V\u000a\u000a +p5490 +tp5491 +a(g906 +V# /dev/tty is binary. use stdin for textmode +p5492 +tp5493 +a(g827 +V\u000a +p5494 +tp5495 +a(g441 +g1048 +tp5496 +a(g441 +Vconsole +p5497 +tp5498 +a(g827 +g990 +tp5499 +a(g413 +g1054 +tp5500 +a(g827 +g990 +tp5501 +a(g682 +Vundef +p5502 +tp5503 +a(g706 +g1018 +tp5504 +a(g827 +V\u000a +p5505 +tp5506 +a(g706 +g1119 +tp5507 +a(g827 +V\u000a\u000a +p5508 +tp5509 +a(g745 +Vif +p5510 +tp5511 +a(g827 +g990 +tp5512 +a(g706 +g1099 +tp5513 +a(g827 +g990 +tp5514 +a(g470 +V$^O +p5515 +tp5516 +a(g827 +g990 +tp5517 +a(g427 +Veq +p5518 +tp5519 +a(g827 +g990 +tp5520 +a(g89 +V'NetWare' +p5521 +tp5522 +a(g827 +g990 +tp5523 +a(g706 +g1104 +tp5524 +a(g827 +g990 +tp5525 +a(g706 +g995 +tp5526 +a(g827 +V\u000a\u000a +p5527 +tp5528 +a(g906 +V# /dev/tty is binary. use stdin for textmode +p5529 +tp5530 +a(g827 +V\u000a +p5531 +tp5532 +a(g441 +g1048 +tp5533 +a(g441 +Vconsole +p5534 +tp5535 +a(g827 +g990 +tp5536 +a(g413 +g1054 +tp5537 +a(g827 +g990 +tp5538 +a(g682 +Vundef +p5539 +tp5540 +a(g706 +g1018 +tp5541 +a(g827 +V\u000a +p5542 +tp5543 +a(g706 +g1119 +tp5544 +a(g827 +V\u000a\u000a +p5545 +tp5546 +a(g906 +V# In OS/2, we need to use STDIN to get textmode too, even though +p5547 +tp5548 +a(g827 +V\u000a +p5549 +tp5550 +a(g906 +V# it pretty much looks like Unix otherwise. +p5551 +tp5552 +a(g827 +V\u000a +p5553 +tp5554 +a(g745 +Vif +p5555 +tp5556 +a(g827 +g990 +tp5557 +a(g706 +g1099 +tp5558 +a(g827 +g990 +tp5559 +a(g682 +Vdefined +p5560 +tp5561 +a(g827 +g990 +tp5562 +a(g441 +g1048 +tp5563 +a(g441 +VENV +p5564 +tp5565 +a(g706 +g995 +tp5566 +a(g436 +g1253 +tp5567 +a(g436 +VOS2_SHELL +p5568 +tp5569 +a(g706 +g1119 +tp5570 +a(g827 +g990 +tp5571 +a(g427 +Vand +p5572 +tp5573 +a(g827 +g990 +tp5574 +a(g706 +g1099 +tp5575 +a(g827 +g990 +tp5576 +a(g441 +g1048 +tp5577 +a(g441 +Vslave_editor +p5578 +tp5579 +a(g827 +g990 +tp5580 +a(g427 +Vor +p5581 +tp5582 +a(g827 +g990 +tp5583 +a(g441 +g1048 +tp5584 +a(g441 +VENV +p5585 +tp5586 +a(g706 +g995 +tp5587 +a(g436 +g1253 +tp5588 +a(g436 +VWINDOWID +p5589 +tp5590 +a(g706 +g1119 +tp5591 +a(g827 +g990 +tp5592 +a(g706 +g1104 +tp5593 +a(g827 +g990 +tp5594 +a(g706 +g1104 +tp5595 +a(g827 +V\u000a +p5596 +tp5597 +a(g706 +g995 +tp5598 +a(g827 +V +p5599 +tp5600 +a(g906 +V# In OS/2 +p5601 +tp5602 +a(g827 +V\u000a +p5603 +tp5604 +a(g441 +g1048 +tp5605 +a(g441 +Vconsole +p5606 +tp5607 +a(g827 +g990 +tp5608 +a(g413 +g1054 +tp5609 +a(g827 +g990 +tp5610 +a(g682 +Vundef +p5611 +tp5612 +a(g706 +g1018 +tp5613 +a(g827 +V\u000a +p5614 +tp5615 +a(g706 +g1119 +tp5616 +a(g827 +V\u000a\u000a +p5617 +tp5618 +a(g906 +V# EPOC also falls into the 'got to use STDIN' camp. +p5619 +tp5620 +a(g827 +V\u000a +p5621 +tp5622 +a(g745 +Vif +p5623 +tp5624 +a(g827 +g990 +tp5625 +a(g706 +g1099 +tp5626 +a(g827 +g990 +tp5627 +a(g470 +V$^O +p5628 +tp5629 +a(g827 +g990 +tp5630 +a(g427 +Veq +p5631 +tp5632 +a(g827 +g990 +tp5633 +a(g89 +V'epoc' +p5634 +tp5635 +a(g827 +g990 +tp5636 +a(g706 +g1104 +tp5637 +a(g827 +g990 +tp5638 +a(g706 +g995 +tp5639 +a(g827 +V\u000a +p5640 +tp5641 +a(g441 +g1048 +tp5642 +a(g441 +Vconsole +p5643 +tp5644 +a(g827 +g990 +tp5645 +a(g413 +g1054 +tp5646 +a(g827 +g990 +tp5647 +a(g682 +Vundef +p5648 +tp5649 +a(g706 +g1018 +tp5650 +a(g827 +V\u000a +p5651 +tp5652 +a(g706 +g1119 +tp5653 +a(g827 +V\u000a\u000a +p5654 +tp5655 +a(g8 +V=pod\u000a\u000aIf there is a TTY hanging around from a parent, we use that as the console.\u000a\u000a=cut +p5656 +tp5657 +a(g827 +V\u000a\u000a +p5658 +tp5659 +a(g441 +g1048 +tp5660 +a(g441 +Vconsole +p5661 +tp5662 +a(g827 +g990 +tp5663 +a(g413 +g1054 +tp5664 +a(g827 +g990 +tp5665 +a(g441 +g1048 +tp5666 +a(g441 +Vtty +p5667 +tp5668 +a(g827 +g990 +tp5669 +a(g745 +Vif +p5670 +tp5671 +a(g827 +g990 +tp5672 +a(g682 +Vdefined +p5673 +tp5674 +a(g827 +g990 +tp5675 +a(g441 +g1048 +tp5676 +a(g441 +Vtty +p5677 +tp5678 +a(g706 +g1018 +tp5679 +a(g827 +V\u000a\u000a +p5680 +tp5681 +a(g8 +V=head2 SOCKET HANDLING \u000a\u000aThe debugger is capable of opening a socket and carrying out a debugging\u000asession over the socket.\u000a\u000aIf C was defined in the options, the debugger assumes that it\u000ashould try to start a debugging session on that port. It builds the socket\u000aand then tries to connect the input and output filehandles to it.\u000a\u000a=cut +p5682 +tp5683 +a(g827 +V\u000a\u000a +p5684 +tp5685 +a(g906 +V# Handle socket stuff. +p5686 +tp5687 +a(g827 +V\u000a\u000a +p5688 +tp5689 +a(g745 +Vif +p5690 +tp5691 +a(g827 +g990 +tp5692 +a(g706 +g1099 +tp5693 +a(g827 +g990 +tp5694 +a(g682 +Vdefined +p5695 +tp5696 +a(g827 +g990 +tp5697 +a(g441 +g1048 +tp5698 +a(g441 +Vremoteport +p5699 +tp5700 +a(g827 +g990 +tp5701 +a(g706 +g1104 +tp5702 +a(g827 +g990 +tp5703 +a(g706 +g995 +tp5704 +a(g827 +V\u000a\u000a +p5705 +tp5706 +a(g906 +V# If RemotePort was defined in the options, connect input and output +p5707 +tp5708 +a(g827 +V\u000a +p5709 +tp5710 +a(g906 +V# to the socket. +p5711 +tp5712 +a(g827 +V\u000a +p5713 +tp5714 +a(g745 +Vrequire +p5715 +tp5716 +a(g827 +g990 +tp5717 +a(g621 +VIO::Socket +p5718 +tp5719 +a(g706 +g1018 +tp5720 +a(g827 +V\u000a +p5721 +tp5722 +a(g441 +g1048 +tp5723 +a(g441 +VOUT +p5724 +tp5725 +a(g827 +g990 +tp5726 +a(g413 +g1054 +tp5727 +a(g827 +g990 +tp5728 +a(g745 +Vnew +p5729 +tp5730 +a(g827 +g990 +tp5731 +a(g436 +g1253 +tp5732 +a(g621 +VIO::Socket:: +p5733 +tp5734 +a(g436 +g1253 +tp5735 +a(g436 +VINET +p5736 +tp5737 +a(g706 +g1099 +tp5738 +a(g827 +V\u000a +p5739 +tp5740 +a(g436 +g1253 +tp5741 +a(g436 +VTimeout +p5742 +tp5743 +a(g827 +V +p5744 +tp5745 +a(g413 +g1054 +tp5746 +a(g413 +g2206 +tp5747 +a(g827 +g990 +tp5748 +a(g89 +V'10' +p5749 +tp5750 +a(g706 +g1389 +tp5751 +a(g827 +V\u000a +p5752 +tp5753 +a(g436 +g1253 +tp5754 +a(g436 +VPeerAddr +p5755 +tp5756 +a(g827 +g990 +tp5757 +a(g413 +g1054 +tp5758 +a(g413 +g2206 +tp5759 +a(g827 +g990 +tp5760 +a(g441 +g1048 +tp5761 +a(g441 +Vremoteport +p5762 +tp5763 +a(g706 +g1389 +tp5764 +a(g827 +V\u000a +p5765 +tp5766 +a(g436 +g1253 +tp5767 +a(g436 +VProto +p5768 +tp5769 +a(g827 +V +p5770 +tp5771 +a(g413 +g1054 +tp5772 +a(g413 +g2206 +tp5773 +a(g827 +g990 +tp5774 +a(g89 +V'tcp' +p5775 +tp5776 +a(g706 +g1389 +tp5777 +a(g827 +V\u000a +p5778 +tp5779 +a(g706 +g1104 +tp5780 +a(g706 +g1018 +tp5781 +a(g827 +V\u000a +p5782 +tp5783 +a(g745 +Vif +p5784 +tp5785 +a(g827 +g990 +tp5786 +a(g706 +g1099 +tp5787 +a(g827 +g990 +tp5788 +a(g413 +V! +p5789 +tp5790 +a(g441 +g1048 +tp5791 +a(g441 +VOUT +p5792 +tp5793 +a(g827 +g990 +tp5794 +a(g706 +g1104 +tp5795 +a(g827 +g990 +tp5796 +a(g706 +g995 +tp5797 +a(g827 +g990 +tp5798 +a(g682 +Vdie +p5799 +tp5800 +a(g827 +g990 +tp5801 +a(g89 +V"Unable to connect to remote host: $remoteport\u005cn" +p5802 +tp5803 +a(g706 +g1018 +tp5804 +a(g827 +g990 +tp5805 +a(g706 +g1119 +tp5806 +a(g827 +V\u000a +p5807 +tp5808 +a(g441 +g1048 +tp5809 +a(g441 +VIN +p5810 +tp5811 +a(g827 +g990 +tp5812 +a(g413 +g1054 +tp5813 +a(g827 +g990 +tp5814 +a(g441 +g1048 +tp5815 +a(g441 +VOUT +p5816 +tp5817 +a(g706 +g1018 +tp5818 +a(g827 +V\u000a +p5819 +tp5820 +a(g706 +g1119 +tp5821 +a(g827 +g990 +tp5822 +a(g906 +V## end if (defined $remoteport) +p5823 +tp5824 +a(g827 +V\u000a\u000a +p5825 +tp5826 +a(g8 +V=pod\u000a\u000aIf no C was defined, and we want to create a TTY on startup,\u000athis is probably a situation where multiple debuggers are running (for example,\u000aa backticked command that starts up another debugger). We create a new IN and\u000aOUT filehandle, and do the necessary mojo to create a new TTY if we know how\u000aand if we can.\u000a\u000a=cut +p5827 +tp5828 +a(g827 +V\u000a\u000a +p5829 +tp5830 +a(g906 +V# Non-socket. +p5831 +tp5832 +a(g827 +V\u000a +p5833 +tp5834 +a(g745 +Velse +p5835 +tp5836 +a(g827 +g990 +tp5837 +a(g706 +g995 +tp5838 +a(g827 +V\u000a\u000a +p5839 +tp5840 +a(g906 +V# Two debuggers running (probably a system or a backtick that invokes +p5841 +tp5842 +a(g827 +V\u000a +p5843 +tp5844 +a(g906 +V# the debugger itself under the running one). create a new IN and OUT +p5845 +tp5846 +a(g827 +V\u000a +p5847 +tp5848 +a(g906 +V# filehandle, and do the necessary mojo to create a new tty if we +p5849 +tp5850 +a(g827 +V\u000a +p5851 +tp5852 +a(g906 +V# know how, and we can. +p5853 +tp5854 +a(g827 +V\u000a +p5855 +tp5856 +a(g436 +g1253 +tp5857 +a(g436 +Vcreate_IN_OUT +p5858 +tp5859 +a(g706 +g1099 +tp5860 +a(g37 +V4 +p5861 +tp5862 +a(g706 +g1104 +tp5863 +a(g827 +g990 +tp5864 +a(g745 +Vif +p5865 +tp5866 +a(g827 +g990 +tp5867 +a(g441 +g1048 +tp5868 +a(g441 +VCreateTTY +p5869 +tp5870 +a(g827 +g990 +tp5871 +a(g413 +g1251 +tp5872 +a(g827 +g990 +tp5873 +a(g37 +g5861 +tp5874 +a(g706 +g1018 +tp5875 +a(g827 +V\u000a +p5876 +tp5877 +a(g745 +Vif +p5878 +tp5879 +a(g827 +g990 +tp5880 +a(g706 +g1099 +tp5881 +a(g441 +g1048 +tp5882 +a(g441 +Vconsole +p5883 +tp5884 +a(g706 +g1104 +tp5885 +a(g827 +g990 +tp5886 +a(g706 +g995 +tp5887 +a(g827 +V\u000a\u000a +p5888 +tp5889 +a(g906 +V# If we have a console, check to see if there are separate ins and +p5890 +tp5891 +a(g827 +V\u000a +p5892 +tp5893 +a(g906 +V# outs to open. (They are assumed identiical if not.) +p5894 +tp5895 +a(g827 +V\u000a\u000a +p5896 +tp5897 +a(g745 +Vmy +p5898 +tp5899 +a(g827 +g990 +tp5900 +a(g706 +g1099 +tp5901 +a(g827 +g990 +tp5902 +a(g441 +g1048 +tp5903 +a(g441 +Vi +p5904 +tp5905 +a(g706 +g1389 +tp5906 +a(g827 +g990 +tp5907 +a(g441 +g1048 +tp5908 +a(g441 +Vo +p5909 +tp5910 +a(g827 +g990 +tp5911 +a(g706 +g1104 +tp5912 +a(g827 +g990 +tp5913 +a(g413 +g1054 +tp5914 +a(g827 +g990 +tp5915 +a(g682 +Vsplit +p5916 +tp5917 +a(g827 +g990 +tp5918 +a(g197 +V/,/ +p5919 +tp5920 +a(g706 +g1389 +tp5921 +a(g827 +g990 +tp5922 +a(g441 +g1048 +tp5923 +a(g441 +Vconsole +p5924 +tp5925 +a(g706 +g1018 +tp5926 +a(g827 +V\u000a +p5927 +tp5928 +a(g441 +g1048 +tp5929 +a(g441 +g5909 +tp5930 +a(g827 +g990 +tp5931 +a(g413 +g1054 +tp5932 +a(g827 +g990 +tp5933 +a(g441 +g1048 +tp5934 +a(g441 +g5904 +tp5935 +a(g827 +g990 +tp5936 +a(g745 +Vunless +p5937 +tp5938 +a(g827 +g990 +tp5939 +a(g682 +Vdefined +p5940 +tp5941 +a(g827 +g990 +tp5942 +a(g441 +g1048 +tp5943 +a(g441 +g5909 +tp5944 +a(g706 +g1018 +tp5945 +a(g827 +V\u000a\u000a +p5946 +tp5947 +a(g906 +V# read/write on in, or just read, or read on STDIN. +p5948 +tp5949 +a(g827 +V\u000a +p5950 +tp5951 +a(g682 +Vopen +p5952 +tp5953 +a(g706 +g1099 +tp5954 +a(g827 +g990 +tp5955 +a(g436 +g1253 +tp5956 +a(g436 +VIN +p5957 +tp5958 +a(g706 +g1389 +tp5959 +a(g827 +V +p5960 +tp5961 +a(g89 +V"+<$i" +p5962 +tp5963 +a(g827 +g990 +tp5964 +a(g706 +g1104 +tp5965 +a(g827 +V\u000a +p5966 +tp5967 +a(g413 +V|| +p5968 +tp5969 +a(g827 +g990 +tp5970 +a(g682 +Vopen +p5971 +tp5972 +a(g706 +g1099 +tp5973 +a(g827 +g990 +tp5974 +a(g436 +g1253 +tp5975 +a(g436 +VIN +p5976 +tp5977 +a(g706 +g1389 +tp5978 +a(g827 +g990 +tp5979 +a(g89 +V"<$i" +p5980 +tp5981 +a(g827 +g990 +tp5982 +a(g706 +g1104 +tp5983 +a(g827 +V\u000a +p5984 +tp5985 +a(g413 +V|| +p5986 +tp5987 +a(g827 +g990 +tp5988 +a(g682 +Vopen +p5989 +tp5990 +a(g706 +g1099 +tp5991 +a(g827 +g990 +tp5992 +a(g436 +g1253 +tp5993 +a(g436 +VIN +p5994 +tp5995 +a(g706 +g1389 +tp5996 +a(g827 +g990 +tp5997 +a(g89 +V"<&STDIN" +p5998 +tp5999 +a(g827 +g990 +tp6000 +a(g706 +g1104 +tp6001 +a(g706 +g1018 +tp6002 +a(g827 +V\u000a\u000a +p6003 +tp6004 +a(g906 +V# read/write/create/clobber out, or write/create/clobber out, +p6005 +tp6006 +a(g827 +V\u000a +p6007 +tp6008 +a(g906 +V# or merge with STDERR, or merge with STDOUT. +p6009 +tp6010 +a(g827 +V\u000a +p6011 +tp6012 +a(g682 +Vopen +p6013 +tp6014 +a(g706 +g1099 +tp6015 +a(g827 +g990 +tp6016 +a(g436 +g1253 +tp6017 +a(g436 +VOUT +p6018 +tp6019 +a(g706 +g1389 +tp6020 +a(g827 +g990 +tp6021 +a(g89 +V"+>$o" +p6022 +tp6023 +a(g827 +g990 +tp6024 +a(g706 +g1104 +tp6025 +a(g827 +V\u000a +p6026 +tp6027 +a(g413 +V|| +p6028 +tp6029 +a(g827 +g990 +tp6030 +a(g682 +Vopen +p6031 +tp6032 +a(g706 +g1099 +tp6033 +a(g827 +g990 +tp6034 +a(g436 +g1253 +tp6035 +a(g436 +VOUT +p6036 +tp6037 +a(g706 +g1389 +tp6038 +a(g827 +g990 +tp6039 +a(g89 +V">$o" +p6040 +tp6041 +a(g827 +g990 +tp6042 +a(g706 +g1104 +tp6043 +a(g827 +V\u000a +p6044 +tp6045 +a(g413 +V|| +p6046 +tp6047 +a(g827 +g990 +tp6048 +a(g682 +Vopen +p6049 +tp6050 +a(g706 +g1099 +tp6051 +a(g827 +g990 +tp6052 +a(g436 +g1253 +tp6053 +a(g436 +VOUT +p6054 +tp6055 +a(g706 +g1389 +tp6056 +a(g827 +g990 +tp6057 +a(g89 +V">&STDERR" +p6058 +tp6059 +a(g827 +g990 +tp6060 +a(g706 +g1104 +tp6061 +a(g827 +V\u000a +p6062 +tp6063 +a(g413 +V|| +p6064 +tp6065 +a(g827 +g990 +tp6066 +a(g682 +Vopen +p6067 +tp6068 +a(g706 +g1099 +tp6069 +a(g827 +g990 +tp6070 +a(g436 +g1253 +tp6071 +a(g436 +VOUT +p6072 +tp6073 +a(g706 +g1389 +tp6074 +a(g827 +g990 +tp6075 +a(g89 +V">&STDOUT" +p6076 +tp6077 +a(g827 +g990 +tp6078 +a(g706 +g1104 +tp6079 +a(g706 +g1018 +tp6080 +a(g827 +V +p6081 +tp6082 +a(g906 +V# so we don't dongle stdout +p6083 +tp6084 +a(g827 +V\u000a\u000a +p6085 +tp6086 +a(g706 +g1119 +tp6087 +a(g827 +g990 +tp6088 +a(g906 +V## end if ($console) +p6089 +tp6090 +a(g827 +V\u000a +p6091 +tp6092 +a(g745 +Velsif +p6093 +tp6094 +a(g827 +g990 +tp6095 +a(g706 +g1099 +tp6096 +a(g827 +g990 +tp6097 +a(g427 +Vnot +p6098 +tp6099 +a(g827 +g990 +tp6100 +a(g682 +Vdefined +p6101 +tp6102 +a(g827 +g990 +tp6103 +a(g441 +g1048 +tp6104 +a(g441 +Vconsole +p6105 +tp6106 +a(g827 +g990 +tp6107 +a(g706 +g1104 +tp6108 +a(g827 +g990 +tp6109 +a(g706 +g995 +tp6110 +a(g827 +V\u000a\u000a +p6111 +tp6112 +a(g906 +V# No console. Open STDIN. +p6113 +tp6114 +a(g827 +V\u000a +p6115 +tp6116 +a(g682 +Vopen +p6117 +tp6118 +a(g706 +g1099 +tp6119 +a(g827 +g990 +tp6120 +a(g436 +g1253 +tp6121 +a(g436 +VIN +p6122 +tp6123 +a(g706 +g1389 +tp6124 +a(g827 +g990 +tp6125 +a(g89 +V"<&STDIN" +p6126 +tp6127 +a(g827 +g990 +tp6128 +a(g706 +g1104 +tp6129 +a(g706 +g1018 +tp6130 +a(g827 +V\u000a\u000a +p6131 +tp6132 +a(g906 +V# merge with STDERR, or with STDOUT. +p6133 +tp6134 +a(g827 +V\u000a +p6135 +tp6136 +a(g682 +Vopen +p6137 +tp6138 +a(g706 +g1099 +tp6139 +a(g827 +g990 +tp6140 +a(g436 +g1253 +tp6141 +a(g436 +VOUT +p6142 +tp6143 +a(g706 +g1389 +tp6144 +a(g827 +V +p6145 +tp6146 +a(g89 +V">&STDERR" +p6147 +tp6148 +a(g827 +g990 +tp6149 +a(g706 +g1104 +tp6150 +a(g827 +V\u000a +p6151 +tp6152 +a(g413 +V|| +p6153 +tp6154 +a(g827 +g990 +tp6155 +a(g682 +Vopen +p6156 +tp6157 +a(g706 +g1099 +tp6158 +a(g827 +g990 +tp6159 +a(g436 +g1253 +tp6160 +a(g436 +VOUT +p6161 +tp6162 +a(g706 +g1389 +tp6163 +a(g827 +g990 +tp6164 +a(g89 +V">&STDOUT" +p6165 +tp6166 +a(g827 +g990 +tp6167 +a(g706 +g1104 +tp6168 +a(g706 +g1018 +tp6169 +a(g827 +V +p6170 +tp6171 +a(g906 +V# so we don't dongle stdout +p6172 +tp6173 +a(g827 +V\u000a +p6174 +tp6175 +a(g441 +g1048 +tp6176 +a(g441 +Vconsole +p6177 +tp6178 +a(g827 +g990 +tp6179 +a(g413 +g1054 +tp6180 +a(g827 +g990 +tp6181 +a(g89 +V'STDIN/OUT' +p6182 +tp6183 +a(g706 +g1018 +tp6184 +a(g827 +V\u000a +p6185 +tp6186 +a(g706 +g1119 +tp6187 +a(g827 +g990 +tp6188 +a(g906 +V## end elsif (not defined $console) +p6189 +tp6190 +a(g827 +V\u000a\u000a +p6191 +tp6192 +a(g906 +V# Keep copies of the filehandles so that when the pager runs, it +p6193 +tp6194 +a(g827 +V\u000a +p6195 +tp6196 +a(g906 +V# can close standard input without clobbering ours. +p6197 +tp6198 +a(g827 +V\u000a +p6199 +tp6200 +a(g441 +g1048 +tp6201 +a(g441 +VIN +p6202 +tp6203 +a(g827 +g990 +tp6204 +a(g413 +g1054 +tp6205 +a(g827 +g990 +tp6206 +a(g413 +V\u005c +p6207 +tp6208 +a(g413 +g1804 +tp6209 +a(g436 +g1253 +tp6210 +a(g436 +VIN +p6211 +tp6212 +a(g706 +g1389 +tp6213 +a(g827 +g990 +tp6214 +a(g441 +g1048 +tp6215 +a(g441 +VOUT +p6216 +tp6217 +a(g827 +g990 +tp6218 +a(g413 +g1054 +tp6219 +a(g827 +g990 +tp6220 +a(g413 +V\u005c +p6221 +tp6222 +a(g413 +g1804 +tp6223 +a(g436 +g1253 +tp6224 +a(g436 +VOUT +p6225 +tp6226 +a(g827 +g990 +tp6227 +a(g745 +Vif +p6228 +tp6229 +a(g827 +g990 +tp6230 +a(g441 +g1048 +tp6231 +a(g441 +Vconsole +p6232 +tp6233 +a(g827 +g990 +tp6234 +a(g427 +Vor +p6235 +tp6236 +a(g827 +g990 +tp6237 +a(g427 +Vnot +p6238 +tp6239 +a(g827 +g990 +tp6240 +a(g682 +Vdefined +p6241 +tp6242 +a(g827 +g990 +tp6243 +a(g441 +g1048 +tp6244 +a(g441 +Vconsole +p6245 +tp6246 +a(g706 +g1018 +tp6247 +a(g827 +V\u000a +p6248 +tp6249 +a(g706 +g1119 +tp6250 +a(g827 +g990 +tp6251 +a(g906 +V## end elsif (from if(defined $remoteport)) +p6252 +tp6253 +a(g827 +V\u000a\u000a +p6254 +tp6255 +a(g906 +V# Unbuffer DB::OUT. We need to see responses right away. +p6256 +tp6257 +a(g827 +V\u000a +p6258 +tp6259 +a(g745 +Vmy +p6260 +tp6261 +a(g827 +g990 +tp6262 +a(g441 +g1048 +tp6263 +a(g441 +Vprevious +p6264 +tp6265 +a(g827 +g990 +tp6266 +a(g413 +g1054 +tp6267 +a(g827 +g990 +tp6268 +a(g682 +Vselect +p6269 +tp6270 +a(g706 +g1099 +tp6271 +a(g441 +g1048 +tp6272 +a(g441 +VOUT +p6273 +tp6274 +a(g706 +g1104 +tp6275 +a(g706 +g1018 +tp6276 +a(g827 +V\u000a +p6277 +tp6278 +a(g470 +V$| +p6279 +tp6280 +a(g827 +g990 +tp6281 +a(g413 +g1054 +tp6282 +a(g827 +g990 +tp6283 +a(g37 +g2150 +tp6284 +a(g706 +g1018 +tp6285 +a(g827 +V +p6286 +tp6287 +a(g906 +V# for DB::OUT +p6288 +tp6289 +a(g827 +V\u000a +p6290 +tp6291 +a(g682 +Vselect +p6292 +tp6293 +a(g706 +g1099 +tp6294 +a(g441 +g1048 +tp6295 +a(g441 +Vprevious +p6296 +tp6297 +a(g706 +g1104 +tp6298 +a(g706 +g1018 +tp6299 +a(g827 +V\u000a\u000a +p6300 +tp6301 +a(g906 +V# Line info goes to debugger output unless pointed elsewhere. +p6302 +tp6303 +a(g827 +V\u000a +p6304 +tp6305 +a(g906 +V# Pointing elsewhere makes it possible for slave editors to +p6306 +tp6307 +a(g827 +V\u000a +p6308 +tp6309 +a(g906 +V# keep track of file and position. We have both a filehandle +p6310 +tp6311 +a(g827 +V\u000a +p6312 +tp6313 +a(g906 +V# and a I/O description to keep track of. +p6314 +tp6315 +a(g827 +V\u000a +p6316 +tp6317 +a(g441 +g1048 +tp6318 +a(g441 +VLINEINFO +p6319 +tp6320 +a(g827 +g990 +tp6321 +a(g413 +g1054 +tp6322 +a(g827 +g990 +tp6323 +a(g441 +g1048 +tp6324 +a(g441 +VOUT +p6325 +tp6326 +a(g827 +V +p6327 +tp6328 +a(g745 +Vunless +p6329 +tp6330 +a(g827 +g990 +tp6331 +a(g682 +Vdefined +p6332 +tp6333 +a(g827 +g990 +tp6334 +a(g441 +g1048 +tp6335 +a(g441 +VLINEINFO +p6336 +tp6337 +a(g706 +g1018 +tp6338 +a(g827 +V\u000a +p6339 +tp6340 +a(g441 +g1048 +tp6341 +a(g441 +Vlineinfo +p6342 +tp6343 +a(g827 +g990 +tp6344 +a(g413 +g1054 +tp6345 +a(g827 +g990 +tp6346 +a(g441 +g1048 +tp6347 +a(g441 +Vconsole +p6348 +tp6349 +a(g827 +g990 +tp6350 +a(g745 +Vunless +p6351 +tp6352 +a(g827 +g990 +tp6353 +a(g682 +Vdefined +p6354 +tp6355 +a(g827 +g990 +tp6356 +a(g441 +g1048 +tp6357 +a(g441 +Vlineinfo +p6358 +tp6359 +a(g706 +g1018 +tp6360 +a(g827 +V\u000a +p6361 +tp6362 +a(g906 +V# share($LINEINFO); # <- unable to share globs +p6363 +tp6364 +a(g827 +V\u000a +p6365 +tp6366 +a(g436 +g1253 +tp6367 +a(g436 +Vshare +p6368 +tp6369 +a(g706 +g1099 +tp6370 +a(g441 +g1048 +tp6371 +a(g441 +Vlineinfo +p6372 +tp6373 +a(g706 +g1104 +tp6374 +a(g706 +g1018 +tp6375 +a(g827 +V +p6376 +tp6377 +a(g906 +V# +p6378 +tp6379 +a(g827 +V\u000a\u000a +p6380 +tp6381 +a(g8 +V=pod\u000a\u000aTo finish initialization, we show the debugger greeting,\u000aand then call the C subroutine if there is one.\u000a\u000a=cut +p6382 +tp6383 +a(g827 +V\u000a\u000a +p6384 +tp6385 +a(g906 +V# Show the debugger greeting. +p6386 +tp6387 +a(g827 +V\u000a +p6388 +tp6389 +a(g441 +g1048 +tp6390 +a(g441 +Vheader +p6391 +tp6392 +a(g827 +g990 +tp6393 +a(g413 +V=~ +p6394 +tp6395 +a(g827 +g990 +tp6396 +a(g197 +Vs/.Header: ([^,]+),v(\u005cs+\u005cS+\u005cs+\u005cS+).*$/$1$2/ +p6397 +tp6398 +a(g706 +g1018 +tp6399 +a(g827 +V\u000a +p6400 +tp6401 +a(g745 +Vunless +p6402 +tp6403 +a(g827 +g990 +tp6404 +a(g706 +g1099 +tp6405 +a(g441 +g1048 +tp6406 +a(g441 +Vrunnonstop +p6407 +tp6408 +a(g706 +g1104 +tp6409 +a(g827 +g990 +tp6410 +a(g706 +g995 +tp6411 +a(g827 +V\u000a +p6412 +tp6413 +a(g682 +Vlocal +p6414 +tp6415 +a(g827 +g990 +tp6416 +a(g470 +V$\u005c +p6417 +tp6418 +a(g827 +g990 +tp6419 +a(g413 +g1054 +tp6420 +a(g827 +g990 +tp6421 +a(g89 +V'' +p6422 +tp6423 +a(g706 +g1018 +tp6424 +a(g827 +V\u000a +p6425 +tp6426 +a(g682 +Vlocal +p6427 +tp6428 +a(g827 +g990 +tp6429 +a(g470 +V$, +p6430 +tp6431 +a(g827 +g990 +tp6432 +a(g413 +g1054 +tp6433 +a(g827 +g990 +tp6434 +a(g89 +V'' +p6435 +tp6436 +a(g706 +g1018 +tp6437 +a(g827 +V\u000a +p6438 +tp6439 +a(g745 +Vif +p6440 +tp6441 +a(g827 +g990 +tp6442 +a(g706 +g1099 +tp6443 +a(g827 +g990 +tp6444 +a(g441 +g1048 +tp6445 +a(g441 +Vterm_pid +p6446 +tp6447 +a(g827 +g990 +tp6448 +a(g427 +Veq +p6449 +tp6450 +a(g827 +g990 +tp6451 +a(g89 +V'-1' +p6452 +tp6453 +a(g827 +g990 +tp6454 +a(g706 +g1104 +tp6455 +a(g827 +g990 +tp6456 +a(g706 +g995 +tp6457 +a(g827 +V\u000a +p6458 +tp6459 +a(g745 +Vprint +p6460 +tp6461 +a(g827 +g990 +tp6462 +a(g441 +g1048 +tp6463 +a(g441 +VOUT +p6464 +tp6465 +a(g827 +g990 +tp6466 +a(g89 +V"\u005cnDaughter DB session started...\u005cn" +p6467 +tp6468 +a(g706 +g1018 +tp6469 +a(g827 +V\u000a +p6470 +tp6471 +a(g706 +g1119 +tp6472 +a(g827 +V\u000a +p6473 +tp6474 +a(g745 +Velse +p6475 +tp6476 +a(g827 +g990 +tp6477 +a(g706 +g995 +tp6478 +a(g827 +V\u000a +p6479 +tp6480 +a(g745 +Vprint +p6481 +tp6482 +a(g827 +g990 +tp6483 +a(g441 +g1048 +tp6484 +a(g441 +VOUT +p6485 +tp6486 +a(g827 +g990 +tp6487 +a(g89 +V"\u005cnLoading DB routines from $header\u005cn" +p6488 +tp6489 +a(g706 +g1018 +tp6490 +a(g827 +V\u000a +p6491 +tp6492 +a(g745 +Vprint +p6493 +tp6494 +a(g827 +g990 +tp6495 +a(g441 +g1048 +tp6496 +a(g441 +VOUT +p6497 +tp6498 +a(g827 +g990 +tp6499 +a(g706 +g1099 +tp6500 +a(g827 +V\u000a +p6501 +tp6502 +a(g89 +V"Editor support " +p6503 +tp6504 +a(g706 +g1389 +tp6505 +a(g827 +V\u000a +p6506 +tp6507 +a(g441 +g1048 +tp6508 +a(g441 +Vslave_editor +p6509 +tp6510 +a(g827 +g990 +tp6511 +a(g706 +g3349 +tp6512 +a(g827 +g990 +tp6513 +a(g89 +V"enabled" +p6514 +tp6515 +a(g827 +g990 +tp6516 +a(g706 +g3366 +tp6517 +a(g827 +g990 +tp6518 +a(g89 +V"available" +p6519 +tp6520 +a(g706 +g1389 +tp6521 +a(g827 +g990 +tp6522 +a(g89 +V".\u005cn" +p6523 +tp6524 +a(g827 +V\u000a +p6525 +tp6526 +a(g706 +g1104 +tp6527 +a(g706 +g1018 +tp6528 +a(g827 +V\u000a +p6529 +tp6530 +a(g745 +Vprint +p6531 +tp6532 +a(g827 +g990 +tp6533 +a(g441 +g1048 +tp6534 +a(g441 +VOUT +p6535 +tp6536 +a(g827 +V\u000a +p6537 +tp6538 +a(g89 +V"\u005cnEnter h or `h h' for help, or `$doccmd perldebug' for more help.\u005cn\u005cn" +p6539 +tp6540 +a(g706 +g1018 +tp6541 +a(g827 +V\u000a +p6542 +tp6543 +a(g706 +g1119 +tp6544 +a(g827 +g990 +tp6545 +a(g906 +V## end else [ if ($term_pid eq '-1') +p6546 +tp6547 +a(g827 +V\u000a +p6548 +tp6549 +a(g706 +g1119 +tp6550 +a(g827 +g990 +tp6551 +a(g906 +V## end unless ($runnonstop) +p6552 +tp6553 +a(g827 +V\u000a +p6554 +tp6555 +a(g706 +g1119 +tp6556 +a(g827 +g990 +tp6557 +a(g906 +V## end else [ if ($notty) +p6558 +tp6559 +a(g827 +V\u000a\u000a +p6560 +tp6561 +a(g906 +V# XXX This looks like a bug to me. +p6562 +tp6563 +a(g827 +V\u000a +p6564 +tp6565 +a(g906 +V# Why copy to @ARGS and then futz with @args? +p6566 +tp6567 +a(g827 +V\u000a +p6568 +tp6569 +a(g441 +g1014 +tp6570 +a(g441 +VARGS +p6571 +tp6572 +a(g827 +g990 +tp6573 +a(g413 +g1054 +tp6574 +a(g827 +g990 +tp6575 +a(g441 +g1014 +tp6576 +a(g441 +VARGV +p6577 +tp6578 +a(g706 +g1018 +tp6579 +a(g827 +V\u000a +p6580 +tp6581 +a(g745 +Vfor +p6582 +tp6583 +a(g827 +g990 +tp6584 +a(g706 +g1099 +tp6585 +a(g441 +g1014 +tp6586 +a(g441 +Vargs +p6587 +tp6588 +a(g706 +g1104 +tp6589 +a(g827 +g990 +tp6590 +a(g706 +g995 +tp6591 +a(g827 +V\u000a +p6592 +tp6593 +a(g906 +V# Make sure backslashes before single quotes are stripped out, and +p6594 +tp6595 +a(g827 +V\u000a +p6596 +tp6597 +a(g906 +V# keep args unless they are numeric (XXX why?) +p6598 +tp6599 +a(g827 +V\u000a +p6600 +tp6601 +a(g906 +V# s/\u005c'/\u005c\u005c\u005c'/g; # removed while not justified understandably +p6602 +tp6603 +a(g827 +V\u000a +p6604 +tp6605 +a(g906 +V# s/(.*)/'$1'/ unless /^-?[\u005cd.]+$/; # ditto +p6606 +tp6607 +a(g827 +V\u000a +p6608 +tp6609 +a(g706 +g1119 +tp6610 +a(g827 +V\u000a\u000a +p6611 +tp6612 +a(g906 +V# If there was an afterinit() sub defined, call it. It will get +p6613 +tp6614 +a(g827 +V\u000a +p6615 +tp6616 +a(g906 +V# executed in our scope, so it can fiddle with debugger globals. +p6617 +tp6618 +a(g827 +V\u000a +p6619 +tp6620 +a(g745 +Vif +p6621 +tp6622 +a(g827 +g990 +tp6623 +a(g706 +g1099 +tp6624 +a(g827 +g990 +tp6625 +a(g682 +Vdefined +p6626 +tp6627 +a(g827 +g990 +tp6628 +a(g413 +g1251 +tp6629 +a(g436 +g1253 +tp6630 +a(g436 +Vafterinit +p6631 +tp6632 +a(g827 +g990 +tp6633 +a(g706 +g1104 +tp6634 +a(g827 +g990 +tp6635 +a(g706 +g995 +tp6636 +a(g827 +V +p6637 +tp6638 +a(g906 +V# May be defined in $rcfile +p6639 +tp6640 +a(g827 +V\u000a +p6641 +tp6642 +a(g413 +g1251 +tp6643 +a(g436 +g1253 +tp6644 +a(g436 +Vafterinit +p6645 +tp6646 +a(g706 +g1099 +tp6647 +a(g706 +g1104 +tp6648 +a(g706 +g1018 +tp6649 +a(g827 +V\u000a +p6650 +tp6651 +a(g706 +g1119 +tp6652 +a(g827 +V\u000a\u000a +p6653 +tp6654 +a(g906 +V# Inform us about "Stack dump during die enabled ..." in dieLevel(). +p6655 +tp6656 +a(g827 +V\u000a +p6657 +tp6658 +a(g441 +g1048 +tp6659 +a(g441 +VI_m_init +p6660 +tp6661 +a(g827 +g990 +tp6662 +a(g413 +g1054 +tp6663 +a(g827 +g990 +tp6664 +a(g37 +g2150 +tp6665 +a(g706 +g1018 +tp6666 +a(g827 +V\u000a +p6667 +tp6668 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/perl_regex-delims b/tests/examplefiles/output/perl_regex-delims new file mode 100644 index 0000000..68b3690 --- /dev/null +++ b/tests/examplefiles/output/perl_regex-delims @@ -0,0 +1,4765 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Hashbang' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg5 +g8 +sS'Preproc' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag8 +ag18 +ag921 +ag891 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#! /usr/bin/env perl +p956 +tp957 +a(g827 +V\u000a\u000a +p958 +tp959 +a(g745 +Vuse +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g621 +Vstrict +p964 +tp965 +a(g706 +V; +p966 +tp967 +a(g827 +V\u000a +p968 +tp969 +a(g745 +Vuse +p970 +tp971 +a(g827 +g962 +tp972 +a(g621 +Vwarnings +p973 +tp974 +a(g706 +g966 +tp975 +a(g827 +V\u000a\u000a +p976 +tp977 +a(g898 +V# common delimiters +p978 +tp979 +a(g827 +V\u000a +p980 +tp981 +a(g745 +Vprint +p982 +tp983 +a(g827 +g962 +tp984 +a(g89 +V"a: " +p985 +tp986 +a(g706 +g966 +tp987 +a(g827 +V\u000a +p988 +tp989 +a(g745 +Vmy +p990 +tp991 +a(g827 +g962 +tp992 +a(g441 +V$ +p993 +tp994 +a(g441 +Va +p995 +tp996 +a(g827 +g962 +tp997 +a(g413 +V= +p998 +tp999 +a(g827 +g962 +tp1000 +a(g89 +V"foo" +p1001 +tp1002 +a(g706 +g966 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g745 +Vprint +p1006 +tp1007 +a(g827 +g962 +tp1008 +a(g441 +g993 +tp1009 +a(g441 +g995 +tp1010 +a(g706 +V, +p1011 +tp1012 +a(g827 +g962 +tp1013 +a(g89 +V" - " +p1014 +tp1015 +a(g706 +g966 +tp1016 +a(g827 +V\u000a +p1017 +tp1018 +a(g441 +g993 +tp1019 +a(g441 +g995 +tp1020 +a(g827 +g962 +tp1021 +a(g413 +V=~ +p1022 +tp1023 +a(g827 +g962 +tp1024 +a(g197 +Vs/foo/bar/ +p1025 +tp1026 +a(g706 +g966 +tp1027 +a(g827 +V\u000a +p1028 +tp1029 +a(g745 +Vprint +p1030 +tp1031 +a(g827 +g962 +tp1032 +a(g441 +g993 +tp1033 +a(g441 +g995 +tp1034 +a(g706 +g1011 +tp1035 +a(g827 +g962 +tp1036 +a(g89 +V"\u005cn" +p1037 +tp1038 +a(g706 +g966 +tp1039 +a(g827 +V\u000a\u000a +p1040 +tp1041 +a(g745 +Vprint +p1042 +tp1043 +a(g827 +g962 +tp1044 +a(g89 +V"b: " +p1045 +tp1046 +a(g706 +g966 +tp1047 +a(g827 +V\u000a +p1048 +tp1049 +a(g745 +Vmy +p1050 +tp1051 +a(g827 +g962 +tp1052 +a(g441 +g993 +tp1053 +a(g441 +Vb +p1054 +tp1055 +a(g827 +g962 +tp1056 +a(g413 +g998 +tp1057 +a(g827 +g962 +tp1058 +a(g89 +V"foo" +p1059 +tp1060 +a(g706 +g966 +tp1061 +a(g827 +V\u000a +p1062 +tp1063 +a(g745 +Vprint +p1064 +tp1065 +a(g827 +g962 +tp1066 +a(g441 +g993 +tp1067 +a(g441 +g1054 +tp1068 +a(g706 +g1011 +tp1069 +a(g827 +g962 +tp1070 +a(g89 +V" - " +p1071 +tp1072 +a(g706 +g966 +tp1073 +a(g827 +V\u000a +p1074 +tp1075 +a(g441 +g993 +tp1076 +a(g441 +g1054 +tp1077 +a(g827 +g962 +tp1078 +a(g413 +V=~ +p1079 +tp1080 +a(g827 +g962 +tp1081 +a(g197 +Vs!foo!bar! +p1082 +tp1083 +a(g706 +g966 +tp1084 +a(g827 +V\u000a +p1085 +tp1086 +a(g745 +Vprint +p1087 +tp1088 +a(g827 +g962 +tp1089 +a(g441 +g993 +tp1090 +a(g441 +g1054 +tp1091 +a(g706 +g1011 +tp1092 +a(g827 +g962 +tp1093 +a(g89 +V"\u005cn" +p1094 +tp1095 +a(g706 +g966 +tp1096 +a(g827 +V\u000a\u000a +p1097 +tp1098 +a(g745 +Vprint +p1099 +tp1100 +a(g827 +g962 +tp1101 +a(g89 +V"c: " +p1102 +tp1103 +a(g706 +g966 +tp1104 +a(g827 +V\u000a +p1105 +tp1106 +a(g745 +Vmy +p1107 +tp1108 +a(g827 +g962 +tp1109 +a(g441 +g993 +tp1110 +a(g441 +Vc +p1111 +tp1112 +a(g827 +g962 +tp1113 +a(g413 +g998 +tp1114 +a(g827 +g962 +tp1115 +a(g89 +V"foo" +p1116 +tp1117 +a(g706 +g966 +tp1118 +a(g827 +V\u000a +p1119 +tp1120 +a(g745 +Vprint +p1121 +tp1122 +a(g827 +g962 +tp1123 +a(g441 +g993 +tp1124 +a(g441 +g1111 +tp1125 +a(g706 +g1011 +tp1126 +a(g827 +g962 +tp1127 +a(g89 +V" - " +p1128 +tp1129 +a(g706 +g966 +tp1130 +a(g827 +V\u000a +p1131 +tp1132 +a(g441 +g993 +tp1133 +a(g441 +g1111 +tp1134 +a(g827 +g962 +tp1135 +a(g413 +V=~ +p1136 +tp1137 +a(g827 +g962 +tp1138 +a(g197 +Vs@foo@bar@ +p1139 +tp1140 +a(g706 +g966 +tp1141 +a(g827 +V\u000a +p1142 +tp1143 +a(g745 +Vprint +p1144 +tp1145 +a(g827 +g962 +tp1146 +a(g441 +g993 +tp1147 +a(g441 +g1111 +tp1148 +a(g706 +g1011 +tp1149 +a(g827 +g962 +tp1150 +a(g89 +V"\u005cn" +p1151 +tp1152 +a(g706 +g966 +tp1153 +a(g827 +V\u000a\u000a +p1154 +tp1155 +a(g745 +Vprint +p1156 +tp1157 +a(g827 +g962 +tp1158 +a(g89 +V"d: " +p1159 +tp1160 +a(g706 +g966 +tp1161 +a(g827 +V\u000a +p1162 +tp1163 +a(g745 +Vmy +p1164 +tp1165 +a(g827 +g962 +tp1166 +a(g441 +g993 +tp1167 +a(g441 +Vd +p1168 +tp1169 +a(g827 +g962 +tp1170 +a(g413 +g998 +tp1171 +a(g827 +g962 +tp1172 +a(g89 +V"foo" +p1173 +tp1174 +a(g706 +g966 +tp1175 +a(g827 +V\u000a +p1176 +tp1177 +a(g745 +Vprint +p1178 +tp1179 +a(g827 +g962 +tp1180 +a(g441 +g993 +tp1181 +a(g441 +g1168 +tp1182 +a(g706 +g1011 +tp1183 +a(g827 +g962 +tp1184 +a(g89 +V" - " +p1185 +tp1186 +a(g706 +g966 +tp1187 +a(g827 +V\u000a +p1188 +tp1189 +a(g441 +g993 +tp1190 +a(g441 +g1168 +tp1191 +a(g827 +g962 +tp1192 +a(g413 +V=~ +p1193 +tp1194 +a(g827 +g962 +tp1195 +a(g197 +Vs\u005cfoo\u005cbar\u005c +p1196 +tp1197 +a(g706 +g966 +tp1198 +a(g827 +V\u000a +p1199 +tp1200 +a(g745 +Vprint +p1201 +tp1202 +a(g827 +g962 +tp1203 +a(g441 +g993 +tp1204 +a(g441 +g1168 +tp1205 +a(g706 +g1011 +tp1206 +a(g827 +g962 +tp1207 +a(g89 +V"\u005cn" +p1208 +tp1209 +a(g706 +g966 +tp1210 +a(g827 +V\u000a\u000a +p1211 +tp1212 +a(g745 +Vprint +p1213 +tp1214 +a(g827 +g962 +tp1215 +a(g89 +V"\u005cn" +p1216 +tp1217 +a(g706 +g966 +tp1218 +a(g827 +V\u000a\u000a +p1219 +tp1220 +a(g898 +V# balanced delimiters +p1221 +tp1222 +a(g827 +V\u000a +p1223 +tp1224 +a(g745 +Vprint +p1225 +tp1226 +a(g827 +g962 +tp1227 +a(g89 +V"e: " +p1228 +tp1229 +a(g706 +g966 +tp1230 +a(g827 +V\u000a +p1231 +tp1232 +a(g745 +Vmy +p1233 +tp1234 +a(g827 +g962 +tp1235 +a(g441 +g993 +tp1236 +a(g441 +Ve +p1237 +tp1238 +a(g827 +g962 +tp1239 +a(g413 +g998 +tp1240 +a(g827 +g962 +tp1241 +a(g89 +V"foo" +p1242 +tp1243 +a(g706 +g966 +tp1244 +a(g827 +V\u000a +p1245 +tp1246 +a(g745 +Vprint +p1247 +tp1248 +a(g827 +g962 +tp1249 +a(g441 +g993 +tp1250 +a(g441 +g1237 +tp1251 +a(g706 +g1011 +tp1252 +a(g827 +g962 +tp1253 +a(g89 +V" - " +p1254 +tp1255 +a(g706 +g966 +tp1256 +a(g827 +V\u000a +p1257 +tp1258 +a(g441 +g993 +tp1259 +a(g441 +g1237 +tp1260 +a(g827 +g962 +tp1261 +a(g413 +V=~ +p1262 +tp1263 +a(g827 +g962 +tp1264 +a(g197 +Vs{foo} +p1265 +tp1266 +a(g197 +V{bar} +p1267 +tp1268 +a(g706 +g966 +tp1269 +a(g827 +V\u000a +p1270 +tp1271 +a(g745 +Vprint +p1272 +tp1273 +a(g827 +g962 +tp1274 +a(g441 +g993 +tp1275 +a(g441 +g1237 +tp1276 +a(g706 +g1011 +tp1277 +a(g827 +g962 +tp1278 +a(g89 +V"\u005cn" +p1279 +tp1280 +a(g706 +g966 +tp1281 +a(g827 +V\u000a\u000a +p1282 +tp1283 +a(g745 +Vprint +p1284 +tp1285 +a(g827 +g962 +tp1286 +a(g89 +V"f: " +p1287 +tp1288 +a(g706 +g966 +tp1289 +a(g827 +V\u000a +p1290 +tp1291 +a(g745 +Vmy +p1292 +tp1293 +a(g827 +g962 +tp1294 +a(g441 +g993 +tp1295 +a(g441 +Vf +p1296 +tp1297 +a(g827 +g962 +tp1298 +a(g413 +g998 +tp1299 +a(g827 +g962 +tp1300 +a(g89 +V"foo" +p1301 +tp1302 +a(g706 +g966 +tp1303 +a(g827 +V\u000a +p1304 +tp1305 +a(g745 +Vprint +p1306 +tp1307 +a(g827 +g962 +tp1308 +a(g441 +g993 +tp1309 +a(g441 +g1296 +tp1310 +a(g706 +g1011 +tp1311 +a(g827 +g962 +tp1312 +a(g89 +V" - " +p1313 +tp1314 +a(g706 +g966 +tp1315 +a(g827 +V\u000a +p1316 +tp1317 +a(g441 +g993 +tp1318 +a(g441 +g1296 +tp1319 +a(g827 +g962 +tp1320 +a(g413 +V=~ +p1321 +tp1322 +a(g827 +g962 +tp1323 +a(g197 +Vs(foo) +p1324 +tp1325 +a(g197 +V(bar) +p1326 +tp1327 +a(g706 +g966 +tp1328 +a(g827 +V\u000a +p1329 +tp1330 +a(g745 +Vprint +p1331 +tp1332 +a(g827 +g962 +tp1333 +a(g441 +g993 +tp1334 +a(g441 +g1296 +tp1335 +a(g706 +g1011 +tp1336 +a(g827 +g962 +tp1337 +a(g89 +V"\u005cn" +p1338 +tp1339 +a(g706 +g966 +tp1340 +a(g827 +V\u000a\u000a +p1341 +tp1342 +a(g745 +Vprint +p1343 +tp1344 +a(g827 +g962 +tp1345 +a(g89 +V"g: " +p1346 +tp1347 +a(g706 +g966 +tp1348 +a(g827 +V\u000a +p1349 +tp1350 +a(g745 +Vmy +p1351 +tp1352 +a(g827 +g962 +tp1353 +a(g441 +g993 +tp1354 +a(g441 +Vg +p1355 +tp1356 +a(g827 +g962 +tp1357 +a(g413 +g998 +tp1358 +a(g827 +g962 +tp1359 +a(g89 +V"foo" +p1360 +tp1361 +a(g706 +g966 +tp1362 +a(g827 +V\u000a +p1363 +tp1364 +a(g745 +Vprint +p1365 +tp1366 +a(g827 +g962 +tp1367 +a(g441 +g993 +tp1368 +a(g441 +g1355 +tp1369 +a(g706 +g1011 +tp1370 +a(g827 +g962 +tp1371 +a(g89 +V" - " +p1372 +tp1373 +a(g706 +g966 +tp1374 +a(g827 +V\u000a +p1375 +tp1376 +a(g441 +g993 +tp1377 +a(g441 +g1355 +tp1378 +a(g827 +g962 +tp1379 +a(g413 +V=~ +p1380 +tp1381 +a(g827 +g962 +tp1382 +a(g197 +Vs +p1383 +tp1384 +a(g197 +V +p1385 +tp1386 +a(g706 +g966 +tp1387 +a(g827 +V\u000a +p1388 +tp1389 +a(g745 +Vprint +p1390 +tp1391 +a(g827 +g962 +tp1392 +a(g441 +g993 +tp1393 +a(g441 +g1355 +tp1394 +a(g706 +g1011 +tp1395 +a(g827 +g962 +tp1396 +a(g89 +V"\u005cn" +p1397 +tp1398 +a(g706 +g966 +tp1399 +a(g827 +V\u000a\u000a +p1400 +tp1401 +a(g745 +Vprint +p1402 +tp1403 +a(g827 +g962 +tp1404 +a(g89 +V"h: " +p1405 +tp1406 +a(g706 +g966 +tp1407 +a(g827 +V\u000a +p1408 +tp1409 +a(g745 +Vmy +p1410 +tp1411 +a(g827 +g962 +tp1412 +a(g441 +g993 +tp1413 +a(g441 +Vh +p1414 +tp1415 +a(g827 +g962 +tp1416 +a(g413 +g998 +tp1417 +a(g827 +g962 +tp1418 +a(g89 +V"foo" +p1419 +tp1420 +a(g706 +g966 +tp1421 +a(g827 +V\u000a +p1422 +tp1423 +a(g745 +Vprint +p1424 +tp1425 +a(g827 +g962 +tp1426 +a(g441 +g993 +tp1427 +a(g441 +g1414 +tp1428 +a(g706 +g1011 +tp1429 +a(g827 +g962 +tp1430 +a(g89 +V" - " +p1431 +tp1432 +a(g706 +g966 +tp1433 +a(g827 +V\u000a +p1434 +tp1435 +a(g441 +g993 +tp1436 +a(g441 +g1414 +tp1437 +a(g827 +g962 +tp1438 +a(g413 +V=~ +p1439 +tp1440 +a(g827 +g962 +tp1441 +a(g197 +Vs[foo] +p1442 +tp1443 +a(g197 +V[bar] +p1444 +tp1445 +a(g706 +g966 +tp1446 +a(g827 +V\u000a +p1447 +tp1448 +a(g745 +Vprint +p1449 +tp1450 +a(g827 +g962 +tp1451 +a(g441 +g993 +tp1452 +a(g441 +g1414 +tp1453 +a(g706 +g1011 +tp1454 +a(g827 +g962 +tp1455 +a(g89 +V"\u005cn" +p1456 +tp1457 +a(g706 +g966 +tp1458 +a(g827 +V\u000a\u000a +p1459 +tp1460 +a(g745 +Vprint +p1461 +tp1462 +a(g827 +g962 +tp1463 +a(g89 +V"\u005cn" +p1464 +tp1465 +a(g706 +g966 +tp1466 +a(g827 +V\u000a\u000a +p1467 +tp1468 +a(g898 +V# balanced delimiters with whitespace +p1469 +tp1470 +a(g827 +V\u000a +p1471 +tp1472 +a(g745 +Vprint +p1473 +tp1474 +a(g827 +g962 +tp1475 +a(g89 +V"i: " +p1476 +tp1477 +a(g706 +g966 +tp1478 +a(g827 +V\u000a +p1479 +tp1480 +a(g745 +Vmy +p1481 +tp1482 +a(g827 +g962 +tp1483 +a(g441 +g993 +tp1484 +a(g441 +Vi +p1485 +tp1486 +a(g827 +g962 +tp1487 +a(g413 +g998 +tp1488 +a(g827 +g962 +tp1489 +a(g89 +V"foo" +p1490 +tp1491 +a(g706 +g966 +tp1492 +a(g827 +V\u000a +p1493 +tp1494 +a(g745 +Vprint +p1495 +tp1496 +a(g827 +g962 +tp1497 +a(g441 +g993 +tp1498 +a(g441 +g1485 +tp1499 +a(g706 +g1011 +tp1500 +a(g827 +g962 +tp1501 +a(g89 +V" - " +p1502 +tp1503 +a(g706 +g966 +tp1504 +a(g827 +V\u000a +p1505 +tp1506 +a(g441 +g993 +tp1507 +a(g441 +g1485 +tp1508 +a(g827 +g962 +tp1509 +a(g413 +V=~ +p1510 +tp1511 +a(g827 +g962 +tp1512 +a(g197 +Vs{foo} +p1513 +tp1514 +a(g197 +V{bar} +p1515 +tp1516 +a(g706 +g966 +tp1517 +a(g827 +V\u000a +p1518 +tp1519 +a(g745 +Vprint +p1520 +tp1521 +a(g827 +g962 +tp1522 +a(g441 +g993 +tp1523 +a(g441 +g1485 +tp1524 +a(g706 +g1011 +tp1525 +a(g827 +g962 +tp1526 +a(g89 +V"\u005cn" +p1527 +tp1528 +a(g706 +g966 +tp1529 +a(g827 +V\u000a\u000a +p1530 +tp1531 +a(g745 +Vprint +p1532 +tp1533 +a(g827 +g962 +tp1534 +a(g89 +V"j: " +p1535 +tp1536 +a(g706 +g966 +tp1537 +a(g827 +V\u000a +p1538 +tp1539 +a(g745 +Vmy +p1540 +tp1541 +a(g827 +g962 +tp1542 +a(g441 +g993 +tp1543 +a(g441 +Vj +p1544 +tp1545 +a(g827 +g962 +tp1546 +a(g413 +g998 +tp1547 +a(g827 +g962 +tp1548 +a(g89 +V"foo" +p1549 +tp1550 +a(g706 +g966 +tp1551 +a(g827 +V\u000a +p1552 +tp1553 +a(g745 +Vprint +p1554 +tp1555 +a(g827 +g962 +tp1556 +a(g441 +g993 +tp1557 +a(g441 +g1544 +tp1558 +a(g706 +g1011 +tp1559 +a(g827 +g962 +tp1560 +a(g89 +V" - " +p1561 +tp1562 +a(g706 +g966 +tp1563 +a(g827 +V\u000a +p1564 +tp1565 +a(g441 +g993 +tp1566 +a(g441 +g1544 +tp1567 +a(g827 +g962 +tp1568 +a(g413 +V=~ +p1569 +tp1570 +a(g827 +g962 +tp1571 +a(g197 +Vs +p1572 +tp1573 +a(g197 +V +p1574 +tp1575 +a(g706 +g966 +tp1576 +a(g827 +V\u000a +p1577 +tp1578 +a(g745 +Vprint +p1579 +tp1580 +a(g827 +g962 +tp1581 +a(g441 +g993 +tp1582 +a(g441 +g1544 +tp1583 +a(g706 +g1011 +tp1584 +a(g827 +g962 +tp1585 +a(g89 +V"\u005cn" +p1586 +tp1587 +a(g706 +g966 +tp1588 +a(g827 +V\u000a\u000a +p1589 +tp1590 +a(g745 +Vprint +p1591 +tp1592 +a(g827 +g962 +tp1593 +a(g89 +V"k: " +p1594 +tp1595 +a(g706 +g966 +tp1596 +a(g827 +V\u000a +p1597 +tp1598 +a(g745 +Vmy +p1599 +tp1600 +a(g827 +g962 +tp1601 +a(g441 +g993 +tp1602 +a(g441 +Vk +p1603 +tp1604 +a(g827 +g962 +tp1605 +a(g413 +g998 +tp1606 +a(g827 +g962 +tp1607 +a(g89 +V"foo" +p1608 +tp1609 +a(g706 +g966 +tp1610 +a(g827 +V\u000a +p1611 +tp1612 +a(g745 +Vprint +p1613 +tp1614 +a(g827 +g962 +tp1615 +a(g441 +g993 +tp1616 +a(g441 +g1603 +tp1617 +a(g706 +g1011 +tp1618 +a(g827 +g962 +tp1619 +a(g89 +V" - " +p1620 +tp1621 +a(g706 +g966 +tp1622 +a(g827 +V\u000a +p1623 +tp1624 +a(g441 +g993 +tp1625 +a(g441 +g1603 +tp1626 +a(g827 +g962 +tp1627 +a(g413 +V=~ +p1628 +tp1629 +a(g827 +V\u000a +p1630 +tp1631 +a(g197 +Vs(foo)\u000a\u000a +p1632 +tp1633 +a(g197 +V(bar) +p1634 +tp1635 +a(g706 +g966 +tp1636 +a(g827 +V\u000a +p1637 +tp1638 +a(g745 +Vprint +p1639 +tp1640 +a(g827 +g962 +tp1641 +a(g441 +g993 +tp1642 +a(g441 +g1603 +tp1643 +a(g706 +g1011 +tp1644 +a(g827 +g962 +tp1645 +a(g89 +V"\u005cn" +p1646 +tp1647 +a(g706 +g966 +tp1648 +a(g827 +V\u000a\u000a +p1649 +tp1650 +a(g745 +Vprint +p1651 +tp1652 +a(g827 +g962 +tp1653 +a(g89 +V"\u005cn" +p1654 +tp1655 +a(g706 +g966 +tp1656 +a(g827 +V\u000a\u000a +p1657 +tp1658 +a(g898 +V# mixed delimiters +p1659 +tp1660 +a(g827 +V\u000a +p1661 +tp1662 +a(g745 +Vprint +p1663 +tp1664 +a(g827 +g962 +tp1665 +a(g89 +V"l: " +p1666 +tp1667 +a(g706 +g966 +tp1668 +a(g827 +V\u000a +p1669 +tp1670 +a(g745 +Vmy +p1671 +tp1672 +a(g827 +g962 +tp1673 +a(g441 +g993 +tp1674 +a(g441 +Vl +p1675 +tp1676 +a(g827 +g962 +tp1677 +a(g413 +g998 +tp1678 +a(g827 +g962 +tp1679 +a(g89 +V"foo" +p1680 +tp1681 +a(g706 +g966 +tp1682 +a(g827 +V\u000a +p1683 +tp1684 +a(g745 +Vprint +p1685 +tp1686 +a(g827 +g962 +tp1687 +a(g441 +g993 +tp1688 +a(g441 +g1675 +tp1689 +a(g706 +g1011 +tp1690 +a(g827 +g962 +tp1691 +a(g89 +V" - " +p1692 +tp1693 +a(g706 +g966 +tp1694 +a(g827 +V\u000a +p1695 +tp1696 +a(g441 +g993 +tp1697 +a(g441 +g1675 +tp1698 +a(g827 +g962 +tp1699 +a(g413 +V=~ +p1700 +tp1701 +a(g827 +g962 +tp1702 +a(g197 +Vs{foo} +p1703 +tp1704 +a(g197 +V +p1705 +tp1706 +a(g706 +g966 +tp1707 +a(g827 +V\u000a +p1708 +tp1709 +a(g745 +Vprint +p1710 +tp1711 +a(g827 +g962 +tp1712 +a(g441 +g993 +tp1713 +a(g441 +g1675 +tp1714 +a(g706 +g1011 +tp1715 +a(g827 +g962 +tp1716 +a(g89 +V"\u005cn" +p1717 +tp1718 +a(g706 +g966 +tp1719 +a(g827 +V\u000a\u000a +p1720 +tp1721 +a(g745 +Vprint +p1722 +tp1723 +a(g827 +g962 +tp1724 +a(g89 +V"m: " +p1725 +tp1726 +a(g706 +g966 +tp1727 +a(g827 +V\u000a +p1728 +tp1729 +a(g745 +Vmy +p1730 +tp1731 +a(g827 +g962 +tp1732 +a(g441 +g993 +tp1733 +a(g441 +Vm +p1734 +tp1735 +a(g827 +g962 +tp1736 +a(g413 +g998 +tp1737 +a(g827 +g962 +tp1738 +a(g89 +V"foo" +p1739 +tp1740 +a(g706 +g966 +tp1741 +a(g827 +V\u000a +p1742 +tp1743 +a(g745 +Vprint +p1744 +tp1745 +a(g827 +g962 +tp1746 +a(g441 +g993 +tp1747 +a(g441 +g1734 +tp1748 +a(g706 +g1011 +tp1749 +a(g827 +g962 +tp1750 +a(g89 +V" - " +p1751 +tp1752 +a(g706 +g966 +tp1753 +a(g827 +V\u000a +p1754 +tp1755 +a(g441 +g993 +tp1756 +a(g441 +g1734 +tp1757 +a(g827 +g962 +tp1758 +a(g413 +V=~ +p1759 +tp1760 +a(g827 +g962 +tp1761 +a(g197 +Vs(foo) +p1762 +tp1763 +a(g197 +V!bar! +p1764 +tp1765 +a(g706 +g966 +tp1766 +a(g827 +V\u000a +p1767 +tp1768 +a(g745 +Vprint +p1769 +tp1770 +a(g827 +g962 +tp1771 +a(g441 +g993 +tp1772 +a(g441 +g1734 +tp1773 +a(g706 +g1011 +tp1774 +a(g827 +g962 +tp1775 +a(g89 +V"\u005cn" +p1776 +tp1777 +a(g706 +g966 +tp1778 +a(g827 +V\u000a\u000a +p1779 +tp1780 +a(g745 +Vprint +p1781 +tp1782 +a(g827 +g962 +tp1783 +a(g89 +V"n: " +p1784 +tp1785 +a(g706 +g966 +tp1786 +a(g827 +V\u000a +p1787 +tp1788 +a(g745 +Vmy +p1789 +tp1790 +a(g827 +g962 +tp1791 +a(g441 +g993 +tp1792 +a(g441 +Vn +p1793 +tp1794 +a(g827 +g962 +tp1795 +a(g413 +g998 +tp1796 +a(g827 +g962 +tp1797 +a(g89 +V"foo" +p1798 +tp1799 +a(g706 +g966 +tp1800 +a(g827 +V\u000a +p1801 +tp1802 +a(g745 +Vprint +p1803 +tp1804 +a(g827 +g962 +tp1805 +a(g441 +g993 +tp1806 +a(g441 +g1793 +tp1807 +a(g706 +g1011 +tp1808 +a(g827 +g962 +tp1809 +a(g89 +V" - " +p1810 +tp1811 +a(g706 +g966 +tp1812 +a(g827 +V\u000a +p1813 +tp1814 +a(g441 +g993 +tp1815 +a(g441 +g1793 +tp1816 +a(g827 +g962 +tp1817 +a(g413 +V=~ +p1818 +tp1819 +a(g827 +g962 +tp1820 +a(g197 +Vs[foo] +p1821 +tp1822 +a(g197 +V$bar$ +p1823 +tp1824 +a(g706 +g966 +tp1825 +a(g827 +V\u000a +p1826 +tp1827 +a(g745 +Vprint +p1828 +tp1829 +a(g827 +g962 +tp1830 +a(g441 +g993 +tp1831 +a(g441 +g1793 +tp1832 +a(g706 +g1011 +tp1833 +a(g827 +g962 +tp1834 +a(g89 +V"\u005cn" +p1835 +tp1836 +a(g706 +g966 +tp1837 +a(g827 +V\u000a\u000a +p1838 +tp1839 +a(g745 +Vprint +p1840 +tp1841 +a(g827 +g962 +tp1842 +a(g89 +V"\u005cn" +p1843 +tp1844 +a(g706 +g966 +tp1845 +a(g827 +V\u000a\u000a +p1846 +tp1847 +a(g898 +V# /x modifier +p1848 +tp1849 +a(g827 +V\u000a +p1850 +tp1851 +a(g745 +Vprint +p1852 +tp1853 +a(g827 +g962 +tp1854 +a(g89 +V"o: " +p1855 +tp1856 +a(g706 +g966 +tp1857 +a(g827 +V\u000a +p1858 +tp1859 +a(g745 +Vmy +p1860 +tp1861 +a(g827 +g962 +tp1862 +a(g441 +g993 +tp1863 +a(g441 +Vo +p1864 +tp1865 +a(g827 +g962 +tp1866 +a(g413 +g998 +tp1867 +a(g827 +g962 +tp1868 +a(g89 +V"foo" +p1869 +tp1870 +a(g706 +g966 +tp1871 +a(g827 +V\u000a +p1872 +tp1873 +a(g745 +Vprint +p1874 +tp1875 +a(g827 +g962 +tp1876 +a(g441 +g993 +tp1877 +a(g441 +g1864 +tp1878 +a(g706 +g1011 +tp1879 +a(g827 +g962 +tp1880 +a(g89 +V" - " +p1881 +tp1882 +a(g706 +g966 +tp1883 +a(g827 +V\u000a +p1884 +tp1885 +a(g441 +g993 +tp1886 +a(g441 +g1864 +tp1887 +a(g827 +g962 +tp1888 +a(g413 +V=~ +p1889 +tp1890 +a(g827 +g962 +tp1891 +a(g197 +Vs{\u000a foo\u000a } +p1892 +tp1893 +a(g197 +V{bar}x +p1894 +tp1895 +a(g706 +g966 +tp1896 +a(g827 +V\u000a +p1897 +tp1898 +a(g745 +Vprint +p1899 +tp1900 +a(g827 +g962 +tp1901 +a(g441 +g993 +tp1902 +a(g441 +g1864 +tp1903 +a(g706 +g1011 +tp1904 +a(g827 +g962 +tp1905 +a(g89 +V"\u005cn" +p1906 +tp1907 +a(g706 +g966 +tp1908 +a(g827 +V\u000a\u000a +p1909 +tp1910 +a(g745 +Vprint +p1911 +tp1912 +a(g827 +g962 +tp1913 +a(g89 +V"p: " +p1914 +tp1915 +a(g706 +g966 +tp1916 +a(g827 +V\u000a +p1917 +tp1918 +a(g745 +Vmy +p1919 +tp1920 +a(g827 +g962 +tp1921 +a(g441 +g993 +tp1922 +a(g441 +Vp +p1923 +tp1924 +a(g827 +g962 +tp1925 +a(g413 +g998 +tp1926 +a(g827 +g962 +tp1927 +a(g89 +V"foo" +p1928 +tp1929 +a(g706 +g966 +tp1930 +a(g827 +V\u000a +p1931 +tp1932 +a(g745 +Vprint +p1933 +tp1934 +a(g827 +g962 +tp1935 +a(g441 +g993 +tp1936 +a(g441 +g1923 +tp1937 +a(g706 +g1011 +tp1938 +a(g827 +g962 +tp1939 +a(g89 +V" - " +p1940 +tp1941 +a(g706 +g966 +tp1942 +a(g827 +V\u000a +p1943 +tp1944 +a(g441 +g993 +tp1945 +a(g441 +g1923 +tp1946 +a(g827 +g962 +tp1947 +a(g413 +V=~ +p1948 +tp1949 +a(g827 +g962 +tp1950 +a(g197 +Vs%\u000a foo\u000a %bar%x +p1951 +tp1952 +a(g706 +g966 +tp1953 +a(g827 +V\u000a +p1954 +tp1955 +a(g745 +Vprint +p1956 +tp1957 +a(g827 +g962 +tp1958 +a(g441 +g993 +tp1959 +a(g441 +g1923 +tp1960 +a(g706 +g1011 +tp1961 +a(g827 +g962 +tp1962 +a(g89 +V"\u005cn" +p1963 +tp1964 +a(g706 +g966 +tp1965 +a(g827 +V\u000a +p1966 +tp1967 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/perlfunc.1 b/tests/examplefiles/output/perlfunc.1 new file mode 100644 index 0000000..a35d2e9 --- /dev/null +++ b/tests/examplefiles/output/perlfunc.1 @@ -0,0 +1,31641 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV. +p956 +tp957 +a(g693 +V\u005c" Automatically generated by Pod::Man v1.37, Pod::Parser v1.32 +p958 +tp959 +a(g892 +V\u000a +p960 +tp961 +a(g7 +g956 +tp962 +a(g693 +V\u005c" +p963 +tp964 +a(g892 +V\u000a +p965 +tp966 +a(g7 +g956 +tp967 +a(g693 +V\u005c" Standard preamble: +p968 +tp969 +a(g892 +V\u000a +p970 +tp971 +a(g7 +g956 +tp972 +a(g693 +V\u005c" ======================================================================== +p973 +tp974 +a(g892 +V\u000a +p975 +tp976 +a(g892 +g956 +tp977 +a(g810 +Vde +p978 +tp979 +a(g892 +V +p980 +tp981 +a(g76 +VSh +p982 +tp983 +a(g892 +g980 +tp984 +a(g693 +V\u005c" Subsection heading +p985 +tp986 +a(g892 +V\u000a +p987 +tp988 +a(g892 +g956 +tp989 +a(g810 +Vbr +p990 +tp991 +a(g892 +V\u000a +p992 +tp993 +a(g892 +g956 +tp994 +a(g810 +Vif +p995 +tp996 +a(g892 +g980 +tp997 +a(g76 +Vt +p998 +tp999 +a(g892 +g980 +tp1000 +a(g76 +V.Sp +p1001 +tp1002 +a(g892 +V\u000a +p1003 +tp1004 +a(g892 +g956 +tp1005 +a(g810 +Vne +p1006 +tp1007 +a(g892 +g980 +tp1008 +a(g17 +V5 +p1009 +tp1010 +a(g892 +V\u000a +p1011 +tp1012 +a(g892 +g956 +tp1013 +a(g810 +VPP +p1014 +tp1015 +a(g892 +V\u000a +p1016 +tp1017 +a(g200 +V\u005cfB +p1018 +tp1019 +a(g200 +V\u005c\u005c +p1020 +tp1021 +a(g892 +V$1 +p1022 +tp1023 +a(g200 +V\u005cfR +p1024 +tp1025 +a(g892 +V\u000a +p1026 +tp1027 +a(g892 +g956 +tp1028 +a(g810 +VPP +p1029 +tp1030 +a(g892 +V\u000a +p1031 +tp1032 +a(g7 +g956 +tp1033 +a(g76 +g956 +tp1034 +a(g892 +V\u000a +p1035 +tp1036 +a(g892 +g956 +tp1037 +a(g810 +Vde +p1038 +tp1039 +a(g892 +g980 +tp1040 +a(g76 +VSp +p1041 +tp1042 +a(g892 +g980 +tp1043 +a(g693 +V\u005c" Vertical space (when we can't use .PP) +p1044 +tp1045 +a(g892 +V\u000a +p1046 +tp1047 +a(g892 +g956 +tp1048 +a(g810 +Vif +p1049 +tp1050 +a(g892 +g980 +tp1051 +a(g76 +g998 +tp1052 +a(g892 +g980 +tp1053 +a(g76 +V.sp +p1054 +tp1055 +a(g892 +g980 +tp1056 +a(g76 +V.5v +p1057 +tp1058 +a(g892 +V\u000a +p1059 +tp1060 +a(g892 +g956 +tp1061 +a(g810 +Vif +p1062 +tp1063 +a(g892 +g980 +tp1064 +a(g76 +Vn +p1065 +tp1066 +a(g892 +g980 +tp1067 +a(g76 +V.sp +p1068 +tp1069 +a(g892 +V\u000a +p1070 +tp1071 +a(g7 +g956 +tp1072 +a(g76 +g956 +tp1073 +a(g892 +V\u000a +p1074 +tp1075 +a(g892 +g956 +tp1076 +a(g810 +Vde +p1077 +tp1078 +a(g892 +g980 +tp1079 +a(g76 +VVb +p1080 +tp1081 +a(g892 +g980 +tp1082 +a(g693 +V\u005c" Begin verbatim text +p1083 +tp1084 +a(g892 +V\u000a +p1085 +tp1086 +a(g892 +g956 +tp1087 +a(g810 +Vft +p1088 +tp1089 +a(g892 +g980 +tp1090 +a(g76 +VCW +p1091 +tp1092 +a(g892 +V\u000a +p1093 +tp1094 +a(g892 +g956 +tp1095 +a(g810 +Vnf +p1096 +tp1097 +a(g892 +V\u000a +p1098 +tp1099 +a(g892 +g956 +tp1100 +a(g810 +Vne +p1101 +tp1102 +a(g892 +g980 +tp1103 +a(g200 +V\u005c\u005c +p1104 +tp1105 +a(g76 +V$1 +p1106 +tp1107 +a(g892 +V\u000a +p1108 +tp1109 +a(g7 +g956 +tp1110 +a(g76 +g956 +tp1111 +a(g892 +V\u000a +p1112 +tp1113 +a(g892 +g956 +tp1114 +a(g810 +Vde +p1115 +tp1116 +a(g892 +g980 +tp1117 +a(g76 +VVe +p1118 +tp1119 +a(g892 +g980 +tp1120 +a(g693 +V\u005c" End verbatim text +p1121 +tp1122 +a(g892 +V\u000a +p1123 +tp1124 +a(g892 +g956 +tp1125 +a(g810 +Vft +p1126 +tp1127 +a(g892 +g980 +tp1128 +a(g76 +VR +p1129 +tp1130 +a(g892 +V\u000a +p1131 +tp1132 +a(g892 +g956 +tp1133 +a(g810 +Vfi +p1134 +tp1135 +a(g892 +V\u000a +p1136 +tp1137 +a(g7 +g956 +tp1138 +a(g76 +g956 +tp1139 +a(g892 +V\u000a +p1140 +tp1141 +a(g7 +g956 +tp1142 +a(g693 +V\u005c" Set up some character translations and predefined strings. \u005c*(-- will +p1143 +tp1144 +a(g892 +V\u000a +p1145 +tp1146 +a(g7 +g956 +tp1147 +a(g693 +V\u005c" give an unbreakable dash, \u005c*(PI will give pi, \u005c*(L" will give a left +p1148 +tp1149 +a(g892 +V\u000a +p1150 +tp1151 +a(g7 +g956 +tp1152 +a(g693 +V\u005c" double quote, and \u005c*(R" will give a right double quote. | will give a +p1153 +tp1154 +a(g892 +V\u000a +p1155 +tp1156 +a(g7 +g956 +tp1157 +a(g693 +V\u005c" real vertical bar. \u005c*(C+ will give a nicer C++. Capital omega is used to +p1158 +tp1159 +a(g892 +V\u000a +p1160 +tp1161 +a(g7 +g956 +tp1162 +a(g693 +V\u005c" do unbreakable dashes and therefore won't be available. \u005c*(C` and \u005c*(C' +p1163 +tp1164 +a(g892 +V\u000a +p1165 +tp1166 +a(g7 +g956 +tp1167 +a(g693 +V\u005c" expand to `' in nroff, nothing in troff, for use with C<>. +p1168 +tp1169 +a(g892 +V\u000a +p1170 +tp1171 +a(g892 +g956 +tp1172 +a(g810 +Vtr +p1173 +tp1174 +a(g892 +g980 +tp1175 +a(g200 +V\u005c(*W +p1176 +tp1177 +a(g76 +V-|\u005c(bv\u005c*(Tr +p1178 +tp1179 +a(g892 +V\u000a +p1180 +tp1181 +a(g892 +g956 +tp1182 +a(g810 +Vds +p1183 +tp1184 +a(g892 +g980 +tp1185 +a(g76 +VC+ +p1186 +tp1187 +a(g892 +g980 +tp1188 +a(g76 +VC\u005cv'-.1v'\u005ch'-1p'\u005cs-2+\u005ch'-1p'+\u005cs0\u005cv'.1v'\u005ch'-1p' +p1189 +tp1190 +a(g892 +V\u000a +p1191 +tp1192 +a(g892 +g956 +tp1193 +a(g810 +Vie +p1194 +tp1195 +a(g892 +g980 +tp1196 +a(g76 +g1065 +tp1197 +a(g892 +g980 +tp1198 +a(g200 +V\u005c{ +p1199 +tp1200 +a(g892 +V\u005c\u000a +p1201 +tp1202 +a(g76 +g956 +tp1203 +a(g892 +V +p1204 +tp1205 +a(g76 +Vds +p1206 +tp1207 +a(g892 +g980 +tp1208 +a(g76 +V-- +p1209 +tp1210 +a(g892 +g980 +tp1211 +a(g200 +V\u005c(*W +p1212 +tp1213 +a(g76 +V- +p1214 +tp1215 +a(g892 +V\u000a +p1216 +tp1217 +a(g76 +g956 +tp1218 +a(g892 +V +p1219 +tp1220 +a(g76 +Vds +p1221 +tp1222 +a(g892 +g980 +tp1223 +a(g76 +VPI +p1224 +tp1225 +a(g892 +g980 +tp1226 +a(g76 +Vpi +p1227 +tp1228 +a(g892 +V\u000a +p1229 +tp1230 +a(g7 +g956 +tp1231 +a(g892 +V +p1232 +tp1233 +a(g76 +Vif +p1234 +tp1235 +a(g892 +g980 +tp1236 +a(g76 +V(\u005cn(.H=4u)&(1m=24u) +p1237 +tp1238 +a(g892 +g980 +tp1239 +a(g76 +V.ds +p1240 +tp1241 +a(g892 +g980 +tp1242 +a(g76 +V-- +p1243 +tp1244 +a(g892 +g980 +tp1245 +a(g200 +V\u005c(*W +p1246 +tp1247 +a(g200 +V\u005ch +p1248 +tp1249 +a(g76 +V'-12u'\u005c(*W\u005ch'-12u'-\u005c" +p1250 +tp1251 +a(g892 +g980 +tp1252 +a(g76 +Vdiablo +p1253 +tp1254 +a(g892 +g980 +tp1255 +a(g17 +V10 +p1256 +tp1257 +a(g892 +g980 +tp1258 +a(g76 +Vpitch +p1259 +tp1260 +a(g892 +V\u000a +p1261 +tp1262 +a(g7 +g956 +tp1263 +a(g892 +V +p1264 +tp1265 +a(g76 +Vif +p1266 +tp1267 +a(g892 +g980 +tp1268 +a(g76 +V(\u005cn(.H=4u)&(1m=20u) +p1269 +tp1270 +a(g892 +g980 +tp1271 +a(g76 +V.ds +p1272 +tp1273 +a(g892 +g980 +tp1274 +a(g76 +V-- +p1275 +tp1276 +a(g892 +g980 +tp1277 +a(g200 +V\u005c(*W +p1278 +tp1279 +a(g200 +V\u005ch +p1280 +tp1281 +a(g76 +V'-12u'\u005c(*W\u005ch'-8u'-\u005c" +p1282 +tp1283 +a(g892 +V +p1284 +tp1285 +a(g76 +Vdiablo +p1286 +tp1287 +a(g892 +g980 +tp1288 +a(g17 +V12 +p1289 +tp1290 +a(g892 +g980 +tp1291 +a(g76 +Vpitch +p1292 +tp1293 +a(g892 +V\u000a +p1294 +tp1295 +a(g7 +g956 +tp1296 +a(g892 +V +p1297 +tp1298 +a(g76 +Vds +p1299 +tp1300 +a(g892 +g980 +tp1301 +a(g76 +VL" +p1302 +tp1303 +a(g892 +g980 +tp1304 +a(g76 +V"" +p1305 +tp1306 +a(g892 +V\u000a +p1307 +tp1308 +a(g7 +g956 +tp1309 +a(g892 +V +p1310 +tp1311 +a(g76 +Vds +p1312 +tp1313 +a(g892 +g980 +tp1314 +a(g76 +VR" +p1315 +tp1316 +a(g892 +g980 +tp1317 +a(g76 +V"" +p1318 +tp1319 +a(g892 +V\u000a +p1320 +tp1321 +a(g7 +g956 +tp1322 +a(g892 +V +p1323 +tp1324 +a(g76 +Vds +p1325 +tp1326 +a(g892 +g980 +tp1327 +a(g76 +VC` +p1328 +tp1329 +a(g892 +g980 +tp1330 +a(g76 +V"" +p1331 +tp1332 +a(g892 +V\u000a +p1333 +tp1334 +a(g7 +g956 +tp1335 +a(g892 +V +p1336 +tp1337 +a(g76 +Vds +p1338 +tp1339 +a(g892 +g980 +tp1340 +a(g76 +VC' +p1341 +tp1342 +a(g892 +g980 +tp1343 +a(g76 +V"" +p1344 +tp1345 +a(g892 +V\u000a +p1346 +tp1347 +a(g892 +V'br +p1348 +tp1349 +a(g200 +V\u005c} +p1350 +tp1351 +a(g892 +V\u000a +p1352 +tp1353 +a(g892 +g956 +tp1354 +a(g810 +Vel +p1355 +tp1356 +a(g200 +V\u005c{ +p1357 +tp1358 +a(g892 +V\u005c\u000a +p1359 +tp1360 +a(g76 +g956 +tp1361 +a(g892 +V +p1362 +tp1363 +a(g76 +Vds +p1364 +tp1365 +a(g892 +g980 +tp1366 +a(g76 +V-- +p1367 +tp1368 +a(g892 +g980 +tp1369 +a(g200 +V\u005c| +p1370 +tp1371 +a(g200 +V\u005c(em +p1372 +tp1373 +a(g200 +V\u005c| +p1374 +tp1375 +a(g892 +V\u000a +p1376 +tp1377 +a(g76 +g956 +tp1378 +a(g892 +V +p1379 +tp1380 +a(g76 +Vds +p1381 +tp1382 +a(g892 +g980 +tp1383 +a(g76 +VPI +p1384 +tp1385 +a(g892 +g980 +tp1386 +a(g200 +V\u005c(*p +p1387 +tp1388 +a(g892 +V\u000a +p1389 +tp1390 +a(g7 +g956 +tp1391 +a(g892 +V +p1392 +tp1393 +a(g76 +Vds +p1394 +tp1395 +a(g892 +g980 +tp1396 +a(g76 +VL" +p1397 +tp1398 +a(g892 +g980 +tp1399 +a(g76 +V`` +p1400 +tp1401 +a(g892 +V\u000a +p1402 +tp1403 +a(g7 +g956 +tp1404 +a(g892 +V +p1405 +tp1406 +a(g76 +Vds +p1407 +tp1408 +a(g892 +g980 +tp1409 +a(g76 +VR" +p1410 +tp1411 +a(g892 +g980 +tp1412 +a(g76 +V'' +p1413 +tp1414 +a(g892 +V\u000a +p1415 +tp1416 +a(g892 +V'br +p1417 +tp1418 +a(g200 +V\u005c} +p1419 +tp1420 +a(g892 +V\u000a +p1421 +tp1422 +a(g7 +g956 +tp1423 +a(g693 +V\u005c" +p1424 +tp1425 +a(g892 +V\u000a +p1426 +tp1427 +a(g7 +g956 +tp1428 +a(g693 +V\u005c" If the F register is turned on, we'll generate index entries on stderr for +p1429 +tp1430 +a(g892 +V\u000a +p1431 +tp1432 +a(g7 +g956 +tp1433 +a(g693 +V\u005c" titles (.TH), headers (.SH), subsections (.Sh), items (.Ip), and index +p1434 +tp1435 +a(g892 +V\u000a +p1436 +tp1437 +a(g7 +g956 +tp1438 +a(g693 +V\u005c" entries marked with X<> in POD. Of course, you'll have to process the +p1439 +tp1440 +a(g892 +V\u000a +p1441 +tp1442 +a(g7 +g956 +tp1443 +a(g693 +V\u005c" output yourself in some meaningful fashion. +p1444 +tp1445 +a(g892 +V\u000a +p1446 +tp1447 +a(g892 +g956 +tp1448 +a(g810 +Vif +p1449 +tp1450 +a(g892 +g980 +tp1451 +a(g200 +V\u005cnF +p1452 +tp1453 +a(g892 +g980 +tp1454 +a(g200 +V\u005c{ +p1455 +tp1456 +a(g892 +V\u005c\u000a +p1457 +tp1458 +a(g76 +g956 +tp1459 +a(g892 +V +p1460 +tp1461 +a(g76 +Vde +p1462 +tp1463 +a(g892 +g980 +tp1464 +a(g76 +VIX +p1465 +tp1466 +a(g892 +V\u000a +p1467 +tp1468 +a(g76 +g956 +tp1469 +a(g892 +V +p1470 +tp1471 +a(g76 +Vtm +p1472 +tp1473 +a(g892 +g980 +tp1474 +a(g76 +VIndex:\u005c\u005c$1\u005ct\u005c\u005cn%\u005ct"\u005c\u005c$2" +p1475 +tp1476 +a(g892 +V\u000a +p1477 +tp1478 +a(g7 +g956 +tp1479 +a(g76 +g956 +tp1480 +a(g892 +V\u000a +p1481 +tp1482 +a(g7 +g956 +tp1483 +a(g892 +V +p1484 +tp1485 +a(g76 +Vnr +p1486 +tp1487 +a(g892 +g980 +tp1488 +a(g76 +V% +p1489 +tp1490 +a(g892 +g980 +tp1491 +a(g17 +V0 +p1492 +tp1493 +a(g892 +V\u000a +p1494 +tp1495 +a(g7 +g956 +tp1496 +a(g892 +V +p1497 +tp1498 +a(g76 +Vrr +p1499 +tp1500 +a(g892 +g980 +tp1501 +a(g76 +VF +p1502 +tp1503 +a(g892 +V\u000a +p1504 +tp1505 +a(g7 +g956 +tp1506 +a(g200 +V\u005c} +p1507 +tp1508 +a(g892 +V\u000a +p1509 +tp1510 +a(g7 +g956 +tp1511 +a(g693 +V\u005c" +p1512 +tp1513 +a(g892 +V\u000a +p1514 +tp1515 +a(g7 +g956 +tp1516 +a(g693 +V\u005c" For nroff, turn off justification. Always turn off hyphenation; it makes +p1517 +tp1518 +a(g892 +V\u000a +p1519 +tp1520 +a(g7 +g956 +tp1521 +a(g693 +V\u005c" way too many mistakes in technical documents. +p1522 +tp1523 +a(g892 +V\u000a +p1524 +tp1525 +a(g892 +g956 +tp1526 +a(g810 +Vhy +p1527 +tp1528 +a(g892 +g980 +tp1529 +a(g17 +g1492 +tp1530 +a(g892 +V\u000a +p1531 +tp1532 +a(g892 +g956 +tp1533 +a(g810 +Vif +p1534 +tp1535 +a(g892 +g980 +tp1536 +a(g76 +g1065 +tp1537 +a(g892 +g980 +tp1538 +a(g76 +V.na +p1539 +tp1540 +a(g892 +V\u000a +p1541 +tp1542 +a(g7 +g956 +tp1543 +a(g693 +V\u005c" +p1544 +tp1545 +a(g892 +V\u000a +p1546 +tp1547 +a(g7 +g956 +tp1548 +a(g693 +V\u005c" Accent mark definitions (@(#)ms.acc 1.5 88/02/08 SMI; from UCB 4.2). +p1549 +tp1550 +a(g892 +V\u000a +p1551 +tp1552 +a(g7 +g956 +tp1553 +a(g693 +V\u005c" Fear. Run. Save yourself. No user-serviceable parts. +p1554 +tp1555 +a(g892 +V\u000a +p1556 +tp1557 +a(g7 +g956 +tp1558 +a(g892 +V +p1559 +tp1560 +a(g693 +V\u005c" fudge factors for nroff and troff +p1561 +tp1562 +a(g892 +V\u000a +p1563 +tp1564 +a(g892 +g956 +tp1565 +a(g810 +Vif +p1566 +tp1567 +a(g892 +g980 +tp1568 +a(g76 +g1065 +tp1569 +a(g892 +g980 +tp1570 +a(g200 +V\u005c{ +p1571 +tp1572 +a(g892 +V\u005c\u000a +p1573 +tp1574 +a(g76 +g956 +tp1575 +a(g892 +V +p1576 +tp1577 +a(g76 +Vds +p1578 +tp1579 +a(g892 +g980 +tp1580 +a(g76 +V#H +p1581 +tp1582 +a(g892 +g980 +tp1583 +a(g17 +g1492 +tp1584 +a(g892 +V\u000a +p1585 +tp1586 +a(g76 +g956 +tp1587 +a(g892 +V +p1588 +tp1589 +a(g76 +Vds +p1590 +tp1591 +a(g892 +g980 +tp1592 +a(g76 +V#V +p1593 +tp1594 +a(g892 +g980 +tp1595 +a(g76 +V.8m +p1596 +tp1597 +a(g892 +V\u000a +p1598 +tp1599 +a(g7 +g956 +tp1600 +a(g892 +V +p1601 +tp1602 +a(g76 +Vds +p1603 +tp1604 +a(g892 +g980 +tp1605 +a(g76 +V#F +p1606 +tp1607 +a(g892 +g980 +tp1608 +a(g76 +V.3m +p1609 +tp1610 +a(g892 +V\u000a +p1611 +tp1612 +a(g7 +g956 +tp1613 +a(g892 +V +p1614 +tp1615 +a(g76 +Vds +p1616 +tp1617 +a(g892 +g980 +tp1618 +a(g76 +V#[ +p1619 +tp1620 +a(g892 +g980 +tp1621 +a(g200 +V\u005cf1 +p1622 +tp1623 +a(g892 +V\u000a +p1624 +tp1625 +a(g7 +g956 +tp1626 +a(g892 +V +p1627 +tp1628 +a(g76 +Vds +p1629 +tp1630 +a(g892 +g980 +tp1631 +a(g76 +V#] +p1632 +tp1633 +a(g892 +g980 +tp1634 +a(g200 +V\u005cfP +p1635 +tp1636 +a(g892 +V\u000a +p1637 +tp1638 +a(g7 +g956 +tp1639 +a(g200 +V\u005c} +p1640 +tp1641 +a(g892 +V\u000a +p1642 +tp1643 +a(g892 +g956 +tp1644 +a(g810 +Vif +p1645 +tp1646 +a(g892 +g980 +tp1647 +a(g76 +g998 +tp1648 +a(g892 +g980 +tp1649 +a(g200 +V\u005c{ +p1650 +tp1651 +a(g892 +V\u005c\u000a +p1652 +tp1653 +a(g76 +g956 +tp1654 +a(g892 +V +p1655 +tp1656 +a(g76 +Vds +p1657 +tp1658 +a(g892 +g980 +tp1659 +a(g76 +V#H +p1660 +tp1661 +a(g892 +g980 +tp1662 +a(g76 +V((1u-(\u005c\u005c\u005c\u005cn(.fu%2u))*.13m) +p1663 +tp1664 +a(g892 +V\u000a +p1665 +tp1666 +a(g76 +g956 +tp1667 +a(g892 +V +p1668 +tp1669 +a(g76 +Vds +p1670 +tp1671 +a(g892 +g980 +tp1672 +a(g76 +V#V +p1673 +tp1674 +a(g892 +g980 +tp1675 +a(g76 +V.6m +p1676 +tp1677 +a(g892 +V\u000a +p1678 +tp1679 +a(g7 +g956 +tp1680 +a(g892 +V +p1681 +tp1682 +a(g76 +Vds +p1683 +tp1684 +a(g892 +g980 +tp1685 +a(g76 +V#F +p1686 +tp1687 +a(g892 +g980 +tp1688 +a(g17 +g1492 +tp1689 +a(g892 +V\u000a +p1690 +tp1691 +a(g7 +g956 +tp1692 +a(g892 +V +p1693 +tp1694 +a(g76 +Vds +p1695 +tp1696 +a(g892 +g980 +tp1697 +a(g76 +V#[ +p1698 +tp1699 +a(g892 +g980 +tp1700 +a(g200 +V\u005c& +p1701 +tp1702 +a(g892 +V\u000a +p1703 +tp1704 +a(g7 +g956 +tp1705 +a(g892 +V +p1706 +tp1707 +a(g76 +Vds +p1708 +tp1709 +a(g892 +g980 +tp1710 +a(g76 +V#] +p1711 +tp1712 +a(g892 +g980 +tp1713 +a(g200 +V\u005c& +p1714 +tp1715 +a(g892 +V\u000a +p1716 +tp1717 +a(g7 +g956 +tp1718 +a(g200 +V\u005c} +p1719 +tp1720 +a(g892 +V\u000a +p1721 +tp1722 +a(g7 +g956 +tp1723 +a(g892 +V +p1724 +tp1725 +a(g693 +V\u005c" simple accents for nroff and troff +p1726 +tp1727 +a(g892 +V\u000a +p1728 +tp1729 +a(g892 +g956 +tp1730 +a(g810 +Vif +p1731 +tp1732 +a(g892 +g980 +tp1733 +a(g76 +g1065 +tp1734 +a(g892 +g980 +tp1735 +a(g200 +V\u005c{ +p1736 +tp1737 +a(g892 +V\u005c\u000a +p1738 +tp1739 +a(g76 +g956 +tp1740 +a(g892 +V +p1741 +tp1742 +a(g76 +Vds +p1743 +tp1744 +a(g892 +g980 +tp1745 +a(g76 +V' +p1746 +tp1747 +a(g892 +g980 +tp1748 +a(g200 +V\u005c& +p1749 +tp1750 +a(g892 +V\u000a +p1751 +tp1752 +a(g76 +g956 +tp1753 +a(g892 +V +p1754 +tp1755 +a(g76 +Vds +p1756 +tp1757 +a(g892 +g980 +tp1758 +a(g76 +V` +p1759 +tp1760 +a(g892 +g980 +tp1761 +a(g200 +V\u005c& +p1762 +tp1763 +a(g892 +V\u000a +p1764 +tp1765 +a(g7 +g956 +tp1766 +a(g892 +V +p1767 +tp1768 +a(g76 +Vds +p1769 +tp1770 +a(g892 +g980 +tp1771 +a(g76 +V^ +p1772 +tp1773 +a(g892 +g980 +tp1774 +a(g200 +V\u005c& +p1775 +tp1776 +a(g892 +V\u000a +p1777 +tp1778 +a(g7 +g956 +tp1779 +a(g892 +V +p1780 +tp1781 +a(g76 +Vds +p1782 +tp1783 +a(g892 +g980 +tp1784 +a(g76 +V, +p1785 +tp1786 +a(g892 +g980 +tp1787 +a(g200 +V\u005c& +p1788 +tp1789 +a(g892 +V\u000a +p1790 +tp1791 +a(g7 +g956 +tp1792 +a(g892 +V +p1793 +tp1794 +a(g76 +Vds +p1795 +tp1796 +a(g892 +g980 +tp1797 +a(g76 +V~ +p1798 +tp1799 +a(g892 +g980 +tp1800 +a(g76 +g1798 +tp1801 +a(g892 +V\u000a +p1802 +tp1803 +a(g7 +g956 +tp1804 +a(g892 +V +p1805 +tp1806 +a(g76 +Vds +p1807 +tp1808 +a(g892 +g980 +tp1809 +a(g76 +V/ +p1810 +tp1811 +a(g892 +V\u000a +p1812 +tp1813 +a(g7 +g956 +tp1814 +a(g200 +V\u005c} +p1815 +tp1816 +a(g892 +V\u000a +p1817 +tp1818 +a(g892 +g956 +tp1819 +a(g810 +Vif +p1820 +tp1821 +a(g892 +g980 +tp1822 +a(g76 +g998 +tp1823 +a(g892 +g980 +tp1824 +a(g200 +V\u005c{ +p1825 +tp1826 +a(g892 +V\u005c\u000a +p1827 +tp1828 +a(g76 +g956 +tp1829 +a(g892 +V +p1830 +tp1831 +a(g76 +Vds +p1832 +tp1833 +a(g892 +g980 +tp1834 +a(g76 +g1746 +tp1835 +a(g892 +g980 +tp1836 +a(g200 +V\u005c\u005c +p1837 +tp1838 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H)'\u005c'\u005ch"|\u005c\u005cn:u" +p1839 +tp1840 +a(g892 +V\u000a +p1841 +tp1842 +a(g76 +g956 +tp1843 +a(g892 +V +p1844 +tp1845 +a(g76 +Vds +p1846 +tp1847 +a(g892 +g980 +tp1848 +a(g76 +g1759 +tp1849 +a(g892 +g980 +tp1850 +a(g200 +V\u005c\u005c +p1851 +tp1852 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H)'\u005c`\u005ch'|\u005c\u005cn:u' +p1853 +tp1854 +a(g892 +V\u000a +p1855 +tp1856 +a(g7 +g956 +tp1857 +a(g892 +V +p1858 +tp1859 +a(g76 +Vds +p1860 +tp1861 +a(g892 +g980 +tp1862 +a(g76 +g1772 +tp1863 +a(g892 +g980 +tp1864 +a(g200 +V\u005c\u005c +p1865 +tp1866 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*10/11-\u005c*(#H)'^\u005ch'|\u005c\u005cn:u' +p1867 +tp1868 +a(g892 +V\u000a +p1869 +tp1870 +a(g7 +g956 +tp1871 +a(g892 +V +p1872 +tp1873 +a(g76 +Vds +p1874 +tp1875 +a(g892 +g980 +tp1876 +a(g76 +g1785 +tp1877 +a(g892 +g980 +tp1878 +a(g200 +V\u005c\u005c +p1879 +tp1880 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10)',\u005ch'|\u005c\u005cn:u' +p1881 +tp1882 +a(g892 +V\u000a +p1883 +tp1884 +a(g7 +g956 +tp1885 +a(g892 +V +p1886 +tp1887 +a(g76 +Vds +p1888 +tp1889 +a(g892 +g980 +tp1890 +a(g76 +g1798 +tp1891 +a(g892 +g980 +tp1892 +a(g200 +V\u005c\u005c +p1893 +tp1894 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu-\u005c*(#H-.1m)'~\u005ch'|\u005c\u005cn:u' +p1895 +tp1896 +a(g892 +V\u000a +p1897 +tp1898 +a(g7 +g956 +tp1899 +a(g892 +V +p1900 +tp1901 +a(g76 +Vds +p1902 +tp1903 +a(g892 +g980 +tp1904 +a(g76 +g1810 +tp1905 +a(g892 +g980 +tp1906 +a(g200 +V\u005c\u005c +p1907 +tp1908 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H)'\u005cz\u005c(sl\u005ch'|\u005c\u005cn:u' +p1909 +tp1910 +a(g892 +V\u000a +p1911 +tp1912 +a(g7 +g956 +tp1913 +a(g200 +V\u005c} +p1914 +tp1915 +a(g892 +V\u000a +p1916 +tp1917 +a(g7 +g956 +tp1918 +a(g892 +V +p1919 +tp1920 +a(g693 +V\u005c" troff and (daisy-wheel) nroff accents +p1921 +tp1922 +a(g892 +V\u000a +p1923 +tp1924 +a(g892 +g956 +tp1925 +a(g810 +Vds +p1926 +tp1927 +a(g892 +g980 +tp1928 +a(g76 +V: +p1929 +tp1930 +a(g892 +g980 +tp1931 +a(g200 +V\u005c\u005c +p1932 +tp1933 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H+.1m+\u005c*(#F)'\u005cv'-\u005c*(#V'\u005cz.\u005ch'.2m+\u005c*(#F'.\u005ch'|\u005c\u005cn:u'\u005cv'\u005c*(#V' +p1934 +tp1935 +a(g892 +V\u000a +p1936 +tp1937 +a(g892 +g956 +tp1938 +a(g810 +Vds +p1939 +tp1940 +a(g892 +g980 +tp1941 +a(g17 +V8 +p1942 +tp1943 +a(g892 +g980 +tp1944 +a(g200 +V\u005ch +p1945 +tp1946 +a(g76 +V'\u005c*(#H'\u005c(*b\u005ch'-\u005c*(#H' +p1947 +tp1948 +a(g892 +V\u000a +p1949 +tp1950 +a(g892 +g956 +tp1951 +a(g810 +Vds +p1952 +tp1953 +a(g892 +g980 +tp1954 +a(g76 +Vo +p1955 +tp1956 +a(g892 +g980 +tp1957 +a(g200 +V\u005c\u005c +p1958 +tp1959 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu+\u005cw'\u005c(de'u-\u005c*(#H)/2u'\u005cv'-.3n'\u005c*(#[\u005cz\u005c(de\u005cv'.3n'\u005ch'|\u005c\u005cn:u'\u005c*(#] +p1960 +tp1961 +a(g892 +V\u000a +p1962 +tp1963 +a(g892 +g956 +tp1964 +a(g810 +Vds +p1965 +tp1966 +a(g892 +g980 +tp1967 +a(g76 +Vd- +p1968 +tp1969 +a(g892 +g980 +tp1970 +a(g200 +V\u005ch +p1971 +tp1972 +a(g76 +V'\u005c*(#H'\u005c(pd\u005ch'-\u005cw'~'u'\u005cv'-.25m'\u005cf2\u005c(hy\u005cfP\u005cv'.25m'\u005ch'-\u005c*(#H' +p1973 +tp1974 +a(g892 +V\u000a +p1975 +tp1976 +a(g892 +g956 +tp1977 +a(g810 +Vds +p1978 +tp1979 +a(g892 +g980 +tp1980 +a(g76 +VD- +p1981 +tp1982 +a(g892 +g980 +tp1983 +a(g76 +VD\u005c\u005ck:\u005ch'-\u005cw'D'u'\u005cv'-.11m'\u005cz\u005c(hy\u005cv'.11m'\u005ch'|\u005c\u005cn:u' +p1984 +tp1985 +a(g892 +V\u000a +p1986 +tp1987 +a(g892 +g956 +tp1988 +a(g810 +Vds +p1989 +tp1990 +a(g892 +g980 +tp1991 +a(g76 +Vth +p1992 +tp1993 +a(g892 +g980 +tp1994 +a(g200 +V\u005c* +p1995 +tp1996 +a(g76 +V(#[\u005cv'.3m'\u005cs+1I\u005cs-1\u005cv'-.3m'\u005ch'-(\u005cw'I'u*2/3)'\u005cs-1o\u005cs+1\u005c*(#] +p1997 +tp1998 +a(g892 +V\u000a +p1999 +tp2000 +a(g892 +g956 +tp2001 +a(g810 +Vds +p2002 +tp2003 +a(g892 +g980 +tp2004 +a(g76 +VTh +p2005 +tp2006 +a(g892 +g980 +tp2007 +a(g200 +V\u005c* +p2008 +tp2009 +a(g76 +V(#[\u005cs+2I\u005cs-2\u005ch'-\u005cw'I'u*3/5'\u005cv'-.3m'o\u005cv'.3m'\u005c*(#] +p2010 +tp2011 +a(g892 +V\u000a +p2012 +tp2013 +a(g892 +g956 +tp2014 +a(g810 +Vds +p2015 +tp2016 +a(g892 +g980 +tp2017 +a(g76 +Vae +p2018 +tp2019 +a(g892 +g980 +tp2020 +a(g76 +Va\u005ch'-(\u005cw'a'u*4/10)'e +p2021 +tp2022 +a(g892 +V\u000a +p2023 +tp2024 +a(g892 +g956 +tp2025 +a(g810 +Vds +p2026 +tp2027 +a(g892 +g980 +tp2028 +a(g76 +VAe +p2029 +tp2030 +a(g892 +g980 +tp2031 +a(g76 +VA\u005ch'-(\u005cw'A'u*4/10)'E +p2032 +tp2033 +a(g892 +V\u000a +p2034 +tp2035 +a(g7 +g956 +tp2036 +a(g892 +V +p2037 +tp2038 +a(g693 +V\u005c" corrections for vroff +p2039 +tp2040 +a(g892 +V\u000a +p2041 +tp2042 +a(g892 +g956 +tp2043 +a(g810 +Vif +p2044 +tp2045 +a(g892 +g980 +tp2046 +a(g76 +Vv +p2047 +tp2048 +a(g892 +g980 +tp2049 +a(g76 +V.ds +p2050 +tp2051 +a(g892 +g980 +tp2052 +a(g76 +g1798 +tp2053 +a(g892 +g980 +tp2054 +a(g200 +V\u005c\u005c +p2055 +tp2056 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*9/10-\u005c*(#H)'\u005cs-2\u005cu~\u005cd\u005cs+2\u005ch'|\u005c\u005cn:u' +p2057 +tp2058 +a(g892 +V\u000a +p2059 +tp2060 +a(g892 +g956 +tp2061 +a(g810 +Vif +p2062 +tp2063 +a(g892 +g980 +tp2064 +a(g76 +g2047 +tp2065 +a(g892 +g980 +tp2066 +a(g76 +V.ds +p2067 +tp2068 +a(g892 +g980 +tp2069 +a(g76 +g1772 +tp2070 +a(g892 +g980 +tp2071 +a(g200 +V\u005c\u005c +p2072 +tp2073 +a(g76 +Vk:\u005ch'-(\u005c\u005cn(.wu*10/11-\u005c*(#H)'\u005cv'-.4m'^\u005cv'.4m'\u005ch'|\u005c\u005cn:u' +p2074 +tp2075 +a(g892 +V\u000a +p2076 +tp2077 +a(g7 +g956 +tp2078 +a(g892 +V +p2079 +tp2080 +a(g693 +V\u005c" for low resolution devices (crt and lpr) +p2081 +tp2082 +a(g892 +V\u000a +p2083 +tp2084 +a(g892 +g956 +tp2085 +a(g810 +Vif +p2086 +tp2087 +a(g892 +g980 +tp2088 +a(g200 +V\u005cn +p2089 +tp2090 +a(g76 +V(.H>23 +p2091 +tp2092 +a(g892 +g980 +tp2093 +a(g76 +V.if +p2094 +tp2095 +a(g892 +g980 +tp2096 +a(g200 +V\u005cn +p2097 +tp2098 +a(g76 +V(.V>19 +p2099 +tp2100 +a(g892 +g980 +tp2101 +a(g892 +V\u005c\u000a +p2102 +tp2103 +a(g200 +V\u005c{ +p2104 +tp2105 +a(g892 +V\u005c\u000a +p2106 +tp2107 +a(g76 +g956 +tp2108 +a(g892 +V +p2109 +tp2110 +a(g76 +Vds +p2111 +tp2112 +a(g892 +g980 +tp2113 +a(g76 +g1929 +tp2114 +a(g892 +g980 +tp2115 +a(g76 +Ve +p2116 +tp2117 +a(g892 +V\u000a +p2118 +tp2119 +a(g76 +g956 +tp2120 +a(g892 +V +p2121 +tp2122 +a(g76 +Vds +p2123 +tp2124 +a(g892 +g980 +tp2125 +a(g17 +g1942 +tp2126 +a(g892 +g980 +tp2127 +a(g76 +Vss +p2128 +tp2129 +a(g892 +V\u000a +p2130 +tp2131 +a(g76 +g956 +tp2132 +a(g892 +V +p2133 +tp2134 +a(g76 +Vds +p2135 +tp2136 +a(g892 +g980 +tp2137 +a(g76 +g1955 +tp2138 +a(g892 +g980 +tp2139 +a(g76 +Va +p2140 +tp2141 +a(g892 +V\u000a +p2142 +tp2143 +a(g7 +g956 +tp2144 +a(g892 +V +p2145 +tp2146 +a(g76 +Vds +p2147 +tp2148 +a(g892 +g980 +tp2149 +a(g76 +Vd- +p2150 +tp2151 +a(g892 +g980 +tp2152 +a(g76 +Vd\u005ch'-1'\u005c(ga +p2153 +tp2154 +a(g892 +V\u000a +p2155 +tp2156 +a(g7 +g956 +tp2157 +a(g892 +V +p2158 +tp2159 +a(g76 +Vds +p2160 +tp2161 +a(g892 +g980 +tp2162 +a(g76 +VD- +p2163 +tp2164 +a(g892 +g980 +tp2165 +a(g76 +VD\u005ch'-1'\u005c(hy +p2166 +tp2167 +a(g892 +V\u000a +p2168 +tp2169 +a(g7 +g956 +tp2170 +a(g892 +V +p2171 +tp2172 +a(g76 +Vds +p2173 +tp2174 +a(g892 +g980 +tp2175 +a(g76 +Vth +p2176 +tp2177 +a(g892 +g980 +tp2178 +a(g200 +V\u005co +p2179 +tp2180 +a(g76 +V'bp' +p2181 +tp2182 +a(g892 +V\u000a +p2183 +tp2184 +a(g7 +g956 +tp2185 +a(g892 +V +p2186 +tp2187 +a(g76 +Vds +p2188 +tp2189 +a(g892 +g980 +tp2190 +a(g76 +VTh +p2191 +tp2192 +a(g892 +g980 +tp2193 +a(g200 +V\u005co +p2194 +tp2195 +a(g76 +V'LP' +p2196 +tp2197 +a(g892 +V\u000a +p2198 +tp2199 +a(g7 +g956 +tp2200 +a(g892 +V +p2201 +tp2202 +a(g76 +Vds +p2203 +tp2204 +a(g892 +g980 +tp2205 +a(g76 +Vae +p2206 +tp2207 +a(g892 +g980 +tp2208 +a(g76 +Vae +p2209 +tp2210 +a(g892 +V\u000a +p2211 +tp2212 +a(g7 +g956 +tp2213 +a(g892 +V +p2214 +tp2215 +a(g76 +Vds +p2216 +tp2217 +a(g892 +g980 +tp2218 +a(g76 +VAe +p2219 +tp2220 +a(g892 +g980 +tp2221 +a(g76 +VAE +p2222 +tp2223 +a(g892 +V\u000a +p2224 +tp2225 +a(g7 +g956 +tp2226 +a(g200 +V\u005c} +p2227 +tp2228 +a(g892 +V\u000a +p2229 +tp2230 +a(g892 +g956 +tp2231 +a(g810 +Vrm +p2232 +tp2233 +a(g892 +g980 +tp2234 +a(g76 +V#[ +p2235 +tp2236 +a(g892 +g980 +tp2237 +a(g76 +V#] +p2238 +tp2239 +a(g892 +g980 +tp2240 +a(g76 +V#H +p2241 +tp2242 +a(g892 +g980 +tp2243 +a(g76 +V#V +p2244 +tp2245 +a(g892 +g980 +tp2246 +a(g76 +V#F +p2247 +tp2248 +a(g892 +g980 +tp2249 +a(g76 +VC +p2250 +tp2251 +a(g892 +V\u000a +p2252 +tp2253 +a(g7 +g956 +tp2254 +a(g693 +V\u005c" ======================================================================== +p2255 +tp2256 +a(g892 +V\u000a +p2257 +tp2258 +a(g7 +g956 +tp2259 +a(g693 +V\u005c" +p2260 +tp2261 +a(g892 +V\u000a +p2262 +tp2263 +a(g892 +g956 +tp2264 +a(g810 +VIX +p2265 +tp2266 +a(g892 +g980 +tp2267 +a(g76 +VTitle +p2268 +tp2269 +a(g892 +g980 +tp2270 +a(g144 +V"PERLFUNC 1" +p2271 +tp2272 +a(g892 +V\u000a +p2273 +tp2274 +a(g892 +g956 +tp2275 +a(g810 +VTH +p2276 +tp2277 +a(g892 +g980 +tp2278 +a(g76 +VPERLFUNC +p2279 +tp2280 +a(g892 +g980 +tp2281 +a(g17 +V1 +p2282 +tp2283 +a(g892 +g980 +tp2284 +a(g144 +V"2006-01-07" +p2285 +tp2286 +a(g892 +g980 +tp2287 +a(g144 +V"perl v5.8.8" +p2288 +tp2289 +a(g892 +g980 +tp2290 +a(g144 +V"Perl Programmers Reference Guide" +p2291 +tp2292 +a(g892 +V\u000a +p2293 +tp2294 +a(g892 +g956 +tp2295 +a(g810 +VSH +p2296 +tp2297 +a(g892 +g980 +tp2298 +a(g144 +V"NAME" +p2299 +tp2300 +a(g892 +V\u000a +p2301 +tp2302 +a(g892 +g956 +tp2303 +a(g810 +VIX +p2304 +tp2305 +a(g892 +g980 +tp2306 +a(g76 +VXref +p2307 +tp2308 +a(g892 +g980 +tp2309 +a(g144 +V"function" +p2310 +tp2311 +a(g892 +V\u000a +p2312 +tp2313 +a(g892 +Vperlfunc +p2314 +tp2315 +a(g200 +V\u005c- +p2316 +tp2317 +a(g892 +V Perl builtin functions +p2318 +tp2319 +a(g892 +V\u000a +p2320 +tp2321 +a(g892 +g956 +tp2322 +a(g810 +VSH +p2323 +tp2324 +a(g892 +g980 +tp2325 +a(g144 +V"DESCRIPTION" +p2326 +tp2327 +a(g892 +V\u000a +p2328 +tp2329 +a(g892 +g956 +tp2330 +a(g810 +VIX +p2331 +tp2332 +a(g892 +g980 +tp2333 +a(g76 +VHeader +p2334 +tp2335 +a(g892 +g980 +tp2336 +a(g144 +V"DESCRIPTION" +p2337 +tp2338 +a(g892 +V\u000a +p2339 +tp2340 +a(g892 +VThe functions in this section can serve as terms in an expression. +p2341 +tp2342 +a(g892 +V\u000a +p2343 +tp2344 +a(g892 +VThey fall into two major categories: list operators and named unary +p2345 +tp2346 +a(g892 +V\u000a +p2347 +tp2348 +a(g892 +Voperators. These differ in their precedence relationship with a +p2349 +tp2350 +a(g892 +V\u000a +p2351 +tp2352 +a(g892 +Vfollowing comma. (See the precedence table in perlop.) List +p2353 +tp2354 +a(g892 +V\u000a +p2355 +tp2356 +a(g892 +Voperators take more than one argument, while unary operators can never +p2357 +tp2358 +a(g892 +V\u000a +p2359 +tp2360 +a(g892 +Vtake more than one argument. Thus, a comma terminates the argument of +p2361 +tp2362 +a(g892 +V\u000a +p2363 +tp2364 +a(g892 +Va unary operator, but merely separates the arguments of a list +p2365 +tp2366 +a(g892 +V\u000a +p2367 +tp2368 +a(g892 +Voperator. A unary operator generally provides a scalar context to its +p2369 +tp2370 +a(g892 +V\u000a +p2371 +tp2372 +a(g892 +Vargument, while a list operator may provide either scalar or list +p2373 +tp2374 +a(g892 +V\u000a +p2375 +tp2376 +a(g892 +Vcontexts for its arguments. If it does both, the scalar arguments will +p2377 +tp2378 +a(g892 +V\u000a +p2379 +tp2380 +a(g892 +Vbe first, and the list argument will follow. (Note that there can ever +p2381 +tp2382 +a(g892 +V\u000a +p2383 +tp2384 +a(g892 +Vbe only one such list argument.) For instance, +p2385 +tp2386 +a(g200 +V\u005cfI +p2387 +tp2388 +a(g892 +Vsplice() +p2389 +tp2390 +a(g200 +V\u005cfR +p2391 +tp2392 +a(g892 +V has three scalar +p2393 +tp2394 +a(g892 +V\u000a +p2395 +tp2396 +a(g892 +Varguments followed by a list, whereas +p2397 +tp2398 +a(g200 +V\u005cfI +p2399 +tp2400 +a(g892 +Vgethostbyname() +p2401 +tp2402 +a(g200 +V\u005cfR +p2403 +tp2404 +a(g892 +V has four scalar +p2405 +tp2406 +a(g892 +V\u000a +p2407 +tp2408 +a(g892 +Varguments. +p2409 +tp2410 +a(g892 +V\u000a +p2411 +tp2412 +a(g892 +g956 +tp2413 +a(g810 +VPP +p2414 +tp2415 +a(g892 +V\u000a +p2416 +tp2417 +a(g892 +VIn the syntax descriptions that follow, list operators that expect a +p2418 +tp2419 +a(g892 +V\u000a +p2420 +tp2421 +a(g892 +Vlist (and provide list context for the elements of the list) are shown +p2422 +tp2423 +a(g892 +V\u000a +p2424 +tp2425 +a(g892 +Vwith +p2426 +tp2427 +a(g200 +V\u005cs +p2428 +tp2429 +a(g892 +V-1LIST +p2430 +tp2431 +a(g200 +V\u005cs +p2432 +tp2433 +a(g892 +V0 as an argument. Such a list may consist of any combination +p2434 +tp2435 +a(g892 +V\u000a +p2436 +tp2437 +a(g892 +Vof scalar arguments or list values; the list values will be included +p2438 +tp2439 +a(g892 +V\u000a +p2440 +tp2441 +a(g892 +Vin the list as if each individual element were interpolated at that +p2442 +tp2443 +a(g892 +V\u000a +p2444 +tp2445 +a(g892 +Vpoint in the list, forming a longer single-dimensional list value. +p2446 +tp2447 +a(g892 +V\u000a +p2448 +tp2449 +a(g892 +VCommas should separate elements of the +p2450 +tp2451 +a(g200 +V\u005cs +p2452 +tp2453 +a(g892 +V-1LIST +p2454 +tp2455 +a(g200 +V\u005cs +p2456 +tp2457 +a(g892 +V0. +p2458 +tp2459 +a(g892 +V\u000a +p2460 +tp2461 +a(g892 +g956 +tp2462 +a(g810 +VPP +p2463 +tp2464 +a(g892 +V\u000a +p2465 +tp2466 +a(g892 +VAny function in the list below may be used either with or without +p2467 +tp2468 +a(g892 +V\u000a +p2469 +tp2470 +a(g892 +Vparentheses around its arguments. (The syntax descriptions omit the +p2471 +tp2472 +a(g892 +V\u000a +p2473 +tp2474 +a(g892 +Vparentheses.) If you use the parentheses, the simple (but occasionally +p2475 +tp2476 +a(g892 +V\u000a +p2477 +tp2478 +a(g892 +Vsurprising) rule is this: It +p2479 +tp2480 +a(g200 +V\u005cfI +p2481 +tp2482 +a(g892 +Vlooks +p2483 +tp2484 +a(g200 +V\u005cfR +p2485 +tp2486 +a(g892 +V like a function, therefore it +p2487 +tp2488 +a(g200 +V\u005cfI +p2489 +tp2490 +a(g892 +Vis +p2491 +tp2492 +a(g200 +V\u005cfR +p2493 +tp2494 +a(g892 +V a +p2495 +tp2496 +a(g892 +V\u000a +p2497 +tp2498 +a(g892 +Vfunction, and precedence doesn't matter. Otherwise it's a list +p2499 +tp2500 +a(g892 +V\u000a +p2501 +tp2502 +a(g892 +Voperator or unary operator, and precedence does matter. And whitespace +p2503 +tp2504 +a(g892 +V\u000a +p2505 +tp2506 +a(g892 +Vbetween the function and left parenthesis doesn't count +p2507 +tp2508 +a(g200 +V\u005c* +p2509 +tp2510 +a(g892 +V(--so you need to +p2511 +tp2512 +a(g892 +V\u000a +p2513 +tp2514 +a(g892 +Vbe careful sometimes: +p2515 +tp2516 +a(g892 +V\u000a +p2517 +tp2518 +a(g892 +g956 +tp2519 +a(g810 +VPP +p2520 +tp2521 +a(g892 +V\u000a +p2522 +tp2523 +a(g892 +g956 +tp2524 +a(g810 +VVb +p2525 +tp2526 +a(g892 +g980 +tp2527 +a(g17 +g1009 +tp2528 +a(g892 +V\u000a +p2529 +tp2530 +a(g200 +V\u005c& +p2531 +tp2532 +a(g892 +V print 1+2+4; # Prints 7. +p2533 +tp2534 +a(g892 +V\u000a +p2535 +tp2536 +a(g200 +V\u005c& +p2537 +tp2538 +a(g892 +V print(1+2) + 4; # Prints 3. +p2539 +tp2540 +a(g892 +V\u000a +p2541 +tp2542 +a(g200 +V\u005c& +p2543 +tp2544 +a(g892 +V print (1+2)+4; # Also prints 3! +p2545 +tp2546 +a(g892 +V\u000a +p2547 +tp2548 +a(g200 +V\u005c& +p2549 +tp2550 +a(g892 +V print +(1+2)+4; # Prints 7. +p2551 +tp2552 +a(g892 +V\u000a +p2553 +tp2554 +a(g200 +V\u005c& +p2555 +tp2556 +a(g892 +V print ((1+2)+4); # Prints 7. +p2557 +tp2558 +a(g892 +V\u000a +p2559 +tp2560 +a(g892 +g956 +tp2561 +a(g810 +VVe +p2562 +tp2563 +a(g892 +V\u000a +p2564 +tp2565 +a(g892 +g956 +tp2566 +a(g810 +VPP +p2567 +tp2568 +a(g892 +V\u000a +p2569 +tp2570 +a(g892 +VIf you run Perl with the +p2571 +tp2572 +a(g200 +V\u005cfB +p2573 +tp2574 +a(g200 +V\u005c- +p2575 +tp2576 +a(g892 +Vw +p2577 +tp2578 +a(g200 +V\u005cfR +p2579 +tp2580 +a(g892 +V switch it can warn you about this. For +p2581 +tp2582 +a(g892 +V\u000a +p2583 +tp2584 +a(g892 +Vexample, the third line above produces: +p2585 +tp2586 +a(g892 +V\u000a +p2587 +tp2588 +a(g892 +g956 +tp2589 +a(g810 +VPP +p2590 +tp2591 +a(g892 +V\u000a +p2592 +tp2593 +a(g892 +g956 +tp2594 +a(g810 +VVb +p2595 +tp2596 +a(g892 +g980 +tp2597 +a(g17 +V2 +p2598 +tp2599 +a(g892 +V\u000a +p2600 +tp2601 +a(g200 +V\u005c& +p2602 +tp2603 +a(g892 +V print (...) interpreted as function at - line 1. +p2604 +tp2605 +a(g892 +V\u000a +p2606 +tp2607 +a(g200 +V\u005c& +p2608 +tp2609 +a(g892 +V Useless use of integer addition in void context at - line 1. +p2610 +tp2611 +a(g892 +V\u000a +p2612 +tp2613 +a(g892 +g956 +tp2614 +a(g810 +VVe +p2615 +tp2616 +a(g892 +V\u000a +p2617 +tp2618 +a(g892 +g956 +tp2619 +a(g810 +VPP +p2620 +tp2621 +a(g892 +V\u000a +p2622 +tp2623 +a(g892 +VA few functions take no arguments at all, and therefore work as neither +p2624 +tp2625 +a(g892 +V\u000a +p2626 +tp2627 +a(g892 +Vunary nor list operators. These include such functions as +p2628 +tp2629 +a(g200 +V\u005cf +p2630 +tp2631 +a(g892 +V(CW +p2632 +tp2633 +a(g200 +V\u005c* +p2634 +tp2635 +a(g892 +V(C`time +p2636 +tp2637 +a(g200 +V\u005c* +p2638 +tp2639 +a(g892 +V(C' +p2640 +tp2641 +a(g200 +V\u005cfR +p2642 +tp2643 +a(g892 +V\u000a +p2644 +tp2645 +a(g892 +Vand +p2646 +tp2647 +a(g200 +V\u005cf +p2648 +tp2649 +a(g892 +V(CW +p2650 +tp2651 +a(g200 +V\u005c* +p2652 +tp2653 +a(g892 +V(C`endpwent +p2654 +tp2655 +a(g200 +V\u005c* +p2656 +tp2657 +a(g892 +V(C' +p2658 +tp2659 +a(g200 +V\u005cfR +p2660 +tp2661 +a(g892 +V. For example, +p2662 +tp2663 +a(g200 +V\u005cf +p2664 +tp2665 +a(g892 +V(CW +p2666 +tp2667 +a(g200 +V\u005c* +p2668 +tp2669 +a(g892 +V(C`time+86_400 +p2670 +tp2671 +a(g200 +V\u005c* +p2672 +tp2673 +a(g892 +V(C' +p2674 +tp2675 +a(g200 +V\u005cfR +p2676 +tp2677 +a(g892 +V always means +p2678 +tp2679 +a(g892 +V\u000a +p2680 +tp2681 +a(g200 +V\u005c& +p2682 +tp2683 +a(g200 +V\u005cf +p2684 +tp2685 +a(g892 +V(CW +p2686 +tp2687 +a(g200 +V\u005c* +p2688 +tp2689 +a(g892 +V(C`time() + 86_400 +p2690 +tp2691 +a(g200 +V\u005c* +p2692 +tp2693 +a(g892 +V(C' +p2694 +tp2695 +a(g200 +V\u005cfR +p2696 +tp2697 +a(g892 +g956 +tp2698 +a(g892 +V\u000a +p2699 +tp2700 +a(g892 +g956 +tp2701 +a(g810 +VPP +p2702 +tp2703 +a(g892 +V\u000a +p2704 +tp2705 +a(g892 +VFor functions that can be used in either a scalar or list context, +p2706 +tp2707 +a(g892 +V\u000a +p2708 +tp2709 +a(g892 +Vnonabortive failure is generally indicated in a scalar context by +p2710 +tp2711 +a(g892 +V\u000a +p2712 +tp2713 +a(g892 +Vreturning the undefined value, and in a list context by returning the +p2714 +tp2715 +a(g892 +V\u000a +p2716 +tp2717 +a(g892 +Vnull list. +p2718 +tp2719 +a(g892 +V\u000a +p2720 +tp2721 +a(g892 +g956 +tp2722 +a(g810 +VPP +p2723 +tp2724 +a(g892 +V\u000a +p2725 +tp2726 +a(g892 +VRemember the following important rule: There is +p2727 +tp2728 +a(g200 +V\u005cfB +p2729 +tp2730 +a(g892 +Vno rule +p2731 +tp2732 +a(g200 +V\u005cfR +p2733 +tp2734 +a(g892 +V that relates +p2735 +tp2736 +a(g892 +V\u000a +p2737 +tp2738 +a(g892 +Vthe behavior of an expression in list context to its behavior in scalar +p2739 +tp2740 +a(g892 +V\u000a +p2741 +tp2742 +a(g892 +Vcontext, or vice versa. It might do two totally different things. +p2743 +tp2744 +a(g892 +V\u000a +p2745 +tp2746 +a(g892 +VEach operator and function decides which sort of value it would be most +p2747 +tp2748 +a(g892 +V\u000a +p2749 +tp2750 +a(g892 +Vappropriate to return in scalar context. Some operators return the +p2751 +tp2752 +a(g892 +V\u000a +p2753 +tp2754 +a(g892 +Vlength of the list that would have been returned in list context. Some +p2755 +tp2756 +a(g892 +V\u000a +p2757 +tp2758 +a(g892 +Voperators return the first value in the list. Some operators return the +p2759 +tp2760 +a(g892 +V\u000a +p2761 +tp2762 +a(g892 +Vlast value in the list. Some operators return a count of successful +p2763 +tp2764 +a(g892 +V\u000a +p2765 +tp2766 +a(g892 +Voperations. In general, they do what you want, unless you want +p2767 +tp2768 +a(g892 +V\u000a +p2769 +tp2770 +a(g892 +Vconsistency. +p2771 +tp2772 +a(g892 +V\u000a +p2773 +tp2774 +a(g892 +g956 +tp2775 +a(g810 +VIX +p2776 +tp2777 +a(g892 +g980 +tp2778 +a(g76 +VXref +p2779 +tp2780 +a(g892 +g980 +tp2781 +a(g144 +V"context" +p2782 +tp2783 +a(g892 +V\u000a +p2784 +tp2785 +a(g892 +g956 +tp2786 +a(g810 +VPP +p2787 +tp2788 +a(g892 +V\u000a +p2789 +tp2790 +a(g892 +VA named array in scalar context is quite different from what would at +p2791 +tp2792 +a(g892 +V\u000a +p2793 +tp2794 +a(g892 +Vfirst glance appear to be a list in scalar context. You can't get a list +p2795 +tp2796 +a(g892 +V\u000a +p2797 +tp2798 +a(g892 +Vlike +p2799 +tp2800 +a(g200 +V\u005cf +p2801 +tp2802 +a(g892 +V(CW +p2803 +tp2804 +a(g200 +V\u005c* +p2805 +tp2806 +a(g892 +V(C`(1,2,3) +p2807 +tp2808 +a(g200 +V\u005c* +p2809 +tp2810 +a(g892 +V(C' +p2811 +tp2812 +a(g200 +V\u005cfR +p2813 +tp2814 +a(g892 +V into being in scalar context, because the compiler knows +p2815 +tp2816 +a(g892 +V\u000a +p2817 +tp2818 +a(g892 +Vthe context at compile time. It would generate the scalar comma operator +p2819 +tp2820 +a(g892 +V\u000a +p2821 +tp2822 +a(g892 +Vthere, not the list construction version of the comma. That means it +p2823 +tp2824 +a(g892 +V\u000a +p2825 +tp2826 +a(g892 +Vwas never a list to start with. +p2827 +tp2828 +a(g892 +V\u000a +p2829 +tp2830 +a(g892 +g956 +tp2831 +a(g810 +VPP +p2832 +tp2833 +a(g892 +V\u000a +p2834 +tp2835 +a(g892 +VIn general, functions in Perl that serve as wrappers for system calls +p2836 +tp2837 +a(g892 +V\u000a +p2838 +tp2839 +a(g892 +Vof the same name (like +p2840 +tp2841 +a(g200 +V\u005cfI +p2842 +tp2843 +a(g892 +Vchown +p2844 +tp2845 +a(g200 +V\u005cfR +p2846 +tp2847 +a(g200 +V\u005c| +p2848 +tp2849 +a(g892 +V(2), +p2850 +tp2851 +a(g200 +V\u005cfI +p2852 +tp2853 +a(g892 +Vfork +p2854 +tp2855 +a(g200 +V\u005cfR +p2856 +tp2857 +a(g200 +V\u005c| +p2858 +tp2859 +a(g892 +V(2), +p2860 +tp2861 +a(g200 +V\u005cfI +p2862 +tp2863 +a(g892 +Vclosedir +p2864 +tp2865 +a(g200 +V\u005cfR +p2866 +tp2867 +a(g200 +V\u005c| +p2868 +tp2869 +a(g892 +V(2), etc.) all return +p2870 +tp2871 +a(g892 +V\u000a +p2872 +tp2873 +a(g892 +Vtrue when they succeed and +p2874 +tp2875 +a(g200 +V\u005cf +p2876 +tp2877 +a(g892 +V(CW +p2878 +tp2879 +a(g200 +V\u005c* +p2880 +tp2881 +a(g892 +V(C`undef +p2882 +tp2883 +a(g200 +V\u005c* +p2884 +tp2885 +a(g892 +V(C' +p2886 +tp2887 +a(g200 +V\u005cfR +p2888 +tp2889 +a(g892 +V otherwise, as is usually mentioned +p2890 +tp2891 +a(g892 +V\u000a +p2892 +tp2893 +a(g892 +Vin the descriptions below. This is different from the C interfaces, +p2894 +tp2895 +a(g892 +V\u000a +p2896 +tp2897 +a(g892 +Vwhich return +p2898 +tp2899 +a(g200 +V\u005cf +p2900 +tp2901 +a(g892 +V(CW +p2902 +tp2903 +a(g200 +V\u005c* +p2904 +tp2905 +a(g892 +V(C` +p2906 +tp2907 +a(g200 +V\u005c- +p2908 +tp2909 +a(g892 +g2282 +tp2910 +a(g200 +V\u005c* +p2911 +tp2912 +a(g892 +V(C' +p2913 +tp2914 +a(g200 +V\u005cfR +p2915 +tp2916 +a(g892 +V on failure. Exceptions to this rule are +p2917 +tp2918 +a(g200 +V\u005cf +p2919 +tp2920 +a(g892 +V(CW +p2921 +tp2922 +a(g200 +V\u005c* +p2923 +tp2924 +a(g892 +V(C`wait +p2925 +tp2926 +a(g200 +V\u005c* +p2927 +tp2928 +a(g892 +V(C' +p2929 +tp2930 +a(g200 +V\u005cfR +p2931 +tp2932 +a(g892 +g1785 +tp2933 +a(g892 +V\u000a +p2934 +tp2935 +a(g200 +V\u005c& +p2936 +tp2937 +a(g200 +V\u005cf +p2938 +tp2939 +a(g892 +V(CW +p2940 +tp2941 +a(g200 +V\u005c* +p2942 +tp2943 +a(g892 +V(C`waitpid +p2944 +tp2945 +a(g200 +V\u005c* +p2946 +tp2947 +a(g892 +V(C' +p2948 +tp2949 +a(g200 +V\u005cfR +p2950 +tp2951 +a(g892 +V, and +p2952 +tp2953 +a(g200 +V\u005cf +p2954 +tp2955 +a(g892 +V(CW +p2956 +tp2957 +a(g200 +V\u005c* +p2958 +tp2959 +a(g892 +V(C`syscall +p2960 +tp2961 +a(g200 +V\u005c* +p2962 +tp2963 +a(g892 +V(C' +p2964 +tp2965 +a(g200 +V\u005cfR +p2966 +tp2967 +a(g892 +V. System calls also set the special +p2968 +tp2969 +a(g200 +V\u005cf +p2970 +tp2971 +a(g892 +V(CW$! +p2972 +tp2973 +a(g200 +V\u005cfR +p2974 +tp2975 +a(g892 +V\u000a +p2976 +tp2977 +a(g892 +Vvariable on failure. Other functions do not, except accidentally. +p2978 +tp2979 +a(g892 +V\u000a +p2980 +tp2981 +a(g892 +g956 +tp2982 +a(g810 +VSh +p2983 +tp2984 +a(g892 +g980 +tp2985 +a(g144 +V"Perl Functions by Category" +p2986 +tp2987 +a(g892 +V\u000a +p2988 +tp2989 +a(g892 +g956 +tp2990 +a(g810 +VIX +p2991 +tp2992 +a(g892 +g980 +tp2993 +a(g76 +VXref +p2994 +tp2995 +a(g892 +g980 +tp2996 +a(g144 +V"function" +p2997 +tp2998 +a(g892 +V\u000a +p2999 +tp3000 +a(g892 +g956 +tp3001 +a(g810 +VIX +p3002 +tp3003 +a(g892 +g980 +tp3004 +a(g76 +VSubsection +p3005 +tp3006 +a(g892 +g980 +tp3007 +a(g144 +V"Perl Functions by Category" +p3008 +tp3009 +a(g892 +V\u000a +p3010 +tp3011 +a(g892 +VHere are Perl's functions (including things that look like +p3012 +tp3013 +a(g892 +V\u000a +p3014 +tp3015 +a(g892 +Vfunctions, like some keywords and named operators) +p3016 +tp3017 +a(g892 +V\u000a +p3018 +tp3019 +a(g892 +Varranged by category. Some functions appear in more +p3020 +tp3021 +a(g892 +V\u000a +p3022 +tp3023 +a(g892 +Vthan one place. +p3024 +tp3025 +a(g892 +V\u000a +p3026 +tp3027 +a(g892 +g956 +tp3028 +a(g810 +VIP +p3029 +tp3030 +a(g892 +g980 +tp3031 +a(g144 +V"Functions for SCALARs or strings" +p3032 +tp3033 +a(g892 +g980 +tp3034 +a(g17 +V4 +p3035 +tp3036 +a(g892 +V\u000a +p3037 +tp3038 +a(g892 +g956 +tp3039 +a(g810 +VIX +p3040 +tp3041 +a(g892 +g980 +tp3042 +a(g76 +VXref +p3043 +tp3044 +a(g892 +g980 +tp3045 +a(g144 +V"scalar string character" +p3046 +tp3047 +a(g892 +V\u000a +p3048 +tp3049 +a(g892 +g956 +tp3050 +a(g810 +VIX +p3051 +tp3052 +a(g892 +g980 +tp3053 +a(g76 +VItem +p3054 +tp3055 +a(g892 +g980 +tp3056 +a(g144 +V"Functions for SCALARs or strings" +p3057 +tp3058 +a(g892 +V\u000a +p3059 +tp3060 +a(g200 +V\u005c& +p3061 +tp3062 +a(g200 +V\u005cf +p3063 +tp3064 +a(g892 +V(CW +p3065 +tp3066 +a(g200 +V\u005c* +p3067 +tp3068 +a(g892 +V(C`chomp +p3069 +tp3070 +a(g200 +V\u005c* +p3071 +tp3072 +a(g892 +V(C' +p3073 +tp3074 +a(g200 +V\u005cfR +p3075 +tp3076 +a(g892 +V, +p3077 +tp3078 +a(g200 +V\u005cf +p3079 +tp3080 +a(g892 +V(CW +p3081 +tp3082 +a(g200 +V\u005c* +p3083 +tp3084 +a(g892 +V(C`chop +p3085 +tp3086 +a(g200 +V\u005c* +p3087 +tp3088 +a(g892 +V(C' +p3089 +tp3090 +a(g200 +V\u005cfR +p3091 +tp3092 +a(g892 +V, +p3093 +tp3094 +a(g200 +V\u005cf +p3095 +tp3096 +a(g892 +V(CW +p3097 +tp3098 +a(g200 +V\u005c* +p3099 +tp3100 +a(g892 +V(C`chr +p3101 +tp3102 +a(g200 +V\u005c* +p3103 +tp3104 +a(g892 +V(C' +p3105 +tp3106 +a(g200 +V\u005cfR +p3107 +tp3108 +a(g892 +V, +p3109 +tp3110 +a(g200 +V\u005cf +p3111 +tp3112 +a(g892 +V(CW +p3113 +tp3114 +a(g200 +V\u005c* +p3115 +tp3116 +a(g892 +V(C`crypt +p3117 +tp3118 +a(g200 +V\u005c* +p3119 +tp3120 +a(g892 +V(C' +p3121 +tp3122 +a(g200 +V\u005cfR +p3123 +tp3124 +a(g892 +V, +p3125 +tp3126 +a(g200 +V\u005cf +p3127 +tp3128 +a(g892 +V(CW +p3129 +tp3130 +a(g200 +V\u005c* +p3131 +tp3132 +a(g892 +V(C`hex +p3133 +tp3134 +a(g200 +V\u005c* +p3135 +tp3136 +a(g892 +V(C' +p3137 +tp3138 +a(g200 +V\u005cfR +p3139 +tp3140 +a(g892 +V, +p3141 +tp3142 +a(g200 +V\u005cf +p3143 +tp3144 +a(g892 +V(CW +p3145 +tp3146 +a(g200 +V\u005c* +p3147 +tp3148 +a(g892 +V(C`index +p3149 +tp3150 +a(g200 +V\u005c* +p3151 +tp3152 +a(g892 +V(C' +p3153 +tp3154 +a(g200 +V\u005cfR +p3155 +tp3156 +a(g892 +V, +p3157 +tp3158 +a(g200 +V\u005cf +p3159 +tp3160 +a(g892 +V(CW +p3161 +tp3162 +a(g200 +V\u005c* +p3163 +tp3164 +a(g892 +V(C`lc +p3165 +tp3166 +a(g200 +V\u005c* +p3167 +tp3168 +a(g892 +V(C' +p3169 +tp3170 +a(g200 +V\u005cfR +p3171 +tp3172 +a(g892 +V, +p3173 +tp3174 +a(g200 +V\u005cf +p3175 +tp3176 +a(g892 +V(CW +p3177 +tp3178 +a(g200 +V\u005c* +p3179 +tp3180 +a(g892 +V(C`lcfirst +p3181 +tp3182 +a(g200 +V\u005c* +p3183 +tp3184 +a(g892 +V(C' +p3185 +tp3186 +a(g200 +V\u005cfR +p3187 +tp3188 +a(g892 +g1785 +tp3189 +a(g892 +V\u000a +p3190 +tp3191 +a(g200 +V\u005c& +p3192 +tp3193 +a(g200 +V\u005cf +p3194 +tp3195 +a(g892 +V(CW +p3196 +tp3197 +a(g200 +V\u005c* +p3198 +tp3199 +a(g892 +V(C`length +p3200 +tp3201 +a(g200 +V\u005c* +p3202 +tp3203 +a(g892 +V(C' +p3204 +tp3205 +a(g200 +V\u005cfR +p3206 +tp3207 +a(g892 +V, +p3208 +tp3209 +a(g200 +V\u005cf +p3210 +tp3211 +a(g892 +V(CW +p3212 +tp3213 +a(g200 +V\u005c* +p3214 +tp3215 +a(g892 +V(C`oct +p3216 +tp3217 +a(g200 +V\u005c* +p3218 +tp3219 +a(g892 +V(C' +p3220 +tp3221 +a(g200 +V\u005cfR +p3222 +tp3223 +a(g892 +V, +p3224 +tp3225 +a(g200 +V\u005cf +p3226 +tp3227 +a(g892 +V(CW +p3228 +tp3229 +a(g200 +V\u005c* +p3230 +tp3231 +a(g892 +V(C`ord +p3232 +tp3233 +a(g200 +V\u005c* +p3234 +tp3235 +a(g892 +V(C' +p3236 +tp3237 +a(g200 +V\u005cfR +p3238 +tp3239 +a(g892 +V, +p3240 +tp3241 +a(g200 +V\u005cf +p3242 +tp3243 +a(g892 +V(CW +p3244 +tp3245 +a(g200 +V\u005c* +p3246 +tp3247 +a(g892 +V(C`pack +p3248 +tp3249 +a(g200 +V\u005c* +p3250 +tp3251 +a(g892 +V(C' +p3252 +tp3253 +a(g200 +V\u005cfR +p3254 +tp3255 +a(g892 +V, +p3256 +tp3257 +a(g200 +V\u005cf +p3258 +tp3259 +a(g892 +V(CW +p3260 +tp3261 +a(g200 +V\u005c* +p3262 +tp3263 +a(g892 +V(C`q/STRING/ +p3264 +tp3265 +a(g200 +V\u005c* +p3266 +tp3267 +a(g892 +V(C' +p3268 +tp3269 +a(g200 +V\u005cfR +p3270 +tp3271 +a(g892 +V, +p3272 +tp3273 +a(g200 +V\u005cf +p3274 +tp3275 +a(g892 +V(CW +p3276 +tp3277 +a(g200 +V\u005c* +p3278 +tp3279 +a(g892 +V(C`qq/STRING/ +p3280 +tp3281 +a(g200 +V\u005c* +p3282 +tp3283 +a(g892 +V(C' +p3284 +tp3285 +a(g200 +V\u005cfR +p3286 +tp3287 +a(g892 +V, +p3288 +tp3289 +a(g200 +V\u005cf +p3290 +tp3291 +a(g892 +V(CW +p3292 +tp3293 +a(g200 +V\u005c* +p3294 +tp3295 +a(g892 +V(C`reverse +p3296 +tp3297 +a(g200 +V\u005c* +p3298 +tp3299 +a(g892 +V(C' +p3300 +tp3301 +a(g200 +V\u005cfR +p3302 +tp3303 +a(g892 +g1785 +tp3304 +a(g892 +V\u000a +p3305 +tp3306 +a(g200 +V\u005c& +p3307 +tp3308 +a(g200 +V\u005cf +p3309 +tp3310 +a(g892 +V(CW +p3311 +tp3312 +a(g200 +V\u005c* +p3313 +tp3314 +a(g892 +V(C`rindex +p3315 +tp3316 +a(g200 +V\u005c* +p3317 +tp3318 +a(g892 +V(C' +p3319 +tp3320 +a(g200 +V\u005cfR +p3321 +tp3322 +a(g892 +V, +p3323 +tp3324 +a(g200 +V\u005cf +p3325 +tp3326 +a(g892 +V(CW +p3327 +tp3328 +a(g200 +V\u005c* +p3329 +tp3330 +a(g892 +V(C`sprintf +p3331 +tp3332 +a(g200 +V\u005c* +p3333 +tp3334 +a(g892 +V(C' +p3335 +tp3336 +a(g200 +V\u005cfR +p3337 +tp3338 +a(g892 +V, +p3339 +tp3340 +a(g200 +V\u005cf +p3341 +tp3342 +a(g892 +V(CW +p3343 +tp3344 +a(g200 +V\u005c* +p3345 +tp3346 +a(g892 +V(C`substr +p3347 +tp3348 +a(g200 +V\u005c* +p3349 +tp3350 +a(g892 +V(C' +p3351 +tp3352 +a(g200 +V\u005cfR +p3353 +tp3354 +a(g892 +V, +p3355 +tp3356 +a(g200 +V\u005cf +p3357 +tp3358 +a(g892 +V(CW +p3359 +tp3360 +a(g200 +V\u005c* +p3361 +tp3362 +a(g892 +V(C`tr/// +p3363 +tp3364 +a(g200 +V\u005c* +p3365 +tp3366 +a(g892 +V(C' +p3367 +tp3368 +a(g200 +V\u005cfR +p3369 +tp3370 +a(g892 +V, +p3371 +tp3372 +a(g200 +V\u005cf +p3373 +tp3374 +a(g892 +V(CW +p3375 +tp3376 +a(g200 +V\u005c* +p3377 +tp3378 +a(g892 +V(C`uc +p3379 +tp3380 +a(g200 +V\u005c* +p3381 +tp3382 +a(g892 +V(C' +p3383 +tp3384 +a(g200 +V\u005cfR +p3385 +tp3386 +a(g892 +V, +p3387 +tp3388 +a(g200 +V\u005cf +p3389 +tp3390 +a(g892 +V(CW +p3391 +tp3392 +a(g200 +V\u005c* +p3393 +tp3394 +a(g892 +V(C`ucfirst +p3395 +tp3396 +a(g200 +V\u005c* +p3397 +tp3398 +a(g892 +V(C' +p3399 +tp3400 +a(g200 +V\u005cfR +p3401 +tp3402 +a(g892 +V, +p3403 +tp3404 +a(g200 +V\u005cf +p3405 +tp3406 +a(g892 +V(CW +p3407 +tp3408 +a(g200 +V\u005c* +p3409 +tp3410 +a(g892 +V(C`y/// +p3411 +tp3412 +a(g200 +V\u005c* +p3413 +tp3414 +a(g892 +V(C' +p3415 +tp3416 +a(g200 +V\u005cfR +p3417 +tp3418 +a(g892 +V\u000a +p3419 +tp3420 +a(g892 +g956 +tp3421 +a(g810 +VIP +p3422 +tp3423 +a(g892 +g980 +tp3424 +a(g144 +V"Regular expressions and pattern matching" +p3425 +tp3426 +a(g892 +g980 +tp3427 +a(g17 +g3035 +tp3428 +a(g892 +V\u000a +p3429 +tp3430 +a(g892 +g956 +tp3431 +a(g810 +VIX +p3432 +tp3433 +a(g892 +g980 +tp3434 +a(g76 +VXref +p3435 +tp3436 +a(g892 +g980 +tp3437 +a(g144 +V"regular expression regex regexp" +p3438 +tp3439 +a(g892 +V\u000a +p3440 +tp3441 +a(g892 +g956 +tp3442 +a(g810 +VIX +p3443 +tp3444 +a(g892 +g980 +tp3445 +a(g76 +VItem +p3446 +tp3447 +a(g892 +g980 +tp3448 +a(g144 +V"Regular expressions and pattern matching" +p3449 +tp3450 +a(g892 +V\u000a +p3451 +tp3452 +a(g200 +V\u005c& +p3453 +tp3454 +a(g200 +V\u005cf +p3455 +tp3456 +a(g892 +V(CW +p3457 +tp3458 +a(g200 +V\u005c* +p3459 +tp3460 +a(g892 +V(C`m// +p3461 +tp3462 +a(g200 +V\u005c* +p3463 +tp3464 +a(g892 +V(C' +p3465 +tp3466 +a(g200 +V\u005cfR +p3467 +tp3468 +a(g892 +V, +p3469 +tp3470 +a(g200 +V\u005cf +p3471 +tp3472 +a(g892 +V(CW +p3473 +tp3474 +a(g200 +V\u005c* +p3475 +tp3476 +a(g892 +V(C`pos +p3477 +tp3478 +a(g200 +V\u005c* +p3479 +tp3480 +a(g892 +V(C' +p3481 +tp3482 +a(g200 +V\u005cfR +p3483 +tp3484 +a(g892 +V, +p3485 +tp3486 +a(g200 +V\u005cf +p3487 +tp3488 +a(g892 +V(CW +p3489 +tp3490 +a(g200 +V\u005c* +p3491 +tp3492 +a(g892 +V(C`quotemeta +p3493 +tp3494 +a(g200 +V\u005c* +p3495 +tp3496 +a(g892 +V(C' +p3497 +tp3498 +a(g200 +V\u005cfR +p3499 +tp3500 +a(g892 +V, +p3501 +tp3502 +a(g200 +V\u005cf +p3503 +tp3504 +a(g892 +V(CW +p3505 +tp3506 +a(g200 +V\u005c* +p3507 +tp3508 +a(g892 +V(C`s/// +p3509 +tp3510 +a(g200 +V\u005c* +p3511 +tp3512 +a(g892 +V(C' +p3513 +tp3514 +a(g200 +V\u005cfR +p3515 +tp3516 +a(g892 +V, +p3517 +tp3518 +a(g200 +V\u005cf +p3519 +tp3520 +a(g892 +V(CW +p3521 +tp3522 +a(g200 +V\u005c* +p3523 +tp3524 +a(g892 +V(C`split +p3525 +tp3526 +a(g200 +V\u005c* +p3527 +tp3528 +a(g892 +V(C' +p3529 +tp3530 +a(g200 +V\u005cfR +p3531 +tp3532 +a(g892 +V, +p3533 +tp3534 +a(g200 +V\u005cf +p3535 +tp3536 +a(g892 +V(CW +p3537 +tp3538 +a(g200 +V\u005c* +p3539 +tp3540 +a(g892 +V(C`study +p3541 +tp3542 +a(g200 +V\u005c* +p3543 +tp3544 +a(g892 +V(C' +p3545 +tp3546 +a(g200 +V\u005cfR +p3547 +tp3548 +a(g892 +V, +p3549 +tp3550 +a(g200 +V\u005cf +p3551 +tp3552 +a(g892 +V(CW +p3553 +tp3554 +a(g200 +V\u005c* +p3555 +tp3556 +a(g892 +V(C`qr// +p3557 +tp3558 +a(g200 +V\u005c* +p3559 +tp3560 +a(g892 +V(C' +p3561 +tp3562 +a(g200 +V\u005cfR +p3563 +tp3564 +a(g892 +V\u000a +p3565 +tp3566 +a(g892 +g956 +tp3567 +a(g810 +VIP +p3568 +tp3569 +a(g892 +g980 +tp3570 +a(g144 +V"Numeric functions" +p3571 +tp3572 +a(g892 +g980 +tp3573 +a(g17 +g3035 +tp3574 +a(g892 +V\u000a +p3575 +tp3576 +a(g892 +g956 +tp3577 +a(g810 +VIX +p3578 +tp3579 +a(g892 +g980 +tp3580 +a(g76 +VXref +p3581 +tp3582 +a(g892 +g980 +tp3583 +a(g144 +V"numeric number trigonometric trigonometry" +p3584 +tp3585 +a(g892 +V\u000a +p3586 +tp3587 +a(g892 +g956 +tp3588 +a(g810 +VIX +p3589 +tp3590 +a(g892 +g980 +tp3591 +a(g76 +VItem +p3592 +tp3593 +a(g892 +g980 +tp3594 +a(g144 +V"Numeric functions" +p3595 +tp3596 +a(g892 +V\u000a +p3597 +tp3598 +a(g200 +V\u005c& +p3599 +tp3600 +a(g200 +V\u005cf +p3601 +tp3602 +a(g892 +V(CW +p3603 +tp3604 +a(g200 +V\u005c* +p3605 +tp3606 +a(g892 +V(C`abs +p3607 +tp3608 +a(g200 +V\u005c* +p3609 +tp3610 +a(g892 +V(C' +p3611 +tp3612 +a(g200 +V\u005cfR +p3613 +tp3614 +a(g892 +V, +p3615 +tp3616 +a(g200 +V\u005cf +p3617 +tp3618 +a(g892 +V(CW +p3619 +tp3620 +a(g200 +V\u005c* +p3621 +tp3622 +a(g892 +V(C`atan2 +p3623 +tp3624 +a(g200 +V\u005c* +p3625 +tp3626 +a(g892 +V(C' +p3627 +tp3628 +a(g200 +V\u005cfR +p3629 +tp3630 +a(g892 +V, +p3631 +tp3632 +a(g200 +V\u005cf +p3633 +tp3634 +a(g892 +V(CW +p3635 +tp3636 +a(g200 +V\u005c* +p3637 +tp3638 +a(g892 +V(C`cos +p3639 +tp3640 +a(g200 +V\u005c* +p3641 +tp3642 +a(g892 +V(C' +p3643 +tp3644 +a(g200 +V\u005cfR +p3645 +tp3646 +a(g892 +V, +p3647 +tp3648 +a(g200 +V\u005cf +p3649 +tp3650 +a(g892 +V(CW +p3651 +tp3652 +a(g200 +V\u005c* +p3653 +tp3654 +a(g892 +V(C`exp +p3655 +tp3656 +a(g200 +V\u005c* +p3657 +tp3658 +a(g892 +V(C' +p3659 +tp3660 +a(g200 +V\u005cfR +p3661 +tp3662 +a(g892 +V, +p3663 +tp3664 +a(g200 +V\u005cf +p3665 +tp3666 +a(g892 +V(CW +p3667 +tp3668 +a(g200 +V\u005c* +p3669 +tp3670 +a(g892 +V(C`hex +p3671 +tp3672 +a(g200 +V\u005c* +p3673 +tp3674 +a(g892 +V(C' +p3675 +tp3676 +a(g200 +V\u005cfR +p3677 +tp3678 +a(g892 +V, +p3679 +tp3680 +a(g200 +V\u005cf +p3681 +tp3682 +a(g892 +V(CW +p3683 +tp3684 +a(g200 +V\u005c* +p3685 +tp3686 +a(g892 +V(C`int +p3687 +tp3688 +a(g200 +V\u005c* +p3689 +tp3690 +a(g892 +V(C' +p3691 +tp3692 +a(g200 +V\u005cfR +p3693 +tp3694 +a(g892 +V, +p3695 +tp3696 +a(g200 +V\u005cf +p3697 +tp3698 +a(g892 +V(CW +p3699 +tp3700 +a(g200 +V\u005c* +p3701 +tp3702 +a(g892 +V(C`log +p3703 +tp3704 +a(g200 +V\u005c* +p3705 +tp3706 +a(g892 +V(C' +p3707 +tp3708 +a(g200 +V\u005cfR +p3709 +tp3710 +a(g892 +V, +p3711 +tp3712 +a(g200 +V\u005cf +p3713 +tp3714 +a(g892 +V(CW +p3715 +tp3716 +a(g200 +V\u005c* +p3717 +tp3718 +a(g892 +V(C`oct +p3719 +tp3720 +a(g200 +V\u005c* +p3721 +tp3722 +a(g892 +V(C' +p3723 +tp3724 +a(g200 +V\u005cfR +p3725 +tp3726 +a(g892 +V, +p3727 +tp3728 +a(g200 +V\u005cf +p3729 +tp3730 +a(g892 +V(CW +p3731 +tp3732 +a(g200 +V\u005c* +p3733 +tp3734 +a(g892 +V(C`rand +p3735 +tp3736 +a(g200 +V\u005c* +p3737 +tp3738 +a(g892 +V(C' +p3739 +tp3740 +a(g200 +V\u005cfR +p3741 +tp3742 +a(g892 +g1785 +tp3743 +a(g892 +V\u000a +p3744 +tp3745 +a(g200 +V\u005c& +p3746 +tp3747 +a(g200 +V\u005cf +p3748 +tp3749 +a(g892 +V(CW +p3750 +tp3751 +a(g200 +V\u005c* +p3752 +tp3753 +a(g892 +V(C`sin +p3754 +tp3755 +a(g200 +V\u005c* +p3756 +tp3757 +a(g892 +V(C' +p3758 +tp3759 +a(g200 +V\u005cfR +p3760 +tp3761 +a(g892 +V, +p3762 +tp3763 +a(g200 +V\u005cf +p3764 +tp3765 +a(g892 +V(CW +p3766 +tp3767 +a(g200 +V\u005c* +p3768 +tp3769 +a(g892 +V(C`sqrt +p3770 +tp3771 +a(g200 +V\u005c* +p3772 +tp3773 +a(g892 +V(C' +p3774 +tp3775 +a(g200 +V\u005cfR +p3776 +tp3777 +a(g892 +V, +p3778 +tp3779 +a(g200 +V\u005cf +p3780 +tp3781 +a(g892 +V(CW +p3782 +tp3783 +a(g200 +V\u005c* +p3784 +tp3785 +a(g892 +V(C`srand +p3786 +tp3787 +a(g200 +V\u005c* +p3788 +tp3789 +a(g892 +V(C' +p3790 +tp3791 +a(g200 +V\u005cfR +p3792 +tp3793 +a(g892 +V\u000a +p3794 +tp3795 +a(g892 +g956 +tp3796 +a(g810 +Vie +p3797 +tp3798 +a(g892 +g980 +tp3799 +a(g76 +g1065 +tp3800 +a(g892 +g980 +tp3801 +a(g76 +V.IP +p3802 +tp3803 +a(g892 +g980 +tp3804 +a(g144 +V"Functions for real @ARRAYs" +p3805 +tp3806 +a(g892 +g980 +tp3807 +a(g17 +g3035 +tp3808 +a(g892 +V\u000a +p3809 +tp3810 +a(g892 +g956 +tp3811 +a(g810 +Vel +p3812 +tp3813 +a(g892 +g980 +tp3814 +a(g76 +V.IP +p3815 +tp3816 +a(g892 +g980 +tp3817 +a(g144 +V"Functions for real \u005cf(CW@ARRAYs\u005cfR" +p3818 +tp3819 +a(g892 +g980 +tp3820 +a(g17 +g3035 +tp3821 +a(g892 +V\u000a +p3822 +tp3823 +a(g892 +g956 +tp3824 +a(g810 +VIX +p3825 +tp3826 +a(g892 +g980 +tp3827 +a(g76 +VXref +p3828 +tp3829 +a(g892 +g980 +tp3830 +a(g144 +V"array" +p3831 +tp3832 +a(g892 +V\u000a +p3833 +tp3834 +a(g892 +g956 +tp3835 +a(g810 +VIX +p3836 +tp3837 +a(g892 +g980 +tp3838 +a(g76 +VItem +p3839 +tp3840 +a(g892 +g980 +tp3841 +a(g144 +V"Functions for real @ARRAYs" +p3842 +tp3843 +a(g892 +V\u000a +p3844 +tp3845 +a(g200 +V\u005c& +p3846 +tp3847 +a(g200 +V\u005cf +p3848 +tp3849 +a(g892 +V(CW +p3850 +tp3851 +a(g200 +V\u005c* +p3852 +tp3853 +a(g892 +V(C`pop +p3854 +tp3855 +a(g200 +V\u005c* +p3856 +tp3857 +a(g892 +V(C' +p3858 +tp3859 +a(g200 +V\u005cfR +p3860 +tp3861 +a(g892 +V, +p3862 +tp3863 +a(g200 +V\u005cf +p3864 +tp3865 +a(g892 +V(CW +p3866 +tp3867 +a(g200 +V\u005c* +p3868 +tp3869 +a(g892 +V(C`push +p3870 +tp3871 +a(g200 +V\u005c* +p3872 +tp3873 +a(g892 +V(C' +p3874 +tp3875 +a(g200 +V\u005cfR +p3876 +tp3877 +a(g892 +V, +p3878 +tp3879 +a(g200 +V\u005cf +p3880 +tp3881 +a(g892 +V(CW +p3882 +tp3883 +a(g200 +V\u005c* +p3884 +tp3885 +a(g892 +V(C`shift +p3886 +tp3887 +a(g200 +V\u005c* +p3888 +tp3889 +a(g892 +V(C' +p3890 +tp3891 +a(g200 +V\u005cfR +p3892 +tp3893 +a(g892 +V, +p3894 +tp3895 +a(g200 +V\u005cf +p3896 +tp3897 +a(g892 +V(CW +p3898 +tp3899 +a(g200 +V\u005c* +p3900 +tp3901 +a(g892 +V(C`splice +p3902 +tp3903 +a(g200 +V\u005c* +p3904 +tp3905 +a(g892 +V(C' +p3906 +tp3907 +a(g200 +V\u005cfR +p3908 +tp3909 +a(g892 +V, +p3910 +tp3911 +a(g200 +V\u005cf +p3912 +tp3913 +a(g892 +V(CW +p3914 +tp3915 +a(g200 +V\u005c* +p3916 +tp3917 +a(g892 +V(C`unshift +p3918 +tp3919 +a(g200 +V\u005c* +p3920 +tp3921 +a(g892 +V(C' +p3922 +tp3923 +a(g200 +V\u005cfR +p3924 +tp3925 +a(g892 +V\u000a +p3926 +tp3927 +a(g892 +g956 +tp3928 +a(g810 +VIP +p3929 +tp3930 +a(g892 +g980 +tp3931 +a(g144 +V"Functions for list data" +p3932 +tp3933 +a(g892 +g980 +tp3934 +a(g17 +g3035 +tp3935 +a(g892 +V\u000a +p3936 +tp3937 +a(g892 +g956 +tp3938 +a(g810 +VIX +p3939 +tp3940 +a(g892 +g980 +tp3941 +a(g76 +VXref +p3942 +tp3943 +a(g892 +g980 +tp3944 +a(g144 +V"list" +p3945 +tp3946 +a(g892 +V\u000a +p3947 +tp3948 +a(g892 +g956 +tp3949 +a(g810 +VIX +p3950 +tp3951 +a(g892 +g980 +tp3952 +a(g76 +VItem +p3953 +tp3954 +a(g892 +g980 +tp3955 +a(g144 +V"Functions for list data" +p3956 +tp3957 +a(g892 +V\u000a +p3958 +tp3959 +a(g200 +V\u005c& +p3960 +tp3961 +a(g200 +V\u005cf +p3962 +tp3963 +a(g892 +V(CW +p3964 +tp3965 +a(g200 +V\u005c* +p3966 +tp3967 +a(g892 +V(C`grep +p3968 +tp3969 +a(g200 +V\u005c* +p3970 +tp3971 +a(g892 +V(C' +p3972 +tp3973 +a(g200 +V\u005cfR +p3974 +tp3975 +a(g892 +V, +p3976 +tp3977 +a(g200 +V\u005cf +p3978 +tp3979 +a(g892 +V(CW +p3980 +tp3981 +a(g200 +V\u005c* +p3982 +tp3983 +a(g892 +V(C`join +p3984 +tp3985 +a(g200 +V\u005c* +p3986 +tp3987 +a(g892 +V(C' +p3988 +tp3989 +a(g200 +V\u005cfR +p3990 +tp3991 +a(g892 +V, +p3992 +tp3993 +a(g200 +V\u005cf +p3994 +tp3995 +a(g892 +V(CW +p3996 +tp3997 +a(g200 +V\u005c* +p3998 +tp3999 +a(g892 +V(C`map +p4000 +tp4001 +a(g200 +V\u005c* +p4002 +tp4003 +a(g892 +V(C' +p4004 +tp4005 +a(g200 +V\u005cfR +p4006 +tp4007 +a(g892 +V, +p4008 +tp4009 +a(g200 +V\u005cf +p4010 +tp4011 +a(g892 +V(CW +p4012 +tp4013 +a(g200 +V\u005c* +p4014 +tp4015 +a(g892 +V(C`qw/STRING/ +p4016 +tp4017 +a(g200 +V\u005c* +p4018 +tp4019 +a(g892 +V(C' +p4020 +tp4021 +a(g200 +V\u005cfR +p4022 +tp4023 +a(g892 +V, +p4024 +tp4025 +a(g200 +V\u005cf +p4026 +tp4027 +a(g892 +V(CW +p4028 +tp4029 +a(g200 +V\u005c* +p4030 +tp4031 +a(g892 +V(C`reverse +p4032 +tp4033 +a(g200 +V\u005c* +p4034 +tp4035 +a(g892 +V(C' +p4036 +tp4037 +a(g200 +V\u005cfR +p4038 +tp4039 +a(g892 +V, +p4040 +tp4041 +a(g200 +V\u005cf +p4042 +tp4043 +a(g892 +V(CW +p4044 +tp4045 +a(g200 +V\u005c* +p4046 +tp4047 +a(g892 +V(C`sort +p4048 +tp4049 +a(g200 +V\u005c* +p4050 +tp4051 +a(g892 +V(C' +p4052 +tp4053 +a(g200 +V\u005cfR +p4054 +tp4055 +a(g892 +V, +p4056 +tp4057 +a(g200 +V\u005cf +p4058 +tp4059 +a(g892 +V(CW +p4060 +tp4061 +a(g200 +V\u005c* +p4062 +tp4063 +a(g892 +V(C`unpack +p4064 +tp4065 +a(g200 +V\u005c* +p4066 +tp4067 +a(g892 +V(C' +p4068 +tp4069 +a(g200 +V\u005cfR +p4070 +tp4071 +a(g892 +V\u000a +p4072 +tp4073 +a(g892 +g956 +tp4074 +a(g810 +Vie +p4075 +tp4076 +a(g892 +g980 +tp4077 +a(g76 +g1065 +tp4078 +a(g892 +g980 +tp4079 +a(g76 +V.IP +p4080 +tp4081 +a(g892 +g980 +tp4082 +a(g144 +V"Functions for real %HASHes" +p4083 +tp4084 +a(g892 +g980 +tp4085 +a(g17 +g3035 +tp4086 +a(g892 +V\u000a +p4087 +tp4088 +a(g892 +g956 +tp4089 +a(g810 +Vel +p4090 +tp4091 +a(g892 +g980 +tp4092 +a(g76 +V.IP +p4093 +tp4094 +a(g892 +g980 +tp4095 +a(g144 +V"Functions for real \u005cf(CW%HASHes\u005cfR" +p4096 +tp4097 +a(g892 +g980 +tp4098 +a(g17 +g3035 +tp4099 +a(g892 +V\u000a +p4100 +tp4101 +a(g892 +g956 +tp4102 +a(g810 +VIX +p4103 +tp4104 +a(g892 +g980 +tp4105 +a(g76 +VXref +p4106 +tp4107 +a(g892 +g980 +tp4108 +a(g144 +V"hash" +p4109 +tp4110 +a(g892 +V\u000a +p4111 +tp4112 +a(g892 +g956 +tp4113 +a(g810 +VIX +p4114 +tp4115 +a(g892 +g980 +tp4116 +a(g76 +VItem +p4117 +tp4118 +a(g892 +g980 +tp4119 +a(g144 +V"Functions for real %HASHes" +p4120 +tp4121 +a(g892 +V\u000a +p4122 +tp4123 +a(g200 +V\u005c& +p4124 +tp4125 +a(g200 +V\u005cf +p4126 +tp4127 +a(g892 +V(CW +p4128 +tp4129 +a(g200 +V\u005c* +p4130 +tp4131 +a(g892 +V(C`delete +p4132 +tp4133 +a(g200 +V\u005c* +p4134 +tp4135 +a(g892 +V(C' +p4136 +tp4137 +a(g200 +V\u005cfR +p4138 +tp4139 +a(g892 +V, +p4140 +tp4141 +a(g200 +V\u005cf +p4142 +tp4143 +a(g892 +V(CW +p4144 +tp4145 +a(g200 +V\u005c* +p4146 +tp4147 +a(g892 +V(C`each +p4148 +tp4149 +a(g200 +V\u005c* +p4150 +tp4151 +a(g892 +V(C' +p4152 +tp4153 +a(g200 +V\u005cfR +p4154 +tp4155 +a(g892 +V, +p4156 +tp4157 +a(g200 +V\u005cf +p4158 +tp4159 +a(g892 +V(CW +p4160 +tp4161 +a(g200 +V\u005c* +p4162 +tp4163 +a(g892 +V(C`exists +p4164 +tp4165 +a(g200 +V\u005c* +p4166 +tp4167 +a(g892 +V(C' +p4168 +tp4169 +a(g200 +V\u005cfR +p4170 +tp4171 +a(g892 +V, +p4172 +tp4173 +a(g200 +V\u005cf +p4174 +tp4175 +a(g892 +V(CW +p4176 +tp4177 +a(g200 +V\u005c* +p4178 +tp4179 +a(g892 +V(C`keys +p4180 +tp4181 +a(g200 +V\u005c* +p4182 +tp4183 +a(g892 +V(C' +p4184 +tp4185 +a(g200 +V\u005cfR +p4186 +tp4187 +a(g892 +V, +p4188 +tp4189 +a(g200 +V\u005cf +p4190 +tp4191 +a(g892 +V(CW +p4192 +tp4193 +a(g200 +V\u005c* +p4194 +tp4195 +a(g892 +V(C`values +p4196 +tp4197 +a(g200 +V\u005c* +p4198 +tp4199 +a(g892 +V(C' +p4200 +tp4201 +a(g200 +V\u005cfR +p4202 +tp4203 +a(g892 +V\u000a +p4204 +tp4205 +a(g892 +g956 +tp4206 +a(g810 +VIP +p4207 +tp4208 +a(g892 +g980 +tp4209 +a(g144 +V"Input and output functions" +p4210 +tp4211 +a(g892 +g980 +tp4212 +a(g17 +g3035 +tp4213 +a(g892 +V\u000a +p4214 +tp4215 +a(g892 +g956 +tp4216 +a(g810 +VIX +p4217 +tp4218 +a(g892 +g980 +tp4219 +a(g76 +VXref +p4220 +tp4221 +a(g892 +g980 +tp4222 +a(g144 +V"I O input output dbm" +p4223 +tp4224 +a(g892 +V\u000a +p4225 +tp4226 +a(g892 +g956 +tp4227 +a(g810 +VIX +p4228 +tp4229 +a(g892 +g980 +tp4230 +a(g76 +VItem +p4231 +tp4232 +a(g892 +g980 +tp4233 +a(g144 +V"Input and output functions" +p4234 +tp4235 +a(g892 +V\u000a +p4236 +tp4237 +a(g200 +V\u005c& +p4238 +tp4239 +a(g200 +V\u005cf +p4240 +tp4241 +a(g892 +V(CW +p4242 +tp4243 +a(g200 +V\u005c* +p4244 +tp4245 +a(g892 +V(C`binmode +p4246 +tp4247 +a(g200 +V\u005c* +p4248 +tp4249 +a(g892 +V(C' +p4250 +tp4251 +a(g200 +V\u005cfR +p4252 +tp4253 +a(g892 +V, +p4254 +tp4255 +a(g200 +V\u005cf +p4256 +tp4257 +a(g892 +V(CW +p4258 +tp4259 +a(g200 +V\u005c* +p4260 +tp4261 +a(g892 +V(C`close +p4262 +tp4263 +a(g200 +V\u005c* +p4264 +tp4265 +a(g892 +V(C' +p4266 +tp4267 +a(g200 +V\u005cfR +p4268 +tp4269 +a(g892 +V, +p4270 +tp4271 +a(g200 +V\u005cf +p4272 +tp4273 +a(g892 +V(CW +p4274 +tp4275 +a(g200 +V\u005c* +p4276 +tp4277 +a(g892 +V(C`closedir +p4278 +tp4279 +a(g200 +V\u005c* +p4280 +tp4281 +a(g892 +V(C' +p4282 +tp4283 +a(g200 +V\u005cfR +p4284 +tp4285 +a(g892 +V, +p4286 +tp4287 +a(g200 +V\u005cf +p4288 +tp4289 +a(g892 +V(CW +p4290 +tp4291 +a(g200 +V\u005c* +p4292 +tp4293 +a(g892 +V(C`dbmclose +p4294 +tp4295 +a(g200 +V\u005c* +p4296 +tp4297 +a(g892 +V(C' +p4298 +tp4299 +a(g200 +V\u005cfR +p4300 +tp4301 +a(g892 +V, +p4302 +tp4303 +a(g200 +V\u005cf +p4304 +tp4305 +a(g892 +V(CW +p4306 +tp4307 +a(g200 +V\u005c* +p4308 +tp4309 +a(g892 +V(C`dbmopen +p4310 +tp4311 +a(g200 +V\u005c* +p4312 +tp4313 +a(g892 +V(C' +p4314 +tp4315 +a(g200 +V\u005cfR +p4316 +tp4317 +a(g892 +V, +p4318 +tp4319 +a(g200 +V\u005cf +p4320 +tp4321 +a(g892 +V(CW +p4322 +tp4323 +a(g200 +V\u005c* +p4324 +tp4325 +a(g892 +V(C`die +p4326 +tp4327 +a(g200 +V\u005c* +p4328 +tp4329 +a(g892 +V(C' +p4330 +tp4331 +a(g200 +V\u005cfR +p4332 +tp4333 +a(g892 +V, +p4334 +tp4335 +a(g200 +V\u005cf +p4336 +tp4337 +a(g892 +V(CW +p4338 +tp4339 +a(g200 +V\u005c* +p4340 +tp4341 +a(g892 +V(C`eof +p4342 +tp4343 +a(g200 +V\u005c* +p4344 +tp4345 +a(g892 +V(C' +p4346 +tp4347 +a(g200 +V\u005cfR +p4348 +tp4349 +a(g892 +g1785 +tp4350 +a(g892 +V\u000a +p4351 +tp4352 +a(g200 +V\u005c& +p4353 +tp4354 +a(g200 +V\u005cf +p4355 +tp4356 +a(g892 +V(CW +p4357 +tp4358 +a(g200 +V\u005c* +p4359 +tp4360 +a(g892 +V(C`fileno +p4361 +tp4362 +a(g200 +V\u005c* +p4363 +tp4364 +a(g892 +V(C' +p4365 +tp4366 +a(g200 +V\u005cfR +p4367 +tp4368 +a(g892 +V, +p4369 +tp4370 +a(g200 +V\u005cf +p4371 +tp4372 +a(g892 +V(CW +p4373 +tp4374 +a(g200 +V\u005c* +p4375 +tp4376 +a(g892 +V(C`flock +p4377 +tp4378 +a(g200 +V\u005c* +p4379 +tp4380 +a(g892 +V(C' +p4381 +tp4382 +a(g200 +V\u005cfR +p4383 +tp4384 +a(g892 +V, +p4385 +tp4386 +a(g200 +V\u005cf +p4387 +tp4388 +a(g892 +V(CW +p4389 +tp4390 +a(g200 +V\u005c* +p4391 +tp4392 +a(g892 +V(C`format +p4393 +tp4394 +a(g200 +V\u005c* +p4395 +tp4396 +a(g892 +V(C' +p4397 +tp4398 +a(g200 +V\u005cfR +p4399 +tp4400 +a(g892 +V, +p4401 +tp4402 +a(g200 +V\u005cf +p4403 +tp4404 +a(g892 +V(CW +p4405 +tp4406 +a(g200 +V\u005c* +p4407 +tp4408 +a(g892 +V(C`getc +p4409 +tp4410 +a(g200 +V\u005c* +p4411 +tp4412 +a(g892 +V(C' +p4413 +tp4414 +a(g200 +V\u005cfR +p4415 +tp4416 +a(g892 +V, +p4417 +tp4418 +a(g200 +V\u005cf +p4419 +tp4420 +a(g892 +V(CW +p4421 +tp4422 +a(g200 +V\u005c* +p4423 +tp4424 +a(g892 +V(C`print +p4425 +tp4426 +a(g200 +V\u005c* +p4427 +tp4428 +a(g892 +V(C' +p4429 +tp4430 +a(g200 +V\u005cfR +p4431 +tp4432 +a(g892 +V, +p4433 +tp4434 +a(g200 +V\u005cf +p4435 +tp4436 +a(g892 +V(CW +p4437 +tp4438 +a(g200 +V\u005c* +p4439 +tp4440 +a(g892 +V(C`printf +p4441 +tp4442 +a(g200 +V\u005c* +p4443 +tp4444 +a(g892 +V(C' +p4445 +tp4446 +a(g200 +V\u005cfR +p4447 +tp4448 +a(g892 +V, +p4449 +tp4450 +a(g200 +V\u005cf +p4451 +tp4452 +a(g892 +V(CW +p4453 +tp4454 +a(g200 +V\u005c* +p4455 +tp4456 +a(g892 +V(C`read +p4457 +tp4458 +a(g200 +V\u005c* +p4459 +tp4460 +a(g892 +V(C' +p4461 +tp4462 +a(g200 +V\u005cfR +p4463 +tp4464 +a(g892 +g1785 +tp4465 +a(g892 +V\u000a +p4466 +tp4467 +a(g200 +V\u005c& +p4468 +tp4469 +a(g200 +V\u005cf +p4470 +tp4471 +a(g892 +V(CW +p4472 +tp4473 +a(g200 +V\u005c* +p4474 +tp4475 +a(g892 +V(C`readdir +p4476 +tp4477 +a(g200 +V\u005c* +p4478 +tp4479 +a(g892 +V(C' +p4480 +tp4481 +a(g200 +V\u005cfR +p4482 +tp4483 +a(g892 +V, +p4484 +tp4485 +a(g200 +V\u005cf +p4486 +tp4487 +a(g892 +V(CW +p4488 +tp4489 +a(g200 +V\u005c* +p4490 +tp4491 +a(g892 +V(C`rewinddir +p4492 +tp4493 +a(g200 +V\u005c* +p4494 +tp4495 +a(g892 +V(C' +p4496 +tp4497 +a(g200 +V\u005cfR +p4498 +tp4499 +a(g892 +V, +p4500 +tp4501 +a(g200 +V\u005cf +p4502 +tp4503 +a(g892 +V(CW +p4504 +tp4505 +a(g200 +V\u005c* +p4506 +tp4507 +a(g892 +V(C`seek +p4508 +tp4509 +a(g200 +V\u005c* +p4510 +tp4511 +a(g892 +V(C' +p4512 +tp4513 +a(g200 +V\u005cfR +p4514 +tp4515 +a(g892 +V, +p4516 +tp4517 +a(g200 +V\u005cf +p4518 +tp4519 +a(g892 +V(CW +p4520 +tp4521 +a(g200 +V\u005c* +p4522 +tp4523 +a(g892 +V(C`seekdir +p4524 +tp4525 +a(g200 +V\u005c* +p4526 +tp4527 +a(g892 +V(C' +p4528 +tp4529 +a(g200 +V\u005cfR +p4530 +tp4531 +a(g892 +V, +p4532 +tp4533 +a(g200 +V\u005cf +p4534 +tp4535 +a(g892 +V(CW +p4536 +tp4537 +a(g200 +V\u005c* +p4538 +tp4539 +a(g892 +V(C`select +p4540 +tp4541 +a(g200 +V\u005c* +p4542 +tp4543 +a(g892 +V(C' +p4544 +tp4545 +a(g200 +V\u005cfR +p4546 +tp4547 +a(g892 +V, +p4548 +tp4549 +a(g200 +V\u005cf +p4550 +tp4551 +a(g892 +V(CW +p4552 +tp4553 +a(g200 +V\u005c* +p4554 +tp4555 +a(g892 +V(C`syscall +p4556 +tp4557 +a(g200 +V\u005c* +p4558 +tp4559 +a(g892 +V(C' +p4560 +tp4561 +a(g200 +V\u005cfR +p4562 +tp4563 +a(g892 +g1785 +tp4564 +a(g892 +V\u000a +p4565 +tp4566 +a(g200 +V\u005c& +p4567 +tp4568 +a(g200 +V\u005cf +p4569 +tp4570 +a(g892 +V(CW +p4571 +tp4572 +a(g200 +V\u005c* +p4573 +tp4574 +a(g892 +V(C`sysread +p4575 +tp4576 +a(g200 +V\u005c* +p4577 +tp4578 +a(g892 +V(C' +p4579 +tp4580 +a(g200 +V\u005cfR +p4581 +tp4582 +a(g892 +V, +p4583 +tp4584 +a(g200 +V\u005cf +p4585 +tp4586 +a(g892 +V(CW +p4587 +tp4588 +a(g200 +V\u005c* +p4589 +tp4590 +a(g892 +V(C`sysseek +p4591 +tp4592 +a(g200 +V\u005c* +p4593 +tp4594 +a(g892 +V(C' +p4595 +tp4596 +a(g200 +V\u005cfR +p4597 +tp4598 +a(g892 +V, +p4599 +tp4600 +a(g200 +V\u005cf +p4601 +tp4602 +a(g892 +V(CW +p4603 +tp4604 +a(g200 +V\u005c* +p4605 +tp4606 +a(g892 +V(C`syswrite +p4607 +tp4608 +a(g200 +V\u005c* +p4609 +tp4610 +a(g892 +V(C' +p4611 +tp4612 +a(g200 +V\u005cfR +p4613 +tp4614 +a(g892 +V, +p4615 +tp4616 +a(g200 +V\u005cf +p4617 +tp4618 +a(g892 +V(CW +p4619 +tp4620 +a(g200 +V\u005c* +p4621 +tp4622 +a(g892 +V(C`tell +p4623 +tp4624 +a(g200 +V\u005c* +p4625 +tp4626 +a(g892 +V(C' +p4627 +tp4628 +a(g200 +V\u005cfR +p4629 +tp4630 +a(g892 +V, +p4631 +tp4632 +a(g200 +V\u005cf +p4633 +tp4634 +a(g892 +V(CW +p4635 +tp4636 +a(g200 +V\u005c* +p4637 +tp4638 +a(g892 +V(C`telldir +p4639 +tp4640 +a(g200 +V\u005c* +p4641 +tp4642 +a(g892 +V(C' +p4643 +tp4644 +a(g200 +V\u005cfR +p4645 +tp4646 +a(g892 +V, +p4647 +tp4648 +a(g200 +V\u005cf +p4649 +tp4650 +a(g892 +V(CW +p4651 +tp4652 +a(g200 +V\u005c* +p4653 +tp4654 +a(g892 +V(C`truncate +p4655 +tp4656 +a(g200 +V\u005c* +p4657 +tp4658 +a(g892 +V(C' +p4659 +tp4660 +a(g200 +V\u005cfR +p4661 +tp4662 +a(g892 +g1785 +tp4663 +a(g892 +V\u000a +p4664 +tp4665 +a(g200 +V\u005c& +p4666 +tp4667 +a(g200 +V\u005cf +p4668 +tp4669 +a(g892 +V(CW +p4670 +tp4671 +a(g200 +V\u005c* +p4672 +tp4673 +a(g892 +V(C`warn +p4674 +tp4675 +a(g200 +V\u005c* +p4676 +tp4677 +a(g892 +V(C' +p4678 +tp4679 +a(g200 +V\u005cfR +p4680 +tp4681 +a(g892 +V, +p4682 +tp4683 +a(g200 +V\u005cf +p4684 +tp4685 +a(g892 +V(CW +p4686 +tp4687 +a(g200 +V\u005c* +p4688 +tp4689 +a(g892 +V(C`write +p4690 +tp4691 +a(g200 +V\u005c* +p4692 +tp4693 +a(g892 +V(C' +p4694 +tp4695 +a(g200 +V\u005cfR +p4696 +tp4697 +a(g892 +V\u000a +p4698 +tp4699 +a(g892 +g956 +tp4700 +a(g810 +VIP +p4701 +tp4702 +a(g892 +g980 +tp4703 +a(g144 +V"Functions for fixed length data or records" +p4704 +tp4705 +a(g892 +g980 +tp4706 +a(g17 +g3035 +tp4707 +a(g892 +V\u000a +p4708 +tp4709 +a(g892 +g956 +tp4710 +a(g810 +VIX +p4711 +tp4712 +a(g892 +g980 +tp4713 +a(g76 +VItem +p4714 +tp4715 +a(g892 +g980 +tp4716 +a(g144 +V"Functions for fixed length data or records" +p4717 +tp4718 +a(g892 +V\u000a +p4719 +tp4720 +a(g200 +V\u005c& +p4721 +tp4722 +a(g200 +V\u005cf +p4723 +tp4724 +a(g892 +V(CW +p4725 +tp4726 +a(g200 +V\u005c* +p4727 +tp4728 +a(g892 +V(C`pack +p4729 +tp4730 +a(g200 +V\u005c* +p4731 +tp4732 +a(g892 +V(C' +p4733 +tp4734 +a(g200 +V\u005cfR +p4735 +tp4736 +a(g892 +V, +p4737 +tp4738 +a(g200 +V\u005cf +p4739 +tp4740 +a(g892 +V(CW +p4741 +tp4742 +a(g200 +V\u005c* +p4743 +tp4744 +a(g892 +V(C`read +p4745 +tp4746 +a(g200 +V\u005c* +p4747 +tp4748 +a(g892 +V(C' +p4749 +tp4750 +a(g200 +V\u005cfR +p4751 +tp4752 +a(g892 +V, +p4753 +tp4754 +a(g200 +V\u005cf +p4755 +tp4756 +a(g892 +V(CW +p4757 +tp4758 +a(g200 +V\u005c* +p4759 +tp4760 +a(g892 +V(C`syscall +p4761 +tp4762 +a(g200 +V\u005c* +p4763 +tp4764 +a(g892 +V(C' +p4765 +tp4766 +a(g200 +V\u005cfR +p4767 +tp4768 +a(g892 +V, +p4769 +tp4770 +a(g200 +V\u005cf +p4771 +tp4772 +a(g892 +V(CW +p4773 +tp4774 +a(g200 +V\u005c* +p4775 +tp4776 +a(g892 +V(C`sysread +p4777 +tp4778 +a(g200 +V\u005c* +p4779 +tp4780 +a(g892 +V(C' +p4781 +tp4782 +a(g200 +V\u005cfR +p4783 +tp4784 +a(g892 +V, +p4785 +tp4786 +a(g200 +V\u005cf +p4787 +tp4788 +a(g892 +V(CW +p4789 +tp4790 +a(g200 +V\u005c* +p4791 +tp4792 +a(g892 +V(C`syswrite +p4793 +tp4794 +a(g200 +V\u005c* +p4795 +tp4796 +a(g892 +V(C' +p4797 +tp4798 +a(g200 +V\u005cfR +p4799 +tp4800 +a(g892 +V, +p4801 +tp4802 +a(g200 +V\u005cf +p4803 +tp4804 +a(g892 +V(CW +p4805 +tp4806 +a(g200 +V\u005c* +p4807 +tp4808 +a(g892 +V(C`unpack +p4809 +tp4810 +a(g200 +V\u005c* +p4811 +tp4812 +a(g892 +V(C' +p4813 +tp4814 +a(g200 +V\u005cfR +p4815 +tp4816 +a(g892 +V, +p4817 +tp4818 +a(g200 +V\u005cf +p4819 +tp4820 +a(g892 +V(CW +p4821 +tp4822 +a(g200 +V\u005c* +p4823 +tp4824 +a(g892 +V(C`vec +p4825 +tp4826 +a(g200 +V\u005c* +p4827 +tp4828 +a(g892 +V(C' +p4829 +tp4830 +a(g200 +V\u005cfR +p4831 +tp4832 +a(g892 +V\u000a +p4833 +tp4834 +a(g892 +g956 +tp4835 +a(g810 +VIP +p4836 +tp4837 +a(g892 +g980 +tp4838 +a(g144 +V"Functions for filehandles, files, or directories" +p4839 +tp4840 +a(g892 +g980 +tp4841 +a(g17 +g3035 +tp4842 +a(g892 +V\u000a +p4843 +tp4844 +a(g892 +g956 +tp4845 +a(g810 +VIX +p4846 +tp4847 +a(g892 +g980 +tp4848 +a(g76 +VXref +p4849 +tp4850 +a(g892 +g980 +tp4851 +a(g144 +V"file filehandle directory pipe link symlink" +p4852 +tp4853 +a(g892 +V\u000a +p4854 +tp4855 +a(g892 +g956 +tp4856 +a(g810 +VIX +p4857 +tp4858 +a(g892 +g980 +tp4859 +a(g76 +VItem +p4860 +tp4861 +a(g892 +g980 +tp4862 +a(g144 +V"Functions for filehandles, files, or directories" +p4863 +tp4864 +a(g892 +V\u000a +p4865 +tp4866 +a(g200 +V\u005c& +p4867 +tp4868 +a(g200 +V\u005cf +p4869 +tp4870 +a(g892 +V(CW +p4871 +tp4872 +a(g200 +V\u005c* +p4873 +tp4874 +a(g892 +V(C` +p4875 +tp4876 +a(g200 +V\u005c- +p4877 +tp4878 +a(g200 +V\u005cf +p4879 +tp4880 +a(g892 +V(CIX +p4881 +tp4882 +a(g200 +V\u005cf +p4883 +tp4884 +a(g892 +V(CW +p4885 +tp4886 +a(g200 +V\u005c* +p4887 +tp4888 +a(g892 +V(C' +p4889 +tp4890 +a(g200 +V\u005cfR +p4891 +tp4892 +a(g892 +V, +p4893 +tp4894 +a(g200 +V\u005cf +p4895 +tp4896 +a(g892 +V(CW +p4897 +tp4898 +a(g200 +V\u005c* +p4899 +tp4900 +a(g892 +V(C`chdir +p4901 +tp4902 +a(g200 +V\u005c* +p4903 +tp4904 +a(g892 +V(C' +p4905 +tp4906 +a(g200 +V\u005cfR +p4907 +tp4908 +a(g892 +V, +p4909 +tp4910 +a(g200 +V\u005cf +p4911 +tp4912 +a(g892 +V(CW +p4913 +tp4914 +a(g200 +V\u005c* +p4915 +tp4916 +a(g892 +V(C`chmod +p4917 +tp4918 +a(g200 +V\u005c* +p4919 +tp4920 +a(g892 +V(C' +p4921 +tp4922 +a(g200 +V\u005cfR +p4923 +tp4924 +a(g892 +V, +p4925 +tp4926 +a(g200 +V\u005cf +p4927 +tp4928 +a(g892 +V(CW +p4929 +tp4930 +a(g200 +V\u005c* +p4931 +tp4932 +a(g892 +V(C`chown +p4933 +tp4934 +a(g200 +V\u005c* +p4935 +tp4936 +a(g892 +V(C' +p4937 +tp4938 +a(g200 +V\u005cfR +p4939 +tp4940 +a(g892 +V, +p4941 +tp4942 +a(g200 +V\u005cf +p4943 +tp4944 +a(g892 +V(CW +p4945 +tp4946 +a(g200 +V\u005c* +p4947 +tp4948 +a(g892 +V(C`chroot +p4949 +tp4950 +a(g200 +V\u005c* +p4951 +tp4952 +a(g892 +V(C' +p4953 +tp4954 +a(g200 +V\u005cfR +p4955 +tp4956 +a(g892 +V, +p4957 +tp4958 +a(g200 +V\u005cf +p4959 +tp4960 +a(g892 +V(CW +p4961 +tp4962 +a(g200 +V\u005c* +p4963 +tp4964 +a(g892 +V(C`fcntl +p4965 +tp4966 +a(g200 +V\u005c* +p4967 +tp4968 +a(g892 +V(C' +p4969 +tp4970 +a(g200 +V\u005cfR +p4971 +tp4972 +a(g892 +V, +p4973 +tp4974 +a(g200 +V\u005cf +p4975 +tp4976 +a(g892 +V(CW +p4977 +tp4978 +a(g200 +V\u005c* +p4979 +tp4980 +a(g892 +V(C`glob +p4981 +tp4982 +a(g200 +V\u005c* +p4983 +tp4984 +a(g892 +V(C' +p4985 +tp4986 +a(g200 +V\u005cfR +p4987 +tp4988 +a(g892 +g1785 +tp4989 +a(g892 +V\u000a +p4990 +tp4991 +a(g200 +V\u005c& +p4992 +tp4993 +a(g200 +V\u005cf +p4994 +tp4995 +a(g892 +V(CW +p4996 +tp4997 +a(g200 +V\u005c* +p4998 +tp4999 +a(g892 +V(C`ioctl +p5000 +tp5001 +a(g200 +V\u005c* +p5002 +tp5003 +a(g892 +V(C' +p5004 +tp5005 +a(g200 +V\u005cfR +p5006 +tp5007 +a(g892 +V, +p5008 +tp5009 +a(g200 +V\u005cf +p5010 +tp5011 +a(g892 +V(CW +p5012 +tp5013 +a(g200 +V\u005c* +p5014 +tp5015 +a(g892 +V(C`link +p5016 +tp5017 +a(g200 +V\u005c* +p5018 +tp5019 +a(g892 +V(C' +p5020 +tp5021 +a(g200 +V\u005cfR +p5022 +tp5023 +a(g892 +V, +p5024 +tp5025 +a(g200 +V\u005cf +p5026 +tp5027 +a(g892 +V(CW +p5028 +tp5029 +a(g200 +V\u005c* +p5030 +tp5031 +a(g892 +V(C`lstat +p5032 +tp5033 +a(g200 +V\u005c* +p5034 +tp5035 +a(g892 +V(C' +p5036 +tp5037 +a(g200 +V\u005cfR +p5038 +tp5039 +a(g892 +V, +p5040 +tp5041 +a(g200 +V\u005cf +p5042 +tp5043 +a(g892 +V(CW +p5044 +tp5045 +a(g200 +V\u005c* +p5046 +tp5047 +a(g892 +V(C`mkdir +p5048 +tp5049 +a(g200 +V\u005c* +p5050 +tp5051 +a(g892 +V(C' +p5052 +tp5053 +a(g200 +V\u005cfR +p5054 +tp5055 +a(g892 +V, +p5056 +tp5057 +a(g200 +V\u005cf +p5058 +tp5059 +a(g892 +V(CW +p5060 +tp5061 +a(g200 +V\u005c* +p5062 +tp5063 +a(g892 +V(C`open +p5064 +tp5065 +a(g200 +V\u005c* +p5066 +tp5067 +a(g892 +V(C' +p5068 +tp5069 +a(g200 +V\u005cfR +p5070 +tp5071 +a(g892 +V, +p5072 +tp5073 +a(g200 +V\u005cf +p5074 +tp5075 +a(g892 +V(CW +p5076 +tp5077 +a(g200 +V\u005c* +p5078 +tp5079 +a(g892 +V(C`opendir +p5080 +tp5081 +a(g200 +V\u005c* +p5082 +tp5083 +a(g892 +V(C' +p5084 +tp5085 +a(g200 +V\u005cfR +p5086 +tp5087 +a(g892 +g1785 +tp5088 +a(g892 +V\u000a +p5089 +tp5090 +a(g200 +V\u005c& +p5091 +tp5092 +a(g200 +V\u005cf +p5093 +tp5094 +a(g892 +V(CW +p5095 +tp5096 +a(g200 +V\u005c* +p5097 +tp5098 +a(g892 +V(C`readlink +p5099 +tp5100 +a(g200 +V\u005c* +p5101 +tp5102 +a(g892 +V(C' +p5103 +tp5104 +a(g200 +V\u005cfR +p5105 +tp5106 +a(g892 +V, +p5107 +tp5108 +a(g200 +V\u005cf +p5109 +tp5110 +a(g892 +V(CW +p5111 +tp5112 +a(g200 +V\u005c* +p5113 +tp5114 +a(g892 +V(C`rename +p5115 +tp5116 +a(g200 +V\u005c* +p5117 +tp5118 +a(g892 +V(C' +p5119 +tp5120 +a(g200 +V\u005cfR +p5121 +tp5122 +a(g892 +V, +p5123 +tp5124 +a(g200 +V\u005cf +p5125 +tp5126 +a(g892 +V(CW +p5127 +tp5128 +a(g200 +V\u005c* +p5129 +tp5130 +a(g892 +V(C`rmdir +p5131 +tp5132 +a(g200 +V\u005c* +p5133 +tp5134 +a(g892 +V(C' +p5135 +tp5136 +a(g200 +V\u005cfR +p5137 +tp5138 +a(g892 +V, +p5139 +tp5140 +a(g200 +V\u005cf +p5141 +tp5142 +a(g892 +V(CW +p5143 +tp5144 +a(g200 +V\u005c* +p5145 +tp5146 +a(g892 +V(C`stat +p5147 +tp5148 +a(g200 +V\u005c* +p5149 +tp5150 +a(g892 +V(C' +p5151 +tp5152 +a(g200 +V\u005cfR +p5153 +tp5154 +a(g892 +V, +p5155 +tp5156 +a(g200 +V\u005cf +p5157 +tp5158 +a(g892 +V(CW +p5159 +tp5160 +a(g200 +V\u005c* +p5161 +tp5162 +a(g892 +V(C`symlink +p5163 +tp5164 +a(g200 +V\u005c* +p5165 +tp5166 +a(g892 +V(C' +p5167 +tp5168 +a(g200 +V\u005cfR +p5169 +tp5170 +a(g892 +V, +p5171 +tp5172 +a(g200 +V\u005cf +p5173 +tp5174 +a(g892 +V(CW +p5175 +tp5176 +a(g200 +V\u005c* +p5177 +tp5178 +a(g892 +V(C`sysopen +p5179 +tp5180 +a(g200 +V\u005c* +p5181 +tp5182 +a(g892 +V(C' +p5183 +tp5184 +a(g200 +V\u005cfR +p5185 +tp5186 +a(g892 +g1785 +tp5187 +a(g892 +V\u000a +p5188 +tp5189 +a(g200 +V\u005c& +p5190 +tp5191 +a(g200 +V\u005cf +p5192 +tp5193 +a(g892 +V(CW +p5194 +tp5195 +a(g200 +V\u005c* +p5196 +tp5197 +a(g892 +V(C`umask +p5198 +tp5199 +a(g200 +V\u005c* +p5200 +tp5201 +a(g892 +V(C' +p5202 +tp5203 +a(g200 +V\u005cfR +p5204 +tp5205 +a(g892 +V, +p5206 +tp5207 +a(g200 +V\u005cf +p5208 +tp5209 +a(g892 +V(CW +p5210 +tp5211 +a(g200 +V\u005c* +p5212 +tp5213 +a(g892 +V(C`unlink +p5214 +tp5215 +a(g200 +V\u005c* +p5216 +tp5217 +a(g892 +V(C' +p5218 +tp5219 +a(g200 +V\u005cfR +p5220 +tp5221 +a(g892 +V, +p5222 +tp5223 +a(g200 +V\u005cf +p5224 +tp5225 +a(g892 +V(CW +p5226 +tp5227 +a(g200 +V\u005c* +p5228 +tp5229 +a(g892 +V(C`utime +p5230 +tp5231 +a(g200 +V\u005c* +p5232 +tp5233 +a(g892 +V(C' +p5234 +tp5235 +a(g200 +V\u005cfR +p5236 +tp5237 +a(g892 +V\u000a +p5238 +tp5239 +a(g892 +g956 +tp5240 +a(g810 +VIP +p5241 +tp5242 +a(g892 +g980 +tp5243 +a(g144 +V"Keywords related to the control flow of your Perl program" +p5244 +tp5245 +a(g892 +g980 +tp5246 +a(g17 +g3035 +tp5247 +a(g892 +V\u000a +p5248 +tp5249 +a(g892 +g956 +tp5250 +a(g810 +VIX +p5251 +tp5252 +a(g892 +g980 +tp5253 +a(g76 +VXref +p5254 +tp5255 +a(g892 +g980 +tp5256 +a(g144 +V"control flow" +p5257 +tp5258 +a(g892 +V\u000a +p5259 +tp5260 +a(g892 +g956 +tp5261 +a(g810 +VIX +p5262 +tp5263 +a(g892 +g980 +tp5264 +a(g76 +VItem +p5265 +tp5266 +a(g892 +g980 +tp5267 +a(g144 +V"Keywords related to the control flow of your Perl program" +p5268 +tp5269 +a(g892 +V\u000a +p5270 +tp5271 +a(g200 +V\u005c& +p5272 +tp5273 +a(g200 +V\u005cf +p5274 +tp5275 +a(g892 +V(CW +p5276 +tp5277 +a(g200 +V\u005c* +p5278 +tp5279 +a(g892 +V(C`caller +p5280 +tp5281 +a(g200 +V\u005c* +p5282 +tp5283 +a(g892 +V(C' +p5284 +tp5285 +a(g200 +V\u005cfR +p5286 +tp5287 +a(g892 +V, +p5288 +tp5289 +a(g200 +V\u005cf +p5290 +tp5291 +a(g892 +V(CW +p5292 +tp5293 +a(g200 +V\u005c* +p5294 +tp5295 +a(g892 +V(C`continue +p5296 +tp5297 +a(g200 +V\u005c* +p5298 +tp5299 +a(g892 +V(C' +p5300 +tp5301 +a(g200 +V\u005cfR +p5302 +tp5303 +a(g892 +V, +p5304 +tp5305 +a(g200 +V\u005cf +p5306 +tp5307 +a(g892 +V(CW +p5308 +tp5309 +a(g200 +V\u005c* +p5310 +tp5311 +a(g892 +V(C`die +p5312 +tp5313 +a(g200 +V\u005c* +p5314 +tp5315 +a(g892 +V(C' +p5316 +tp5317 +a(g200 +V\u005cfR +p5318 +tp5319 +a(g892 +V, +p5320 +tp5321 +a(g200 +V\u005cf +p5322 +tp5323 +a(g892 +V(CW +p5324 +tp5325 +a(g200 +V\u005c* +p5326 +tp5327 +a(g892 +V(C`do +p5328 +tp5329 +a(g200 +V\u005c* +p5330 +tp5331 +a(g892 +V(C' +p5332 +tp5333 +a(g200 +V\u005cfR +p5334 +tp5335 +a(g892 +V, +p5336 +tp5337 +a(g200 +V\u005cf +p5338 +tp5339 +a(g892 +V(CW +p5340 +tp5341 +a(g200 +V\u005c* +p5342 +tp5343 +a(g892 +V(C`dump +p5344 +tp5345 +a(g200 +V\u005c* +p5346 +tp5347 +a(g892 +V(C' +p5348 +tp5349 +a(g200 +V\u005cfR +p5350 +tp5351 +a(g892 +V, +p5352 +tp5353 +a(g200 +V\u005cf +p5354 +tp5355 +a(g892 +V(CW +p5356 +tp5357 +a(g200 +V\u005c* +p5358 +tp5359 +a(g892 +V(C`eval +p5360 +tp5361 +a(g200 +V\u005c* +p5362 +tp5363 +a(g892 +V(C' +p5364 +tp5365 +a(g200 +V\u005cfR +p5366 +tp5367 +a(g892 +V, +p5368 +tp5369 +a(g200 +V\u005cf +p5370 +tp5371 +a(g892 +V(CW +p5372 +tp5373 +a(g200 +V\u005c* +p5374 +tp5375 +a(g892 +V(C`exit +p5376 +tp5377 +a(g200 +V\u005c* +p5378 +tp5379 +a(g892 +V(C' +p5380 +tp5381 +a(g200 +V\u005cfR +p5382 +tp5383 +a(g892 +g1785 +tp5384 +a(g892 +V\u000a +p5385 +tp5386 +a(g200 +V\u005c& +p5387 +tp5388 +a(g200 +V\u005cf +p5389 +tp5390 +a(g892 +V(CW +p5391 +tp5392 +a(g200 +V\u005c* +p5393 +tp5394 +a(g892 +V(C`goto +p5395 +tp5396 +a(g200 +V\u005c* +p5397 +tp5398 +a(g892 +V(C' +p5399 +tp5400 +a(g200 +V\u005cfR +p5401 +tp5402 +a(g892 +V, +p5403 +tp5404 +a(g200 +V\u005cf +p5405 +tp5406 +a(g892 +V(CW +p5407 +tp5408 +a(g200 +V\u005c* +p5409 +tp5410 +a(g892 +V(C`last +p5411 +tp5412 +a(g200 +V\u005c* +p5413 +tp5414 +a(g892 +V(C' +p5415 +tp5416 +a(g200 +V\u005cfR +p5417 +tp5418 +a(g892 +V, +p5419 +tp5420 +a(g200 +V\u005cf +p5421 +tp5422 +a(g892 +V(CW +p5423 +tp5424 +a(g200 +V\u005c* +p5425 +tp5426 +a(g892 +V(C`next +p5427 +tp5428 +a(g200 +V\u005c* +p5429 +tp5430 +a(g892 +V(C' +p5431 +tp5432 +a(g200 +V\u005cfR +p5433 +tp5434 +a(g892 +V, +p5435 +tp5436 +a(g200 +V\u005cf +p5437 +tp5438 +a(g892 +V(CW +p5439 +tp5440 +a(g200 +V\u005c* +p5441 +tp5442 +a(g892 +V(C`redo +p5443 +tp5444 +a(g200 +V\u005c* +p5445 +tp5446 +a(g892 +V(C' +p5447 +tp5448 +a(g200 +V\u005cfR +p5449 +tp5450 +a(g892 +V, +p5451 +tp5452 +a(g200 +V\u005cf +p5453 +tp5454 +a(g892 +V(CW +p5455 +tp5456 +a(g200 +V\u005c* +p5457 +tp5458 +a(g892 +V(C`return +p5459 +tp5460 +a(g200 +V\u005c* +p5461 +tp5462 +a(g892 +V(C' +p5463 +tp5464 +a(g200 +V\u005cfR +p5465 +tp5466 +a(g892 +V, +p5467 +tp5468 +a(g200 +V\u005cf +p5469 +tp5470 +a(g892 +V(CW +p5471 +tp5472 +a(g200 +V\u005c* +p5473 +tp5474 +a(g892 +V(C`sub +p5475 +tp5476 +a(g200 +V\u005c* +p5477 +tp5478 +a(g892 +V(C' +p5479 +tp5480 +a(g200 +V\u005cfR +p5481 +tp5482 +a(g892 +V, +p5483 +tp5484 +a(g200 +V\u005cf +p5485 +tp5486 +a(g892 +V(CW +p5487 +tp5488 +a(g200 +V\u005c* +p5489 +tp5490 +a(g892 +V(C`wantarray +p5491 +tp5492 +a(g200 +V\u005c* +p5493 +tp5494 +a(g892 +V(C' +p5495 +tp5496 +a(g200 +V\u005cfR +p5497 +tp5498 +a(g892 +V\u000a +p5499 +tp5500 +a(g892 +g956 +tp5501 +a(g810 +VIP +p5502 +tp5503 +a(g892 +g980 +tp5504 +a(g144 +V"Keywords related to scoping" +p5505 +tp5506 +a(g892 +g980 +tp5507 +a(g17 +g3035 +tp5508 +a(g892 +V\u000a +p5509 +tp5510 +a(g892 +g956 +tp5511 +a(g810 +VIX +p5512 +tp5513 +a(g892 +g980 +tp5514 +a(g76 +VItem +p5515 +tp5516 +a(g892 +g980 +tp5517 +a(g144 +V"Keywords related to scoping" +p5518 +tp5519 +a(g892 +V\u000a +p5520 +tp5521 +a(g200 +V\u005c& +p5522 +tp5523 +a(g200 +V\u005cf +p5524 +tp5525 +a(g892 +V(CW +p5526 +tp5527 +a(g200 +V\u005c* +p5528 +tp5529 +a(g892 +V(C`caller +p5530 +tp5531 +a(g200 +V\u005c* +p5532 +tp5533 +a(g892 +V(C' +p5534 +tp5535 +a(g200 +V\u005cfR +p5536 +tp5537 +a(g892 +V, +p5538 +tp5539 +a(g200 +V\u005cf +p5540 +tp5541 +a(g892 +V(CW +p5542 +tp5543 +a(g200 +V\u005c* +p5544 +tp5545 +a(g892 +V(C`import +p5546 +tp5547 +a(g200 +V\u005c* +p5548 +tp5549 +a(g892 +V(C' +p5550 +tp5551 +a(g200 +V\u005cfR +p5552 +tp5553 +a(g892 +V, +p5554 +tp5555 +a(g200 +V\u005cf +p5556 +tp5557 +a(g892 +V(CW +p5558 +tp5559 +a(g200 +V\u005c* +p5560 +tp5561 +a(g892 +V(C`local +p5562 +tp5563 +a(g200 +V\u005c* +p5564 +tp5565 +a(g892 +V(C' +p5566 +tp5567 +a(g200 +V\u005cfR +p5568 +tp5569 +a(g892 +V, +p5570 +tp5571 +a(g200 +V\u005cf +p5572 +tp5573 +a(g892 +V(CW +p5574 +tp5575 +a(g200 +V\u005c* +p5576 +tp5577 +a(g892 +V(C`my +p5578 +tp5579 +a(g200 +V\u005c* +p5580 +tp5581 +a(g892 +V(C' +p5582 +tp5583 +a(g200 +V\u005cfR +p5584 +tp5585 +a(g892 +V, +p5586 +tp5587 +a(g200 +V\u005cf +p5588 +tp5589 +a(g892 +V(CW +p5590 +tp5591 +a(g200 +V\u005c* +p5592 +tp5593 +a(g892 +V(C`our +p5594 +tp5595 +a(g200 +V\u005c* +p5596 +tp5597 +a(g892 +V(C' +p5598 +tp5599 +a(g200 +V\u005cfR +p5600 +tp5601 +a(g892 +V, +p5602 +tp5603 +a(g200 +V\u005cf +p5604 +tp5605 +a(g892 +V(CW +p5606 +tp5607 +a(g200 +V\u005c* +p5608 +tp5609 +a(g892 +V(C`package +p5610 +tp5611 +a(g200 +V\u005c* +p5612 +tp5613 +a(g892 +V(C' +p5614 +tp5615 +a(g200 +V\u005cfR +p5616 +tp5617 +a(g892 +V, +p5618 +tp5619 +a(g200 +V\u005cf +p5620 +tp5621 +a(g892 +V(CW +p5622 +tp5623 +a(g200 +V\u005c* +p5624 +tp5625 +a(g892 +V(C`use +p5626 +tp5627 +a(g200 +V\u005c* +p5628 +tp5629 +a(g892 +V(C' +p5630 +tp5631 +a(g200 +V\u005cfR +p5632 +tp5633 +a(g892 +V\u000a +p5634 +tp5635 +a(g892 +g956 +tp5636 +a(g810 +VIP +p5637 +tp5638 +a(g892 +g980 +tp5639 +a(g144 +V"Miscellaneous functions" +p5640 +tp5641 +a(g892 +g980 +tp5642 +a(g17 +g3035 +tp5643 +a(g892 +V\u000a +p5644 +tp5645 +a(g892 +g956 +tp5646 +a(g810 +VIX +p5647 +tp5648 +a(g892 +g980 +tp5649 +a(g76 +VItem +p5650 +tp5651 +a(g892 +g980 +tp5652 +a(g144 +V"Miscellaneous functions" +p5653 +tp5654 +a(g892 +V\u000a +p5655 +tp5656 +a(g200 +V\u005c& +p5657 +tp5658 +a(g200 +V\u005cf +p5659 +tp5660 +a(g892 +V(CW +p5661 +tp5662 +a(g200 +V\u005c* +p5663 +tp5664 +a(g892 +V(C`defined +p5665 +tp5666 +a(g200 +V\u005c* +p5667 +tp5668 +a(g892 +V(C' +p5669 +tp5670 +a(g200 +V\u005cfR +p5671 +tp5672 +a(g892 +V, +p5673 +tp5674 +a(g200 +V\u005cf +p5675 +tp5676 +a(g892 +V(CW +p5677 +tp5678 +a(g200 +V\u005c* +p5679 +tp5680 +a(g892 +V(C`dump +p5681 +tp5682 +a(g200 +V\u005c* +p5683 +tp5684 +a(g892 +V(C' +p5685 +tp5686 +a(g200 +V\u005cfR +p5687 +tp5688 +a(g892 +V, +p5689 +tp5690 +a(g200 +V\u005cf +p5691 +tp5692 +a(g892 +V(CW +p5693 +tp5694 +a(g200 +V\u005c* +p5695 +tp5696 +a(g892 +V(C`eval +p5697 +tp5698 +a(g200 +V\u005c* +p5699 +tp5700 +a(g892 +V(C' +p5701 +tp5702 +a(g200 +V\u005cfR +p5703 +tp5704 +a(g892 +V, +p5705 +tp5706 +a(g200 +V\u005cf +p5707 +tp5708 +a(g892 +V(CW +p5709 +tp5710 +a(g200 +V\u005c* +p5711 +tp5712 +a(g892 +V(C`formline +p5713 +tp5714 +a(g200 +V\u005c* +p5715 +tp5716 +a(g892 +V(C' +p5717 +tp5718 +a(g200 +V\u005cfR +p5719 +tp5720 +a(g892 +V, +p5721 +tp5722 +a(g200 +V\u005cf +p5723 +tp5724 +a(g892 +V(CW +p5725 +tp5726 +a(g200 +V\u005c* +p5727 +tp5728 +a(g892 +V(C`local +p5729 +tp5730 +a(g200 +V\u005c* +p5731 +tp5732 +a(g892 +V(C' +p5733 +tp5734 +a(g200 +V\u005cfR +p5735 +tp5736 +a(g892 +V, +p5737 +tp5738 +a(g200 +V\u005cf +p5739 +tp5740 +a(g892 +V(CW +p5741 +tp5742 +a(g200 +V\u005c* +p5743 +tp5744 +a(g892 +V(C`my +p5745 +tp5746 +a(g200 +V\u005c* +p5747 +tp5748 +a(g892 +V(C' +p5749 +tp5750 +a(g200 +V\u005cfR +p5751 +tp5752 +a(g892 +V, +p5753 +tp5754 +a(g200 +V\u005cf +p5755 +tp5756 +a(g892 +V(CW +p5757 +tp5758 +a(g200 +V\u005c* +p5759 +tp5760 +a(g892 +V(C`our +p5761 +tp5762 +a(g200 +V\u005c* +p5763 +tp5764 +a(g892 +V(C' +p5765 +tp5766 +a(g200 +V\u005cfR +p5767 +tp5768 +a(g892 +V, +p5769 +tp5770 +a(g200 +V\u005cf +p5771 +tp5772 +a(g892 +V(CW +p5773 +tp5774 +a(g200 +V\u005c* +p5775 +tp5776 +a(g892 +V(C`reset +p5777 +tp5778 +a(g200 +V\u005c* +p5779 +tp5780 +a(g892 +V(C' +p5781 +tp5782 +a(g200 +V\u005cfR +p5783 +tp5784 +a(g892 +g1785 +tp5785 +a(g892 +V\u000a +p5786 +tp5787 +a(g200 +V\u005c& +p5788 +tp5789 +a(g200 +V\u005cf +p5790 +tp5791 +a(g892 +V(CW +p5792 +tp5793 +a(g200 +V\u005c* +p5794 +tp5795 +a(g892 +V(C`scalar +p5796 +tp5797 +a(g200 +V\u005c* +p5798 +tp5799 +a(g892 +V(C' +p5800 +tp5801 +a(g200 +V\u005cfR +p5802 +tp5803 +a(g892 +V, +p5804 +tp5805 +a(g200 +V\u005cf +p5806 +tp5807 +a(g892 +V(CW +p5808 +tp5809 +a(g200 +V\u005c* +p5810 +tp5811 +a(g892 +V(C`undef +p5812 +tp5813 +a(g200 +V\u005c* +p5814 +tp5815 +a(g892 +V(C' +p5816 +tp5817 +a(g200 +V\u005cfR +p5818 +tp5819 +a(g892 +V, +p5820 +tp5821 +a(g200 +V\u005cf +p5822 +tp5823 +a(g892 +V(CW +p5824 +tp5825 +a(g200 +V\u005c* +p5826 +tp5827 +a(g892 +V(C`wantarray +p5828 +tp5829 +a(g200 +V\u005c* +p5830 +tp5831 +a(g892 +V(C' +p5832 +tp5833 +a(g200 +V\u005cfR +p5834 +tp5835 +a(g892 +V\u000a +p5836 +tp5837 +a(g892 +g956 +tp5838 +a(g810 +VIP +p5839 +tp5840 +a(g892 +g980 +tp5841 +a(g144 +V"Functions for processes and process groups" +p5842 +tp5843 +a(g892 +g980 +tp5844 +a(g17 +g3035 +tp5845 +a(g892 +V\u000a +p5846 +tp5847 +a(g892 +g956 +tp5848 +a(g810 +VIX +p5849 +tp5850 +a(g892 +g980 +tp5851 +a(g76 +VXref +p5852 +tp5853 +a(g892 +g980 +tp5854 +a(g144 +V"process pid process id" +p5855 +tp5856 +a(g892 +V\u000a +p5857 +tp5858 +a(g892 +g956 +tp5859 +a(g810 +VIX +p5860 +tp5861 +a(g892 +g980 +tp5862 +a(g76 +VItem +p5863 +tp5864 +a(g892 +g980 +tp5865 +a(g144 +V"Functions for processes and process groups" +p5866 +tp5867 +a(g892 +V\u000a +p5868 +tp5869 +a(g200 +V\u005c& +p5870 +tp5871 +a(g200 +V\u005cf +p5872 +tp5873 +a(g892 +V(CW +p5874 +tp5875 +a(g200 +V\u005c* +p5876 +tp5877 +a(g892 +V(C`alarm +p5878 +tp5879 +a(g200 +V\u005c* +p5880 +tp5881 +a(g892 +V(C' +p5882 +tp5883 +a(g200 +V\u005cfR +p5884 +tp5885 +a(g892 +V, +p5886 +tp5887 +a(g200 +V\u005cf +p5888 +tp5889 +a(g892 +V(CW +p5890 +tp5891 +a(g200 +V\u005c* +p5892 +tp5893 +a(g892 +V(C`exec +p5894 +tp5895 +a(g200 +V\u005c* +p5896 +tp5897 +a(g892 +V(C' +p5898 +tp5899 +a(g200 +V\u005cfR +p5900 +tp5901 +a(g892 +V, +p5902 +tp5903 +a(g200 +V\u005cf +p5904 +tp5905 +a(g892 +V(CW +p5906 +tp5907 +a(g200 +V\u005c* +p5908 +tp5909 +a(g892 +V(C`fork +p5910 +tp5911 +a(g200 +V\u005c* +p5912 +tp5913 +a(g892 +V(C' +p5914 +tp5915 +a(g200 +V\u005cfR +p5916 +tp5917 +a(g892 +V, +p5918 +tp5919 +a(g200 +V\u005cf +p5920 +tp5921 +a(g892 +V(CW +p5922 +tp5923 +a(g200 +V\u005c* +p5924 +tp5925 +a(g892 +V(C`getpgrp +p5926 +tp5927 +a(g200 +V\u005c* +p5928 +tp5929 +a(g892 +V(C' +p5930 +tp5931 +a(g200 +V\u005cfR +p5932 +tp5933 +a(g892 +V, +p5934 +tp5935 +a(g200 +V\u005cf +p5936 +tp5937 +a(g892 +V(CW +p5938 +tp5939 +a(g200 +V\u005c* +p5940 +tp5941 +a(g892 +V(C`getppid +p5942 +tp5943 +a(g200 +V\u005c* +p5944 +tp5945 +a(g892 +V(C' +p5946 +tp5947 +a(g200 +V\u005cfR +p5948 +tp5949 +a(g892 +V, +p5950 +tp5951 +a(g200 +V\u005cf +p5952 +tp5953 +a(g892 +V(CW +p5954 +tp5955 +a(g200 +V\u005c* +p5956 +tp5957 +a(g892 +V(C`getpriority +p5958 +tp5959 +a(g200 +V\u005c* +p5960 +tp5961 +a(g892 +V(C' +p5962 +tp5963 +a(g200 +V\u005cfR +p5964 +tp5965 +a(g892 +V, +p5966 +tp5967 +a(g200 +V\u005cf +p5968 +tp5969 +a(g892 +V(CW +p5970 +tp5971 +a(g200 +V\u005c* +p5972 +tp5973 +a(g892 +V(C`kill +p5974 +tp5975 +a(g200 +V\u005c* +p5976 +tp5977 +a(g892 +V(C' +p5978 +tp5979 +a(g200 +V\u005cfR +p5980 +tp5981 +a(g892 +g1785 +tp5982 +a(g892 +V\u000a +p5983 +tp5984 +a(g200 +V\u005c& +p5985 +tp5986 +a(g200 +V\u005cf +p5987 +tp5988 +a(g892 +V(CW +p5989 +tp5990 +a(g200 +V\u005c* +p5991 +tp5992 +a(g892 +V(C`pipe +p5993 +tp5994 +a(g200 +V\u005c* +p5995 +tp5996 +a(g892 +V(C' +p5997 +tp5998 +a(g200 +V\u005cfR +p5999 +tp6000 +a(g892 +V, +p6001 +tp6002 +a(g200 +V\u005cf +p6003 +tp6004 +a(g892 +V(CW +p6005 +tp6006 +a(g200 +V\u005c* +p6007 +tp6008 +a(g892 +V(C`qx/STRING/ +p6009 +tp6010 +a(g200 +V\u005c* +p6011 +tp6012 +a(g892 +V(C' +p6013 +tp6014 +a(g200 +V\u005cfR +p6015 +tp6016 +a(g892 +V, +p6017 +tp6018 +a(g200 +V\u005cf +p6019 +tp6020 +a(g892 +V(CW +p6021 +tp6022 +a(g200 +V\u005c* +p6023 +tp6024 +a(g892 +V(C`setpgrp +p6025 +tp6026 +a(g200 +V\u005c* +p6027 +tp6028 +a(g892 +V(C' +p6029 +tp6030 +a(g200 +V\u005cfR +p6031 +tp6032 +a(g892 +V, +p6033 +tp6034 +a(g200 +V\u005cf +p6035 +tp6036 +a(g892 +V(CW +p6037 +tp6038 +a(g200 +V\u005c* +p6039 +tp6040 +a(g892 +V(C`setpriority +p6041 +tp6042 +a(g200 +V\u005c* +p6043 +tp6044 +a(g892 +V(C' +p6045 +tp6046 +a(g200 +V\u005cfR +p6047 +tp6048 +a(g892 +V, +p6049 +tp6050 +a(g200 +V\u005cf +p6051 +tp6052 +a(g892 +V(CW +p6053 +tp6054 +a(g200 +V\u005c* +p6055 +tp6056 +a(g892 +V(C`sleep +p6057 +tp6058 +a(g200 +V\u005c* +p6059 +tp6060 +a(g892 +V(C' +p6061 +tp6062 +a(g200 +V\u005cfR +p6063 +tp6064 +a(g892 +V, +p6065 +tp6066 +a(g200 +V\u005cf +p6067 +tp6068 +a(g892 +V(CW +p6069 +tp6070 +a(g200 +V\u005c* +p6071 +tp6072 +a(g892 +V(C`system +p6073 +tp6074 +a(g200 +V\u005c* +p6075 +tp6076 +a(g892 +V(C' +p6077 +tp6078 +a(g200 +V\u005cfR +p6079 +tp6080 +a(g892 +g1785 +tp6081 +a(g892 +V\u000a +p6082 +tp6083 +a(g200 +V\u005c& +p6084 +tp6085 +a(g200 +V\u005cf +p6086 +tp6087 +a(g892 +V(CW +p6088 +tp6089 +a(g200 +V\u005c* +p6090 +tp6091 +a(g892 +V(C`times +p6092 +tp6093 +a(g200 +V\u005c* +p6094 +tp6095 +a(g892 +V(C' +p6096 +tp6097 +a(g200 +V\u005cfR +p6098 +tp6099 +a(g892 +V, +p6100 +tp6101 +a(g200 +V\u005cf +p6102 +tp6103 +a(g892 +V(CW +p6104 +tp6105 +a(g200 +V\u005c* +p6106 +tp6107 +a(g892 +V(C`wait +p6108 +tp6109 +a(g200 +V\u005c* +p6110 +tp6111 +a(g892 +V(C' +p6112 +tp6113 +a(g200 +V\u005cfR +p6114 +tp6115 +a(g892 +V, +p6116 +tp6117 +a(g200 +V\u005cf +p6118 +tp6119 +a(g892 +V(CW +p6120 +tp6121 +a(g200 +V\u005c* +p6122 +tp6123 +a(g892 +V(C`waitpid +p6124 +tp6125 +a(g200 +V\u005c* +p6126 +tp6127 +a(g892 +V(C' +p6128 +tp6129 +a(g200 +V\u005cfR +p6130 +tp6131 +a(g892 +V\u000a +p6132 +tp6133 +a(g892 +g956 +tp6134 +a(g810 +VIP +p6135 +tp6136 +a(g892 +g980 +tp6137 +a(g144 +V"Keywords related to perl modules" +p6138 +tp6139 +a(g892 +g980 +tp6140 +a(g17 +g3035 +tp6141 +a(g892 +V\u000a +p6142 +tp6143 +a(g892 +g956 +tp6144 +a(g810 +VIX +p6145 +tp6146 +a(g892 +g980 +tp6147 +a(g76 +VXref +p6148 +tp6149 +a(g892 +g980 +tp6150 +a(g144 +V"module" +p6151 +tp6152 +a(g892 +V\u000a +p6153 +tp6154 +a(g892 +g956 +tp6155 +a(g810 +VIX +p6156 +tp6157 +a(g892 +g980 +tp6158 +a(g76 +VItem +p6159 +tp6160 +a(g892 +g980 +tp6161 +a(g144 +V"Keywords related to perl modules" +p6162 +tp6163 +a(g892 +V\u000a +p6164 +tp6165 +a(g200 +V\u005c& +p6166 +tp6167 +a(g200 +V\u005cf +p6168 +tp6169 +a(g892 +V(CW +p6170 +tp6171 +a(g200 +V\u005c* +p6172 +tp6173 +a(g892 +V(C`do +p6174 +tp6175 +a(g200 +V\u005c* +p6176 +tp6177 +a(g892 +V(C' +p6178 +tp6179 +a(g200 +V\u005cfR +p6180 +tp6181 +a(g892 +V, +p6182 +tp6183 +a(g200 +V\u005cf +p6184 +tp6185 +a(g892 +V(CW +p6186 +tp6187 +a(g200 +V\u005c* +p6188 +tp6189 +a(g892 +V(C`import +p6190 +tp6191 +a(g200 +V\u005c* +p6192 +tp6193 +a(g892 +V(C' +p6194 +tp6195 +a(g200 +V\u005cfR +p6196 +tp6197 +a(g892 +V, +p6198 +tp6199 +a(g200 +V\u005cf +p6200 +tp6201 +a(g892 +V(CW +p6202 +tp6203 +a(g200 +V\u005c* +p6204 +tp6205 +a(g892 +V(C`no +p6206 +tp6207 +a(g200 +V\u005c* +p6208 +tp6209 +a(g892 +V(C' +p6210 +tp6211 +a(g200 +V\u005cfR +p6212 +tp6213 +a(g892 +V, +p6214 +tp6215 +a(g200 +V\u005cf +p6216 +tp6217 +a(g892 +V(CW +p6218 +tp6219 +a(g200 +V\u005c* +p6220 +tp6221 +a(g892 +V(C`package +p6222 +tp6223 +a(g200 +V\u005c* +p6224 +tp6225 +a(g892 +V(C' +p6226 +tp6227 +a(g200 +V\u005cfR +p6228 +tp6229 +a(g892 +V, +p6230 +tp6231 +a(g200 +V\u005cf +p6232 +tp6233 +a(g892 +V(CW +p6234 +tp6235 +a(g200 +V\u005c* +p6236 +tp6237 +a(g892 +V(C`require +p6238 +tp6239 +a(g200 +V\u005c* +p6240 +tp6241 +a(g892 +V(C' +p6242 +tp6243 +a(g200 +V\u005cfR +p6244 +tp6245 +a(g892 +V, +p6246 +tp6247 +a(g200 +V\u005cf +p6248 +tp6249 +a(g892 +V(CW +p6250 +tp6251 +a(g200 +V\u005c* +p6252 +tp6253 +a(g892 +V(C`use +p6254 +tp6255 +a(g200 +V\u005c* +p6256 +tp6257 +a(g892 +V(C' +p6258 +tp6259 +a(g200 +V\u005cfR +p6260 +tp6261 +a(g892 +V\u000a +p6262 +tp6263 +a(g892 +g956 +tp6264 +a(g810 +VIP +p6265 +tp6266 +a(g892 +g980 +tp6267 +a(g144 +V"Keywords related to classes and object-orientedness" +p6268 +tp6269 +a(g892 +g980 +tp6270 +a(g17 +g3035 +tp6271 +a(g892 +V\u000a +p6272 +tp6273 +a(g892 +g956 +tp6274 +a(g810 +VIX +p6275 +tp6276 +a(g892 +g980 +tp6277 +a(g76 +VXref +p6278 +tp6279 +a(g892 +g980 +tp6280 +a(g144 +V"object class package" +p6281 +tp6282 +a(g892 +V\u000a +p6283 +tp6284 +a(g892 +g956 +tp6285 +a(g810 +VIX +p6286 +tp6287 +a(g892 +g980 +tp6288 +a(g76 +VItem +p6289 +tp6290 +a(g892 +g980 +tp6291 +a(g144 +V"Keywords related to classes and object-orientedness" +p6292 +tp6293 +a(g892 +V\u000a +p6294 +tp6295 +a(g200 +V\u005c& +p6296 +tp6297 +a(g200 +V\u005cf +p6298 +tp6299 +a(g892 +V(CW +p6300 +tp6301 +a(g200 +V\u005c* +p6302 +tp6303 +a(g892 +V(C`bless +p6304 +tp6305 +a(g200 +V\u005c* +p6306 +tp6307 +a(g892 +V(C' +p6308 +tp6309 +a(g200 +V\u005cfR +p6310 +tp6311 +a(g892 +V, +p6312 +tp6313 +a(g200 +V\u005cf +p6314 +tp6315 +a(g892 +V(CW +p6316 +tp6317 +a(g200 +V\u005c* +p6318 +tp6319 +a(g892 +V(C`dbmclose +p6320 +tp6321 +a(g200 +V\u005c* +p6322 +tp6323 +a(g892 +V(C' +p6324 +tp6325 +a(g200 +V\u005cfR +p6326 +tp6327 +a(g892 +V, +p6328 +tp6329 +a(g200 +V\u005cf +p6330 +tp6331 +a(g892 +V(CW +p6332 +tp6333 +a(g200 +V\u005c* +p6334 +tp6335 +a(g892 +V(C`dbmopen +p6336 +tp6337 +a(g200 +V\u005c* +p6338 +tp6339 +a(g892 +V(C' +p6340 +tp6341 +a(g200 +V\u005cfR +p6342 +tp6343 +a(g892 +V, +p6344 +tp6345 +a(g200 +V\u005cf +p6346 +tp6347 +a(g892 +V(CW +p6348 +tp6349 +a(g200 +V\u005c* +p6350 +tp6351 +a(g892 +V(C`package +p6352 +tp6353 +a(g200 +V\u005c* +p6354 +tp6355 +a(g892 +V(C' +p6356 +tp6357 +a(g200 +V\u005cfR +p6358 +tp6359 +a(g892 +V, +p6360 +tp6361 +a(g200 +V\u005cf +p6362 +tp6363 +a(g892 +V(CW +p6364 +tp6365 +a(g200 +V\u005c* +p6366 +tp6367 +a(g892 +V(C`ref +p6368 +tp6369 +a(g200 +V\u005c* +p6370 +tp6371 +a(g892 +V(C' +p6372 +tp6373 +a(g200 +V\u005cfR +p6374 +tp6375 +a(g892 +V, +p6376 +tp6377 +a(g200 +V\u005cf +p6378 +tp6379 +a(g892 +V(CW +p6380 +tp6381 +a(g200 +V\u005c* +p6382 +tp6383 +a(g892 +V(C`tie +p6384 +tp6385 +a(g200 +V\u005c* +p6386 +tp6387 +a(g892 +V(C' +p6388 +tp6389 +a(g200 +V\u005cfR +p6390 +tp6391 +a(g892 +V, +p6392 +tp6393 +a(g200 +V\u005cf +p6394 +tp6395 +a(g892 +V(CW +p6396 +tp6397 +a(g200 +V\u005c* +p6398 +tp6399 +a(g892 +V(C`tied +p6400 +tp6401 +a(g200 +V\u005c* +p6402 +tp6403 +a(g892 +V(C' +p6404 +tp6405 +a(g200 +V\u005cfR +p6406 +tp6407 +a(g892 +g1785 +tp6408 +a(g892 +V\u000a +p6409 +tp6410 +a(g200 +V\u005c& +p6411 +tp6412 +a(g200 +V\u005cf +p6413 +tp6414 +a(g892 +V(CW +p6415 +tp6416 +a(g200 +V\u005c* +p6417 +tp6418 +a(g892 +V(C`untie +p6419 +tp6420 +a(g200 +V\u005c* +p6421 +tp6422 +a(g892 +V(C' +p6423 +tp6424 +a(g200 +V\u005cfR +p6425 +tp6426 +a(g892 +V, +p6427 +tp6428 +a(g200 +V\u005cf +p6429 +tp6430 +a(g892 +V(CW +p6431 +tp6432 +a(g200 +V\u005c* +p6433 +tp6434 +a(g892 +V(C`use +p6435 +tp6436 +a(g200 +V\u005c* +p6437 +tp6438 +a(g892 +V(C' +p6439 +tp6440 +a(g200 +V\u005cfR +p6441 +tp6442 +a(g892 +V\u000a +p6443 +tp6444 +a(g892 +g956 +tp6445 +a(g810 +VIP +p6446 +tp6447 +a(g892 +g980 +tp6448 +a(g144 +V"Low-level socket functions" +p6449 +tp6450 +a(g892 +g980 +tp6451 +a(g17 +g3035 +tp6452 +a(g892 +V\u000a +p6453 +tp6454 +a(g892 +g956 +tp6455 +a(g810 +VIX +p6456 +tp6457 +a(g892 +g980 +tp6458 +a(g76 +VXref +p6459 +tp6460 +a(g892 +g980 +tp6461 +a(g144 +V"socket sock" +p6462 +tp6463 +a(g892 +V\u000a +p6464 +tp6465 +a(g892 +g956 +tp6466 +a(g810 +VIX +p6467 +tp6468 +a(g892 +g980 +tp6469 +a(g76 +VItem +p6470 +tp6471 +a(g892 +g980 +tp6472 +a(g144 +V"Low-level socket functions" +p6473 +tp6474 +a(g892 +V\u000a +p6475 +tp6476 +a(g200 +V\u005c& +p6477 +tp6478 +a(g200 +V\u005cf +p6479 +tp6480 +a(g892 +V(CW +p6481 +tp6482 +a(g200 +V\u005c* +p6483 +tp6484 +a(g892 +V(C`accept +p6485 +tp6486 +a(g200 +V\u005c* +p6487 +tp6488 +a(g892 +V(C' +p6489 +tp6490 +a(g200 +V\u005cfR +p6491 +tp6492 +a(g892 +V, +p6493 +tp6494 +a(g200 +V\u005cf +p6495 +tp6496 +a(g892 +V(CW +p6497 +tp6498 +a(g200 +V\u005c* +p6499 +tp6500 +a(g892 +V(C`bind +p6501 +tp6502 +a(g200 +V\u005c* +p6503 +tp6504 +a(g892 +V(C' +p6505 +tp6506 +a(g200 +V\u005cfR +p6507 +tp6508 +a(g892 +V, +p6509 +tp6510 +a(g200 +V\u005cf +p6511 +tp6512 +a(g892 +V(CW +p6513 +tp6514 +a(g200 +V\u005c* +p6515 +tp6516 +a(g892 +V(C`connect +p6517 +tp6518 +a(g200 +V\u005c* +p6519 +tp6520 +a(g892 +V(C' +p6521 +tp6522 +a(g200 +V\u005cfR +p6523 +tp6524 +a(g892 +V, +p6525 +tp6526 +a(g200 +V\u005cf +p6527 +tp6528 +a(g892 +V(CW +p6529 +tp6530 +a(g200 +V\u005c* +p6531 +tp6532 +a(g892 +V(C`getpeername +p6533 +tp6534 +a(g200 +V\u005c* +p6535 +tp6536 +a(g892 +V(C' +p6537 +tp6538 +a(g200 +V\u005cfR +p6539 +tp6540 +a(g892 +V, +p6541 +tp6542 +a(g200 +V\u005cf +p6543 +tp6544 +a(g892 +V(CW +p6545 +tp6546 +a(g200 +V\u005c* +p6547 +tp6548 +a(g892 +V(C`getsockname +p6549 +tp6550 +a(g200 +V\u005c* +p6551 +tp6552 +a(g892 +V(C' +p6553 +tp6554 +a(g200 +V\u005cfR +p6555 +tp6556 +a(g892 +g1785 +tp6557 +a(g892 +V\u000a +p6558 +tp6559 +a(g200 +V\u005c& +p6560 +tp6561 +a(g200 +V\u005cf +p6562 +tp6563 +a(g892 +V(CW +p6564 +tp6565 +a(g200 +V\u005c* +p6566 +tp6567 +a(g892 +V(C`getsockopt +p6568 +tp6569 +a(g200 +V\u005c* +p6570 +tp6571 +a(g892 +V(C' +p6572 +tp6573 +a(g200 +V\u005cfR +p6574 +tp6575 +a(g892 +V, +p6576 +tp6577 +a(g200 +V\u005cf +p6578 +tp6579 +a(g892 +V(CW +p6580 +tp6581 +a(g200 +V\u005c* +p6582 +tp6583 +a(g892 +V(C`listen +p6584 +tp6585 +a(g200 +V\u005c* +p6586 +tp6587 +a(g892 +V(C' +p6588 +tp6589 +a(g200 +V\u005cfR +p6590 +tp6591 +a(g892 +V, +p6592 +tp6593 +a(g200 +V\u005cf +p6594 +tp6595 +a(g892 +V(CW +p6596 +tp6597 +a(g200 +V\u005c* +p6598 +tp6599 +a(g892 +V(C`recv +p6600 +tp6601 +a(g200 +V\u005c* +p6602 +tp6603 +a(g892 +V(C' +p6604 +tp6605 +a(g200 +V\u005cfR +p6606 +tp6607 +a(g892 +V, +p6608 +tp6609 +a(g200 +V\u005cf +p6610 +tp6611 +a(g892 +V(CW +p6612 +tp6613 +a(g200 +V\u005c* +p6614 +tp6615 +a(g892 +V(C`send +p6616 +tp6617 +a(g200 +V\u005c* +p6618 +tp6619 +a(g892 +V(C' +p6620 +tp6621 +a(g200 +V\u005cfR +p6622 +tp6623 +a(g892 +V, +p6624 +tp6625 +a(g200 +V\u005cf +p6626 +tp6627 +a(g892 +V(CW +p6628 +tp6629 +a(g200 +V\u005c* +p6630 +tp6631 +a(g892 +V(C`setsockopt +p6632 +tp6633 +a(g200 +V\u005c* +p6634 +tp6635 +a(g892 +V(C' +p6636 +tp6637 +a(g200 +V\u005cfR +p6638 +tp6639 +a(g892 +V, +p6640 +tp6641 +a(g200 +V\u005cf +p6642 +tp6643 +a(g892 +V(CW +p6644 +tp6645 +a(g200 +V\u005c* +p6646 +tp6647 +a(g892 +V(C`shutdown +p6648 +tp6649 +a(g200 +V\u005c* +p6650 +tp6651 +a(g892 +V(C' +p6652 +tp6653 +a(g200 +V\u005cfR +p6654 +tp6655 +a(g892 +g1785 +tp6656 +a(g892 +V\u000a +p6657 +tp6658 +a(g200 +V\u005c& +p6659 +tp6660 +a(g200 +V\u005cf +p6661 +tp6662 +a(g892 +V(CW +p6663 +tp6664 +a(g200 +V\u005c* +p6665 +tp6666 +a(g892 +V(C`socket +p6667 +tp6668 +a(g200 +V\u005c* +p6669 +tp6670 +a(g892 +V(C' +p6671 +tp6672 +a(g200 +V\u005cfR +p6673 +tp6674 +a(g892 +V, +p6675 +tp6676 +a(g200 +V\u005cf +p6677 +tp6678 +a(g892 +V(CW +p6679 +tp6680 +a(g200 +V\u005c* +p6681 +tp6682 +a(g892 +V(C`socketpair +p6683 +tp6684 +a(g200 +V\u005c* +p6685 +tp6686 +a(g892 +V(C' +p6687 +tp6688 +a(g200 +V\u005cfR +p6689 +tp6690 +a(g892 +V\u000a +p6691 +tp6692 +a(g892 +g956 +tp6693 +a(g810 +VIP +p6694 +tp6695 +a(g892 +g980 +tp6696 +a(g144 +V"System V interprocess communication functions" +p6697 +tp6698 +a(g892 +g980 +tp6699 +a(g17 +g3035 +tp6700 +a(g892 +V\u000a +p6701 +tp6702 +a(g892 +g956 +tp6703 +a(g810 +VIX +p6704 +tp6705 +a(g892 +g980 +tp6706 +a(g76 +VXref +p6707 +tp6708 +a(g892 +g980 +tp6709 +a(g144 +V"IPC System V semaphore shared memory memory message" +p6710 +tp6711 +a(g892 +V\u000a +p6712 +tp6713 +a(g892 +g956 +tp6714 +a(g810 +VIX +p6715 +tp6716 +a(g892 +g980 +tp6717 +a(g76 +VItem +p6718 +tp6719 +a(g892 +g980 +tp6720 +a(g144 +V"System V interprocess communication functions" +p6721 +tp6722 +a(g892 +V\u000a +p6723 +tp6724 +a(g200 +V\u005c& +p6725 +tp6726 +a(g200 +V\u005cf +p6727 +tp6728 +a(g892 +V(CW +p6729 +tp6730 +a(g200 +V\u005c* +p6731 +tp6732 +a(g892 +V(C`msgctl +p6733 +tp6734 +a(g200 +V\u005c* +p6735 +tp6736 +a(g892 +V(C' +p6737 +tp6738 +a(g200 +V\u005cfR +p6739 +tp6740 +a(g892 +V, +p6741 +tp6742 +a(g200 +V\u005cf +p6743 +tp6744 +a(g892 +V(CW +p6745 +tp6746 +a(g200 +V\u005c* +p6747 +tp6748 +a(g892 +V(C`msgget +p6749 +tp6750 +a(g200 +V\u005c* +p6751 +tp6752 +a(g892 +V(C' +p6753 +tp6754 +a(g200 +V\u005cfR +p6755 +tp6756 +a(g892 +V, +p6757 +tp6758 +a(g200 +V\u005cf +p6759 +tp6760 +a(g892 +V(CW +p6761 +tp6762 +a(g200 +V\u005c* +p6763 +tp6764 +a(g892 +V(C`msgrcv +p6765 +tp6766 +a(g200 +V\u005c* +p6767 +tp6768 +a(g892 +V(C' +p6769 +tp6770 +a(g200 +V\u005cfR +p6771 +tp6772 +a(g892 +V, +p6773 +tp6774 +a(g200 +V\u005cf +p6775 +tp6776 +a(g892 +V(CW +p6777 +tp6778 +a(g200 +V\u005c* +p6779 +tp6780 +a(g892 +V(C`msgsnd +p6781 +tp6782 +a(g200 +V\u005c* +p6783 +tp6784 +a(g892 +V(C' +p6785 +tp6786 +a(g200 +V\u005cfR +p6787 +tp6788 +a(g892 +V, +p6789 +tp6790 +a(g200 +V\u005cf +p6791 +tp6792 +a(g892 +V(CW +p6793 +tp6794 +a(g200 +V\u005c* +p6795 +tp6796 +a(g892 +V(C`semctl +p6797 +tp6798 +a(g200 +V\u005c* +p6799 +tp6800 +a(g892 +V(C' +p6801 +tp6802 +a(g200 +V\u005cfR +p6803 +tp6804 +a(g892 +V, +p6805 +tp6806 +a(g200 +V\u005cf +p6807 +tp6808 +a(g892 +V(CW +p6809 +tp6810 +a(g200 +V\u005c* +p6811 +tp6812 +a(g892 +V(C`semget +p6813 +tp6814 +a(g200 +V\u005c* +p6815 +tp6816 +a(g892 +V(C' +p6817 +tp6818 +a(g200 +V\u005cfR +p6819 +tp6820 +a(g892 +V, +p6821 +tp6822 +a(g200 +V\u005cf +p6823 +tp6824 +a(g892 +V(CW +p6825 +tp6826 +a(g200 +V\u005c* +p6827 +tp6828 +a(g892 +V(C`semop +p6829 +tp6830 +a(g200 +V\u005c* +p6831 +tp6832 +a(g892 +V(C' +p6833 +tp6834 +a(g200 +V\u005cfR +p6835 +tp6836 +a(g892 +g1785 +tp6837 +a(g892 +V\u000a +p6838 +tp6839 +a(g200 +V\u005c& +p6840 +tp6841 +a(g200 +V\u005cf +p6842 +tp6843 +a(g892 +V(CW +p6844 +tp6845 +a(g200 +V\u005c* +p6846 +tp6847 +a(g892 +V(C`shmctl +p6848 +tp6849 +a(g200 +V\u005c* +p6850 +tp6851 +a(g892 +V(C' +p6852 +tp6853 +a(g200 +V\u005cfR +p6854 +tp6855 +a(g892 +V, +p6856 +tp6857 +a(g200 +V\u005cf +p6858 +tp6859 +a(g892 +V(CW +p6860 +tp6861 +a(g200 +V\u005c* +p6862 +tp6863 +a(g892 +V(C`shmget +p6864 +tp6865 +a(g200 +V\u005c* +p6866 +tp6867 +a(g892 +V(C' +p6868 +tp6869 +a(g200 +V\u005cfR +p6870 +tp6871 +a(g892 +V, +p6872 +tp6873 +a(g200 +V\u005cf +p6874 +tp6875 +a(g892 +V(CW +p6876 +tp6877 +a(g200 +V\u005c* +p6878 +tp6879 +a(g892 +V(C`shmread +p6880 +tp6881 +a(g200 +V\u005c* +p6882 +tp6883 +a(g892 +V(C' +p6884 +tp6885 +a(g200 +V\u005cfR +p6886 +tp6887 +a(g892 +V, +p6888 +tp6889 +a(g200 +V\u005cf +p6890 +tp6891 +a(g892 +V(CW +p6892 +tp6893 +a(g200 +V\u005c* +p6894 +tp6895 +a(g892 +V(C`shmwrite +p6896 +tp6897 +a(g200 +V\u005c* +p6898 +tp6899 +a(g892 +V(C' +p6900 +tp6901 +a(g200 +V\u005cfR +p6902 +tp6903 +a(g892 +V\u000a +p6904 +tp6905 +a(g892 +g956 +tp6906 +a(g810 +VIP +p6907 +tp6908 +a(g892 +g980 +tp6909 +a(g144 +V"Fetching user and group info" +p6910 +tp6911 +a(g892 +g980 +tp6912 +a(g17 +g3035 +tp6913 +a(g892 +V\u000a +p6914 +tp6915 +a(g892 +g956 +tp6916 +a(g810 +VIX +p6917 +tp6918 +a(g892 +g980 +tp6919 +a(g76 +VXref +p6920 +tp6921 +a(g892 +g980 +tp6922 +a(g144 +V"user group password uid gid passwd etc passwd" +p6923 +tp6924 +a(g892 +V\u000a +p6925 +tp6926 +a(g892 +g956 +tp6927 +a(g810 +VIX +p6928 +tp6929 +a(g892 +g980 +tp6930 +a(g76 +VItem +p6931 +tp6932 +a(g892 +g980 +tp6933 +a(g144 +V"Fetching user and group info" +p6934 +tp6935 +a(g892 +V\u000a +p6936 +tp6937 +a(g200 +V\u005c& +p6938 +tp6939 +a(g200 +V\u005cf +p6940 +tp6941 +a(g892 +V(CW +p6942 +tp6943 +a(g200 +V\u005c* +p6944 +tp6945 +a(g892 +V(C`endgrent +p6946 +tp6947 +a(g200 +V\u005c* +p6948 +tp6949 +a(g892 +V(C' +p6950 +tp6951 +a(g200 +V\u005cfR +p6952 +tp6953 +a(g892 +V, +p6954 +tp6955 +a(g200 +V\u005cf +p6956 +tp6957 +a(g892 +V(CW +p6958 +tp6959 +a(g200 +V\u005c* +p6960 +tp6961 +a(g892 +V(C`endhostent +p6962 +tp6963 +a(g200 +V\u005c* +p6964 +tp6965 +a(g892 +V(C' +p6966 +tp6967 +a(g200 +V\u005cfR +p6968 +tp6969 +a(g892 +V, +p6970 +tp6971 +a(g200 +V\u005cf +p6972 +tp6973 +a(g892 +V(CW +p6974 +tp6975 +a(g200 +V\u005c* +p6976 +tp6977 +a(g892 +V(C`endnetent +p6978 +tp6979 +a(g200 +V\u005c* +p6980 +tp6981 +a(g892 +V(C' +p6982 +tp6983 +a(g200 +V\u005cfR +p6984 +tp6985 +a(g892 +V, +p6986 +tp6987 +a(g200 +V\u005cf +p6988 +tp6989 +a(g892 +V(CW +p6990 +tp6991 +a(g200 +V\u005c* +p6992 +tp6993 +a(g892 +V(C`endpwent +p6994 +tp6995 +a(g200 +V\u005c* +p6996 +tp6997 +a(g892 +V(C' +p6998 +tp6999 +a(g200 +V\u005cfR +p7000 +tp7001 +a(g892 +V, +p7002 +tp7003 +a(g200 +V\u005cf +p7004 +tp7005 +a(g892 +V(CW +p7006 +tp7007 +a(g200 +V\u005c* +p7008 +tp7009 +a(g892 +V(C`getgrent +p7010 +tp7011 +a(g200 +V\u005c* +p7012 +tp7013 +a(g892 +V(C' +p7014 +tp7015 +a(g200 +V\u005cfR +p7016 +tp7017 +a(g892 +g1785 +tp7018 +a(g892 +V\u000a +p7019 +tp7020 +a(g200 +V\u005c& +p7021 +tp7022 +a(g200 +V\u005cf +p7023 +tp7024 +a(g892 +V(CW +p7025 +tp7026 +a(g200 +V\u005c* +p7027 +tp7028 +a(g892 +V(C`getgrgid +p7029 +tp7030 +a(g200 +V\u005c* +p7031 +tp7032 +a(g892 +V(C' +p7033 +tp7034 +a(g200 +V\u005cfR +p7035 +tp7036 +a(g892 +V, +p7037 +tp7038 +a(g200 +V\u005cf +p7039 +tp7040 +a(g892 +V(CW +p7041 +tp7042 +a(g200 +V\u005c* +p7043 +tp7044 +a(g892 +V(C`getgrnam +p7045 +tp7046 +a(g200 +V\u005c* +p7047 +tp7048 +a(g892 +V(C' +p7049 +tp7050 +a(g200 +V\u005cfR +p7051 +tp7052 +a(g892 +V, +p7053 +tp7054 +a(g200 +V\u005cf +p7055 +tp7056 +a(g892 +V(CW +p7057 +tp7058 +a(g200 +V\u005c* +p7059 +tp7060 +a(g892 +V(C`getlogin +p7061 +tp7062 +a(g200 +V\u005c* +p7063 +tp7064 +a(g892 +V(C' +p7065 +tp7066 +a(g200 +V\u005cfR +p7067 +tp7068 +a(g892 +V, +p7069 +tp7070 +a(g200 +V\u005cf +p7071 +tp7072 +a(g892 +V(CW +p7073 +tp7074 +a(g200 +V\u005c* +p7075 +tp7076 +a(g892 +V(C`getpwent +p7077 +tp7078 +a(g200 +V\u005c* +p7079 +tp7080 +a(g892 +V(C' +p7081 +tp7082 +a(g200 +V\u005cfR +p7083 +tp7084 +a(g892 +V, +p7085 +tp7086 +a(g200 +V\u005cf +p7087 +tp7088 +a(g892 +V(CW +p7089 +tp7090 +a(g200 +V\u005c* +p7091 +tp7092 +a(g892 +V(C`getpwnam +p7093 +tp7094 +a(g200 +V\u005c* +p7095 +tp7096 +a(g892 +V(C' +p7097 +tp7098 +a(g200 +V\u005cfR +p7099 +tp7100 +a(g892 +g1785 +tp7101 +a(g892 +V\u000a +p7102 +tp7103 +a(g200 +V\u005c& +p7104 +tp7105 +a(g200 +V\u005cf +p7106 +tp7107 +a(g892 +V(CW +p7108 +tp7109 +a(g200 +V\u005c* +p7110 +tp7111 +a(g892 +V(C`getpwuid +p7112 +tp7113 +a(g200 +V\u005c* +p7114 +tp7115 +a(g892 +V(C' +p7116 +tp7117 +a(g200 +V\u005cfR +p7118 +tp7119 +a(g892 +V, +p7120 +tp7121 +a(g200 +V\u005cf +p7122 +tp7123 +a(g892 +V(CW +p7124 +tp7125 +a(g200 +V\u005c* +p7126 +tp7127 +a(g892 +V(C`setgrent +p7128 +tp7129 +a(g200 +V\u005c* +p7130 +tp7131 +a(g892 +V(C' +p7132 +tp7133 +a(g200 +V\u005cfR +p7134 +tp7135 +a(g892 +V, +p7136 +tp7137 +a(g200 +V\u005cf +p7138 +tp7139 +a(g892 +V(CW +p7140 +tp7141 +a(g200 +V\u005c* +p7142 +tp7143 +a(g892 +V(C`setpwent +p7144 +tp7145 +a(g200 +V\u005c* +p7146 +tp7147 +a(g892 +V(C' +p7148 +tp7149 +a(g200 +V\u005cfR +p7150 +tp7151 +a(g892 +V\u000a +p7152 +tp7153 +a(g892 +g956 +tp7154 +a(g810 +VIP +p7155 +tp7156 +a(g892 +g980 +tp7157 +a(g144 +V"Fetching network info" +p7158 +tp7159 +a(g892 +g980 +tp7160 +a(g17 +g3035 +tp7161 +a(g892 +V\u000a +p7162 +tp7163 +a(g892 +g956 +tp7164 +a(g810 +VIX +p7165 +tp7166 +a(g892 +g980 +tp7167 +a(g76 +VXref +p7168 +tp7169 +a(g892 +g980 +tp7170 +a(g144 +V"network protocol host hostname IP address service" +p7171 +tp7172 +a(g892 +V\u000a +p7173 +tp7174 +a(g892 +g956 +tp7175 +a(g810 +VIX +p7176 +tp7177 +a(g892 +g980 +tp7178 +a(g76 +VItem +p7179 +tp7180 +a(g892 +g980 +tp7181 +a(g144 +V"Fetching network info" +p7182 +tp7183 +a(g892 +V\u000a +p7184 +tp7185 +a(g200 +V\u005c& +p7186 +tp7187 +a(g200 +V\u005cf +p7188 +tp7189 +a(g892 +V(CW +p7190 +tp7191 +a(g200 +V\u005c* +p7192 +tp7193 +a(g892 +V(C`endprotoent +p7194 +tp7195 +a(g200 +V\u005c* +p7196 +tp7197 +a(g892 +V(C' +p7198 +tp7199 +a(g200 +V\u005cfR +p7200 +tp7201 +a(g892 +V, +p7202 +tp7203 +a(g200 +V\u005cf +p7204 +tp7205 +a(g892 +V(CW +p7206 +tp7207 +a(g200 +V\u005c* +p7208 +tp7209 +a(g892 +V(C`endservent +p7210 +tp7211 +a(g200 +V\u005c* +p7212 +tp7213 +a(g892 +V(C' +p7214 +tp7215 +a(g200 +V\u005cfR +p7216 +tp7217 +a(g892 +V, +p7218 +tp7219 +a(g200 +V\u005cf +p7220 +tp7221 +a(g892 +V(CW +p7222 +tp7223 +a(g200 +V\u005c* +p7224 +tp7225 +a(g892 +V(C`gethostbyaddr +p7226 +tp7227 +a(g200 +V\u005c* +p7228 +tp7229 +a(g892 +V(C' +p7230 +tp7231 +a(g200 +V\u005cfR +p7232 +tp7233 +a(g892 +V, +p7234 +tp7235 +a(g200 +V\u005cf +p7236 +tp7237 +a(g892 +V(CW +p7238 +tp7239 +a(g200 +V\u005c* +p7240 +tp7241 +a(g892 +V(C`gethostbyname +p7242 +tp7243 +a(g200 +V\u005c* +p7244 +tp7245 +a(g892 +V(C' +p7246 +tp7247 +a(g200 +V\u005cfR +p7248 +tp7249 +a(g892 +g1785 +tp7250 +a(g892 +V\u000a +p7251 +tp7252 +a(g200 +V\u005c& +p7253 +tp7254 +a(g200 +V\u005cf +p7255 +tp7256 +a(g892 +V(CW +p7257 +tp7258 +a(g200 +V\u005c* +p7259 +tp7260 +a(g892 +V(C`gethostent +p7261 +tp7262 +a(g200 +V\u005c* +p7263 +tp7264 +a(g892 +V(C' +p7265 +tp7266 +a(g200 +V\u005cfR +p7267 +tp7268 +a(g892 +V, +p7269 +tp7270 +a(g200 +V\u005cf +p7271 +tp7272 +a(g892 +V(CW +p7273 +tp7274 +a(g200 +V\u005c* +p7275 +tp7276 +a(g892 +V(C`getnetbyaddr +p7277 +tp7278 +a(g200 +V\u005c* +p7279 +tp7280 +a(g892 +V(C' +p7281 +tp7282 +a(g200 +V\u005cfR +p7283 +tp7284 +a(g892 +V, +p7285 +tp7286 +a(g200 +V\u005cf +p7287 +tp7288 +a(g892 +V(CW +p7289 +tp7290 +a(g200 +V\u005c* +p7291 +tp7292 +a(g892 +V(C`getnetbyname +p7293 +tp7294 +a(g200 +V\u005c* +p7295 +tp7296 +a(g892 +V(C' +p7297 +tp7298 +a(g200 +V\u005cfR +p7299 +tp7300 +a(g892 +V, +p7301 +tp7302 +a(g200 +V\u005cf +p7303 +tp7304 +a(g892 +V(CW +p7305 +tp7306 +a(g200 +V\u005c* +p7307 +tp7308 +a(g892 +V(C`getnetent +p7309 +tp7310 +a(g200 +V\u005c* +p7311 +tp7312 +a(g892 +V(C' +p7313 +tp7314 +a(g200 +V\u005cfR +p7315 +tp7316 +a(g892 +g1785 +tp7317 +a(g892 +V\u000a +p7318 +tp7319 +a(g200 +V\u005c& +p7320 +tp7321 +a(g200 +V\u005cf +p7322 +tp7323 +a(g892 +V(CW +p7324 +tp7325 +a(g200 +V\u005c* +p7326 +tp7327 +a(g892 +V(C`getprotobyname +p7328 +tp7329 +a(g200 +V\u005c* +p7330 +tp7331 +a(g892 +V(C' +p7332 +tp7333 +a(g200 +V\u005cfR +p7334 +tp7335 +a(g892 +V, +p7336 +tp7337 +a(g200 +V\u005cf +p7338 +tp7339 +a(g892 +V(CW +p7340 +tp7341 +a(g200 +V\u005c* +p7342 +tp7343 +a(g892 +V(C`getprotobynumber +p7344 +tp7345 +a(g200 +V\u005c* +p7346 +tp7347 +a(g892 +V(C' +p7348 +tp7349 +a(g200 +V\u005cfR +p7350 +tp7351 +a(g892 +V, +p7352 +tp7353 +a(g200 +V\u005cf +p7354 +tp7355 +a(g892 +V(CW +p7356 +tp7357 +a(g200 +V\u005c* +p7358 +tp7359 +a(g892 +V(C`getprotoent +p7360 +tp7361 +a(g200 +V\u005c* +p7362 +tp7363 +a(g892 +V(C' +p7364 +tp7365 +a(g200 +V\u005cfR +p7366 +tp7367 +a(g892 +g1785 +tp7368 +a(g892 +V\u000a +p7369 +tp7370 +a(g200 +V\u005c& +p7371 +tp7372 +a(g200 +V\u005cf +p7373 +tp7374 +a(g892 +V(CW +p7375 +tp7376 +a(g200 +V\u005c* +p7377 +tp7378 +a(g892 +V(C`getservbyname +p7379 +tp7380 +a(g200 +V\u005c* +p7381 +tp7382 +a(g892 +V(C' +p7383 +tp7384 +a(g200 +V\u005cfR +p7385 +tp7386 +a(g892 +V, +p7387 +tp7388 +a(g200 +V\u005cf +p7389 +tp7390 +a(g892 +V(CW +p7391 +tp7392 +a(g200 +V\u005c* +p7393 +tp7394 +a(g892 +V(C`getservbyport +p7395 +tp7396 +a(g200 +V\u005c* +p7397 +tp7398 +a(g892 +V(C' +p7399 +tp7400 +a(g200 +V\u005cfR +p7401 +tp7402 +a(g892 +V, +p7403 +tp7404 +a(g200 +V\u005cf +p7405 +tp7406 +a(g892 +V(CW +p7407 +tp7408 +a(g200 +V\u005c* +p7409 +tp7410 +a(g892 +V(C`getservent +p7411 +tp7412 +a(g200 +V\u005c* +p7413 +tp7414 +a(g892 +V(C' +p7415 +tp7416 +a(g200 +V\u005cfR +p7417 +tp7418 +a(g892 +V, +p7419 +tp7420 +a(g200 +V\u005cf +p7421 +tp7422 +a(g892 +V(CW +p7423 +tp7424 +a(g200 +V\u005c* +p7425 +tp7426 +a(g892 +V(C`sethostent +p7427 +tp7428 +a(g200 +V\u005c* +p7429 +tp7430 +a(g892 +V(C' +p7431 +tp7432 +a(g200 +V\u005cfR +p7433 +tp7434 +a(g892 +g1785 +tp7435 +a(g892 +V\u000a +p7436 +tp7437 +a(g200 +V\u005c& +p7438 +tp7439 +a(g200 +V\u005cf +p7440 +tp7441 +a(g892 +V(CW +p7442 +tp7443 +a(g200 +V\u005c* +p7444 +tp7445 +a(g892 +V(C`setnetent +p7446 +tp7447 +a(g200 +V\u005c* +p7448 +tp7449 +a(g892 +V(C' +p7450 +tp7451 +a(g200 +V\u005cfR +p7452 +tp7453 +a(g892 +V, +p7454 +tp7455 +a(g200 +V\u005cf +p7456 +tp7457 +a(g892 +V(CW +p7458 +tp7459 +a(g200 +V\u005c* +p7460 +tp7461 +a(g892 +V(C`setprotoent +p7462 +tp7463 +a(g200 +V\u005c* +p7464 +tp7465 +a(g892 +V(C' +p7466 +tp7467 +a(g200 +V\u005cfR +p7468 +tp7469 +a(g892 +V, +p7470 +tp7471 +a(g200 +V\u005cf +p7472 +tp7473 +a(g892 +V(CW +p7474 +tp7475 +a(g200 +V\u005c* +p7476 +tp7477 +a(g892 +V(C`setservent +p7478 +tp7479 +a(g200 +V\u005c* +p7480 +tp7481 +a(g892 +V(C' +p7482 +tp7483 +a(g200 +V\u005cfR +p7484 +tp7485 +a(g892 +V\u000a +p7486 +tp7487 +a(g892 +g956 +tp7488 +a(g810 +VIP +p7489 +tp7490 +a(g892 +g980 +tp7491 +a(g144 +V"Time-related functions" +p7492 +tp7493 +a(g892 +g980 +tp7494 +a(g17 +g3035 +tp7495 +a(g892 +V\u000a +p7496 +tp7497 +a(g892 +g956 +tp7498 +a(g810 +VIX +p7499 +tp7500 +a(g892 +g980 +tp7501 +a(g76 +VXref +p7502 +tp7503 +a(g892 +g980 +tp7504 +a(g144 +V"time date" +p7505 +tp7506 +a(g892 +V\u000a +p7507 +tp7508 +a(g892 +g956 +tp7509 +a(g810 +VIX +p7510 +tp7511 +a(g892 +g980 +tp7512 +a(g76 +VItem +p7513 +tp7514 +a(g892 +g980 +tp7515 +a(g144 +V"Time-related functions" +p7516 +tp7517 +a(g892 +V\u000a +p7518 +tp7519 +a(g200 +V\u005c& +p7520 +tp7521 +a(g200 +V\u005cf +p7522 +tp7523 +a(g892 +V(CW +p7524 +tp7525 +a(g200 +V\u005c* +p7526 +tp7527 +a(g892 +V(C`gmtime +p7528 +tp7529 +a(g200 +V\u005c* +p7530 +tp7531 +a(g892 +V(C' +p7532 +tp7533 +a(g200 +V\u005cfR +p7534 +tp7535 +a(g892 +V, +p7536 +tp7537 +a(g200 +V\u005cf +p7538 +tp7539 +a(g892 +V(CW +p7540 +tp7541 +a(g200 +V\u005c* +p7542 +tp7543 +a(g892 +V(C`localtime +p7544 +tp7545 +a(g200 +V\u005c* +p7546 +tp7547 +a(g892 +V(C' +p7548 +tp7549 +a(g200 +V\u005cfR +p7550 +tp7551 +a(g892 +V, +p7552 +tp7553 +a(g200 +V\u005cf +p7554 +tp7555 +a(g892 +V(CW +p7556 +tp7557 +a(g200 +V\u005c* +p7558 +tp7559 +a(g892 +V(C`time +p7560 +tp7561 +a(g200 +V\u005c* +p7562 +tp7563 +a(g892 +V(C' +p7564 +tp7565 +a(g200 +V\u005cfR +p7566 +tp7567 +a(g892 +V, +p7568 +tp7569 +a(g200 +V\u005cf +p7570 +tp7571 +a(g892 +V(CW +p7572 +tp7573 +a(g200 +V\u005c* +p7574 +tp7575 +a(g892 +V(C`times +p7576 +tp7577 +a(g200 +V\u005c* +p7578 +tp7579 +a(g892 +V(C' +p7580 +tp7581 +a(g200 +V\u005cfR +p7582 +tp7583 +a(g892 +V\u000a +p7584 +tp7585 +a(g892 +g956 +tp7586 +a(g810 +VIP +p7587 +tp7588 +a(g892 +g980 +tp7589 +a(g144 +V"Functions new in perl5" +p7590 +tp7591 +a(g892 +g980 +tp7592 +a(g17 +g3035 +tp7593 +a(g892 +V\u000a +p7594 +tp7595 +a(g892 +g956 +tp7596 +a(g810 +VIX +p7597 +tp7598 +a(g892 +g980 +tp7599 +a(g76 +VXref +p7600 +tp7601 +a(g892 +g980 +tp7602 +a(g144 +V"perl5" +p7603 +tp7604 +a(g892 +V\u000a +p7605 +tp7606 +a(g892 +g956 +tp7607 +a(g810 +VIX +p7608 +tp7609 +a(g892 +g980 +tp7610 +a(g76 +VItem +p7611 +tp7612 +a(g892 +g980 +tp7613 +a(g144 +V"Functions new in perl5" +p7614 +tp7615 +a(g892 +V\u000a +p7616 +tp7617 +a(g200 +V\u005c& +p7618 +tp7619 +a(g200 +V\u005cf +p7620 +tp7621 +a(g892 +V(CW +p7622 +tp7623 +a(g200 +V\u005c* +p7624 +tp7625 +a(g892 +V(C`abs +p7626 +tp7627 +a(g200 +V\u005c* +p7628 +tp7629 +a(g892 +V(C' +p7630 +tp7631 +a(g200 +V\u005cfR +p7632 +tp7633 +a(g892 +V, +p7634 +tp7635 +a(g200 +V\u005cf +p7636 +tp7637 +a(g892 +V(CW +p7638 +tp7639 +a(g200 +V\u005c* +p7640 +tp7641 +a(g892 +V(C`bless +p7642 +tp7643 +a(g200 +V\u005c* +p7644 +tp7645 +a(g892 +V(C' +p7646 +tp7647 +a(g200 +V\u005cfR +p7648 +tp7649 +a(g892 +V, +p7650 +tp7651 +a(g200 +V\u005cf +p7652 +tp7653 +a(g892 +V(CW +p7654 +tp7655 +a(g200 +V\u005c* +p7656 +tp7657 +a(g892 +V(C`chomp +p7658 +tp7659 +a(g200 +V\u005c* +p7660 +tp7661 +a(g892 +V(C' +p7662 +tp7663 +a(g200 +V\u005cfR +p7664 +tp7665 +a(g892 +V, +p7666 +tp7667 +a(g200 +V\u005cf +p7668 +tp7669 +a(g892 +V(CW +p7670 +tp7671 +a(g200 +V\u005c* +p7672 +tp7673 +a(g892 +V(C`chr +p7674 +tp7675 +a(g200 +V\u005c* +p7676 +tp7677 +a(g892 +V(C' +p7678 +tp7679 +a(g200 +V\u005cfR +p7680 +tp7681 +a(g892 +V, +p7682 +tp7683 +a(g200 +V\u005cf +p7684 +tp7685 +a(g892 +V(CW +p7686 +tp7687 +a(g200 +V\u005c* +p7688 +tp7689 +a(g892 +V(C`exists +p7690 +tp7691 +a(g200 +V\u005c* +p7692 +tp7693 +a(g892 +V(C' +p7694 +tp7695 +a(g200 +V\u005cfR +p7696 +tp7697 +a(g892 +V, +p7698 +tp7699 +a(g200 +V\u005cf +p7700 +tp7701 +a(g892 +V(CW +p7702 +tp7703 +a(g200 +V\u005c* +p7704 +tp7705 +a(g892 +V(C`formline +p7706 +tp7707 +a(g200 +V\u005c* +p7708 +tp7709 +a(g892 +V(C' +p7710 +tp7711 +a(g200 +V\u005cfR +p7712 +tp7713 +a(g892 +V, +p7714 +tp7715 +a(g200 +V\u005cf +p7716 +tp7717 +a(g892 +V(CW +p7718 +tp7719 +a(g200 +V\u005c* +p7720 +tp7721 +a(g892 +V(C`glob +p7722 +tp7723 +a(g200 +V\u005c* +p7724 +tp7725 +a(g892 +V(C' +p7726 +tp7727 +a(g200 +V\u005cfR +p7728 +tp7729 +a(g892 +g1785 +tp7730 +a(g892 +V\u000a +p7731 +tp7732 +a(g200 +V\u005c& +p7733 +tp7734 +a(g200 +V\u005cf +p7735 +tp7736 +a(g892 +V(CW +p7737 +tp7738 +a(g200 +V\u005c* +p7739 +tp7740 +a(g892 +V(C`import +p7741 +tp7742 +a(g200 +V\u005c* +p7743 +tp7744 +a(g892 +V(C' +p7745 +tp7746 +a(g200 +V\u005cfR +p7747 +tp7748 +a(g892 +V, +p7749 +tp7750 +a(g200 +V\u005cf +p7751 +tp7752 +a(g892 +V(CW +p7753 +tp7754 +a(g200 +V\u005c* +p7755 +tp7756 +a(g892 +V(C`lc +p7757 +tp7758 +a(g200 +V\u005c* +p7759 +tp7760 +a(g892 +V(C' +p7761 +tp7762 +a(g200 +V\u005cfR +p7763 +tp7764 +a(g892 +V, +p7765 +tp7766 +a(g200 +V\u005cf +p7767 +tp7768 +a(g892 +V(CW +p7769 +tp7770 +a(g200 +V\u005c* +p7771 +tp7772 +a(g892 +V(C`lcfirst +p7773 +tp7774 +a(g200 +V\u005c* +p7775 +tp7776 +a(g892 +V(C' +p7777 +tp7778 +a(g200 +V\u005cfR +p7779 +tp7780 +a(g892 +V, +p7781 +tp7782 +a(g200 +V\u005cf +p7783 +tp7784 +a(g892 +V(CW +p7785 +tp7786 +a(g200 +V\u005c* +p7787 +tp7788 +a(g892 +V(C`map +p7789 +tp7790 +a(g200 +V\u005c* +p7791 +tp7792 +a(g892 +V(C' +p7793 +tp7794 +a(g200 +V\u005cfR +p7795 +tp7796 +a(g892 +V, +p7797 +tp7798 +a(g200 +V\u005cf +p7799 +tp7800 +a(g892 +V(CW +p7801 +tp7802 +a(g200 +V\u005c* +p7803 +tp7804 +a(g892 +V(C`my +p7805 +tp7806 +a(g200 +V\u005c* +p7807 +tp7808 +a(g892 +V(C' +p7809 +tp7810 +a(g200 +V\u005cfR +p7811 +tp7812 +a(g892 +V, +p7813 +tp7814 +a(g200 +V\u005cf +p7815 +tp7816 +a(g892 +V(CW +p7817 +tp7818 +a(g200 +V\u005c* +p7819 +tp7820 +a(g892 +V(C`no +p7821 +tp7822 +a(g200 +V\u005c* +p7823 +tp7824 +a(g892 +V(C' +p7825 +tp7826 +a(g200 +V\u005cfR +p7827 +tp7828 +a(g892 +V, +p7829 +tp7830 +a(g200 +V\u005cf +p7831 +tp7832 +a(g892 +V(CW +p7833 +tp7834 +a(g200 +V\u005c* +p7835 +tp7836 +a(g892 +V(C`our +p7837 +tp7838 +a(g200 +V\u005c* +p7839 +tp7840 +a(g892 +V(C' +p7841 +tp7842 +a(g200 +V\u005cfR +p7843 +tp7844 +a(g892 +V, +p7845 +tp7846 +a(g200 +V\u005cf +p7847 +tp7848 +a(g892 +V(CW +p7849 +tp7850 +a(g200 +V\u005c* +p7851 +tp7852 +a(g892 +V(C`prototype +p7853 +tp7854 +a(g200 +V\u005c* +p7855 +tp7856 +a(g892 +V(C' +p7857 +tp7858 +a(g200 +V\u005cfR +p7859 +tp7860 +a(g892 +g1785 +tp7861 +a(g892 +V\u000a +p7862 +tp7863 +a(g200 +V\u005c& +p7864 +tp7865 +a(g200 +V\u005cf +p7866 +tp7867 +a(g892 +V(CW +p7868 +tp7869 +a(g200 +V\u005c* +p7870 +tp7871 +a(g892 +V(C`qx +p7872 +tp7873 +a(g200 +V\u005c* +p7874 +tp7875 +a(g892 +V(C' +p7876 +tp7877 +a(g200 +V\u005cfR +p7878 +tp7879 +a(g892 +V, +p7880 +tp7881 +a(g200 +V\u005cf +p7882 +tp7883 +a(g892 +V(CW +p7884 +tp7885 +a(g200 +V\u005c* +p7886 +tp7887 +a(g892 +V(C`qw +p7888 +tp7889 +a(g200 +V\u005c* +p7890 +tp7891 +a(g892 +V(C' +p7892 +tp7893 +a(g200 +V\u005cfR +p7894 +tp7895 +a(g892 +V, +p7896 +tp7897 +a(g200 +V\u005cf +p7898 +tp7899 +a(g892 +V(CW +p7900 +tp7901 +a(g200 +V\u005c* +p7902 +tp7903 +a(g892 +V(C`readline +p7904 +tp7905 +a(g200 +V\u005c* +p7906 +tp7907 +a(g892 +V(C' +p7908 +tp7909 +a(g200 +V\u005cfR +p7910 +tp7911 +a(g892 +V, +p7912 +tp7913 +a(g200 +V\u005cf +p7914 +tp7915 +a(g892 +V(CW +p7916 +tp7917 +a(g200 +V\u005c* +p7918 +tp7919 +a(g892 +V(C`readpipe +p7920 +tp7921 +a(g200 +V\u005c* +p7922 +tp7923 +a(g892 +V(C' +p7924 +tp7925 +a(g200 +V\u005cfR +p7926 +tp7927 +a(g892 +V, +p7928 +tp7929 +a(g200 +V\u005cf +p7930 +tp7931 +a(g892 +V(CW +p7932 +tp7933 +a(g200 +V\u005c* +p7934 +tp7935 +a(g892 +V(C`ref +p7936 +tp7937 +a(g200 +V\u005c* +p7938 +tp7939 +a(g892 +V(C' +p7940 +tp7941 +a(g200 +V\u005cfR +p7942 +tp7943 +a(g892 +V, +p7944 +tp7945 +a(g200 +V\u005cf +p7946 +tp7947 +a(g892 +V(CW +p7948 +tp7949 +a(g200 +V\u005c* +p7950 +tp7951 +a(g892 +V(C`sub* +p7952 +tp7953 +a(g200 +V\u005c* +p7954 +tp7955 +a(g892 +V(C' +p7956 +tp7957 +a(g200 +V\u005cfR +p7958 +tp7959 +a(g892 +V, +p7960 +tp7961 +a(g200 +V\u005cf +p7962 +tp7963 +a(g892 +V(CW +p7964 +tp7965 +a(g200 +V\u005c* +p7966 +tp7967 +a(g892 +V(C`sysopen +p7968 +tp7969 +a(g200 +V\u005c* +p7970 +tp7971 +a(g892 +V(C' +p7972 +tp7973 +a(g200 +V\u005cfR +p7974 +tp7975 +a(g892 +V, +p7976 +tp7977 +a(g200 +V\u005cf +p7978 +tp7979 +a(g892 +V(CW +p7980 +tp7981 +a(g200 +V\u005c* +p7982 +tp7983 +a(g892 +V(C`tie +p7984 +tp7985 +a(g200 +V\u005c* +p7986 +tp7987 +a(g892 +V(C' +p7988 +tp7989 +a(g200 +V\u005cfR +p7990 +tp7991 +a(g892 +g1785 +tp7992 +a(g892 +V\u000a +p7993 +tp7994 +a(g200 +V\u005c& +p7995 +tp7996 +a(g200 +V\u005cf +p7997 +tp7998 +a(g892 +V(CW +p7999 +tp8000 +a(g200 +V\u005c* +p8001 +tp8002 +a(g892 +V(C`tied +p8003 +tp8004 +a(g200 +V\u005c* +p8005 +tp8006 +a(g892 +V(C' +p8007 +tp8008 +a(g200 +V\u005cfR +p8009 +tp8010 +a(g892 +V, +p8011 +tp8012 +a(g200 +V\u005cf +p8013 +tp8014 +a(g892 +V(CW +p8015 +tp8016 +a(g200 +V\u005c* +p8017 +tp8018 +a(g892 +V(C`uc +p8019 +tp8020 +a(g200 +V\u005c* +p8021 +tp8022 +a(g892 +V(C' +p8023 +tp8024 +a(g200 +V\u005cfR +p8025 +tp8026 +a(g892 +V, +p8027 +tp8028 +a(g200 +V\u005cf +p8029 +tp8030 +a(g892 +V(CW +p8031 +tp8032 +a(g200 +V\u005c* +p8033 +tp8034 +a(g892 +V(C`ucfirst +p8035 +tp8036 +a(g200 +V\u005c* +p8037 +tp8038 +a(g892 +V(C' +p8039 +tp8040 +a(g200 +V\u005cfR +p8041 +tp8042 +a(g892 +V, +p8043 +tp8044 +a(g200 +V\u005cf +p8045 +tp8046 +a(g892 +V(CW +p8047 +tp8048 +a(g200 +V\u005c* +p8049 +tp8050 +a(g892 +V(C`untie +p8051 +tp8052 +a(g200 +V\u005c* +p8053 +tp8054 +a(g892 +V(C' +p8055 +tp8056 +a(g200 +V\u005cfR +p8057 +tp8058 +a(g892 +V, +p8059 +tp8060 +a(g200 +V\u005cf +p8061 +tp8062 +a(g892 +V(CW +p8063 +tp8064 +a(g200 +V\u005c* +p8065 +tp8066 +a(g892 +V(C`use +p8067 +tp8068 +a(g200 +V\u005c* +p8069 +tp8070 +a(g892 +V(C' +p8071 +tp8072 +a(g200 +V\u005cfR +p8073 +tp8074 +a(g892 +V\u000a +p8075 +tp8076 +a(g892 +g956 +tp8077 +a(g810 +VSp +p8078 +tp8079 +a(g892 +V\u000a +p8080 +tp8081 +a(g892 +V* +p8082 +tp8083 +a(g200 +V\u005c- +p8084 +tp8085 +a(g892 +g980 +tp8086 +a(g200 +V\u005cf +p8087 +tp8088 +a(g892 +V(CW +p8089 +tp8090 +a(g200 +V\u005c* +p8091 +tp8092 +a(g892 +V(C`sub +p8093 +tp8094 +a(g200 +V\u005c* +p8095 +tp8096 +a(g892 +V(C' +p8097 +tp8098 +a(g200 +V\u005cfR +p8099 +tp8100 +a(g892 +V was a keyword in perl4, but in perl5 it is an +p8101 +tp8102 +a(g892 +V\u000a +p8103 +tp8104 +a(g892 +Voperator, which can be used in expressions. +p8105 +tp8106 +a(g892 +V\u000a +p8107 +tp8108 +a(g892 +g956 +tp8109 +a(g810 +VIP +p8110 +tp8111 +a(g892 +g980 +tp8112 +a(g144 +V"Functions obsoleted in perl5" +p8113 +tp8114 +a(g892 +g980 +tp8115 +a(g17 +g3035 +tp8116 +a(g892 +V\u000a +p8117 +tp8118 +a(g892 +g956 +tp8119 +a(g810 +VIX +p8120 +tp8121 +a(g892 +g980 +tp8122 +a(g76 +VItem +p8123 +tp8124 +a(g892 +g980 +tp8125 +a(g144 +V"Functions obsoleted in perl5" +p8126 +tp8127 +a(g892 +V\u000a +p8128 +tp8129 +a(g200 +V\u005c& +p8130 +tp8131 +a(g200 +V\u005cf +p8132 +tp8133 +a(g892 +V(CW +p8134 +tp8135 +a(g200 +V\u005c* +p8136 +tp8137 +a(g892 +V(C`dbmclose +p8138 +tp8139 +a(g200 +V\u005c* +p8140 +tp8141 +a(g892 +V(C' +p8142 +tp8143 +a(g200 +V\u005cfR +p8144 +tp8145 +a(g892 +V, +p8146 +tp8147 +a(g200 +V\u005cf +p8148 +tp8149 +a(g892 +V(CW +p8150 +tp8151 +a(g200 +V\u005c* +p8152 +tp8153 +a(g892 +V(C`dbmopen +p8154 +tp8155 +a(g200 +V\u005c* +p8156 +tp8157 +a(g892 +V(C' +p8158 +tp8159 +a(g200 +V\u005cfR +p8160 +tp8161 +a(g892 +V\u000a +p8162 +tp8163 +a(g892 +g956 +tp8164 +a(g810 +VSh +p8165 +tp8166 +a(g892 +g980 +tp8167 +a(g144 +V"Portability" +p8168 +tp8169 +a(g892 +V\u000a +p8170 +tp8171 +a(g892 +g956 +tp8172 +a(g810 +VIX +p8173 +tp8174 +a(g892 +g980 +tp8175 +a(g76 +VXref +p8176 +tp8177 +a(g892 +g980 +tp8178 +a(g144 +V"portability Unix portable" +p8179 +tp8180 +a(g892 +V\u000a +p8181 +tp8182 +a(g892 +g956 +tp8183 +a(g810 +VIX +p8184 +tp8185 +a(g892 +g980 +tp8186 +a(g76 +VSubsection +p8187 +tp8188 +a(g892 +g980 +tp8189 +a(g144 +V"Portability" +p8190 +tp8191 +a(g892 +V\u000a +p8192 +tp8193 +a(g892 +VPerl was born in Unix and can therefore access all common Unix +p8194 +tp8195 +a(g892 +V\u000a +p8196 +tp8197 +a(g892 +Vsystem calls. In non-Unix environments, the functionality of some +p8198 +tp8199 +a(g892 +V\u000a +p8200 +tp8201 +a(g892 +VUnix system calls may not be available, or details of the available +p8202 +tp8203 +a(g892 +V\u000a +p8204 +tp8205 +a(g892 +Vfunctionality may differ slightly. The Perl functions affected +p8206 +tp8207 +a(g892 +V\u000a +p8208 +tp8209 +a(g892 +Vby this are: +p8210 +tp8211 +a(g892 +V\u000a +p8212 +tp8213 +a(g892 +g956 +tp8214 +a(g810 +VPP +p8215 +tp8216 +a(g892 +V\u000a +p8217 +tp8218 +a(g200 +V\u005c& +p8219 +tp8220 +a(g200 +V\u005cf +p8221 +tp8222 +a(g892 +V(CW +p8223 +tp8224 +a(g200 +V\u005c* +p8225 +tp8226 +a(g892 +V(C` +p8227 +tp8228 +a(g200 +V\u005c- +p8229 +tp8230 +a(g892 +VX +p8231 +tp8232 +a(g200 +V\u005c* +p8233 +tp8234 +a(g892 +V(C' +p8235 +tp8236 +a(g200 +V\u005cfR +p8237 +tp8238 +a(g892 +V, +p8239 +tp8240 +a(g200 +V\u005cf +p8241 +tp8242 +a(g892 +V(CW +p8243 +tp8244 +a(g200 +V\u005c* +p8245 +tp8246 +a(g892 +V(C`binmode +p8247 +tp8248 +a(g200 +V\u005c* +p8249 +tp8250 +a(g892 +V(C' +p8251 +tp8252 +a(g200 +V\u005cfR +p8253 +tp8254 +a(g892 +V, +p8255 +tp8256 +a(g200 +V\u005cf +p8257 +tp8258 +a(g892 +V(CW +p8259 +tp8260 +a(g200 +V\u005c* +p8261 +tp8262 +a(g892 +V(C`chmod +p8263 +tp8264 +a(g200 +V\u005c* +p8265 +tp8266 +a(g892 +V(C' +p8267 +tp8268 +a(g200 +V\u005cfR +p8269 +tp8270 +a(g892 +V, +p8271 +tp8272 +a(g200 +V\u005cf +p8273 +tp8274 +a(g892 +V(CW +p8275 +tp8276 +a(g200 +V\u005c* +p8277 +tp8278 +a(g892 +V(C`chown +p8279 +tp8280 +a(g200 +V\u005c* +p8281 +tp8282 +a(g892 +V(C' +p8283 +tp8284 +a(g200 +V\u005cfR +p8285 +tp8286 +a(g892 +V, +p8287 +tp8288 +a(g200 +V\u005cf +p8289 +tp8290 +a(g892 +V(CW +p8291 +tp8292 +a(g200 +V\u005c* +p8293 +tp8294 +a(g892 +V(C`chroot +p8295 +tp8296 +a(g200 +V\u005c* +p8297 +tp8298 +a(g892 +V(C' +p8299 +tp8300 +a(g200 +V\u005cfR +p8301 +tp8302 +a(g892 +V, +p8303 +tp8304 +a(g200 +V\u005cf +p8305 +tp8306 +a(g892 +V(CW +p8307 +tp8308 +a(g200 +V\u005c* +p8309 +tp8310 +a(g892 +V(C`crypt +p8311 +tp8312 +a(g200 +V\u005c* +p8313 +tp8314 +a(g892 +V(C' +p8315 +tp8316 +a(g200 +V\u005cfR +p8317 +tp8318 +a(g892 +g1785 +tp8319 +a(g892 +V\u000a +p8320 +tp8321 +a(g200 +V\u005c& +p8322 +tp8323 +a(g200 +V\u005cf +p8324 +tp8325 +a(g892 +V(CW +p8326 +tp8327 +a(g200 +V\u005c* +p8328 +tp8329 +a(g892 +V(C`dbmclose +p8330 +tp8331 +a(g200 +V\u005c* +p8332 +tp8333 +a(g892 +V(C' +p8334 +tp8335 +a(g200 +V\u005cfR +p8336 +tp8337 +a(g892 +V, +p8338 +tp8339 +a(g200 +V\u005cf +p8340 +tp8341 +a(g892 +V(CW +p8342 +tp8343 +a(g200 +V\u005c* +p8344 +tp8345 +a(g892 +V(C`dbmopen +p8346 +tp8347 +a(g200 +V\u005c* +p8348 +tp8349 +a(g892 +V(C' +p8350 +tp8351 +a(g200 +V\u005cfR +p8352 +tp8353 +a(g892 +V, +p8354 +tp8355 +a(g200 +V\u005cf +p8356 +tp8357 +a(g892 +V(CW +p8358 +tp8359 +a(g200 +V\u005c* +p8360 +tp8361 +a(g892 +V(C`dump +p8362 +tp8363 +a(g200 +V\u005c* +p8364 +tp8365 +a(g892 +V(C' +p8366 +tp8367 +a(g200 +V\u005cfR +p8368 +tp8369 +a(g892 +V, +p8370 +tp8371 +a(g200 +V\u005cf +p8372 +tp8373 +a(g892 +V(CW +p8374 +tp8375 +a(g200 +V\u005c* +p8376 +tp8377 +a(g892 +V(C`endgrent +p8378 +tp8379 +a(g200 +V\u005c* +p8380 +tp8381 +a(g892 +V(C' +p8382 +tp8383 +a(g200 +V\u005cfR +p8384 +tp8385 +a(g892 +V, +p8386 +tp8387 +a(g200 +V\u005cf +p8388 +tp8389 +a(g892 +V(CW +p8390 +tp8391 +a(g200 +V\u005c* +p8392 +tp8393 +a(g892 +V(C`endhostent +p8394 +tp8395 +a(g200 +V\u005c* +p8396 +tp8397 +a(g892 +V(C' +p8398 +tp8399 +a(g200 +V\u005cfR +p8400 +tp8401 +a(g892 +g1785 +tp8402 +a(g892 +V\u000a +p8403 +tp8404 +a(g200 +V\u005c& +p8405 +tp8406 +a(g200 +V\u005cf +p8407 +tp8408 +a(g892 +V(CW +p8409 +tp8410 +a(g200 +V\u005c* +p8411 +tp8412 +a(g892 +V(C`endnetent +p8413 +tp8414 +a(g200 +V\u005c* +p8415 +tp8416 +a(g892 +V(C' +p8417 +tp8418 +a(g200 +V\u005cfR +p8419 +tp8420 +a(g892 +V, +p8421 +tp8422 +a(g200 +V\u005cf +p8423 +tp8424 +a(g892 +V(CW +p8425 +tp8426 +a(g200 +V\u005c* +p8427 +tp8428 +a(g892 +V(C`endprotoent +p8429 +tp8430 +a(g200 +V\u005c* +p8431 +tp8432 +a(g892 +V(C' +p8433 +tp8434 +a(g200 +V\u005cfR +p8435 +tp8436 +a(g892 +V, +p8437 +tp8438 +a(g200 +V\u005cf +p8439 +tp8440 +a(g892 +V(CW +p8441 +tp8442 +a(g200 +V\u005c* +p8443 +tp8444 +a(g892 +V(C`endpwent +p8445 +tp8446 +a(g200 +V\u005c* +p8447 +tp8448 +a(g892 +V(C' +p8449 +tp8450 +a(g200 +V\u005cfR +p8451 +tp8452 +a(g892 +V, +p8453 +tp8454 +a(g200 +V\u005cf +p8455 +tp8456 +a(g892 +V(CW +p8457 +tp8458 +a(g200 +V\u005c* +p8459 +tp8460 +a(g892 +V(C`endservent +p8461 +tp8462 +a(g200 +V\u005c* +p8463 +tp8464 +a(g892 +V(C' +p8465 +tp8466 +a(g200 +V\u005cfR +p8467 +tp8468 +a(g892 +V, +p8469 +tp8470 +a(g200 +V\u005cf +p8471 +tp8472 +a(g892 +V(CW +p8473 +tp8474 +a(g200 +V\u005c* +p8475 +tp8476 +a(g892 +V(C`exec +p8477 +tp8478 +a(g200 +V\u005c* +p8479 +tp8480 +a(g892 +V(C' +p8481 +tp8482 +a(g200 +V\u005cfR +p8483 +tp8484 +a(g892 +g1785 +tp8485 +a(g892 +V\u000a +p8486 +tp8487 +a(g200 +V\u005c& +p8488 +tp8489 +a(g200 +V\u005cf +p8490 +tp8491 +a(g892 +V(CW +p8492 +tp8493 +a(g200 +V\u005c* +p8494 +tp8495 +a(g892 +V(C`fcntl +p8496 +tp8497 +a(g200 +V\u005c* +p8498 +tp8499 +a(g892 +V(C' +p8500 +tp8501 +a(g200 +V\u005cfR +p8502 +tp8503 +a(g892 +V, +p8504 +tp8505 +a(g200 +V\u005cf +p8506 +tp8507 +a(g892 +V(CW +p8508 +tp8509 +a(g200 +V\u005c* +p8510 +tp8511 +a(g892 +V(C`flock +p8512 +tp8513 +a(g200 +V\u005c* +p8514 +tp8515 +a(g892 +V(C' +p8516 +tp8517 +a(g200 +V\u005cfR +p8518 +tp8519 +a(g892 +V, +p8520 +tp8521 +a(g200 +V\u005cf +p8522 +tp8523 +a(g892 +V(CW +p8524 +tp8525 +a(g200 +V\u005c* +p8526 +tp8527 +a(g892 +V(C`fork +p8528 +tp8529 +a(g200 +V\u005c* +p8530 +tp8531 +a(g892 +V(C' +p8532 +tp8533 +a(g200 +V\u005cfR +p8534 +tp8535 +a(g892 +V, +p8536 +tp8537 +a(g200 +V\u005cf +p8538 +tp8539 +a(g892 +V(CW +p8540 +tp8541 +a(g200 +V\u005c* +p8542 +tp8543 +a(g892 +V(C`getgrent +p8544 +tp8545 +a(g200 +V\u005c* +p8546 +tp8547 +a(g892 +V(C' +p8548 +tp8549 +a(g200 +V\u005cfR +p8550 +tp8551 +a(g892 +V, +p8552 +tp8553 +a(g200 +V\u005cf +p8554 +tp8555 +a(g892 +V(CW +p8556 +tp8557 +a(g200 +V\u005c* +p8558 +tp8559 +a(g892 +V(C`getgrgid +p8560 +tp8561 +a(g200 +V\u005c* +p8562 +tp8563 +a(g892 +V(C' +p8564 +tp8565 +a(g200 +V\u005cfR +p8566 +tp8567 +a(g892 +V, +p8568 +tp8569 +a(g200 +V\u005cf +p8570 +tp8571 +a(g892 +V(CW +p8572 +tp8573 +a(g200 +V\u005c* +p8574 +tp8575 +a(g892 +V(C`gethostbyname +p8576 +tp8577 +a(g200 +V\u005c* +p8578 +tp8579 +a(g892 +V(C' +p8580 +tp8581 +a(g200 +V\u005cfR +p8582 +tp8583 +a(g892 +g1785 +tp8584 +a(g892 +V\u000a +p8585 +tp8586 +a(g200 +V\u005c& +p8587 +tp8588 +a(g200 +V\u005cf +p8589 +tp8590 +a(g892 +V(CW +p8591 +tp8592 +a(g200 +V\u005c* +p8593 +tp8594 +a(g892 +V(C`gethostent +p8595 +tp8596 +a(g200 +V\u005c* +p8597 +tp8598 +a(g892 +V(C' +p8599 +tp8600 +a(g200 +V\u005cfR +p8601 +tp8602 +a(g892 +V, +p8603 +tp8604 +a(g200 +V\u005cf +p8605 +tp8606 +a(g892 +V(CW +p8607 +tp8608 +a(g200 +V\u005c* +p8609 +tp8610 +a(g892 +V(C`getlogin +p8611 +tp8612 +a(g200 +V\u005c* +p8613 +tp8614 +a(g892 +V(C' +p8615 +tp8616 +a(g200 +V\u005cfR +p8617 +tp8618 +a(g892 +V, +p8619 +tp8620 +a(g200 +V\u005cf +p8621 +tp8622 +a(g892 +V(CW +p8623 +tp8624 +a(g200 +V\u005c* +p8625 +tp8626 +a(g892 +V(C`getnetbyaddr +p8627 +tp8628 +a(g200 +V\u005c* +p8629 +tp8630 +a(g892 +V(C' +p8631 +tp8632 +a(g200 +V\u005cfR +p8633 +tp8634 +a(g892 +V, +p8635 +tp8636 +a(g200 +V\u005cf +p8637 +tp8638 +a(g892 +V(CW +p8639 +tp8640 +a(g200 +V\u005c* +p8641 +tp8642 +a(g892 +V(C`getnetbyname +p8643 +tp8644 +a(g200 +V\u005c* +p8645 +tp8646 +a(g892 +V(C' +p8647 +tp8648 +a(g200 +V\u005cfR +p8649 +tp8650 +a(g892 +V, +p8651 +tp8652 +a(g200 +V\u005cf +p8653 +tp8654 +a(g892 +V(CW +p8655 +tp8656 +a(g200 +V\u005c* +p8657 +tp8658 +a(g892 +V(C`getnetent +p8659 +tp8660 +a(g200 +V\u005c* +p8661 +tp8662 +a(g892 +V(C' +p8663 +tp8664 +a(g200 +V\u005cfR +p8665 +tp8666 +a(g892 +g1785 +tp8667 +a(g892 +V\u000a +p8668 +tp8669 +a(g200 +V\u005c& +p8670 +tp8671 +a(g200 +V\u005cf +p8672 +tp8673 +a(g892 +V(CW +p8674 +tp8675 +a(g200 +V\u005c* +p8676 +tp8677 +a(g892 +V(C`getppid +p8678 +tp8679 +a(g200 +V\u005c* +p8680 +tp8681 +a(g892 +V(C' +p8682 +tp8683 +a(g200 +V\u005cfR +p8684 +tp8685 +a(g892 +V, +p8686 +tp8687 +a(g200 +V\u005cf +p8688 +tp8689 +a(g892 +V(CW +p8690 +tp8691 +a(g200 +V\u005c* +p8692 +tp8693 +a(g892 +V(C`getpgrp +p8694 +tp8695 +a(g200 +V\u005c* +p8696 +tp8697 +a(g892 +V(C' +p8698 +tp8699 +a(g200 +V\u005cfR +p8700 +tp8701 +a(g892 +V, +p8702 +tp8703 +a(g200 +V\u005cf +p8704 +tp8705 +a(g892 +V(CW +p8706 +tp8707 +a(g200 +V\u005c* +p8708 +tp8709 +a(g892 +V(C`getpriority +p8710 +tp8711 +a(g200 +V\u005c* +p8712 +tp8713 +a(g892 +V(C' +p8714 +tp8715 +a(g200 +V\u005cfR +p8716 +tp8717 +a(g892 +V, +p8718 +tp8719 +a(g200 +V\u005cf +p8720 +tp8721 +a(g892 +V(CW +p8722 +tp8723 +a(g200 +V\u005c* +p8724 +tp8725 +a(g892 +V(C`getprotobynumber +p8726 +tp8727 +a(g200 +V\u005c* +p8728 +tp8729 +a(g892 +V(C' +p8730 +tp8731 +a(g200 +V\u005cfR +p8732 +tp8733 +a(g892 +g1785 +tp8734 +a(g892 +V\u000a +p8735 +tp8736 +a(g200 +V\u005c& +p8737 +tp8738 +a(g200 +V\u005cf +p8739 +tp8740 +a(g892 +V(CW +p8741 +tp8742 +a(g200 +V\u005c* +p8743 +tp8744 +a(g892 +V(C`getprotoent +p8745 +tp8746 +a(g200 +V\u005c* +p8747 +tp8748 +a(g892 +V(C' +p8749 +tp8750 +a(g200 +V\u005cfR +p8751 +tp8752 +a(g892 +V, +p8753 +tp8754 +a(g200 +V\u005cf +p8755 +tp8756 +a(g892 +V(CW +p8757 +tp8758 +a(g200 +V\u005c* +p8759 +tp8760 +a(g892 +V(C`getpwent +p8761 +tp8762 +a(g200 +V\u005c* +p8763 +tp8764 +a(g892 +V(C' +p8765 +tp8766 +a(g200 +V\u005cfR +p8767 +tp8768 +a(g892 +V, +p8769 +tp8770 +a(g200 +V\u005cf +p8771 +tp8772 +a(g892 +V(CW +p8773 +tp8774 +a(g200 +V\u005c* +p8775 +tp8776 +a(g892 +V(C`getpwnam +p8777 +tp8778 +a(g200 +V\u005c* +p8779 +tp8780 +a(g892 +V(C' +p8781 +tp8782 +a(g200 +V\u005cfR +p8783 +tp8784 +a(g892 +V, +p8785 +tp8786 +a(g200 +V\u005cf +p8787 +tp8788 +a(g892 +V(CW +p8789 +tp8790 +a(g200 +V\u005c* +p8791 +tp8792 +a(g892 +V(C`getpwuid +p8793 +tp8794 +a(g200 +V\u005c* +p8795 +tp8796 +a(g892 +V(C' +p8797 +tp8798 +a(g200 +V\u005cfR +p8799 +tp8800 +a(g892 +g1785 +tp8801 +a(g892 +V\u000a +p8802 +tp8803 +a(g200 +V\u005c& +p8804 +tp8805 +a(g200 +V\u005cf +p8806 +tp8807 +a(g892 +V(CW +p8808 +tp8809 +a(g200 +V\u005c* +p8810 +tp8811 +a(g892 +V(C`getservbyport +p8812 +tp8813 +a(g200 +V\u005c* +p8814 +tp8815 +a(g892 +V(C' +p8816 +tp8817 +a(g200 +V\u005cfR +p8818 +tp8819 +a(g892 +V, +p8820 +tp8821 +a(g200 +V\u005cf +p8822 +tp8823 +a(g892 +V(CW +p8824 +tp8825 +a(g200 +V\u005c* +p8826 +tp8827 +a(g892 +V(C`getservent +p8828 +tp8829 +a(g200 +V\u005c* +p8830 +tp8831 +a(g892 +V(C' +p8832 +tp8833 +a(g200 +V\u005cfR +p8834 +tp8835 +a(g892 +V, +p8836 +tp8837 +a(g200 +V\u005cf +p8838 +tp8839 +a(g892 +V(CW +p8840 +tp8841 +a(g200 +V\u005c* +p8842 +tp8843 +a(g892 +V(C`getsockopt +p8844 +tp8845 +a(g200 +V\u005c* +p8846 +tp8847 +a(g892 +V(C' +p8848 +tp8849 +a(g200 +V\u005cfR +p8850 +tp8851 +a(g892 +V, +p8852 +tp8853 +a(g200 +V\u005cf +p8854 +tp8855 +a(g892 +V(CW +p8856 +tp8857 +a(g200 +V\u005c* +p8858 +tp8859 +a(g892 +V(C`glob +p8860 +tp8861 +a(g200 +V\u005c* +p8862 +tp8863 +a(g892 +V(C' +p8864 +tp8865 +a(g200 +V\u005cfR +p8866 +tp8867 +a(g892 +V, +p8868 +tp8869 +a(g200 +V\u005cf +p8870 +tp8871 +a(g892 +V(CW +p8872 +tp8873 +a(g200 +V\u005c* +p8874 +tp8875 +a(g892 +V(C`ioctl +p8876 +tp8877 +a(g200 +V\u005c* +p8878 +tp8879 +a(g892 +V(C' +p8880 +tp8881 +a(g200 +V\u005cfR +p8882 +tp8883 +a(g892 +g1785 +tp8884 +a(g892 +V\u000a +p8885 +tp8886 +a(g200 +V\u005c& +p8887 +tp8888 +a(g200 +V\u005cf +p8889 +tp8890 +a(g892 +V(CW +p8891 +tp8892 +a(g200 +V\u005c* +p8893 +tp8894 +a(g892 +V(C`kill +p8895 +tp8896 +a(g200 +V\u005c* +p8897 +tp8898 +a(g892 +V(C' +p8899 +tp8900 +a(g200 +V\u005cfR +p8901 +tp8902 +a(g892 +V, +p8903 +tp8904 +a(g200 +V\u005cf +p8905 +tp8906 +a(g892 +V(CW +p8907 +tp8908 +a(g200 +V\u005c* +p8909 +tp8910 +a(g892 +V(C`link +p8911 +tp8912 +a(g200 +V\u005c* +p8913 +tp8914 +a(g892 +V(C' +p8915 +tp8916 +a(g200 +V\u005cfR +p8917 +tp8918 +a(g892 +V, +p8919 +tp8920 +a(g200 +V\u005cf +p8921 +tp8922 +a(g892 +V(CW +p8923 +tp8924 +a(g200 +V\u005c* +p8925 +tp8926 +a(g892 +V(C`lstat +p8927 +tp8928 +a(g200 +V\u005c* +p8929 +tp8930 +a(g892 +V(C' +p8931 +tp8932 +a(g200 +V\u005cfR +p8933 +tp8934 +a(g892 +V, +p8935 +tp8936 +a(g200 +V\u005cf +p8937 +tp8938 +a(g892 +V(CW +p8939 +tp8940 +a(g200 +V\u005c* +p8941 +tp8942 +a(g892 +V(C`msgctl +p8943 +tp8944 +a(g200 +V\u005c* +p8945 +tp8946 +a(g892 +V(C' +p8947 +tp8948 +a(g200 +V\u005cfR +p8949 +tp8950 +a(g892 +V, +p8951 +tp8952 +a(g200 +V\u005cf +p8953 +tp8954 +a(g892 +V(CW +p8955 +tp8956 +a(g200 +V\u005c* +p8957 +tp8958 +a(g892 +V(C`msgget +p8959 +tp8960 +a(g200 +V\u005c* +p8961 +tp8962 +a(g892 +V(C' +p8963 +tp8964 +a(g200 +V\u005cfR +p8965 +tp8966 +a(g892 +V, +p8967 +tp8968 +a(g200 +V\u005cf +p8969 +tp8970 +a(g892 +V(CW +p8971 +tp8972 +a(g200 +V\u005c* +p8973 +tp8974 +a(g892 +V(C`msgrcv +p8975 +tp8976 +a(g200 +V\u005c* +p8977 +tp8978 +a(g892 +V(C' +p8979 +tp8980 +a(g200 +V\u005cfR +p8981 +tp8982 +a(g892 +g1785 +tp8983 +a(g892 +V\u000a +p8984 +tp8985 +a(g200 +V\u005c& +p8986 +tp8987 +a(g200 +V\u005cf +p8988 +tp8989 +a(g892 +V(CW +p8990 +tp8991 +a(g200 +V\u005c* +p8992 +tp8993 +a(g892 +V(C`msgsnd +p8994 +tp8995 +a(g200 +V\u005c* +p8996 +tp8997 +a(g892 +V(C' +p8998 +tp8999 +a(g200 +V\u005cfR +p9000 +tp9001 +a(g892 +V, +p9002 +tp9003 +a(g200 +V\u005cf +p9004 +tp9005 +a(g892 +V(CW +p9006 +tp9007 +a(g200 +V\u005c* +p9008 +tp9009 +a(g892 +V(C`open +p9010 +tp9011 +a(g200 +V\u005c* +p9012 +tp9013 +a(g892 +V(C' +p9014 +tp9015 +a(g200 +V\u005cfR +p9016 +tp9017 +a(g892 +V, +p9018 +tp9019 +a(g200 +V\u005cf +p9020 +tp9021 +a(g892 +V(CW +p9022 +tp9023 +a(g200 +V\u005c* +p9024 +tp9025 +a(g892 +V(C`pipe +p9026 +tp9027 +a(g200 +V\u005c* +p9028 +tp9029 +a(g892 +V(C' +p9030 +tp9031 +a(g200 +V\u005cfR +p9032 +tp9033 +a(g892 +V, +p9034 +tp9035 +a(g200 +V\u005cf +p9036 +tp9037 +a(g892 +V(CW +p9038 +tp9039 +a(g200 +V\u005c* +p9040 +tp9041 +a(g892 +V(C`readlink +p9042 +tp9043 +a(g200 +V\u005c* +p9044 +tp9045 +a(g892 +V(C' +p9046 +tp9047 +a(g200 +V\u005cfR +p9048 +tp9049 +a(g892 +V, +p9050 +tp9051 +a(g200 +V\u005cf +p9052 +tp9053 +a(g892 +V(CW +p9054 +tp9055 +a(g200 +V\u005c* +p9056 +tp9057 +a(g892 +V(C`rename +p9058 +tp9059 +a(g200 +V\u005c* +p9060 +tp9061 +a(g892 +V(C' +p9062 +tp9063 +a(g200 +V\u005cfR +p9064 +tp9065 +a(g892 +V, +p9066 +tp9067 +a(g200 +V\u005cf +p9068 +tp9069 +a(g892 +V(CW +p9070 +tp9071 +a(g200 +V\u005c* +p9072 +tp9073 +a(g892 +V(C`select +p9074 +tp9075 +a(g200 +V\u005c* +p9076 +tp9077 +a(g892 +V(C' +p9078 +tp9079 +a(g200 +V\u005cfR +p9080 +tp9081 +a(g892 +V, +p9082 +tp9083 +a(g200 +V\u005cf +p9084 +tp9085 +a(g892 +V(CW +p9086 +tp9087 +a(g200 +V\u005c* +p9088 +tp9089 +a(g892 +V(C`semctl +p9090 +tp9091 +a(g200 +V\u005c* +p9092 +tp9093 +a(g892 +V(C' +p9094 +tp9095 +a(g200 +V\u005cfR +p9096 +tp9097 +a(g892 +g1785 +tp9098 +a(g892 +V\u000a +p9099 +tp9100 +a(g200 +V\u005c& +p9101 +tp9102 +a(g200 +V\u005cf +p9103 +tp9104 +a(g892 +V(CW +p9105 +tp9106 +a(g200 +V\u005c* +p9107 +tp9108 +a(g892 +V(C`semget +p9109 +tp9110 +a(g200 +V\u005c* +p9111 +tp9112 +a(g892 +V(C' +p9113 +tp9114 +a(g200 +V\u005cfR +p9115 +tp9116 +a(g892 +V, +p9117 +tp9118 +a(g200 +V\u005cf +p9119 +tp9120 +a(g892 +V(CW +p9121 +tp9122 +a(g200 +V\u005c* +p9123 +tp9124 +a(g892 +V(C`semop +p9125 +tp9126 +a(g200 +V\u005c* +p9127 +tp9128 +a(g892 +V(C' +p9129 +tp9130 +a(g200 +V\u005cfR +p9131 +tp9132 +a(g892 +V, +p9133 +tp9134 +a(g200 +V\u005cf +p9135 +tp9136 +a(g892 +V(CW +p9137 +tp9138 +a(g200 +V\u005c* +p9139 +tp9140 +a(g892 +V(C`setgrent +p9141 +tp9142 +a(g200 +V\u005c* +p9143 +tp9144 +a(g892 +V(C' +p9145 +tp9146 +a(g200 +V\u005cfR +p9147 +tp9148 +a(g892 +V, +p9149 +tp9150 +a(g200 +V\u005cf +p9151 +tp9152 +a(g892 +V(CW +p9153 +tp9154 +a(g200 +V\u005c* +p9155 +tp9156 +a(g892 +V(C`sethostent +p9157 +tp9158 +a(g200 +V\u005c* +p9159 +tp9160 +a(g892 +V(C' +p9161 +tp9162 +a(g200 +V\u005cfR +p9163 +tp9164 +a(g892 +V, +p9165 +tp9166 +a(g200 +V\u005cf +p9167 +tp9168 +a(g892 +V(CW +p9169 +tp9170 +a(g200 +V\u005c* +p9171 +tp9172 +a(g892 +V(C`setnetent +p9173 +tp9174 +a(g200 +V\u005c* +p9175 +tp9176 +a(g892 +V(C' +p9177 +tp9178 +a(g200 +V\u005cfR +p9179 +tp9180 +a(g892 +g1785 +tp9181 +a(g892 +V\u000a +p9182 +tp9183 +a(g200 +V\u005c& +p9184 +tp9185 +a(g200 +V\u005cf +p9186 +tp9187 +a(g892 +V(CW +p9188 +tp9189 +a(g200 +V\u005c* +p9190 +tp9191 +a(g892 +V(C`setpgrp +p9192 +tp9193 +a(g200 +V\u005c* +p9194 +tp9195 +a(g892 +V(C' +p9196 +tp9197 +a(g200 +V\u005cfR +p9198 +tp9199 +a(g892 +V, +p9200 +tp9201 +a(g200 +V\u005cf +p9202 +tp9203 +a(g892 +V(CW +p9204 +tp9205 +a(g200 +V\u005c* +p9206 +tp9207 +a(g892 +V(C`setpriority +p9208 +tp9209 +a(g200 +V\u005c* +p9210 +tp9211 +a(g892 +V(C' +p9212 +tp9213 +a(g200 +V\u005cfR +p9214 +tp9215 +a(g892 +V, +p9216 +tp9217 +a(g200 +V\u005cf +p9218 +tp9219 +a(g892 +V(CW +p9220 +tp9221 +a(g200 +V\u005c* +p9222 +tp9223 +a(g892 +V(C`setprotoent +p9224 +tp9225 +a(g200 +V\u005c* +p9226 +tp9227 +a(g892 +V(C' +p9228 +tp9229 +a(g200 +V\u005cfR +p9230 +tp9231 +a(g892 +V, +p9232 +tp9233 +a(g200 +V\u005cf +p9234 +tp9235 +a(g892 +V(CW +p9236 +tp9237 +a(g200 +V\u005c* +p9238 +tp9239 +a(g892 +V(C`setpwent +p9240 +tp9241 +a(g200 +V\u005c* +p9242 +tp9243 +a(g892 +V(C' +p9244 +tp9245 +a(g200 +V\u005cfR +p9246 +tp9247 +a(g892 +g1785 +tp9248 +a(g892 +V\u000a +p9249 +tp9250 +a(g200 +V\u005c& +p9251 +tp9252 +a(g200 +V\u005cf +p9253 +tp9254 +a(g892 +V(CW +p9255 +tp9256 +a(g200 +V\u005c* +p9257 +tp9258 +a(g892 +V(C`setservent +p9259 +tp9260 +a(g200 +V\u005c* +p9261 +tp9262 +a(g892 +V(C' +p9263 +tp9264 +a(g200 +V\u005cfR +p9265 +tp9266 +a(g892 +V, +p9267 +tp9268 +a(g200 +V\u005cf +p9269 +tp9270 +a(g892 +V(CW +p9271 +tp9272 +a(g200 +V\u005c* +p9273 +tp9274 +a(g892 +V(C`setsockopt +p9275 +tp9276 +a(g200 +V\u005c* +p9277 +tp9278 +a(g892 +V(C' +p9279 +tp9280 +a(g200 +V\u005cfR +p9281 +tp9282 +a(g892 +V, +p9283 +tp9284 +a(g200 +V\u005cf +p9285 +tp9286 +a(g892 +V(CW +p9287 +tp9288 +a(g200 +V\u005c* +p9289 +tp9290 +a(g892 +V(C`shmctl +p9291 +tp9292 +a(g200 +V\u005c* +p9293 +tp9294 +a(g892 +V(C' +p9295 +tp9296 +a(g200 +V\u005cfR +p9297 +tp9298 +a(g892 +V, +p9299 +tp9300 +a(g200 +V\u005cf +p9301 +tp9302 +a(g892 +V(CW +p9303 +tp9304 +a(g200 +V\u005c* +p9305 +tp9306 +a(g892 +V(C`shmget +p9307 +tp9308 +a(g200 +V\u005c* +p9309 +tp9310 +a(g892 +V(C' +p9311 +tp9312 +a(g200 +V\u005cfR +p9313 +tp9314 +a(g892 +V, +p9315 +tp9316 +a(g200 +V\u005cf +p9317 +tp9318 +a(g892 +V(CW +p9319 +tp9320 +a(g200 +V\u005c* +p9321 +tp9322 +a(g892 +V(C`shmread +p9323 +tp9324 +a(g200 +V\u005c* +p9325 +tp9326 +a(g892 +V(C' +p9327 +tp9328 +a(g200 +V\u005cfR +p9329 +tp9330 +a(g892 +g1785 +tp9331 +a(g892 +V\u000a +p9332 +tp9333 +a(g200 +V\u005c& +p9334 +tp9335 +a(g200 +V\u005cf +p9336 +tp9337 +a(g892 +V(CW +p9338 +tp9339 +a(g200 +V\u005c* +p9340 +tp9341 +a(g892 +V(C`shmwrite +p9342 +tp9343 +a(g200 +V\u005c* +p9344 +tp9345 +a(g892 +V(C' +p9346 +tp9347 +a(g200 +V\u005cfR +p9348 +tp9349 +a(g892 +V, +p9350 +tp9351 +a(g200 +V\u005cf +p9352 +tp9353 +a(g892 +V(CW +p9354 +tp9355 +a(g200 +V\u005c* +p9356 +tp9357 +a(g892 +V(C`socket +p9358 +tp9359 +a(g200 +V\u005c* +p9360 +tp9361 +a(g892 +V(C' +p9362 +tp9363 +a(g200 +V\u005cfR +p9364 +tp9365 +a(g892 +V, +p9366 +tp9367 +a(g200 +V\u005cf +p9368 +tp9369 +a(g892 +V(CW +p9370 +tp9371 +a(g200 +V\u005c* +p9372 +tp9373 +a(g892 +V(C`socketpair +p9374 +tp9375 +a(g200 +V\u005c* +p9376 +tp9377 +a(g892 +V(C' +p9378 +tp9379 +a(g200 +V\u005cfR +p9380 +tp9381 +a(g892 +g1785 +tp9382 +a(g892 +V\u000a +p9383 +tp9384 +a(g200 +V\u005c& +p9385 +tp9386 +a(g200 +V\u005cf +p9387 +tp9388 +a(g892 +V(CW +p9389 +tp9390 +a(g200 +V\u005c* +p9391 +tp9392 +a(g892 +V(C`stat +p9393 +tp9394 +a(g200 +V\u005c* +p9395 +tp9396 +a(g892 +V(C' +p9397 +tp9398 +a(g200 +V\u005cfR +p9399 +tp9400 +a(g892 +V, +p9401 +tp9402 +a(g200 +V\u005cf +p9403 +tp9404 +a(g892 +V(CW +p9405 +tp9406 +a(g200 +V\u005c* +p9407 +tp9408 +a(g892 +V(C`symlink +p9409 +tp9410 +a(g200 +V\u005c* +p9411 +tp9412 +a(g892 +V(C' +p9413 +tp9414 +a(g200 +V\u005cfR +p9415 +tp9416 +a(g892 +V, +p9417 +tp9418 +a(g200 +V\u005cf +p9419 +tp9420 +a(g892 +V(CW +p9421 +tp9422 +a(g200 +V\u005c* +p9423 +tp9424 +a(g892 +V(C`syscall +p9425 +tp9426 +a(g200 +V\u005c* +p9427 +tp9428 +a(g892 +V(C' +p9429 +tp9430 +a(g200 +V\u005cfR +p9431 +tp9432 +a(g892 +V, +p9433 +tp9434 +a(g200 +V\u005cf +p9435 +tp9436 +a(g892 +V(CW +p9437 +tp9438 +a(g200 +V\u005c* +p9439 +tp9440 +a(g892 +V(C`sysopen +p9441 +tp9442 +a(g200 +V\u005c* +p9443 +tp9444 +a(g892 +V(C' +p9445 +tp9446 +a(g200 +V\u005cfR +p9447 +tp9448 +a(g892 +V, +p9449 +tp9450 +a(g200 +V\u005cf +p9451 +tp9452 +a(g892 +V(CW +p9453 +tp9454 +a(g200 +V\u005c* +p9455 +tp9456 +a(g892 +V(C`system +p9457 +tp9458 +a(g200 +V\u005c* +p9459 +tp9460 +a(g892 +V(C' +p9461 +tp9462 +a(g200 +V\u005cfR +p9463 +tp9464 +a(g892 +g1785 +tp9465 +a(g892 +V\u000a +p9466 +tp9467 +a(g200 +V\u005c& +p9468 +tp9469 +a(g200 +V\u005cf +p9470 +tp9471 +a(g892 +V(CW +p9472 +tp9473 +a(g200 +V\u005c* +p9474 +tp9475 +a(g892 +V(C`times +p9476 +tp9477 +a(g200 +V\u005c* +p9478 +tp9479 +a(g892 +V(C' +p9480 +tp9481 +a(g200 +V\u005cfR +p9482 +tp9483 +a(g892 +V, +p9484 +tp9485 +a(g200 +V\u005cf +p9486 +tp9487 +a(g892 +V(CW +p9488 +tp9489 +a(g200 +V\u005c* +p9490 +tp9491 +a(g892 +V(C`truncate +p9492 +tp9493 +a(g200 +V\u005c* +p9494 +tp9495 +a(g892 +V(C' +p9496 +tp9497 +a(g200 +V\u005cfR +p9498 +tp9499 +a(g892 +V, +p9500 +tp9501 +a(g200 +V\u005cf +p9502 +tp9503 +a(g892 +V(CW +p9504 +tp9505 +a(g200 +V\u005c* +p9506 +tp9507 +a(g892 +V(C`umask +p9508 +tp9509 +a(g200 +V\u005c* +p9510 +tp9511 +a(g892 +V(C' +p9512 +tp9513 +a(g200 +V\u005cfR +p9514 +tp9515 +a(g892 +V, +p9516 +tp9517 +a(g200 +V\u005cf +p9518 +tp9519 +a(g892 +V(CW +p9520 +tp9521 +a(g200 +V\u005c* +p9522 +tp9523 +a(g892 +V(C`unlink +p9524 +tp9525 +a(g200 +V\u005c* +p9526 +tp9527 +a(g892 +V(C' +p9528 +tp9529 +a(g200 +V\u005cfR +p9530 +tp9531 +a(g892 +g1785 +tp9532 +a(g892 +V\u000a +p9533 +tp9534 +a(g200 +V\u005c& +p9535 +tp9536 +a(g200 +V\u005cf +p9537 +tp9538 +a(g892 +V(CW +p9539 +tp9540 +a(g200 +V\u005c* +p9541 +tp9542 +a(g892 +V(C`utime +p9543 +tp9544 +a(g200 +V\u005c* +p9545 +tp9546 +a(g892 +V(C' +p9547 +tp9548 +a(g200 +V\u005cfR +p9549 +tp9550 +a(g892 +V, +p9551 +tp9552 +a(g200 +V\u005cf +p9553 +tp9554 +a(g892 +V(CW +p9555 +tp9556 +a(g200 +V\u005c* +p9557 +tp9558 +a(g892 +V(C`wait +p9559 +tp9560 +a(g200 +V\u005c* +p9561 +tp9562 +a(g892 +V(C' +p9563 +tp9564 +a(g200 +V\u005cfR +p9565 +tp9566 +a(g892 +V, +p9567 +tp9568 +a(g200 +V\u005cf +p9569 +tp9570 +a(g892 +V(CW +p9571 +tp9572 +a(g200 +V\u005c* +p9573 +tp9574 +a(g892 +V(C`waitpid +p9575 +tp9576 +a(g200 +V\u005c* +p9577 +tp9578 +a(g892 +V(C' +p9579 +tp9580 +a(g200 +V\u005cfR +p9581 +tp9582 +a(g892 +V\u000a +p9583 +tp9584 +a(g892 +g956 +tp9585 +a(g810 +VPP +p9586 +tp9587 +a(g892 +V\u000a +p9588 +tp9589 +a(g892 +VFor more information about the portability of these functions, see +p9590 +tp9591 +a(g892 +V\u000a +p9592 +tp9593 +a(g892 +Vperlport and other available platform-specific documentation. +p9594 +tp9595 +a(g892 +V\u000a +p9596 +tp9597 +a(g892 +g956 +tp9598 +a(g810 +VSh +p9599 +tp9600 +a(g892 +g980 +tp9601 +a(g144 +V"Alphabetical Listing of Perl Functions" +p9602 +tp9603 +a(g892 +V\u000a +p9604 +tp9605 +a(g892 +g956 +tp9606 +a(g810 +VIX +p9607 +tp9608 +a(g892 +g980 +tp9609 +a(g76 +VSubsection +p9610 +tp9611 +a(g892 +g980 +tp9612 +a(g144 +V"Alphabetical Listing of Perl Functions" +p9613 +tp9614 +a(g892 +V\u000a +p9615 +tp9616 +a(g892 +g956 +tp9617 +a(g810 +VIP +p9618 +tp9619 +a(g892 +g980 +tp9620 +a(g144 +V"\u005c-X \u005cs-1FILEHANDLE\u005cs0" +p9621 +tp9622 +a(g892 +g980 +tp9623 +a(g17 +g1942 +tp9624 +a(g892 +V\u000a +p9625 +tp9626 +a(g892 +g956 +tp9627 +a(g810 +VIX +p9628 +tp9629 +a(g892 +g980 +tp9630 +a(g76 +VXref +p9631 +tp9632 +a(g892 +g980 +tp9633 +a(g144 +V"-r -w -x -o -R -W -X -O -e -z -s -f -d -l -p -S -b -c -t -u -g -k -T -B -M -A -C" +p9634 +tp9635 +a(g892 +V\u000a +p9636 +tp9637 +a(g892 +g956 +tp9638 +a(g810 +VIX +p9639 +tp9640 +a(g892 +g980 +tp9641 +a(g76 +VItem +p9642 +tp9643 +a(g892 +g980 +tp9644 +a(g144 +V"-X FILEHANDLE" +p9645 +tp9646 +a(g892 +V\u000a +p9647 +tp9648 +a(g892 +g956 +tp9649 +a(g810 +VPD +p9650 +tp9651 +a(g892 +g980 +tp9652 +a(g17 +g1492 +tp9653 +a(g892 +V\u000a +p9654 +tp9655 +a(g892 +g956 +tp9656 +a(g810 +VIP +p9657 +tp9658 +a(g892 +g980 +tp9659 +a(g144 +V"\u005c-X \u005cs-1EXPR\u005cs0" +p9660 +tp9661 +a(g892 +g980 +tp9662 +a(g17 +g1942 +tp9663 +a(g892 +V\u000a +p9664 +tp9665 +a(g892 +g956 +tp9666 +a(g810 +VIX +p9667 +tp9668 +a(g892 +g980 +tp9669 +a(g76 +VItem +p9670 +tp9671 +a(g892 +g980 +tp9672 +a(g144 +V"-X EXPR" +p9673 +tp9674 +a(g892 +V\u000a +p9675 +tp9676 +a(g892 +g956 +tp9677 +a(g810 +VIP +p9678 +tp9679 +a(g892 +g980 +tp9680 +a(g144 +V"\u005c-X" +p9681 +tp9682 +a(g892 +g980 +tp9683 +a(g17 +g1942 +tp9684 +a(g892 +V\u000a +p9685 +tp9686 +a(g892 +g956 +tp9687 +a(g810 +VIX +p9688 +tp9689 +a(g892 +g980 +tp9690 +a(g76 +VItem +p9691 +tp9692 +a(g892 +g980 +tp9693 +a(g144 +V"-X" +p9694 +tp9695 +a(g892 +V\u000a +p9696 +tp9697 +a(g892 +g956 +tp9698 +a(g810 +VPD +p9699 +tp9700 +a(g892 +V\u000a +p9701 +tp9702 +a(g892 +VA file test, where X is one of the letters listed below. This unary +p9703 +tp9704 +a(g892 +V\u000a +p9705 +tp9706 +a(g892 +Voperator takes one argument, either a filename or a filehandle, and +p9707 +tp9708 +a(g892 +V\u000a +p9709 +tp9710 +a(g892 +Vtests the associated file to see if something is true about it. If the +p9711 +tp9712 +a(g892 +V\u000a +p9713 +tp9714 +a(g892 +Vargument is omitted, tests +p9715 +tp9716 +a(g200 +V\u005cf +p9717 +tp9718 +a(g892 +V(CW$_ +p9719 +tp9720 +a(g200 +V\u005cfR +p9721 +tp9722 +a(g892 +V, except for +p9723 +tp9724 +a(g200 +V\u005cf +p9725 +tp9726 +a(g892 +V(CW +p9727 +tp9728 +a(g200 +V\u005c* +p9729 +tp9730 +a(g892 +V(C` +p9731 +tp9732 +a(g200 +V\u005c- +p9733 +tp9734 +a(g892 +g998 +tp9735 +a(g200 +V\u005c* +p9736 +tp9737 +a(g892 +V(C' +p9738 +tp9739 +a(g200 +V\u005cfR +p9740 +tp9741 +a(g892 +V, which tests +p9742 +tp9743 +a(g200 +V\u005cs +p9744 +tp9745 +a(g892 +V-1STDIN +p9746 +tp9747 +a(g200 +V\u005cs +p9748 +tp9749 +a(g892 +V0. +p9750 +tp9751 +a(g892 +V\u000a +p9752 +tp9753 +a(g892 +VUnless otherwise documented, it returns +p9754 +tp9755 +a(g200 +V\u005cf +p9756 +tp9757 +a(g892 +V(CW1 +p9758 +tp9759 +a(g200 +V\u005cfR +p9760 +tp9761 +a(g892 +V for true and +p9762 +tp9763 +a(g200 +V\u005cf +p9764 +tp9765 +a(g892 +V(CW'' +p9766 +tp9767 +a(g200 +V\u005cfR +p9768 +tp9769 +a(g892 +V for false, or +p9770 +tp9771 +a(g892 +V\u000a +p9772 +tp9773 +a(g892 +Vthe undefined value if the file doesn't exist. Despite the funny +p9774 +tp9775 +a(g892 +V\u000a +p9776 +tp9777 +a(g892 +Vnames, precedence is the same as any other named unary operator, and +p9778 +tp9779 +a(g892 +V\u000a +p9780 +tp9781 +a(g892 +Vthe argument may be parenthesized like any other unary operator. The +p9782 +tp9783 +a(g892 +V\u000a +p9784 +tp9785 +a(g892 +Voperator may be any of: +p9786 +tp9787 +a(g892 +V\u000a +p9788 +tp9789 +a(g892 +g956 +tp9790 +a(g810 +VSp +p9791 +tp9792 +a(g892 +V\u000a +p9793 +tp9794 +a(g892 +g956 +tp9795 +a(g810 +VVb +p9796 +tp9797 +a(g892 +g980 +tp9798 +a(g17 +g3035 +tp9799 +a(g892 +V\u000a +p9800 +tp9801 +a(g200 +V\u005c& +p9802 +tp9803 +a(g892 +V -r File is readable by effective uid/gid. +p9804 +tp9805 +a(g892 +V\u000a +p9806 +tp9807 +a(g200 +V\u005c& +p9808 +tp9809 +a(g892 +V -w File is writable by effective uid/gid. +p9810 +tp9811 +a(g892 +V\u000a +p9812 +tp9813 +a(g200 +V\u005c& +p9814 +tp9815 +a(g892 +V -x File is executable by effective uid/gid. +p9816 +tp9817 +a(g892 +V\u000a +p9818 +tp9819 +a(g200 +V\u005c& +p9820 +tp9821 +a(g892 +V -o File is owned by effective uid. +p9822 +tp9823 +a(g892 +V\u000a +p9824 +tp9825 +a(g892 +g956 +tp9826 +a(g810 +VVe +p9827 +tp9828 +a(g892 +V\u000a +p9829 +tp9830 +a(g892 +g956 +tp9831 +a(g810 +VSp +p9832 +tp9833 +a(g892 +V\u000a +p9834 +tp9835 +a(g892 +g956 +tp9836 +a(g810 +VVb +p9837 +tp9838 +a(g892 +g980 +tp9839 +a(g17 +g3035 +tp9840 +a(g892 +V\u000a +p9841 +tp9842 +a(g200 +V\u005c& +p9843 +tp9844 +a(g892 +V -R File is readable by real uid/gid. +p9845 +tp9846 +a(g892 +V\u000a +p9847 +tp9848 +a(g200 +V\u005c& +p9849 +tp9850 +a(g892 +V -W File is writable by real uid/gid. +p9851 +tp9852 +a(g892 +V\u000a +p9853 +tp9854 +a(g200 +V\u005c& +p9855 +tp9856 +a(g892 +V -X File is executable by real uid/gid. +p9857 +tp9858 +a(g892 +V\u000a +p9859 +tp9860 +a(g200 +V\u005c& +p9861 +tp9862 +a(g892 +V -O File is owned by real uid. +p9863 +tp9864 +a(g892 +V\u000a +p9865 +tp9866 +a(g892 +g956 +tp9867 +a(g810 +VVe +p9868 +tp9869 +a(g892 +V\u000a +p9870 +tp9871 +a(g892 +g956 +tp9872 +a(g810 +VSp +p9873 +tp9874 +a(g892 +V\u000a +p9875 +tp9876 +a(g892 +g956 +tp9877 +a(g810 +VVb +p9878 +tp9879 +a(g892 +g980 +tp9880 +a(g17 +V3 +p9881 +tp9882 +a(g892 +V\u000a +p9883 +tp9884 +a(g200 +V\u005c& +p9885 +tp9886 +a(g892 +V -e File exists. +p9887 +tp9888 +a(g892 +V\u000a +p9889 +tp9890 +a(g200 +V\u005c& +p9891 +tp9892 +a(g892 +V -z File has zero size (is empty). +p9893 +tp9894 +a(g892 +V\u000a +p9895 +tp9896 +a(g200 +V\u005c& +p9897 +tp9898 +a(g892 +V -s File has nonzero size (returns size in bytes). +p9899 +tp9900 +a(g892 +V\u000a +p9901 +tp9902 +a(g892 +g956 +tp9903 +a(g810 +VVe +p9904 +tp9905 +a(g892 +V\u000a +p9906 +tp9907 +a(g892 +g956 +tp9908 +a(g810 +VSp +p9909 +tp9910 +a(g892 +V\u000a +p9911 +tp9912 +a(g892 +g956 +tp9913 +a(g810 +VVb +p9914 +tp9915 +a(g892 +g980 +tp9916 +a(g17 +g1942 +tp9917 +a(g892 +V\u000a +p9918 +tp9919 +a(g200 +V\u005c& +p9920 +tp9921 +a(g892 +V -f File is a plain file. +p9922 +tp9923 +a(g892 +V\u000a +p9924 +tp9925 +a(g200 +V\u005c& +p9926 +tp9927 +a(g892 +V -d File is a directory. +p9928 +tp9929 +a(g892 +V\u000a +p9930 +tp9931 +a(g200 +V\u005c& +p9932 +tp9933 +a(g892 +V -l File is a symbolic link. +p9934 +tp9935 +a(g892 +V\u000a +p9936 +tp9937 +a(g200 +V\u005c& +p9938 +tp9939 +a(g892 +V -p File is a named pipe (FIFO), or Filehandle is a pipe. +p9940 +tp9941 +a(g892 +V\u000a +p9942 +tp9943 +a(g200 +V\u005c& +p9944 +tp9945 +a(g892 +V -S File is a socket. +p9946 +tp9947 +a(g892 +V\u000a +p9948 +tp9949 +a(g200 +V\u005c& +p9950 +tp9951 +a(g892 +V -b File is a block special file. +p9952 +tp9953 +a(g892 +V\u000a +p9954 +tp9955 +a(g200 +V\u005c& +p9956 +tp9957 +a(g892 +V -c File is a character special file. +p9958 +tp9959 +a(g892 +V\u000a +p9960 +tp9961 +a(g200 +V\u005c& +p9962 +tp9963 +a(g892 +V -t Filehandle is opened to a tty. +p9964 +tp9965 +a(g892 +V\u000a +p9966 +tp9967 +a(g892 +g956 +tp9968 +a(g810 +VVe +p9969 +tp9970 +a(g892 +V\u000a +p9971 +tp9972 +a(g892 +g956 +tp9973 +a(g810 +VSp +p9974 +tp9975 +a(g892 +V\u000a +p9976 +tp9977 +a(g892 +g956 +tp9978 +a(g810 +VVb +p9979 +tp9980 +a(g892 +g980 +tp9981 +a(g17 +g9881 +tp9982 +a(g892 +V\u000a +p9983 +tp9984 +a(g200 +V\u005c& +p9985 +tp9986 +a(g892 +V -u File has setuid bit set. +p9987 +tp9988 +a(g892 +V\u000a +p9989 +tp9990 +a(g200 +V\u005c& +p9991 +tp9992 +a(g892 +V -g File has setgid bit set. +p9993 +tp9994 +a(g892 +V\u000a +p9995 +tp9996 +a(g200 +V\u005c& +p9997 +tp9998 +a(g892 +V -k File has sticky bit set. +p9999 +tp10000 +a(g892 +V\u000a +p10001 +tp10002 +a(g892 +g956 +tp10003 +a(g810 +VVe +p10004 +tp10005 +a(g892 +V\u000a +p10006 +tp10007 +a(g892 +g956 +tp10008 +a(g810 +VSp +p10009 +tp10010 +a(g892 +V\u000a +p10011 +tp10012 +a(g892 +g956 +tp10013 +a(g810 +VVb +p10014 +tp10015 +a(g892 +g980 +tp10016 +a(g17 +g2598 +tp10017 +a(g892 +V\u000a +p10018 +tp10019 +a(g200 +V\u005c& +p10020 +tp10021 +a(g892 +V -T File is an ASCII text file (heuristic guess). +p10022 +tp10023 +a(g892 +V\u000a +p10024 +tp10025 +a(g200 +V\u005c& +p10026 +tp10027 +a(g892 +V -B File is a "binary" file (opposite of -T). +p10028 +tp10029 +a(g892 +V\u000a +p10030 +tp10031 +a(g892 +g956 +tp10032 +a(g810 +VVe +p10033 +tp10034 +a(g892 +V\u000a +p10035 +tp10036 +a(g892 +g956 +tp10037 +a(g810 +VSp +p10038 +tp10039 +a(g892 +V\u000a +p10040 +tp10041 +a(g892 +g956 +tp10042 +a(g810 +VVb +p10043 +tp10044 +a(g892 +g980 +tp10045 +a(g17 +g9881 +tp10046 +a(g892 +V\u000a +p10047 +tp10048 +a(g200 +V\u005c& +p10049 +tp10050 +a(g892 +V -M Script start time minus file modification time, in days. +p10051 +tp10052 +a(g892 +V\u000a +p10053 +tp10054 +a(g200 +V\u005c& +p10055 +tp10056 +a(g892 +V -A Same for access time. +p10057 +tp10058 +a(g892 +V\u000a +p10059 +tp10060 +a(g200 +V\u005c& +p10061 +tp10062 +a(g892 +V -C Same for inode change time (Unix, may differ for other platforms) +p10063 +tp10064 +a(g892 +V\u000a +p10065 +tp10066 +a(g892 +g956 +tp10067 +a(g810 +VVe +p10068 +tp10069 +a(g892 +V\u000a +p10070 +tp10071 +a(g892 +g956 +tp10072 +a(g810 +VSp +p10073 +tp10074 +a(g892 +V\u000a +p10075 +tp10076 +a(g892 +VExample: +p10077 +tp10078 +a(g892 +V\u000a +p10079 +tp10080 +a(g892 +g956 +tp10081 +a(g810 +VSp +p10082 +tp10083 +a(g892 +V\u000a +p10084 +tp10085 +a(g892 +g956 +tp10086 +a(g810 +VVb +p10087 +tp10088 +a(g892 +g980 +tp10089 +a(g17 +g1009 +tp10090 +a(g892 +V\u000a +p10091 +tp10092 +a(g200 +V\u005c& +p10093 +tp10094 +a(g892 +V while (<>) { +p10095 +tp10096 +a(g892 +V\u000a +p10097 +tp10098 +a(g200 +V\u005c& +p10099 +tp10100 +a(g892 +V chomp; +p10101 +tp10102 +a(g892 +V\u000a +p10103 +tp10104 +a(g200 +V\u005c& +p10105 +tp10106 +a(g892 +V next unless -f $_; # ignore specials +p10107 +tp10108 +a(g892 +V\u000a +p10109 +tp10110 +a(g200 +V\u005c& +p10111 +tp10112 +a(g892 +V #... +p10113 +tp10114 +a(g892 +V\u000a +p10115 +tp10116 +a(g200 +V\u005c& +p10117 +tp10118 +a(g892 +V } +p10119 +tp10120 +a(g892 +V\u000a +p10121 +tp10122 +a(g892 +g956 +tp10123 +a(g810 +VVe +p10124 +tp10125 +a(g892 +V\u000a +p10126 +tp10127 +a(g892 +g956 +tp10128 +a(g810 +VSp +p10129 +tp10130 +a(g892 +V\u000a +p10131 +tp10132 +a(g892 +VThe interpretation of the file permission operators +p10133 +tp10134 +a(g200 +V\u005cf +p10135 +tp10136 +a(g892 +V(CW +p10137 +tp10138 +a(g200 +V\u005c* +p10139 +tp10140 +a(g892 +V(C` +p10141 +tp10142 +a(g200 +V\u005c- +p10143 +tp10144 +a(g892 +Vr +p10145 +tp10146 +a(g200 +V\u005c* +p10147 +tp10148 +a(g892 +V(C' +p10149 +tp10150 +a(g200 +V\u005cfR +p10151 +tp10152 +a(g892 +V, +p10153 +tp10154 +a(g200 +V\u005cf +p10155 +tp10156 +a(g892 +V(CW +p10157 +tp10158 +a(g200 +V\u005c* +p10159 +tp10160 +a(g892 +V(C` +p10161 +tp10162 +a(g200 +V\u005c- +p10163 +tp10164 +a(g892 +g1129 +tp10165 +a(g200 +V\u005c* +p10166 +tp10167 +a(g892 +V(C' +p10168 +tp10169 +a(g200 +V\u005cfR +p10170 +tp10171 +a(g892 +g1785 +tp10172 +a(g892 +V\u000a +p10173 +tp10174 +a(g200 +V\u005c& +p10175 +tp10176 +a(g200 +V\u005cf +p10177 +tp10178 +a(g892 +V(CW +p10179 +tp10180 +a(g200 +V\u005c* +p10181 +tp10182 +a(g892 +V(C` +p10183 +tp10184 +a(g200 +V\u005c- +p10185 +tp10186 +a(g892 +g2577 +tp10187 +a(g200 +V\u005c* +p10188 +tp10189 +a(g892 +V(C' +p10190 +tp10191 +a(g200 +V\u005cfR +p10192 +tp10193 +a(g892 +V, +p10194 +tp10195 +a(g200 +V\u005cf +p10196 +tp10197 +a(g892 +V(CW +p10198 +tp10199 +a(g200 +V\u005c* +p10200 +tp10201 +a(g892 +V(C` +p10202 +tp10203 +a(g200 +V\u005c- +p10204 +tp10205 +a(g892 +VW +p10206 +tp10207 +a(g200 +V\u005c* +p10208 +tp10209 +a(g892 +V(C' +p10210 +tp10211 +a(g200 +V\u005cfR +p10212 +tp10213 +a(g892 +V, +p10214 +tp10215 +a(g200 +V\u005cf +p10216 +tp10217 +a(g892 +V(CW +p10218 +tp10219 +a(g200 +V\u005c* +p10220 +tp10221 +a(g892 +V(C` +p10222 +tp10223 +a(g200 +V\u005c- +p10224 +tp10225 +a(g892 +Vx +p10226 +tp10227 +a(g200 +V\u005c* +p10228 +tp10229 +a(g892 +V(C' +p10230 +tp10231 +a(g200 +V\u005cfR +p10232 +tp10233 +a(g892 +V, and +p10234 +tp10235 +a(g200 +V\u005cf +p10236 +tp10237 +a(g892 +V(CW +p10238 +tp10239 +a(g200 +V\u005c* +p10240 +tp10241 +a(g892 +V(C` +p10242 +tp10243 +a(g200 +V\u005c- +p10244 +tp10245 +a(g892 +g8231 +tp10246 +a(g200 +V\u005c* +p10247 +tp10248 +a(g892 +V(C' +p10249 +tp10250 +a(g200 +V\u005cfR +p10251 +tp10252 +a(g892 +V is by default based solely on the mode +p10253 +tp10254 +a(g892 +V\u000a +p10255 +tp10256 +a(g892 +Vof the file and the uids and gids of the user. There may be other +p10257 +tp10258 +a(g892 +V\u000a +p10259 +tp10260 +a(g892 +Vreasons you can't actually read, write, or execute the file. Such +p10261 +tp10262 +a(g892 +V\u000a +p10263 +tp10264 +a(g892 +Vreasons may be for example network filesystem access controls, ACLs +p10265 +tp10266 +a(g892 +V\u000a +p10267 +tp10268 +a(g892 +V(access control lists), read-only filesystems, and unrecognized +p10269 +tp10270 +a(g892 +V\u000a +p10271 +tp10272 +a(g892 +Vexecutable formats. +p10273 +tp10274 +a(g892 +V\u000a +p10275 +tp10276 +a(g892 +g956 +tp10277 +a(g810 +VSp +p10278 +tp10279 +a(g892 +V\u000a +p10280 +tp10281 +a(g892 +VAlso note that, for the superuser on the local filesystems, the +p10282 +tp10283 +a(g200 +V\u005cf +p10284 +tp10285 +a(g892 +V(CW +p10286 +tp10287 +a(g200 +V\u005c* +p10288 +tp10289 +a(g892 +V(C` +p10290 +tp10291 +a(g200 +V\u005c- +p10292 +tp10293 +a(g892 +g10145 +tp10294 +a(g200 +V\u005c* +p10295 +tp10296 +a(g892 +V(C' +p10297 +tp10298 +a(g200 +V\u005cfR +p10299 +tp10300 +a(g892 +g1785 +tp10301 +a(g892 +V\u000a +p10302 +tp10303 +a(g200 +V\u005c& +p10304 +tp10305 +a(g200 +V\u005cf +p10306 +tp10307 +a(g892 +V(CW +p10308 +tp10309 +a(g200 +V\u005c* +p10310 +tp10311 +a(g892 +V(C` +p10312 +tp10313 +a(g200 +V\u005c- +p10314 +tp10315 +a(g892 +g1129 +tp10316 +a(g200 +V\u005c* +p10317 +tp10318 +a(g892 +V(C' +p10319 +tp10320 +a(g200 +V\u005cfR +p10321 +tp10322 +a(g892 +V, +p10323 +tp10324 +a(g200 +V\u005cf +p10325 +tp10326 +a(g892 +V(CW +p10327 +tp10328 +a(g200 +V\u005c* +p10329 +tp10330 +a(g892 +V(C` +p10331 +tp10332 +a(g200 +V\u005c- +p10333 +tp10334 +a(g892 +g2577 +tp10335 +a(g200 +V\u005c* +p10336 +tp10337 +a(g892 +V(C' +p10338 +tp10339 +a(g200 +V\u005cfR +p10340 +tp10341 +a(g892 +V, and +p10342 +tp10343 +a(g200 +V\u005cf +p10344 +tp10345 +a(g892 +V(CW +p10346 +tp10347 +a(g200 +V\u005c* +p10348 +tp10349 +a(g892 +V(C` +p10350 +tp10351 +a(g200 +V\u005c- +p10352 +tp10353 +a(g892 +g10206 +tp10354 +a(g200 +V\u005c* +p10355 +tp10356 +a(g892 +V(C' +p10357 +tp10358 +a(g200 +V\u005cfR +p10359 +tp10360 +a(g892 +V tests always return 1, and +p10361 +tp10362 +a(g200 +V\u005cf +p10363 +tp10364 +a(g892 +V(CW +p10365 +tp10366 +a(g200 +V\u005c* +p10367 +tp10368 +a(g892 +V(C` +p10369 +tp10370 +a(g200 +V\u005c- +p10371 +tp10372 +a(g892 +g10226 +tp10373 +a(g200 +V\u005c* +p10374 +tp10375 +a(g892 +V(C' +p10376 +tp10377 +a(g200 +V\u005cfR +p10378 +tp10379 +a(g892 +V and +p10380 +tp10381 +a(g200 +V\u005cf +p10382 +tp10383 +a(g892 +V(CW +p10384 +tp10385 +a(g200 +V\u005c* +p10386 +tp10387 +a(g892 +V(C` +p10388 +tp10389 +a(g200 +V\u005c- +p10390 +tp10391 +a(g892 +g8231 +tp10392 +a(g200 +V\u005c* +p10393 +tp10394 +a(g892 +V(C' +p10395 +tp10396 +a(g200 +V\u005cfR +p10397 +tp10398 +a(g892 +V return 1 +p10399 +tp10400 +a(g892 +V\u000a +p10401 +tp10402 +a(g892 +Vif any execute bit is set in the mode. Scripts run by the superuser +p10403 +tp10404 +a(g892 +V\u000a +p10405 +tp10406 +a(g892 +Vmay thus need to do a +p10407 +tp10408 +a(g200 +V\u005cfI +p10409 +tp10410 +a(g892 +Vstat() +p10411 +tp10412 +a(g200 +V\u005cfR +p10413 +tp10414 +a(g892 +V to determine the actual mode of the file, +p10415 +tp10416 +a(g892 +V\u000a +p10417 +tp10418 +a(g892 +Vor temporarily set their effective uid to something else. +p10419 +tp10420 +a(g892 +V\u000a +p10421 +tp10422 +a(g892 +g956 +tp10423 +a(g810 +VSp +p10424 +tp10425 +a(g892 +V\u000a +p10426 +tp10427 +a(g892 +VIf you are using ACLs, there is a pragma called +p10428 +tp10429 +a(g200 +V\u005cf +p10430 +tp10431 +a(g892 +V(CW +p10432 +tp10433 +a(g200 +V\u005c* +p10434 +tp10435 +a(g892 +V(C`filetest +p10436 +tp10437 +a(g200 +V\u005c* +p10438 +tp10439 +a(g892 +V(C' +p10440 +tp10441 +a(g200 +V\u005cfR +p10442 +tp10443 +a(g892 +V that may +p10444 +tp10445 +a(g892 +V\u000a +p10446 +tp10447 +a(g892 +Vproduce more accurate results than the bare +p10448 +tp10449 +a(g200 +V\u005cfI +p10450 +tp10451 +a(g892 +Vstat() +p10452 +tp10453 +a(g200 +V\u005cfR +p10454 +tp10455 +a(g892 +V mode bits. +p10456 +tp10457 +a(g892 +V\u000a +p10458 +tp10459 +a(g892 +VWhen under the +p10460 +tp10461 +a(g200 +V\u005cf +p10462 +tp10463 +a(g892 +V(CW +p10464 +tp10465 +a(g200 +V\u005c* +p10466 +tp10467 +a(g892 +V(C`use filetest 'access' +p10468 +tp10469 +a(g200 +V\u005c* +p10470 +tp10471 +a(g892 +V(C' +p10472 +tp10473 +a(g200 +V\u005cfR +p10474 +tp10475 +a(g892 +V the above-mentioned filetests +p10476 +tp10477 +a(g892 +V\u000a +p10478 +tp10479 +a(g892 +Vwill test whether the permission can (not) be granted using the +p10480 +tp10481 +a(g892 +V\u000a +p10482 +tp10483 +a(g200 +V\u005c& +p10484 +tp10485 +a(g200 +V\u005cfI +p10486 +tp10487 +a(g892 +Vaccess() +p10488 +tp10489 +a(g200 +V\u005cfR +p10490 +tp10491 +a(g892 +V family of system calls. Also note that the +p10492 +tp10493 +a(g200 +V\u005cf +p10494 +tp10495 +a(g892 +V(CW +p10496 +tp10497 +a(g200 +V\u005c* +p10498 +tp10499 +a(g892 +V(C` +p10500 +tp10501 +a(g200 +V\u005c- +p10502 +tp10503 +a(g892 +g10226 +tp10504 +a(g200 +V\u005c* +p10505 +tp10506 +a(g892 +V(C' +p10507 +tp10508 +a(g200 +V\u005cfR +p10509 +tp10510 +a(g892 +V and +p10511 +tp10512 +a(g200 +V\u005cf +p10513 +tp10514 +a(g892 +V(CW +p10515 +tp10516 +a(g200 +V\u005c* +p10517 +tp10518 +a(g892 +V(C` +p10519 +tp10520 +a(g200 +V\u005c- +p10521 +tp10522 +a(g892 +g8231 +tp10523 +a(g200 +V\u005c* +p10524 +tp10525 +a(g892 +V(C' +p10526 +tp10527 +a(g200 +V\u005cfR +p10528 +tp10529 +a(g892 +V may +p10530 +tp10531 +a(g892 +V\u000a +p10532 +tp10533 +a(g892 +Vunder this pragma return true even if there are no execute permission +p10534 +tp10535 +a(g892 +V\u000a +p10536 +tp10537 +a(g892 +Vbits set (nor any extra execute permission ACLs). This strangeness is +p10538 +tp10539 +a(g892 +V\u000a +p10540 +tp10541 +a(g892 +Vdue to the underlying system calls' definitions. Read the +p10542 +tp10543 +a(g892 +V\u000a +p10544 +tp10545 +a(g892 +Vdocumentation for the +p10546 +tp10547 +a(g200 +V\u005cf +p10548 +tp10549 +a(g892 +V(CW +p10550 +tp10551 +a(g200 +V\u005c* +p10552 +tp10553 +a(g892 +V(C`filetest +p10554 +tp10555 +a(g200 +V\u005c* +p10556 +tp10557 +a(g892 +V(C' +p10558 +tp10559 +a(g200 +V\u005cfR +p10560 +tp10561 +a(g892 +V pragma for more information. +p10562 +tp10563 +a(g892 +V\u000a +p10564 +tp10565 +a(g892 +g956 +tp10566 +a(g810 +VSp +p10567 +tp10568 +a(g892 +V\u000a +p10569 +tp10570 +a(g892 +VNote that +p10571 +tp10572 +a(g200 +V\u005cf +p10573 +tp10574 +a(g892 +V(CW +p10575 +tp10576 +a(g200 +V\u005c* +p10577 +tp10578 +a(g892 +V(C` +p10579 +tp10580 +a(g200 +V\u005c- +p10581 +tp10582 +a(g892 +Vs/a/b/ +p10583 +tp10584 +a(g200 +V\u005c* +p10585 +tp10586 +a(g892 +V(C' +p10587 +tp10588 +a(g200 +V\u005cfR +p10589 +tp10590 +a(g892 +V does not do a negated substitution. Saying +p10591 +tp10592 +a(g892 +V\u000a +p10593 +tp10594 +a(g200 +V\u005c& +p10595 +tp10596 +a(g200 +V\u005cf +p10597 +tp10598 +a(g892 +V(CW +p10599 +tp10600 +a(g200 +V\u005c* +p10601 +tp10602 +a(g892 +V(C` +p10603 +tp10604 +a(g200 +V\u005c- +p10605 +tp10606 +a(g892 +Vexp($foo) +p10607 +tp10608 +a(g200 +V\u005c* +p10609 +tp10610 +a(g892 +V(C' +p10611 +tp10612 +a(g200 +V\u005cfR +p10613 +tp10614 +a(g892 +V still works as expected, however +p10615 +tp10616 +a(g200 +V\u005c* +p10617 +tp10618 +a(g892 +V(--only single letters +p10619 +tp10620 +a(g892 +V\u000a +p10621 +tp10622 +a(g892 +Vfollowing a minus are interpreted as file tests. +p10623 +tp10624 +a(g892 +V\u000a +p10625 +tp10626 +a(g892 +g956 +tp10627 +a(g810 +VSp +p10628 +tp10629 +a(g892 +V\u000a +p10630 +tp10631 +a(g892 +VThe +p10632 +tp10633 +a(g200 +V\u005cf +p10634 +tp10635 +a(g892 +V(CW +p10636 +tp10637 +a(g200 +V\u005c* +p10638 +tp10639 +a(g892 +V(C` +p10640 +tp10641 +a(g200 +V\u005c- +p10642 +tp10643 +a(g892 +VT +p10644 +tp10645 +a(g200 +V\u005c* +p10646 +tp10647 +a(g892 +V(C' +p10648 +tp10649 +a(g200 +V\u005cfR +p10650 +tp10651 +a(g892 +V and +p10652 +tp10653 +a(g200 +V\u005cf +p10654 +tp10655 +a(g892 +V(CW +p10656 +tp10657 +a(g200 +V\u005c* +p10658 +tp10659 +a(g892 +V(C` +p10660 +tp10661 +a(g200 +V\u005c- +p10662 +tp10663 +a(g892 +VB +p10664 +tp10665 +a(g200 +V\u005c* +p10666 +tp10667 +a(g892 +V(C' +p10668 +tp10669 +a(g200 +V\u005cfR +p10670 +tp10671 +a(g892 +V switches work as follows. The first block or so of the +p10672 +tp10673 +a(g892 +V\u000a +p10674 +tp10675 +a(g892 +Vfile is examined for odd characters such as strange control codes or +p10676 +tp10677 +a(g892 +V\u000a +p10678 +tp10679 +a(g892 +Vcharacters with the high bit set. If too many strange characters (>30%) +p10680 +tp10681 +a(g892 +V\u000a +p10682 +tp10683 +a(g892 +Vare found, it's a +p10684 +tp10685 +a(g200 +V\u005cf +p10686 +tp10687 +a(g892 +V(CW +p10688 +tp10689 +a(g200 +V\u005c* +p10690 +tp10691 +a(g892 +V(C` +p10692 +tp10693 +a(g200 +V\u005c- +p10694 +tp10695 +a(g892 +g10664 +tp10696 +a(g200 +V\u005c* +p10697 +tp10698 +a(g892 +V(C' +p10699 +tp10700 +a(g200 +V\u005cfR +p10701 +tp10702 +a(g892 +V file; otherwise it's a +p10703 +tp10704 +a(g200 +V\u005cf +p10705 +tp10706 +a(g892 +V(CW +p10707 +tp10708 +a(g200 +V\u005c* +p10709 +tp10710 +a(g892 +V(C` +p10711 +tp10712 +a(g200 +V\u005c- +p10713 +tp10714 +a(g892 +g10644 +tp10715 +a(g200 +V\u005c* +p10716 +tp10717 +a(g892 +V(C' +p10718 +tp10719 +a(g200 +V\u005cfR +p10720 +tp10721 +a(g892 +V file. Also, any file +p10722 +tp10723 +a(g892 +V\u000a +p10724 +tp10725 +a(g892 +Vcontaining null in the first block is considered a binary file. If +p10726 +tp10727 +a(g200 +V\u005cf +p10728 +tp10729 +a(g892 +V(CW +p10730 +tp10731 +a(g200 +V\u005c* +p10732 +tp10733 +a(g892 +V(C` +p10734 +tp10735 +a(g200 +V\u005c- +p10736 +tp10737 +a(g892 +g10644 +tp10738 +a(g200 +V\u005c* +p10739 +tp10740 +a(g892 +V(C' +p10741 +tp10742 +a(g200 +V\u005cfR +p10743 +tp10744 +a(g892 +V\u000a +p10745 +tp10746 +a(g892 +Vor +p10747 +tp10748 +a(g200 +V\u005cf +p10749 +tp10750 +a(g892 +V(CW +p10751 +tp10752 +a(g200 +V\u005c* +p10753 +tp10754 +a(g892 +V(C` +p10755 +tp10756 +a(g200 +V\u005c- +p10757 +tp10758 +a(g892 +g10664 +tp10759 +a(g200 +V\u005c* +p10760 +tp10761 +a(g892 +V(C' +p10762 +tp10763 +a(g200 +V\u005cfR +p10764 +tp10765 +a(g892 +V is used on a filehandle, the current +p10766 +tp10767 +a(g200 +V\u005cs +p10768 +tp10769 +a(g892 +V-1IO +p10770 +tp10771 +a(g200 +V\u005cs +p10772 +tp10773 +a(g892 +V0 buffer is examined +p10774 +tp10775 +a(g892 +V\u000a +p10776 +tp10777 +a(g892 +Vrather than the first block. Both +p10778 +tp10779 +a(g200 +V\u005cf +p10780 +tp10781 +a(g892 +V(CW +p10782 +tp10783 +a(g200 +V\u005c* +p10784 +tp10785 +a(g892 +V(C` +p10786 +tp10787 +a(g200 +V\u005c- +p10788 +tp10789 +a(g892 +g10644 +tp10790 +a(g200 +V\u005c* +p10791 +tp10792 +a(g892 +V(C' +p10793 +tp10794 +a(g200 +V\u005cfR +p10795 +tp10796 +a(g892 +V and +p10797 +tp10798 +a(g200 +V\u005cf +p10799 +tp10800 +a(g892 +V(CW +p10801 +tp10802 +a(g200 +V\u005c* +p10803 +tp10804 +a(g892 +V(C` +p10805 +tp10806 +a(g200 +V\u005c- +p10807 +tp10808 +a(g892 +g10664 +tp10809 +a(g200 +V\u005c* +p10810 +tp10811 +a(g892 +V(C' +p10812 +tp10813 +a(g200 +V\u005cfR +p10814 +tp10815 +a(g892 +V return true on a null +p10816 +tp10817 +a(g892 +V\u000a +p10818 +tp10819 +a(g892 +Vfile, or a file at +p10820 +tp10821 +a(g200 +V\u005cs +p10822 +tp10823 +a(g892 +V-1EOF +p10824 +tp10825 +a(g200 +V\u005cs +p10826 +tp10827 +a(g892 +V0 when testing a filehandle. Because you have to +p10828 +tp10829 +a(g892 +V\u000a +p10830 +tp10831 +a(g892 +Vread a file to do the +p10832 +tp10833 +a(g200 +V\u005cf +p10834 +tp10835 +a(g892 +V(CW +p10836 +tp10837 +a(g200 +V\u005c* +p10838 +tp10839 +a(g892 +V(C` +p10840 +tp10841 +a(g200 +V\u005c- +p10842 +tp10843 +a(g892 +g10644 +tp10844 +a(g200 +V\u005c* +p10845 +tp10846 +a(g892 +V(C' +p10847 +tp10848 +a(g200 +V\u005cfR +p10849 +tp10850 +a(g892 +V test, on most occasions you want to use a +p10851 +tp10852 +a(g200 +V\u005cf +p10853 +tp10854 +a(g892 +V(CW +p10855 +tp10856 +a(g200 +V\u005c* +p10857 +tp10858 +a(g892 +V(C` +p10859 +tp10860 +a(g200 +V\u005c- +p10861 +tp10862 +a(g892 +Vf +p10863 +tp10864 +a(g200 +V\u005c* +p10865 +tp10866 +a(g892 +V(C' +p10867 +tp10868 +a(g200 +V\u005cfR +p10869 +tp10870 +a(g892 +V\u000a +p10871 +tp10872 +a(g892 +Vagainst the file first, as in +p10873 +tp10874 +a(g200 +V\u005cf +p10875 +tp10876 +a(g892 +V(CW +p10877 +tp10878 +a(g200 +V\u005c* +p10879 +tp10880 +a(g892 +V(C`next unless +p10881 +tp10882 +a(g200 +V\u005c- +p10883 +tp10884 +a(g892 +Vf $file && +p10885 +tp10886 +a(g200 +V\u005c- +p10887 +tp10888 +a(g892 +VT $file +p10889 +tp10890 +a(g200 +V\u005c* +p10891 +tp10892 +a(g892 +V(C' +p10893 +tp10894 +a(g200 +V\u005cfR +p10895 +tp10896 +a(g892 +g956 +tp10897 +a(g892 +V\u000a +p10898 +tp10899 +a(g892 +g956 +tp10900 +a(g810 +VSp +p10901 +tp10902 +a(g892 +V\u000a +p10903 +tp10904 +a(g892 +VIf any of the file tests (or either the +p10905 +tp10906 +a(g200 +V\u005cf +p10907 +tp10908 +a(g892 +V(CW +p10909 +tp10910 +a(g200 +V\u005c* +p10911 +tp10912 +a(g892 +V(C`stat +p10913 +tp10914 +a(g200 +V\u005c* +p10915 +tp10916 +a(g892 +V(C' +p10917 +tp10918 +a(g200 +V\u005cfR +p10919 +tp10920 +a(g892 +V or +p10921 +tp10922 +a(g200 +V\u005cf +p10923 +tp10924 +a(g892 +V(CW +p10925 +tp10926 +a(g200 +V\u005c* +p10927 +tp10928 +a(g892 +V(C`lstat +p10929 +tp10930 +a(g200 +V\u005c* +p10931 +tp10932 +a(g892 +V(C' +p10933 +tp10934 +a(g200 +V\u005cfR +p10935 +tp10936 +a(g892 +V operators) are given +p10937 +tp10938 +a(g892 +V\u000a +p10939 +tp10940 +a(g892 +Vthe special filehandle consisting of a solitary underline, then the stat +p10941 +tp10942 +a(g892 +V\u000a +p10943 +tp10944 +a(g892 +Vstructure of the previous file test (or stat operator) is used, saving +p10945 +tp10946 +a(g892 +V\u000a +p10947 +tp10948 +a(g892 +Va system call. (This doesn't work with +p10949 +tp10950 +a(g200 +V\u005cf +p10951 +tp10952 +a(g892 +V(CW +p10953 +tp10954 +a(g200 +V\u005c* +p10955 +tp10956 +a(g892 +V(C` +p10957 +tp10958 +a(g200 +V\u005c- +p10959 +tp10960 +a(g892 +g998 +tp10961 +a(g200 +V\u005c* +p10962 +tp10963 +a(g892 +V(C' +p10964 +tp10965 +a(g200 +V\u005cfR +p10966 +tp10967 +a(g892 +V, and you need to remember +p10968 +tp10969 +a(g892 +V\u000a +p10970 +tp10971 +a(g892 +Vthat +p10972 +tp10973 +a(g200 +V\u005cfI +p10974 +tp10975 +a(g892 +Vlstat() +p10976 +tp10977 +a(g200 +V\u005cfR +p10978 +tp10979 +a(g892 +V and +p10980 +tp10981 +a(g200 +V\u005cf +p10982 +tp10983 +a(g892 +V(CW +p10984 +tp10985 +a(g200 +V\u005c* +p10986 +tp10987 +a(g892 +V(C` +p10988 +tp10989 +a(g200 +V\u005c- +p10990 +tp10991 +a(g892 +Vl +p10992 +tp10993 +a(g200 +V\u005c* +p10994 +tp10995 +a(g892 +V(C' +p10996 +tp10997 +a(g200 +V\u005cfR +p10998 +tp10999 +a(g892 +V will leave values in the stat structure for the +p11000 +tp11001 +a(g892 +V\u000a +p11002 +tp11003 +a(g892 +Vsymbolic link, not the real file.) (Also, if the stat buffer was filled by +p11004 +tp11005 +a(g892 +V\u000a +p11006 +tp11007 +a(g892 +Van +p11008 +tp11009 +a(g200 +V\u005cf +p11010 +tp11011 +a(g892 +V(CW +p11012 +tp11013 +a(g200 +V\u005c* +p11014 +tp11015 +a(g892 +V(C`lstat +p11016 +tp11017 +a(g200 +V\u005c* +p11018 +tp11019 +a(g892 +V(C' +p11020 +tp11021 +a(g200 +V\u005cfR +p11022 +tp11023 +a(g892 +V call, +p11024 +tp11025 +a(g200 +V\u005cf +p11026 +tp11027 +a(g892 +V(CW +p11028 +tp11029 +a(g200 +V\u005c* +p11030 +tp11031 +a(g892 +V(C` +p11032 +tp11033 +a(g200 +V\u005c- +p11034 +tp11035 +a(g892 +g10644 +tp11036 +a(g200 +V\u005c* +p11037 +tp11038 +a(g892 +V(C' +p11039 +tp11040 +a(g200 +V\u005cfR +p11041 +tp11042 +a(g892 +V and +p11043 +tp11044 +a(g200 +V\u005cf +p11045 +tp11046 +a(g892 +V(CW +p11047 +tp11048 +a(g200 +V\u005c* +p11049 +tp11050 +a(g892 +V(C` +p11051 +tp11052 +a(g200 +V\u005c- +p11053 +tp11054 +a(g892 +g10664 +tp11055 +a(g200 +V\u005c* +p11056 +tp11057 +a(g892 +V(C' +p11058 +tp11059 +a(g200 +V\u005cfR +p11060 +tp11061 +a(g892 +V will reset it with the results of +p11062 +tp11063 +a(g200 +V\u005cf +p11064 +tp11065 +a(g892 +V(CW +p11066 +tp11067 +a(g200 +V\u005c* +p11068 +tp11069 +a(g892 +V(C`stat _ +p11070 +tp11071 +a(g200 +V\u005c* +p11072 +tp11073 +a(g892 +V(C' +p11074 +tp11075 +a(g200 +V\u005cfR +p11076 +tp11077 +a(g892 +V). +p11078 +tp11079 +a(g892 +V\u000a +p11080 +tp11081 +a(g892 +VExample: +p11082 +tp11083 +a(g892 +V\u000a +p11084 +tp11085 +a(g892 +g956 +tp11086 +a(g810 +VSp +p11087 +tp11088 +a(g892 +V\u000a +p11089 +tp11090 +a(g892 +g956 +tp11091 +a(g810 +VVb +p11092 +tp11093 +a(g892 +g980 +tp11094 +a(g17 +g2282 +tp11095 +a(g892 +V\u000a +p11096 +tp11097 +a(g200 +V\u005c& +p11098 +tp11099 +a(g892 +V print "Can do. +p11100 +tp11101 +a(g200 +V\u005ce +p11102 +tp11103 +a(g892 +Vn" if -r $a || -w _ || -x _; +p11104 +tp11105 +a(g892 +V\u000a +p11106 +tp11107 +a(g892 +g956 +tp11108 +a(g810 +VVe +p11109 +tp11110 +a(g892 +V\u000a +p11111 +tp11112 +a(g892 +g956 +tp11113 +a(g810 +VSp +p11114 +tp11115 +a(g892 +V\u000a +p11116 +tp11117 +a(g892 +g956 +tp11118 +a(g810 +VVb +p11119 +tp11120 +a(g892 +g980 +tp11121 +a(g17 +V9 +p11122 +tp11123 +a(g892 +V\u000a +p11124 +tp11125 +a(g200 +V\u005c& +p11126 +tp11127 +a(g892 +V stat($filename); +p11128 +tp11129 +a(g892 +V\u000a +p11130 +tp11131 +a(g200 +V\u005c& +p11132 +tp11133 +a(g892 +V print "Readable +p11134 +tp11135 +a(g200 +V\u005ce +p11136 +tp11137 +a(g892 +Vn" if -r _; +p11138 +tp11139 +a(g892 +V\u000a +p11140 +tp11141 +a(g200 +V\u005c& +p11142 +tp11143 +a(g892 +V print "Writable +p11144 +tp11145 +a(g200 +V\u005ce +p11146 +tp11147 +a(g892 +Vn" if -w _; +p11148 +tp11149 +a(g892 +V\u000a +p11150 +tp11151 +a(g200 +V\u005c& +p11152 +tp11153 +a(g892 +V print "Executable +p11154 +tp11155 +a(g200 +V\u005ce +p11156 +tp11157 +a(g892 +Vn" if -x _; +p11158 +tp11159 +a(g892 +V\u000a +p11160 +tp11161 +a(g200 +V\u005c& +p11162 +tp11163 +a(g892 +V print "Setuid +p11164 +tp11165 +a(g200 +V\u005ce +p11166 +tp11167 +a(g892 +Vn" if -u _; +p11168 +tp11169 +a(g892 +V\u000a +p11170 +tp11171 +a(g200 +V\u005c& +p11172 +tp11173 +a(g892 +V print "Setgid +p11174 +tp11175 +a(g200 +V\u005ce +p11176 +tp11177 +a(g892 +Vn" if -g _; +p11178 +tp11179 +a(g892 +V\u000a +p11180 +tp11181 +a(g200 +V\u005c& +p11182 +tp11183 +a(g892 +V print "Sticky +p11184 +tp11185 +a(g200 +V\u005ce +p11186 +tp11187 +a(g892 +Vn" if -k _; +p11188 +tp11189 +a(g892 +V\u000a +p11190 +tp11191 +a(g200 +V\u005c& +p11192 +tp11193 +a(g892 +V print "Text +p11194 +tp11195 +a(g200 +V\u005ce +p11196 +tp11197 +a(g892 +Vn" if -T _; +p11198 +tp11199 +a(g892 +V\u000a +p11200 +tp11201 +a(g200 +V\u005c& +p11202 +tp11203 +a(g892 +V print "Binary +p11204 +tp11205 +a(g200 +V\u005ce +p11206 +tp11207 +a(g892 +Vn" if -B _; +p11208 +tp11209 +a(g892 +V\u000a +p11210 +tp11211 +a(g892 +g956 +tp11212 +a(g810 +VVe +p11213 +tp11214 +a(g892 +V\u000a +p11215 +tp11216 +a(g892 +g956 +tp11217 +a(g810 +VIP +p11218 +tp11219 +a(g892 +g980 +tp11220 +a(g144 +V"abs \u005cs-1VALUE\u005cs0" +p11221 +tp11222 +a(g892 +g980 +tp11223 +a(g17 +g1942 +tp11224 +a(g892 +V\u000a +p11225 +tp11226 +a(g892 +g956 +tp11227 +a(g810 +VIX +p11228 +tp11229 +a(g892 +g980 +tp11230 +a(g76 +VXref +p11231 +tp11232 +a(g892 +g980 +tp11233 +a(g144 +V"abs absolute" +p11234 +tp11235 +a(g892 +V\u000a +p11236 +tp11237 +a(g892 +g956 +tp11238 +a(g810 +VIX +p11239 +tp11240 +a(g892 +g980 +tp11241 +a(g76 +VItem +p11242 +tp11243 +a(g892 +g980 +tp11244 +a(g144 +V"abs VALUE" +p11245 +tp11246 +a(g892 +V\u000a +p11247 +tp11248 +a(g892 +g956 +tp11249 +a(g810 +VPD +p11250 +tp11251 +a(g892 +g980 +tp11252 +a(g17 +g1492 +tp11253 +a(g892 +V\u000a +p11254 +tp11255 +a(g892 +g956 +tp11256 +a(g810 +VIP +p11257 +tp11258 +a(g892 +g980 +tp11259 +a(g144 +V"abs" +p11260 +tp11261 +a(g892 +g980 +tp11262 +a(g17 +g1942 +tp11263 +a(g892 +V\u000a +p11264 +tp11265 +a(g892 +g956 +tp11266 +a(g810 +VIX +p11267 +tp11268 +a(g892 +g980 +tp11269 +a(g76 +VItem +p11270 +tp11271 +a(g892 +g980 +tp11272 +a(g144 +V"abs" +p11273 +tp11274 +a(g892 +V\u000a +p11275 +tp11276 +a(g892 +g956 +tp11277 +a(g810 +VPD +p11278 +tp11279 +a(g892 +V\u000a +p11280 +tp11281 +a(g892 +VReturns the absolute value of its argument. +p11282 +tp11283 +a(g892 +V\u000a +p11284 +tp11285 +a(g892 +VIf +p11286 +tp11287 +a(g200 +V\u005cs +p11288 +tp11289 +a(g892 +V-1VALUE +p11290 +tp11291 +a(g200 +V\u005cs +p11292 +tp11293 +a(g892 +V0 is omitted, uses +p11294 +tp11295 +a(g200 +V\u005cf +p11296 +tp11297 +a(g892 +V(CW$_ +p11298 +tp11299 +a(g200 +V\u005cfR +p11300 +tp11301 +a(g892 +g956 +tp11302 +a(g892 +V\u000a +p11303 +tp11304 +a(g892 +g956 +tp11305 +a(g810 +VIP +p11306 +tp11307 +a(g892 +g980 +tp11308 +a(g144 +V"accept \u005cs-1NEWSOCKET\u005cs0,GENERICSOCKET" +p11309 +tp11310 +a(g892 +g980 +tp11311 +a(g17 +g1942 +tp11312 +a(g892 +V\u000a +p11313 +tp11314 +a(g892 +g956 +tp11315 +a(g810 +VIX +p11316 +tp11317 +a(g892 +g980 +tp11318 +a(g76 +VXref +p11319 +tp11320 +a(g892 +g980 +tp11321 +a(g144 +V"accept" +p11322 +tp11323 +a(g892 +V\u000a +p11324 +tp11325 +a(g892 +g956 +tp11326 +a(g810 +VIX +p11327 +tp11328 +a(g892 +g980 +tp11329 +a(g76 +VItem +p11330 +tp11331 +a(g892 +g980 +tp11332 +a(g144 +V"accept NEWSOCKET,GENERICSOCKET" +p11333 +tp11334 +a(g892 +V\u000a +p11335 +tp11336 +a(g892 +VAccepts an incoming socket connect, just as the +p11337 +tp11338 +a(g200 +V\u005cfI +p11339 +tp11340 +a(g892 +Vaccept +p11341 +tp11342 +a(g200 +V\u005cfR +p11343 +tp11344 +a(g200 +V\u005c| +p11345 +tp11346 +a(g892 +V(2) system call +p11347 +tp11348 +a(g892 +V\u000a +p11349 +tp11350 +a(g892 +Vdoes. Returns the packed address if it succeeded, false otherwise. +p11351 +tp11352 +a(g892 +V\u000a +p11353 +tp11354 +a(g892 +VSee the example in +p11355 +tp11356 +a(g200 +V\u005c* +p11357 +tp11358 +a(g892 +V(L"Sockets: Client/Server Communication +p11359 +tp11360 +a(g200 +V\u005c* +p11361 +tp11362 +a(g892 +V(R" in perlipc. +p11363 +tp11364 +a(g892 +V\u000a +p11365 +tp11366 +a(g892 +g956 +tp11367 +a(g810 +VSp +p11368 +tp11369 +a(g892 +V\u000a +p11370 +tp11371 +a(g892 +VOn systems that support a close-on-exec flag on files, the flag will +p11372 +tp11373 +a(g892 +V\u000a +p11374 +tp11375 +a(g892 +Vbe set for the newly opened file descriptor, as determined by the +p11376 +tp11377 +a(g892 +V\u000a +p11378 +tp11379 +a(g892 +Vvalue of $^F. See +p11380 +tp11381 +a(g200 +V\u005c* +p11382 +tp11383 +a(g892 +V(L"$^F +p11384 +tp11385 +a(g200 +V\u005c* +p11386 +tp11387 +a(g892 +V(R" in perlvar. +p11388 +tp11389 +a(g892 +V\u000a +p11390 +tp11391 +a(g892 +g956 +tp11392 +a(g810 +VIP +p11393 +tp11394 +a(g892 +g980 +tp11395 +a(g144 +V"alarm \u005cs-1SECONDS\u005cs0" +p11396 +tp11397 +a(g892 +g980 +tp11398 +a(g17 +g1942 +tp11399 +a(g892 +V\u000a +p11400 +tp11401 +a(g892 +g956 +tp11402 +a(g810 +VIX +p11403 +tp11404 +a(g892 +g980 +tp11405 +a(g76 +VXref +p11406 +tp11407 +a(g892 +g980 +tp11408 +a(g144 +V"alarm SIGALRM timer" +p11409 +tp11410 +a(g892 +V\u000a +p11411 +tp11412 +a(g892 +g956 +tp11413 +a(g810 +VIX +p11414 +tp11415 +a(g892 +g980 +tp11416 +a(g76 +VItem +p11417 +tp11418 +a(g892 +g980 +tp11419 +a(g144 +V"alarm SECONDS" +p11420 +tp11421 +a(g892 +V\u000a +p11422 +tp11423 +a(g892 +g956 +tp11424 +a(g810 +VPD +p11425 +tp11426 +a(g892 +g980 +tp11427 +a(g17 +g1492 +tp11428 +a(g892 +V\u000a +p11429 +tp11430 +a(g892 +g956 +tp11431 +a(g810 +VIP +p11432 +tp11433 +a(g892 +g980 +tp11434 +a(g144 +V"alarm" +p11435 +tp11436 +a(g892 +g980 +tp11437 +a(g17 +g1942 +tp11438 +a(g892 +V\u000a +p11439 +tp11440 +a(g892 +g956 +tp11441 +a(g810 +VIX +p11442 +tp11443 +a(g892 +g980 +tp11444 +a(g76 +VItem +p11445 +tp11446 +a(g892 +g980 +tp11447 +a(g144 +V"alarm" +p11448 +tp11449 +a(g892 +V\u000a +p11450 +tp11451 +a(g892 +g956 +tp11452 +a(g810 +VPD +p11453 +tp11454 +a(g892 +V\u000a +p11455 +tp11456 +a(g892 +VArranges to have a +p11457 +tp11458 +a(g200 +V\u005cs +p11459 +tp11460 +a(g892 +V-1SIGALRM +p11461 +tp11462 +a(g200 +V\u005cs +p11463 +tp11464 +a(g892 +V0 delivered to this process after the +p11465 +tp11466 +a(g892 +V\u000a +p11467 +tp11468 +a(g892 +Vspecified number of wallclock seconds has elapsed. If +p11469 +tp11470 +a(g200 +V\u005cs +p11471 +tp11472 +a(g892 +V-1SECONDS +p11473 +tp11474 +a(g200 +V\u005cs +p11475 +tp11476 +a(g892 +V0 is not +p11477 +tp11478 +a(g892 +V\u000a +p11479 +tp11480 +a(g892 +Vspecified, the value stored in +p11481 +tp11482 +a(g200 +V\u005cf +p11483 +tp11484 +a(g892 +V(CW$_ +p11485 +tp11486 +a(g200 +V\u005cfR +p11487 +tp11488 +a(g892 +V is used. (On some machines, +p11489 +tp11490 +a(g892 +V\u000a +p11491 +tp11492 +a(g892 +Vunfortunately, the elapsed time may be up to one second less or more +p11493 +tp11494 +a(g892 +V\u000a +p11495 +tp11496 +a(g892 +Vthan you specified because of how seconds are counted, and process +p11497 +tp11498 +a(g892 +V\u000a +p11499 +tp11500 +a(g892 +Vscheduling may delay the delivery of the signal even further.) +p11501 +tp11502 +a(g892 +V\u000a +p11503 +tp11504 +a(g892 +g956 +tp11505 +a(g810 +VSp +p11506 +tp11507 +a(g892 +V\u000a +p11508 +tp11509 +a(g892 +VOnly one timer may be counting at once. Each call disables the +p11510 +tp11511 +a(g892 +V\u000a +p11512 +tp11513 +a(g892 +Vprevious timer, and an argument of +p11514 +tp11515 +a(g200 +V\u005cf +p11516 +tp11517 +a(g892 +V(CW0 +p11518 +tp11519 +a(g200 +V\u005cfR +p11520 +tp11521 +a(g892 +V may be supplied to cancel the +p11522 +tp11523 +a(g892 +V\u000a +p11524 +tp11525 +a(g892 +Vprevious timer without starting a new one. The returned value is the +p11526 +tp11527 +a(g892 +V\u000a +p11528 +tp11529 +a(g892 +Vamount of time remaining on the previous timer. +p11530 +tp11531 +a(g892 +V\u000a +p11532 +tp11533 +a(g892 +g956 +tp11534 +a(g810 +VSp +p11535 +tp11536 +a(g892 +V\u000a +p11537 +tp11538 +a(g892 +VFor delays of finer granularity than one second, you may use Perl's +p11539 +tp11540 +a(g892 +V\u000a +p11541 +tp11542 +a(g892 +Vfour-argument version of +p11543 +tp11544 +a(g200 +V\u005cfI +p11545 +tp11546 +a(g892 +Vselect() +p11547 +tp11548 +a(g200 +V\u005cfR +p11549 +tp11550 +a(g892 +V leaving the first three arguments +p11551 +tp11552 +a(g892 +V\u000a +p11553 +tp11554 +a(g892 +Vundefined, or you might be able to use the +p11555 +tp11556 +a(g200 +V\u005cf +p11557 +tp11558 +a(g892 +V(CW +p11559 +tp11560 +a(g200 +V\u005c* +p11561 +tp11562 +a(g892 +V(C`syscall +p11563 +tp11564 +a(g200 +V\u005c* +p11565 +tp11566 +a(g892 +V(C' +p11567 +tp11568 +a(g200 +V\u005cfR +p11569 +tp11570 +a(g892 +V interface to +p11571 +tp11572 +a(g892 +V\u000a +p11573 +tp11574 +a(g892 +Vaccess +p11575 +tp11576 +a(g200 +V\u005cfI +p11577 +tp11578 +a(g892 +Vsetitimer +p11579 +tp11580 +a(g200 +V\u005cfR +p11581 +tp11582 +a(g200 +V\u005c| +p11583 +tp11584 +a(g892 +V(2) if your system supports it. The Time::HiRes +p11585 +tp11586 +a(g892 +V\u000a +p11587 +tp11588 +a(g892 +Vmodule (from +p11589 +tp11590 +a(g200 +V\u005cs +p11591 +tp11592 +a(g892 +V-1CPAN +p11593 +tp11594 +a(g200 +V\u005cs +p11595 +tp11596 +a(g892 +V0, and starting from Perl 5.8 part of the standard +p11597 +tp11598 +a(g892 +V\u000a +p11599 +tp11600 +a(g892 +Vdistribution) may also prove useful. +p11601 +tp11602 +a(g892 +V\u000a +p11603 +tp11604 +a(g892 +g956 +tp11605 +a(g810 +VSp +p11606 +tp11607 +a(g892 +V\u000a +p11608 +tp11609 +a(g892 +VIt is usually a mistake to intermix +p11610 +tp11611 +a(g200 +V\u005cf +p11612 +tp11613 +a(g892 +V(CW +p11614 +tp11615 +a(g200 +V\u005c* +p11616 +tp11617 +a(g892 +V(C`alarm +p11618 +tp11619 +a(g200 +V\u005c* +p11620 +tp11621 +a(g892 +V(C' +p11622 +tp11623 +a(g200 +V\u005cfR +p11624 +tp11625 +a(g892 +V and +p11626 +tp11627 +a(g200 +V\u005cf +p11628 +tp11629 +a(g892 +V(CW +p11630 +tp11631 +a(g200 +V\u005c* +p11632 +tp11633 +a(g892 +V(C`sleep +p11634 +tp11635 +a(g200 +V\u005c* +p11636 +tp11637 +a(g892 +V(C' +p11638 +tp11639 +a(g200 +V\u005cfR +p11640 +tp11641 +a(g892 +V calls. +p11642 +tp11643 +a(g892 +V\u000a +p11644 +tp11645 +a(g892 +V( +p11646 +tp11647 +a(g200 +V\u005cf +p11648 +tp11649 +a(g892 +V(CW +p11650 +tp11651 +a(g200 +V\u005c* +p11652 +tp11653 +a(g892 +V(C`sleep +p11654 +tp11655 +a(g200 +V\u005c* +p11656 +tp11657 +a(g892 +V(C' +p11658 +tp11659 +a(g200 +V\u005cfR +p11660 +tp11661 +a(g892 +V may be internally implemented in your system with +p11662 +tp11663 +a(g200 +V\u005cf +p11664 +tp11665 +a(g892 +V(CW +p11666 +tp11667 +a(g200 +V\u005c* +p11668 +tp11669 +a(g892 +V(C`alarm +p11670 +tp11671 +a(g200 +V\u005c* +p11672 +tp11673 +a(g892 +V(C' +p11674 +tp11675 +a(g200 +V\u005cfR +p11676 +tp11677 +a(g892 +V) +p11678 +tp11679 +a(g892 +V\u000a +p11680 +tp11681 +a(g892 +g956 +tp11682 +a(g810 +VSp +p11683 +tp11684 +a(g892 +V\u000a +p11685 +tp11686 +a(g892 +VIf you want to use +p11687 +tp11688 +a(g200 +V\u005cf +p11689 +tp11690 +a(g892 +V(CW +p11691 +tp11692 +a(g200 +V\u005c* +p11693 +tp11694 +a(g892 +V(C`alarm +p11695 +tp11696 +a(g200 +V\u005c* +p11697 +tp11698 +a(g892 +V(C' +p11699 +tp11700 +a(g200 +V\u005cfR +p11701 +tp11702 +a(g892 +V to time out a system call you need to use an +p11703 +tp11704 +a(g892 +V\u000a +p11705 +tp11706 +a(g200 +V\u005c& +p11707 +tp11708 +a(g200 +V\u005cf +p11709 +tp11710 +a(g892 +V(CW +p11711 +tp11712 +a(g200 +V\u005c* +p11713 +tp11714 +a(g892 +V(C`eval +p11715 +tp11716 +a(g200 +V\u005c* +p11717 +tp11718 +a(g892 +V(C' +p11719 +tp11720 +a(g200 +V\u005cfR +p11721 +tp11722 +a(g892 +g1810 +tp11723 +a(g200 +V\u005cf +p11724 +tp11725 +a(g892 +V(CW +p11726 +tp11727 +a(g200 +V\u005c* +p11728 +tp11729 +a(g892 +V(C`die +p11730 +tp11731 +a(g200 +V\u005c* +p11732 +tp11733 +a(g892 +V(C' +p11734 +tp11735 +a(g200 +V\u005cfR +p11736 +tp11737 +a(g892 +V pair. You can't rely on the alarm causing the system call to +p11738 +tp11739 +a(g892 +V\u000a +p11740 +tp11741 +a(g892 +Vfail with +p11742 +tp11743 +a(g200 +V\u005cf +p11744 +tp11745 +a(g892 +V(CW$! +p11746 +tp11747 +a(g200 +V\u005cfR +p11748 +tp11749 +a(g892 +V set to +p11750 +tp11751 +a(g200 +V\u005cf +p11752 +tp11753 +a(g892 +V(CW +p11754 +tp11755 +a(g200 +V\u005c* +p11756 +tp11757 +a(g892 +V(C`EINTR +p11758 +tp11759 +a(g200 +V\u005c* +p11760 +tp11761 +a(g892 +V(C' +p11762 +tp11763 +a(g200 +V\u005cfR +p11764 +tp11765 +a(g892 +V because Perl sets up signal handlers to +p11766 +tp11767 +a(g892 +V\u000a +p11768 +tp11769 +a(g892 +Vrestart system calls on some systems. Using +p11770 +tp11771 +a(g200 +V\u005cf +p11772 +tp11773 +a(g892 +V(CW +p11774 +tp11775 +a(g200 +V\u005c* +p11776 +tp11777 +a(g892 +V(C`eval +p11778 +tp11779 +a(g200 +V\u005c* +p11780 +tp11781 +a(g892 +V(C' +p11782 +tp11783 +a(g200 +V\u005cfR +p11784 +tp11785 +a(g892 +g1810 +tp11786 +a(g200 +V\u005cf +p11787 +tp11788 +a(g892 +V(CW +p11789 +tp11790 +a(g200 +V\u005c* +p11791 +tp11792 +a(g892 +V(C`die +p11793 +tp11794 +a(g200 +V\u005c* +p11795 +tp11796 +a(g892 +V(C' +p11797 +tp11798 +a(g200 +V\u005cfR +p11799 +tp11800 +a(g892 +V always works, +p11801 +tp11802 +a(g892 +V\u000a +p11803 +tp11804 +a(g892 +Vmodulo the caveats given in +p11805 +tp11806 +a(g200 +V\u005c* +p11807 +tp11808 +a(g892 +V(L"Signals +p11809 +tp11810 +a(g200 +V\u005c* +p11811 +tp11812 +a(g892 +V(R" in perlipc. +p11813 +tp11814 +a(g892 +V\u000a +p11815 +tp11816 +a(g892 +g956 +tp11817 +a(g810 +VSp +p11818 +tp11819 +a(g892 +V\u000a +p11820 +tp11821 +a(g892 +g956 +tp11822 +a(g810 +VVb +p11823 +tp11824 +a(g892 +g980 +tp11825 +a(g17 +V13 +p11826 +tp11827 +a(g892 +V\u000a +p11828 +tp11829 +a(g200 +V\u005c& +p11830 +tp11831 +a(g892 +V eval { +p11832 +tp11833 +a(g892 +V\u000a +p11834 +tp11835 +a(g200 +V\u005c& +p11836 +tp11837 +a(g892 +V local $SIG{ALRM} = sub { die "alarm +p11838 +tp11839 +a(g200 +V\u005ce +p11840 +tp11841 +a(g892 +Vn" }; # NB: +p11842 +tp11843 +a(g200 +V\u005ce +p11844 +tp11845 +a(g892 +Vn required +p11846 +tp11847 +a(g892 +V\u000a +p11848 +tp11849 +a(g200 +V\u005c& +p11850 +tp11851 +a(g892 +V alarm $timeout; +p11852 +tp11853 +a(g892 +V\u000a +p11854 +tp11855 +a(g200 +V\u005c& +p11856 +tp11857 +a(g892 +V $nread = sysread SOCKET, $buffer, $size; +p11858 +tp11859 +a(g892 +V\u000a +p11860 +tp11861 +a(g200 +V\u005c& +p11862 +tp11863 +a(g892 +V alarm 0; +p11864 +tp11865 +a(g892 +V\u000a +p11866 +tp11867 +a(g200 +V\u005c& +p11868 +tp11869 +a(g892 +V }; +p11870 +tp11871 +a(g892 +V\u000a +p11872 +tp11873 +a(g200 +V\u005c& +p11874 +tp11875 +a(g892 +V if ($@) { +p11876 +tp11877 +a(g892 +V\u000a +p11878 +tp11879 +a(g200 +V\u005c& +p11880 +tp11881 +a(g892 +V die unless $@ eq "alarm +p11882 +tp11883 +a(g200 +V\u005ce +p11884 +tp11885 +a(g892 +Vn"; # propagate unexpected errors +p11886 +tp11887 +a(g892 +V\u000a +p11888 +tp11889 +a(g200 +V\u005c& +p11890 +tp11891 +a(g892 +V # timed out +p11892 +tp11893 +a(g892 +V\u000a +p11894 +tp11895 +a(g200 +V\u005c& +p11896 +tp11897 +a(g892 +V } +p11898 +tp11899 +a(g892 +V\u000a +p11900 +tp11901 +a(g200 +V\u005c& +p11902 +tp11903 +a(g892 +V else { +p11904 +tp11905 +a(g892 +V\u000a +p11906 +tp11907 +a(g200 +V\u005c& +p11908 +tp11909 +a(g892 +V # didn't +p11910 +tp11911 +a(g892 +V\u000a +p11912 +tp11913 +a(g200 +V\u005c& +p11914 +tp11915 +a(g892 +V } +p11916 +tp11917 +a(g892 +V\u000a +p11918 +tp11919 +a(g892 +g956 +tp11920 +a(g810 +VVe +p11921 +tp11922 +a(g892 +V\u000a +p11923 +tp11924 +a(g892 +g956 +tp11925 +a(g810 +VSp +p11926 +tp11927 +a(g892 +V\u000a +p11928 +tp11929 +a(g892 +VFor more information see perlipc. +p11930 +tp11931 +a(g892 +V\u000a +p11932 +tp11933 +a(g892 +g956 +tp11934 +a(g810 +VIP +p11935 +tp11936 +a(g892 +g980 +tp11937 +a(g144 +V"atan2 Y,X" +p11938 +tp11939 +a(g892 +g980 +tp11940 +a(g17 +g1942 +tp11941 +a(g892 +V\u000a +p11942 +tp11943 +a(g892 +g956 +tp11944 +a(g810 +VIX +p11945 +tp11946 +a(g892 +g980 +tp11947 +a(g76 +VXref +p11948 +tp11949 +a(g892 +g980 +tp11950 +a(g144 +V"atan2 arctangent tan tangent" +p11951 +tp11952 +a(g892 +V\u000a +p11953 +tp11954 +a(g892 +g956 +tp11955 +a(g810 +VIX +p11956 +tp11957 +a(g892 +g980 +tp11958 +a(g76 +VItem +p11959 +tp11960 +a(g892 +g980 +tp11961 +a(g144 +V"atan2 Y,X" +p11962 +tp11963 +a(g892 +V\u000a +p11964 +tp11965 +a(g892 +VReturns the arctangent of Y/X in the range +p11966 +tp11967 +a(g200 +V\u005c- +p11968 +tp11969 +a(g892 +VPI to +p11970 +tp11971 +a(g200 +V\u005cs +p11972 +tp11973 +a(g892 +V-1PI +p11974 +tp11975 +a(g200 +V\u005cs +p11976 +tp11977 +a(g892 +V0. +p11978 +tp11979 +a(g892 +V\u000a +p11980 +tp11981 +a(g892 +g956 +tp11982 +a(g810 +VSp +p11983 +tp11984 +a(g892 +V\u000a +p11985 +tp11986 +a(g892 +VFor the tangent operation, you may use the +p11987 +tp11988 +a(g200 +V\u005cf +p11989 +tp11990 +a(g892 +V(CW +p11991 +tp11992 +a(g200 +V\u005c* +p11993 +tp11994 +a(g892 +V(C`Math::Trig::tan +p11995 +tp11996 +a(g200 +V\u005c* +p11997 +tp11998 +a(g892 +V(C' +p11999 +tp12000 +a(g200 +V\u005cfR +p12001 +tp12002 +a(g892 +V\u000a +p12003 +tp12004 +a(g892 +Vfunction, or use the familiar relation: +p12005 +tp12006 +a(g892 +V\u000a +p12007 +tp12008 +a(g892 +g956 +tp12009 +a(g810 +VSp +p12010 +tp12011 +a(g892 +V\u000a +p12012 +tp12013 +a(g892 +g956 +tp12014 +a(g810 +VVb +p12015 +tp12016 +a(g892 +g980 +tp12017 +a(g17 +g2282 +tp12018 +a(g892 +V\u000a +p12019 +tp12020 +a(g200 +V\u005c& +p12021 +tp12022 +a(g892 +V sub tan { sin($_[0]) / cos($_[0]) } +p12023 +tp12024 +a(g892 +V\u000a +p12025 +tp12026 +a(g892 +g956 +tp12027 +a(g810 +VVe +p12028 +tp12029 +a(g892 +V\u000a +p12030 +tp12031 +a(g892 +g956 +tp12032 +a(g810 +VSp +p12033 +tp12034 +a(g892 +V\u000a +p12035 +tp12036 +a(g892 +VNote that atan2(0, 0) is not well +p12037 +tp12038 +a(g200 +V\u005c- +p12039 +tp12040 +a(g892 +Vdefined. +p12041 +tp12042 +a(g892 +V\u000a +p12043 +tp12044 +a(g892 +g956 +tp12045 +a(g810 +VIP +p12046 +tp12047 +a(g892 +g980 +tp12048 +a(g144 +V"bind \u005cs-1SOCKET\u005cs0,NAME" +p12049 +tp12050 +a(g892 +g980 +tp12051 +a(g17 +g1942 +tp12052 +a(g892 +V\u000a +p12053 +tp12054 +a(g892 +g956 +tp12055 +a(g810 +VIX +p12056 +tp12057 +a(g892 +g980 +tp12058 +a(g76 +VXref +p12059 +tp12060 +a(g892 +g980 +tp12061 +a(g144 +V"bind" +p12062 +tp12063 +a(g892 +V\u000a +p12064 +tp12065 +a(g892 +g956 +tp12066 +a(g810 +VIX +p12067 +tp12068 +a(g892 +g980 +tp12069 +a(g76 +VItem +p12070 +tp12071 +a(g892 +g980 +tp12072 +a(g144 +V"bind SOCKET,NAME" +p12073 +tp12074 +a(g892 +V\u000a +p12075 +tp12076 +a(g892 +VBinds a network address to a socket, just as the bind system call +p12077 +tp12078 +a(g892 +V\u000a +p12079 +tp12080 +a(g892 +Vdoes. Returns true if it succeeded, false otherwise. +p12081 +tp12082 +a(g200 +V\u005cs +p12083 +tp12084 +a(g892 +V-1NAME +p12085 +tp12086 +a(g200 +V\u005cs +p12087 +tp12088 +a(g892 +V0 should be a +p12089 +tp12090 +a(g892 +V\u000a +p12091 +tp12092 +a(g892 +Vpacked address of the appropriate type for the socket. See the examples in +p12093 +tp12094 +a(g892 +V\u000a +p12095 +tp12096 +a(g200 +V\u005c& +p12097 +tp12098 +a(g200 +V\u005c* +p12099 +tp12100 +a(g892 +V(L"Sockets: Client/Server Communication +p12101 +tp12102 +a(g200 +V\u005c* +p12103 +tp12104 +a(g892 +V(R" in perlipc. +p12105 +tp12106 +a(g892 +V\u000a +p12107 +tp12108 +a(g892 +g956 +tp12109 +a(g810 +VIP +p12110 +tp12111 +a(g892 +g980 +tp12112 +a(g144 +V"binmode \u005cs-1FILEHANDLE\u005cs0, \u005cs-1LAYER\u005cs0" +p12113 +tp12114 +a(g892 +g980 +tp12115 +a(g17 +g1942 +tp12116 +a(g892 +V\u000a +p12117 +tp12118 +a(g892 +g956 +tp12119 +a(g810 +VIX +p12120 +tp12121 +a(g892 +g980 +tp12122 +a(g76 +VXref +p12123 +tp12124 +a(g892 +g980 +tp12125 +a(g144 +V"binmode binary text DOS Windows" +p12126 +tp12127 +a(g892 +V\u000a +p12128 +tp12129 +a(g892 +g956 +tp12130 +a(g810 +VIX +p12131 +tp12132 +a(g892 +g980 +tp12133 +a(g76 +VItem +p12134 +tp12135 +a(g892 +g980 +tp12136 +a(g144 +V"binmode FILEHANDLE, LAYER" +p12137 +tp12138 +a(g892 +V\u000a +p12139 +tp12140 +a(g892 +g956 +tp12141 +a(g810 +VPD +p12142 +tp12143 +a(g892 +g980 +tp12144 +a(g17 +g1492 +tp12145 +a(g892 +V\u000a +p12146 +tp12147 +a(g892 +g956 +tp12148 +a(g810 +VIP +p12149 +tp12150 +a(g892 +g980 +tp12151 +a(g144 +V"binmode \u005cs-1FILEHANDLE\u005cs0" +p12152 +tp12153 +a(g892 +g980 +tp12154 +a(g17 +g1942 +tp12155 +a(g892 +V\u000a +p12156 +tp12157 +a(g892 +g956 +tp12158 +a(g810 +VIX +p12159 +tp12160 +a(g892 +g980 +tp12161 +a(g76 +VItem +p12162 +tp12163 +a(g892 +g980 +tp12164 +a(g144 +V"binmode FILEHANDLE" +p12165 +tp12166 +a(g892 +V\u000a +p12167 +tp12168 +a(g892 +g956 +tp12169 +a(g810 +VPD +p12170 +tp12171 +a(g892 +V\u000a +p12172 +tp12173 +a(g892 +VArranges for +p12174 +tp12175 +a(g200 +V\u005cs +p12176 +tp12177 +a(g892 +V-1FILEHANDLE +p12178 +tp12179 +a(g200 +V\u005cs +p12180 +tp12181 +a(g892 +V0 to be read or written in +p12182 +tp12183 +a(g200 +V\u005c* +p12184 +tp12185 +a(g892 +V(L"binary +p12186 +tp12187 +a(g200 +V\u005c* +p12188 +tp12189 +a(g892 +V(R" or +p12190 +tp12191 +a(g200 +V\u005c* +p12192 +tp12193 +a(g892 +V(L"text +p12194 +tp12195 +a(g200 +V\u005c* +p12196 +tp12197 +a(g892 +V(R" +p12198 +tp12199 +a(g892 +V\u000a +p12200 +tp12201 +a(g892 +Vmode on systems where the run-time libraries distinguish between +p12202 +tp12203 +a(g892 +V\u000a +p12204 +tp12205 +a(g892 +Vbinary and text files. If +p12206 +tp12207 +a(g200 +V\u005cs +p12208 +tp12209 +a(g892 +V-1FILEHANDLE +p12210 +tp12211 +a(g200 +V\u005cs +p12212 +tp12213 +a(g892 +V0 is an expression, the value is +p12214 +tp12215 +a(g892 +V\u000a +p12216 +tp12217 +a(g892 +Vtaken as the name of the filehandle. Returns true on success, +p12218 +tp12219 +a(g892 +V\u000a +p12220 +tp12221 +a(g892 +Votherwise it returns +p12222 +tp12223 +a(g200 +V\u005cf +p12224 +tp12225 +a(g892 +V(CW +p12226 +tp12227 +a(g200 +V\u005c* +p12228 +tp12229 +a(g892 +V(C`undef +p12230 +tp12231 +a(g200 +V\u005c* +p12232 +tp12233 +a(g892 +V(C' +p12234 +tp12235 +a(g200 +V\u005cfR +p12236 +tp12237 +a(g892 +V and sets +p12238 +tp12239 +a(g200 +V\u005cf +p12240 +tp12241 +a(g892 +V(CW$! +p12242 +tp12243 +a(g200 +V\u005cfR +p12244 +tp12245 +a(g892 +V (errno). +p12246 +tp12247 +a(g892 +V\u000a +p12248 +tp12249 +a(g892 +g956 +tp12250 +a(g810 +VSp +p12251 +tp12252 +a(g892 +V\u000a +p12253 +tp12254 +a(g892 +VOn some systems (in general, +p12255 +tp12256 +a(g200 +V\u005cs +p12257 +tp12258 +a(g892 +V-1DOS +p12259 +tp12260 +a(g200 +V\u005cs +p12261 +tp12262 +a(g892 +V0 and Windows-based systems) +p12263 +tp12264 +a(g200 +V\u005cfI +p12265 +tp12266 +a(g892 +Vbinmode() +p12267 +tp12268 +a(g200 +V\u005cfR +p12269 +tp12270 +a(g892 +V\u000a +p12271 +tp12272 +a(g892 +Vis necessary when you're not working with a text file. For the sake +p12273 +tp12274 +a(g892 +V\u000a +p12275 +tp12276 +a(g892 +Vof portability it is a good idea to always use it when appropriate, +p12277 +tp12278 +a(g892 +V\u000a +p12279 +tp12280 +a(g892 +Vand to never use it when it isn't appropriate. Also, people can +p12281 +tp12282 +a(g892 +V\u000a +p12283 +tp12284 +a(g892 +Vset their I/O to be by default +p12285 +tp12286 +a(g200 +V\u005cs +p12287 +tp12288 +a(g892 +V-1UTF +p12289 +tp12290 +a(g200 +V\u005c- +p12291 +tp12292 +a(g892 +g1942 +tp12293 +a(g200 +V\u005cs +p12294 +tp12295 +a(g892 +V0 encoded Unicode, not bytes. +p12296 +tp12297 +a(g892 +V\u000a +p12298 +tp12299 +a(g892 +g956 +tp12300 +a(g810 +VSp +p12301 +tp12302 +a(g892 +V\u000a +p12303 +tp12304 +a(g892 +VIn other words: regardless of platform, use +p12305 +tp12306 +a(g200 +V\u005cfI +p12307 +tp12308 +a(g892 +Vbinmode() +p12309 +tp12310 +a(g200 +V\u005cfR +p12311 +tp12312 +a(g892 +V on binary data, +p12313 +tp12314 +a(g892 +V\u000a +p12315 +tp12316 +a(g892 +Vlike for example images. +p12317 +tp12318 +a(g892 +V\u000a +p12319 +tp12320 +a(g892 +g956 +tp12321 +a(g810 +VSp +p12322 +tp12323 +a(g892 +V\u000a +p12324 +tp12325 +a(g892 +VIf +p12326 +tp12327 +a(g200 +V\u005cs +p12328 +tp12329 +a(g892 +V-1LAYER +p12330 +tp12331 +a(g200 +V\u005cs +p12332 +tp12333 +a(g892 +V0 is present it is a single string, but may contain multiple +p12334 +tp12335 +a(g892 +V\u000a +p12336 +tp12337 +a(g892 +Vdirectives. The directives alter the behaviour of the file handle. +p12338 +tp12339 +a(g892 +V\u000a +p12340 +tp12341 +a(g892 +VWhen +p12342 +tp12343 +a(g200 +V\u005cs +p12344 +tp12345 +a(g892 +V-1LAYER +p12346 +tp12347 +a(g200 +V\u005cs +p12348 +tp12349 +a(g892 +V0 is present using binmode on text file makes sense. +p12350 +tp12351 +a(g892 +V\u000a +p12352 +tp12353 +a(g892 +g956 +tp12354 +a(g810 +VSp +p12355 +tp12356 +a(g892 +V\u000a +p12357 +tp12358 +a(g892 +VIf +p12359 +tp12360 +a(g200 +V\u005cs +p12361 +tp12362 +a(g892 +V-1LAYER +p12363 +tp12364 +a(g200 +V\u005cs +p12365 +tp12366 +a(g892 +V0 is omitted or specified as +p12367 +tp12368 +a(g200 +V\u005cf +p12369 +tp12370 +a(g892 +V(CW +p12371 +tp12372 +a(g200 +V\u005c* +p12373 +tp12374 +a(g892 +V(C`:raw +p12375 +tp12376 +a(g200 +V\u005c* +p12377 +tp12378 +a(g892 +V(C' +p12379 +tp12380 +a(g200 +V\u005cfR +p12381 +tp12382 +a(g892 +V the filehandle is made +p12383 +tp12384 +a(g892 +V\u000a +p12385 +tp12386 +a(g892 +Vsuitable for passing binary data. This includes turning off possible +p12387 +tp12388 +a(g200 +V\u005cs +p12389 +tp12390 +a(g892 +V-1CRLF +p12391 +tp12392 +a(g200 +V\u005cs +p12393 +tp12394 +a(g892 +g1492 +tp12395 +a(g892 +V\u000a +p12396 +tp12397 +a(g892 +Vtranslation and marking it as bytes (as opposed to Unicode characters). +p12398 +tp12399 +a(g892 +V\u000a +p12400 +tp12401 +a(g892 +VNote that, despite what may be implied in +p12402 +tp12403 +a(g200 +V\u005cfI +p12404 +tp12405 +a(g200 +V\u005c* +p12406 +tp12407 +a(g892 +V(L"Programming Perl +p12408 +tp12409 +a(g200 +V\u005c* +p12410 +tp12411 +a(g892 +V(R" +p12412 +tp12413 +a(g200 +V\u005cfR +p12414 +tp12415 +a(g892 +V (the +p12416 +tp12417 +a(g892 +V\u000a +p12418 +tp12419 +a(g892 +VCamel) or elsewhere, +p12420 +tp12421 +a(g200 +V\u005cf +p12422 +tp12423 +a(g892 +V(CW +p12424 +tp12425 +a(g200 +V\u005c* +p12426 +tp12427 +a(g892 +V(C`:raw +p12428 +tp12429 +a(g200 +V\u005c* +p12430 +tp12431 +a(g892 +V(C' +p12432 +tp12433 +a(g200 +V\u005cfR +p12434 +tp12435 +a(g892 +V is +p12436 +tp12437 +a(g200 +V\u005cfI +p12438 +tp12439 +a(g892 +Vnot +p12440 +tp12441 +a(g200 +V\u005cfR +p12442 +tp12443 +a(g892 +V the simply inverse of +p12444 +tp12445 +a(g200 +V\u005cf +p12446 +tp12447 +a(g892 +V(CW +p12448 +tp12449 +a(g200 +V\u005c* +p12450 +tp12451 +a(g892 +V(C`:crlf +p12452 +tp12453 +a(g200 +V\u005c* +p12454 +tp12455 +a(g892 +V(C' +p12456 +tp12457 +a(g200 +V\u005cfR +p12458 +tp12459 +a(g892 +V\u000a +p12460 +tp12461 +a(g200 +V\u005c& +p12462 +tp12463 +a(g200 +V\u005c* +p12464 +tp12465 +a(g892 +V(-- other layers which would affect binary nature of the stream are +p12466 +tp12467 +a(g892 +V\u000a +p12468 +tp12469 +a(g200 +V\u005c& +p12470 +tp12471 +a(g200 +V\u005cfI +p12472 +tp12473 +a(g892 +Valso +p12474 +tp12475 +a(g200 +V\u005cfR +p12476 +tp12477 +a(g892 +V disabled. See PerlIO, perlrun and the discussion about the +p12478 +tp12479 +a(g892 +V\u000a +p12480 +tp12481 +a(g200 +V\u005c& +p12482 +tp12483 +a(g200 +V\u005cs +p12484 +tp12485 +a(g892 +V-1PERLIO +p12486 +tp12487 +a(g200 +V\u005cs +p12488 +tp12489 +a(g892 +V0 environment variable. +p12490 +tp12491 +a(g892 +V\u000a +p12492 +tp12493 +a(g892 +g956 +tp12494 +a(g810 +VSp +p12495 +tp12496 +a(g892 +V\u000a +p12497 +tp12498 +a(g892 +VThe +p12499 +tp12500 +a(g200 +V\u005cf +p12501 +tp12502 +a(g892 +V(CW +p12503 +tp12504 +a(g200 +V\u005c* +p12505 +tp12506 +a(g892 +V(C`:bytes +p12507 +tp12508 +a(g200 +V\u005c* +p12509 +tp12510 +a(g892 +V(C' +p12511 +tp12512 +a(g200 +V\u005cfR +p12513 +tp12514 +a(g892 +V, +p12515 +tp12516 +a(g200 +V\u005cf +p12517 +tp12518 +a(g892 +V(CW +p12519 +tp12520 +a(g200 +V\u005c* +p12521 +tp12522 +a(g892 +V(C`:crlf +p12523 +tp12524 +a(g200 +V\u005c* +p12525 +tp12526 +a(g892 +V(C' +p12527 +tp12528 +a(g200 +V\u005cfR +p12529 +tp12530 +a(g892 +V, and +p12531 +tp12532 +a(g200 +V\u005cf +p12533 +tp12534 +a(g892 +V(CW +p12535 +tp12536 +a(g200 +V\u005c* +p12537 +tp12538 +a(g892 +V(C`:utf8 +p12539 +tp12540 +a(g200 +V\u005c* +p12541 +tp12542 +a(g892 +V(C' +p12543 +tp12544 +a(g200 +V\u005cfR +p12545 +tp12546 +a(g892 +V, and any other directives of the +p12547 +tp12548 +a(g892 +V\u000a +p12549 +tp12550 +a(g892 +Vform +p12551 +tp12552 +a(g200 +V\u005cf +p12553 +tp12554 +a(g892 +V(CW +p12555 +tp12556 +a(g200 +V\u005c* +p12557 +tp12558 +a(g892 +V(C`:... +p12559 +tp12560 +a(g200 +V\u005c* +p12561 +tp12562 +a(g892 +V(C' +p12563 +tp12564 +a(g200 +V\u005cfR +p12565 +tp12566 +a(g892 +V, are called I/O +p12567 +tp12568 +a(g200 +V\u005cfI +p12569 +tp12570 +a(g892 +Vlayers +p12571 +tp12572 +a(g200 +V\u005cfR +p12573 +tp12574 +a(g892 +V. The +p12575 +tp12576 +a(g200 +V\u005cf +p12577 +tp12578 +a(g892 +V(CW +p12579 +tp12580 +a(g200 +V\u005c* +p12581 +tp12582 +a(g892 +V(C`open +p12583 +tp12584 +a(g200 +V\u005c* +p12585 +tp12586 +a(g892 +V(C' +p12587 +tp12588 +a(g200 +V\u005cfR +p12589 +tp12590 +a(g892 +V pragma can be used to +p12591 +tp12592 +a(g892 +V\u000a +p12593 +tp12594 +a(g892 +Vestablish default I/O layers. See open. +p12595 +tp12596 +a(g892 +V\u000a +p12597 +tp12598 +a(g892 +g956 +tp12599 +a(g810 +VSp +p12600 +tp12601 +a(g892 +V\u000a +p12602 +tp12603 +a(g200 +V\u005c& +p12604 +tp12605 +a(g200 +V\u005cfI +p12606 +tp12607 +a(g892 +VThe +p12608 +tp12609 +a(g200 +V\u005cs +p12610 +tp12611 +a(g892 +V-1LAYER +p12612 +tp12613 +a(g200 +V\u005cs +p12614 +tp12615 +a(g892 +V0 parameter of the +p12616 +tp12617 +a(g200 +V\u005cfI +p12618 +tp12619 +a(g892 +Vbinmode() +p12620 +tp12621 +a(g200 +V\u005cfI +p12622 +tp12623 +a(g892 +V function is described as +p12624 +tp12625 +a(g200 +V\u005c* +p12626 +tp12627 +a(g892 +V(L" +p12628 +tp12629 +a(g200 +V\u005cs +p12630 +tp12631 +a(g892 +V-1DISCIPLINE +p12632 +tp12633 +a(g200 +V\u005cs +p12634 +tp12635 +a(g892 +g1492 +tp12636 +a(g200 +V\u005c* +p12637 +tp12638 +a(g892 +V(R" +p12639 +tp12640 +a(g892 +V\u000a +p12641 +tp12642 +a(g892 +Vin +p12643 +tp12644 +a(g200 +V\u005c* +p12645 +tp12646 +a(g892 +V(L"Programming Perl, 3rd Edition +p12647 +tp12648 +a(g200 +V\u005c* +p12649 +tp12650 +a(g892 +V(R". However, since the publishing of this +p12651 +tp12652 +a(g892 +V\u000a +p12653 +tp12654 +a(g892 +Vbook, by many known as +p12655 +tp12656 +a(g200 +V\u005c* +p12657 +tp12658 +a(g892 +V(L"Camel +p12659 +tp12660 +a(g200 +V\u005cs +p12661 +tp12662 +a(g892 +V-1III +p12663 +tp12664 +a(g200 +V\u005cs +p12665 +tp12666 +a(g892 +g1492 +tp12667 +a(g200 +V\u005c* +p12668 +tp12669 +a(g892 +V(R", the consensus of the naming of this +p12670 +tp12671 +a(g892 +V\u000a +p12672 +tp12673 +a(g892 +Vfunctionality has moved from +p12674 +tp12675 +a(g200 +V\u005c* +p12676 +tp12677 +a(g892 +V(L"discipline +p12678 +tp12679 +a(g200 +V\u005c* +p12680 +tp12681 +a(g892 +V(R" to +p12682 +tp12683 +a(g200 +V\u005c* +p12684 +tp12685 +a(g892 +V(L"layer +p12686 +tp12687 +a(g200 +V\u005c* +p12688 +tp12689 +a(g892 +V(R". All documentation +p12690 +tp12691 +a(g892 +V\u000a +p12692 +tp12693 +a(g892 +Vof this version of Perl therefore refers to +p12694 +tp12695 +a(g200 +V\u005c* +p12696 +tp12697 +a(g892 +V(L"layers +p12698 +tp12699 +a(g200 +V\u005c* +p12700 +tp12701 +a(g892 +V(R" rather than to +p12702 +tp12703 +a(g892 +V\u000a +p12704 +tp12705 +a(g200 +V\u005c& +p12706 +tp12707 +a(g200 +V\u005c* +p12708 +tp12709 +a(g892 +V(L"disciplines +p12710 +tp12711 +a(g200 +V\u005c* +p12712 +tp12713 +a(g892 +V(R". Now back to the regularly scheduled documentation... +p12714 +tp12715 +a(g200 +V\u005cfR +p12716 +tp12717 +a(g892 +V\u000a +p12718 +tp12719 +a(g892 +g956 +tp12720 +a(g810 +VSp +p12721 +tp12722 +a(g892 +V\u000a +p12723 +tp12724 +a(g892 +VTo mark +p12725 +tp12726 +a(g200 +V\u005cs +p12727 +tp12728 +a(g892 +V-1FILEHANDLE +p12729 +tp12730 +a(g200 +V\u005cs +p12731 +tp12732 +a(g892 +V0 as +p12733 +tp12734 +a(g200 +V\u005cs +p12735 +tp12736 +a(g892 +V-1UTF +p12737 +tp12738 +a(g200 +V\u005c- +p12739 +tp12740 +a(g892 +g1942 +tp12741 +a(g200 +V\u005cs +p12742 +tp12743 +a(g892 +V0, use +p12744 +tp12745 +a(g200 +V\u005cf +p12746 +tp12747 +a(g892 +V(CW +p12748 +tp12749 +a(g200 +V\u005c* +p12750 +tp12751 +a(g892 +V(C`:utf8 +p12752 +tp12753 +a(g200 +V\u005c* +p12754 +tp12755 +a(g892 +V(C' +p12756 +tp12757 +a(g200 +V\u005cfR +p12758 +tp12759 +a(g892 +g956 +tp12760 +a(g892 +V\u000a +p12761 +tp12762 +a(g892 +g956 +tp12763 +a(g810 +VSp +p12764 +tp12765 +a(g892 +V\u000a +p12766 +tp12767 +a(g892 +VIn general, +p12768 +tp12769 +a(g200 +V\u005cfI +p12770 +tp12771 +a(g892 +Vbinmode() +p12772 +tp12773 +a(g200 +V\u005cfR +p12774 +tp12775 +a(g892 +V should be called after +p12776 +tp12777 +a(g200 +V\u005cfI +p12778 +tp12779 +a(g892 +Vopen() +p12780 +tp12781 +a(g200 +V\u005cfR +p12782 +tp12783 +a(g892 +V but before any I/O +p12784 +tp12785 +a(g892 +V\u000a +p12786 +tp12787 +a(g892 +Vis done on the filehandle. Calling +p12788 +tp12789 +a(g200 +V\u005cfI +p12790 +tp12791 +a(g892 +Vbinmode() +p12792 +tp12793 +a(g200 +V\u005cfR +p12794 +tp12795 +a(g892 +V will normally flush any +p12796 +tp12797 +a(g892 +V\u000a +p12798 +tp12799 +a(g892 +Vpending buffered output data (and perhaps pending input data) on the +p12800 +tp12801 +a(g892 +V\u000a +p12802 +tp12803 +a(g892 +Vhandle. An exception to this is the +p12804 +tp12805 +a(g200 +V\u005cf +p12806 +tp12807 +a(g892 +V(CW +p12808 +tp12809 +a(g200 +V\u005c* +p12810 +tp12811 +a(g892 +V(C`:encoding +p12812 +tp12813 +a(g200 +V\u005c* +p12814 +tp12815 +a(g892 +V(C' +p12816 +tp12817 +a(g200 +V\u005cfR +p12818 +tp12819 +a(g892 +V layer that +p12820 +tp12821 +a(g892 +V\u000a +p12822 +tp12823 +a(g892 +Vchanges the default character encoding of the handle, see open. +p12824 +tp12825 +a(g892 +V\u000a +p12826 +tp12827 +a(g892 +VThe +p12828 +tp12829 +a(g200 +V\u005cf +p12830 +tp12831 +a(g892 +V(CW +p12832 +tp12833 +a(g200 +V\u005c* +p12834 +tp12835 +a(g892 +V(C`:encoding +p12836 +tp12837 +a(g200 +V\u005c* +p12838 +tp12839 +a(g892 +V(C' +p12840 +tp12841 +a(g200 +V\u005cfR +p12842 +tp12843 +a(g892 +V layer sometimes needs to be called in +p12844 +tp12845 +a(g892 +V\u000a +p12846 +tp12847 +a(g892 +Vmid +p12848 +tp12849 +a(g200 +V\u005c- +p12850 +tp12851 +a(g892 +Vstream, and it doesn't flush the stream. The +p12852 +tp12853 +a(g200 +V\u005cf +p12854 +tp12855 +a(g892 +V(CW +p12856 +tp12857 +a(g200 +V\u005c* +p12858 +tp12859 +a(g892 +V(C`:encoding +p12860 +tp12861 +a(g200 +V\u005c* +p12862 +tp12863 +a(g892 +V(C' +p12864 +tp12865 +a(g200 +V\u005cfR +p12866 +tp12867 +a(g892 +V\u000a +p12868 +tp12869 +a(g892 +Valso implicitly pushes on top of itself the +p12870 +tp12871 +a(g200 +V\u005cf +p12872 +tp12873 +a(g892 +V(CW +p12874 +tp12875 +a(g200 +V\u005c* +p12876 +tp12877 +a(g892 +V(C`:utf8 +p12878 +tp12879 +a(g200 +V\u005c* +p12880 +tp12881 +a(g892 +V(C' +p12882 +tp12883 +a(g200 +V\u005cfR +p12884 +tp12885 +a(g892 +V layer because +p12886 +tp12887 +a(g892 +V\u000a +p12888 +tp12889 +a(g892 +Vinternally Perl will operate on +p12890 +tp12891 +a(g200 +V\u005cs +p12892 +tp12893 +a(g892 +V-1UTF +p12894 +tp12895 +a(g200 +V\u005c- +p12896 +tp12897 +a(g892 +g1942 +tp12898 +a(g200 +V\u005cs +p12899 +tp12900 +a(g892 +V0 encoded Unicode characters. +p12901 +tp12902 +a(g892 +V\u000a +p12903 +tp12904 +a(g892 +g956 +tp12905 +a(g810 +VSp +p12906 +tp12907 +a(g892 +V\u000a +p12908 +tp12909 +a(g892 +VThe operating system, device drivers, C libraries, and Perl run-time +p12910 +tp12911 +a(g892 +V\u000a +p12912 +tp12913 +a(g892 +Vsystem all work together to let the programmer treat a single +p12914 +tp12915 +a(g892 +V\u000a +p12916 +tp12917 +a(g892 +Vcharacter ( +p12918 +tp12919 +a(g200 +V\u005cf +p12920 +tp12921 +a(g892 +V(CW +p12922 +tp12923 +a(g200 +V\u005c* +p12924 +tp12925 +a(g892 +V(C` +p12926 +tp12927 +a(g200 +V\u005ce +p12928 +tp12929 +a(g892 +g1065 +tp12930 +a(g200 +V\u005c* +p12931 +tp12932 +a(g892 +V(C' +p12933 +tp12934 +a(g200 +V\u005cfR +p12935 +tp12936 +a(g892 +V) as the line terminator, irrespective of the external +p12937 +tp12938 +a(g892 +V\u000a +p12939 +tp12940 +a(g892 +Vrepresentation. On many operating systems, the native text file +p12941 +tp12942 +a(g892 +V\u000a +p12943 +tp12944 +a(g892 +Vrepresentation matches the internal representation, but on some +p12945 +tp12946 +a(g892 +V\u000a +p12947 +tp12948 +a(g892 +Vplatforms the external representation of +p12949 +tp12950 +a(g200 +V\u005cf +p12951 +tp12952 +a(g892 +V(CW +p12953 +tp12954 +a(g200 +V\u005c* +p12955 +tp12956 +a(g892 +V(C` +p12957 +tp12958 +a(g200 +V\u005ce +p12959 +tp12960 +a(g892 +g1065 +tp12961 +a(g200 +V\u005c* +p12962 +tp12963 +a(g892 +V(C' +p12964 +tp12965 +a(g200 +V\u005cfR +p12966 +tp12967 +a(g892 +V is made up of more than +p12968 +tp12969 +a(g892 +V\u000a +p12970 +tp12971 +a(g892 +Vone character. +p12972 +tp12973 +a(g892 +V\u000a +p12974 +tp12975 +a(g892 +g956 +tp12976 +a(g810 +VSp +p12977 +tp12978 +a(g892 +V\u000a +p12979 +tp12980 +a(g892 +VMac +p12981 +tp12982 +a(g200 +V\u005cs +p12983 +tp12984 +a(g892 +V-1OS +p12985 +tp12986 +a(g200 +V\u005cs +p12987 +tp12988 +a(g892 +V0, all variants of Unix, and Stream_LF files on +p12989 +tp12990 +a(g200 +V\u005cs +p12991 +tp12992 +a(g892 +V-1VMS +p12993 +tp12994 +a(g200 +V\u005cs +p12995 +tp12996 +a(g892 +V0 use a single +p12997 +tp12998 +a(g892 +V\u000a +p12999 +tp13000 +a(g892 +Vcharacter to end each line in the external representation of text (even +p13001 +tp13002 +a(g892 +V\u000a +p13003 +tp13004 +a(g892 +Vthough that single character is +p13005 +tp13006 +a(g200 +V\u005cs +p13007 +tp13008 +a(g892 +V-1CARRIAGE +p13009 +tp13010 +a(g200 +V\u005cs +p13011 +tp13012 +a(g892 +V0 +p13013 +tp13014 +a(g200 +V\u005cs +p13015 +tp13016 +a(g892 +V-1RETURN +p13017 +tp13018 +a(g200 +V\u005cs +p13019 +tp13020 +a(g892 +V0 on Mac +p13021 +tp13022 +a(g200 +V\u005cs +p13023 +tp13024 +a(g892 +V-1OS +p13025 +tp13026 +a(g200 +V\u005cs +p13027 +tp13028 +a(g892 +V0 and +p13029 +tp13030 +a(g200 +V\u005cs +p13031 +tp13032 +a(g892 +V-1LINE +p13033 +tp13034 +a(g200 +V\u005cs +p13035 +tp13036 +a(g892 +V0 +p13037 +tp13038 +a(g200 +V\u005cs +p13039 +tp13040 +a(g892 +V-1FEED +p13041 +tp13042 +a(g200 +V\u005cs +p13043 +tp13044 +a(g892 +g1492 +tp13045 +a(g892 +V\u000a +p13046 +tp13047 +a(g892 +Von Unix and most +p13048 +tp13049 +a(g200 +V\u005cs +p13050 +tp13051 +a(g892 +V-1VMS +p13052 +tp13053 +a(g200 +V\u005cs +p13054 +tp13055 +a(g892 +V0 files). In other systems like +p13056 +tp13057 +a(g200 +V\u005cs +p13058 +tp13059 +a(g892 +V-1OS/2 +p13060 +tp13061 +a(g200 +V\u005cs +p13062 +tp13063 +a(g892 +V0, +p13064 +tp13065 +a(g200 +V\u005cs +p13066 +tp13067 +a(g892 +V-1DOS +p13068 +tp13069 +a(g200 +V\u005cs +p13070 +tp13071 +a(g892 +V0 and the +p13072 +tp13073 +a(g892 +V\u000a +p13074 +tp13075 +a(g892 +Vvarious flavors of MS-Windows your program sees a +p13076 +tp13077 +a(g200 +V\u005cf +p13078 +tp13079 +a(g892 +V(CW +p13080 +tp13081 +a(g200 +V\u005c* +p13082 +tp13083 +a(g892 +V(C` +p13084 +tp13085 +a(g200 +V\u005ce +p13086 +tp13087 +a(g892 +g1065 +tp13088 +a(g200 +V\u005c* +p13089 +tp13090 +a(g892 +V(C' +p13091 +tp13092 +a(g200 +V\u005cfR +p13093 +tp13094 +a(g892 +V as a simple +p13095 +tp13096 +a(g200 +V\u005cf +p13097 +tp13098 +a(g892 +V(CW +p13099 +tp13100 +a(g200 +V\u005c* +p13101 +tp13102 +a(g892 +V(C` +p13103 +tp13104 +a(g200 +V\u005ce +p13105 +tp13106 +a(g892 +VcJ +p13107 +tp13108 +a(g200 +V\u005c* +p13109 +tp13110 +a(g892 +V(C' +p13111 +tp13112 +a(g200 +V\u005cfR +p13113 +tp13114 +a(g892 +g1785 +tp13115 +a(g892 +V\u000a +p13116 +tp13117 +a(g892 +Vbut what's stored in text files are the two characters +p13118 +tp13119 +a(g200 +V\u005cf +p13120 +tp13121 +a(g892 +V(CW +p13122 +tp13123 +a(g200 +V\u005c* +p13124 +tp13125 +a(g892 +V(C` +p13126 +tp13127 +a(g200 +V\u005ce +p13128 +tp13129 +a(g892 +VcM +p13130 +tp13131 +a(g200 +V\u005ce +p13132 +tp13133 +a(g892 +VcJ +p13134 +tp13135 +a(g200 +V\u005c* +p13136 +tp13137 +a(g892 +V(C' +p13138 +tp13139 +a(g200 +V\u005cfR +p13140 +tp13141 +a(g892 +V. That +p13142 +tp13143 +a(g892 +V\u000a +p13144 +tp13145 +a(g892 +Vmeans that, if you don't use +p13146 +tp13147 +a(g200 +V\u005cfI +p13148 +tp13149 +a(g892 +Vbinmode() +p13150 +tp13151 +a(g200 +V\u005cfR +p13152 +tp13153 +a(g892 +V on these systems, +p13154 +tp13155 +a(g200 +V\u005cf +p13156 +tp13157 +a(g892 +V(CW +p13158 +tp13159 +a(g200 +V\u005c* +p13160 +tp13161 +a(g892 +V(C` +p13162 +tp13163 +a(g200 +V\u005ce +p13164 +tp13165 +a(g892 +VcM +p13166 +tp13167 +a(g200 +V\u005ce +p13168 +tp13169 +a(g892 +VcJ +p13170 +tp13171 +a(g200 +V\u005c* +p13172 +tp13173 +a(g892 +V(C' +p13174 +tp13175 +a(g200 +V\u005cfR +p13176 +tp13177 +a(g892 +V\u000a +p13178 +tp13179 +a(g892 +Vsequences on disk will be converted to +p13180 +tp13181 +a(g200 +V\u005cf +p13182 +tp13183 +a(g892 +V(CW +p13184 +tp13185 +a(g200 +V\u005c* +p13186 +tp13187 +a(g892 +V(C` +p13188 +tp13189 +a(g200 +V\u005ce +p13190 +tp13191 +a(g892 +g1065 +tp13192 +a(g200 +V\u005c* +p13193 +tp13194 +a(g892 +V(C' +p13195 +tp13196 +a(g200 +V\u005cfR +p13197 +tp13198 +a(g892 +V on input, and any +p13199 +tp13200 +a(g200 +V\u005cf +p13201 +tp13202 +a(g892 +V(CW +p13203 +tp13204 +a(g200 +V\u005c* +p13205 +tp13206 +a(g892 +V(C` +p13207 +tp13208 +a(g200 +V\u005ce +p13209 +tp13210 +a(g892 +g1065 +tp13211 +a(g200 +V\u005c* +p13212 +tp13213 +a(g892 +V(C' +p13214 +tp13215 +a(g200 +V\u005cfR +p13216 +tp13217 +a(g892 +V in +p13218 +tp13219 +a(g892 +V\u000a +p13220 +tp13221 +a(g892 +Vyour program will be converted back to +p13222 +tp13223 +a(g200 +V\u005cf +p13224 +tp13225 +a(g892 +V(CW +p13226 +tp13227 +a(g200 +V\u005c* +p13228 +tp13229 +a(g892 +V(C` +p13230 +tp13231 +a(g200 +V\u005ce +p13232 +tp13233 +a(g892 +VcM +p13234 +tp13235 +a(g200 +V\u005ce +p13236 +tp13237 +a(g892 +VcJ +p13238 +tp13239 +a(g200 +V\u005c* +p13240 +tp13241 +a(g892 +V(C' +p13242 +tp13243 +a(g200 +V\u005cfR +p13244 +tp13245 +a(g892 +V on output. This is what +p13246 +tp13247 +a(g892 +V\u000a +p13248 +tp13249 +a(g892 +Vyou want for text files, but it can be disastrous for binary files. +p13250 +tp13251 +a(g892 +V\u000a +p13252 +tp13253 +a(g892 +g956 +tp13254 +a(g810 +VSp +p13255 +tp13256 +a(g892 +V\u000a +p13257 +tp13258 +a(g892 +VAnother consequence of using +p13259 +tp13260 +a(g200 +V\u005cfI +p13261 +tp13262 +a(g892 +Vbinmode() +p13263 +tp13264 +a(g200 +V\u005cfR +p13265 +tp13266 +a(g892 +V (on some systems) is that +p13267 +tp13268 +a(g892 +V\u000a +p13269 +tp13270 +a(g892 +Vspecial end-of-file markers will be seen as part of the data stream. +p13271 +tp13272 +a(g892 +V\u000a +p13273 +tp13274 +a(g892 +VFor systems from the Microsoft family this means that if your binary +p13275 +tp13276 +a(g892 +V\u000a +p13277 +tp13278 +a(g892 +Vdata contains +p13279 +tp13280 +a(g200 +V\u005cf +p13281 +tp13282 +a(g892 +V(CW +p13283 +tp13284 +a(g200 +V\u005c* +p13285 +tp13286 +a(g892 +V(C` +p13287 +tp13288 +a(g200 +V\u005ce +p13289 +tp13290 +a(g892 +VcZ +p13291 +tp13292 +a(g200 +V\u005c* +p13293 +tp13294 +a(g892 +V(C' +p13295 +tp13296 +a(g200 +V\u005cfR +p13297 +tp13298 +a(g892 +V, the I/O subsystem will regard it as the end of +p13299 +tp13300 +a(g892 +V\u000a +p13301 +tp13302 +a(g892 +Vthe file, unless you use +p13303 +tp13304 +a(g200 +V\u005cfI +p13305 +tp13306 +a(g892 +Vbinmode() +p13307 +tp13308 +a(g200 +V\u005cfR +p13309 +tp13310 +a(g892 +g956 +tp13311 +a(g892 +V\u000a +p13312 +tp13313 +a(g892 +g956 +tp13314 +a(g810 +VSp +p13315 +tp13316 +a(g892 +V\u000a +p13317 +tp13318 +a(g200 +V\u005c& +p13319 +tp13320 +a(g200 +V\u005cfI +p13321 +tp13322 +a(g892 +Vbinmode() +p13323 +tp13324 +a(g200 +V\u005cfR +p13325 +tp13326 +a(g892 +V is not only important for +p13327 +tp13328 +a(g200 +V\u005cfI +p13329 +tp13330 +a(g892 +Vreadline() +p13331 +tp13332 +a(g200 +V\u005cfR +p13333 +tp13334 +a(g892 +V and +p13335 +tp13336 +a(g200 +V\u005cfI +p13337 +tp13338 +a(g892 +Vprint() +p13339 +tp13340 +a(g200 +V\u005cfR +p13341 +tp13342 +a(g892 +V operations, +p13343 +tp13344 +a(g892 +V\u000a +p13345 +tp13346 +a(g892 +Vbut also when using +p13347 +tp13348 +a(g200 +V\u005cfI +p13349 +tp13350 +a(g892 +Vread() +p13351 +tp13352 +a(g200 +V\u005cfR +p13353 +tp13354 +a(g892 +V, +p13355 +tp13356 +a(g200 +V\u005cfI +p13357 +tp13358 +a(g892 +Vseek() +p13359 +tp13360 +a(g200 +V\u005cfR +p13361 +tp13362 +a(g892 +V, +p13363 +tp13364 +a(g200 +V\u005cfI +p13365 +tp13366 +a(g892 +Vsysread() +p13367 +tp13368 +a(g200 +V\u005cfR +p13369 +tp13370 +a(g892 +V, +p13371 +tp13372 +a(g200 +V\u005cfI +p13373 +tp13374 +a(g892 +Vsyswrite() +p13375 +tp13376 +a(g200 +V\u005cfR +p13377 +tp13378 +a(g892 +V and +p13379 +tp13380 +a(g200 +V\u005cfI +p13381 +tp13382 +a(g892 +Vtell() +p13383 +tp13384 +a(g200 +V\u005cfR +p13385 +tp13386 +a(g892 +V\u000a +p13387 +tp13388 +a(g892 +V(see perlport for more details). See the +p13389 +tp13390 +a(g200 +V\u005cf +p13391 +tp13392 +a(g892 +V(CW$/ +p13393 +tp13394 +a(g200 +V\u005cfR +p13395 +tp13396 +a(g892 +V and +p13397 +tp13398 +a(g200 +V\u005cf +p13399 +tp13400 +a(g892 +V(CW +p13401 +tp13402 +a(g200 +V\u005c* +p13403 +tp13404 +a(g892 +V(C`$ +p13405 +tp13406 +a(g200 +V\u005ce +p13407 +tp13408 +a(g200 +V\u005c* +p13409 +tp13410 +a(g892 +V(C' +p13411 +tp13412 +a(g200 +V\u005cfR +p13413 +tp13414 +a(g892 +V variables +p13415 +tp13416 +a(g892 +V\u000a +p13417 +tp13418 +a(g892 +Vin perlvar for how to manually set your input and output +p13419 +tp13420 +a(g892 +V\u000a +p13421 +tp13422 +a(g892 +Vline-termination sequences. +p13423 +tp13424 +a(g892 +V\u000a +p13425 +tp13426 +a(g892 +g956 +tp13427 +a(g810 +VIP +p13428 +tp13429 +a(g892 +g980 +tp13430 +a(g144 +V"bless \u005cs-1REF\u005cs0,CLASSNAME" +p13431 +tp13432 +a(g892 +g980 +tp13433 +a(g17 +g1942 +tp13434 +a(g892 +V\u000a +p13435 +tp13436 +a(g892 +g956 +tp13437 +a(g810 +VIX +p13438 +tp13439 +a(g892 +g980 +tp13440 +a(g76 +VXref +p13441 +tp13442 +a(g892 +g980 +tp13443 +a(g144 +V"bless" +p13444 +tp13445 +a(g892 +V\u000a +p13446 +tp13447 +a(g892 +g956 +tp13448 +a(g810 +VIX +p13449 +tp13450 +a(g892 +g980 +tp13451 +a(g76 +VItem +p13452 +tp13453 +a(g892 +g980 +tp13454 +a(g144 +V"bless REF,CLASSNAME" +p13455 +tp13456 +a(g892 +V\u000a +p13457 +tp13458 +a(g892 +g956 +tp13459 +a(g810 +VPD +p13460 +tp13461 +a(g892 +g980 +tp13462 +a(g17 +g1492 +tp13463 +a(g892 +V\u000a +p13464 +tp13465 +a(g892 +g956 +tp13466 +a(g810 +VIP +p13467 +tp13468 +a(g892 +g980 +tp13469 +a(g144 +V"bless \u005cs-1REF\u005cs0" +p13470 +tp13471 +a(g892 +g980 +tp13472 +a(g17 +g1942 +tp13473 +a(g892 +V\u000a +p13474 +tp13475 +a(g892 +g956 +tp13476 +a(g810 +VIX +p13477 +tp13478 +a(g892 +g980 +tp13479 +a(g76 +VItem +p13480 +tp13481 +a(g892 +g980 +tp13482 +a(g144 +V"bless REF" +p13483 +tp13484 +a(g892 +V\u000a +p13485 +tp13486 +a(g892 +g956 +tp13487 +a(g810 +VPD +p13488 +tp13489 +a(g892 +V\u000a +p13490 +tp13491 +a(g892 +VThis function tells the thingy referenced by +p13492 +tp13493 +a(g200 +V\u005cs +p13494 +tp13495 +a(g892 +V-1REF +p13496 +tp13497 +a(g200 +V\u005cs +p13498 +tp13499 +a(g892 +V0 that it is now an object +p13500 +tp13501 +a(g892 +V\u000a +p13502 +tp13503 +a(g892 +Vin the +p13504 +tp13505 +a(g200 +V\u005cs +p13506 +tp13507 +a(g892 +V-1CLASSNAME +p13508 +tp13509 +a(g200 +V\u005cs +p13510 +tp13511 +a(g892 +V0 package. If +p13512 +tp13513 +a(g200 +V\u005cs +p13514 +tp13515 +a(g892 +V-1CLASSNAME +p13516 +tp13517 +a(g200 +V\u005cs +p13518 +tp13519 +a(g892 +V0 is omitted, the current package +p13520 +tp13521 +a(g892 +V\u000a +p13522 +tp13523 +a(g892 +Vis used. Because a +p13524 +tp13525 +a(g200 +V\u005cf +p13526 +tp13527 +a(g892 +V(CW +p13528 +tp13529 +a(g200 +V\u005c* +p13530 +tp13531 +a(g892 +V(C`bless +p13532 +tp13533 +a(g200 +V\u005c* +p13534 +tp13535 +a(g892 +V(C' +p13536 +tp13537 +a(g200 +V\u005cfR +p13538 +tp13539 +a(g892 +V is often the last thing in a constructor, +p13540 +tp13541 +a(g892 +V\u000a +p13542 +tp13543 +a(g892 +Vit returns the reference for convenience. Always use the two-argument +p13544 +tp13545 +a(g892 +V\u000a +p13546 +tp13547 +a(g892 +Vversion if a derived class might inherit the function doing the blessing. +p13548 +tp13549 +a(g892 +V\u000a +p13550 +tp13551 +a(g892 +VSee perltoot and perlobj for more about the blessing (and blessings) +p13552 +tp13553 +a(g892 +V\u000a +p13554 +tp13555 +a(g892 +Vof objects. +p13556 +tp13557 +a(g892 +V\u000a +p13558 +tp13559 +a(g892 +g956 +tp13560 +a(g810 +VSp +p13561 +tp13562 +a(g892 +V\u000a +p13563 +tp13564 +a(g892 +VConsider always blessing objects in CLASSNAMEs that are mixed case. +p13565 +tp13566 +a(g892 +V\u000a +p13567 +tp13568 +a(g892 +VNamespaces with all lowercase names are considered reserved for +p13569 +tp13570 +a(g892 +V\u000a +p13571 +tp13572 +a(g892 +VPerl pragmata. Builtin types have all uppercase names. To prevent +p13573 +tp13574 +a(g892 +V\u000a +p13575 +tp13576 +a(g892 +Vconfusion, you may wish to avoid such package names as well. Make sure +p13577 +tp13578 +a(g892 +V\u000a +p13579 +tp13580 +a(g892 +Vthat +p13581 +tp13582 +a(g200 +V\u005cs +p13583 +tp13584 +a(g892 +V-1CLASSNAME +p13585 +tp13586 +a(g200 +V\u005cs +p13587 +tp13588 +a(g892 +V0 is a true value. +p13589 +tp13590 +a(g892 +V\u000a +p13591 +tp13592 +a(g892 +g956 +tp13593 +a(g810 +VSp +p13594 +tp13595 +a(g892 +V\u000a +p13596 +tp13597 +a(g892 +VSee +p13598 +tp13599 +a(g200 +V\u005c* +p13600 +tp13601 +a(g892 +V(L"Perl Modules +p13602 +tp13603 +a(g200 +V\u005c* +p13604 +tp13605 +a(g892 +V(R" in perlmod. +p13606 +tp13607 +a(g892 +V\u000a +p13608 +tp13609 +a(g892 +g956 +tp13610 +a(g810 +VIP +p13611 +tp13612 +a(g892 +g980 +tp13613 +a(g144 +V"caller \u005cs-1EXPR\u005cs0" +p13614 +tp13615 +a(g892 +g980 +tp13616 +a(g17 +g1942 +tp13617 +a(g892 +V\u000a +p13618 +tp13619 +a(g892 +g956 +tp13620 +a(g810 +VIX +p13621 +tp13622 +a(g892 +g980 +tp13623 +a(g76 +VXref +p13624 +tp13625 +a(g892 +g980 +tp13626 +a(g144 +V"caller call stack stack stack trace" +p13627 +tp13628 +a(g892 +V\u000a +p13629 +tp13630 +a(g892 +g956 +tp13631 +a(g810 +VIX +p13632 +tp13633 +a(g892 +g980 +tp13634 +a(g76 +VItem +p13635 +tp13636 +a(g892 +g980 +tp13637 +a(g144 +V"caller EXPR" +p13638 +tp13639 +a(g892 +V\u000a +p13640 +tp13641 +a(g892 +g956 +tp13642 +a(g810 +VPD +p13643 +tp13644 +a(g892 +g980 +tp13645 +a(g17 +g1492 +tp13646 +a(g892 +V\u000a +p13647 +tp13648 +a(g892 +g956 +tp13649 +a(g810 +VIP +p13650 +tp13651 +a(g892 +g980 +tp13652 +a(g144 +V"caller" +p13653 +tp13654 +a(g892 +g980 +tp13655 +a(g17 +g1942 +tp13656 +a(g892 +V\u000a +p13657 +tp13658 +a(g892 +g956 +tp13659 +a(g810 +VIX +p13660 +tp13661 +a(g892 +g980 +tp13662 +a(g76 +VItem +p13663 +tp13664 +a(g892 +g980 +tp13665 +a(g144 +V"caller" +p13666 +tp13667 +a(g892 +V\u000a +p13668 +tp13669 +a(g892 +g956 +tp13670 +a(g810 +VPD +p13671 +tp13672 +a(g892 +V\u000a +p13673 +tp13674 +a(g892 +VReturns the context of the current subroutine call. In scalar context, +p13675 +tp13676 +a(g892 +V\u000a +p13677 +tp13678 +a(g892 +Vreturns the caller's package name if there is a caller, that is, if +p13679 +tp13680 +a(g892 +V\u000a +p13681 +tp13682 +a(g892 +Vwe're in a subroutine or +p13683 +tp13684 +a(g200 +V\u005cf +p13685 +tp13686 +a(g892 +V(CW +p13687 +tp13688 +a(g200 +V\u005c* +p13689 +tp13690 +a(g892 +V(C`eval +p13691 +tp13692 +a(g200 +V\u005c* +p13693 +tp13694 +a(g892 +V(C' +p13695 +tp13696 +a(g200 +V\u005cfR +p13697 +tp13698 +a(g892 +V or +p13699 +tp13700 +a(g200 +V\u005cf +p13701 +tp13702 +a(g892 +V(CW +p13703 +tp13704 +a(g200 +V\u005c* +p13705 +tp13706 +a(g892 +V(C`require +p13707 +tp13708 +a(g200 +V\u005c* +p13709 +tp13710 +a(g892 +V(C' +p13711 +tp13712 +a(g200 +V\u005cfR +p13713 +tp13714 +a(g892 +V, and the undefined value +p13715 +tp13716 +a(g892 +V\u000a +p13717 +tp13718 +a(g892 +Votherwise. In list context, returns +p13719 +tp13720 +a(g892 +V\u000a +p13721 +tp13722 +a(g892 +g956 +tp13723 +a(g810 +VSp +p13724 +tp13725 +a(g892 +V\u000a +p13726 +tp13727 +a(g892 +g956 +tp13728 +a(g810 +VVb +p13729 +tp13730 +a(g892 +g980 +tp13731 +a(g17 +g2282 +tp13732 +a(g892 +V\u000a +p13733 +tp13734 +a(g200 +V\u005c& +p13735 +tp13736 +a(g892 +V ($package, $filename, $line) = caller; +p13737 +tp13738 +a(g892 +V\u000a +p13739 +tp13740 +a(g892 +g956 +tp13741 +a(g810 +VVe +p13742 +tp13743 +a(g892 +V\u000a +p13744 +tp13745 +a(g892 +g956 +tp13746 +a(g810 +VSp +p13747 +tp13748 +a(g892 +V\u000a +p13749 +tp13750 +a(g892 +VWith +p13751 +tp13752 +a(g200 +V\u005cs +p13753 +tp13754 +a(g892 +V-1EXPR +p13755 +tp13756 +a(g200 +V\u005cs +p13757 +tp13758 +a(g892 +V0, it returns some extra information that the debugger uses to +p13759 +tp13760 +a(g892 +V\u000a +p13761 +tp13762 +a(g892 +Vprint a stack trace. The value of +p13763 +tp13764 +a(g200 +V\u005cs +p13765 +tp13766 +a(g892 +V-1EXPR +p13767 +tp13768 +a(g200 +V\u005cs +p13769 +tp13770 +a(g892 +V0 indicates how many call frames +p13771 +tp13772 +a(g892 +V\u000a +p13773 +tp13774 +a(g892 +Vto go back before the current one. +p13775 +tp13776 +a(g892 +V\u000a +p13777 +tp13778 +a(g892 +g956 +tp13779 +a(g810 +VSp +p13780 +tp13781 +a(g892 +V\u000a +p13782 +tp13783 +a(g892 +g956 +tp13784 +a(g810 +VVb +p13785 +tp13786 +a(g892 +g980 +tp13787 +a(g17 +g2598 +tp13788 +a(g892 +V\u000a +p13789 +tp13790 +a(g200 +V\u005c& +p13791 +tp13792 +a(g892 +V ($package, $filename, $line, $subroutine, $hasargs, +p13793 +tp13794 +a(g892 +V\u000a +p13795 +tp13796 +a(g200 +V\u005c& +p13797 +tp13798 +a(g892 +V $wantarray, $evaltext, $is_require, $hints, $bitmask) = caller($i); +p13799 +tp13800 +a(g892 +V\u000a +p13801 +tp13802 +a(g892 +g956 +tp13803 +a(g810 +VVe +p13804 +tp13805 +a(g892 +V\u000a +p13806 +tp13807 +a(g892 +g956 +tp13808 +a(g810 +VSp +p13809 +tp13810 +a(g892 +V\u000a +p13811 +tp13812 +a(g892 +VHere +p13813 +tp13814 +a(g200 +V\u005cf +p13815 +tp13816 +a(g892 +V(CW$subroutine +p13817 +tp13818 +a(g200 +V\u005cfR +p13819 +tp13820 +a(g892 +V may be +p13821 +tp13822 +a(g200 +V\u005cf +p13823 +tp13824 +a(g892 +V(CW +p13825 +tp13826 +a(g200 +V\u005c* +p13827 +tp13828 +a(g892 +V(C`(eval) +p13829 +tp13830 +a(g200 +V\u005c* +p13831 +tp13832 +a(g892 +V(C' +p13833 +tp13834 +a(g200 +V\u005cfR +p13835 +tp13836 +a(g892 +V if the frame is not a subroutine +p13837 +tp13838 +a(g892 +V\u000a +p13839 +tp13840 +a(g892 +Vcall, but an +p13841 +tp13842 +a(g200 +V\u005cf +p13843 +tp13844 +a(g892 +V(CW +p13845 +tp13846 +a(g200 +V\u005c* +p13847 +tp13848 +a(g892 +V(C`eval +p13849 +tp13850 +a(g200 +V\u005c* +p13851 +tp13852 +a(g892 +V(C' +p13853 +tp13854 +a(g200 +V\u005cfR +p13855 +tp13856 +a(g892 +V. In such a case additional elements +p13857 +tp13858 +a(g200 +V\u005cf +p13859 +tp13860 +a(g892 +V(CW$evaltext +p13861 +tp13862 +a(g200 +V\u005cfR +p13863 +tp13864 +a(g892 +V and +p13865 +tp13866 +a(g892 +V\u000a +p13867 +tp13868 +a(g200 +V\u005c& +p13869 +tp13870 +a(g200 +V\u005cf +p13871 +tp13872 +a(g892 +V(CW$is_require +p13873 +tp13874 +a(g200 +V\u005cfR +p13875 +tp13876 +a(g892 +V are set: +p13877 +tp13878 +a(g200 +V\u005cf +p13879 +tp13880 +a(g892 +V(CW$is_require +p13881 +tp13882 +a(g200 +V\u005cfR +p13883 +tp13884 +a(g892 +V is true if the frame is created by a +p13885 +tp13886 +a(g892 +V\u000a +p13887 +tp13888 +a(g200 +V\u005c& +p13889 +tp13890 +a(g200 +V\u005cf +p13891 +tp13892 +a(g892 +V(CW +p13893 +tp13894 +a(g200 +V\u005c* +p13895 +tp13896 +a(g892 +V(C`require +p13897 +tp13898 +a(g200 +V\u005c* +p13899 +tp13900 +a(g892 +V(C' +p13901 +tp13902 +a(g200 +V\u005cfR +p13903 +tp13904 +a(g892 +V or +p13905 +tp13906 +a(g200 +V\u005cf +p13907 +tp13908 +a(g892 +V(CW +p13909 +tp13910 +a(g200 +V\u005c* +p13911 +tp13912 +a(g892 +V(C`use +p13913 +tp13914 +a(g200 +V\u005c* +p13915 +tp13916 +a(g892 +V(C' +p13917 +tp13918 +a(g200 +V\u005cfR +p13919 +tp13920 +a(g892 +V statement, +p13921 +tp13922 +a(g200 +V\u005cf +p13923 +tp13924 +a(g892 +V(CW$evaltext +p13925 +tp13926 +a(g200 +V\u005cfR +p13927 +tp13928 +a(g892 +V contains the text of the +p13929 +tp13930 +a(g892 +V\u000a +p13931 +tp13932 +a(g200 +V\u005c& +p13933 +tp13934 +a(g200 +V\u005cf +p13935 +tp13936 +a(g892 +V(CW +p13937 +tp13938 +a(g200 +V\u005c* +p13939 +tp13940 +a(g892 +V(C`eval EXPR +p13941 +tp13942 +a(g200 +V\u005c* +p13943 +tp13944 +a(g892 +V(C' +p13945 +tp13946 +a(g200 +V\u005cfR +p13947 +tp13948 +a(g892 +V statement. In particular, for an +p13949 +tp13950 +a(g200 +V\u005cf +p13951 +tp13952 +a(g892 +V(CW +p13953 +tp13954 +a(g200 +V\u005c* +p13955 +tp13956 +a(g892 +V(C`eval BLOCK +p13957 +tp13958 +a(g200 +V\u005c* +p13959 +tp13960 +a(g892 +V(C' +p13961 +tp13962 +a(g200 +V\u005cfR +p13963 +tp13964 +a(g892 +V statement, +p13965 +tp13966 +a(g892 +V\u000a +p13967 +tp13968 +a(g200 +V\u005c& +p13969 +tp13970 +a(g200 +V\u005cf +p13971 +tp13972 +a(g892 +V(CW$filename +p13973 +tp13974 +a(g200 +V\u005cfR +p13975 +tp13976 +a(g892 +V is +p13977 +tp13978 +a(g200 +V\u005cf +p13979 +tp13980 +a(g892 +V(CW +p13981 +tp13982 +a(g200 +V\u005c* +p13983 +tp13984 +a(g892 +V(C`(eval) +p13985 +tp13986 +a(g200 +V\u005c* +p13987 +tp13988 +a(g892 +V(C' +p13989 +tp13990 +a(g200 +V\u005cfR +p13991 +tp13992 +a(g892 +V, but +p13993 +tp13994 +a(g200 +V\u005cf +p13995 +tp13996 +a(g892 +V(CW$evaltext +p13997 +tp13998 +a(g200 +V\u005cfR +p13999 +tp14000 +a(g892 +V is undefined. (Note also that +p14001 +tp14002 +a(g892 +V\u000a +p14003 +tp14004 +a(g892 +Veach +p14005 +tp14006 +a(g200 +V\u005cf +p14007 +tp14008 +a(g892 +V(CW +p14009 +tp14010 +a(g200 +V\u005c* +p14011 +tp14012 +a(g892 +V(C`use +p14013 +tp14014 +a(g200 +V\u005c* +p14015 +tp14016 +a(g892 +V(C' +p14017 +tp14018 +a(g200 +V\u005cfR +p14019 +tp14020 +a(g892 +V statement creates a +p14021 +tp14022 +a(g200 +V\u005cf +p14023 +tp14024 +a(g892 +V(CW +p14025 +tp14026 +a(g200 +V\u005c* +p14027 +tp14028 +a(g892 +V(C`require +p14029 +tp14030 +a(g200 +V\u005c* +p14031 +tp14032 +a(g892 +V(C' +p14033 +tp14034 +a(g200 +V\u005cfR +p14035 +tp14036 +a(g892 +V frame inside an +p14037 +tp14038 +a(g200 +V\u005cf +p14039 +tp14040 +a(g892 +V(CW +p14041 +tp14042 +a(g200 +V\u005c* +p14043 +tp14044 +a(g892 +V(C`eval EXPR +p14045 +tp14046 +a(g200 +V\u005c* +p14047 +tp14048 +a(g892 +V(C' +p14049 +tp14050 +a(g200 +V\u005cfR +p14051 +tp14052 +a(g892 +V\u000a +p14053 +tp14054 +a(g892 +Vframe.) +p14055 +tp14056 +a(g200 +V\u005cf +p14057 +tp14058 +a(g892 +V(CW$subroutine +p14059 +tp14060 +a(g200 +V\u005cfR +p14061 +tp14062 +a(g892 +V may also be +p14063 +tp14064 +a(g200 +V\u005cf +p14065 +tp14066 +a(g892 +V(CW +p14067 +tp14068 +a(g200 +V\u005c* +p14069 +tp14070 +a(g892 +V(C`(unknown) +p14071 +tp14072 +a(g200 +V\u005c* +p14073 +tp14074 +a(g892 +V(C' +p14075 +tp14076 +a(g200 +V\u005cfR +p14077 +tp14078 +a(g892 +V if this particular +p14079 +tp14080 +a(g892 +V\u000a +p14081 +tp14082 +a(g892 +Vsubroutine happens to have been deleted from the symbol table. +p14083 +tp14084 +a(g892 +V\u000a +p14085 +tp14086 +a(g200 +V\u005c& +p14087 +tp14088 +a(g200 +V\u005cf +p14089 +tp14090 +a(g892 +V(CW$hasargs +p14091 +tp14092 +a(g200 +V\u005cfR +p14093 +tp14094 +a(g892 +V is true if a new instance of +p14095 +tp14096 +a(g200 +V\u005cf +p14097 +tp14098 +a(g892 +V(CW@_ +p14099 +tp14100 +a(g200 +V\u005cfR +p14101 +tp14102 +a(g892 +V was set up for the frame. +p14103 +tp14104 +a(g892 +V\u000a +p14105 +tp14106 +a(g200 +V\u005c& +p14107 +tp14108 +a(g200 +V\u005cf +p14109 +tp14110 +a(g892 +V(CW$hints +p14111 +tp14112 +a(g200 +V\u005cfR +p14113 +tp14114 +a(g892 +V and +p14115 +tp14116 +a(g200 +V\u005cf +p14117 +tp14118 +a(g892 +V(CW$bitmask +p14119 +tp14120 +a(g200 +V\u005cfR +p14121 +tp14122 +a(g892 +V contain pragmatic hints that the caller was +p14123 +tp14124 +a(g892 +V\u000a +p14125 +tp14126 +a(g892 +Vcompiled with. The +p14127 +tp14128 +a(g200 +V\u005cf +p14129 +tp14130 +a(g892 +V(CW$hints +p14131 +tp14132 +a(g200 +V\u005cfR +p14133 +tp14134 +a(g892 +V and +p14135 +tp14136 +a(g200 +V\u005cf +p14137 +tp14138 +a(g892 +V(CW$bitmask +p14139 +tp14140 +a(g200 +V\u005cfR +p14141 +tp14142 +a(g892 +V values are subject to change +p14143 +tp14144 +a(g892 +V\u000a +p14145 +tp14146 +a(g892 +Vbetween versions of Perl, and are not meant for external use. +p14147 +tp14148 +a(g892 +V\u000a +p14149 +tp14150 +a(g892 +g956 +tp14151 +a(g810 +VSp +p14152 +tp14153 +a(g892 +V\u000a +p14154 +tp14155 +a(g892 +VFurthermore, when called from within the +p14156 +tp14157 +a(g200 +V\u005cs +p14158 +tp14159 +a(g892 +V-1DB +p14160 +tp14161 +a(g200 +V\u005cs +p14162 +tp14163 +a(g892 +V0 package, caller returns more +p14164 +tp14165 +a(g892 +V\u000a +p14166 +tp14167 +a(g892 +Vdetailed information: it sets the list variable +p14168 +tp14169 +a(g200 +V\u005cf +p14170 +tp14171 +a(g892 +V(CW@DB::args +p14172 +tp14173 +a(g200 +V\u005cfR +p14174 +tp14175 +a(g892 +V to be the +p14176 +tp14177 +a(g892 +V\u000a +p14178 +tp14179 +a(g892 +Varguments with which the subroutine was invoked. +p14180 +tp14181 +a(g892 +V\u000a +p14182 +tp14183 +a(g892 +g956 +tp14184 +a(g810 +VSp +p14185 +tp14186 +a(g892 +V\u000a +p14187 +tp14188 +a(g892 +VBe aware that the optimizer might have optimized call frames away before +p14189 +tp14190 +a(g892 +V\u000a +p14191 +tp14192 +a(g200 +V\u005c& +p14193 +tp14194 +a(g200 +V\u005cf +p14195 +tp14196 +a(g892 +V(CW +p14197 +tp14198 +a(g200 +V\u005c* +p14199 +tp14200 +a(g892 +V(C`caller +p14201 +tp14202 +a(g200 +V\u005c* +p14203 +tp14204 +a(g892 +V(C' +p14205 +tp14206 +a(g200 +V\u005cfR +p14207 +tp14208 +a(g892 +V had a chance to get the information. That means that +p14209 +tp14210 +a(g200 +V\u005cf +p14211 +tp14212 +a(g892 +V(CWcaller(N) +p14213 +tp14214 +a(g200 +V\u005cfR +p14215 +tp14216 +a(g892 +V\u000a +p14217 +tp14218 +a(g892 +Vmight not return information about the call frame you expect it do, for +p14219 +tp14220 +a(g892 +V\u000a +p14221 +tp14222 +a(g200 +V\u005c& +p14223 +tp14224 +a(g200 +V\u005cf +p14225 +tp14226 +a(g892 +V(CW +p14227 +tp14228 +a(g200 +V\u005c* +p14229 +tp14230 +a(g892 +V(C`N > 1 +p14231 +tp14232 +a(g200 +V\u005c* +p14233 +tp14234 +a(g892 +V(C' +p14235 +tp14236 +a(g200 +V\u005cfR +p14237 +tp14238 +a(g892 +V. In particular, +p14239 +tp14240 +a(g200 +V\u005cf +p14241 +tp14242 +a(g892 +V(CW@DB::args +p14243 +tp14244 +a(g200 +V\u005cfR +p14245 +tp14246 +a(g892 +V might have information from the +p14247 +tp14248 +a(g892 +V\u000a +p14249 +tp14250 +a(g892 +Vprevious time +p14251 +tp14252 +a(g200 +V\u005cf +p14253 +tp14254 +a(g892 +V(CW +p14255 +tp14256 +a(g200 +V\u005c* +p14257 +tp14258 +a(g892 +V(C`caller +p14259 +tp14260 +a(g200 +V\u005c* +p14261 +tp14262 +a(g892 +V(C' +p14263 +tp14264 +a(g200 +V\u005cfR +p14265 +tp14266 +a(g892 +V was called. +p14267 +tp14268 +a(g892 +V\u000a +p14269 +tp14270 +a(g892 +g956 +tp14271 +a(g810 +VIP +p14272 +tp14273 +a(g892 +g980 +tp14274 +a(g144 +V"chdir \u005cs-1EXPR\u005cs0" +p14275 +tp14276 +a(g892 +g980 +tp14277 +a(g17 +g1942 +tp14278 +a(g892 +V\u000a +p14279 +tp14280 +a(g892 +g956 +tp14281 +a(g810 +VIX +p14282 +tp14283 +a(g892 +g980 +tp14284 +a(g76 +VXref +p14285 +tp14286 +a(g892 +g980 +tp14287 +a(g144 +V"chdir cd" +p14288 +tp14289 +a(g892 +V\u000a +p14290 +tp14291 +a(g892 +g956 +tp14292 +a(g810 +VIX +p14293 +tp14294 +a(g892 +g980 +tp14295 +a(g76 +VItem +p14296 +tp14297 +a(g892 +g980 +tp14298 +a(g144 +V"chdir EXPR" +p14299 +tp14300 +a(g892 +V\u000a +p14301 +tp14302 +a(g892 +g956 +tp14303 +a(g810 +VPD +p14304 +tp14305 +a(g892 +g980 +tp14306 +a(g17 +g1492 +tp14307 +a(g892 +V\u000a +p14308 +tp14309 +a(g892 +g956 +tp14310 +a(g810 +VIP +p14311 +tp14312 +a(g892 +g980 +tp14313 +a(g144 +V"chdir \u005cs-1FILEHANDLE\u005cs0" +p14314 +tp14315 +a(g892 +g980 +tp14316 +a(g17 +g1942 +tp14317 +a(g892 +V\u000a +p14318 +tp14319 +a(g892 +g956 +tp14320 +a(g810 +VIX +p14321 +tp14322 +a(g892 +g980 +tp14323 +a(g76 +VItem +p14324 +tp14325 +a(g892 +g980 +tp14326 +a(g144 +V"chdir FILEHANDLE" +p14327 +tp14328 +a(g892 +V\u000a +p14329 +tp14330 +a(g892 +g956 +tp14331 +a(g810 +VIP +p14332 +tp14333 +a(g892 +g980 +tp14334 +a(g144 +V"chdir \u005cs-1DIRHANDLE\u005cs0" +p14335 +tp14336 +a(g892 +g980 +tp14337 +a(g17 +g1942 +tp14338 +a(g892 +V\u000a +p14339 +tp14340 +a(g892 +g956 +tp14341 +a(g810 +VIX +p14342 +tp14343 +a(g892 +g980 +tp14344 +a(g76 +VItem +p14345 +tp14346 +a(g892 +g980 +tp14347 +a(g144 +V"chdir DIRHANDLE" +p14348 +tp14349 +a(g892 +V\u000a +p14350 +tp14351 +a(g892 +g956 +tp14352 +a(g810 +VIP +p14353 +tp14354 +a(g892 +g980 +tp14355 +a(g144 +V"chdir" +p14356 +tp14357 +a(g892 +g980 +tp14358 +a(g17 +g1942 +tp14359 +a(g892 +V\u000a +p14360 +tp14361 +a(g892 +g956 +tp14362 +a(g810 +VIX +p14363 +tp14364 +a(g892 +g980 +tp14365 +a(g76 +VItem +p14366 +tp14367 +a(g892 +g980 +tp14368 +a(g144 +V"chdir" +p14369 +tp14370 +a(g892 +V\u000a +p14371 +tp14372 +a(g892 +g956 +tp14373 +a(g810 +VPD +p14374 +tp14375 +a(g892 +V\u000a +p14376 +tp14377 +a(g892 +VChanges the working directory to +p14378 +tp14379 +a(g200 +V\u005cs +p14380 +tp14381 +a(g892 +V-1EXPR +p14382 +tp14383 +a(g200 +V\u005cs +p14384 +tp14385 +a(g892 +V0, if possible. If +p14386 +tp14387 +a(g200 +V\u005cs +p14388 +tp14389 +a(g892 +V-1EXPR +p14390 +tp14391 +a(g200 +V\u005cs +p14392 +tp14393 +a(g892 +V0 is omitted, +p14394 +tp14395 +a(g892 +V\u000a +p14396 +tp14397 +a(g892 +Vchanges to the directory specified by +p14398 +tp14399 +a(g200 +V\u005cf +p14400 +tp14401 +a(g892 +V(CW$ENV{HOME} +p14402 +tp14403 +a(g200 +V\u005cfR +p14404 +tp14405 +a(g892 +V, if set; if not, +p14406 +tp14407 +a(g892 +V\u000a +p14408 +tp14409 +a(g892 +Vchanges to the directory specified by +p14410 +tp14411 +a(g200 +V\u005cf +p14412 +tp14413 +a(g892 +V(CW$ENV{LOGDIR} +p14414 +tp14415 +a(g200 +V\u005cfR +p14416 +tp14417 +a(g892 +V. (Under +p14418 +tp14419 +a(g200 +V\u005cs +p14420 +tp14421 +a(g892 +V-1VMS +p14422 +tp14423 +a(g200 +V\u005cs +p14424 +tp14425 +a(g892 +V0, the +p14426 +tp14427 +a(g892 +V\u000a +p14428 +tp14429 +a(g892 +Vvariable +p14430 +tp14431 +a(g200 +V\u005cf +p14432 +tp14433 +a(g892 +V(CW$ENV{SYS$LOGIN} +p14434 +tp14435 +a(g200 +V\u005cfR +p14436 +tp14437 +a(g892 +V is also checked, and used if it is set.) If +p14438 +tp14439 +a(g892 +V\u000a +p14440 +tp14441 +a(g892 +Vneither is set, +p14442 +tp14443 +a(g200 +V\u005cf +p14444 +tp14445 +a(g892 +V(CW +p14446 +tp14447 +a(g200 +V\u005c* +p14448 +tp14449 +a(g892 +V(C`chdir +p14450 +tp14451 +a(g200 +V\u005c* +p14452 +tp14453 +a(g892 +V(C' +p14454 +tp14455 +a(g200 +V\u005cfR +p14456 +tp14457 +a(g892 +V does nothing. It returns true upon success, +p14458 +tp14459 +a(g892 +V\u000a +p14460 +tp14461 +a(g892 +Vfalse otherwise. See the example under +p14462 +tp14463 +a(g200 +V\u005cf +p14464 +tp14465 +a(g892 +V(CW +p14466 +tp14467 +a(g200 +V\u005c* +p14468 +tp14469 +a(g892 +V(C`die +p14470 +tp14471 +a(g200 +V\u005c* +p14472 +tp14473 +a(g892 +V(C' +p14474 +tp14475 +a(g200 +V\u005cfR +p14476 +tp14477 +a(g892 +g956 +tp14478 +a(g892 +V\u000a +p14479 +tp14480 +a(g892 +g956 +tp14481 +a(g810 +VSp +p14482 +tp14483 +a(g892 +V\u000a +p14484 +tp14485 +a(g892 +VOn systems that support fchdir, you might pass a file handle or +p14486 +tp14487 +a(g892 +V\u000a +p14488 +tp14489 +a(g892 +Vdirectory handle as argument. On systems that don't support fchdir, +p14490 +tp14491 +a(g892 +V\u000a +p14492 +tp14493 +a(g892 +Vpassing handles produces a fatal error at run time. +p14494 +tp14495 +a(g892 +V\u000a +p14496 +tp14497 +a(g892 +g956 +tp14498 +a(g810 +VIP +p14499 +tp14500 +a(g892 +g980 +tp14501 +a(g144 +V"chmod \u005cs-1LIST\u005cs0" +p14502 +tp14503 +a(g892 +g980 +tp14504 +a(g17 +g1942 +tp14505 +a(g892 +V\u000a +p14506 +tp14507 +a(g892 +g956 +tp14508 +a(g810 +VIX +p14509 +tp14510 +a(g892 +g980 +tp14511 +a(g76 +VXref +p14512 +tp14513 +a(g892 +g980 +tp14514 +a(g144 +V"chmod permission mode" +p14515 +tp14516 +a(g892 +V\u000a +p14517 +tp14518 +a(g892 +g956 +tp14519 +a(g810 +VIX +p14520 +tp14521 +a(g892 +g980 +tp14522 +a(g76 +VItem +p14523 +tp14524 +a(g892 +g980 +tp14525 +a(g144 +V"chmod LIST" +p14526 +tp14527 +a(g892 +V\u000a +p14528 +tp14529 +a(g892 +VChanges the permissions of a list of files. The first element of the +p14530 +tp14531 +a(g892 +V\u000a +p14532 +tp14533 +a(g892 +Vlist must be the numerical mode, which should probably be an octal +p14534 +tp14535 +a(g892 +V\u000a +p14536 +tp14537 +a(g892 +Vnumber, and which definitely should +p14538 +tp14539 +a(g200 +V\u005cfI +p14540 +tp14541 +a(g892 +Vnot +p14542 +tp14543 +a(g200 +V\u005cfR +p14544 +tp14545 +a(g892 +V be a string of octal digits: +p14546 +tp14547 +a(g892 +V\u000a +p14548 +tp14549 +a(g200 +V\u005c& +p14550 +tp14551 +a(g200 +V\u005cf +p14552 +tp14553 +a(g892 +V(CW0644 +p14554 +tp14555 +a(g200 +V\u005cfR +p14556 +tp14557 +a(g892 +V is okay, +p14558 +tp14559 +a(g200 +V\u005cf +p14560 +tp14561 +a(g892 +V(CW'0644' +p14562 +tp14563 +a(g200 +V\u005cfR +p14564 +tp14565 +a(g892 +V is not. Returns the number of files +p14566 +tp14567 +a(g892 +V\u000a +p14568 +tp14569 +a(g892 +Vsuccessfully changed. See also +p14570 +tp14571 +a(g200 +V\u005c* +p14572 +tp14573 +a(g892 +V(L"oct +p14574 +tp14575 +a(g200 +V\u005c* +p14576 +tp14577 +a(g892 +V(R", if all you have is a string. +p14578 +tp14579 +a(g892 +V\u000a +p14580 +tp14581 +a(g892 +g956 +tp14582 +a(g810 +VSp +p14583 +tp14584 +a(g892 +V\u000a +p14585 +tp14586 +a(g892 +g956 +tp14587 +a(g810 +VVb +p14588 +tp14589 +a(g892 +g980 +tp14590 +a(g17 +V6 +p14591 +tp14592 +a(g892 +V\u000a +p14593 +tp14594 +a(g200 +V\u005c& +p14595 +tp14596 +a(g892 +V $cnt = chmod 0755, 'foo', 'bar'; +p14597 +tp14598 +a(g892 +V\u000a +p14599 +tp14600 +a(g200 +V\u005c& +p14601 +tp14602 +a(g892 +V chmod 0755, @executables; +p14603 +tp14604 +a(g892 +V\u000a +p14605 +tp14606 +a(g200 +V\u005c& +p14607 +tp14608 +a(g892 +V $mode = '0644'; chmod $mode, 'foo'; # !!! sets mode to +p14609 +tp14610 +a(g892 +V\u000a +p14611 +tp14612 +a(g200 +V\u005c& +p14613 +tp14614 +a(g892 +V # --w----r-T +p14615 +tp14616 +a(g892 +V\u000a +p14617 +tp14618 +a(g200 +V\u005c& +p14619 +tp14620 +a(g892 +V $mode = '0644'; chmod oct($mode), 'foo'; # this is better +p14621 +tp14622 +a(g892 +V\u000a +p14623 +tp14624 +a(g200 +V\u005c& +p14625 +tp14626 +a(g892 +V $mode = 0644; chmod $mode, 'foo'; # this is best +p14627 +tp14628 +a(g892 +V\u000a +p14629 +tp14630 +a(g892 +g956 +tp14631 +a(g810 +VVe +p14632 +tp14633 +a(g892 +V\u000a +p14634 +tp14635 +a(g892 +g956 +tp14636 +a(g810 +VSp +p14637 +tp14638 +a(g892 +V\u000a +p14639 +tp14640 +a(g892 +VOn systems that support fchmod, you might pass file handles among the +p14641 +tp14642 +a(g892 +V\u000a +p14643 +tp14644 +a(g892 +Vfiles. On systems that don't support fchmod, passing file handles +p14645 +tp14646 +a(g892 +V\u000a +p14647 +tp14648 +a(g892 +Vproduces a fatal error at run time. +p14649 +tp14650 +a(g892 +V\u000a +p14651 +tp14652 +a(g892 +g956 +tp14653 +a(g810 +VSp +p14654 +tp14655 +a(g892 +V\u000a +p14656 +tp14657 +a(g892 +g956 +tp14658 +a(g810 +VVb +p14659 +tp14660 +a(g892 +g980 +tp14661 +a(g17 +g9881 +tp14662 +a(g892 +V\u000a +p14663 +tp14664 +a(g200 +V\u005c& +p14665 +tp14666 +a(g892 +V open(my $fh, "<", "foo"); +p14667 +tp14668 +a(g892 +V\u000a +p14669 +tp14670 +a(g200 +V\u005c& +p14671 +tp14672 +a(g892 +V my $perm = (stat $fh)[2] & 07777; +p14673 +tp14674 +a(g892 +V\u000a +p14675 +tp14676 +a(g200 +V\u005c& +p14677 +tp14678 +a(g892 +V chmod($perm | 0600, $fh); +p14679 +tp14680 +a(g892 +V\u000a +p14681 +tp14682 +a(g892 +g956 +tp14683 +a(g810 +VVe +p14684 +tp14685 +a(g892 +V\u000a +p14686 +tp14687 +a(g892 +g956 +tp14688 +a(g810 +VSp +p14689 +tp14690 +a(g892 +V\u000a +p14691 +tp14692 +a(g892 +VYou can also import the symbolic +p14693 +tp14694 +a(g200 +V\u005cf +p14695 +tp14696 +a(g892 +V(CW +p14697 +tp14698 +a(g200 +V\u005c* +p14699 +tp14700 +a(g892 +V(C`S_I* +p14701 +tp14702 +a(g200 +V\u005c* +p14703 +tp14704 +a(g892 +V(C' +p14705 +tp14706 +a(g200 +V\u005cfR +p14707 +tp14708 +a(g892 +V constants from the Fcntl +p14709 +tp14710 +a(g892 +V\u000a +p14711 +tp14712 +a(g892 +Vmodule: +p14713 +tp14714 +a(g892 +V\u000a +p14715 +tp14716 +a(g892 +g956 +tp14717 +a(g810 +VSp +p14718 +tp14719 +a(g892 +V\u000a +p14720 +tp14721 +a(g892 +g956 +tp14722 +a(g810 +VVb +p14723 +tp14724 +a(g892 +g980 +tp14725 +a(g17 +g2282 +tp14726 +a(g892 +V\u000a +p14727 +tp14728 +a(g200 +V\u005c& +p14729 +tp14730 +a(g892 +V use Fcntl ':mode'; +p14731 +tp14732 +a(g892 +V\u000a +p14733 +tp14734 +a(g892 +g956 +tp14735 +a(g810 +VVe +p14736 +tp14737 +a(g892 +V\u000a +p14738 +tp14739 +a(g892 +g956 +tp14740 +a(g810 +VSp +p14741 +tp14742 +a(g892 +V\u000a +p14743 +tp14744 +a(g892 +g956 +tp14745 +a(g810 +VVb +p14746 +tp14747 +a(g892 +g980 +tp14748 +a(g17 +g2598 +tp14749 +a(g892 +V\u000a +p14750 +tp14751 +a(g200 +V\u005c& +p14752 +tp14753 +a(g892 +V chmod S_IRWXU|S_IRGRP|S_IXGRP|S_IROTH|S_IXOTH, @executables; +p14754 +tp14755 +a(g892 +V\u000a +p14756 +tp14757 +a(g200 +V\u005c& +p14758 +tp14759 +a(g892 +V # This is identical to the chmod 0755 of the above example. +p14760 +tp14761 +a(g892 +V\u000a +p14762 +tp14763 +a(g892 +g956 +tp14764 +a(g810 +VVe +p14765 +tp14766 +a(g892 +V\u000a +p14767 +tp14768 +a(g892 +g956 +tp14769 +a(g810 +VIP +p14770 +tp14771 +a(g892 +g980 +tp14772 +a(g144 +V"chomp \u005cs-1VARIABLE\u005cs0" +p14773 +tp14774 +a(g892 +g980 +tp14775 +a(g17 +g1942 +tp14776 +a(g892 +V\u000a +p14777 +tp14778 +a(g892 +g956 +tp14779 +a(g810 +VIX +p14780 +tp14781 +a(g892 +g980 +tp14782 +a(g76 +VXref +p14783 +tp14784 +a(g892 +g980 +tp14785 +a(g144 +V"chomp INPUT_RECORD_SEPARATOR $ newline eol" +p14786 +tp14787 +a(g892 +V\u000a +p14788 +tp14789 +a(g892 +g956 +tp14790 +a(g810 +VIX +p14791 +tp14792 +a(g892 +g980 +tp14793 +a(g76 +VItem +p14794 +tp14795 +a(g892 +g980 +tp14796 +a(g144 +V"chomp VARIABLE" +p14797 +tp14798 +a(g892 +V\u000a +p14799 +tp14800 +a(g892 +g956 +tp14801 +a(g810 +VPD +p14802 +tp14803 +a(g892 +g980 +tp14804 +a(g17 +g1492 +tp14805 +a(g892 +V\u000a +p14806 +tp14807 +a(g892 +g956 +tp14808 +a(g810 +VIP +p14809 +tp14810 +a(g892 +g980 +tp14811 +a(g144 +V"chomp( \u005cs-1LIST\u005cs0 )" +p14812 +tp14813 +a(g892 +g980 +tp14814 +a(g17 +g1942 +tp14815 +a(g892 +V\u000a +p14816 +tp14817 +a(g892 +g956 +tp14818 +a(g810 +VIX +p14819 +tp14820 +a(g892 +g980 +tp14821 +a(g76 +VItem +p14822 +tp14823 +a(g892 +g980 +tp14824 +a(g144 +V"chomp( LIST )" +p14825 +tp14826 +a(g892 +V\u000a +p14827 +tp14828 +a(g892 +g956 +tp14829 +a(g810 +VIP +p14830 +tp14831 +a(g892 +g980 +tp14832 +a(g144 +V"chomp" +p14833 +tp14834 +a(g892 +g980 +tp14835 +a(g17 +g1942 +tp14836 +a(g892 +V\u000a +p14837 +tp14838 +a(g892 +g956 +tp14839 +a(g810 +VIX +p14840 +tp14841 +a(g892 +g980 +tp14842 +a(g76 +VItem +p14843 +tp14844 +a(g892 +g980 +tp14845 +a(g144 +V"chomp" +p14846 +tp14847 +a(g892 +V\u000a +p14848 +tp14849 +a(g892 +g956 +tp14850 +a(g810 +VPD +p14851 +tp14852 +a(g892 +V\u000a +p14853 +tp14854 +a(g892 +VThis safer version of +p14855 +tp14856 +a(g200 +V\u005c* +p14857 +tp14858 +a(g892 +V(L"chop +p14859 +tp14860 +a(g200 +V\u005c* +p14861 +tp14862 +a(g892 +V(R" removes any trailing string +p14863 +tp14864 +a(g892 +V\u000a +p14865 +tp14866 +a(g892 +Vthat corresponds to the current value of +p14867 +tp14868 +a(g200 +V\u005cf +p14869 +tp14870 +a(g892 +V(CW$/ +p14871 +tp14872 +a(g200 +V\u005cfR +p14873 +tp14874 +a(g892 +V (also known as +p14875 +tp14876 +a(g892 +V\u000a +p14877 +tp14878 +a(g200 +V\u005c& +p14879 +tp14880 +a(g200 +V\u005cf +p14881 +tp14882 +a(g892 +V(CW$INPUT_RECORD_SEPARATOR +p14883 +tp14884 +a(g200 +V\u005cfR +p14885 +tp14886 +a(g892 +V in the +p14887 +tp14888 +a(g200 +V\u005cf +p14889 +tp14890 +a(g892 +V(CW +p14891 +tp14892 +a(g200 +V\u005c* +p14893 +tp14894 +a(g892 +V(C`English +p14895 +tp14896 +a(g200 +V\u005c* +p14897 +tp14898 +a(g892 +V(C' +p14899 +tp14900 +a(g200 +V\u005cfR +p14901 +tp14902 +a(g892 +V module). It returns the total +p14903 +tp14904 +a(g892 +V\u000a +p14905 +tp14906 +a(g892 +Vnumber of characters removed from all its arguments. It's often used to +p14907 +tp14908 +a(g892 +V\u000a +p14909 +tp14910 +a(g892 +Vremove the newline from the end of an input record when you're worried +p14911 +tp14912 +a(g892 +V\u000a +p14913 +tp14914 +a(g892 +Vthat the final record may be missing its newline. When in paragraph +p14915 +tp14916 +a(g892 +V\u000a +p14917 +tp14918 +a(g892 +Vmode ( +p14919 +tp14920 +a(g200 +V\u005cf +p14921 +tp14922 +a(g892 +V(CW +p14923 +tp14924 +a(g200 +V\u005c* +p14925 +tp14926 +a(g892 +V(C`$/ = "" +p14927 +tp14928 +a(g200 +V\u005c* +p14929 +tp14930 +a(g892 +V(C' +p14931 +tp14932 +a(g200 +V\u005cfR +p14933 +tp14934 +a(g892 +V), it removes all trailing newlines from the string. +p14935 +tp14936 +a(g892 +V\u000a +p14937 +tp14938 +a(g892 +VWhen in slurp mode ( +p14939 +tp14940 +a(g200 +V\u005cf +p14941 +tp14942 +a(g892 +V(CW +p14943 +tp14944 +a(g200 +V\u005c* +p14945 +tp14946 +a(g892 +V(C`$/ = undef +p14947 +tp14948 +a(g200 +V\u005c* +p14949 +tp14950 +a(g892 +V(C' +p14951 +tp14952 +a(g200 +V\u005cfR +p14953 +tp14954 +a(g892 +V) or fixed-length record mode ( +p14955 +tp14956 +a(g200 +V\u005cf +p14957 +tp14958 +a(g892 +V(CW$/ +p14959 +tp14960 +a(g200 +V\u005cfR +p14961 +tp14962 +a(g892 +V is +p14963 +tp14964 +a(g892 +V\u000a +p14965 +tp14966 +a(g892 +Va reference to an integer or the like, see perlvar) +p14967 +tp14968 +a(g200 +V\u005cfI +p14969 +tp14970 +a(g892 +Vchomp() +p14971 +tp14972 +a(g200 +V\u005cfR +p14973 +tp14974 +a(g892 +V won't +p14975 +tp14976 +a(g892 +V\u000a +p14977 +tp14978 +a(g892 +Vremove anything. +p14979 +tp14980 +a(g892 +V\u000a +p14981 +tp14982 +a(g892 +VIf +p14983 +tp14984 +a(g200 +V\u005cs +p14985 +tp14986 +a(g892 +V-1VARIABLE +p14987 +tp14988 +a(g200 +V\u005cs +p14989 +tp14990 +a(g892 +V0 is omitted, it chomps +p14991 +tp14992 +a(g200 +V\u005cf +p14993 +tp14994 +a(g892 +V(CW$_ +p14995 +tp14996 +a(g200 +V\u005cfR +p14997 +tp14998 +a(g892 +V. Example: +p14999 +tp15000 +a(g892 +V\u000a +p15001 +tp15002 +a(g892 +g956 +tp15003 +a(g810 +VSp +p15004 +tp15005 +a(g892 +V\u000a +p15006 +tp15007 +a(g892 +g956 +tp15008 +a(g810 +VVb +p15009 +tp15010 +a(g892 +g980 +tp15011 +a(g17 +g1009 +tp15012 +a(g892 +V\u000a +p15013 +tp15014 +a(g200 +V\u005c& +p15015 +tp15016 +a(g892 +V while (<>) { +p15017 +tp15018 +a(g892 +V\u000a +p15019 +tp15020 +a(g200 +V\u005c& +p15021 +tp15022 +a(g892 +V chomp; # avoid +p15023 +tp15024 +a(g200 +V\u005ce +p15025 +tp15026 +a(g892 +Vn on last field +p15027 +tp15028 +a(g892 +V\u000a +p15029 +tp15030 +a(g200 +V\u005c& +p15031 +tp15032 +a(g892 +V @array = split(/:/); +p15033 +tp15034 +a(g892 +V\u000a +p15035 +tp15036 +a(g200 +V\u005c& +p15037 +tp15038 +a(g892 +V # ... +p15039 +tp15040 +a(g892 +V\u000a +p15041 +tp15042 +a(g200 +V\u005c& +p15043 +tp15044 +a(g892 +V } +p15045 +tp15046 +a(g892 +V\u000a +p15047 +tp15048 +a(g892 +g956 +tp15049 +a(g810 +VVe +p15050 +tp15051 +a(g892 +V\u000a +p15052 +tp15053 +a(g892 +g956 +tp15054 +a(g810 +VSp +p15055 +tp15056 +a(g892 +V\u000a +p15057 +tp15058 +a(g892 +VIf +p15059 +tp15060 +a(g200 +V\u005cs +p15061 +tp15062 +a(g892 +V-1VARIABLE +p15063 +tp15064 +a(g200 +V\u005cs +p15065 +tp15066 +a(g892 +V0 is a hash, it chomps the hash's values, but not its keys. +p15067 +tp15068 +a(g892 +V\u000a +p15069 +tp15070 +a(g892 +g956 +tp15071 +a(g810 +VSp +p15072 +tp15073 +a(g892 +V\u000a +p15074 +tp15075 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/phpMyAdmin.spec b/tests/examplefiles/output/phpMyAdmin.spec new file mode 100644 index 0000000..1c0974d --- /dev/null +++ b/tests/examplefiles/output/phpMyAdmin.spec @@ -0,0 +1,15148 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV%define _myadminpath /var/www/myadmin\u000a +p956 +tp957 +a(g8 +V%define pkgrelease rc1\u000a +p958 +tp959 +a(g8 +V%define microrelease 1\u000a +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g346 +VName +p964 +tp965 +a(g706 +V: +p966 +tp967 +a(g827 +V +p968 +tp969 +a(g827 +g968 +tp970 +a(g827 +Vp +p971 +tp972 +a(g827 +Vh +p973 +tp974 +a(g827 +g971 +tp975 +a(g827 +VM +p976 +tp977 +a(g827 +Vy +p978 +tp979 +a(g827 +VA +p980 +tp981 +a(g827 +Vd +p982 +tp983 +a(g827 +Vm +p984 +tp985 +a(g827 +Vi +p986 +tp987 +a(g827 +Vn +p988 +tp989 +a(g827 +V\u000a +p990 +tp991 +a(g346 +VVersion +p992 +tp993 +a(g706 +g966 +tp994 +a(g827 +g968 +tp995 +a(g827 +V3 +p996 +tp997 +a(g827 +V. +p998 +tp999 +a(g827 +V1 +p1000 +tp1001 +a(g827 +g998 +tp1002 +a(g827 +g1000 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g346 +VRelease +p1006 +tp1007 +a(g706 +g966 +tp1008 +a(g827 +g968 +tp1009 +a(g764 +V%{pkgrelease} +p1010 +tp1011 +a(g827 +g998 +tp1012 +a(g764 +V%{microrelease} +p1013 +tp1014 +a(g827 +V\u000a +p1015 +tp1016 +a(g346 +VLicense +p1017 +tp1018 +a(g706 +g966 +tp1019 +a(g827 +g968 +tp1020 +a(g827 +VG +p1021 +tp1022 +a(g827 +VP +p1023 +tp1024 +a(g827 +VL +p1025 +tp1026 +a(g827 +V\u000a +p1027 +tp1028 +a(g346 +VGroup +p1029 +tp1030 +a(g706 +g966 +tp1031 +a(g827 +g968 +tp1032 +a(g827 +g968 +tp1033 +a(g827 +g980 +tp1034 +a(g827 +g971 +tp1035 +a(g827 +g971 +tp1036 +a(g827 +Vl +p1037 +tp1038 +a(g827 +g986 +tp1039 +a(g827 +Vc +p1040 +tp1041 +a(g827 +Va +p1042 +tp1043 +a(g827 +Vt +p1044 +tp1045 +a(g827 +g986 +tp1046 +a(g827 +Vo +p1047 +tp1048 +a(g827 +g988 +tp1049 +a(g827 +Vs +p1050 +tp1051 +a(g827 +V/ +p1052 +tp1053 +a(g827 +VD +p1054 +tp1055 +a(g827 +g1042 +tp1056 +a(g827 +g1044 +tp1057 +a(g827 +g1042 +tp1058 +a(g827 +Vb +p1059 +tp1060 +a(g827 +g1042 +tp1061 +a(g827 +g1050 +tp1062 +a(g827 +Ve +p1063 +tp1064 +a(g827 +g1050 +tp1065 +a(g827 +g1052 +tp1066 +a(g827 +VI +p1067 +tp1068 +a(g827 +g988 +tp1069 +a(g827 +g1044 +tp1070 +a(g827 +g1063 +tp1071 +a(g827 +Vr +p1072 +tp1073 +a(g827 +Vf +p1074 +tp1075 +a(g827 +g1042 +tp1076 +a(g827 +g1040 +tp1077 +a(g827 +g1063 +tp1078 +a(g827 +g1050 +tp1079 +a(g827 +V\u000a +p1080 +tp1081 +a(g346 +VSource0 +p1082 +tp1083 +a(g706 +g966 +tp1084 +a(g827 +g968 +tp1085 +a(g827 +g973 +tp1086 +a(g827 +g1044 +tp1087 +a(g827 +g1044 +tp1088 +a(g827 +g971 +tp1089 +a(g827 +g966 +tp1090 +a(g827 +g1052 +tp1091 +a(g827 +g1052 +tp1092 +a(g827 +g971 +tp1093 +a(g827 +g1072 +tp1094 +a(g827 +g982 +tp1095 +a(g827 +g1047 +tp1096 +a(g827 +Vw +p1097 +tp1098 +a(g827 +g988 +tp1099 +a(g827 +g1037 +tp1100 +a(g827 +g1047 +tp1101 +a(g827 +g1042 +tp1102 +a(g827 +g982 +tp1103 +a(g827 +g1050 +tp1104 +a(g827 +g998 +tp1105 +a(g827 +g1050 +tp1106 +a(g827 +g1047 +tp1107 +a(g827 +Vu +p1108 +tp1109 +a(g827 +g1072 +tp1110 +a(g827 +g1040 +tp1111 +a(g827 +g1063 +tp1112 +a(g827 +g1074 +tp1113 +a(g827 +g1047 +tp1114 +a(g827 +g1072 +tp1115 +a(g827 +Vg +p1116 +tp1117 +a(g827 +g1063 +tp1118 +a(g827 +g998 +tp1119 +a(g827 +g988 +tp1120 +a(g827 +g1063 +tp1121 +a(g827 +g1044 +tp1122 +a(g827 +g1052 +tp1123 +a(g827 +g971 +tp1124 +a(g827 +g973 +tp1125 +a(g827 +g971 +tp1126 +a(g827 +g984 +tp1127 +a(g827 +g978 +tp1128 +a(g827 +g1042 +tp1129 +a(g827 +g982 +tp1130 +a(g827 +g984 +tp1131 +a(g827 +g986 +tp1132 +a(g827 +g988 +tp1133 +a(g827 +g1052 +tp1134 +a(g764 +V%{name} +p1135 +tp1136 +a(g827 +V- +p1137 +tp1138 +a(g764 +V%{version} +p1139 +tp1140 +a(g827 +g1137 +tp1141 +a(g764 +V%{pkgrelease} +p1142 +tp1143 +a(g827 +g998 +tp1144 +a(g827 +g1044 +tp1145 +a(g827 +g1042 +tp1146 +a(g827 +g1072 +tp1147 +a(g827 +g998 +tp1148 +a(g827 +g1059 +tp1149 +a(g827 +Vz +p1150 +tp1151 +a(g827 +V2 +p1152 +tp1153 +a(g827 +V\u000a +p1154 +tp1155 +a(g346 +VSource1 +p1156 +tp1157 +a(g706 +g966 +tp1158 +a(g827 +g968 +tp1159 +a(g827 +g971 +tp1160 +a(g827 +g973 +tp1161 +a(g827 +g971 +tp1162 +a(g827 +g976 +tp1163 +a(g827 +g978 +tp1164 +a(g827 +g980 +tp1165 +a(g827 +g982 +tp1166 +a(g827 +g984 +tp1167 +a(g827 +g986 +tp1168 +a(g827 +g988 +tp1169 +a(g827 +g1137 +tp1170 +a(g827 +g973 +tp1171 +a(g827 +g1044 +tp1172 +a(g827 +g1044 +tp1173 +a(g827 +g971 +tp1174 +a(g827 +g998 +tp1175 +a(g827 +g1040 +tp1176 +a(g827 +g1047 +tp1177 +a(g827 +g988 +tp1178 +a(g827 +g1074 +tp1179 +a(g827 +V\u000a +p1180 +tp1181 +a(g346 +VURL +p1182 +tp1183 +a(g706 +g966 +tp1184 +a(g827 +g968 +tp1185 +a(g827 +g968 +tp1186 +a(g827 +g973 +tp1187 +a(g827 +g1044 +tp1188 +a(g827 +g1044 +tp1189 +a(g827 +g971 +tp1190 +a(g827 +g966 +tp1191 +a(g827 +g1052 +tp1192 +a(g827 +g1052 +tp1193 +a(g827 +g1050 +tp1194 +a(g827 +g1047 +tp1195 +a(g827 +g1108 +tp1196 +a(g827 +g1072 +tp1197 +a(g827 +g1040 +tp1198 +a(g827 +g1063 +tp1199 +a(g827 +g1074 +tp1200 +a(g827 +g1047 +tp1201 +a(g827 +g1072 +tp1202 +a(g827 +g1116 +tp1203 +a(g827 +g1063 +tp1204 +a(g827 +g998 +tp1205 +a(g827 +g988 +tp1206 +a(g827 +g1063 +tp1207 +a(g827 +g1044 +tp1208 +a(g827 +g1052 +tp1209 +a(g827 +g971 +tp1210 +a(g827 +g1072 +tp1211 +a(g827 +g1047 +tp1212 +a(g827 +Vj +p1213 +tp1214 +a(g827 +g1063 +tp1215 +a(g827 +g1040 +tp1216 +a(g827 +g1044 +tp1217 +a(g827 +g1050 +tp1218 +a(g827 +g1052 +tp1219 +a(g827 +g971 +tp1220 +a(g827 +g973 +tp1221 +a(g827 +g971 +tp1222 +a(g827 +g984 +tp1223 +a(g827 +g978 +tp1224 +a(g827 +g1042 +tp1225 +a(g827 +g982 +tp1226 +a(g827 +g984 +tp1227 +a(g827 +g986 +tp1228 +a(g827 +g988 +tp1229 +a(g827 +g1052 +tp1230 +a(g827 +V\u000a +p1231 +tp1232 +a(g346 +VRequires +p1233 +tp1234 +a(g706 +g966 +tp1235 +a(g827 +g968 +tp1236 +a(g827 +g984 +tp1237 +a(g827 +g978 +tp1238 +a(g827 +g1050 +tp1239 +a(g827 +Vq +p1240 +tp1241 +a(g827 +g1037 +tp1242 +a(g827 +V\u000a +p1243 +tp1244 +a(g346 +VRequires +p1245 +tp1246 +a(g706 +g966 +tp1247 +a(g827 +g968 +tp1248 +a(g827 +g971 +tp1249 +a(g827 +g973 +tp1250 +a(g827 +g971 +tp1251 +a(g827 +g1137 +tp1252 +a(g827 +g984 +tp1253 +a(g827 +g978 +tp1254 +a(g827 +g1050 +tp1255 +a(g827 +g1240 +tp1256 +a(g827 +g1037 +tp1257 +a(g827 +V\u000a +p1258 +tp1259 +a(g346 +VBuildarch +p1260 +tp1261 +a(g706 +g966 +tp1262 +a(g827 +g968 +tp1263 +a(g827 +g988 +tp1264 +a(g827 +g1047 +tp1265 +a(g827 +g1042 +tp1266 +a(g827 +g1072 +tp1267 +a(g827 +g1040 +tp1268 +a(g827 +g973 +tp1269 +a(g827 +V\u000a +p1270 +tp1271 +a(g13 +V#BuildRoot: %{_tmppath}/%{name}-root\u000a +p1272 +tp1273 +a(g827 +V\u000a +p1274 +tp1275 +a(g346 +VSummary +p1276 +tp1277 +a(g706 +g966 +tp1278 +a(g827 +g968 +tp1279 +a(g827 +g971 +tp1280 +a(g827 +g973 +tp1281 +a(g827 +g971 +tp1282 +a(g827 +g976 +tp1283 +a(g827 +g978 +tp1284 +a(g827 +g980 +tp1285 +a(g827 +g982 +tp1286 +a(g827 +g984 +tp1287 +a(g827 +g986 +tp1288 +a(g827 +g988 +tp1289 +a(g827 +V +p1290 +tp1291 +a(g827 +g1137 +tp1292 +a(g827 +g1290 +tp1293 +a(g827 +g1097 +tp1294 +a(g827 +g1063 +tp1295 +a(g827 +g1059 +tp1296 +a(g827 +g1137 +tp1297 +a(g827 +g1059 +tp1298 +a(g827 +g1042 +tp1299 +a(g827 +g1050 +tp1300 +a(g827 +g1063 +tp1301 +a(g827 +g982 +tp1302 +a(g827 +g1290 +tp1303 +a(g827 +g976 +tp1304 +a(g827 +g978 +tp1305 +a(g827 +VS +p1306 +tp1307 +a(g827 +VQ +p1308 +tp1309 +a(g827 +g1025 +tp1310 +a(g827 +g1290 +tp1311 +a(g827 +g1042 +tp1312 +a(g827 +g982 +tp1313 +a(g827 +g984 +tp1314 +a(g827 +g986 +tp1315 +a(g827 +g988 +tp1316 +a(g827 +g986 +tp1317 +a(g827 +g1050 +tp1318 +a(g827 +g1044 +tp1319 +a(g827 +g1072 +tp1320 +a(g827 +g1042 +tp1321 +a(g827 +g1044 +tp1322 +a(g827 +g986 +tp1323 +a(g827 +g1047 +tp1324 +a(g827 +g988 +tp1325 +a(g827 +V\u000a +p1326 +tp1327 +a(g827 +V\u000a +p1328 +tp1329 +a(g496 +V%description +p1330 +tp1331 +a(g827 +V\u000a +p1332 +tp1333 +a(g827 +g971 +tp1334 +a(g827 +g973 +tp1335 +a(g827 +g971 +tp1336 +a(g827 +g976 +tp1337 +a(g827 +g978 +tp1338 +a(g827 +g980 +tp1339 +a(g827 +g982 +tp1340 +a(g827 +g984 +tp1341 +a(g827 +g986 +tp1342 +a(g827 +g988 +tp1343 +a(g827 +g1290 +tp1344 +a(g827 +g1040 +tp1345 +a(g827 +g1042 +tp1346 +a(g827 +g988 +tp1347 +a(g827 +g1290 +tp1348 +a(g827 +g984 +tp1349 +a(g827 +g1042 +tp1350 +a(g827 +g988 +tp1351 +a(g827 +g1042 +tp1352 +a(g827 +g1116 +tp1353 +a(g827 +g1063 +tp1354 +a(g827 +g1290 +tp1355 +a(g827 +g1042 +tp1356 +a(g827 +g1290 +tp1357 +a(g827 +g1097 +tp1358 +a(g827 +g973 +tp1359 +a(g827 +g1047 +tp1360 +a(g827 +g1037 +tp1361 +a(g827 +g1063 +tp1362 +a(g827 +g1290 +tp1363 +a(g827 +g976 +tp1364 +a(g827 +g978 +tp1365 +a(g827 +g1306 +tp1366 +a(g827 +g1308 +tp1367 +a(g827 +g1025 +tp1368 +a(g827 +g1137 +tp1369 +a(g827 +g1050 +tp1370 +a(g827 +g1063 +tp1371 +a(g827 +g1072 +tp1372 +a(g827 +Vv +p1373 +tp1374 +a(g827 +g1063 +tp1375 +a(g827 +g1072 +tp1376 +a(g827 +g1290 +tp1377 +a(g827 +V( +p1378 +tp1379 +a(g827 +g988 +tp1380 +a(g827 +g1063 +tp1381 +a(g827 +g1063 +tp1382 +a(g827 +g982 +tp1383 +a(g827 +g1050 +tp1384 +a(g827 +g1290 +tp1385 +a(g827 +g1042 +tp1386 +a(g827 +g1290 +tp1387 +a(g827 +g1050 +tp1388 +a(g827 +g1108 +tp1389 +a(g827 +g971 +tp1390 +a(g827 +g1063 +tp1391 +a(g827 +g1072 +tp1392 +a(g827 +g1137 +tp1393 +a(g827 +g1108 +tp1394 +a(g827 +g1050 +tp1395 +a(g827 +g1063 +tp1396 +a(g827 +g1072 +tp1397 +a(g827 +V) +p1398 +tp1399 +a(g827 +g1290 +tp1400 +a(g827 +g1059 +tp1401 +a(g827 +g1108 +tp1402 +a(g827 +g1044 +tp1403 +a(g827 +V\u000a +p1404 +tp1405 +a(g827 +g1042 +tp1406 +a(g827 +g1037 +tp1407 +a(g827 +g1050 +tp1408 +a(g827 +g1047 +tp1409 +a(g827 +g1290 +tp1410 +a(g827 +g1042 +tp1411 +a(g827 +g1290 +tp1412 +a(g827 +g1050 +tp1413 +a(g827 +g986 +tp1414 +a(g827 +g988 +tp1415 +a(g827 +g1116 +tp1416 +a(g827 +g1037 +tp1417 +a(g827 +g1063 +tp1418 +a(g827 +g1290 +tp1419 +a(g827 +g982 +tp1420 +a(g827 +g1042 +tp1421 +a(g827 +g1044 +tp1422 +a(g827 +g1042 +tp1423 +a(g827 +g1059 +tp1424 +a(g827 +g1042 +tp1425 +a(g827 +g1050 +tp1426 +a(g827 +g1063 +tp1427 +a(g827 +g998 +tp1428 +a(g827 +g1290 +tp1429 +a(g827 +VT +p1430 +tp1431 +a(g827 +g1047 +tp1432 +a(g827 +g1290 +tp1433 +a(g827 +g1042 +tp1434 +a(g827 +g1040 +tp1435 +a(g827 +g1040 +tp1436 +a(g827 +g1047 +tp1437 +a(g827 +g984 +tp1438 +a(g827 +g971 +tp1439 +a(g827 +g1037 +tp1440 +a(g827 +g986 +tp1441 +a(g827 +g1050 +tp1442 +a(g827 +g973 +tp1443 +a(g827 +g1290 +tp1444 +a(g827 +g1044 +tp1445 +a(g827 +g973 +tp1446 +a(g827 +g1063 +tp1447 +a(g827 +g1290 +tp1448 +a(g827 +g1037 +tp1449 +a(g827 +g1042 +tp1450 +a(g827 +g1044 +tp1451 +a(g827 +g1044 +tp1452 +a(g827 +g1063 +tp1453 +a(g827 +g1072 +tp1454 +a(g827 +g1290 +tp1455 +a(g827 +g978 +tp1456 +a(g827 +g1047 +tp1457 +a(g827 +g1108 +tp1458 +a(g827 +V' +p1459 +tp1460 +a(g827 +g1037 +tp1461 +a(g827 +g1037 +tp1462 +a(g827 +g1290 +tp1463 +a(g827 +g988 +tp1464 +a(g827 +g1063 +tp1465 +a(g827 +g1063 +tp1466 +a(g827 +g982 +tp1467 +a(g827 +g1290 +tp1468 +a(g827 +g1042 +tp1469 +a(g827 +V\u000a +p1470 +tp1471 +a(g827 +g971 +tp1472 +a(g827 +g1072 +tp1473 +a(g827 +g1047 +tp1474 +a(g827 +g971 +tp1475 +a(g827 +g1063 +tp1476 +a(g827 +g1072 +tp1477 +a(g827 +g1037 +tp1478 +a(g827 +g978 +tp1479 +a(g827 +g1290 +tp1480 +a(g827 +g1050 +tp1481 +a(g827 +g1063 +tp1482 +a(g827 +g1044 +tp1483 +a(g827 +g1290 +tp1484 +a(g827 +g1108 +tp1485 +a(g827 +g971 +tp1486 +a(g827 +g1290 +tp1487 +a(g827 +g976 +tp1488 +a(g827 +g978 +tp1489 +a(g827 +g1306 +tp1490 +a(g827 +g1308 +tp1491 +a(g827 +g1025 +tp1492 +a(g827 +g1137 +tp1493 +a(g827 +g1108 +tp1494 +a(g827 +g1050 +tp1495 +a(g827 +g1063 +tp1496 +a(g827 +g1072 +tp1497 +a(g827 +g1290 +tp1498 +a(g827 +g1097 +tp1499 +a(g827 +g973 +tp1500 +a(g827 +g986 +tp1501 +a(g827 +g1040 +tp1502 +a(g827 +g973 +tp1503 +a(g827 +g1290 +tp1504 +a(g827 +g1040 +tp1505 +a(g827 +g1042 +tp1506 +a(g827 +g988 +tp1507 +a(g827 +g1290 +tp1508 +a(g827 +g1072 +tp1509 +a(g827 +g1063 +tp1510 +a(g827 +g1042 +tp1511 +a(g827 +g982 +tp1512 +a(g827 +g1052 +tp1513 +a(g827 +g1097 +tp1514 +a(g827 +g1072 +tp1515 +a(g827 +g986 +tp1516 +a(g827 +g1044 +tp1517 +a(g827 +g1063 +tp1518 +a(g827 +g1290 +tp1519 +a(g827 +g1047 +tp1520 +a(g827 +g988 +tp1521 +a(g827 +g1037 +tp1522 +a(g827 +g978 +tp1523 +a(g827 +g1290 +tp1524 +a(g827 +g1044 +tp1525 +a(g827 +g973 +tp1526 +a(g827 +g1063 +tp1527 +a(g827 +g1290 +tp1528 +a(g827 +g982 +tp1529 +a(g827 +g1063 +tp1530 +a(g827 +g1050 +tp1531 +a(g827 +g986 +tp1532 +a(g827 +g1072 +tp1533 +a(g827 +g1063 +tp1534 +a(g827 +g982 +tp1535 +a(g827 +V\u000a +p1536 +tp1537 +a(g827 +g982 +tp1538 +a(g827 +g1042 +tp1539 +a(g827 +g1044 +tp1540 +a(g827 +g1042 +tp1541 +a(g827 +g1059 +tp1542 +a(g827 +g1042 +tp1543 +a(g827 +g1050 +tp1544 +a(g827 +g1063 +tp1545 +a(g827 +g998 +tp1546 +a(g827 +g1290 +tp1547 +a(g827 +g1067 +tp1548 +a(g827 +g1044 +tp1549 +a(g827 +g1459 +tp1550 +a(g827 +g1050 +tp1551 +a(g827 +g1290 +tp1552 +a(g827 +g1108 +tp1553 +a(g827 +g971 +tp1554 +a(g827 +g1290 +tp1555 +a(g827 +g1044 +tp1556 +a(g827 +g1047 +tp1557 +a(g827 +g1290 +tp1558 +a(g827 +g978 +tp1559 +a(g827 +g1047 +tp1560 +a(g827 +g1108 +tp1561 +a(g827 +g1290 +tp1562 +a(g827 +g1044 +tp1563 +a(g827 +g1047 +tp1564 +a(g827 +g1290 +tp1565 +a(g827 +g1037 +tp1566 +a(g827 +g1047 +tp1567 +a(g827 +g1047 +tp1568 +a(g827 +Vk +p1569 +tp1570 +a(g827 +g1290 +tp1571 +a(g827 +g1108 +tp1572 +a(g827 +g971 +tp1573 +a(g827 +g1290 +tp1574 +a(g827 +g1044 +tp1575 +a(g827 +g973 +tp1576 +a(g827 +g1063 +tp1577 +a(g827 +g1290 +tp1578 +a(g827 +g1042 +tp1579 +a(g827 +g971 +tp1580 +a(g827 +g971 +tp1581 +a(g827 +g1072 +tp1582 +a(g827 +g1047 +tp1583 +a(g827 +g971 +tp1584 +a(g827 +g986 +tp1585 +a(g827 +g1042 +tp1586 +a(g827 +g1044 +tp1587 +a(g827 +g1063 +tp1588 +a(g827 +g1290 +tp1589 +a(g827 +g971 +tp1590 +a(g827 +g1042 +tp1591 +a(g827 +g1072 +tp1592 +a(g827 +g1044 +tp1593 +a(g827 +g1290 +tp1594 +a(g827 +g986 +tp1595 +a(g827 +g988 +tp1596 +a(g827 +g1290 +tp1597 +a(g827 +g1044 +tp1598 +a(g827 +g973 +tp1599 +a(g827 +g1063 +tp1600 +a(g827 +g1290 +tp1601 +a(g827 +g976 +tp1602 +a(g827 +g978 +tp1603 +a(g827 +g1306 +tp1604 +a(g827 +g1308 +tp1605 +a(g827 +g1025 +tp1606 +a(g827 +V\u000a +p1607 +tp1608 +a(g827 +g984 +tp1609 +a(g827 +g1042 +tp1610 +a(g827 +g988 +tp1611 +a(g827 +g1108 +tp1612 +a(g827 +g1042 +tp1613 +a(g827 +g1037 +tp1614 +a(g827 +g998 +tp1615 +a(g827 +g1290 +tp1616 +a(g827 +VC +p1617 +tp1618 +a(g827 +g1108 +tp1619 +a(g827 +g1072 +tp1620 +a(g827 +g1072 +tp1621 +a(g827 +g1063 +tp1622 +a(g827 +g988 +tp1623 +a(g827 +g1044 +tp1624 +a(g827 +g1037 +tp1625 +a(g827 +g978 +tp1626 +a(g827 +g1290 +tp1627 +a(g827 +g971 +tp1628 +a(g827 +g973 +tp1629 +a(g827 +g971 +tp1630 +a(g827 +g976 +tp1631 +a(g827 +g978 +tp1632 +a(g827 +g980 +tp1633 +a(g827 +g982 +tp1634 +a(g827 +g984 +tp1635 +a(g827 +g986 +tp1636 +a(g827 +g988 +tp1637 +a(g827 +g1290 +tp1638 +a(g827 +g1040 +tp1639 +a(g827 +g1042 +tp1640 +a(g827 +g988 +tp1641 +a(g827 +g966 +tp1642 +a(g827 +V\u000a +p1643 +tp1644 +a(g827 +g1290 +tp1645 +a(g827 +g1290 +tp1646 +a(g827 +g1137 +tp1647 +a(g827 +g1290 +tp1648 +a(g827 +g1040 +tp1649 +a(g827 +g1072 +tp1650 +a(g827 +g1063 +tp1651 +a(g827 +g1042 +tp1652 +a(g827 +g1044 +tp1653 +a(g827 +g1063 +tp1654 +a(g827 +g1290 +tp1655 +a(g827 +g1042 +tp1656 +a(g827 +g988 +tp1657 +a(g827 +g982 +tp1658 +a(g827 +g1290 +tp1659 +a(g827 +g982 +tp1660 +a(g827 +g1072 +tp1661 +a(g827 +g1047 +tp1662 +a(g827 +g971 +tp1663 +a(g827 +g1290 +tp1664 +a(g827 +g982 +tp1665 +a(g827 +g1042 +tp1666 +a(g827 +g1044 +tp1667 +a(g827 +g1042 +tp1668 +a(g827 +g1059 +tp1669 +a(g827 +g1042 +tp1670 +a(g827 +g1050 +tp1671 +a(g827 +g1063 +tp1672 +a(g827 +g1050 +tp1673 +a(g827 +V\u000a +p1674 +tp1675 +a(g827 +g1290 +tp1676 +a(g827 +g1290 +tp1677 +a(g827 +g1137 +tp1678 +a(g827 +g1290 +tp1679 +a(g827 +g1040 +tp1680 +a(g827 +g1072 +tp1681 +a(g827 +g1063 +tp1682 +a(g827 +g1042 +tp1683 +a(g827 +g1044 +tp1684 +a(g827 +g1063 +tp1685 +a(g827 +V, +p1686 +tp1687 +a(g827 +g1290 +tp1688 +a(g827 +g1040 +tp1689 +a(g827 +g1047 +tp1690 +a(g827 +g971 +tp1691 +a(g827 +g978 +tp1692 +a(g827 +g1686 +tp1693 +a(g827 +g1290 +tp1694 +a(g827 +g982 +tp1695 +a(g827 +g1072 +tp1696 +a(g827 +g1047 +tp1697 +a(g827 +g971 +tp1698 +a(g827 +g1290 +tp1699 +a(g827 +g1042 +tp1700 +a(g827 +g988 +tp1701 +a(g827 +g982 +tp1702 +a(g827 +g1290 +tp1703 +a(g827 +g1042 +tp1704 +a(g827 +g1037 +tp1705 +a(g827 +g1044 +tp1706 +a(g827 +g1063 +tp1707 +a(g827 +g1072 +tp1708 +a(g827 +g1290 +tp1709 +a(g827 +g1044 +tp1710 +a(g827 +g1042 +tp1711 +a(g827 +g1059 +tp1712 +a(g827 +g1037 +tp1713 +a(g827 +g1063 +tp1714 +a(g827 +g1050 +tp1715 +a(g827 +V\u000a +p1716 +tp1717 +a(g827 +g1290 +tp1718 +a(g827 +g1290 +tp1719 +a(g827 +g1137 +tp1720 +a(g827 +g1290 +tp1721 +a(g827 +g982 +tp1722 +a(g827 +g1063 +tp1723 +a(g827 +g1037 +tp1724 +a(g827 +g1063 +tp1725 +a(g827 +g1044 +tp1726 +a(g827 +g1063 +tp1727 +a(g827 +g1686 +tp1728 +a(g827 +g1290 +tp1729 +a(g827 +g1063 +tp1730 +a(g827 +g982 +tp1731 +a(g827 +g986 +tp1732 +a(g827 +g1044 +tp1733 +a(g827 +g1290 +tp1734 +a(g827 +g1042 +tp1735 +a(g827 +g988 +tp1736 +a(g827 +g982 +tp1737 +a(g827 +g1290 +tp1738 +a(g827 +g1042 +tp1739 +a(g827 +g982 +tp1740 +a(g827 +g982 +tp1741 +a(g827 +g1290 +tp1742 +a(g827 +g1074 +tp1743 +a(g827 +g986 +tp1744 +a(g827 +g1063 +tp1745 +a(g827 +g1037 +tp1746 +a(g827 +g982 +tp1747 +a(g827 +g1050 +tp1748 +a(g827 +V\u000a +p1749 +tp1750 +a(g827 +g1290 +tp1751 +a(g827 +g1290 +tp1752 +a(g827 +g1137 +tp1753 +a(g827 +g1290 +tp1754 +a(g827 +g1063 +tp1755 +a(g827 +Vx +p1756 +tp1757 +a(g827 +g1063 +tp1758 +a(g827 +g1040 +tp1759 +a(g827 +g1108 +tp1760 +a(g827 +g1044 +tp1761 +a(g827 +g1063 +tp1762 +a(g827 +g1290 +tp1763 +a(g827 +g1042 +tp1764 +a(g827 +g988 +tp1765 +a(g827 +g978 +tp1766 +a(g827 +g1290 +tp1767 +a(g827 +g1306 +tp1768 +a(g827 +g1308 +tp1769 +a(g827 +g1025 +tp1770 +a(g827 +g1137 +tp1771 +a(g827 +g1050 +tp1772 +a(g827 +g1044 +tp1773 +a(g827 +g1042 +tp1774 +a(g827 +g1044 +tp1775 +a(g827 +g1063 +tp1776 +a(g827 +g984 +tp1777 +a(g827 +g1063 +tp1778 +a(g827 +g988 +tp1779 +a(g827 +g1044 +tp1780 +a(g827 +g1686 +tp1781 +a(g827 +g1290 +tp1782 +a(g827 +g1063 +tp1783 +a(g827 +g1373 +tp1784 +a(g827 +g1063 +tp1785 +a(g827 +g988 +tp1786 +a(g827 +g1290 +tp1787 +a(g827 +g1059 +tp1788 +a(g827 +g1042 +tp1789 +a(g827 +g1044 +tp1790 +a(g827 +g1040 +tp1791 +a(g827 +g973 +tp1792 +a(g827 +g1137 +tp1793 +a(g827 +g1240 +tp1794 +a(g827 +g1108 +tp1795 +a(g827 +g1063 +tp1796 +a(g827 +g1072 +tp1797 +a(g827 +g986 +tp1798 +a(g827 +g1063 +tp1799 +a(g827 +g1050 +tp1800 +a(g827 +V\u000a +p1801 +tp1802 +a(g827 +g1290 +tp1803 +a(g827 +g1290 +tp1804 +a(g827 +g1137 +tp1805 +a(g827 +g1290 +tp1806 +a(g827 +g984 +tp1807 +a(g827 +g1042 +tp1808 +a(g827 +g988 +tp1809 +a(g827 +g1042 +tp1810 +a(g827 +g1116 +tp1811 +a(g827 +g1063 +tp1812 +a(g827 +g1290 +tp1813 +a(g827 +g1569 +tp1814 +a(g827 +g1063 +tp1815 +a(g827 +g978 +tp1816 +a(g827 +g1050 +tp1817 +a(g827 +g1290 +tp1818 +a(g827 +g1047 +tp1819 +a(g827 +g988 +tp1820 +a(g827 +g1290 +tp1821 +a(g827 +g1074 +tp1822 +a(g827 +g986 +tp1823 +a(g827 +g1063 +tp1824 +a(g827 +g1037 +tp1825 +a(g827 +g982 +tp1826 +a(g827 +g1050 +tp1827 +a(g827 +V\u000a +p1828 +tp1829 +a(g827 +g1290 +tp1830 +a(g827 +g1290 +tp1831 +a(g827 +g1137 +tp1832 +a(g827 +g1290 +tp1833 +a(g827 +g1037 +tp1834 +a(g827 +g1047 +tp1835 +a(g827 +g1042 +tp1836 +a(g827 +g982 +tp1837 +a(g827 +g1290 +tp1838 +a(g827 +g1044 +tp1839 +a(g827 +g1063 +tp1840 +a(g827 +g1756 +tp1841 +a(g827 +g1044 +tp1842 +a(g827 +g1290 +tp1843 +a(g827 +g1074 +tp1844 +a(g827 +g986 +tp1845 +a(g827 +g1037 +tp1846 +a(g827 +g1063 +tp1847 +a(g827 +g1050 +tp1848 +a(g827 +g1290 +tp1849 +a(g827 +g986 +tp1850 +a(g827 +g988 +tp1851 +a(g827 +g1044 +tp1852 +a(g827 +g1047 +tp1853 +a(g827 +g1290 +tp1854 +a(g827 +g1044 +tp1855 +a(g827 +g1042 +tp1856 +a(g827 +g1059 +tp1857 +a(g827 +g1037 +tp1858 +a(g827 +g1063 +tp1859 +a(g827 +g1050 +tp1860 +a(g827 +V\u000a +p1861 +tp1862 +a(g827 +g1290 +tp1863 +a(g827 +g1290 +tp1864 +a(g827 +g1137 +tp1865 +a(g827 +g1290 +tp1866 +a(g827 +g1040 +tp1867 +a(g827 +g1072 +tp1868 +a(g827 +g1063 +tp1869 +a(g827 +g1042 +tp1870 +a(g827 +g1044 +tp1871 +a(g827 +g1063 +tp1872 +a(g827 +g1290 +tp1873 +a(g827 +g1378 +tp1874 +a(g827 +V* +p1875 +tp1876 +a(g827 +g1398 +tp1877 +a(g827 +g1290 +tp1878 +a(g827 +g1042 +tp1879 +a(g827 +g988 +tp1880 +a(g827 +g982 +tp1881 +a(g827 +g1290 +tp1882 +a(g827 +g1072 +tp1883 +a(g827 +g1063 +tp1884 +a(g827 +g1042 +tp1885 +a(g827 +g982 +tp1886 +a(g827 +g1290 +tp1887 +a(g827 +g982 +tp1888 +a(g827 +g1108 +tp1889 +a(g827 +g984 +tp1890 +a(g827 +g971 +tp1891 +a(g827 +g1050 +tp1892 +a(g827 +g1290 +tp1893 +a(g827 +g1047 +tp1894 +a(g827 +g1074 +tp1895 +a(g827 +g1290 +tp1896 +a(g827 +g1044 +tp1897 +a(g827 +g1042 +tp1898 +a(g827 +g1059 +tp1899 +a(g827 +g1037 +tp1900 +a(g827 +g1063 +tp1901 +a(g827 +g1050 +tp1902 +a(g827 +V\u000a +p1903 +tp1904 +a(g827 +g1290 +tp1905 +a(g827 +g1290 +tp1906 +a(g827 +g1137 +tp1907 +a(g827 +g1290 +tp1908 +a(g827 +g1063 +tp1909 +a(g827 +g1756 +tp1910 +a(g827 +g971 +tp1911 +a(g827 +g1047 +tp1912 +a(g827 +g1072 +tp1913 +a(g827 +g1044 +tp1914 +a(g827 +g1290 +tp1915 +a(g827 +g1378 +tp1916 +a(g827 +g1875 +tp1917 +a(g827 +g1398 +tp1918 +a(g827 +g1290 +tp1919 +a(g827 +g1042 +tp1920 +a(g827 +g988 +tp1921 +a(g827 +g982 +tp1922 +a(g827 +g1290 +tp1923 +a(g827 +g986 +tp1924 +a(g827 +g984 +tp1925 +a(g827 +g971 +tp1926 +a(g827 +g1047 +tp1927 +a(g827 +g1072 +tp1928 +a(g827 +g1044 +tp1929 +a(g827 +g1290 +tp1930 +a(g827 +g982 +tp1931 +a(g827 +g1042 +tp1932 +a(g827 +g1044 +tp1933 +a(g827 +g1042 +tp1934 +a(g827 +g1290 +tp1935 +a(g827 +g1044 +tp1936 +a(g827 +g1047 +tp1937 +a(g827 +g1290 +tp1938 +a(g827 +g1617 +tp1939 +a(g827 +g1306 +tp1940 +a(g827 +VV +p1941 +tp1942 +a(g827 +g1290 +tp1943 +a(g827 +g1373 +tp1944 +a(g827 +g1042 +tp1945 +a(g827 +g1037 +tp1946 +a(g827 +g1108 +tp1947 +a(g827 +g1063 +tp1948 +a(g827 +g1050 +tp1949 +a(g827 +V\u000a +p1950 +tp1951 +a(g827 +g1290 +tp1952 +a(g827 +g1290 +tp1953 +a(g827 +g1137 +tp1954 +a(g827 +g1290 +tp1955 +a(g827 +g1042 +tp1956 +a(g827 +g982 +tp1957 +a(g827 +g984 +tp1958 +a(g827 +g986 +tp1959 +a(g827 +g988 +tp1960 +a(g827 +g986 +tp1961 +a(g827 +g1050 +tp1962 +a(g827 +g1044 +tp1963 +a(g827 +g1063 +tp1964 +a(g827 +g1072 +tp1965 +a(g827 +g1290 +tp1966 +a(g827 +g984 +tp1967 +a(g827 +g1108 +tp1968 +a(g827 +g1037 +tp1969 +a(g827 +g1044 +tp1970 +a(g827 +g986 +tp1971 +a(g827 +g971 +tp1972 +a(g827 +g1037 +tp1973 +a(g827 +g1063 +tp1974 +a(g827 +g1290 +tp1975 +a(g827 +g1050 +tp1976 +a(g827 +g1063 +tp1977 +a(g827 +g1072 +tp1978 +a(g827 +g1373 +tp1979 +a(g827 +g1063 +tp1980 +a(g827 +g1072 +tp1981 +a(g827 +g1050 +tp1982 +a(g827 +g1290 +tp1983 +a(g827 +g1042 +tp1984 +a(g827 +g988 +tp1985 +a(g827 +g982 +tp1986 +a(g827 +g1290 +tp1987 +a(g827 +g1050 +tp1988 +a(g827 +g986 +tp1989 +a(g827 +g988 +tp1990 +a(g827 +g1116 +tp1991 +a(g827 +g1037 +tp1992 +a(g827 +g1063 +tp1993 +a(g827 +g1290 +tp1994 +a(g827 +g982 +tp1995 +a(g827 +g1042 +tp1996 +a(g827 +g1044 +tp1997 +a(g827 +g1042 +tp1998 +a(g827 +g1059 +tp1999 +a(g827 +g1042 +tp2000 +a(g827 +g1050 +tp2001 +a(g827 +g1063 +tp2002 +a(g827 +g1050 +tp2003 +a(g827 +V\u000a +p2004 +tp2005 +a(g827 +g1290 +tp2006 +a(g827 +g1290 +tp2007 +a(g827 +g1137 +tp2008 +a(g827 +g1290 +tp2009 +a(g827 +g1040 +tp2010 +a(g827 +g973 +tp2011 +a(g827 +g1063 +tp2012 +a(g827 +g1040 +tp2013 +a(g827 +g1569 +tp2014 +a(g827 +g1290 +tp2015 +a(g827 +g1072 +tp2016 +a(g827 +g1063 +tp2017 +a(g827 +g1074 +tp2018 +a(g827 +g1063 +tp2019 +a(g827 +g1072 +tp2020 +a(g827 +g1063 +tp2021 +a(g827 +g988 +tp2022 +a(g827 +g1040 +tp2023 +a(g827 +g986 +tp2024 +a(g827 +g1042 +tp2025 +a(g827 +g1037 +tp2026 +a(g827 +g1290 +tp2027 +a(g827 +g986 +tp2028 +a(g827 +g988 +tp2029 +a(g827 +g1044 +tp2030 +a(g827 +g1063 +tp2031 +a(g827 +g1116 +tp2032 +a(g827 +g1072 +tp2033 +a(g827 +g986 +tp2034 +a(g827 +g1044 +tp2035 +a(g827 +g978 +tp2036 +a(g827 +V\u000a +p2037 +tp2038 +a(g827 +g1290 +tp2039 +a(g827 +g1290 +tp2040 +a(g827 +g1137 +tp2041 +a(g827 +g1290 +tp2042 +a(g827 +g1040 +tp2043 +a(g827 +g1072 +tp2044 +a(g827 +g1063 +tp2045 +a(g827 +g1042 +tp2046 +a(g827 +g1044 +tp2047 +a(g827 +g1063 +tp2048 +a(g827 +g1290 +tp2049 +a(g827 +g1040 +tp2050 +a(g827 +g1047 +tp2051 +a(g827 +g984 +tp2052 +a(g827 +g971 +tp2053 +a(g827 +g1037 +tp2054 +a(g827 +g1063 +tp2055 +a(g827 +g1756 +tp2056 +a(g827 +g1290 +tp2057 +a(g827 +g1240 +tp2058 +a(g827 +g1108 +tp2059 +a(g827 +g1063 +tp2060 +a(g827 +g1072 +tp2061 +a(g827 +g986 +tp2062 +a(g827 +g1063 +tp2063 +a(g827 +g1050 +tp2064 +a(g827 +g1290 +tp2065 +a(g827 +g1042 +tp2066 +a(g827 +g1108 +tp2067 +a(g827 +g1044 +tp2068 +a(g827 +g1047 +tp2069 +a(g827 +g984 +tp2070 +a(g827 +g1042 +tp2071 +a(g827 +g1044 +tp2072 +a(g827 +g986 +tp2073 +a(g827 +g1040 +tp2074 +a(g827 +g1042 +tp2075 +a(g827 +g1037 +tp2076 +a(g827 +g1037 +tp2077 +a(g827 +g978 +tp2078 +a(g827 +g1290 +tp2079 +a(g827 +g1040 +tp2080 +a(g827 +g1047 +tp2081 +a(g827 +g988 +tp2082 +a(g827 +g988 +tp2083 +a(g827 +g1063 +tp2084 +a(g827 +g1040 +tp2085 +a(g827 +g1044 +tp2086 +a(g827 +g986 +tp2087 +a(g827 +g988 +tp2088 +a(g827 +g1116 +tp2089 +a(g827 +g1290 +tp2090 +a(g827 +g1072 +tp2091 +a(g827 +g1063 +tp2092 +a(g827 +g1240 +tp2093 +a(g827 +g1108 +tp2094 +a(g827 +g986 +tp2095 +a(g827 +g1072 +tp2096 +a(g827 +g1063 +tp2097 +a(g827 +g982 +tp2098 +a(g827 +g1290 +tp2099 +a(g827 +g1044 +tp2100 +a(g827 +g1042 +tp2101 +a(g827 +g1059 +tp2102 +a(g827 +g1037 +tp2103 +a(g827 +g1063 +tp2104 +a(g827 +g1050 +tp2105 +a(g827 +V\u000a +p2106 +tp2107 +a(g827 +g1290 +tp2108 +a(g827 +g1290 +tp2109 +a(g827 +g1137 +tp2110 +a(g827 +g1290 +tp2111 +a(g827 +g1040 +tp2112 +a(g827 +g1072 +tp2113 +a(g827 +g1063 +tp2114 +a(g827 +g1042 +tp2115 +a(g827 +g1044 +tp2116 +a(g827 +g1063 +tp2117 +a(g827 +g1290 +tp2118 +a(g827 +g1023 +tp2119 +a(g827 +g1054 +tp2120 +a(g827 +VF +p2121 +tp2122 +a(g827 +g1290 +tp2123 +a(g827 +g1116 +tp2124 +a(g827 +g1072 +tp2125 +a(g827 +g1042 +tp2126 +a(g827 +g971 +tp2127 +a(g827 +g973 +tp2128 +a(g827 +g986 +tp2129 +a(g827 +g1040 +tp2130 +a(g827 +g1050 +tp2131 +a(g827 +g1290 +tp2132 +a(g827 +g1047 +tp2133 +a(g827 +g1074 +tp2134 +a(g827 +g1290 +tp2135 +a(g827 +g978 +tp2136 +a(g827 +g1047 +tp2137 +a(g827 +g1108 +tp2138 +a(g827 +g1072 +tp2139 +a(g827 +g1290 +tp2140 +a(g827 +g982 +tp2141 +a(g827 +g1042 +tp2142 +a(g827 +g1044 +tp2143 +a(g827 +g1042 +tp2144 +a(g827 +g1059 +tp2145 +a(g827 +g1042 +tp2146 +a(g827 +g1050 +tp2147 +a(g827 +g1063 +tp2148 +a(g827 +g1290 +tp2149 +a(g827 +g1037 +tp2150 +a(g827 +g1042 +tp2151 +a(g827 +g978 +tp2152 +a(g827 +g1047 +tp2153 +a(g827 +g1108 +tp2154 +a(g827 +g1044 +tp2155 +a(g827 +V\u000a +p2156 +tp2157 +a(g827 +g1290 +tp2158 +a(g827 +g1290 +tp2159 +a(g827 +g1137 +tp2160 +a(g827 +g1290 +tp2161 +a(g827 +g1040 +tp2162 +a(g827 +g1047 +tp2163 +a(g827 +g984 +tp2164 +a(g827 +g984 +tp2165 +a(g827 +g1108 +tp2166 +a(g827 +g988 +tp2167 +a(g827 +g986 +tp2168 +a(g827 +g1040 +tp2169 +a(g827 +g1042 +tp2170 +a(g827 +g1044 +tp2171 +a(g827 +g1063 +tp2172 +a(g827 +g1290 +tp2173 +a(g827 +g986 +tp2174 +a(g827 +g988 +tp2175 +a(g827 +g1290 +tp2176 +a(g827 +g984 +tp2177 +a(g827 +g1047 +tp2178 +a(g827 +g1072 +tp2179 +a(g827 +g1063 +tp2180 +a(g827 +g1290 +tp2181 +a(g827 +g1044 +tp2182 +a(g827 +g973 +tp2183 +a(g827 +g1042 +tp2184 +a(g827 +g988 +tp2185 +a(g827 +g1290 +tp2186 +a(g827 +g996 +tp2187 +a(g827 +V8 +p2188 +tp2189 +a(g827 +g1290 +tp2190 +a(g827 +g982 +tp2191 +a(g827 +g986 +tp2192 +a(g827 +g1074 +tp2193 +a(g827 +g1074 +tp2194 +a(g827 +g1063 +tp2195 +a(g827 +g1072 +tp2196 +a(g827 +g1063 +tp2197 +a(g827 +g988 +tp2198 +a(g827 +g1044 +tp2199 +a(g827 +g1290 +tp2200 +a(g827 +g1037 +tp2201 +a(g827 +g1042 +tp2202 +a(g827 +g988 +tp2203 +a(g827 +g1116 +tp2204 +a(g827 +g1108 +tp2205 +a(g827 +g1042 +tp2206 +a(g827 +g1116 +tp2207 +a(g827 +g1063 +tp2208 +a(g827 +g1050 +tp2209 +a(g827 +V\u000a +p2210 +tp2211 +a(g827 +V\u000a +p2212 +tp2213 +a(g827 +V\u000a +p2214 +tp2215 +a(g496 +V%prep +p2216 +tp2217 +a(g827 +V\u000a +p2218 +tp2219 +a(g745 +V%setup +p2220 +tp2221 +a(g827 +g1290 +tp2222 +a(g827 +g1137 +tp2223 +a(g827 +g1240 +tp2224 +a(g827 +g1290 +tp2225 +a(g827 +g1137 +tp2226 +a(g827 +g988 +tp2227 +a(g827 +g1290 +tp2228 +a(g764 +V%{name} +p2229 +tp2230 +a(g827 +g1137 +tp2231 +a(g764 +V%{version} +p2232 +tp2233 +a(g827 +g1137 +tp2234 +a(g764 +V%{pkgrelease} +p2235 +tp2236 +a(g827 +V\u000a +p2237 +tp2238 +a(g827 +V\u000a +p2239 +tp2240 +a(g827 +V\u000a +p2241 +tp2242 +a(g496 +V%build +p2243 +tp2244 +a(g827 +V\u000a +p2245 +tp2246 +a(g827 +V\u000a +p2247 +tp2248 +a(g827 +V\u000a +p2249 +tp2250 +a(g496 +V%install +p2251 +tp2252 +a(g827 +V\u000a +p2253 +tp2254 +a(g827 +V[ +p2255 +tp2256 +a(g827 +g1290 +tp2257 +a(g157 +V" +p2258 +tp2259 +a(g470 +V${RPM_BUILD_ROOT} +p2260 +tp2261 +a(g157 +g2258 +tp2262 +a(g827 +g1290 +tp2263 +a(g827 +V! +p2264 +tp2265 +a(g827 +V= +p2266 +tp2267 +a(g827 +g1290 +tp2268 +a(g157 +g2258 +tp2269 +a(g157 +g1052 +tp2270 +a(g157 +g2258 +tp2271 +a(g827 +g1290 +tp2272 +a(g827 +V] +p2273 +tp2274 +a(g827 +g1290 +tp2275 +a(g827 +V& +p2276 +tp2277 +a(g827 +g2276 +tp2278 +a(g827 +g1290 +tp2279 +a(g827 +g2255 +tp2280 +a(g827 +g1290 +tp2281 +a(g827 +g1137 +tp2282 +a(g827 +g982 +tp2283 +a(g827 +g1290 +tp2284 +a(g157 +g2258 +tp2285 +a(g470 +V${RPM_BUILD_ROOT} +p2286 +tp2287 +a(g157 +g2258 +tp2288 +a(g827 +g1290 +tp2289 +a(g827 +g2273 +tp2290 +a(g827 +g1290 +tp2291 +a(g827 +g2276 +tp2292 +a(g827 +g2276 +tp2293 +a(g827 +g968 +tp2294 +a(g827 +V\u005c +p2295 +tp2296 +a(g827 +V\u000a +p2297 +tp2298 +a(g827 +g968 +tp2299 +a(g827 +g968 +tp2300 +a(g827 +g1072 +tp2301 +a(g827 +g984 +tp2302 +a(g827 +g1290 +tp2303 +a(g827 +g1137 +tp2304 +a(g827 +g1072 +tp2305 +a(g827 +g1074 +tp2306 +a(g827 +g1290 +tp2307 +a(g157 +g2258 +tp2308 +a(g470 +V${RPM_BUILD_ROOT} +p2309 +tp2310 +a(g157 +g2258 +tp2311 +a(g827 +V\u000a +p2312 +tp2313 +a(g827 +V\u000a +p2314 +tp2315 +a(g13 +V# Create directories.\u000a +p2316 +tp2317 +a(g827 +V\u000a +p2318 +tp2319 +a(g827 +g986 +tp2320 +a(g827 +g988 +tp2321 +a(g827 +g1050 +tp2322 +a(g827 +g1044 +tp2323 +a(g827 +g1042 +tp2324 +a(g827 +g1037 +tp2325 +a(g827 +g1037 +tp2326 +a(g827 +g1290 +tp2327 +a(g827 +g1137 +tp2328 +a(g827 +g982 +tp2329 +a(g827 +g1290 +tp2330 +a(g157 +g2258 +tp2331 +a(g470 +V${RPM_BUILD_ROOT} +p2332 +tp2333 +a(g786 +V%{_myadminpath} +p2334 +tp2335 +a(g157 +g2258 +tp2336 +a(g827 +g1052 +tp2337 +a(g827 +V{ +p2338 +tp2339 +a(g827 +g1040 +tp2340 +a(g827 +g1050 +tp2341 +a(g827 +g1050 +tp2342 +a(g827 +g1686 +tp2343 +a(g827 +g1213 +tp2344 +a(g827 +g1050 +tp2345 +a(g827 +g1686 +tp2346 +a(g827 +g1037 +tp2347 +a(g827 +g1042 +tp2348 +a(g827 +g988 +tp2349 +a(g827 +g1116 +tp2350 +a(g827 +g1686 +tp2351 +a(g827 +g1037 +tp2352 +a(g827 +g986 +tp2353 +a(g827 +g1059 +tp2354 +a(g827 +g1072 +tp2355 +a(g827 +g1042 +tp2356 +a(g827 +g1072 +tp2357 +a(g827 +g986 +tp2358 +a(g827 +g1063 +tp2359 +a(g827 +g1050 +tp2360 +a(g827 +g1686 +tp2361 +a(g827 +g1044 +tp2362 +a(g827 +g973 +tp2363 +a(g827 +g1063 +tp2364 +a(g827 +g984 +tp2365 +a(g827 +g1063 +tp2366 +a(g827 +g1050 +tp2367 +a(g827 +V} +p2368 +tp2369 +a(g827 +V\u000a +p2370 +tp2371 +a(g827 +g986 +tp2372 +a(g827 +g988 +tp2373 +a(g827 +g1050 +tp2374 +a(g827 +g1044 +tp2375 +a(g827 +g1042 +tp2376 +a(g827 +g1037 +tp2377 +a(g827 +g1037 +tp2378 +a(g827 +g1290 +tp2379 +a(g827 +g1137 +tp2380 +a(g827 +g982 +tp2381 +a(g827 +g1290 +tp2382 +a(g157 +g2258 +tp2383 +a(g470 +V${RPM_BUILD_ROOT} +p2384 +tp2385 +a(g786 +V%{_myadminpath} +p2386 +tp2387 +a(g157 +g2258 +tp2388 +a(g827 +g1052 +tp2389 +a(g827 +g1037 +tp2390 +a(g827 +g986 +tp2391 +a(g827 +g1059 +tp2392 +a(g827 +g1072 +tp2393 +a(g827 +g1042 +tp2394 +a(g827 +g1072 +tp2395 +a(g827 +g986 +tp2396 +a(g827 +g1063 +tp2397 +a(g827 +g1050 +tp2398 +a(g827 +g1052 +tp2399 +a(g827 +g2338 +tp2400 +a(g827 +g1042 +tp2401 +a(g827 +g1108 +tp2402 +a(g827 +g1044 +tp2403 +a(g827 +g973 +tp2404 +a(g827 +g1686 +tp2405 +a(g827 +g982 +tp2406 +a(g827 +g1059 +tp2407 +a(g827 +g1116 +tp2408 +a(g827 +g1686 +tp2409 +a(g827 +g982 +tp2410 +a(g827 +g1059 +tp2411 +a(g827 +g986 +tp2412 +a(g827 +g1686 +tp2413 +a(g827 +g1063 +tp2414 +a(g827 +g988 +tp2415 +a(g827 +g1116 +tp2416 +a(g827 +g986 +tp2417 +a(g827 +g988 +tp2418 +a(g827 +g1063 +tp2419 +a(g827 +g1050 +tp2420 +a(g827 +g2368 +tp2421 +a(g827 +V\u000a +p2422 +tp2423 +a(g827 +g986 +tp2424 +a(g827 +g988 +tp2425 +a(g827 +g1050 +tp2426 +a(g827 +g1044 +tp2427 +a(g827 +g1042 +tp2428 +a(g827 +g1037 +tp2429 +a(g827 +g1037 +tp2430 +a(g827 +g1290 +tp2431 +a(g827 +g1137 +tp2432 +a(g827 +g982 +tp2433 +a(g827 +g1290 +tp2434 +a(g157 +g2258 +tp2435 +a(g470 +V${RPM_BUILD_ROOT} +p2436 +tp2437 +a(g786 +V%{_myadminpath} +p2438 +tp2439 +a(g157 +g2258 +tp2440 +a(g827 +g1052 +tp2441 +a(g827 +g1037 +tp2442 +a(g827 +g986 +tp2443 +a(g827 +g1059 +tp2444 +a(g827 +g1072 +tp2445 +a(g827 +g1042 +tp2446 +a(g827 +g1072 +tp2447 +a(g827 +g986 +tp2448 +a(g827 +g1063 +tp2449 +a(g827 +g1050 +tp2450 +a(g827 +g1052 +tp2451 +a(g827 +g2338 +tp2452 +a(g827 +g1063 +tp2453 +a(g827 +g1756 +tp2454 +a(g827 +g971 +tp2455 +a(g827 +g1047 +tp2456 +a(g827 +g1072 +tp2457 +a(g827 +g1044 +tp2458 +a(g827 +g1686 +tp2459 +a(g827 +g1044 +tp2460 +a(g827 +g1040 +tp2461 +a(g827 +g971 +tp2462 +a(g827 +g982 +tp2463 +a(g827 +g1074 +tp2464 +a(g827 +g1686 +tp2465 +a(g827 +g986 +tp2466 +a(g827 +g984 +tp2467 +a(g827 +g971 +tp2468 +a(g827 +g1047 +tp2469 +a(g827 +g1072 +tp2470 +a(g827 +g1044 +tp2471 +a(g827 +g2368 +tp2472 +a(g827 +V\u000a +p2473 +tp2474 +a(g827 +g986 +tp2475 +a(g827 +g988 +tp2476 +a(g827 +g1050 +tp2477 +a(g827 +g1044 +tp2478 +a(g827 +g1042 +tp2479 +a(g827 +g1037 +tp2480 +a(g827 +g1037 +tp2481 +a(g827 +g1290 +tp2482 +a(g827 +g1137 +tp2483 +a(g827 +g982 +tp2484 +a(g827 +g1290 +tp2485 +a(g157 +g2258 +tp2486 +a(g470 +V${RPM_BUILD_ROOT} +p2487 +tp2488 +a(g786 +V%{_myadminpath} +p2489 +tp2490 +a(g157 +g2258 +tp2491 +a(g827 +g1052 +tp2492 +a(g827 +g1037 +tp2493 +a(g827 +g986 +tp2494 +a(g827 +g1059 +tp2495 +a(g827 +g1072 +tp2496 +a(g827 +g1042 +tp2497 +a(g827 +g1072 +tp2498 +a(g827 +g986 +tp2499 +a(g827 +g1063 +tp2500 +a(g827 +g1050 +tp2501 +a(g827 +g1052 +tp2502 +a(g827 +g1044 +tp2503 +a(g827 +g1072 +tp2504 +a(g827 +g1042 +tp2505 +a(g827 +g988 +tp2506 +a(g827 +g1050 +tp2507 +a(g827 +g1074 +tp2508 +a(g827 +g1047 +tp2509 +a(g827 +g1072 +tp2510 +a(g827 +g984 +tp2511 +a(g827 +g1042 +tp2512 +a(g827 +g1044 +tp2513 +a(g827 +g986 +tp2514 +a(g827 +g1047 +tp2515 +a(g827 +g988 +tp2516 +a(g827 +g1050 +tp2517 +a(g827 +V\u000a +p2518 +tp2519 +a(g827 +g986 +tp2520 +a(g827 +g988 +tp2521 +a(g827 +g1050 +tp2522 +a(g827 +g1044 +tp2523 +a(g827 +g1042 +tp2524 +a(g827 +g1037 +tp2525 +a(g827 +g1037 +tp2526 +a(g827 +g1290 +tp2527 +a(g827 +g1137 +tp2528 +a(g827 +g982 +tp2529 +a(g827 +g1290 +tp2530 +a(g157 +g2258 +tp2531 +a(g470 +V${RPM_BUILD_ROOT} +p2532 +tp2533 +a(g786 +V%{_myadminpath} +p2534 +tp2535 +a(g157 +g2258 +tp2536 +a(g827 +g1052 +tp2537 +a(g827 +g1037 +tp2538 +a(g827 +g986 +tp2539 +a(g827 +g1059 +tp2540 +a(g827 +g1072 +tp2541 +a(g827 +g1042 +tp2542 +a(g827 +g1072 +tp2543 +a(g827 +g986 +tp2544 +a(g827 +g1063 +tp2545 +a(g827 +g1050 +tp2546 +a(g827 +g1052 +tp2547 +a(g827 +g1044 +tp2548 +a(g827 +g1040 +tp2549 +a(g827 +g971 +tp2550 +a(g827 +g982 +tp2551 +a(g827 +g1074 +tp2552 +a(g827 +g1052 +tp2553 +a(g827 +g1074 +tp2554 +a(g827 +g1047 +tp2555 +a(g827 +g988 +tp2556 +a(g827 +g1044 +tp2557 +a(g827 +V\u000a +p2558 +tp2559 +a(g827 +g986 +tp2560 +a(g827 +g988 +tp2561 +a(g827 +g1050 +tp2562 +a(g827 +g1044 +tp2563 +a(g827 +g1042 +tp2564 +a(g827 +g1037 +tp2565 +a(g827 +g1037 +tp2566 +a(g827 +g1290 +tp2567 +a(g827 +g1137 +tp2568 +a(g827 +g982 +tp2569 +a(g827 +g1290 +tp2570 +a(g157 +g2258 +tp2571 +a(g470 +V${RPM_BUILD_ROOT} +p2572 +tp2573 +a(g786 +V%{_myadminpath} +p2574 +tp2575 +a(g157 +g2258 +tp2576 +a(g827 +g1052 +tp2577 +a(g827 +g1044 +tp2578 +a(g827 +g973 +tp2579 +a(g827 +g1063 +tp2580 +a(g827 +g984 +tp2581 +a(g827 +g1063 +tp2582 +a(g827 +g1050 +tp2583 +a(g827 +g1052 +tp2584 +a(g827 +g2338 +tp2585 +a(g827 +g982 +tp2586 +a(g827 +g1042 +tp2587 +a(g827 +g1072 +tp2588 +a(g827 +g1569 +tp2589 +a(g827 +g1059 +tp2590 +a(g827 +g1037 +tp2591 +a(g827 +g1108 +tp2592 +a(g827 +g1063 +tp2593 +a(g827 +V_ +p2594 +tp2595 +a(g827 +g1047 +tp2596 +a(g827 +g1072 +tp2597 +a(g827 +g1042 +tp2598 +a(g827 +g988 +tp2599 +a(g827 +g1116 +tp2600 +a(g827 +g1063 +tp2601 +a(g827 +g1686 +tp2602 +a(g827 +g1047 +tp2603 +a(g827 +g1072 +tp2604 +a(g827 +g986 +tp2605 +a(g827 +g1116 +tp2606 +a(g827 +g986 +tp2607 +a(g827 +g988 +tp2608 +a(g827 +g1042 +tp2609 +a(g827 +g1037 +tp2610 +a(g827 +g2368 +tp2611 +a(g827 +V\u000a +p2612 +tp2613 +a(g827 +g986 +tp2614 +a(g827 +g988 +tp2615 +a(g827 +g1050 +tp2616 +a(g827 +g1044 +tp2617 +a(g827 +g1042 +tp2618 +a(g827 +g1037 +tp2619 +a(g827 +g1037 +tp2620 +a(g827 +g1290 +tp2621 +a(g827 +g1137 +tp2622 +a(g827 +g982 +tp2623 +a(g827 +g1290 +tp2624 +a(g157 +g2258 +tp2625 +a(g470 +V${RPM_BUILD_ROOT} +p2626 +tp2627 +a(g786 +V%{_myadminpath} +p2628 +tp2629 +a(g157 +g2258 +tp2630 +a(g827 +g1052 +tp2631 +a(g827 +g1044 +tp2632 +a(g827 +g973 +tp2633 +a(g827 +g1063 +tp2634 +a(g827 +g984 +tp2635 +a(g827 +g1063 +tp2636 +a(g827 +g1050 +tp2637 +a(g827 +g1052 +tp2638 +a(g827 +g982 +tp2639 +a(g827 +g1042 +tp2640 +a(g827 +g1072 +tp2641 +a(g827 +g1569 +tp2642 +a(g827 +g1059 +tp2643 +a(g827 +g1037 +tp2644 +a(g827 +g1108 +tp2645 +a(g827 +g1063 +tp2646 +a(g827 +g2594 +tp2647 +a(g827 +g1047 +tp2648 +a(g827 +g1072 +tp2649 +a(g827 +g1042 +tp2650 +a(g827 +g988 +tp2651 +a(g827 +g1116 +tp2652 +a(g827 +g1063 +tp2653 +a(g827 +g1052 +tp2654 +a(g827 +g2338 +tp2655 +a(g827 +g1040 +tp2656 +a(g827 +g1050 +tp2657 +a(g827 +g1050 +tp2658 +a(g827 +g1686 +tp2659 +a(g827 +g986 +tp2660 +a(g827 +g984 +tp2661 +a(g827 +g1116 +tp2662 +a(g827 +g2368 +tp2663 +a(g827 +V\u000a +p2664 +tp2665 +a(g827 +g986 +tp2666 +a(g827 +g988 +tp2667 +a(g827 +g1050 +tp2668 +a(g827 +g1044 +tp2669 +a(g827 +g1042 +tp2670 +a(g827 +g1037 +tp2671 +a(g827 +g1037 +tp2672 +a(g827 +g1290 +tp2673 +a(g827 +g1137 +tp2674 +a(g827 +g982 +tp2675 +a(g827 +g1290 +tp2676 +a(g157 +g2258 +tp2677 +a(g470 +V${RPM_BUILD_ROOT} +p2678 +tp2679 +a(g786 +V%{_myadminpath} +p2680 +tp2681 +a(g157 +g2258 +tp2682 +a(g827 +g1052 +tp2683 +a(g827 +g1044 +tp2684 +a(g827 +g973 +tp2685 +a(g827 +g1063 +tp2686 +a(g827 +g984 +tp2687 +a(g827 +g1063 +tp2688 +a(g827 +g1050 +tp2689 +a(g827 +g1052 +tp2690 +a(g827 +g1047 +tp2691 +a(g827 +g1072 +tp2692 +a(g827 +g986 +tp2693 +a(g827 +g1116 +tp2694 +a(g827 +g986 +tp2695 +a(g827 +g988 +tp2696 +a(g827 +g1042 +tp2697 +a(g827 +g1037 +tp2698 +a(g827 +g1052 +tp2699 +a(g827 +g2338 +tp2700 +a(g827 +g1040 +tp2701 +a(g827 +g1050 +tp2702 +a(g827 +g1050 +tp2703 +a(g827 +g1686 +tp2704 +a(g827 +g986 +tp2705 +a(g827 +g984 +tp2706 +a(g827 +g1116 +tp2707 +a(g827 +g2368 +tp2708 +a(g827 +V\u000a +p2709 +tp2710 +a(g827 +V\u000a +p2711 +tp2712 +a(g13 +V# Install files.\u000a +p2713 +tp2714 +a(g827 +V\u000a +p2715 +tp2716 +a(g827 +g986 +tp2717 +a(g827 +g988 +tp2718 +a(g827 +g1050 +tp2719 +a(g827 +g1044 +tp2720 +a(g827 +g1042 +tp2721 +a(g827 +g1037 +tp2722 +a(g827 +g1037 +tp2723 +a(g827 +g1290 +tp2724 +a(g827 +g1037 +tp2725 +a(g827 +g986 +tp2726 +a(g827 +g1059 +tp2727 +a(g827 +g1072 +tp2728 +a(g827 +g1042 +tp2729 +a(g827 +g1072 +tp2730 +a(g827 +g986 +tp2731 +a(g827 +g1063 +tp2732 +a(g827 +g1050 +tp2733 +a(g827 +g1052 +tp2734 +a(g827 +g1040 +tp2735 +a(g827 +g1047 +tp2736 +a(g827 +g988 +tp2737 +a(g827 +g1074 +tp2738 +a(g827 +g986 +tp2739 +a(g827 +g1116 +tp2740 +a(g827 +g998 +tp2741 +a(g827 +g982 +tp2742 +a(g827 +g1063 +tp2743 +a(g827 +g1074 +tp2744 +a(g827 +g1042 +tp2745 +a(g827 +g1108 +tp2746 +a(g827 +g1037 +tp2747 +a(g827 +g1044 +tp2748 +a(g827 +g998 +tp2749 +a(g827 +g971 +tp2750 +a(g827 +g973 +tp2751 +a(g827 +g971 +tp2752 +a(g827 +g968 +tp2753 +a(g827 +g968 +tp2754 +a(g827 +g968 +tp2755 +a(g827 +g968 +tp2756 +a(g827 +g968 +tp2757 +a(g827 +V\u005c +p2758 +tp2759 +a(g827 +V\u000a +p2760 +tp2761 +a(g827 +g968 +tp2762 +a(g827 +g968 +tp2763 +a(g157 +g2258 +tp2764 +a(g470 +V${RPM_BUILD_ROOT} +p2765 +tp2766 +a(g786 +V%{_myadminpath} +p2767 +tp2768 +a(g157 +g2258 +tp2769 +a(g827 +g1052 +tp2770 +a(g827 +g1040 +tp2771 +a(g827 +g1047 +tp2772 +a(g827 +g988 +tp2773 +a(g827 +g1074 +tp2774 +a(g827 +g986 +tp2775 +a(g827 +g1116 +tp2776 +a(g827 +g998 +tp2777 +a(g827 +g986 +tp2778 +a(g827 +g988 +tp2779 +a(g827 +g1040 +tp2780 +a(g827 +g998 +tp2781 +a(g827 +g971 +tp2782 +a(g827 +g973 +tp2783 +a(g827 +g971 +tp2784 +a(g827 +V\u000a +p2785 +tp2786 +a(g827 +g986 +tp2787 +a(g827 +g988 +tp2788 +a(g827 +g1050 +tp2789 +a(g827 +g1044 +tp2790 +a(g827 +g1042 +tp2791 +a(g827 +g1037 +tp2792 +a(g827 +g1037 +tp2793 +a(g827 +g1290 +tp2794 +a(g827 +g1875 +tp2795 +a(g827 +g998 +tp2796 +a(g827 +g2338 +tp2797 +a(g827 +g971 +tp2798 +a(g827 +g973 +tp2799 +a(g827 +g971 +tp2800 +a(g827 +g1686 +tp2801 +a(g827 +g986 +tp2802 +a(g827 +g1040 +tp2803 +a(g827 +g1047 +tp2804 +a(g827 +g2368 +tp2805 +a(g827 +g1290 +tp2806 +a(g157 +g2258 +tp2807 +a(g470 +V${RPM_BUILD_ROOT} +p2808 +tp2809 +a(g786 +V%{_myadminpath} +p2810 +tp2811 +a(g157 +g2258 +tp2812 +a(g827 +g1052 +tp2813 +a(g827 +V\u000a +p2814 +tp2815 +a(g827 +g986 +tp2816 +a(g827 +g988 +tp2817 +a(g827 +g1050 +tp2818 +a(g827 +g1044 +tp2819 +a(g827 +g1042 +tp2820 +a(g827 +g1037 +tp2821 +a(g827 +g1037 +tp2822 +a(g827 +g1290 +tp2823 +a(g827 +g1617 +tp2824 +a(g827 +g973 +tp2825 +a(g827 +g1042 +tp2826 +a(g827 +g988 +tp2827 +a(g827 +g1116 +tp2828 +a(g827 +g1063 +tp2829 +a(g827 +g1025 +tp2830 +a(g827 +g1047 +tp2831 +a(g827 +g1116 +tp2832 +a(g827 +g1290 +tp2833 +a(g827 +g1025 +tp2834 +a(g827 +g1067 +tp2835 +a(g827 +g1617 +tp2836 +a(g827 +VE +p2837 +tp2838 +a(g827 +VN +p2839 +tp2840 +a(g827 +g1306 +tp2841 +a(g827 +g2837 +tp2842 +a(g827 +g1290 +tp2843 +a(g827 +VR +p2844 +tp2845 +a(g827 +g2837 +tp2846 +a(g827 +g980 +tp2847 +a(g827 +g1054 +tp2848 +a(g827 +g976 +tp2849 +a(g827 +g2837 +tp2850 +a(g827 +g1290 +tp2851 +a(g157 +g2258 +tp2852 +a(g470 +V${RPM_BUILD_ROOT} +p2853 +tp2854 +a(g786 +V%{_myadminpath} +p2855 +tp2856 +a(g157 +g2258 +tp2857 +a(g827 +g1052 +tp2858 +a(g827 +V\u000a +p2859 +tp2860 +a(g827 +g986 +tp2861 +a(g827 +g988 +tp2862 +a(g827 +g1050 +tp2863 +a(g827 +g1044 +tp2864 +a(g827 +g1042 +tp2865 +a(g827 +g1037 +tp2866 +a(g827 +g1037 +tp2867 +a(g827 +g1290 +tp2868 +a(g827 +g1054 +tp2869 +a(g827 +g1047 +tp2870 +a(g827 +g1040 +tp2871 +a(g827 +g1108 +tp2872 +a(g827 +g984 +tp2873 +a(g827 +g1063 +tp2874 +a(g827 +g988 +tp2875 +a(g827 +g1044 +tp2876 +a(g827 +g1042 +tp2877 +a(g827 +g1044 +tp2878 +a(g827 +g986 +tp2879 +a(g827 +g1047 +tp2880 +a(g827 +g988 +tp2881 +a(g827 +g998 +tp2882 +a(g827 +g973 +tp2883 +a(g827 +g1044 +tp2884 +a(g827 +g984 +tp2885 +a(g827 +g1037 +tp2886 +a(g827 +g1290 +tp2887 +a(g827 +g982 +tp2888 +a(g827 +g1047 +tp2889 +a(g827 +g1040 +tp2890 +a(g827 +g1050 +tp2891 +a(g827 +g998 +tp2892 +a(g827 +g1040 +tp2893 +a(g827 +g1050 +tp2894 +a(g827 +g1050 +tp2895 +a(g827 +g1290 +tp2896 +a(g157 +g2258 +tp2897 +a(g470 +V${RPM_BUILD_ROOT} +p2898 +tp2899 +a(g786 +V%{_myadminpath} +p2900 +tp2901 +a(g157 +g2258 +tp2902 +a(g827 +g1052 +tp2903 +a(g827 +V\u000a +p2904 +tp2905 +a(g827 +g986 +tp2906 +a(g827 +g988 +tp2907 +a(g827 +g1050 +tp2908 +a(g827 +g1044 +tp2909 +a(g827 +g1042 +tp2910 +a(g827 +g1037 +tp2911 +a(g827 +g1037 +tp2912 +a(g827 +g1290 +tp2913 +a(g827 +g1040 +tp2914 +a(g827 +g1050 +tp2915 +a(g827 +g1050 +tp2916 +a(g827 +g1052 +tp2917 +a(g827 +g1875 +tp2918 +a(g827 +g1290 +tp2919 +a(g157 +g2258 +tp2920 +a(g470 +V${RPM_BUILD_ROOT} +p2921 +tp2922 +a(g786 +V%{_myadminpath} +p2923 +tp2924 +a(g157 +g1052 +tp2925 +a(g157 +g1040 +tp2926 +a(g157 +g1050 +tp2927 +a(g157 +g1050 +tp2928 +a(g157 +g2258 +tp2929 +a(g827 +g1052 +tp2930 +a(g827 +V\u000a +p2931 +tp2932 +a(g827 +g986 +tp2933 +a(g827 +g988 +tp2934 +a(g827 +g1050 +tp2935 +a(g827 +g1044 +tp2936 +a(g827 +g1042 +tp2937 +a(g827 +g1037 +tp2938 +a(g827 +g1037 +tp2939 +a(g827 +g1290 +tp2940 +a(g827 +g1213 +tp2941 +a(g827 +g1050 +tp2942 +a(g827 +g1052 +tp2943 +a(g827 +g1875 +tp2944 +a(g827 +g1290 +tp2945 +a(g157 +g2258 +tp2946 +a(g470 +V${RPM_BUILD_ROOT} +p2947 +tp2948 +a(g786 +V%{_myadminpath} +p2949 +tp2950 +a(g157 +g1052 +tp2951 +a(g157 +g1213 +tp2952 +a(g157 +g1050 +tp2953 +a(g157 +g2258 +tp2954 +a(g827 +g1052 +tp2955 +a(g827 +V\u000a +p2956 +tp2957 +a(g827 +g986 +tp2958 +a(g827 +g988 +tp2959 +a(g827 +g1050 +tp2960 +a(g827 +g1044 +tp2961 +a(g827 +g1042 +tp2962 +a(g827 +g1037 +tp2963 +a(g827 +g1037 +tp2964 +a(g827 +g1290 +tp2965 +a(g827 +g1037 +tp2966 +a(g827 +g1042 +tp2967 +a(g827 +g988 +tp2968 +a(g827 +g1116 +tp2969 +a(g827 +g1052 +tp2970 +a(g827 +g1875 +tp2971 +a(g827 +g998 +tp2972 +a(g827 +g971 +tp2973 +a(g827 +g973 +tp2974 +a(g827 +g971 +tp2975 +a(g827 +g1290 +tp2976 +a(g157 +g2258 +tp2977 +a(g470 +V${RPM_BUILD_ROOT} +p2978 +tp2979 +a(g786 +V%{_myadminpath} +p2980 +tp2981 +a(g157 +g1052 +tp2982 +a(g157 +g1037 +tp2983 +a(g157 +g1042 +tp2984 +a(g157 +g988 +tp2985 +a(g157 +g1116 +tp2986 +a(g157 +g2258 +tp2987 +a(g827 +g1052 +tp2988 +a(g827 +V\u000a +p2989 +tp2990 +a(g827 +g986 +tp2991 +a(g827 +g988 +tp2992 +a(g827 +g1050 +tp2993 +a(g827 +g1044 +tp2994 +a(g827 +g1042 +tp2995 +a(g827 +g1037 +tp2996 +a(g827 +g1037 +tp2997 +a(g827 +g1290 +tp2998 +a(g827 +g1037 +tp2999 +a(g827 +g986 +tp3000 +a(g827 +g1059 +tp3001 +a(g827 +g1072 +tp3002 +a(g827 +g1042 +tp3003 +a(g827 +g1072 +tp3004 +a(g827 +g986 +tp3005 +a(g827 +g1063 +tp3006 +a(g827 +g1050 +tp3007 +a(g827 +g1052 +tp3008 +a(g827 +g1875 +tp3009 +a(g827 +g998 +tp3010 +a(g827 +g971 +tp3011 +a(g827 +g973 +tp3012 +a(g827 +g971 +tp3013 +a(g827 +g1290 +tp3014 +a(g157 +g2258 +tp3015 +a(g470 +V${RPM_BUILD_ROOT} +p3016 +tp3017 +a(g786 +V%{_myadminpath} +p3018 +tp3019 +a(g157 +g1052 +tp3020 +a(g157 +g1037 +tp3021 +a(g157 +g986 +tp3022 +a(g157 +g1059 +tp3023 +a(g157 +g1072 +tp3024 +a(g157 +g1042 +tp3025 +a(g157 +g1072 +tp3026 +a(g157 +g986 +tp3027 +a(g157 +g1063 +tp3028 +a(g157 +g1050 +tp3029 +a(g157 +g2258 +tp3030 +a(g827 +g1052 +tp3031 +a(g827 +V\u000a +p3032 +tp3033 +a(g827 +g986 +tp3034 +a(g827 +g988 +tp3035 +a(g827 +g1050 +tp3036 +a(g827 +g1044 +tp3037 +a(g827 +g1042 +tp3038 +a(g827 +g1037 +tp3039 +a(g827 +g1037 +tp3040 +a(g827 +g1290 +tp3041 +a(g827 +g1037 +tp3042 +a(g827 +g986 +tp3043 +a(g827 +g1059 +tp3044 +a(g827 +g1072 +tp3045 +a(g827 +g1042 +tp3046 +a(g827 +g1072 +tp3047 +a(g827 +g986 +tp3048 +a(g827 +g1063 +tp3049 +a(g827 +g1050 +tp3050 +a(g827 +g1052 +tp3051 +a(g827 +g1042 +tp3052 +a(g827 +g1108 +tp3053 +a(g827 +g1044 +tp3054 +a(g827 +g973 +tp3055 +a(g827 +g1052 +tp3056 +a(g827 +g1875 +tp3057 +a(g827 +g998 +tp3058 +a(g827 +g971 +tp3059 +a(g827 +g973 +tp3060 +a(g827 +g971 +tp3061 +a(g827 +g1290 +tp3062 +a(g157 +g2258 +tp3063 +a(g470 +V${RPM_BUILD_ROOT} +p3064 +tp3065 +a(g786 +V%{_myadminpath} +p3066 +tp3067 +a(g157 +g1052 +tp3068 +a(g157 +g1037 +tp3069 +a(g157 +g986 +tp3070 +a(g157 +g1059 +tp3071 +a(g157 +g1072 +tp3072 +a(g157 +g1042 +tp3073 +a(g157 +g1072 +tp3074 +a(g157 +g986 +tp3075 +a(g157 +g1063 +tp3076 +a(g157 +g1050 +tp3077 +a(g157 +g1052 +tp3078 +a(g157 +g1042 +tp3079 +a(g157 +g1108 +tp3080 +a(g157 +g1044 +tp3081 +a(g157 +g973 +tp3082 +a(g157 +g2258 +tp3083 +a(g827 +g1052 +tp3084 +a(g827 +V\u000a +p3085 +tp3086 +a(g827 +g986 +tp3087 +a(g827 +g988 +tp3088 +a(g827 +g1050 +tp3089 +a(g827 +g1044 +tp3090 +a(g827 +g1042 +tp3091 +a(g827 +g1037 +tp3092 +a(g827 +g1037 +tp3093 +a(g827 +g1290 +tp3094 +a(g827 +g1037 +tp3095 +a(g827 +g986 +tp3096 +a(g827 +g1059 +tp3097 +a(g827 +g1072 +tp3098 +a(g827 +g1042 +tp3099 +a(g827 +g1072 +tp3100 +a(g827 +g986 +tp3101 +a(g827 +g1063 +tp3102 +a(g827 +g1050 +tp3103 +a(g827 +g1052 +tp3104 +a(g827 +g982 +tp3105 +a(g827 +g1059 +tp3106 +a(g827 +g1116 +tp3107 +a(g827 +g1052 +tp3108 +a(g827 +g1875 +tp3109 +a(g827 +g998 +tp3110 +a(g827 +g971 +tp3111 +a(g827 +g973 +tp3112 +a(g827 +g971 +tp3113 +a(g827 +g1290 +tp3114 +a(g157 +g2258 +tp3115 +a(g470 +V${RPM_BUILD_ROOT} +p3116 +tp3117 +a(g786 +V%{_myadminpath} +p3118 +tp3119 +a(g157 +g1052 +tp3120 +a(g157 +g1037 +tp3121 +a(g157 +g986 +tp3122 +a(g157 +g1059 +tp3123 +a(g157 +g1072 +tp3124 +a(g157 +g1042 +tp3125 +a(g157 +g1072 +tp3126 +a(g157 +g986 +tp3127 +a(g157 +g1063 +tp3128 +a(g157 +g1050 +tp3129 +a(g157 +g1052 +tp3130 +a(g157 +g982 +tp3131 +a(g157 +g1059 +tp3132 +a(g157 +g1116 +tp3133 +a(g157 +g2258 +tp3134 +a(g827 +g1052 +tp3135 +a(g827 +V\u000a +p3136 +tp3137 +a(g827 +g986 +tp3138 +a(g827 +g988 +tp3139 +a(g827 +g1050 +tp3140 +a(g827 +g1044 +tp3141 +a(g827 +g1042 +tp3142 +a(g827 +g1037 +tp3143 +a(g827 +g1037 +tp3144 +a(g827 +g1290 +tp3145 +a(g827 +g1037 +tp3146 +a(g827 +g986 +tp3147 +a(g827 +g1059 +tp3148 +a(g827 +g1072 +tp3149 +a(g827 +g1042 +tp3150 +a(g827 +g1072 +tp3151 +a(g827 +g986 +tp3152 +a(g827 +g1063 +tp3153 +a(g827 +g1050 +tp3154 +a(g827 +g1052 +tp3155 +a(g827 +g982 +tp3156 +a(g827 +g1059 +tp3157 +a(g827 +g986 +tp3158 +a(g827 +g1052 +tp3159 +a(g827 +g1875 +tp3160 +a(g827 +g998 +tp3161 +a(g827 +g971 +tp3162 +a(g827 +g973 +tp3163 +a(g827 +g971 +tp3164 +a(g827 +g1290 +tp3165 +a(g157 +g2258 +tp3166 +a(g470 +V${RPM_BUILD_ROOT} +p3167 +tp3168 +a(g786 +V%{_myadminpath} +p3169 +tp3170 +a(g157 +g1052 +tp3171 +a(g157 +g1037 +tp3172 +a(g157 +g986 +tp3173 +a(g157 +g1059 +tp3174 +a(g157 +g1072 +tp3175 +a(g157 +g1042 +tp3176 +a(g157 +g1072 +tp3177 +a(g157 +g986 +tp3178 +a(g157 +g1063 +tp3179 +a(g157 +g1050 +tp3180 +a(g157 +g1052 +tp3181 +a(g157 +g982 +tp3182 +a(g157 +g1059 +tp3183 +a(g157 +g986 +tp3184 +a(g157 +g2258 +tp3185 +a(g827 +g1052 +tp3186 +a(g827 +V\u000a +p3187 +tp3188 +a(g827 +g986 +tp3189 +a(g827 +g988 +tp3190 +a(g827 +g1050 +tp3191 +a(g827 +g1044 +tp3192 +a(g827 +g1042 +tp3193 +a(g827 +g1037 +tp3194 +a(g827 +g1037 +tp3195 +a(g827 +g1290 +tp3196 +a(g827 +g1037 +tp3197 +a(g827 +g986 +tp3198 +a(g827 +g1059 +tp3199 +a(g827 +g1072 +tp3200 +a(g827 +g1042 +tp3201 +a(g827 +g1072 +tp3202 +a(g827 +g986 +tp3203 +a(g827 +g1063 +tp3204 +a(g827 +g1050 +tp3205 +a(g827 +g1052 +tp3206 +a(g827 +g1063 +tp3207 +a(g827 +g988 +tp3208 +a(g827 +g1116 +tp3209 +a(g827 +g986 +tp3210 +a(g827 +g988 +tp3211 +a(g827 +g1063 +tp3212 +a(g827 +g1050 +tp3213 +a(g827 +g1052 +tp3214 +a(g827 +g1875 +tp3215 +a(g827 +g998 +tp3216 +a(g827 +g971 +tp3217 +a(g827 +g973 +tp3218 +a(g827 +g971 +tp3219 +a(g827 +g968 +tp3220 +a(g827 +g968 +tp3221 +a(g827 +g968 +tp3222 +a(g827 +g968 +tp3223 +a(g827 +g968 +tp3224 +a(g827 +V\u005c +p3225 +tp3226 +a(g827 +V\u000a +p3227 +tp3228 +a(g827 +g968 +tp3229 +a(g827 +g968 +tp3230 +a(g157 +g2258 +tp3231 +a(g470 +V${RPM_BUILD_ROOT} +p3232 +tp3233 +a(g786 +V%{_myadminpath} +p3234 +tp3235 +a(g157 +g1052 +tp3236 +a(g157 +g1037 +tp3237 +a(g157 +g986 +tp3238 +a(g157 +g1059 +tp3239 +a(g157 +g1072 +tp3240 +a(g157 +g1042 +tp3241 +a(g157 +g1072 +tp3242 +a(g157 +g986 +tp3243 +a(g157 +g1063 +tp3244 +a(g157 +g1050 +tp3245 +a(g157 +g1052 +tp3246 +a(g157 +g1063 +tp3247 +a(g157 +g988 +tp3248 +a(g157 +g1116 +tp3249 +a(g157 +g986 +tp3250 +a(g157 +g988 +tp3251 +a(g157 +g1063 +tp3252 +a(g157 +g1050 +tp3253 +a(g157 +g2258 +tp3254 +a(g827 +g1052 +tp3255 +a(g827 +V\u000a +p3256 +tp3257 +a(g827 +g986 +tp3258 +a(g827 +g988 +tp3259 +a(g827 +g1050 +tp3260 +a(g827 +g1044 +tp3261 +a(g827 +g1042 +tp3262 +a(g827 +g1037 +tp3263 +a(g827 +g1037 +tp3264 +a(g827 +g1290 +tp3265 +a(g827 +g1037 +tp3266 +a(g827 +g986 +tp3267 +a(g827 +g1059 +tp3268 +a(g827 +g1072 +tp3269 +a(g827 +g1042 +tp3270 +a(g827 +g1072 +tp3271 +a(g827 +g986 +tp3272 +a(g827 +g1063 +tp3273 +a(g827 +g1050 +tp3274 +a(g827 +g1052 +tp3275 +a(g827 +g1063 +tp3276 +a(g827 +g1756 +tp3277 +a(g827 +g971 +tp3278 +a(g827 +g1047 +tp3279 +a(g827 +g1072 +tp3280 +a(g827 +g1044 +tp3281 +a(g827 +g1052 +tp3282 +a(g827 +g1875 +tp3283 +a(g827 +g998 +tp3284 +a(g827 +g971 +tp3285 +a(g827 +g973 +tp3286 +a(g827 +g971 +tp3287 +a(g827 +g968 +tp3288 +a(g827 +g968 +tp3289 +a(g827 +g968 +tp3290 +a(g827 +g968 +tp3291 +a(g827 +g968 +tp3292 +a(g827 +V\u005c +p3293 +tp3294 +a(g827 +V\u000a +p3295 +tp3296 +a(g827 +g968 +tp3297 +a(g827 +g968 +tp3298 +a(g157 +g2258 +tp3299 +a(g470 +V${RPM_BUILD_ROOT} +p3300 +tp3301 +a(g786 +V%{_myadminpath} +p3302 +tp3303 +a(g157 +g1052 +tp3304 +a(g157 +g1037 +tp3305 +a(g157 +g986 +tp3306 +a(g157 +g1059 +tp3307 +a(g157 +g1072 +tp3308 +a(g157 +g1042 +tp3309 +a(g157 +g1072 +tp3310 +a(g157 +g986 +tp3311 +a(g157 +g1063 +tp3312 +a(g157 +g1050 +tp3313 +a(g157 +g1052 +tp3314 +a(g157 +g1063 +tp3315 +a(g157 +g1756 +tp3316 +a(g157 +g971 +tp3317 +a(g157 +g1047 +tp3318 +a(g157 +g1072 +tp3319 +a(g157 +g1044 +tp3320 +a(g157 +g2258 +tp3321 +a(g827 +g1052 +tp3322 +a(g827 +V\u000a +p3323 +tp3324 +a(g827 +g986 +tp3325 +a(g827 +g988 +tp3326 +a(g827 +g1050 +tp3327 +a(g827 +g1044 +tp3328 +a(g827 +g1042 +tp3329 +a(g827 +g1037 +tp3330 +a(g827 +g1037 +tp3331 +a(g827 +g1290 +tp3332 +a(g827 +g1037 +tp3333 +a(g827 +g986 +tp3334 +a(g827 +g1059 +tp3335 +a(g827 +g1072 +tp3336 +a(g827 +g1042 +tp3337 +a(g827 +g1072 +tp3338 +a(g827 +g986 +tp3339 +a(g827 +g1063 +tp3340 +a(g827 +g1050 +tp3341 +a(g827 +g1052 +tp3342 +a(g827 +g1044 +tp3343 +a(g827 +g1040 +tp3344 +a(g827 +g971 +tp3345 +a(g827 +g982 +tp3346 +a(g827 +g1074 +tp3347 +a(g827 +g1052 +tp3348 +a(g827 +g1875 +tp3349 +a(g827 +g998 +tp3350 +a(g827 +g971 +tp3351 +a(g827 +g973 +tp3352 +a(g827 +g971 +tp3353 +a(g827 +g1290 +tp3354 +a(g157 +g2258 +tp3355 +a(g470 +V${RPM_BUILD_ROOT} +p3356 +tp3357 +a(g786 +V%{_myadminpath} +p3358 +tp3359 +a(g157 +g1052 +tp3360 +a(g157 +g1037 +tp3361 +a(g157 +g986 +tp3362 +a(g157 +g1059 +tp3363 +a(g157 +g1072 +tp3364 +a(g157 +g1042 +tp3365 +a(g157 +g1072 +tp3366 +a(g157 +g986 +tp3367 +a(g157 +g1063 +tp3368 +a(g157 +g1050 +tp3369 +a(g157 +g1052 +tp3370 +a(g157 +g1044 +tp3371 +a(g157 +g1040 +tp3372 +a(g157 +g971 +tp3373 +a(g157 +g982 +tp3374 +a(g157 +g1074 +tp3375 +a(g157 +g2258 +tp3376 +a(g827 +g1052 +tp3377 +a(g827 +V\u000a +p3378 +tp3379 +a(g827 +g986 +tp3380 +a(g827 +g988 +tp3381 +a(g827 +g1050 +tp3382 +a(g827 +g1044 +tp3383 +a(g827 +g1042 +tp3384 +a(g827 +g1037 +tp3385 +a(g827 +g1037 +tp3386 +a(g827 +g1290 +tp3387 +a(g827 +g1037 +tp3388 +a(g827 +g986 +tp3389 +a(g827 +g1059 +tp3390 +a(g827 +g1072 +tp3391 +a(g827 +g1042 +tp3392 +a(g827 +g1072 +tp3393 +a(g827 +g986 +tp3394 +a(g827 +g1063 +tp3395 +a(g827 +g1050 +tp3396 +a(g827 +g1052 +tp3397 +a(g827 +g1044 +tp3398 +a(g827 +g1040 +tp3399 +a(g827 +g971 +tp3400 +a(g827 +g982 +tp3401 +a(g827 +g1074 +tp3402 +a(g827 +g1052 +tp3403 +a(g827 +g1074 +tp3404 +a(g827 +g1047 +tp3405 +a(g827 +g988 +tp3406 +a(g827 +g1044 +tp3407 +a(g827 +g1052 +tp3408 +a(g827 +g1875 +tp3409 +a(g827 +g998 +tp3410 +a(g827 +g2338 +tp3411 +a(g827 +g971 +tp3412 +a(g827 +g973 +tp3413 +a(g827 +g971 +tp3414 +a(g827 +g1686 +tp3415 +a(g827 +g1150 +tp3416 +a(g827 +g2368 +tp3417 +a(g827 +g968 +tp3418 +a(g827 +g968 +tp3419 +a(g827 +g968 +tp3420 +a(g827 +g968 +tp3421 +a(g827 +V\u005c +p3422 +tp3423 +a(g827 +V\u000a +p3424 +tp3425 +a(g827 +g968 +tp3426 +a(g827 +g968 +tp3427 +a(g157 +g2258 +tp3428 +a(g470 +V${RPM_BUILD_ROOT} +p3429 +tp3430 +a(g786 +V%{_myadminpath} +p3431 +tp3432 +a(g157 +g1052 +tp3433 +a(g157 +g1037 +tp3434 +a(g157 +g986 +tp3435 +a(g157 +g1059 +tp3436 +a(g157 +g1072 +tp3437 +a(g157 +g1042 +tp3438 +a(g157 +g1072 +tp3439 +a(g157 +g986 +tp3440 +a(g157 +g1063 +tp3441 +a(g157 +g1050 +tp3442 +a(g157 +g1052 +tp3443 +a(g157 +g1044 +tp3444 +a(g157 +g1040 +tp3445 +a(g157 +g971 +tp3446 +a(g157 +g982 +tp3447 +a(g157 +g1074 +tp3448 +a(g157 +g1052 +tp3449 +a(g157 +g1074 +tp3450 +a(g157 +g1047 +tp3451 +a(g157 +g988 +tp3452 +a(g157 +g1044 +tp3453 +a(g157 +g2258 +tp3454 +a(g827 +g1052 +tp3455 +a(g827 +V\u000a +p3456 +tp3457 +a(g827 +g986 +tp3458 +a(g827 +g988 +tp3459 +a(g827 +g1050 +tp3460 +a(g827 +g1044 +tp3461 +a(g827 +g1042 +tp3462 +a(g827 +g1037 +tp3463 +a(g827 +g1037 +tp3464 +a(g827 +g1290 +tp3465 +a(g827 +g1037 +tp3466 +a(g827 +g986 +tp3467 +a(g827 +g1059 +tp3468 +a(g827 +g1072 +tp3469 +a(g827 +g1042 +tp3470 +a(g827 +g1072 +tp3471 +a(g827 +g986 +tp3472 +a(g827 +g1063 +tp3473 +a(g827 +g1050 +tp3474 +a(g827 +g1052 +tp3475 +a(g827 +g986 +tp3476 +a(g827 +g984 +tp3477 +a(g827 +g971 +tp3478 +a(g827 +g1047 +tp3479 +a(g827 +g1072 +tp3480 +a(g827 +g1044 +tp3481 +a(g827 +g1052 +tp3482 +a(g827 +g1875 +tp3483 +a(g827 +g998 +tp3484 +a(g827 +g971 +tp3485 +a(g827 +g973 +tp3486 +a(g827 +g971 +tp3487 +a(g827 +g968 +tp3488 +a(g827 +g968 +tp3489 +a(g827 +g968 +tp3490 +a(g827 +g968 +tp3491 +a(g827 +g968 +tp3492 +a(g827 +V\u005c +p3493 +tp3494 +a(g827 +V\u000a +p3495 +tp3496 +a(g827 +g968 +tp3497 +a(g827 +g968 +tp3498 +a(g157 +g2258 +tp3499 +a(g470 +V${RPM_BUILD_ROOT} +p3500 +tp3501 +a(g786 +V%{_myadminpath} +p3502 +tp3503 +a(g157 +g1052 +tp3504 +a(g157 +g1037 +tp3505 +a(g157 +g986 +tp3506 +a(g157 +g1059 +tp3507 +a(g157 +g1072 +tp3508 +a(g157 +g1042 +tp3509 +a(g157 +g1072 +tp3510 +a(g157 +g986 +tp3511 +a(g157 +g1063 +tp3512 +a(g157 +g1050 +tp3513 +a(g157 +g1052 +tp3514 +a(g157 +g986 +tp3515 +a(g157 +g984 +tp3516 +a(g157 +g971 +tp3517 +a(g157 +g1047 +tp3518 +a(g157 +g1072 +tp3519 +a(g157 +g1044 +tp3520 +a(g157 +g2258 +tp3521 +a(g827 +g1052 +tp3522 +a(g827 +V\u000a +p3523 +tp3524 +a(g827 +g986 +tp3525 +a(g827 +g988 +tp3526 +a(g827 +g1050 +tp3527 +a(g827 +g1044 +tp3528 +a(g827 +g1042 +tp3529 +a(g827 +g1037 +tp3530 +a(g827 +g1037 +tp3531 +a(g827 +g1290 +tp3532 +a(g827 +g1037 +tp3533 +a(g827 +g986 +tp3534 +a(g827 +g1059 +tp3535 +a(g827 +g1072 +tp3536 +a(g827 +g1042 +tp3537 +a(g827 +g1072 +tp3538 +a(g827 +g986 +tp3539 +a(g827 +g1063 +tp3540 +a(g827 +g1050 +tp3541 +a(g827 +g1052 +tp3542 +a(g827 +g1044 +tp3543 +a(g827 +g1072 +tp3544 +a(g827 +g1042 +tp3545 +a(g827 +g988 +tp3546 +a(g827 +g1050 +tp3547 +a(g827 +g1074 +tp3548 +a(g827 +g1047 +tp3549 +a(g827 +g1072 +tp3550 +a(g827 +g984 +tp3551 +a(g827 +g1042 +tp3552 +a(g827 +g1044 +tp3553 +a(g827 +g986 +tp3554 +a(g827 +g1047 +tp3555 +a(g827 +g988 +tp3556 +a(g827 +g1050 +tp3557 +a(g827 +g1052 +tp3558 +a(g827 +g1875 +tp3559 +a(g827 +g998 +tp3560 +a(g827 +g971 +tp3561 +a(g827 +g973 +tp3562 +a(g827 +g971 +tp3563 +a(g827 +g968 +tp3564 +a(g827 +g968 +tp3565 +a(g827 +g968 +tp3566 +a(g827 +g968 +tp3567 +a(g827 +V\u005c +p3568 +tp3569 +a(g827 +V\u000a +p3570 +tp3571 +a(g827 +g968 +tp3572 +a(g827 +g968 +tp3573 +a(g157 +g2258 +tp3574 +a(g470 +V${RPM_BUILD_ROOT} +p3575 +tp3576 +a(g786 +V%{_myadminpath} +p3577 +tp3578 +a(g157 +g1052 +tp3579 +a(g157 +g1037 +tp3580 +a(g157 +g986 +tp3581 +a(g157 +g1059 +tp3582 +a(g157 +g1072 +tp3583 +a(g157 +g1042 +tp3584 +a(g157 +g1072 +tp3585 +a(g157 +g986 +tp3586 +a(g157 +g1063 +tp3587 +a(g157 +g1050 +tp3588 +a(g157 +g1052 +tp3589 +a(g157 +g1044 +tp3590 +a(g157 +g1072 +tp3591 +a(g157 +g1042 +tp3592 +a(g157 +g988 +tp3593 +a(g157 +g1050 +tp3594 +a(g157 +g1074 +tp3595 +a(g157 +g1047 +tp3596 +a(g157 +g1072 +tp3597 +a(g157 +g984 +tp3598 +a(g157 +g1042 +tp3599 +a(g157 +g1044 +tp3600 +a(g157 +g986 +tp3601 +a(g157 +g1047 +tp3602 +a(g157 +g988 +tp3603 +a(g157 +g1050 +tp3604 +a(g157 +g2258 +tp3605 +a(g827 +g1052 +tp3606 +a(g827 +V\u000a +p3607 +tp3608 +a(g827 +g986 +tp3609 +a(g827 +g988 +tp3610 +a(g827 +g1050 +tp3611 +a(g827 +g1044 +tp3612 +a(g827 +g1042 +tp3613 +a(g827 +g1037 +tp3614 +a(g827 +g1037 +tp3615 +a(g827 +g1290 +tp3616 +a(g827 +g1044 +tp3617 +a(g827 +g973 +tp3618 +a(g827 +g1063 +tp3619 +a(g827 +g984 +tp3620 +a(g827 +g1063 +tp3621 +a(g827 +g1050 +tp3622 +a(g827 +g1052 +tp3623 +a(g827 +g982 +tp3624 +a(g827 +g1042 +tp3625 +a(g827 +g1072 +tp3626 +a(g827 +g1569 +tp3627 +a(g827 +g1059 +tp3628 +a(g827 +g1037 +tp3629 +a(g827 +g1108 +tp3630 +a(g827 +g1063 +tp3631 +a(g827 +g2594 +tp3632 +a(g827 +g1047 +tp3633 +a(g827 +g1072 +tp3634 +a(g827 +g1042 +tp3635 +a(g827 +g988 +tp3636 +a(g827 +g1116 +tp3637 +a(g827 +g1063 +tp3638 +a(g827 +g1052 +tp3639 +a(g827 +g1875 +tp3640 +a(g827 +g998 +tp3641 +a(g827 +g2338 +tp3642 +a(g827 +g971 +tp3643 +a(g827 +g973 +tp3644 +a(g827 +g971 +tp3645 +a(g827 +g1686 +tp3646 +a(g827 +g971 +tp3647 +a(g827 +g988 +tp3648 +a(g827 +g1116 +tp3649 +a(g827 +g2368 +tp3650 +a(g827 +g968 +tp3651 +a(g827 +g968 +tp3652 +a(g827 +g968 +tp3653 +a(g827 +V\u005c +p3654 +tp3655 +a(g827 +V\u000a +p3656 +tp3657 +a(g827 +g968 +tp3658 +a(g827 +g968 +tp3659 +a(g157 +g2258 +tp3660 +a(g470 +V${RPM_BUILD_ROOT} +p3661 +tp3662 +a(g786 +V%{_myadminpath} +p3663 +tp3664 +a(g157 +g1052 +tp3665 +a(g157 +g1044 +tp3666 +a(g157 +g973 +tp3667 +a(g157 +g1063 +tp3668 +a(g157 +g984 +tp3669 +a(g157 +g1063 +tp3670 +a(g157 +g1050 +tp3671 +a(g157 +g1052 +tp3672 +a(g157 +g982 +tp3673 +a(g157 +g1042 +tp3674 +a(g157 +g1072 +tp3675 +a(g157 +g1569 +tp3676 +a(g157 +g1059 +tp3677 +a(g157 +g1037 +tp3678 +a(g157 +g1108 +tp3679 +a(g157 +g1063 +tp3680 +a(g157 +g2594 +tp3681 +a(g157 +g1047 +tp3682 +a(g157 +g1072 +tp3683 +a(g157 +g1042 +tp3684 +a(g157 +g988 +tp3685 +a(g157 +g1116 +tp3686 +a(g157 +g1063 +tp3687 +a(g157 +g2258 +tp3688 +a(g827 +g1052 +tp3689 +a(g827 +V\u000a +p3690 +tp3691 +a(g827 +g986 +tp3692 +a(g827 +g988 +tp3693 +a(g827 +g1050 +tp3694 +a(g827 +g1044 +tp3695 +a(g827 +g1042 +tp3696 +a(g827 +g1037 +tp3697 +a(g827 +g1037 +tp3698 +a(g827 +g1290 +tp3699 +a(g827 +g1044 +tp3700 +a(g827 +g973 +tp3701 +a(g827 +g1063 +tp3702 +a(g827 +g984 +tp3703 +a(g827 +g1063 +tp3704 +a(g827 +g1050 +tp3705 +a(g827 +g1052 +tp3706 +a(g827 +g982 +tp3707 +a(g827 +g1042 +tp3708 +a(g827 +g1072 +tp3709 +a(g827 +g1569 +tp3710 +a(g827 +g1059 +tp3711 +a(g827 +g1037 +tp3712 +a(g827 +g1108 +tp3713 +a(g827 +g1063 +tp3714 +a(g827 +g2594 +tp3715 +a(g827 +g1047 +tp3716 +a(g827 +g1072 +tp3717 +a(g827 +g1042 +tp3718 +a(g827 +g988 +tp3719 +a(g827 +g1116 +tp3720 +a(g827 +g1063 +tp3721 +a(g827 +g1052 +tp3722 +a(g827 +g1040 +tp3723 +a(g827 +g1050 +tp3724 +a(g827 +g1050 +tp3725 +a(g827 +g1052 +tp3726 +a(g827 +g1875 +tp3727 +a(g827 +g998 +tp3728 +a(g827 +g971 +tp3729 +a(g827 +g973 +tp3730 +a(g827 +g971 +tp3731 +a(g827 +g968 +tp3732 +a(g827 +g968 +tp3733 +a(g827 +g968 +tp3734 +a(g827 +V\u005c +p3735 +tp3736 +a(g827 +V\u000a +p3737 +tp3738 +a(g827 +g968 +tp3739 +a(g827 +g968 +tp3740 +a(g157 +g2258 +tp3741 +a(g470 +V${RPM_BUILD_ROOT} +p3742 +tp3743 +a(g786 +V%{_myadminpath} +p3744 +tp3745 +a(g157 +g1052 +tp3746 +a(g157 +g1044 +tp3747 +a(g157 +g973 +tp3748 +a(g157 +g1063 +tp3749 +a(g157 +g984 +tp3750 +a(g157 +g1063 +tp3751 +a(g157 +g1050 +tp3752 +a(g157 +g1052 +tp3753 +a(g157 +g982 +tp3754 +a(g157 +g1042 +tp3755 +a(g157 +g1072 +tp3756 +a(g157 +g1569 +tp3757 +a(g157 +g1059 +tp3758 +a(g157 +g1037 +tp3759 +a(g157 +g1108 +tp3760 +a(g157 +g1063 +tp3761 +a(g157 +g2594 +tp3762 +a(g157 +g1047 +tp3763 +a(g157 +g1072 +tp3764 +a(g157 +g1042 +tp3765 +a(g157 +g988 +tp3766 +a(g157 +g1116 +tp3767 +a(g157 +g1063 +tp3768 +a(g157 +g1052 +tp3769 +a(g157 +g1040 +tp3770 +a(g157 +g1050 +tp3771 +a(g157 +g1050 +tp3772 +a(g157 +g2258 +tp3773 +a(g827 +g1052 +tp3774 +a(g827 +V\u000a +p3775 +tp3776 +a(g827 +g986 +tp3777 +a(g827 +g988 +tp3778 +a(g827 +g1050 +tp3779 +a(g827 +g1044 +tp3780 +a(g827 +g1042 +tp3781 +a(g827 +g1037 +tp3782 +a(g827 +g1037 +tp3783 +a(g827 +g1290 +tp3784 +a(g827 +g1044 +tp3785 +a(g827 +g973 +tp3786 +a(g827 +g1063 +tp3787 +a(g827 +g984 +tp3788 +a(g827 +g1063 +tp3789 +a(g827 +g1050 +tp3790 +a(g827 +g1052 +tp3791 +a(g827 +g982 +tp3792 +a(g827 +g1042 +tp3793 +a(g827 +g1072 +tp3794 +a(g827 +g1569 +tp3795 +a(g827 +g1059 +tp3796 +a(g827 +g1037 +tp3797 +a(g827 +g1108 +tp3798 +a(g827 +g1063 +tp3799 +a(g827 +g2594 +tp3800 +a(g827 +g1047 +tp3801 +a(g827 +g1072 +tp3802 +a(g827 +g1042 +tp3803 +a(g827 +g988 +tp3804 +a(g827 +g1116 +tp3805 +a(g827 +g1063 +tp3806 +a(g827 +g1052 +tp3807 +a(g827 +g986 +tp3808 +a(g827 +g984 +tp3809 +a(g827 +g1116 +tp3810 +a(g827 +g1052 +tp3811 +a(g827 +g1875 +tp3812 +a(g827 +g998 +tp3813 +a(g827 +g2338 +tp3814 +a(g827 +g971 +tp3815 +a(g827 +g988 +tp3816 +a(g827 +g1116 +tp3817 +a(g827 +g1686 +tp3818 +a(g827 +g986 +tp3819 +a(g827 +g1040 +tp3820 +a(g827 +g1047 +tp3821 +a(g827 +g2368 +tp3822 +a(g827 +g968 +tp3823 +a(g827 +g968 +tp3824 +a(g827 +g968 +tp3825 +a(g827 +V\u005c +p3826 +tp3827 +a(g827 +V\u000a +p3828 +tp3829 +a(g827 +g968 +tp3830 +a(g827 +g968 +tp3831 +a(g157 +g2258 +tp3832 +a(g470 +V${RPM_BUILD_ROOT} +p3833 +tp3834 +a(g786 +V%{_myadminpath} +p3835 +tp3836 +a(g157 +g1052 +tp3837 +a(g157 +g1044 +tp3838 +a(g157 +g973 +tp3839 +a(g157 +g1063 +tp3840 +a(g157 +g984 +tp3841 +a(g157 +g1063 +tp3842 +a(g157 +g1050 +tp3843 +a(g157 +g1052 +tp3844 +a(g157 +g982 +tp3845 +a(g157 +g1042 +tp3846 +a(g157 +g1072 +tp3847 +a(g157 +g1569 +tp3848 +a(g157 +g1059 +tp3849 +a(g157 +g1037 +tp3850 +a(g157 +g1108 +tp3851 +a(g157 +g1063 +tp3852 +a(g157 +g2594 +tp3853 +a(g157 +g1047 +tp3854 +a(g157 +g1072 +tp3855 +a(g157 +g1042 +tp3856 +a(g157 +g988 +tp3857 +a(g157 +g1116 +tp3858 +a(g157 +g1063 +tp3859 +a(g157 +g1052 +tp3860 +a(g157 +g986 +tp3861 +a(g157 +g984 +tp3862 +a(g157 +g1116 +tp3863 +a(g157 +g2258 +tp3864 +a(g827 +g1052 +tp3865 +a(g827 +V\u000a +p3866 +tp3867 +a(g827 +g986 +tp3868 +a(g827 +g988 +tp3869 +a(g827 +g1050 +tp3870 +a(g827 +g1044 +tp3871 +a(g827 +g1042 +tp3872 +a(g827 +g1037 +tp3873 +a(g827 +g1037 +tp3874 +a(g827 +g1290 +tp3875 +a(g827 +g1044 +tp3876 +a(g827 +g973 +tp3877 +a(g827 +g1063 +tp3878 +a(g827 +g984 +tp3879 +a(g827 +g1063 +tp3880 +a(g827 +g1050 +tp3881 +a(g827 +g1052 +tp3882 +a(g827 +g1047 +tp3883 +a(g827 +g1072 +tp3884 +a(g827 +g986 +tp3885 +a(g827 +g1116 +tp3886 +a(g827 +g986 +tp3887 +a(g827 +g988 +tp3888 +a(g827 +g1042 +tp3889 +a(g827 +g1037 +tp3890 +a(g827 +g1052 +tp3891 +a(g827 +g1875 +tp3892 +a(g827 +g998 +tp3893 +a(g827 +g2338 +tp3894 +a(g827 +g971 +tp3895 +a(g827 +g973 +tp3896 +a(g827 +g971 +tp3897 +a(g827 +g1686 +tp3898 +a(g827 +g971 +tp3899 +a(g827 +g988 +tp3900 +a(g827 +g1116 +tp3901 +a(g827 +g2368 +tp3902 +a(g827 +g968 +tp3903 +a(g827 +g968 +tp3904 +a(g827 +g968 +tp3905 +a(g827 +g968 +tp3906 +a(g827 +V\u005c +p3907 +tp3908 +a(g827 +V\u000a +p3909 +tp3910 +a(g827 +g968 +tp3911 +a(g827 +g968 +tp3912 +a(g157 +g2258 +tp3913 +a(g470 +V${RPM_BUILD_ROOT} +p3914 +tp3915 +a(g786 +V%{_myadminpath} +p3916 +tp3917 +a(g157 +g1052 +tp3918 +a(g157 +g1044 +tp3919 +a(g157 +g973 +tp3920 +a(g157 +g1063 +tp3921 +a(g157 +g984 +tp3922 +a(g157 +g1063 +tp3923 +a(g157 +g1050 +tp3924 +a(g157 +g1052 +tp3925 +a(g157 +g1047 +tp3926 +a(g157 +g1072 +tp3927 +a(g157 +g986 +tp3928 +a(g157 +g1116 +tp3929 +a(g157 +g986 +tp3930 +a(g157 +g988 +tp3931 +a(g157 +g1042 +tp3932 +a(g157 +g1037 +tp3933 +a(g157 +g2258 +tp3934 +a(g827 +g1052 +tp3935 +a(g827 +V\u000a +p3936 +tp3937 +a(g827 +g986 +tp3938 +a(g827 +g988 +tp3939 +a(g827 +g1050 +tp3940 +a(g827 +g1044 +tp3941 +a(g827 +g1042 +tp3942 +a(g827 +g1037 +tp3943 +a(g827 +g1037 +tp3944 +a(g827 +g1290 +tp3945 +a(g827 +g1044 +tp3946 +a(g827 +g973 +tp3947 +a(g827 +g1063 +tp3948 +a(g827 +g984 +tp3949 +a(g827 +g1063 +tp3950 +a(g827 +g1050 +tp3951 +a(g827 +g1052 +tp3952 +a(g827 +g1047 +tp3953 +a(g827 +g1072 +tp3954 +a(g827 +g986 +tp3955 +a(g827 +g1116 +tp3956 +a(g827 +g986 +tp3957 +a(g827 +g988 +tp3958 +a(g827 +g1042 +tp3959 +a(g827 +g1037 +tp3960 +a(g827 +g1052 +tp3961 +a(g827 +g1040 +tp3962 +a(g827 +g1050 +tp3963 +a(g827 +g1050 +tp3964 +a(g827 +g1052 +tp3965 +a(g827 +g1875 +tp3966 +a(g827 +g998 +tp3967 +a(g827 +g971 +tp3968 +a(g827 +g973 +tp3969 +a(g827 +g971 +tp3970 +a(g827 +g968 +tp3971 +a(g827 +g968 +tp3972 +a(g827 +g968 +tp3973 +a(g827 +g968 +tp3974 +a(g827 +V\u005c +p3975 +tp3976 +a(g827 +V\u000a +p3977 +tp3978 +a(g827 +g968 +tp3979 +a(g827 +g968 +tp3980 +a(g157 +g2258 +tp3981 +a(g470 +V${RPM_BUILD_ROOT} +p3982 +tp3983 +a(g786 +V%{_myadminpath} +p3984 +tp3985 +a(g157 +g1052 +tp3986 +a(g157 +g1044 +tp3987 +a(g157 +g973 +tp3988 +a(g157 +g1063 +tp3989 +a(g157 +g984 +tp3990 +a(g157 +g1063 +tp3991 +a(g157 +g1050 +tp3992 +a(g157 +g1052 +tp3993 +a(g157 +g1047 +tp3994 +a(g157 +g1072 +tp3995 +a(g157 +g986 +tp3996 +a(g157 +g1116 +tp3997 +a(g157 +g986 +tp3998 +a(g157 +g988 +tp3999 +a(g157 +g1042 +tp4000 +a(g157 +g1037 +tp4001 +a(g157 +g1052 +tp4002 +a(g157 +g1040 +tp4003 +a(g157 +g1050 +tp4004 +a(g157 +g1050 +tp4005 +a(g157 +g2258 +tp4006 +a(g827 +g1052 +tp4007 +a(g827 +V\u000a +p4008 +tp4009 +a(g827 +g986 +tp4010 +a(g827 +g988 +tp4011 +a(g827 +g1050 +tp4012 +a(g827 +g1044 +tp4013 +a(g827 +g1042 +tp4014 +a(g827 +g1037 +tp4015 +a(g827 +g1037 +tp4016 +a(g827 +g1290 +tp4017 +a(g827 +g1044 +tp4018 +a(g827 +g973 +tp4019 +a(g827 +g1063 +tp4020 +a(g827 +g984 +tp4021 +a(g827 +g1063 +tp4022 +a(g827 +g1050 +tp4023 +a(g827 +g1052 +tp4024 +a(g827 +g1047 +tp4025 +a(g827 +g1072 +tp4026 +a(g827 +g986 +tp4027 +a(g827 +g1116 +tp4028 +a(g827 +g986 +tp4029 +a(g827 +g988 +tp4030 +a(g827 +g1042 +tp4031 +a(g827 +g1037 +tp4032 +a(g827 +g1052 +tp4033 +a(g827 +g986 +tp4034 +a(g827 +g984 +tp4035 +a(g827 +g1116 +tp4036 +a(g827 +g1052 +tp4037 +a(g827 +g1875 +tp4038 +a(g827 +g998 +tp4039 +a(g827 +g2338 +tp4040 +a(g827 +g971 +tp4041 +a(g827 +g988 +tp4042 +a(g827 +g1116 +tp4043 +a(g827 +g1686 +tp4044 +a(g827 +g986 +tp4045 +a(g827 +g1040 +tp4046 +a(g827 +g1047 +tp4047 +a(g827 +g2368 +tp4048 +a(g827 +g968 +tp4049 +a(g827 +g968 +tp4050 +a(g827 +g968 +tp4051 +a(g827 +g968 +tp4052 +a(g827 +V\u005c +p4053 +tp4054 +a(g827 +V\u000a +p4055 +tp4056 +a(g827 +g968 +tp4057 +a(g827 +g968 +tp4058 +a(g157 +g2258 +tp4059 +a(g470 +V${RPM_BUILD_ROOT} +p4060 +tp4061 +a(g786 +V%{_myadminpath} +p4062 +tp4063 +a(g157 +g1052 +tp4064 +a(g157 +g1044 +tp4065 +a(g157 +g973 +tp4066 +a(g157 +g1063 +tp4067 +a(g157 +g984 +tp4068 +a(g157 +g1063 +tp4069 +a(g157 +g1050 +tp4070 +a(g157 +g1052 +tp4071 +a(g157 +g1047 +tp4072 +a(g157 +g1072 +tp4073 +a(g157 +g986 +tp4074 +a(g157 +g1116 +tp4075 +a(g157 +g986 +tp4076 +a(g157 +g988 +tp4077 +a(g157 +g1042 +tp4078 +a(g157 +g1037 +tp4079 +a(g157 +g1052 +tp4080 +a(g157 +g986 +tp4081 +a(g157 +g984 +tp4082 +a(g157 +g1116 +tp4083 +a(g157 +g2258 +tp4084 +a(g827 +g1052 +tp4085 +a(g827 +V\u000a +p4086 +tp4087 +a(g827 +V\u000a +p4088 +tp4089 +a(g13 +V# Create documentation directories.\u000a +p4090 +tp4091 +a(g827 +V\u000a +p4092 +tp4093 +a(g827 +g1054 +tp4094 +a(g827 +VO +p4095 +tp4096 +a(g827 +g1617 +tp4097 +a(g827 +g2844 +tp4098 +a(g827 +g4095 +tp4099 +a(g827 +g4095 +tp4100 +a(g827 +g1430 +tp4101 +a(g827 +g2266 +tp4102 +a(g157 +g2258 +tp4103 +a(g470 +V${RPM_BUILD_ROOT} +p4104 +tp4105 +a(g786 +V%{_docdir} +p4106 +tp4107 +a(g157 +g1052 +tp4108 +a(g764 +V%{name} +p4109 +tp4110 +a(g157 +g1137 +tp4111 +a(g764 +V%{version} +p4112 +tp4113 +a(g157 +g2258 +tp4114 +a(g827 +V\u000a +p4115 +tp4116 +a(g827 +g986 +tp4117 +a(g827 +g988 +tp4118 +a(g827 +g1050 +tp4119 +a(g827 +g1044 +tp4120 +a(g827 +g1042 +tp4121 +a(g827 +g1037 +tp4122 +a(g827 +g1037 +tp4123 +a(g827 +g1290 +tp4124 +a(g827 +g1137 +tp4125 +a(g827 +g982 +tp4126 +a(g827 +g1290 +tp4127 +a(g157 +g2258 +tp4128 +a(g157 +V$ +p4129 +tp4130 +a(g157 +g2338 +tp4131 +a(g157 +g1054 +tp4132 +a(g157 +g4095 +tp4133 +a(g157 +g1617 +tp4134 +a(g157 +g2844 +tp4135 +a(g157 +g4095 +tp4136 +a(g157 +g4095 +tp4137 +a(g157 +g1430 +tp4138 +a(g157 +g2368 +tp4139 +a(g157 +g2258 +tp4140 +a(g827 +V\u000a +p4141 +tp4142 +a(g827 +g986 +tp4143 +a(g827 +g988 +tp4144 +a(g827 +g1050 +tp4145 +a(g827 +g1044 +tp4146 +a(g827 +g1042 +tp4147 +a(g827 +g1037 +tp4148 +a(g827 +g1037 +tp4149 +a(g827 +g1290 +tp4150 +a(g827 +g1137 +tp4151 +a(g827 +g982 +tp4152 +a(g827 +g1290 +tp4153 +a(g157 +g2258 +tp4154 +a(g157 +g4129 +tp4155 +a(g157 +g2338 +tp4156 +a(g157 +g1054 +tp4157 +a(g157 +g4095 +tp4158 +a(g157 +g1617 +tp4159 +a(g157 +g2844 +tp4160 +a(g157 +g4095 +tp4161 +a(g157 +g4095 +tp4162 +a(g157 +g1430 +tp4163 +a(g157 +g2368 +tp4164 +a(g157 +g2258 +tp4165 +a(g827 +g1052 +tp4166 +a(g827 +g2338 +tp4167 +a(g827 +g1037 +tp4168 +a(g827 +g1042 +tp4169 +a(g827 +g988 +tp4170 +a(g827 +g1116 +tp4171 +a(g827 +g1686 +tp4172 +a(g827 +g1050 +tp4173 +a(g827 +g1040 +tp4174 +a(g827 +g1072 +tp4175 +a(g827 +g986 +tp4176 +a(g827 +g971 +tp4177 +a(g827 +g1044 +tp4178 +a(g827 +g1050 +tp4179 +a(g827 +g1686 +tp4180 +a(g827 +g1044 +tp4181 +a(g827 +g1072 +tp4182 +a(g827 +g1042 +tp4183 +a(g827 +g988 +tp4184 +a(g827 +g1050 +tp4185 +a(g827 +g1074 +tp4186 +a(g827 +g1047 +tp4187 +a(g827 +g1072 +tp4188 +a(g827 +g984 +tp4189 +a(g827 +g1042 +tp4190 +a(g827 +g1044 +tp4191 +a(g827 +g986 +tp4192 +a(g827 +g1047 +tp4193 +a(g827 +g988 +tp4194 +a(g827 +g1050 +tp4195 +a(g827 +g2368 +tp4196 +a(g827 +V\u000a +p4197 +tp4198 +a(g827 +V\u000a +p4199 +tp4200 +a(g13 +V# Install documentation files.\u000a +p4201 +tp4202 +a(g827 +V\u000a +p4203 +tp4204 +a(g827 +g986 +tp4205 +a(g827 +g988 +tp4206 +a(g827 +g1050 +tp4207 +a(g827 +g1044 +tp4208 +a(g827 +g1042 +tp4209 +a(g827 +g1037 +tp4210 +a(g827 +g1037 +tp4211 +a(g827 +g1290 +tp4212 +a(g827 +g2844 +tp4213 +a(g827 +g2837 +tp4214 +a(g827 +g1025 +tp4215 +a(g827 +g2837 +tp4216 +a(g827 +g980 +tp4217 +a(g827 +g1306 +tp4218 +a(g827 +g2837 +tp4219 +a(g827 +g1137 +tp4220 +a(g827 +g1054 +tp4221 +a(g827 +g980 +tp4222 +a(g827 +g1430 +tp4223 +a(g827 +g2837 +tp4224 +a(g827 +g1137 +tp4225 +a(g827 +g1875 +tp4226 +a(g827 +g1290 +tp4227 +a(g157 +g2258 +tp4228 +a(g157 +g4129 +tp4229 +a(g157 +g2338 +tp4230 +a(g157 +g1054 +tp4231 +a(g157 +g4095 +tp4232 +a(g157 +g1617 +tp4233 +a(g157 +g2844 +tp4234 +a(g157 +g4095 +tp4235 +a(g157 +g4095 +tp4236 +a(g157 +g1430 +tp4237 +a(g157 +g2368 +tp4238 +a(g157 +g2258 +tp4239 +a(g827 +g1052 +tp4240 +a(g827 +V\u000a +p4241 +tp4242 +a(g827 +g986 +tp4243 +a(g827 +g988 +tp4244 +a(g827 +g1050 +tp4245 +a(g827 +g1044 +tp4246 +a(g827 +g1042 +tp4247 +a(g827 +g1037 +tp4248 +a(g827 +g1037 +tp4249 +a(g827 +g1290 +tp4250 +a(g827 +g1617 +tp4251 +a(g827 +g2844 +tp4252 +a(g827 +g2837 +tp4253 +a(g827 +g1054 +tp4254 +a(g827 +g1067 +tp4255 +a(g827 +g1430 +tp4256 +a(g827 +g1306 +tp4257 +a(g827 +g1290 +tp4258 +a(g827 +g1617 +tp4259 +a(g827 +g973 +tp4260 +a(g827 +g1042 +tp4261 +a(g827 +g988 +tp4262 +a(g827 +g1116 +tp4263 +a(g827 +g1063 +tp4264 +a(g827 +g1025 +tp4265 +a(g827 +g1047 +tp4266 +a(g827 +g1116 +tp4267 +a(g827 +g1290 +tp4268 +a(g827 +g1067 +tp4269 +a(g827 +g2839 +tp4270 +a(g827 +g1306 +tp4271 +a(g827 +g1430 +tp4272 +a(g827 +g980 +tp4273 +a(g827 +g1025 +tp4274 +a(g827 +g1025 +tp4275 +a(g827 +g1290 +tp4276 +a(g827 +g1025 +tp4277 +a(g827 +g1067 +tp4278 +a(g827 +g1617 +tp4279 +a(g827 +g2837 +tp4280 +a(g827 +g2839 +tp4281 +a(g827 +g1306 +tp4282 +a(g827 +g2837 +tp4283 +a(g827 +g1290 +tp4284 +a(g157 +g2258 +tp4285 +a(g157 +g4129 +tp4286 +a(g157 +g2338 +tp4287 +a(g157 +g1054 +tp4288 +a(g157 +g4095 +tp4289 +a(g157 +g1617 +tp4290 +a(g157 +g2844 +tp4291 +a(g157 +g4095 +tp4292 +a(g157 +g4095 +tp4293 +a(g157 +g1430 +tp4294 +a(g157 +g2368 +tp4295 +a(g157 +g2258 +tp4296 +a(g827 +g1052 +tp4297 +a(g827 +V\u000a +p4298 +tp4299 +a(g827 +g986 +tp4300 +a(g827 +g988 +tp4301 +a(g827 +g1050 +tp4302 +a(g827 +g1044 +tp4303 +a(g827 +g1042 +tp4304 +a(g827 +g1037 +tp4305 +a(g827 +g1037 +tp4306 +a(g827 +g1290 +tp4307 +a(g827 +g2844 +tp4308 +a(g827 +g2837 +tp4309 +a(g827 +g980 +tp4310 +a(g827 +g1054 +tp4311 +a(g827 +g976 +tp4312 +a(g827 +g2837 +tp4313 +a(g827 +g1290 +tp4314 +a(g827 +g1430 +tp4315 +a(g827 +g4095 +tp4316 +a(g827 +g1054 +tp4317 +a(g827 +g4095 +tp4318 +a(g827 +g1290 +tp4319 +a(g157 +g2258 +tp4320 +a(g157 +g4129 +tp4321 +a(g157 +g2338 +tp4322 +a(g157 +g1054 +tp4323 +a(g157 +g4095 +tp4324 +a(g157 +g1617 +tp4325 +a(g157 +g2844 +tp4326 +a(g157 +g4095 +tp4327 +a(g157 +g4095 +tp4328 +a(g157 +g1430 +tp4329 +a(g157 +g2368 +tp4330 +a(g157 +g2258 +tp4331 +a(g827 +g1052 +tp4332 +a(g827 +V\u000a +p4333 +tp4334 +a(g827 +g986 +tp4335 +a(g827 +g988 +tp4336 +a(g827 +g1050 +tp4337 +a(g827 +g1044 +tp4338 +a(g827 +g1042 +tp4339 +a(g827 +g1037 +tp4340 +a(g827 +g1037 +tp4341 +a(g827 +g1290 +tp4342 +a(g827 +g1054 +tp4343 +a(g827 +g1047 +tp4344 +a(g827 +g1040 +tp4345 +a(g827 +g1108 +tp4346 +a(g827 +g984 +tp4347 +a(g827 +g1063 +tp4348 +a(g827 +g988 +tp4349 +a(g827 +g1044 +tp4350 +a(g827 +g1042 +tp4351 +a(g827 +g1044 +tp4352 +a(g827 +g986 +tp4353 +a(g827 +g1047 +tp4354 +a(g827 +g988 +tp4355 +a(g827 +g998 +tp4356 +a(g827 +g1875 +tp4357 +a(g827 +g1290 +tp4358 +a(g827 +g982 +tp4359 +a(g827 +g1047 +tp4360 +a(g827 +g1040 +tp4361 +a(g827 +g1050 +tp4362 +a(g827 +g998 +tp4363 +a(g827 +g1040 +tp4364 +a(g827 +g1050 +tp4365 +a(g827 +g1050 +tp4366 +a(g827 +g1290 +tp4367 +a(g157 +g2258 +tp4368 +a(g157 +g4129 +tp4369 +a(g157 +g2338 +tp4370 +a(g157 +g1054 +tp4371 +a(g157 +g4095 +tp4372 +a(g157 +g1617 +tp4373 +a(g157 +g2844 +tp4374 +a(g157 +g4095 +tp4375 +a(g157 +g4095 +tp4376 +a(g157 +g1430 +tp4377 +a(g157 +g2368 +tp4378 +a(g157 +g2258 +tp4379 +a(g827 +g1052 +tp4380 +a(g827 +V\u000a +p4381 +tp4382 +a(g827 +g986 +tp4383 +a(g827 +g988 +tp4384 +a(g827 +g1050 +tp4385 +a(g827 +g1044 +tp4386 +a(g827 +g1042 +tp4387 +a(g827 +g1037 +tp4388 +a(g827 +g1037 +tp4389 +a(g827 +g1290 +tp4390 +a(g827 +g1044 +tp4391 +a(g827 +g1072 +tp4392 +a(g827 +g1042 +tp4393 +a(g827 +g988 +tp4394 +a(g827 +g1050 +tp4395 +a(g827 +g1037 +tp4396 +a(g827 +g1042 +tp4397 +a(g827 +g1044 +tp4398 +a(g827 +g1047 +tp4399 +a(g827 +g1072 +tp4400 +a(g827 +g1050 +tp4401 +a(g827 +g998 +tp4402 +a(g827 +g973 +tp4403 +a(g827 +g1044 +tp4404 +a(g827 +g984 +tp4405 +a(g827 +g1037 +tp4406 +a(g827 +g1290 +tp4407 +a(g157 +g2258 +tp4408 +a(g157 +g4129 +tp4409 +a(g157 +g2338 +tp4410 +a(g157 +g1054 +tp4411 +a(g157 +g4095 +tp4412 +a(g157 +g1617 +tp4413 +a(g157 +g2844 +tp4414 +a(g157 +g4095 +tp4415 +a(g157 +g4095 +tp4416 +a(g157 +g1430 +tp4417 +a(g157 +g2368 +tp4418 +a(g157 +g2258 +tp4419 +a(g827 +g1052 +tp4420 +a(g827 +V\u000a +p4421 +tp4422 +a(g827 +g986 +tp4423 +a(g827 +g988 +tp4424 +a(g827 +g1050 +tp4425 +a(g827 +g1044 +tp4426 +a(g827 +g1042 +tp4427 +a(g827 +g1037 +tp4428 +a(g827 +g1037 +tp4429 +a(g827 +g1290 +tp4430 +a(g827 +g1037 +tp4431 +a(g827 +g1042 +tp4432 +a(g827 +g988 +tp4433 +a(g827 +g1116 +tp4434 +a(g827 +g1052 +tp4435 +a(g827 +g1875 +tp4436 +a(g827 +g998 +tp4437 +a(g827 +g1050 +tp4438 +a(g827 +g973 +tp4439 +a(g827 +g1290 +tp4440 +a(g157 +g2258 +tp4441 +a(g157 +g4129 +tp4442 +a(g157 +g2338 +tp4443 +a(g157 +g1054 +tp4444 +a(g157 +g4095 +tp4445 +a(g157 +g1617 +tp4446 +a(g157 +g2844 +tp4447 +a(g157 +g4095 +tp4448 +a(g157 +g4095 +tp4449 +a(g157 +g1430 +tp4450 +a(g157 +g2368 +tp4451 +a(g157 +g2258 +tp4452 +a(g827 +g1052 +tp4453 +a(g827 +g1037 +tp4454 +a(g827 +g1042 +tp4455 +a(g827 +g988 +tp4456 +a(g827 +g1116 +tp4457 +a(g827 +g1052 +tp4458 +a(g827 +V\u000a +p4459 +tp4460 +a(g827 +g986 +tp4461 +a(g827 +g988 +tp4462 +a(g827 +g1050 +tp4463 +a(g827 +g1044 +tp4464 +a(g827 +g1042 +tp4465 +a(g827 +g1037 +tp4466 +a(g827 +g1037 +tp4467 +a(g827 +g1290 +tp4468 +a(g827 +g1050 +tp4469 +a(g827 +g1040 +tp4470 +a(g827 +g1072 +tp4471 +a(g827 +g986 +tp4472 +a(g827 +g971 +tp4473 +a(g827 +g1044 +tp4474 +a(g827 +g1050 +tp4475 +a(g827 +g1052 +tp4476 +a(g827 +g1875 +tp4477 +a(g827 +g1290 +tp4478 +a(g157 +g2258 +tp4479 +a(g157 +g4129 +tp4480 +a(g157 +g2338 +tp4481 +a(g157 +g1054 +tp4482 +a(g157 +g4095 +tp4483 +a(g157 +g1617 +tp4484 +a(g157 +g2844 +tp4485 +a(g157 +g4095 +tp4486 +a(g157 +g4095 +tp4487 +a(g157 +g1430 +tp4488 +a(g157 +g2368 +tp4489 +a(g157 +g2258 +tp4490 +a(g827 +g1052 +tp4491 +a(g827 +g1050 +tp4492 +a(g827 +g1040 +tp4493 +a(g827 +g1072 +tp4494 +a(g827 +g986 +tp4495 +a(g827 +g971 +tp4496 +a(g827 +g1044 +tp4497 +a(g827 +g1050 +tp4498 +a(g827 +g1052 +tp4499 +a(g827 +V\u000a +p4500 +tp4501 +a(g827 +g986 +tp4502 +a(g827 +g988 +tp4503 +a(g827 +g1050 +tp4504 +a(g827 +g1044 +tp4505 +a(g827 +g1042 +tp4506 +a(g827 +g1037 +tp4507 +a(g827 +g1037 +tp4508 +a(g827 +g1290 +tp4509 +a(g827 +g1037 +tp4510 +a(g827 +g986 +tp4511 +a(g827 +g1059 +tp4512 +a(g827 +g1072 +tp4513 +a(g827 +g1042 +tp4514 +a(g827 +g1072 +tp4515 +a(g827 +g986 +tp4516 +a(g827 +g1063 +tp4517 +a(g827 +g1050 +tp4518 +a(g827 +g1052 +tp4519 +a(g827 +g1044 +tp4520 +a(g827 +g1040 +tp4521 +a(g827 +g971 +tp4522 +a(g827 +g982 +tp4523 +a(g827 +g1074 +tp4524 +a(g827 +g1052 +tp4525 +a(g827 +g2844 +tp4526 +a(g827 +g2837 +tp4527 +a(g827 +g980 +tp4528 +a(g827 +g1054 +tp4529 +a(g827 +g976 +tp4530 +a(g827 +g2837 +tp4531 +a(g827 +g1290 +tp4532 +a(g157 +g2258 +tp4533 +a(g157 +g4129 +tp4534 +a(g157 +g2338 +tp4535 +a(g157 +g1054 +tp4536 +a(g157 +g4095 +tp4537 +a(g157 +g1617 +tp4538 +a(g157 +g2844 +tp4539 +a(g157 +g4095 +tp4540 +a(g157 +g4095 +tp4541 +a(g157 +g1430 +tp4542 +a(g157 +g2368 +tp4543 +a(g157 +g2258 +tp4544 +a(g827 +g1052 +tp4545 +a(g827 +g2844 +tp4546 +a(g827 +g2837 +tp4547 +a(g827 +g980 +tp4548 +a(g827 +g1054 +tp4549 +a(g827 +g976 +tp4550 +a(g827 +g2837 +tp4551 +a(g827 +g998 +tp4552 +a(g827 +g1044 +tp4553 +a(g827 +g1040 +tp4554 +a(g827 +g971 +tp4555 +a(g827 +g982 +tp4556 +a(g827 +g1074 +tp4557 +a(g827 +V\u000a +p4558 +tp4559 +a(g827 +g986 +tp4560 +a(g827 +g988 +tp4561 +a(g827 +g1050 +tp4562 +a(g827 +g1044 +tp4563 +a(g827 +g1042 +tp4564 +a(g827 +g1037 +tp4565 +a(g827 +g1037 +tp4566 +a(g827 +g1290 +tp4567 +a(g827 +g1037 +tp4568 +a(g827 +g986 +tp4569 +a(g827 +g1059 +tp4570 +a(g827 +g1072 +tp4571 +a(g827 +g1042 +tp4572 +a(g827 +g1072 +tp4573 +a(g827 +g986 +tp4574 +a(g827 +g1063 +tp4575 +a(g827 +g1050 +tp4576 +a(g827 +g1052 +tp4577 +a(g827 +g986 +tp4578 +a(g827 +g984 +tp4579 +a(g827 +g971 +tp4580 +a(g827 +g1047 +tp4581 +a(g827 +g1072 +tp4582 +a(g827 +g1044 +tp4583 +a(g827 +g1052 +tp4584 +a(g827 +g2844 +tp4585 +a(g827 +g2837 +tp4586 +a(g827 +g980 +tp4587 +a(g827 +g1054 +tp4588 +a(g827 +g976 +tp4589 +a(g827 +g2837 +tp4590 +a(g827 +g1290 +tp4591 +a(g157 +g2258 +tp4592 +a(g157 +g4129 +tp4593 +a(g157 +g2338 +tp4594 +a(g157 +g1054 +tp4595 +a(g157 +g4095 +tp4596 +a(g157 +g1617 +tp4597 +a(g157 +g2844 +tp4598 +a(g157 +g4095 +tp4599 +a(g157 +g4095 +tp4600 +a(g157 +g1430 +tp4601 +a(g157 +g2368 +tp4602 +a(g157 +g2258 +tp4603 +a(g827 +g1052 +tp4604 +a(g827 +g2844 +tp4605 +a(g827 +g2837 +tp4606 +a(g827 +g980 +tp4607 +a(g827 +g1054 +tp4608 +a(g827 +g976 +tp4609 +a(g827 +g2837 +tp4610 +a(g827 +g998 +tp4611 +a(g827 +g986 +tp4612 +a(g827 +g984 +tp4613 +a(g827 +g971 +tp4614 +a(g827 +g1047 +tp4615 +a(g827 +g1072 +tp4616 +a(g827 +g1044 +tp4617 +a(g827 +V\u000a +p4618 +tp4619 +a(g827 +g986 +tp4620 +a(g827 +g988 +tp4621 +a(g827 +g1050 +tp4622 +a(g827 +g1044 +tp4623 +a(g827 +g1042 +tp4624 +a(g827 +g1037 +tp4625 +a(g827 +g1037 +tp4626 +a(g827 +g1290 +tp4627 +a(g827 +g1037 +tp4628 +a(g827 +g986 +tp4629 +a(g827 +g1059 +tp4630 +a(g827 +g1072 +tp4631 +a(g827 +g1042 +tp4632 +a(g827 +g1072 +tp4633 +a(g827 +g986 +tp4634 +a(g827 +g1063 +tp4635 +a(g827 +g1050 +tp4636 +a(g827 +g1052 +tp4637 +a(g827 +g1044 +tp4638 +a(g827 +g1072 +tp4639 +a(g827 +g1042 +tp4640 +a(g827 +g988 +tp4641 +a(g827 +g1050 +tp4642 +a(g827 +g1074 +tp4643 +a(g827 +g1047 +tp4644 +a(g827 +g1072 +tp4645 +a(g827 +g984 +tp4646 +a(g827 +g1042 +tp4647 +a(g827 +g1044 +tp4648 +a(g827 +g986 +tp4649 +a(g827 +g1047 +tp4650 +a(g827 +g988 +tp4651 +a(g827 +g1050 +tp4652 +a(g827 +g1052 +tp4653 +a(g827 +g2844 +tp4654 +a(g827 +g2837 +tp4655 +a(g827 +g980 +tp4656 +a(g827 +g1054 +tp4657 +a(g827 +g976 +tp4658 +a(g827 +g2837 +tp4659 +a(g827 +g1290 +tp4660 +a(g157 +g2258 +tp4661 +a(g157 +g4129 +tp4662 +a(g157 +g2338 +tp4663 +a(g157 +g1054 +tp4664 +a(g157 +g4095 +tp4665 +a(g157 +g1617 +tp4666 +a(g157 +g2844 +tp4667 +a(g157 +g4095 +tp4668 +a(g157 +g4095 +tp4669 +a(g157 +g1430 +tp4670 +a(g157 +g2368 +tp4671 +a(g157 +g2258 +tp4672 +a(g827 +g1052 +tp4673 +a(g827 +g1044 +tp4674 +a(g827 +g1072 +tp4675 +a(g827 +g1042 +tp4676 +a(g827 +g988 +tp4677 +a(g827 +g1050 +tp4678 +a(g827 +g1074 +tp4679 +a(g827 +g1047 +tp4680 +a(g827 +g1072 +tp4681 +a(g827 +g984 +tp4682 +a(g827 +g1042 +tp4683 +a(g827 +g1044 +tp4684 +a(g827 +g986 +tp4685 +a(g827 +g1047 +tp4686 +a(g827 +g988 +tp4687 +a(g827 +g1050 +tp4688 +a(g827 +g1052 +tp4689 +a(g827 +V\u000a +p4690 +tp4691 +a(g827 +g986 +tp4692 +a(g827 +g988 +tp4693 +a(g827 +g1050 +tp4694 +a(g827 +g1044 +tp4695 +a(g827 +g1042 +tp4696 +a(g827 +g1037 +tp4697 +a(g827 +g1037 +tp4698 +a(g827 +g1290 +tp4699 +a(g827 +g1037 +tp4700 +a(g827 +g986 +tp4701 +a(g827 +g1059 +tp4702 +a(g827 +g1072 +tp4703 +a(g827 +g1042 +tp4704 +a(g827 +g1072 +tp4705 +a(g827 +g986 +tp4706 +a(g827 +g1063 +tp4707 +a(g827 +g1050 +tp4708 +a(g827 +g1052 +tp4709 +a(g827 +g1044 +tp4710 +a(g827 +g1072 +tp4711 +a(g827 +g1042 +tp4712 +a(g827 +g988 +tp4713 +a(g827 +g1050 +tp4714 +a(g827 +g1074 +tp4715 +a(g827 +g1047 +tp4716 +a(g827 +g1072 +tp4717 +a(g827 +g984 +tp4718 +a(g827 +g1042 +tp4719 +a(g827 +g1044 +tp4720 +a(g827 +g986 +tp4721 +a(g827 +g1047 +tp4722 +a(g827 +g988 +tp4723 +a(g827 +g1050 +tp4724 +a(g827 +g1052 +tp4725 +a(g827 +g1430 +tp4726 +a(g827 +g2837 +tp4727 +a(g827 +g976 +tp4728 +a(g827 +g1023 +tp4729 +a(g827 +g1025 +tp4730 +a(g827 +g980 +tp4731 +a(g827 +g1430 +tp4732 +a(g827 +g2837 +tp4733 +a(g827 +g1875 +tp4734 +a(g827 +g1290 +tp4735 +a(g157 +g2258 +tp4736 +a(g157 +g4129 +tp4737 +a(g157 +g2338 +tp4738 +a(g157 +g1054 +tp4739 +a(g157 +g4095 +tp4740 +a(g157 +g1617 +tp4741 +a(g157 +g2844 +tp4742 +a(g157 +g4095 +tp4743 +a(g157 +g4095 +tp4744 +a(g157 +g1430 +tp4745 +a(g157 +g2368 +tp4746 +a(g157 +g2258 +tp4747 +a(g827 +g1052 +tp4748 +a(g827 +g1044 +tp4749 +a(g827 +g1072 +tp4750 +a(g827 +g1042 +tp4751 +a(g827 +g988 +tp4752 +a(g827 +g1050 +tp4753 +a(g827 +g1074 +tp4754 +a(g827 +g1047 +tp4755 +a(g827 +g1072 +tp4756 +a(g827 +g984 +tp4757 +a(g827 +g1042 +tp4758 +a(g827 +g1044 +tp4759 +a(g827 +g986 +tp4760 +a(g827 +g1047 +tp4761 +a(g827 +g988 +tp4762 +a(g827 +g1050 +tp4763 +a(g827 +g1052 +tp4764 +a(g827 +V\u000a +p4765 +tp4766 +a(g827 +g986 +tp4767 +a(g827 +g988 +tp4768 +a(g827 +g1050 +tp4769 +a(g827 +g1044 +tp4770 +a(g827 +g1042 +tp4771 +a(g827 +g1037 +tp4772 +a(g827 +g1037 +tp4773 +a(g827 +g1290 +tp4774 +a(g827 +g1037 +tp4775 +a(g827 +g986 +tp4776 +a(g827 +g1059 +tp4777 +a(g827 +g1072 +tp4778 +a(g827 +g1042 +tp4779 +a(g827 +g1072 +tp4780 +a(g827 +g986 +tp4781 +a(g827 +g1063 +tp4782 +a(g827 +g1050 +tp4783 +a(g827 +g1052 +tp4784 +a(g827 +g1044 +tp4785 +a(g827 +g1072 +tp4786 +a(g827 +g1042 +tp4787 +a(g827 +g988 +tp4788 +a(g827 +g1050 +tp4789 +a(g827 +g1074 +tp4790 +a(g827 +g1047 +tp4791 +a(g827 +g1072 +tp4792 +a(g827 +g984 +tp4793 +a(g827 +g1042 +tp4794 +a(g827 +g1044 +tp4795 +a(g827 +g986 +tp4796 +a(g827 +g1047 +tp4797 +a(g827 +g988 +tp4798 +a(g827 +g1050 +tp4799 +a(g827 +g1052 +tp4800 +a(g827 +g1875 +tp4801 +a(g827 +g998 +tp4802 +a(g827 +g1050 +tp4803 +a(g827 +g973 +tp4804 +a(g827 +g1290 +tp4805 +a(g157 +g2258 +tp4806 +a(g157 +g4129 +tp4807 +a(g157 +g2338 +tp4808 +a(g157 +g1054 +tp4809 +a(g157 +g4095 +tp4810 +a(g157 +g1617 +tp4811 +a(g157 +g2844 +tp4812 +a(g157 +g4095 +tp4813 +a(g157 +g4095 +tp4814 +a(g157 +g1430 +tp4815 +a(g157 +g2368 +tp4816 +a(g157 +g2258 +tp4817 +a(g827 +g1052 +tp4818 +a(g827 +g1044 +tp4819 +a(g827 +g1072 +tp4820 +a(g827 +g1042 +tp4821 +a(g827 +g988 +tp4822 +a(g827 +g1050 +tp4823 +a(g827 +g1074 +tp4824 +a(g827 +g1047 +tp4825 +a(g827 +g1072 +tp4826 +a(g827 +g984 +tp4827 +a(g827 +g1042 +tp4828 +a(g827 +g1044 +tp4829 +a(g827 +g986 +tp4830 +a(g827 +g1047 +tp4831 +a(g827 +g988 +tp4832 +a(g827 +g1050 +tp4833 +a(g827 +g1052 +tp4834 +a(g827 +V\u000a +p4835 +tp4836 +a(g827 +V\u000a +p4837 +tp4838 +a(g13 +V# Install configuration file for Apache.\u000a +p4839 +tp4840 +a(g827 +V\u000a +p4841 +tp4842 +a(g827 +g986 +tp4843 +a(g827 +g988 +tp4844 +a(g827 +g1050 +tp4845 +a(g827 +g1044 +tp4846 +a(g827 +g1042 +tp4847 +a(g827 +g1037 +tp4848 +a(g827 +g1037 +tp4849 +a(g827 +g1290 +tp4850 +a(g827 +g1137 +tp4851 +a(g827 +g982 +tp4852 +a(g827 +g1290 +tp4853 +a(g157 +g2258 +tp4854 +a(g470 +V${RPM_BUILD_ROOT} +p4855 +tp4856 +a(g786 +V%{_sysconfdir} +p4857 +tp4858 +a(g157 +g1052 +tp4859 +a(g157 +g973 +tp4860 +a(g157 +g1044 +tp4861 +a(g157 +g1044 +tp4862 +a(g157 +g971 +tp4863 +a(g157 +g982 +tp4864 +a(g157 +g1052 +tp4865 +a(g157 +g1040 +tp4866 +a(g157 +g1047 +tp4867 +a(g157 +g988 +tp4868 +a(g157 +g1074 +tp4869 +a(g157 +g998 +tp4870 +a(g157 +g982 +tp4871 +a(g157 +g2258 +tp4872 +a(g827 +V\u000a +p4873 +tp4874 +a(g827 +g986 +tp4875 +a(g827 +g988 +tp4876 +a(g827 +g1050 +tp4877 +a(g827 +g1044 +tp4878 +a(g827 +g1042 +tp4879 +a(g827 +g1037 +tp4880 +a(g827 +g1037 +tp4881 +a(g827 +g1290 +tp4882 +a(g157 +g2258 +tp4883 +a(g764 +V%{SOURCE1} +p4884 +tp4885 +a(g157 +g2258 +tp4886 +a(g827 +g968 +tp4887 +a(g827 +g968 +tp4888 +a(g827 +g968 +tp4889 +a(g827 +g968 +tp4890 +a(g827 +g968 +tp4891 +a(g827 +g968 +tp4892 +a(g827 +V\u005c +p4893 +tp4894 +a(g827 +V\u000a +p4895 +tp4896 +a(g827 +g968 +tp4897 +a(g827 +g968 +tp4898 +a(g157 +g2258 +tp4899 +a(g470 +V${RPM_BUILD_ROOT} +p4900 +tp4901 +a(g786 +V%{_sysconfdir} +p4902 +tp4903 +a(g157 +g1052 +tp4904 +a(g157 +g973 +tp4905 +a(g157 +g1044 +tp4906 +a(g157 +g1044 +tp4907 +a(g157 +g971 +tp4908 +a(g157 +g982 +tp4909 +a(g157 +g1052 +tp4910 +a(g157 +g1040 +tp4911 +a(g157 +g1047 +tp4912 +a(g157 +g988 +tp4913 +a(g157 +g1074 +tp4914 +a(g157 +g998 +tp4915 +a(g157 +g982 +tp4916 +a(g157 +g1052 +tp4917 +a(g157 +g971 +tp4918 +a(g157 +g973 +tp4919 +a(g157 +g971 +tp4920 +a(g157 +g976 +tp4921 +a(g157 +g978 +tp4922 +a(g157 +g980 +tp4923 +a(g157 +g982 +tp4924 +a(g157 +g984 +tp4925 +a(g157 +g986 +tp4926 +a(g157 +g988 +tp4927 +a(g157 +g998 +tp4928 +a(g157 +g1040 +tp4929 +a(g157 +g1047 +tp4930 +a(g157 +g988 +tp4931 +a(g157 +g1074 +tp4932 +a(g157 +g2258 +tp4933 +a(g827 +V\u000a +p4934 +tp4935 +a(g827 +V\u000a +p4936 +tp4937 +a(g13 +V# Generate non-configuration file list.\u000a +p4938 +tp4939 +a(g827 +V\u000a +p4940 +tp4941 +a(g827 +g1378 +tp4942 +a(g827 +g1040 +tp4943 +a(g827 +g982 +tp4944 +a(g827 +g1290 +tp4945 +a(g157 +g2258 +tp4946 +a(g470 +V${RPM_BUILD_ROOT} +p4947 +tp4948 +a(g157 +g2258 +tp4949 +a(g827 +V; +p4950 +tp4951 +a(g827 +g1290 +tp4952 +a(g827 +g1037 +tp4953 +a(g827 +g1050 +tp4954 +a(g827 +g1290 +tp4955 +a(g827 +g1137 +tp4956 +a(g827 +g982 +tp4957 +a(g827 +g1290 +tp4958 +a(g827 +g998 +tp4959 +a(g157 +g2258 +tp4960 +a(g786 +V%{_myadminpath} +p4961 +tp4962 +a(g157 +g2258 +tp4963 +a(g827 +g1052 +tp4964 +a(g827 +g1875 +tp4965 +a(g827 +g1398 +tp4966 +a(g827 +g1290 +tp4967 +a(g827 +V| +p4968 +tp4969 +a(g827 +V\u000a +p4970 +tp4971 +a(g827 +g968 +tp4972 +a(g827 +g1050 +tp4973 +a(g827 +g1063 +tp4974 +a(g827 +g982 +tp4975 +a(g827 +g1290 +tp4976 +a(g827 +g1137 +tp4977 +a(g827 +g1063 +tp4978 +a(g827 +g1290 +tp4979 +a(g230 +V'/\u005c/config\u005c.inc\u005c.php$/d' +p4980 +tp4981 +a(g827 +g1290 +tp4982 +a(g827 +g1137 +tp4983 +a(g827 +g1063 +tp4984 +a(g827 +g1290 +tp4985 +a(g230 +V's/^.//' +p4986 +tp4987 +a(g827 +g1290 +tp4988 +a(g827 +V> +p4989 +tp4990 +a(g827 +g1290 +tp4991 +a(g827 +g1074 +tp4992 +a(g827 +g986 +tp4993 +a(g827 +g1037 +tp4994 +a(g827 +g1063 +tp4995 +a(g827 +g1050 +tp4996 +a(g827 +g998 +tp4997 +a(g827 +g1037 +tp4998 +a(g827 +g986 +tp4999 +a(g827 +g1050 +tp5000 +a(g827 +g1044 +tp5001 +a(g827 +V\u000a +p5002 +tp5003 +a(g827 +g968 +tp5004 +a(g827 +V\u000a +p5005 +tp5006 +a(g827 +V\u000a +p5007 +tp5008 +a(g827 +V\u000a +p5009 +tp5010 +a(g496 +V%clean +p5011 +tp5012 +a(g827 +V\u000a +p5013 +tp5014 +a(g827 +g2255 +tp5015 +a(g827 +g1290 +tp5016 +a(g157 +g2258 +tp5017 +a(g470 +V${RPM_BUILD_ROOT} +p5018 +tp5019 +a(g157 +g2258 +tp5020 +a(g827 +g1290 +tp5021 +a(g827 +g2264 +tp5022 +a(g827 +g2266 +tp5023 +a(g827 +g1290 +tp5024 +a(g157 +g2258 +tp5025 +a(g157 +g1052 +tp5026 +a(g157 +g2258 +tp5027 +a(g827 +g1290 +tp5028 +a(g827 +g2273 +tp5029 +a(g827 +g1290 +tp5030 +a(g827 +g2276 +tp5031 +a(g827 +g2276 +tp5032 +a(g827 +g1290 +tp5033 +a(g827 +g2255 +tp5034 +a(g827 +g1290 +tp5035 +a(g827 +g1137 +tp5036 +a(g827 +g982 +tp5037 +a(g827 +g1290 +tp5038 +a(g157 +g2258 +tp5039 +a(g470 +V${RPM_BUILD_ROOT} +p5040 +tp5041 +a(g157 +g2258 +tp5042 +a(g827 +g1290 +tp5043 +a(g827 +g2273 +tp5044 +a(g827 +g1290 +tp5045 +a(g827 +g2276 +tp5046 +a(g827 +g2276 +tp5047 +a(g827 +g968 +tp5048 +a(g827 +V\u005c +p5049 +tp5050 +a(g827 +V\u000a +p5051 +tp5052 +a(g827 +g968 +tp5053 +a(g827 +g968 +tp5054 +a(g827 +g1072 +tp5055 +a(g827 +g984 +tp5056 +a(g827 +g1290 +tp5057 +a(g827 +g1137 +tp5058 +a(g827 +g1072 +tp5059 +a(g827 +g1074 +tp5060 +a(g827 +g1290 +tp5061 +a(g157 +g2258 +tp5062 +a(g470 +V${RPM_BUILD_ROOT} +p5063 +tp5064 +a(g157 +g2258 +tp5065 +a(g827 +V\u000a +p5066 +tp5067 +a(g827 +V\u000a +p5068 +tp5069 +a(g827 +V\u000a +p5070 +tp5071 +a(g496 +V%files +p5072 +tp5073 +a(g827 +V -f files.list +p5074 +tp5075 +a(g827 +V\u000a +p5076 +tp5077 +a(g745 +V%defattr +p5078 +tp5079 +a(g827 +g1378 +tp5080 +a(g827 +V6 +p5081 +tp5082 +a(g827 +V4 +p5083 +tp5084 +a(g827 +g5083 +tp5085 +a(g827 +g1686 +tp5086 +a(g827 +g1290 +tp5087 +a(g827 +g1072 +tp5088 +a(g827 +g1047 +tp5089 +a(g827 +g1047 +tp5090 +a(g827 +g1044 +tp5091 +a(g827 +g1686 +tp5092 +a(g827 +g1290 +tp5093 +a(g827 +g1072 +tp5094 +a(g827 +g1047 +tp5095 +a(g827 +g1047 +tp5096 +a(g827 +g1044 +tp5097 +a(g827 +g1686 +tp5098 +a(g827 +g1290 +tp5099 +a(g827 +V7 +p5100 +tp5101 +a(g827 +V5 +p5102 +tp5103 +a(g827 +g5102 +tp5104 +a(g827 +g1398 +tp5105 +a(g827 +V\u000a +p5106 +tp5107 +a(g745 +V%doc +p5108 +tp5109 +a(g827 +g1290 +tp5110 +a(g786 +V%{_docdir} +p5111 +tp5112 +a(g827 +g1052 +tp5113 +a(g764 +V%{name} +p5114 +tp5115 +a(g827 +g1137 +tp5116 +a(g764 +V%{version} +p5117 +tp5118 +a(g827 +V\u000a +p5119 +tp5120 +a(g745 +V%dir +p5121 +tp5122 +a(g827 +g1290 +tp5123 +a(g786 +V%{_myadminpath} +p5124 +tp5125 +a(g827 +V\u000a +p5126 +tp5127 +a(g745 +V%attr +p5128 +tp5129 +a(g827 +g1378 +tp5130 +a(g827 +g5081 +tp5131 +a(g827 +g5083 +tp5132 +a(g827 +V0 +p5133 +tp5134 +a(g827 +g1686 +tp5135 +a(g827 +g1072 +tp5136 +a(g827 +g1047 +tp5137 +a(g827 +g1047 +tp5138 +a(g827 +g1044 +tp5139 +a(g827 +g1686 +tp5140 +a(g827 +g1042 +tp5141 +a(g827 +g971 +tp5142 +a(g827 +g1042 +tp5143 +a(g827 +g1040 +tp5144 +a(g827 +g973 +tp5145 +a(g827 +g1063 +tp5146 +a(g827 +g1398 +tp5147 +a(g827 +g1290 +tp5148 +a(g745 +V%config +p5149 +tp5150 +a(g827 +g1378 +tp5151 +a(g827 +g988 +tp5152 +a(g827 +g1047 +tp5153 +a(g827 +g1072 +tp5154 +a(g827 +g1063 +tp5155 +a(g827 +g971 +tp5156 +a(g827 +g1037 +tp5157 +a(g827 +g1042 +tp5158 +a(g827 +g1040 +tp5159 +a(g827 +g1063 +tp5160 +a(g827 +g1398 +tp5161 +a(g827 +g1290 +tp5162 +a(g745 +V%verify +p5163 +tp5164 +a(g827 +g1378 +tp5165 +a(g827 +g988 +tp5166 +a(g827 +g1047 +tp5167 +a(g827 +g1044 +tp5168 +a(g827 +g1290 +tp5169 +a(g827 +g1050 +tp5170 +a(g827 +g986 +tp5171 +a(g827 +g1150 +tp5172 +a(g827 +g1063 +tp5173 +a(g827 +g1290 +tp5174 +a(g827 +g984 +tp5175 +a(g827 +g1044 +tp5176 +a(g827 +g986 +tp5177 +a(g827 +g984 +tp5178 +a(g827 +g1063 +tp5179 +a(g827 +g1290 +tp5180 +a(g827 +g984 +tp5181 +a(g827 +g982 +tp5182 +a(g827 +g5102 +tp5183 +a(g827 +g1398 +tp5184 +a(g827 +g1290 +tp5185 +a(g786 +V%{_myadminpath} +p5186 +tp5187 +a(g827 +g1052 +tp5188 +a(g827 +g1040 +tp5189 +a(g827 +g1047 +tp5190 +a(g827 +g988 +tp5191 +a(g827 +g1074 +tp5192 +a(g827 +g986 +tp5193 +a(g827 +g1116 +tp5194 +a(g827 +g998 +tp5195 +a(g827 +g986 +tp5196 +a(g827 +g988 +tp5197 +a(g827 +g1040 +tp5198 +a(g827 +g998 +tp5199 +a(g827 +g971 +tp5200 +a(g827 +g973 +tp5201 +a(g827 +g971 +tp5202 +a(g827 +V\u000a +p5203 +tp5204 +a(g745 +V%config +p5205 +tp5206 +a(g827 +g1378 +tp5207 +a(g827 +g988 +tp5208 +a(g827 +g1047 +tp5209 +a(g827 +g1072 +tp5210 +a(g827 +g1063 +tp5211 +a(g827 +g971 +tp5212 +a(g827 +g1037 +tp5213 +a(g827 +g1042 +tp5214 +a(g827 +g1040 +tp5215 +a(g827 +g1063 +tp5216 +a(g827 +g1398 +tp5217 +a(g827 +g1290 +tp5218 +a(g745 +V%verify +p5219 +tp5220 +a(g827 +g1378 +tp5221 +a(g827 +g988 +tp5222 +a(g827 +g1047 +tp5223 +a(g827 +g1044 +tp5224 +a(g827 +g1290 +tp5225 +a(g827 +g1050 +tp5226 +a(g827 +g986 +tp5227 +a(g827 +g1150 +tp5228 +a(g827 +g1063 +tp5229 +a(g827 +g1290 +tp5230 +a(g827 +g984 +tp5231 +a(g827 +g1044 +tp5232 +a(g827 +g986 +tp5233 +a(g827 +g984 +tp5234 +a(g827 +g1063 +tp5235 +a(g827 +g1290 +tp5236 +a(g827 +g984 +tp5237 +a(g827 +g982 +tp5238 +a(g827 +g5102 +tp5239 +a(g827 +g1398 +tp5240 +a(g827 +g1290 +tp5241 +a(g786 +V%{_sysconfdir} +p5242 +tp5243 +a(g827 +g1052 +tp5244 +a(g827 +g973 +tp5245 +a(g827 +g1044 +tp5246 +a(g827 +g1044 +tp5247 +a(g827 +g971 +tp5248 +a(g827 +g982 +tp5249 +a(g827 +g1052 +tp5250 +a(g827 +g1040 +tp5251 +a(g827 +g1047 +tp5252 +a(g827 +g988 +tp5253 +a(g827 +g1074 +tp5254 +a(g827 +g998 +tp5255 +a(g827 +g982 +tp5256 +a(g827 +g1052 +tp5257 +a(g827 +g1875 +tp5258 +a(g827 +V\u000a +p5259 +tp5260 +a(g827 +V\u000a +p5261 +tp5262 +a(g827 +V\u000a +p5263 +tp5264 +a(g496 +V%changelog +p5265 +tp5266 +a(g827 +V\u000a +p5267 +tp5268 +a(g338 +V* Thu Feb 23 2006 Patrick Monnerat \u000a +p5269 +tp5270 +a(g827 +g1137 +tp5271 +a(g827 +g1290 +tp5272 +a(g827 +g1941 +tp5273 +a(g827 +g1063 +tp5274 +a(g827 +g1072 +tp5275 +a(g827 +g1050 +tp5276 +a(g827 +g986 +tp5277 +a(g827 +g1047 +tp5278 +a(g827 +g988 +tp5279 +a(g827 +g1290 +tp5280 +a(g827 +g1152 +tp5281 +a(g827 +g998 +tp5282 +a(g827 +g2188 +tp5283 +a(g827 +g998 +tp5284 +a(g827 +g5133 +tp5285 +a(g827 +g1137 +tp5286 +a(g827 +g1072 +tp5287 +a(g827 +g1040 +tp5288 +a(g827 +g1000 +tp5289 +a(g827 +g998 +tp5290 +a(g827 +g1000 +tp5291 +a(g827 +g998 +tp5292 +a(g827 +V\u000a +p5293 +tp5294 +a(g827 +V\u000a +p5295 +tp5296 +a(g338 +V* Thu Dec 22 2005 Patrick Monnerat \u000a +p5297 +tp5298 +a(g827 +g1137 +tp5299 +a(g827 +g1290 +tp5300 +a(g827 +g1023 +tp5301 +a(g827 +g1042 +tp5302 +a(g827 +g1044 +tp5303 +a(g827 +g973 +tp5304 +a(g827 +g1290 +tp5305 +a(g827 +g2258 +tp5306 +a(g827 +g988 +tp5307 +a(g827 +g1108 +tp5308 +a(g827 +g1037 +tp5309 +a(g827 +g1037 +tp5310 +a(g827 +g971 +tp5311 +a(g827 +g1097 +tp5312 +a(g827 +g2258 +tp5313 +a(g827 +g1290 +tp5314 +a(g827 +g1044 +tp5315 +a(g827 +g1047 +tp5316 +a(g827 +g1290 +tp5317 +a(g827 +g1042 +tp5318 +a(g827 +g1037 +tp5319 +a(g827 +g1037 +tp5320 +a(g827 +g1047 +tp5321 +a(g827 +g1097 +tp5322 +a(g827 +g1290 +tp5323 +a(g827 +g1044 +tp5324 +a(g827 +g1072 +tp5325 +a(g827 +g978 +tp5326 +a(g827 +g986 +tp5327 +a(g827 +g988 +tp5328 +a(g827 +g1116 +tp5329 +a(g827 +g1290 +tp5330 +a(g827 +g1040 +tp5331 +a(g827 +g1047 +tp5332 +a(g827 +g988 +tp5333 +a(g827 +g988 +tp5334 +a(g827 +g1063 +tp5335 +a(g827 +g1040 +tp5336 +a(g827 +g1044 +tp5337 +a(g827 +g986 +tp5338 +a(g827 +g1047 +tp5339 +a(g827 +g988 +tp5340 +a(g827 +g1290 +tp5341 +a(g827 +g1097 +tp5342 +a(g827 +g986 +tp5343 +a(g827 +g1044 +tp5344 +a(g827 +g973 +tp5345 +a(g827 +g1290 +tp5346 +a(g827 +g988 +tp5347 +a(g827 +g1108 +tp5348 +a(g827 +g1037 +tp5349 +a(g827 +g1037 +tp5350 +a(g827 +g1290 +tp5351 +a(g827 +g971 +tp5352 +a(g827 +g1042 +tp5353 +a(g827 +g1050 +tp5354 +a(g827 +g1050 +tp5355 +a(g827 +g1097 +tp5356 +a(g827 +g1047 +tp5357 +a(g827 +g1072 +tp5358 +a(g827 +g982 +tp5359 +a(g827 +g1290 +tp5360 +a(g827 +g1042 +tp5361 +a(g827 +g1074 +tp5362 +a(g827 +g1044 +tp5363 +a(g827 +g1063 +tp5364 +a(g827 +g1072 +tp5365 +a(g827 +g1290 +tp5366 +a(g827 +g1074 +tp5367 +a(g827 +g1042 +tp5368 +a(g827 +g986 +tp5369 +a(g827 +g1037 +tp5370 +a(g827 +g1108 +tp5371 +a(g827 +g1072 +tp5372 +a(g827 +g1063 +tp5373 +a(g827 +g998 +tp5374 +a(g827 +V\u000a +p5375 +tp5376 +a(g827 +g1137 +tp5377 +a(g827 +g1290 +tp5378 +a(g827 +g1941 +tp5379 +a(g827 +g1063 +tp5380 +a(g827 +g1072 +tp5381 +a(g827 +g1050 +tp5382 +a(g827 +g986 +tp5383 +a(g827 +g1047 +tp5384 +a(g827 +g988 +tp5385 +a(g827 +g1290 +tp5386 +a(g827 +g1152 +tp5387 +a(g827 +g998 +tp5388 +a(g827 +g5100 +tp5389 +a(g827 +g998 +tp5390 +a(g827 +g5133 +tp5391 +a(g827 +g1137 +tp5392 +a(g827 +g971 +tp5393 +a(g827 +g1037 +tp5394 +a(g827 +g1000 +tp5395 +a(g827 +g998 +tp5396 +a(g827 +g1000 +tp5397 +a(g827 +g998 +tp5398 +a(g827 +V\u000a +p5399 +tp5400 +a(g827 +V\u000a +p5401 +tp5402 +a(g338 +V* Mon Aug 22 2005 Patrick Monnerat \u000a +p5403 +tp5404 +a(g827 +g1137 +tp5405 +a(g827 +g1290 +tp5406 +a(g827 +g1941 +tp5407 +a(g827 +g1063 +tp5408 +a(g827 +g1072 +tp5409 +a(g827 +g1050 +tp5410 +a(g827 +g986 +tp5411 +a(g827 +g1047 +tp5412 +a(g827 +g988 +tp5413 +a(g827 +g1290 +tp5414 +a(g827 +g1152 +tp5415 +a(g827 +g998 +tp5416 +a(g827 +g5081 +tp5417 +a(g827 +g998 +tp5418 +a(g827 +g996 +tp5419 +a(g827 +g1137 +tp5420 +a(g827 +g971 +tp5421 +a(g827 +g1037 +tp5422 +a(g827 +g1000 +tp5423 +a(g827 +g998 +tp5424 +a(g827 +V\u000a +p5425 +tp5426 +a(g827 +V\u000a +p5427 +tp5428 +a(g338 +V* Wed Jul 21 2004 Patrick Monnerat \u000a +p5429 +tp5430 +a(g827 +g1137 +tp5431 +a(g827 +g1290 +tp5432 +a(g827 +g1941 +tp5433 +a(g827 +g1063 +tp5434 +a(g827 +g1072 +tp5435 +a(g827 +g1050 +tp5436 +a(g827 +g986 +tp5437 +a(g827 +g1047 +tp5438 +a(g827 +g988 +tp5439 +a(g827 +g1290 +tp5440 +a(g827 +g1152 +tp5441 +a(g827 +g998 +tp5442 +a(g827 +g5102 +tp5443 +a(g827 +g998 +tp5444 +a(g827 +g5100 +tp5445 +a(g827 +g1137 +tp5446 +a(g827 +g971 +tp5447 +a(g827 +g1037 +tp5448 +a(g827 +g1000 +tp5449 +a(g827 +g998 +tp5450 +a(g827 +V\u000a +p5451 +tp5452 +a(g827 +V\u000a +p5453 +tp5454 +a(g338 +V* Fri Nov 22 2002 Patrick Monnerat \u000a +p5455 +tp5456 +a(g827 +g1137 +tp5457 +a(g827 +g1290 +tp5458 +a(g827 +g1941 +tp5459 +a(g827 +g1063 +tp5460 +a(g827 +g1072 +tp5461 +a(g827 +g1050 +tp5462 +a(g827 +g986 +tp5463 +a(g827 +g1047 +tp5464 +a(g827 +g988 +tp5465 +a(g827 +g1290 +tp5466 +a(g827 +g1152 +tp5467 +a(g827 +g998 +tp5468 +a(g827 +g996 +tp5469 +a(g827 +g998 +tp5470 +a(g827 +g5133 +tp5471 +a(g827 +g1137 +tp5472 +a(g827 +g1072 +tp5473 +a(g827 +g1040 +tp5474 +a(g827 +g1000 +tp5475 +a(g827 +g998 +tp5476 +a(g827 +V\u000a +p5477 +tp5478 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/phpcomplete.vim b/tests/examplefiles/output/phpcomplete.vim new file mode 100644 index 0000000..4b7b856 --- /dev/null +++ b/tests/examplefiles/output/phpcomplete.vim @@ -0,0 +1,18089 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV" Vim completion script +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V" Language: PHP +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +V" Maintainer: Mikolaj Machowski ( mikmach AT wp DOT pl ) +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g7 +V" Last Change: 2006 May 9 +p968 +tp969 +a(g822 +V\u000a +p970 +tp971 +a(g7 +V" +p972 +tp973 +a(g822 +V\u000a +p974 +tp975 +a(g7 +V" TODO: +p976 +tp977 +a(g822 +V\u000a +p978 +tp979 +a(g7 +V" - Class aware completion: +p980 +tp981 +a(g822 +V\u000a +p982 +tp983 +a(g7 +V" a) caching? +p984 +tp985 +a(g822 +V\u000a +p986 +tp987 +a(g7 +V" - Switching to HTML (XML?) completion (SQL) inside of phpStrings +p988 +tp989 +a(g822 +V\u000a +p990 +tp991 +a(g7 +V" - allow also for XML completion <- better do html_flavor for HTML +p992 +tp993 +a(g822 +V\u000a +p994 +tp995 +a(g7 +V" completion +p996 +tp997 +a(g822 +V\u000a +p998 +tp999 +a(g7 +V" - outside of getting parent tag may cause problems. Heh, even in +p1000 +tp1001 +a(g822 +V\u000a +p1002 +tp1003 +a(g7 +V" perfect conditions GetLastOpenTag doesn't cooperate... Inside of +p1004 +tp1005 +a(g822 +V\u000a +p1006 +tp1007 +a(g7 +V" phpStrings this can be even a bonus but outside of it is not the +p1008 +tp1009 +a(g822 +V\u000a +p1010 +tp1011 +a(g7 +V" best situation +p1012 +tp1013 +a(g822 +V\u000a +p1014 +tp1015 +a(g822 +V\u000a +p1016 +tp1017 +a(g740 +Vfunction +p1018 +tp1019 +a(g701 +V! +p1020 +tp1021 +a(g822 +V +p1022 +tp1023 +a(g822 +Vphpcomplete +p1024 +tp1025 +a(g822 +V# +p1026 +tp1027 +a(g822 +VCompletePHP +p1028 +tp1029 +a(g701 +V( +p1030 +tp1031 +a(g822 +Vfindstart +p1032 +tp1033 +a(g701 +V, +p1034 +tp1035 +a(g822 +g1022 +tp1036 +a(g822 +Vbase +p1037 +tp1038 +a(g701 +V) +p1039 +tp1040 +a(g822 +V\u000a +p1041 +tp1042 +a(g822 +V +p1043 +tp1044 +a(g740 +Vif +p1045 +tp1046 +a(g822 +g1022 +tp1047 +a(g740 +Va +p1048 +tp1049 +a(g822 +V: +p1050 +tp1051 +a(g822 +Vfindstart +p1052 +tp1053 +a(g822 +V\u000a +p1054 +tp1055 +a(g822 +V +p1056 +tp1057 +a(g822 +Vunlet +p1058 +tp1059 +a(g701 +g1020 +tp1060 +a(g822 +g1022 +tp1061 +a(g740 +Vb +p1062 +tp1063 +a(g822 +g1050 +tp1064 +a(g822 +Vphp_menu +p1065 +tp1066 +a(g822 +V\u000a +p1067 +tp1068 +a(g7 +V " Check if we are inside of PHP markup +p1069 +tp1070 +a(g822 +V\u000a +p1071 +tp1072 +a(g822 +V +p1073 +tp1074 +a(g740 +Vlet +p1075 +tp1076 +a(g822 +g1022 +tp1077 +a(g822 +Vpos +p1078 +tp1079 +a(g822 +g1022 +tp1080 +a(g701 +V= +p1081 +tp1082 +a(g822 +g1022 +tp1083 +a(g822 +Vgetpos +p1084 +tp1085 +a(g701 +g1030 +tp1086 +a(g225 +V'.' +p1087 +tp1088 +a(g701 +g1039 +tp1089 +a(g822 +V\u000a +p1090 +tp1091 +a(g822 +V +p1092 +tp1093 +a(g740 +Vlet +p1094 +tp1095 +a(g822 +g1022 +tp1096 +a(g822 +Vphpbegin +p1097 +tp1098 +a(g822 +g1022 +tp1099 +a(g701 +g1081 +tp1100 +a(g822 +g1022 +tp1101 +a(g822 +Vsearchpairpos +p1102 +tp1103 +a(g701 +g1030 +tp1104 +a(g225 +V'' +p1113 +tp1114 +a(g701 +g1034 +tp1115 +a(g822 +g1022 +tp1116 +a(g225 +V'bWn' +p1117 +tp1118 +a(g701 +g1034 +tp1119 +a(g822 +V\u000a +p1120 +tp1121 +a(g822 +V +p1122 +tp1123 +a(g822 +V\u005c +p1124 +tp1125 +a(g822 +g1022 +tp1126 +a(g225 +V'synIDattr(synID(line("."), col("."), 0), "name") =~? "string\u005c|comment"' +p1127 +tp1128 +a(g701 +g1039 +tp1129 +a(g822 +V\u000a +p1130 +tp1131 +a(g822 +V +p1132 +tp1133 +a(g740 +Vlet +p1134 +tp1135 +a(g822 +g1022 +tp1136 +a(g822 +Vphpend +p1137 +tp1138 +a(g822 +V +p1139 +tp1140 +a(g701 +g1081 +tp1141 +a(g822 +g1022 +tp1142 +a(g822 +Vsearchpairpos +p1143 +tp1144 +a(g701 +g1030 +tp1145 +a(g225 +V'' +p1154 +tp1155 +a(g701 +g1034 +tp1156 +a(g822 +g1022 +tp1157 +a(g225 +V'Wn' +p1158 +tp1159 +a(g701 +g1034 +tp1160 +a(g822 +V\u000a +p1161 +tp1162 +a(g822 +V +p1163 +tp1164 +a(g822 +V\u005c +p1165 +tp1166 +a(g822 +g1022 +tp1167 +a(g225 +V'synIDattr(synID(line("."), col("."), 0), "name") =~? "string\u005c|comment"' +p1168 +tp1169 +a(g701 +g1039 +tp1170 +a(g822 +V\u000a +p1171 +tp1172 +a(g822 +V\u000a +p1173 +tp1174 +a(g822 +V +p1175 +tp1176 +a(g740 +Vif +p1177 +tp1178 +a(g822 +g1022 +tp1179 +a(g822 +Vphpbegin +p1180 +tp1181 +a(g822 +g1022 +tp1182 +a(g701 +g1081 +tp1183 +a(g701 +g1081 +tp1184 +a(g822 +g1022 +tp1185 +a(g822 +V[ +p1186 +tp1187 +a(g27 +V0 +p1188 +tp1189 +a(g701 +g1034 +tp1190 +a(g27 +g1188 +tp1191 +a(g822 +V] +p1192 +tp1193 +a(g822 +g1022 +tp1194 +a(g822 +V& +p1195 +tp1196 +a(g822 +g1195 +tp1197 +a(g822 +g1022 +tp1198 +a(g822 +Vphpend +p1199 +tp1200 +a(g822 +g1022 +tp1201 +a(g701 +g1081 +tp1202 +a(g701 +g1081 +tp1203 +a(g822 +g1022 +tp1204 +a(g822 +g1186 +tp1205 +a(g27 +g1188 +tp1206 +a(g701 +g1034 +tp1207 +a(g27 +g1188 +tp1208 +a(g822 +g1192 +tp1209 +a(g822 +V\u000a +p1210 +tp1211 +a(g7 +V " We are outside of any PHP markup. Complete HTML +p1212 +tp1213 +a(g822 +V\u000a +p1214 +tp1215 +a(g822 +V +p1216 +tp1217 +a(g740 +Vlet +p1218 +tp1219 +a(g822 +g1022 +tp1220 +a(g822 +Vhtmlbegin +p1221 +tp1222 +a(g822 +g1022 +tp1223 +a(g701 +g1081 +tp1224 +a(g822 +g1022 +tp1225 +a(g822 +Vhtmlcomplete +p1226 +tp1227 +a(g822 +g1026 +tp1228 +a(g822 +VCompleteTags +p1229 +tp1230 +a(g701 +g1030 +tp1231 +a(g27 +V1 +p1232 +tp1233 +a(g701 +g1034 +tp1234 +a(g822 +g1022 +tp1235 +a(g225 +V'' +p1236 +tp1237 +a(g701 +g1039 +tp1238 +a(g822 +V\u000a +p1239 +tp1240 +a(g822 +V +p1241 +tp1242 +a(g740 +Vlet +p1243 +tp1244 +a(g822 +g1022 +tp1245 +a(g822 +Vcursor_col +p1246 +tp1247 +a(g822 +g1022 +tp1248 +a(g701 +g1081 +tp1249 +a(g822 +g1022 +tp1250 +a(g822 +Vpos +p1251 +tp1252 +a(g822 +g1186 +tp1253 +a(g27 +V2 +p1254 +tp1255 +a(g822 +g1192 +tp1256 +a(g822 +V\u000a +p1257 +tp1258 +a(g822 +V +p1259 +tp1260 +a(g740 +Vlet +p1261 +tp1262 +a(g822 +g1022 +tp1263 +a(g822 +Vbase +p1264 +tp1265 +a(g822 +g1022 +tp1266 +a(g701 +g1081 +tp1267 +a(g822 +g1022 +tp1268 +a(g822 +Vgetline +p1269 +tp1270 +a(g701 +g1030 +tp1271 +a(g225 +V'.' +p1272 +tp1273 +a(g701 +g1039 +tp1274 +a(g822 +g1186 +tp1275 +a(g822 +Vhtmlbegin +p1276 +tp1277 +a(g822 +g1022 +tp1278 +a(g822 +g1050 +tp1279 +a(g822 +g1022 +tp1280 +a(g822 +Vcursor_col +p1281 +tp1282 +a(g822 +g1192 +tp1283 +a(g822 +V\u000a +p1284 +tp1285 +a(g822 +V +p1286 +tp1287 +a(g740 +Vlet +p1288 +tp1289 +a(g822 +g1022 +tp1290 +a(g740 +g1062 +tp1291 +a(g822 +g1050 +tp1292 +a(g822 +Vphp_menu +p1293 +tp1294 +a(g822 +g1022 +tp1295 +a(g701 +g1081 +tp1296 +a(g822 +g1022 +tp1297 +a(g822 +Vhtmlcomplete +p1298 +tp1299 +a(g822 +g1026 +tp1300 +a(g822 +VCompleteTags +p1301 +tp1302 +a(g701 +g1030 +tp1303 +a(g27 +g1188 +tp1304 +a(g701 +g1034 +tp1305 +a(g822 +g1022 +tp1306 +a(g822 +Vbase +p1307 +tp1308 +a(g701 +g1039 +tp1309 +a(g822 +V\u000a +p1310 +tp1311 +a(g822 +V +p1312 +tp1313 +a(g740 +Vreturn +p1314 +tp1315 +a(g822 +g1022 +tp1316 +a(g822 +Vhtmlbegin +p1317 +tp1318 +a(g822 +V\u000a +p1319 +tp1320 +a(g822 +V +p1321 +tp1322 +a(g740 +Velse +p1323 +tp1324 +a(g822 +V\u000a +p1325 +tp1326 +a(g7 +V " locate the start of the word +p1327 +tp1328 +a(g822 +V\u000a +p1329 +tp1330 +a(g822 +V +p1331 +tp1332 +a(g740 +Vlet +p1333 +tp1334 +a(g822 +g1022 +tp1335 +a(g822 +Vline +p1336 +tp1337 +a(g822 +g1022 +tp1338 +a(g701 +g1081 +tp1339 +a(g822 +g1022 +tp1340 +a(g822 +Vgetline +p1341 +tp1342 +a(g701 +g1030 +tp1343 +a(g225 +V'.' +p1344 +tp1345 +a(g701 +g1039 +tp1346 +a(g822 +V\u000a +p1347 +tp1348 +a(g822 +V +p1349 +tp1350 +a(g740 +Vlet +p1351 +tp1352 +a(g822 +g1022 +tp1353 +a(g740 +Vstart +p1354 +tp1355 +a(g822 +g1022 +tp1356 +a(g701 +g1081 +tp1357 +a(g822 +g1022 +tp1358 +a(g740 +Vcol +p1359 +tp1360 +a(g701 +g1030 +tp1361 +a(g225 +V'.' +p1362 +tp1363 +a(g701 +g1039 +tp1364 +a(g822 +g1022 +tp1365 +a(g701 +V- +p1366 +tp1367 +a(g822 +g1022 +tp1368 +a(g27 +g1232 +tp1369 +a(g822 +V\u000a +p1370 +tp1371 +a(g822 +V +p1372 +tp1373 +a(g740 +Vlet +p1374 +tp1375 +a(g822 +g1022 +tp1376 +a(g822 +Vcurline +p1377 +tp1378 +a(g822 +g1022 +tp1379 +a(g701 +g1081 +tp1380 +a(g822 +g1022 +tp1381 +a(g822 +Vline +p1382 +tp1383 +a(g701 +g1030 +tp1384 +a(g225 +V'.' +p1385 +tp1386 +a(g701 +g1039 +tp1387 +a(g822 +V\u000a +p1388 +tp1389 +a(g822 +V +p1390 +tp1391 +a(g740 +Vlet +p1392 +tp1393 +a(g822 +g1022 +tp1394 +a(g822 +Vcompl_begin +p1395 +tp1396 +a(g822 +g1022 +tp1397 +a(g701 +g1081 +tp1398 +a(g822 +g1022 +tp1399 +a(g740 +Vcol +p1400 +tp1401 +a(g701 +g1030 +tp1402 +a(g225 +V'.' +p1403 +tp1404 +a(g701 +g1039 +tp1405 +a(g822 +g1022 +tp1406 +a(g701 +g1366 +tp1407 +a(g822 +g1022 +tp1408 +a(g27 +g1254 +tp1409 +a(g822 +V\u000a +p1410 +tp1411 +a(g822 +V +p1412 +tp1413 +a(g740 +Vwhile +p1414 +tp1415 +a(g822 +g1022 +tp1416 +a(g740 +Vstart +p1417 +tp1418 +a(g822 +g1022 +tp1419 +a(g701 +V> +p1420 +tp1421 +a(g701 +g1081 +tp1422 +a(g822 +g1022 +tp1423 +a(g27 +g1188 +tp1424 +a(g822 +g1022 +tp1425 +a(g822 +g1195 +tp1426 +a(g822 +g1195 +tp1427 +a(g822 +g1022 +tp1428 +a(g822 +Vline +p1429 +tp1430 +a(g822 +g1186 +tp1431 +a(g740 +Vstart +p1432 +tp1433 +a(g822 +g1022 +tp1434 +a(g701 +g1366 +tp1435 +a(g822 +g1022 +tp1436 +a(g27 +g1232 +tp1437 +a(g822 +g1192 +tp1438 +a(g822 +g1022 +tp1439 +a(g701 +g1081 +tp1440 +a(g701 +V~ +p1441 +tp1442 +a(g822 +g1022 +tp1443 +a(g225 +V'[a-zA-Z_0-9\u005cx7f-\u005cxff$]' +p1444 +tp1445 +a(g822 +V\u000a +p1446 +tp1447 +a(g822 +V +p1448 +tp1449 +a(g740 +Vlet +p1450 +tp1451 +a(g822 +g1022 +tp1452 +a(g740 +Vstart +p1453 +tp1454 +a(g822 +g1022 +tp1455 +a(g701 +g1366 +tp1456 +a(g701 +g1081 +tp1457 +a(g822 +g1022 +tp1458 +a(g27 +g1232 +tp1459 +a(g822 +V\u000a +p1460 +tp1461 +a(g822 +V +p1462 +tp1463 +a(g740 +Vendwhile +p1464 +tp1465 +a(g822 +V\u000a +p1466 +tp1467 +a(g822 +V +p1468 +tp1469 +a(g740 +Vlet +p1470 +tp1471 +a(g822 +g1022 +tp1472 +a(g740 +g1062 +tp1473 +a(g822 +g1050 +tp1474 +a(g822 +Vcompl_context +p1475 +tp1476 +a(g822 +g1022 +tp1477 +a(g701 +g1081 +tp1478 +a(g822 +g1022 +tp1479 +a(g822 +Vgetline +p1480 +tp1481 +a(g701 +g1030 +tp1482 +a(g225 +V'.' +p1483 +tp1484 +a(g701 +g1039 +tp1485 +a(g822 +g1186 +tp1486 +a(g27 +g1188 +tp1487 +a(g822 +g1050 +tp1488 +a(g822 +Vcompl_begin +p1489 +tp1490 +a(g822 +g1192 +tp1491 +a(g822 +V\u000a +p1492 +tp1493 +a(g822 +V +p1494 +tp1495 +a(g740 +Vreturn +p1496 +tp1497 +a(g822 +g1022 +tp1498 +a(g740 +Vstart +p1499 +tp1500 +a(g822 +V\u000a +p1501 +tp1502 +a(g7 +V\u000a " We can be also inside of phpString with HTML tags. Deal with +p1503 +tp1504 +a(g822 +V\u000a +p1505 +tp1506 +a(g7 +V " it later (time, not lines). +p1507 +tp1508 +a(g822 +V\u000a +p1509 +tp1510 +a(g822 +V +p1511 +tp1512 +a(g740 +Vendif +p1513 +tp1514 +a(g822 +V\u000a +p1515 +tp1516 +a(g822 +V\u000a +p1517 +tp1518 +a(g822 +g1043 +tp1519 +a(g740 +Vendif +p1520 +tp1521 +a(g822 +V\u000a +p1522 +tp1523 +a(g7 +V " If exists b:php_menu it means completion was already constructed we +p1524 +tp1525 +a(g822 +V\u000a +p1526 +tp1527 +a(g7 +V " don't need to do anything more +p1528 +tp1529 +a(g822 +V\u000a +p1530 +tp1531 +a(g822 +g1043 +tp1532 +a(g740 +Vif +p1533 +tp1534 +a(g822 +g1022 +tp1535 +a(g822 +Vexists +p1536 +tp1537 +a(g701 +g1030 +tp1538 +a(g152 +V"b:php_menu" +p1539 +tp1540 +a(g701 +g1039 +tp1541 +a(g822 +V\u000a +p1542 +tp1543 +a(g822 +V +p1544 +tp1545 +a(g740 +Vreturn +p1546 +tp1547 +a(g822 +g1022 +tp1548 +a(g740 +g1062 +tp1549 +a(g822 +g1050 +tp1550 +a(g822 +Vphp_menu +p1551 +tp1552 +a(g822 +V\u000a +p1553 +tp1554 +a(g822 +g1043 +tp1555 +a(g740 +Vendif +p1556 +tp1557 +a(g822 +V\u000a +p1558 +tp1559 +a(g7 +V " Initialize base return lists +p1560 +tp1561 +a(g822 +V\u000a +p1562 +tp1563 +a(g822 +g1043 +tp1564 +a(g740 +Vlet +p1565 +tp1566 +a(g822 +g1022 +tp1567 +a(g740 +Vres +p1568 +tp1569 +a(g822 +g1022 +tp1570 +a(g701 +g1081 +tp1571 +a(g822 +g1022 +tp1572 +a(g822 +g1186 +tp1573 +a(g822 +g1192 +tp1574 +a(g822 +V\u000a +p1575 +tp1576 +a(g822 +g1043 +tp1577 +a(g740 +Vlet +p1578 +tp1579 +a(g822 +g1022 +tp1580 +a(g822 +Vres2 +p1581 +tp1582 +a(g822 +g1022 +tp1583 +a(g701 +g1081 +tp1584 +a(g822 +g1022 +tp1585 +a(g822 +g1186 +tp1586 +a(g822 +g1192 +tp1587 +a(g822 +V\u000a +p1588 +tp1589 +a(g7 +V " a:base is very short - we need context +p1590 +tp1591 +a(g822 +V\u000a +p1592 +tp1593 +a(g822 +g1043 +tp1594 +a(g740 +Vif +p1595 +tp1596 +a(g822 +g1022 +tp1597 +a(g822 +Vexists +p1598 +tp1599 +a(g701 +g1030 +tp1600 +a(g152 +V"b:compl_context" +p1601 +tp1602 +a(g701 +g1039 +tp1603 +a(g822 +V\u000a +p1604 +tp1605 +a(g822 +V +p1606 +tp1607 +a(g740 +Vlet +p1608 +tp1609 +a(g822 +g1022 +tp1610 +a(g822 +Vcontext +p1611 +tp1612 +a(g822 +g1022 +tp1613 +a(g701 +g1081 +tp1614 +a(g822 +g1022 +tp1615 +a(g740 +g1062 +tp1616 +a(g822 +g1050 +tp1617 +a(g822 +Vcompl_context +p1618 +tp1619 +a(g822 +V\u000a +p1620 +tp1621 +a(g822 +V +p1622 +tp1623 +a(g822 +Vunlet +p1624 +tp1625 +a(g701 +g1020 +tp1626 +a(g822 +g1022 +tp1627 +a(g740 +g1062 +tp1628 +a(g822 +g1050 +tp1629 +a(g822 +Vcompl_context +p1630 +tp1631 +a(g822 +V\u000a +p1632 +tp1633 +a(g822 +g1043 +tp1634 +a(g740 +Vendif +p1635 +tp1636 +a(g822 +V\u000a +p1637 +tp1638 +a(g822 +V\u000a +p1639 +tp1640 +a(g822 +g1043 +tp1641 +a(g740 +Vif +p1642 +tp1643 +a(g822 +g1022 +tp1644 +a(g701 +g1020 +tp1645 +a(g822 +Vexists +p1646 +tp1647 +a(g701 +g1030 +tp1648 +a(g225 +V'g:php_builtin_functions' +p1649 +tp1650 +a(g701 +g1039 +tp1651 +a(g822 +V\u000a +p1652 +tp1653 +a(g822 +V +p1654 +tp1655 +a(g740 +Vcall +p1656 +tp1657 +a(g822 +g1022 +tp1658 +a(g822 +Vphpcomplete +p1659 +tp1660 +a(g822 +g1026 +tp1661 +a(g822 +VLoadData +p1662 +tp1663 +a(g701 +g1030 +tp1664 +a(g701 +g1039 +tp1665 +a(g822 +V\u000a +p1666 +tp1667 +a(g822 +g1043 +tp1668 +a(g740 +Vendif +p1669 +tp1670 +a(g822 +V\u000a +p1671 +tp1672 +a(g822 +V\u000a +p1673 +tp1674 +a(g822 +g1043 +tp1675 +a(g740 +Vlet +p1676 +tp1677 +a(g822 +g1022 +tp1678 +a(g822 +Vscontext +p1679 +tp1680 +a(g822 +g1022 +tp1681 +a(g701 +g1081 +tp1682 +a(g822 +g1022 +tp1683 +a(g822 +Vsubstitute +p1684 +tp1685 +a(g701 +g1030 +tp1686 +a(g822 +Vcontext +p1687 +tp1688 +a(g701 +g1034 +tp1689 +a(g822 +g1022 +tp1690 +a(g225 +V'\u005c$\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*$' +p1691 +tp1692 +a(g701 +g1034 +tp1693 +a(g822 +g1022 +tp1694 +a(g225 +V'' +p1695 +tp1696 +a(g701 +g1034 +tp1697 +a(g822 +g1022 +tp1698 +a(g225 +V'' +p1699 +tp1700 +a(g701 +g1039 +tp1701 +a(g822 +V\u000a +p1702 +tp1703 +a(g822 +V\u000a +p1704 +tp1705 +a(g822 +g1043 +tp1706 +a(g740 +Vif +p1707 +tp1708 +a(g822 +g1022 +tp1709 +a(g822 +Vscontext +p1710 +tp1711 +a(g822 +g1022 +tp1712 +a(g701 +g1081 +tp1713 +a(g701 +g1441 +tp1714 +a(g822 +g1022 +tp1715 +a(g225 +V'\u005c(=\u005cs*new\u005c|extends\u005c)\u005cs\u005c+$' +p1716 +tp1717 +a(g822 +V\u000a +p1718 +tp1719 +a(g7 +V " Complete class name +p1720 +tp1721 +a(g822 +V\u000a +p1722 +tp1723 +a(g7 +V " Internal solution for finding classes in current file. +p1724 +tp1725 +a(g822 +V\u000a +p1726 +tp1727 +a(g822 +V +p1728 +tp1729 +a(g740 +Vlet +p1730 +tp1731 +a(g822 +g1022 +tp1732 +a(g740 +Vfile +p1733 +tp1734 +a(g822 +g1022 +tp1735 +a(g701 +g1081 +tp1736 +a(g822 +g1022 +tp1737 +a(g822 +Vgetline +p1738 +tp1739 +a(g701 +g1030 +tp1740 +a(g27 +g1232 +tp1741 +a(g701 +g1034 +tp1742 +a(g822 +g1022 +tp1743 +a(g225 +V'$' +p1744 +tp1745 +a(g701 +g1039 +tp1746 +a(g822 +V\u000a +p1747 +tp1748 +a(g822 +V +p1749 +tp1750 +a(g740 +Vcall +p1751 +tp1752 +a(g822 +g1022 +tp1753 +a(g822 +Vfilter +p1754 +tp1755 +a(g701 +g1030 +tp1756 +a(g740 +Vfile +p1757 +tp1758 +a(g701 +g1034 +tp1759 +a(g822 +V\u000a +p1760 +tp1761 +a(g822 +V +p1762 +tp1763 +a(g822 +V\u005c +p1764 +tp1765 +a(g822 +g1022 +tp1766 +a(g225 +V'v:val =~ "class\u005c\u005cs\u005c\u005c+[a-zA-Z_\u005c\u005cx7f-\u005c\u005cxff][a-zA-Z_0-9\u005c\u005cx7f-\u005c\u005cxff]*\u005c\u005cs*("' +p1767 +tp1768 +a(g701 +g1039 +tp1769 +a(g822 +V\u000a +p1770 +tp1771 +a(g822 +V +p1772 +tp1773 +a(g740 +Vlet +p1774 +tp1775 +a(g822 +g1022 +tp1776 +a(g822 +Vfnames +p1777 +tp1778 +a(g822 +g1022 +tp1779 +a(g701 +g1081 +tp1780 +a(g822 +g1022 +tp1781 +a(g740 +Vjoin +p1782 +tp1783 +a(g701 +g1030 +tp1784 +a(g822 +Vmap +p1785 +tp1786 +a(g701 +g1030 +tp1787 +a(g822 +Vtagfiles +p1788 +tp1789 +a(g701 +g1030 +tp1790 +a(g701 +g1039 +tp1791 +a(g701 +g1034 +tp1792 +a(g822 +g1022 +tp1793 +a(g225 +V'escape(v:val, " \u005c\u005c#%")' +p1794 +tp1795 +a(g701 +g1039 +tp1796 +a(g701 +g1039 +tp1797 +a(g822 +V\u000a +p1798 +tp1799 +a(g822 +V +p1800 +tp1801 +a(g740 +Vlet +p1802 +tp1803 +a(g822 +g1022 +tp1804 +a(g822 +Vjfile +p1805 +tp1806 +a(g822 +g1022 +tp1807 +a(g701 +g1081 +tp1808 +a(g822 +g1022 +tp1809 +a(g740 +Vjoin +p1810 +tp1811 +a(g701 +g1030 +tp1812 +a(g740 +Vfile +p1813 +tp1814 +a(g701 +g1034 +tp1815 +a(g822 +g1022 +tp1816 +a(g225 +V' ' +p1817 +tp1818 +a(g701 +g1039 +tp1819 +a(g822 +V\u000a +p1820 +tp1821 +a(g822 +V +p1822 +tp1823 +a(g740 +Vlet +p1824 +tp1825 +a(g822 +g1022 +tp1826 +a(g822 +Vint_values +p1827 +tp1828 +a(g822 +g1022 +tp1829 +a(g701 +g1081 +tp1830 +a(g822 +g1022 +tp1831 +a(g822 +Vsplit +p1832 +tp1833 +a(g701 +g1030 +tp1834 +a(g822 +Vjfile +p1835 +tp1836 +a(g701 +g1034 +tp1837 +a(g822 +g1022 +tp1838 +a(g225 +V'class\u005cs\u005c+' +p1839 +tp1840 +a(g701 +g1039 +tp1841 +a(g822 +V\u000a +p1842 +tp1843 +a(g822 +V +p1844 +tp1845 +a(g740 +Vlet +p1846 +tp1847 +a(g822 +g1022 +tp1848 +a(g822 +Vint_classes +p1849 +tp1850 +a(g822 +g1022 +tp1851 +a(g701 +g1081 +tp1852 +a(g822 +g1022 +tp1853 +a(g822 +V{ +p1854 +tp1855 +a(g822 +V} +p1856 +tp1857 +a(g822 +V\u000a +p1858 +tp1859 +a(g822 +V +p1860 +tp1861 +a(g740 +Vfor +p1862 +tp1863 +a(g822 +g1022 +tp1864 +a(g740 +Vi +p1865 +tp1866 +a(g822 +g1022 +tp1867 +a(g740 +Vin +p1868 +tp1869 +a(g822 +g1022 +tp1870 +a(g822 +Vint_values +p1871 +tp1872 +a(g822 +V\u000a +p1873 +tp1874 +a(g822 +V +p1875 +tp1876 +a(g740 +Vlet +p1877 +tp1878 +a(g822 +g1022 +tp1879 +a(g822 +Vc_name +p1880 +tp1881 +a(g822 +g1022 +tp1882 +a(g701 +g1081 +tp1883 +a(g822 +g1022 +tp1884 +a(g822 +Vmatchstr +p1885 +tp1886 +a(g701 +g1030 +tp1887 +a(g740 +g1865 +tp1888 +a(g701 +g1034 +tp1889 +a(g822 +g1022 +tp1890 +a(g225 +V'^[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p1891 +tp1892 +a(g701 +g1039 +tp1893 +a(g822 +V\u000a +p1894 +tp1895 +a(g822 +V +p1896 +tp1897 +a(g740 +Vif +p1898 +tp1899 +a(g822 +g1022 +tp1900 +a(g822 +Vc_name +p1901 +tp1902 +a(g822 +g1022 +tp1903 +a(g701 +g1020 +tp1904 +a(g701 +g1081 +tp1905 +a(g822 +g1022 +tp1906 +a(g225 +V'' +p1907 +tp1908 +a(g822 +V\u000a +p1909 +tp1910 +a(g822 +V +p1911 +tp1912 +a(g740 +Vlet +p1913 +tp1914 +a(g822 +g1022 +tp1915 +a(g822 +Vint_classes +p1916 +tp1917 +a(g822 +g1186 +tp1918 +a(g822 +Vc_name +p1919 +tp1920 +a(g822 +g1192 +tp1921 +a(g822 +g1022 +tp1922 +a(g701 +g1081 +tp1923 +a(g822 +g1022 +tp1924 +a(g225 +V'' +p1925 +tp1926 +a(g822 +V\u000a +p1927 +tp1928 +a(g822 +V +p1929 +tp1930 +a(g740 +Vendif +p1931 +tp1932 +a(g822 +V\u000a +p1933 +tp1934 +a(g822 +V +p1935 +tp1936 +a(g740 +Vendfor +p1937 +tp1938 +a(g822 +V\u000a +p1939 +tp1940 +a(g7 +V\u000a " Prepare list of classes from tags file +p1941 +tp1942 +a(g822 +V\u000a +p1943 +tp1944 +a(g822 +V +p1945 +tp1946 +a(g740 +Vlet +p1947 +tp1948 +a(g822 +g1022 +tp1949 +a(g822 +Vext_classes +p1950 +tp1951 +a(g822 +g1022 +tp1952 +a(g701 +g1081 +tp1953 +a(g822 +g1022 +tp1954 +a(g822 +g1854 +tp1955 +a(g822 +g1856 +tp1956 +a(g822 +V\u000a +p1957 +tp1958 +a(g822 +V +p1959 +tp1960 +a(g740 +Vlet +p1961 +tp1962 +a(g822 +g1022 +tp1963 +a(g822 +Vfnames +p1964 +tp1965 +a(g822 +g1022 +tp1966 +a(g701 +g1081 +tp1967 +a(g822 +g1022 +tp1968 +a(g740 +Vjoin +p1969 +tp1970 +a(g701 +g1030 +tp1971 +a(g822 +Vmap +p1972 +tp1973 +a(g701 +g1030 +tp1974 +a(g822 +Vtagfiles +p1975 +tp1976 +a(g701 +g1030 +tp1977 +a(g701 +g1039 +tp1978 +a(g701 +g1034 +tp1979 +a(g822 +g1022 +tp1980 +a(g225 +V'escape(v:val, " \u005c\u005c#%")' +p1981 +tp1982 +a(g701 +g1039 +tp1983 +a(g701 +g1039 +tp1984 +a(g822 +V\u000a +p1985 +tp1986 +a(g822 +V +p1987 +tp1988 +a(g740 +Vif +p1989 +tp1990 +a(g822 +g1022 +tp1991 +a(g822 +Vfnames +p1992 +tp1993 +a(g822 +g1022 +tp1994 +a(g701 +g1020 +tp1995 +a(g701 +g1081 +tp1996 +a(g822 +g1022 +tp1997 +a(g225 +V'' +p1998 +tp1999 +a(g822 +V\u000a +p2000 +tp2001 +a(g822 +V +p2002 +tp2003 +a(g822 +Vexe +p2004 +tp2005 +a(g822 +g1022 +tp2006 +a(g225 +V'silent! vimgrep /^' +p2007 +tp2008 +a(g822 +V. +p2009 +tp2010 +a(g740 +g1048 +tp2011 +a(g822 +g1050 +tp2012 +a(g822 +Vbase +p2013 +tp2014 +a(g822 +g2009 +tp2015 +a(g225 +V'.*\u005ctc\u005c(\u005ct\u005c|$\u005c)/j ' +p2016 +tp2017 +a(g822 +g2009 +tp2018 +a(g822 +Vfnames +p2019 +tp2020 +a(g822 +V\u000a +p2021 +tp2022 +a(g822 +V +p2023 +tp2024 +a(g740 +Vlet +p2025 +tp2026 +a(g822 +g1022 +tp2027 +a(g822 +Vqflist +p2028 +tp2029 +a(g822 +g1022 +tp2030 +a(g701 +g1081 +tp2031 +a(g822 +g1022 +tp2032 +a(g822 +Vgetqflist +p2033 +tp2034 +a(g701 +g1030 +tp2035 +a(g701 +g1039 +tp2036 +a(g822 +V\u000a +p2037 +tp2038 +a(g822 +V +p2039 +tp2040 +a(g740 +Vif +p2041 +tp2042 +a(g822 +g1022 +tp2043 +a(g822 +Vlen +p2044 +tp2045 +a(g701 +g1030 +tp2046 +a(g822 +Vqflist +p2047 +tp2048 +a(g701 +g1039 +tp2049 +a(g822 +g1022 +tp2050 +a(g701 +g1420 +tp2051 +a(g822 +g1022 +tp2052 +a(g27 +g1188 +tp2053 +a(g822 +V\u000a +p2054 +tp2055 +a(g822 +V +p2056 +tp2057 +a(g740 +Vfor +p2058 +tp2059 +a(g822 +g1022 +tp2060 +a(g822 +Vfield +p2061 +tp2062 +a(g822 +g1022 +tp2063 +a(g740 +Vin +p2064 +tp2065 +a(g822 +g1022 +tp2066 +a(g822 +Vqflist +p2067 +tp2068 +a(g822 +V\u000a +p2069 +tp2070 +a(g7 +V " [:space:] thing: we don't have to be so strict when +p2071 +tp2072 +a(g822 +V\u000a +p2073 +tp2074 +a(g7 +V " dealing with tags files - entries there were already +p2075 +tp2076 +a(g822 +V\u000a +p2077 +tp2078 +a(g7 +V " checked by ctags. +p2079 +tp2080 +a(g822 +V\u000a +p2081 +tp2082 +a(g822 +V +p2083 +tp2084 +a(g740 +Vlet +p2085 +tp2086 +a(g822 +g1022 +tp2087 +a(g822 +Vitem +p2088 +tp2089 +a(g822 +g1022 +tp2090 +a(g701 +g1081 +tp2091 +a(g822 +g1022 +tp2092 +a(g822 +Vmatchstr +p2093 +tp2094 +a(g701 +g1030 +tp2095 +a(g822 +Vfield +p2096 +tp2097 +a(g822 +g1186 +tp2098 +a(g225 +V'text' +p2099 +tp2100 +a(g822 +g1192 +tp2101 +a(g701 +g1034 +tp2102 +a(g822 +g1022 +tp2103 +a(g225 +V'^[^[:space:]]\u005c+' +p2104 +tp2105 +a(g701 +g1039 +tp2106 +a(g822 +V\u000a +p2107 +tp2108 +a(g822 +V +p2109 +tp2110 +a(g740 +Vlet +p2111 +tp2112 +a(g822 +g1022 +tp2113 +a(g822 +Vext_classes +p2114 +tp2115 +a(g822 +g1186 +tp2116 +a(g822 +Vitem +p2117 +tp2118 +a(g822 +g1192 +tp2119 +a(g822 +g1022 +tp2120 +a(g701 +g1081 +tp2121 +a(g822 +g1022 +tp2122 +a(g225 +V'' +p2123 +tp2124 +a(g822 +V\u000a +p2125 +tp2126 +a(g822 +V +p2127 +tp2128 +a(g740 +Vendfor +p2129 +tp2130 +a(g822 +V\u000a +p2131 +tp2132 +a(g822 +V +p2133 +tp2134 +a(g740 +Vendif +p2135 +tp2136 +a(g822 +V\u000a +p2137 +tp2138 +a(g822 +V +p2139 +tp2140 +a(g740 +Vendif +p2141 +tp2142 +a(g822 +V\u000a +p2143 +tp2144 +a(g7 +V\u000a " Prepare list of built in classes from g:php_builtin_functions +p2145 +tp2146 +a(g822 +V\u000a +p2147 +tp2148 +a(g822 +V +p2149 +tp2150 +a(g740 +Vif +p2151 +tp2152 +a(g822 +g1022 +tp2153 +a(g701 +g1020 +tp2154 +a(g822 +Vexists +p2155 +tp2156 +a(g701 +g1030 +tp2157 +a(g152 +V"g:php_omni_bi_classes" +p2158 +tp2159 +a(g701 +g1039 +tp2160 +a(g822 +V\u000a +p2161 +tp2162 +a(g822 +V +p2163 +tp2164 +a(g740 +Vlet +p2165 +tp2166 +a(g822 +g1022 +tp2167 +a(g740 +Vg +p2168 +tp2169 +a(g822 +g1050 +tp2170 +a(g822 +Vphp_omni_bi_classes +p2171 +tp2172 +a(g822 +g1022 +tp2173 +a(g701 +g1081 +tp2174 +a(g822 +g1022 +tp2175 +a(g822 +g1854 +tp2176 +a(g822 +g1856 +tp2177 +a(g822 +V\u000a +p2178 +tp2179 +a(g822 +V +p2180 +tp2181 +a(g740 +Vfor +p2182 +tp2183 +a(g822 +g1022 +tp2184 +a(g740 +g1865 +tp2185 +a(g822 +g1022 +tp2186 +a(g740 +Vin +p2187 +tp2188 +a(g822 +g1022 +tp2189 +a(g822 +Vkeys +p2190 +tp2191 +a(g701 +g1030 +tp2192 +a(g740 +g2168 +tp2193 +a(g822 +g1050 +tp2194 +a(g822 +Vphp_builtin_object_functions +p2195 +tp2196 +a(g701 +g1039 +tp2197 +a(g822 +V\u000a +p2198 +tp2199 +a(g822 +V +p2200 +tp2201 +a(g740 +Vlet +p2202 +tp2203 +a(g822 +g1022 +tp2204 +a(g740 +g2168 +tp2205 +a(g822 +g1050 +tp2206 +a(g822 +Vphp_omni_bi_classes +p2207 +tp2208 +a(g822 +g1186 +tp2209 +a(g822 +Vsubstitute +p2210 +tp2211 +a(g701 +g1030 +tp2212 +a(g740 +g1865 +tp2213 +a(g701 +g1034 +tp2214 +a(g822 +g1022 +tp2215 +a(g225 +V'::.*$' +p2216 +tp2217 +a(g701 +g1034 +tp2218 +a(g822 +g1022 +tp2219 +a(g225 +V'' +p2220 +tp2221 +a(g701 +g1034 +tp2222 +a(g822 +g1022 +tp2223 +a(g225 +V'' +p2224 +tp2225 +a(g701 +g1039 +tp2226 +a(g822 +g1192 +tp2227 +a(g822 +g1022 +tp2228 +a(g701 +g1081 +tp2229 +a(g822 +g1022 +tp2230 +a(g225 +V'' +p2231 +tp2232 +a(g822 +V\u000a +p2233 +tp2234 +a(g822 +V +p2235 +tp2236 +a(g740 +Vendfor +p2237 +tp2238 +a(g822 +V\u000a +p2239 +tp2240 +a(g822 +V +p2241 +tp2242 +a(g740 +Vendif +p2243 +tp2244 +a(g822 +V\u000a +p2245 +tp2246 +a(g822 +V\u000a +p2247 +tp2248 +a(g822 +V +p2249 +tp2250 +a(g740 +Vlet +p2251 +tp2252 +a(g822 +g1022 +tp2253 +a(g822 +Vclasses +p2254 +tp2255 +a(g822 +g1022 +tp2256 +a(g701 +g1081 +tp2257 +a(g822 +g1022 +tp2258 +a(g740 +Vsort +p2259 +tp2260 +a(g701 +g1030 +tp2261 +a(g822 +Vkeys +p2262 +tp2263 +a(g701 +g1030 +tp2264 +a(g822 +Vint_classes +p2265 +tp2266 +a(g701 +g1039 +tp2267 +a(g701 +g1039 +tp2268 +a(g822 +V\u000a +p2269 +tp2270 +a(g822 +V +p2271 +tp2272 +a(g740 +Vlet +p2273 +tp2274 +a(g822 +g1022 +tp2275 +a(g822 +Vclasses +p2276 +tp2277 +a(g822 +g1022 +tp2278 +a(g701 +V+ +p2279 +tp2280 +a(g701 +g1081 +tp2281 +a(g822 +g1022 +tp2282 +a(g740 +Vsort +p2283 +tp2284 +a(g701 +g1030 +tp2285 +a(g822 +Vkeys +p2286 +tp2287 +a(g701 +g1030 +tp2288 +a(g822 +Vext_classes +p2289 +tp2290 +a(g701 +g1039 +tp2291 +a(g701 +g1039 +tp2292 +a(g822 +V\u000a +p2293 +tp2294 +a(g822 +V +p2295 +tp2296 +a(g740 +Vlet +p2297 +tp2298 +a(g822 +g1022 +tp2299 +a(g822 +Vclasses +p2300 +tp2301 +a(g822 +g1022 +tp2302 +a(g701 +g2279 +tp2303 +a(g701 +g1081 +tp2304 +a(g822 +g1022 +tp2305 +a(g740 +Vsort +p2306 +tp2307 +a(g701 +g1030 +tp2308 +a(g822 +Vkeys +p2309 +tp2310 +a(g701 +g1030 +tp2311 +a(g740 +g2168 +tp2312 +a(g822 +g1050 +tp2313 +a(g822 +Vphp_omni_bi_classes +p2314 +tp2315 +a(g701 +g1039 +tp2316 +a(g701 +g1039 +tp2317 +a(g822 +V\u000a +p2318 +tp2319 +a(g822 +V\u000a +p2320 +tp2321 +a(g822 +V +p2322 +tp2323 +a(g740 +Vfor +p2324 +tp2325 +a(g822 +g1022 +tp2326 +a(g740 +Vm +p2327 +tp2328 +a(g822 +g1022 +tp2329 +a(g740 +Vin +p2330 +tp2331 +a(g822 +g1022 +tp2332 +a(g822 +Vclasses +p2333 +tp2334 +a(g822 +V\u000a +p2335 +tp2336 +a(g822 +V +p2337 +tp2338 +a(g740 +Vif +p2339 +tp2340 +a(g822 +g1022 +tp2341 +a(g740 +g2327 +tp2342 +a(g822 +g1022 +tp2343 +a(g701 +g1081 +tp2344 +a(g701 +g1441 +tp2345 +a(g822 +g1022 +tp2346 +a(g225 +V'^' +p2347 +tp2348 +a(g822 +g2009 +tp2349 +a(g740 +g1048 +tp2350 +a(g822 +g1050 +tp2351 +a(g822 +Vbase +p2352 +tp2353 +a(g822 +V\u000a +p2354 +tp2355 +a(g822 +V +p2356 +tp2357 +a(g740 +Vcall +p2358 +tp2359 +a(g822 +g1022 +tp2360 +a(g822 +Vadd +p2361 +tp2362 +a(g701 +g1030 +tp2363 +a(g740 +Vres +p2364 +tp2365 +a(g701 +g1034 +tp2366 +a(g822 +g1022 +tp2367 +a(g740 +g2327 +tp2368 +a(g701 +g1039 +tp2369 +a(g822 +V\u000a +p2370 +tp2371 +a(g822 +V +p2372 +tp2373 +a(g740 +Vendif +p2374 +tp2375 +a(g822 +V\u000a +p2376 +tp2377 +a(g822 +V +p2378 +tp2379 +a(g740 +Vendfor +p2380 +tp2381 +a(g822 +V\u000a +p2382 +tp2383 +a(g822 +V\u000a +p2384 +tp2385 +a(g822 +V +p2386 +tp2387 +a(g740 +Vlet +p2388 +tp2389 +a(g822 +g1022 +tp2390 +a(g822 +Vfinal_menu +p2391 +tp2392 +a(g822 +g1022 +tp2393 +a(g701 +g1081 +tp2394 +a(g822 +g1022 +tp2395 +a(g822 +g1186 +tp2396 +a(g822 +g1192 +tp2397 +a(g822 +V\u000a +p2398 +tp2399 +a(g822 +V +p2400 +tp2401 +a(g740 +Vfor +p2402 +tp2403 +a(g822 +g1022 +tp2404 +a(g740 +g1865 +tp2405 +a(g822 +g1022 +tp2406 +a(g740 +Vin +p2407 +tp2408 +a(g822 +g1022 +tp2409 +a(g740 +Vres +p2410 +tp2411 +a(g822 +V\u000a +p2412 +tp2413 +a(g822 +V +p2414 +tp2415 +a(g740 +Vlet +p2416 +tp2417 +a(g822 +g1022 +tp2418 +a(g822 +Vfinal_menu +p2419 +tp2420 +a(g822 +g1022 +tp2421 +a(g701 +g2279 +tp2422 +a(g701 +g1081 +tp2423 +a(g822 +g1022 +tp2424 +a(g822 +g1186 +tp2425 +a(g822 +g1854 +tp2426 +a(g225 +V'word' +p2427 +tp2428 +a(g822 +g1050 +tp2429 +a(g740 +g1865 +tp2430 +a(g701 +g1034 +tp2431 +a(g822 +g1022 +tp2432 +a(g225 +V'kind' +p2433 +tp2434 +a(g822 +g1050 +tp2435 +a(g225 +V'c' +p2436 +tp2437 +a(g822 +g1856 +tp2438 +a(g822 +g1192 +tp2439 +a(g822 +V\u000a +p2440 +tp2441 +a(g822 +V +p2442 +tp2443 +a(g740 +Vendfor +p2444 +tp2445 +a(g822 +V\u000a +p2446 +tp2447 +a(g822 +V\u000a +p2448 +tp2449 +a(g822 +V +p2450 +tp2451 +a(g740 +Vreturn +p2452 +tp2453 +a(g822 +g1022 +tp2454 +a(g822 +Vfinal_menu +p2455 +tp2456 +a(g822 +V\u000a +p2457 +tp2458 +a(g822 +V\u000a +p2459 +tp2460 +a(g822 +g1043 +tp2461 +a(g740 +Velseif +p2462 +tp2463 +a(g822 +g1022 +tp2464 +a(g822 +Vscontext +p2465 +tp2466 +a(g822 +g1022 +tp2467 +a(g701 +g1081 +tp2468 +a(g701 +g1441 +tp2469 +a(g822 +g1022 +tp2470 +a(g225 +V'\u005c(->\u005c|::\u005c)$' +p2471 +tp2472 +a(g822 +V\u000a +p2473 +tp2474 +a(g7 +V " Complete user functions and variables +p2475 +tp2476 +a(g822 +V\u000a +p2477 +tp2478 +a(g7 +V " Internal solution for current file. +p2479 +tp2480 +a(g822 +V\u000a +p2481 +tp2482 +a(g7 +V " That seems as unnecessary repeating of functions but there are +p2483 +tp2484 +a(g822 +V\u000a +p2485 +tp2486 +a(g7 +V " few not so subtle differences as not appending of $ and addition +p2487 +tp2488 +a(g822 +V\u000a +p2489 +tp2490 +a(g7 +V " of 'kind' tag (not necessary in regular completion) +p2491 +tp2492 +a(g822 +V\u000a +p2493 +tp2494 +a(g822 +V\u000a +p2495 +tp2496 +a(g822 +V +p2497 +tp2498 +a(g740 +Vif +p2499 +tp2500 +a(g822 +g1022 +tp2501 +a(g822 +Vscontext +p2502 +tp2503 +a(g822 +g1022 +tp2504 +a(g701 +g1081 +tp2505 +a(g701 +g1441 +tp2506 +a(g822 +g1022 +tp2507 +a(g225 +V'->$' +p2508 +tp2509 +a(g822 +g1022 +tp2510 +a(g822 +g1195 +tp2511 +a(g822 +g1195 +tp2512 +a(g822 +g1022 +tp2513 +a(g822 +Vscontext +p2514 +tp2515 +a(g822 +g1022 +tp2516 +a(g701 +g1020 +tp2517 +a(g701 +g1441 +tp2518 +a(g822 +g1022 +tp2519 +a(g225 +V'\u005c$this->$' +p2520 +tp2521 +a(g822 +V\u000a +p2522 +tp2523 +a(g7 +V\u000a " Get name of the class +p2524 +tp2525 +a(g822 +V\u000a +p2526 +tp2527 +a(g822 +V +p2528 +tp2529 +a(g740 +Vlet +p2530 +tp2531 +a(g822 +g1022 +tp2532 +a(g822 +Vclassname +p2533 +tp2534 +a(g822 +g1022 +tp2535 +a(g701 +g1081 +tp2536 +a(g822 +g1022 +tp2537 +a(g822 +Vphpcomplete +p2538 +tp2539 +a(g822 +g1026 +tp2540 +a(g822 +VGetClassName +p2541 +tp2542 +a(g701 +g1030 +tp2543 +a(g822 +Vscontext +p2544 +tp2545 +a(g701 +g1039 +tp2546 +a(g822 +V\u000a +p2547 +tp2548 +a(g7 +V\u000a " Get location of class definition, we have to iterate through all +p2549 +tp2550 +a(g822 +V\u000a +p2551 +tp2552 +a(g7 +V " tags files separately because we need relative path from current +p2553 +tp2554 +a(g822 +V\u000a +p2555 +tp2556 +a(g7 +V " file to the exact file (tags file can be in different dir) +p2557 +tp2558 +a(g822 +V\u000a +p2559 +tp2560 +a(g822 +V +p2561 +tp2562 +a(g740 +Vif +p2563 +tp2564 +a(g822 +g1022 +tp2565 +a(g822 +Vclassname +p2566 +tp2567 +a(g822 +g1022 +tp2568 +a(g701 +g1020 +tp2569 +a(g701 +g1081 +tp2570 +a(g822 +g1022 +tp2571 +a(g225 +V'' +p2572 +tp2573 +a(g822 +V\u000a +p2574 +tp2575 +a(g822 +V +p2576 +tp2577 +a(g740 +Vlet +p2578 +tp2579 +a(g822 +g1022 +tp2580 +a(g822 +Vclasslocation +p2581 +tp2582 +a(g822 +g1022 +tp2583 +a(g701 +g1081 +tp2584 +a(g822 +g1022 +tp2585 +a(g822 +Vphpcomplete +p2586 +tp2587 +a(g822 +g1026 +tp2588 +a(g822 +VGetClassLocation +p2589 +tp2590 +a(g701 +g1030 +tp2591 +a(g822 +Vclassname +p2592 +tp2593 +a(g701 +g1039 +tp2594 +a(g822 +V\u000a +p2595 +tp2596 +a(g822 +V +p2597 +tp2598 +a(g740 +Velse +p2599 +tp2600 +a(g822 +V\u000a +p2601 +tp2602 +a(g822 +V +p2603 +tp2604 +a(g740 +Vlet +p2605 +tp2606 +a(g822 +g1022 +tp2607 +a(g822 +Vclasslocation +p2608 +tp2609 +a(g822 +g1022 +tp2610 +a(g701 +g1081 +tp2611 +a(g822 +g1022 +tp2612 +a(g225 +V'' +p2613 +tp2614 +a(g822 +V\u000a +p2615 +tp2616 +a(g822 +V +p2617 +tp2618 +a(g740 +Vendif +p2619 +tp2620 +a(g822 +V\u000a +p2621 +tp2622 +a(g822 +V\u000a +p2623 +tp2624 +a(g822 +V +p2625 +tp2626 +a(g740 +Vif +p2627 +tp2628 +a(g822 +g1022 +tp2629 +a(g822 +Vclasslocation +p2630 +tp2631 +a(g822 +g1022 +tp2632 +a(g701 +g1081 +tp2633 +a(g701 +g1081 +tp2634 +a(g822 +g1022 +tp2635 +a(g225 +V'VIMPHP_BUILTINOBJECT' +p2636 +tp2637 +a(g822 +V\u000a +p2638 +tp2639 +a(g822 +V\u000a +p2640 +tp2641 +a(g822 +V +p2642 +tp2643 +a(g740 +Vfor +p2644 +tp2645 +a(g822 +g1022 +tp2646 +a(g822 +Vobject +p2647 +tp2648 +a(g822 +g1022 +tp2649 +a(g740 +Vin +p2650 +tp2651 +a(g822 +g1022 +tp2652 +a(g822 +Vkeys +p2653 +tp2654 +a(g701 +g1030 +tp2655 +a(g740 +g2168 +tp2656 +a(g822 +g1050 +tp2657 +a(g822 +Vphp_builtin_object_functions +p2658 +tp2659 +a(g701 +g1039 +tp2660 +a(g822 +V\u000a +p2661 +tp2662 +a(g822 +V +p2663 +tp2664 +a(g740 +Vif +p2665 +tp2666 +a(g822 +g1022 +tp2667 +a(g822 +Vobject +p2668 +tp2669 +a(g822 +g1022 +tp2670 +a(g701 +g1081 +tp2671 +a(g701 +g1441 +tp2672 +a(g822 +g1022 +tp2673 +a(g225 +V'^' +p2674 +tp2675 +a(g822 +g2009 +tp2676 +a(g822 +Vclassname +p2677 +tp2678 +a(g822 +V\u000a +p2679 +tp2680 +a(g822 +V +p2681 +tp2682 +a(g740 +Vlet +p2683 +tp2684 +a(g822 +g1022 +tp2685 +a(g740 +Vres +p2686 +tp2687 +a(g822 +g1022 +tp2688 +a(g701 +g2279 +tp2689 +a(g701 +g1081 +tp2690 +a(g822 +g1022 +tp2691 +a(g822 +g1186 +tp2692 +a(g822 +g1854 +tp2693 +a(g225 +V'word' +p2694 +tp2695 +a(g822 +g1050 +tp2696 +a(g822 +Vsubstitute +p2697 +tp2698 +a(g701 +g1030 +tp2699 +a(g822 +Vobject +p2700 +tp2701 +a(g701 +g1034 +tp2702 +a(g822 +g1022 +tp2703 +a(g225 +V'.*::' +p2704 +tp2705 +a(g701 +g1034 +tp2706 +a(g822 +g1022 +tp2707 +a(g225 +V'' +p2708 +tp2709 +a(g701 +g1034 +tp2710 +a(g822 +g1022 +tp2711 +a(g225 +V'' +p2712 +tp2713 +a(g701 +g1039 +tp2714 +a(g701 +g1034 +tp2715 +a(g822 +V\u000a +p2716 +tp2717 +a(g822 +V +p2718 +tp2719 +a(g822 +V\u005c +p2720 +tp2721 +a(g822 +V +p2722 +tp2723 +a(g225 +V'info' +p2724 +tp2725 +a(g822 +g1050 +tp2726 +a(g822 +g1022 +tp2727 +a(g740 +g2168 +tp2728 +a(g822 +g1050 +tp2729 +a(g822 +Vphp_builtin_object_functions +p2730 +tp2731 +a(g822 +g1186 +tp2732 +a(g822 +Vobject +p2733 +tp2734 +a(g822 +g1192 +tp2735 +a(g822 +g1856 +tp2736 +a(g822 +g1192 +tp2737 +a(g822 +V\u000a +p2738 +tp2739 +a(g822 +V +p2740 +tp2741 +a(g740 +Vendif +p2742 +tp2743 +a(g822 +V\u000a +p2744 +tp2745 +a(g822 +V +p2746 +tp2747 +a(g740 +Vendfor +p2748 +tp2749 +a(g822 +V\u000a +p2750 +tp2751 +a(g822 +V\u000a +p2752 +tp2753 +a(g822 +V +p2754 +tp2755 +a(g740 +Vreturn +p2756 +tp2757 +a(g822 +g1022 +tp2758 +a(g740 +Vres +p2759 +tp2760 +a(g822 +V\u000a +p2761 +tp2762 +a(g822 +V\u000a +p2763 +tp2764 +a(g822 +V +p2765 +tp2766 +a(g740 +Vendif +p2767 +tp2768 +a(g822 +V\u000a +p2769 +tp2770 +a(g822 +V\u000a +p2771 +tp2772 +a(g822 +V +p2773 +tp2774 +a(g740 +Vif +p2775 +tp2776 +a(g822 +g1022 +tp2777 +a(g822 +Vfilereadable +p2778 +tp2779 +a(g701 +g1030 +tp2780 +a(g822 +Vclasslocation +p2781 +tp2782 +a(g701 +g1039 +tp2783 +a(g822 +V\u000a +p2784 +tp2785 +a(g822 +V +p2786 +tp2787 +a(g740 +Vlet +p2788 +tp2789 +a(g822 +g1022 +tp2790 +a(g822 +Vclassfile +p2791 +tp2792 +a(g822 +g1022 +tp2793 +a(g701 +g1081 +tp2794 +a(g822 +g1022 +tp2795 +a(g822 +Vreadfile +p2796 +tp2797 +a(g701 +g1030 +tp2798 +a(g822 +Vclasslocation +p2799 +tp2800 +a(g701 +g1039 +tp2801 +a(g822 +V\u000a +p2802 +tp2803 +a(g822 +V +p2804 +tp2805 +a(g740 +Vlet +p2806 +tp2807 +a(g822 +g1022 +tp2808 +a(g822 +Vclasscontent +p2809 +tp2810 +a(g822 +g1022 +tp2811 +a(g701 +g1081 +tp2812 +a(g822 +g1022 +tp2813 +a(g225 +V'' +p2814 +tp2815 +a(g822 +V\u000a +p2816 +tp2817 +a(g822 +V +p2818 +tp2819 +a(g740 +Vlet +p2820 +tp2821 +a(g822 +g1022 +tp2822 +a(g822 +Vclasscontent +p2823 +tp2824 +a(g822 +g1022 +tp2825 +a(g822 +g2009 +tp2826 +a(g701 +g1081 +tp2827 +a(g822 +g1022 +tp2828 +a(g152 +V"\u005cn" +p2829 +tp2830 +a(g822 +g2009 +tp2831 +a(g822 +Vphpcomplete +p2832 +tp2833 +a(g822 +g1026 +tp2834 +a(g822 +VGetClassContents +p2835 +tp2836 +a(g701 +g1030 +tp2837 +a(g822 +Vclassfile +p2838 +tp2839 +a(g701 +g1034 +tp2840 +a(g822 +g1022 +tp2841 +a(g822 +Vclassname +p2842 +tp2843 +a(g701 +g1039 +tp2844 +a(g822 +V\u000a +p2845 +tp2846 +a(g822 +V +p2847 +tp2848 +a(g740 +Vlet +p2849 +tp2850 +a(g822 +g1022 +tp2851 +a(g822 +Vsccontent +p2852 +tp2853 +a(g822 +g1022 +tp2854 +a(g701 +g1081 +tp2855 +a(g822 +g1022 +tp2856 +a(g822 +Vsplit +p2857 +tp2858 +a(g701 +g1030 +tp2859 +a(g822 +Vclasscontent +p2860 +tp2861 +a(g701 +g1034 +tp2862 +a(g822 +g1022 +tp2863 +a(g152 +V"\u005cn" +p2864 +tp2865 +a(g701 +g1039 +tp2866 +a(g822 +V\u000a +p2867 +tp2868 +a(g7 +V\u000a " YES, YES, YES! - we have whole content including extends! +p2869 +tp2870 +a(g822 +V\u000a +p2871 +tp2872 +a(g7 +V " Now we need to get two elements: public functions and public +p2873 +tp2874 +a(g822 +V\u000a +p2875 +tp2876 +a(g7 +V " vars +p2877 +tp2878 +a(g822 +V\u000a +p2879 +tp2880 +a(g7 +V " NO, NO, NO! - third separate filtering looking for content +p2881 +tp2882 +a(g822 +V\u000a +p2883 +tp2884 +a(g7 +V " :(, but all of them have differences. To squeeze them into +p2885 +tp2886 +a(g822 +V\u000a +p2887 +tp2888 +a(g7 +V " one implementation would require many additional arguments +p2889 +tp2890 +a(g822 +V\u000a +p2891 +tp2892 +a(g7 +V " and ifs. No good solution +p2893 +tp2894 +a(g822 +V\u000a +p2895 +tp2896 +a(g7 +V " Functions declared with public keyword or without any +p2897 +tp2898 +a(g822 +V\u000a +p2899 +tp2900 +a(g7 +V " keyword are public +p2901 +tp2902 +a(g822 +V\u000a +p2903 +tp2904 +a(g822 +V +p2905 +tp2906 +a(g740 +Vlet +p2907 +tp2908 +a(g822 +g1022 +tp2909 +a(g822 +Vfunctions +p2910 +tp2911 +a(g822 +g1022 +tp2912 +a(g701 +g1081 +tp2913 +a(g822 +g1022 +tp2914 +a(g822 +Vfilter +p2915 +tp2916 +a(g701 +g1030 +tp2917 +a(g822 +Vdeepcopy +p2918 +tp2919 +a(g701 +g1030 +tp2920 +a(g822 +Vsccontent +p2921 +tp2922 +a(g701 +g1039 +tp2923 +a(g701 +g1034 +tp2924 +a(g822 +V\u000a +p2925 +tp2926 +a(g822 +V +p2927 +tp2928 +a(g822 +V\u005c +p2929 +tp2930 +a(g822 +g1022 +tp2931 +a(g225 +V'v:val =~ "^\u005c\u005cs*\u005c\u005c(static\u005c\u005cs\u005c\u005c+\u005c\u005c|public\u005c\u005cs\u005c\u005c+\u005c\u005c)*function"' +p2932 +tp2933 +a(g701 +g1039 +tp2934 +a(g822 +V\u000a +p2935 +tp2936 +a(g822 +V +p2937 +tp2938 +a(g740 +Vlet +p2939 +tp2940 +a(g822 +g1022 +tp2941 +a(g822 +Vjfuncs +p2942 +tp2943 +a(g822 +g1022 +tp2944 +a(g701 +g1081 +tp2945 +a(g822 +g1022 +tp2946 +a(g740 +Vjoin +p2947 +tp2948 +a(g701 +g1030 +tp2949 +a(g822 +Vfunctions +p2950 +tp2951 +a(g701 +g1034 +tp2952 +a(g822 +g1022 +tp2953 +a(g225 +V' ' +p2954 +tp2955 +a(g701 +g1039 +tp2956 +a(g822 +V\u000a +p2957 +tp2958 +a(g822 +V +p2959 +tp2960 +a(g740 +Vlet +p2961 +tp2962 +a(g822 +g1022 +tp2963 +a(g822 +Vsfuncs +p2964 +tp2965 +a(g822 +g1022 +tp2966 +a(g701 +g1081 +tp2967 +a(g822 +g1022 +tp2968 +a(g822 +Vsplit +p2969 +tp2970 +a(g701 +g1030 +tp2971 +a(g822 +Vjfuncs +p2972 +tp2973 +a(g701 +g1034 +tp2974 +a(g822 +g1022 +tp2975 +a(g225 +V'function\u005cs\u005c+' +p2976 +tp2977 +a(g701 +g1039 +tp2978 +a(g822 +V\u000a +p2979 +tp2980 +a(g822 +V +p2981 +tp2982 +a(g740 +Vlet +p2983 +tp2984 +a(g822 +g1022 +tp2985 +a(g822 +Vc_functions +p2986 +tp2987 +a(g822 +g1022 +tp2988 +a(g701 +g1081 +tp2989 +a(g822 +g1022 +tp2990 +a(g822 +g1854 +tp2991 +a(g822 +g1856 +tp2992 +a(g822 +V\u000a +p2993 +tp2994 +a(g822 +V +p2995 +tp2996 +a(g740 +Vfor +p2997 +tp2998 +a(g822 +g1022 +tp2999 +a(g740 +g1865 +tp3000 +a(g822 +g1022 +tp3001 +a(g740 +Vin +p3002 +tp3003 +a(g822 +g1022 +tp3004 +a(g822 +Vsfuncs +p3005 +tp3006 +a(g822 +V\u000a +p3007 +tp3008 +a(g822 +V +p3009 +tp3010 +a(g740 +Vlet +p3011 +tp3012 +a(g822 +g1022 +tp3013 +a(g822 +Vf_name +p3014 +tp3015 +a(g822 +g1022 +tp3016 +a(g701 +g1081 +tp3017 +a(g822 +g1022 +tp3018 +a(g822 +Vmatchstr +p3019 +tp3020 +a(g701 +g1030 +tp3021 +a(g740 +g1865 +tp3022 +a(g701 +g1034 +tp3023 +a(g822 +V\u000a +p3024 +tp3025 +a(g822 +V +p3026 +tp3027 +a(g822 +V\u005c +p3028 +tp3029 +a(g822 +g1022 +tp3030 +a(g225 +V'^&\u005c?\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p3031 +tp3032 +a(g701 +g1039 +tp3033 +a(g822 +V\u000a +p3034 +tp3035 +a(g822 +V +p3036 +tp3037 +a(g740 +Vlet +p3038 +tp3039 +a(g822 +g1022 +tp3040 +a(g822 +Vf_args +p3041 +tp3042 +a(g822 +g1022 +tp3043 +a(g701 +g1081 +tp3044 +a(g822 +g1022 +tp3045 +a(g822 +Vmatchstr +p3046 +tp3047 +a(g701 +g1030 +tp3048 +a(g740 +g1865 +tp3049 +a(g701 +g1034 +tp3050 +a(g822 +V\u000a +p3051 +tp3052 +a(g822 +V +p3053 +tp3054 +a(g822 +V\u005c +p3055 +tp3056 +a(g822 +g1022 +tp3057 +a(g225 +V'^&\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*(\u005czs.\u005c{-}\u005cze)\u005c_s*{' +p3058 +tp3059 +a(g701 +g1039 +tp3060 +a(g822 +V\u000a +p3061 +tp3062 +a(g822 +V +p3063 +tp3064 +a(g740 +Vif +p3065 +tp3066 +a(g822 +g1022 +tp3067 +a(g822 +Vf_name +p3068 +tp3069 +a(g822 +g1022 +tp3070 +a(g701 +g1020 +tp3071 +a(g701 +g1081 +tp3072 +a(g822 +g1022 +tp3073 +a(g225 +V'' +p3074 +tp3075 +a(g822 +V\u000a +p3076 +tp3077 +a(g822 +V +p3078 +tp3079 +a(g740 +Vlet +p3080 +tp3081 +a(g822 +g1022 +tp3082 +a(g822 +Vc_functions +p3083 +tp3084 +a(g822 +g1186 +tp3085 +a(g822 +Vf_name +p3086 +tp3087 +a(g822 +g2009 +tp3088 +a(g225 +V'(' +p3089 +tp3090 +a(g822 +g1192 +tp3091 +a(g822 +g1022 +tp3092 +a(g701 +g1081 +tp3093 +a(g822 +g1022 +tp3094 +a(g822 +Vf_args +p3095 +tp3096 +a(g822 +V\u000a +p3097 +tp3098 +a(g822 +V +p3099 +tp3100 +a(g740 +Vendif +p3101 +tp3102 +a(g822 +V\u000a +p3103 +tp3104 +a(g822 +V +p3105 +tp3106 +a(g740 +Vendfor +p3107 +tp3108 +a(g822 +V\u000a +p3109 +tp3110 +a(g7 +V " Variables declared with var or with public keyword are +p3111 +tp3112 +a(g822 +V\u000a +p3113 +tp3114 +a(g7 +V " public +p3115 +tp3116 +a(g822 +V\u000a +p3117 +tp3118 +a(g822 +V +p3119 +tp3120 +a(g740 +Vlet +p3121 +tp3122 +a(g822 +g1022 +tp3123 +a(g822 +Vvariables +p3124 +tp3125 +a(g822 +g1022 +tp3126 +a(g701 +g1081 +tp3127 +a(g822 +g1022 +tp3128 +a(g822 +Vfilter +p3129 +tp3130 +a(g701 +g1030 +tp3131 +a(g822 +Vdeepcopy +p3132 +tp3133 +a(g701 +g1030 +tp3134 +a(g822 +Vsccontent +p3135 +tp3136 +a(g701 +g1039 +tp3137 +a(g701 +g1034 +tp3138 +a(g822 +V\u000a +p3139 +tp3140 +a(g822 +V +p3141 +tp3142 +a(g822 +V\u005c +p3143 +tp3144 +a(g822 +g1022 +tp3145 +a(g225 +V'v:val =~ "^\u005c\u005cs*\u005c\u005c(public\u005c\u005c|var\u005c\u005c)\u005c\u005cs\u005c\u005c+\u005c\u005c$"' +p3146 +tp3147 +a(g701 +g1039 +tp3148 +a(g822 +V\u000a +p3149 +tp3150 +a(g822 +V +p3151 +tp3152 +a(g740 +Vlet +p3153 +tp3154 +a(g822 +g1022 +tp3155 +a(g822 +Vjvars +p3156 +tp3157 +a(g822 +g1022 +tp3158 +a(g701 +g1081 +tp3159 +a(g822 +g1022 +tp3160 +a(g740 +Vjoin +p3161 +tp3162 +a(g701 +g1030 +tp3163 +a(g822 +Vvariables +p3164 +tp3165 +a(g701 +g1034 +tp3166 +a(g822 +g1022 +tp3167 +a(g225 +V' ' +p3168 +tp3169 +a(g701 +g1039 +tp3170 +a(g822 +V\u000a +p3171 +tp3172 +a(g822 +V +p3173 +tp3174 +a(g740 +Vlet +p3175 +tp3176 +a(g822 +g1022 +tp3177 +a(g822 +Vsvars +p3178 +tp3179 +a(g822 +g1022 +tp3180 +a(g701 +g1081 +tp3181 +a(g822 +g1022 +tp3182 +a(g822 +Vsplit +p3183 +tp3184 +a(g701 +g1030 +tp3185 +a(g822 +Vjvars +p3186 +tp3187 +a(g701 +g1034 +tp3188 +a(g822 +g1022 +tp3189 +a(g225 +V'\u005c$' +p3190 +tp3191 +a(g701 +g1039 +tp3192 +a(g822 +V\u000a +p3193 +tp3194 +a(g822 +V +p3195 +tp3196 +a(g740 +Vlet +p3197 +tp3198 +a(g822 +g1022 +tp3199 +a(g822 +Vc_variables +p3200 +tp3201 +a(g822 +g1022 +tp3202 +a(g701 +g1081 +tp3203 +a(g822 +g1022 +tp3204 +a(g822 +g1854 +tp3205 +a(g822 +g1856 +tp3206 +a(g822 +V\u000a +p3207 +tp3208 +a(g822 +V +p3209 +tp3210 +a(g740 +Vfor +p3211 +tp3212 +a(g822 +g1022 +tp3213 +a(g740 +g1865 +tp3214 +a(g822 +g1022 +tp3215 +a(g740 +Vin +p3216 +tp3217 +a(g822 +g1022 +tp3218 +a(g822 +Vsvars +p3219 +tp3220 +a(g822 +V\u000a +p3221 +tp3222 +a(g822 +V +p3223 +tp3224 +a(g740 +Vlet +p3225 +tp3226 +a(g822 +g1022 +tp3227 +a(g822 +Vc_var +p3228 +tp3229 +a(g822 +g1022 +tp3230 +a(g701 +g1081 +tp3231 +a(g822 +g1022 +tp3232 +a(g822 +Vmatchstr +p3233 +tp3234 +a(g701 +g1030 +tp3235 +a(g740 +g1865 +tp3236 +a(g701 +g1034 +tp3237 +a(g822 +V\u000a +p3238 +tp3239 +a(g822 +V +p3240 +tp3241 +a(g822 +V\u005c +p3242 +tp3243 +a(g822 +g1022 +tp3244 +a(g225 +V'^\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p3245 +tp3246 +a(g701 +g1039 +tp3247 +a(g822 +V\u000a +p3248 +tp3249 +a(g822 +V +p3250 +tp3251 +a(g740 +Vif +p3252 +tp3253 +a(g822 +g1022 +tp3254 +a(g822 +Vc_var +p3255 +tp3256 +a(g822 +g1022 +tp3257 +a(g701 +g1020 +tp3258 +a(g701 +g1081 +tp3259 +a(g822 +g1022 +tp3260 +a(g225 +V'' +p3261 +tp3262 +a(g822 +V\u000a +p3263 +tp3264 +a(g822 +V +p3265 +tp3266 +a(g740 +Vlet +p3267 +tp3268 +a(g822 +g1022 +tp3269 +a(g822 +Vc_variables +p3270 +tp3271 +a(g822 +g1186 +tp3272 +a(g822 +Vc_var +p3273 +tp3274 +a(g822 +g1192 +tp3275 +a(g822 +g1022 +tp3276 +a(g701 +g1081 +tp3277 +a(g822 +g1022 +tp3278 +a(g225 +V'' +p3279 +tp3280 +a(g822 +V\u000a +p3281 +tp3282 +a(g822 +V +p3283 +tp3284 +a(g740 +Vendif +p3285 +tp3286 +a(g822 +V\u000a +p3287 +tp3288 +a(g822 +V +p3289 +tp3290 +a(g740 +Vendfor +p3291 +tp3292 +a(g822 +V\u000a +p3293 +tp3294 +a(g822 +V\u000a +p3295 +tp3296 +a(g822 +V +p3297 +tp3298 +a(g740 +Vlet +p3299 +tp3300 +a(g822 +g1022 +tp3301 +a(g822 +Vall_values +p3302 +tp3303 +a(g822 +g1022 +tp3304 +a(g701 +g1081 +tp3305 +a(g822 +g1022 +tp3306 +a(g822 +g1854 +tp3307 +a(g822 +g1856 +tp3308 +a(g822 +V\u000a +p3309 +tp3310 +a(g822 +V +p3311 +tp3312 +a(g740 +Vcall +p3313 +tp3314 +a(g822 +g1022 +tp3315 +a(g822 +Vextend +p3316 +tp3317 +a(g701 +g1030 +tp3318 +a(g822 +Vall_values +p3319 +tp3320 +a(g701 +g1034 +tp3321 +a(g822 +g1022 +tp3322 +a(g822 +Vc_functions +p3323 +tp3324 +a(g701 +g1039 +tp3325 +a(g822 +V\u000a +p3326 +tp3327 +a(g822 +V +p3328 +tp3329 +a(g740 +Vcall +p3330 +tp3331 +a(g822 +g1022 +tp3332 +a(g822 +Vextend +p3333 +tp3334 +a(g701 +g1030 +tp3335 +a(g822 +Vall_values +p3336 +tp3337 +a(g701 +g1034 +tp3338 +a(g822 +g1022 +tp3339 +a(g822 +Vc_variables +p3340 +tp3341 +a(g701 +g1039 +tp3342 +a(g822 +V\u000a +p3343 +tp3344 +a(g822 +V\u000a +p3345 +tp3346 +a(g822 +V +p3347 +tp3348 +a(g740 +Vfor +p3349 +tp3350 +a(g822 +g1022 +tp3351 +a(g740 +g2327 +tp3352 +a(g822 +g1022 +tp3353 +a(g740 +Vin +p3354 +tp3355 +a(g822 +g1022 +tp3356 +a(g740 +Vsort +p3357 +tp3358 +a(g701 +g1030 +tp3359 +a(g822 +Vkeys +p3360 +tp3361 +a(g701 +g1030 +tp3362 +a(g822 +Vall_values +p3363 +tp3364 +a(g701 +g1039 +tp3365 +a(g701 +g1039 +tp3366 +a(g822 +V\u000a +p3367 +tp3368 +a(g822 +V +p3369 +tp3370 +a(g740 +Vif +p3371 +tp3372 +a(g822 +g1022 +tp3373 +a(g740 +g2327 +tp3374 +a(g822 +g1022 +tp3375 +a(g701 +g1081 +tp3376 +a(g701 +g1441 +tp3377 +a(g822 +g1022 +tp3378 +a(g225 +V'^' +p3379 +tp3380 +a(g822 +g2009 +tp3381 +a(g740 +g1048 +tp3382 +a(g822 +g1050 +tp3383 +a(g822 +Vbase +p3384 +tp3385 +a(g822 +g1022 +tp3386 +a(g822 +g1195 +tp3387 +a(g822 +g1195 +tp3388 +a(g822 +g1022 +tp3389 +a(g740 +g2327 +tp3390 +a(g822 +g1022 +tp3391 +a(g701 +g1020 +tp3392 +a(g701 +g1441 +tp3393 +a(g822 +g1022 +tp3394 +a(g225 +V'::' +p3395 +tp3396 +a(g822 +V\u000a +p3397 +tp3398 +a(g822 +V +p3399 +tp3400 +a(g740 +Vcall +p3401 +tp3402 +a(g822 +g1022 +tp3403 +a(g822 +Vadd +p3404 +tp3405 +a(g701 +g1030 +tp3406 +a(g740 +Vres +p3407 +tp3408 +a(g701 +g1034 +tp3409 +a(g822 +g1022 +tp3410 +a(g740 +g2327 +tp3411 +a(g701 +g1039 +tp3412 +a(g822 +V\u000a +p3413 +tp3414 +a(g822 +V +p3415 +tp3416 +a(g740 +Velseif +p3417 +tp3418 +a(g822 +g1022 +tp3419 +a(g740 +g2327 +tp3420 +a(g822 +g1022 +tp3421 +a(g701 +g1081 +tp3422 +a(g701 +g1441 +tp3423 +a(g822 +g1022 +tp3424 +a(g225 +V'::' +p3425 +tp3426 +a(g822 +g2009 +tp3427 +a(g740 +g1048 +tp3428 +a(g822 +g1050 +tp3429 +a(g822 +Vbase +p3430 +tp3431 +a(g822 +V\u000a +p3432 +tp3433 +a(g822 +V +p3434 +tp3435 +a(g740 +Vcall +p3436 +tp3437 +a(g822 +g1022 +tp3438 +a(g822 +Vadd +p3439 +tp3440 +a(g701 +g1030 +tp3441 +a(g822 +Vres2 +p3442 +tp3443 +a(g701 +g1034 +tp3444 +a(g822 +g1022 +tp3445 +a(g740 +g2327 +tp3446 +a(g701 +g1039 +tp3447 +a(g822 +V\u000a +p3448 +tp3449 +a(g822 +V +p3450 +tp3451 +a(g740 +Vendif +p3452 +tp3453 +a(g822 +V\u000a +p3454 +tp3455 +a(g822 +V +p3456 +tp3457 +a(g740 +Vendfor +p3458 +tp3459 +a(g822 +V\u000a +p3460 +tp3461 +a(g822 +V\u000a +p3462 +tp3463 +a(g822 +V +p3464 +tp3465 +a(g740 +Vlet +p3466 +tp3467 +a(g822 +g1022 +tp3468 +a(g822 +Vstart_list +p3469 +tp3470 +a(g822 +g1022 +tp3471 +a(g701 +g1081 +tp3472 +a(g822 +g1022 +tp3473 +a(g740 +Vres +p3474 +tp3475 +a(g822 +g1022 +tp3476 +a(g701 +g2279 +tp3477 +a(g822 +g1022 +tp3478 +a(g822 +Vres2 +p3479 +tp3480 +a(g822 +V\u000a +p3481 +tp3482 +a(g822 +V\u000a +p3483 +tp3484 +a(g822 +V +p3485 +tp3486 +a(g740 +Vlet +p3487 +tp3488 +a(g822 +g1022 +tp3489 +a(g822 +Vfinal_list +p3490 +tp3491 +a(g822 +g1022 +tp3492 +a(g701 +g1081 +tp3493 +a(g822 +g1022 +tp3494 +a(g822 +g1186 +tp3495 +a(g822 +g1192 +tp3496 +a(g822 +V\u000a +p3497 +tp3498 +a(g822 +V +p3499 +tp3500 +a(g740 +Vfor +p3501 +tp3502 +a(g822 +g1022 +tp3503 +a(g740 +g1865 +tp3504 +a(g822 +g1022 +tp3505 +a(g740 +Vin +p3506 +tp3507 +a(g822 +g1022 +tp3508 +a(g822 +Vstart_list +p3509 +tp3510 +a(g822 +V\u000a +p3511 +tp3512 +a(g822 +V +p3513 +tp3514 +a(g740 +Vif +p3515 +tp3516 +a(g822 +g1022 +tp3517 +a(g822 +Vhas_key +p3518 +tp3519 +a(g701 +g1030 +tp3520 +a(g822 +Vc_variables +p3521 +tp3522 +a(g701 +g1034 +tp3523 +a(g822 +g1022 +tp3524 +a(g740 +g1865 +tp3525 +a(g701 +g1039 +tp3526 +a(g822 +V\u000a +p3527 +tp3528 +a(g822 +V +p3529 +tp3530 +a(g740 +Vlet +p3531 +tp3532 +a(g822 +g1022 +tp3533 +a(g822 +Vclass +p3534 +tp3535 +a(g822 +g1022 +tp3536 +a(g701 +g1081 +tp3537 +a(g822 +g1022 +tp3538 +a(g225 +V' ' +p3539 +tp3540 +a(g822 +V\u000a +p3541 +tp3542 +a(g822 +V +p3543 +tp3544 +a(g740 +Vif +p3545 +tp3546 +a(g822 +g1022 +tp3547 +a(g822 +Vall_values +p3548 +tp3549 +a(g822 +g1186 +tp3550 +a(g740 +g1865 +tp3551 +a(g822 +g1192 +tp3552 +a(g822 +g1022 +tp3553 +a(g701 +g1020 +tp3554 +a(g701 +g1081 +tp3555 +a(g822 +g1022 +tp3556 +a(g225 +V'' +p3557 +tp3558 +a(g822 +V\u000a +p3559 +tp3560 +a(g822 +V +p3561 +tp3562 +a(g740 +Vlet +p3563 +tp3564 +a(g822 +g1022 +tp3565 +a(g822 +Vclass +p3566 +tp3567 +a(g822 +g1022 +tp3568 +a(g701 +g1081 +tp3569 +a(g822 +g1022 +tp3570 +a(g740 +g1865 +tp3571 +a(g822 +g2009 +tp3572 +a(g225 +V' class ' +p3573 +tp3574 +a(g822 +V\u000a +p3575 +tp3576 +a(g822 +V +p3577 +tp3578 +a(g740 +Vendif +p3579 +tp3580 +a(g822 +V\u000a +p3581 +tp3582 +a(g822 +V +p3583 +tp3584 +a(g740 +Vlet +p3585 +tp3586 +a(g822 +g1022 +tp3587 +a(g822 +Vfinal_list +p3588 +tp3589 +a(g822 +g1022 +tp3590 +a(g701 +g2279 +tp3591 +a(g701 +g1081 +tp3592 +a(g822 +V\u000a +p3593 +tp3594 +a(g822 +V +p3595 +tp3596 +a(g822 +V\u005c +p3597 +tp3598 +a(g822 +g1022 +tp3599 +a(g822 +g1186 +tp3600 +a(g822 +g1854 +tp3601 +a(g225 +V'word' +p3602 +tp3603 +a(g822 +g1050 +tp3604 +a(g740 +g1865 +tp3605 +a(g701 +g1034 +tp3606 +a(g822 +V\u000a +p3607 +tp3608 +a(g822 +V +p3609 +tp3610 +a(g822 +V\u005c +p3611 +tp3612 +a(g822 +V +p3613 +tp3614 +a(g225 +V'info' +p3615 +tp3616 +a(g822 +g1050 +tp3617 +a(g822 +Vclass +p3618 +tp3619 +a(g822 +g2009 +tp3620 +a(g822 +Vall_values +p3621 +tp3622 +a(g822 +g1186 +tp3623 +a(g740 +g1865 +tp3624 +a(g822 +g1192 +tp3625 +a(g701 +g1034 +tp3626 +a(g822 +V\u000a +p3627 +tp3628 +a(g822 +V +p3629 +tp3630 +a(g822 +V\u005c +p3631 +tp3632 +a(g822 +V +p3633 +tp3634 +a(g225 +V'kind' +p3635 +tp3636 +a(g822 +g1050 +tp3637 +a(g225 +V'v' +p3638 +tp3639 +a(g822 +g1856 +tp3640 +a(g822 +g1192 +tp3641 +a(g822 +V\u000a +p3642 +tp3643 +a(g822 +V +p3644 +tp3645 +a(g740 +Velse +p3646 +tp3647 +a(g822 +V\u000a +p3648 +tp3649 +a(g822 +V +p3650 +tp3651 +a(g740 +Vlet +p3652 +tp3653 +a(g822 +g1022 +tp3654 +a(g822 +Vfinal_list +p3655 +tp3656 +a(g822 +g1022 +tp3657 +a(g701 +g2279 +tp3658 +a(g701 +g1081 +tp3659 +a(g822 +V\u000a +p3660 +tp3661 +a(g822 +V +p3662 +tp3663 +a(g822 +V\u005c +p3664 +tp3665 +a(g822 +g1022 +tp3666 +a(g822 +g1186 +tp3667 +a(g822 +g1854 +tp3668 +a(g225 +V'word' +p3669 +tp3670 +a(g822 +g1050 +tp3671 +a(g822 +Vsubstitute +p3672 +tp3673 +a(g701 +g1030 +tp3674 +a(g740 +g1865 +tp3675 +a(g701 +g1034 +tp3676 +a(g822 +g1022 +tp3677 +a(g225 +V'.*::' +p3678 +tp3679 +a(g701 +g1034 +tp3680 +a(g822 +g1022 +tp3681 +a(g225 +V'' +p3682 +tp3683 +a(g701 +g1034 +tp3684 +a(g822 +g1022 +tp3685 +a(g225 +V'' +p3686 +tp3687 +a(g701 +g1039 +tp3688 +a(g701 +g1034 +tp3689 +a(g822 +V\u000a +p3690 +tp3691 +a(g822 +V +p3692 +tp3693 +a(g822 +V\u005c +p3694 +tp3695 +a(g822 +V +p3696 +tp3697 +a(g225 +V'info' +p3698 +tp3699 +a(g822 +g1050 +tp3700 +a(g740 +g1865 +tp3701 +a(g822 +g2009 +tp3702 +a(g822 +Vall_values +p3703 +tp3704 +a(g822 +g1186 +tp3705 +a(g740 +g1865 +tp3706 +a(g822 +g1192 +tp3707 +a(g822 +g2009 +tp3708 +a(g225 +V')' +p3709 +tp3710 +a(g701 +g1034 +tp3711 +a(g822 +V\u000a +p3712 +tp3713 +a(g822 +V +p3714 +tp3715 +a(g822 +V\u005c +p3716 +tp3717 +a(g822 +V +p3718 +tp3719 +a(g225 +V'kind' +p3720 +tp3721 +a(g822 +g1050 +tp3722 +a(g225 +V'f' +p3723 +tp3724 +a(g822 +g1856 +tp3725 +a(g822 +g1192 +tp3726 +a(g822 +V\u000a +p3727 +tp3728 +a(g822 +V +p3729 +tp3730 +a(g740 +Vendif +p3731 +tp3732 +a(g822 +V\u000a +p3733 +tp3734 +a(g822 +V +p3735 +tp3736 +a(g740 +Vendfor +p3737 +tp3738 +a(g822 +V\u000a +p3739 +tp3740 +a(g822 +V\u000a +p3741 +tp3742 +a(g822 +V +p3743 +tp3744 +a(g740 +Vreturn +p3745 +tp3746 +a(g822 +g1022 +tp3747 +a(g822 +Vfinal_list +p3748 +tp3749 +a(g822 +V\u000a +p3750 +tp3751 +a(g822 +V\u000a +p3752 +tp3753 +a(g822 +V +p3754 +tp3755 +a(g740 +Vendif +p3756 +tp3757 +a(g822 +V\u000a +p3758 +tp3759 +a(g822 +V\u000a +p3760 +tp3761 +a(g822 +V +p3762 +tp3763 +a(g740 +Vendif +p3764 +tp3765 +a(g822 +V\u000a +p3766 +tp3767 +a(g822 +V\u000a +p3768 +tp3769 +a(g822 +V +p3770 +tp3771 +a(g740 +Vif +p3772 +tp3773 +a(g822 +g1022 +tp3774 +a(g740 +g1048 +tp3775 +a(g822 +g1050 +tp3776 +a(g822 +Vbase +p3777 +tp3778 +a(g822 +g1022 +tp3779 +a(g701 +g1081 +tp3780 +a(g701 +g1441 +tp3781 +a(g822 +g1022 +tp3782 +a(g225 +V'^\u005c$' +p3783 +tp3784 +a(g822 +V\u000a +p3785 +tp3786 +a(g822 +V +p3787 +tp3788 +a(g740 +Vlet +p3789 +tp3790 +a(g822 +g1022 +tp3791 +a(g822 +Vadddollar +p3792 +tp3793 +a(g822 +g1022 +tp3794 +a(g701 +g1081 +tp3795 +a(g822 +g1022 +tp3796 +a(g225 +V'$' +p3797 +tp3798 +a(g822 +V\u000a +p3799 +tp3800 +a(g822 +V +p3801 +tp3802 +a(g740 +Velse +p3803 +tp3804 +a(g822 +V\u000a +p3805 +tp3806 +a(g822 +V +p3807 +tp3808 +a(g740 +Vlet +p3809 +tp3810 +a(g822 +g1022 +tp3811 +a(g822 +Vadddollar +p3812 +tp3813 +a(g822 +g1022 +tp3814 +a(g701 +g1081 +tp3815 +a(g822 +g1022 +tp3816 +a(g225 +V'' +p3817 +tp3818 +a(g822 +V\u000a +p3819 +tp3820 +a(g822 +V +p3821 +tp3822 +a(g740 +Vendif +p3823 +tp3824 +a(g822 +V\u000a +p3825 +tp3826 +a(g822 +V +p3827 +tp3828 +a(g740 +Vlet +p3829 +tp3830 +a(g822 +g1022 +tp3831 +a(g740 +Vfile +p3832 +tp3833 +a(g822 +g1022 +tp3834 +a(g701 +g1081 +tp3835 +a(g822 +g1022 +tp3836 +a(g822 +Vgetline +p3837 +tp3838 +a(g701 +g1030 +tp3839 +a(g27 +g1232 +tp3840 +a(g701 +g1034 +tp3841 +a(g822 +g1022 +tp3842 +a(g225 +V'$' +p3843 +tp3844 +a(g701 +g1039 +tp3845 +a(g822 +V\u000a +p3846 +tp3847 +a(g822 +V +p3848 +tp3849 +a(g740 +Vlet +p3850 +tp3851 +a(g822 +g1022 +tp3852 +a(g822 +Vjfile +p3853 +tp3854 +a(g822 +g1022 +tp3855 +a(g701 +g1081 +tp3856 +a(g822 +g1022 +tp3857 +a(g740 +Vjoin +p3858 +tp3859 +a(g701 +g1030 +tp3860 +a(g740 +Vfile +p3861 +tp3862 +a(g701 +g1034 +tp3863 +a(g822 +g1022 +tp3864 +a(g225 +V' ' +p3865 +tp3866 +a(g701 +g1039 +tp3867 +a(g822 +V\u000a +p3868 +tp3869 +a(g822 +V +p3870 +tp3871 +a(g740 +Vlet +p3872 +tp3873 +a(g822 +g1022 +tp3874 +a(g822 +Vsfile +p3875 +tp3876 +a(g822 +g1022 +tp3877 +a(g701 +g1081 +tp3878 +a(g822 +g1022 +tp3879 +a(g822 +Vsplit +p3880 +tp3881 +a(g701 +g1030 +tp3882 +a(g822 +Vjfile +p3883 +tp3884 +a(g701 +g1034 +tp3885 +a(g822 +g1022 +tp3886 +a(g225 +V'\u005c$' +p3887 +tp3888 +a(g701 +g1039 +tp3889 +a(g822 +V\u000a +p3890 +tp3891 +a(g822 +V +p3892 +tp3893 +a(g740 +Vlet +p3894 +tp3895 +a(g822 +g1022 +tp3896 +a(g822 +Vint_vars +p3897 +tp3898 +a(g822 +g1022 +tp3899 +a(g701 +g1081 +tp3900 +a(g822 +g1022 +tp3901 +a(g822 +g1854 +tp3902 +a(g822 +g1856 +tp3903 +a(g822 +V\u000a +p3904 +tp3905 +a(g822 +V +p3906 +tp3907 +a(g740 +Vfor +p3908 +tp3909 +a(g822 +g1022 +tp3910 +a(g740 +g1865 +tp3911 +a(g822 +g1022 +tp3912 +a(g740 +Vin +p3913 +tp3914 +a(g822 +g1022 +tp3915 +a(g822 +Vsfile +p3916 +tp3917 +a(g822 +V\u000a +p3918 +tp3919 +a(g822 +V +p3920 +tp3921 +a(g740 +Vif +p3922 +tp3923 +a(g822 +g1022 +tp3924 +a(g740 +g1865 +tp3925 +a(g822 +g1022 +tp3926 +a(g701 +g1081 +tp3927 +a(g701 +g1441 +tp3928 +a(g822 +g1022 +tp3929 +a(g225 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*=\u005cs*new' +p3930 +tp3931 +a(g822 +V\u000a +p3932 +tp3933 +a(g822 +V +p3934 +tp3935 +a(g740 +Vlet +p3936 +tp3937 +a(g822 +g1022 +tp3938 +a(g822 +Vval +p3939 +tp3940 +a(g822 +g1022 +tp3941 +a(g701 +g1081 +tp3942 +a(g822 +g1022 +tp3943 +a(g822 +Vmatchstr +p3944 +tp3945 +a(g701 +g1030 +tp3946 +a(g740 +g1865 +tp3947 +a(g701 +g1034 +tp3948 +a(g822 +g1022 +tp3949 +a(g225 +V'^[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p3950 +tp3951 +a(g701 +g1039 +tp3952 +a(g822 +g2009 +tp3953 +a(g225 +V'->' +p3954 +tp3955 +a(g822 +V\u000a +p3956 +tp3957 +a(g822 +V +p3958 +tp3959 +a(g740 +Velse +p3960 +tp3961 +a(g822 +V\u000a +p3962 +tp3963 +a(g822 +V +p3964 +tp3965 +a(g740 +Vlet +p3966 +tp3967 +a(g822 +g1022 +tp3968 +a(g822 +Vval +p3969 +tp3970 +a(g822 +g1022 +tp3971 +a(g701 +g1081 +tp3972 +a(g822 +g1022 +tp3973 +a(g822 +Vmatchstr +p3974 +tp3975 +a(g701 +g1030 +tp3976 +a(g740 +g1865 +tp3977 +a(g701 +g1034 +tp3978 +a(g822 +g1022 +tp3979 +a(g225 +V'^[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p3980 +tp3981 +a(g701 +g1039 +tp3982 +a(g822 +V\u000a +p3983 +tp3984 +a(g822 +V +p3985 +tp3986 +a(g740 +Vendif +p3987 +tp3988 +a(g822 +V\u000a +p3989 +tp3990 +a(g822 +V +p3991 +tp3992 +a(g740 +Vif +p3993 +tp3994 +a(g822 +g1022 +tp3995 +a(g822 +Vval +p3996 +tp3997 +a(g822 +g1022 +tp3998 +a(g701 +g1020 +tp3999 +a(g701 +g1441 +tp4000 +a(g822 +g1022 +tp4001 +a(g225 +V'' +p4002 +tp4003 +a(g822 +V\u000a +p4004 +tp4005 +a(g822 +V +p4006 +tp4007 +a(g740 +Vlet +p4008 +tp4009 +a(g822 +g1022 +tp4010 +a(g822 +Vint_vars +p4011 +tp4012 +a(g822 +g1186 +tp4013 +a(g822 +Vadddollar +p4014 +tp4015 +a(g822 +g2009 +tp4016 +a(g822 +Vval +p4017 +tp4018 +a(g822 +g1192 +tp4019 +a(g822 +g1022 +tp4020 +a(g701 +g1081 +tp4021 +a(g822 +g1022 +tp4022 +a(g225 +V'' +p4023 +tp4024 +a(g822 +V\u000a +p4025 +tp4026 +a(g822 +V +p4027 +tp4028 +a(g740 +Vendif +p4029 +tp4030 +a(g822 +V\u000a +p4031 +tp4032 +a(g822 +V +p4033 +tp4034 +a(g740 +Vendfor +p4035 +tp4036 +a(g822 +V\u000a +p4037 +tp4038 +a(g7 +V\u000a " ctags has good support for PHP, use tags file for external +p4039 +tp4040 +a(g822 +V\u000a +p4041 +tp4042 +a(g7 +V " variables +p4043 +tp4044 +a(g822 +V\u000a +p4045 +tp4046 +a(g822 +V +p4047 +tp4048 +a(g740 +Vlet +p4049 +tp4050 +a(g822 +g1022 +tp4051 +a(g822 +Vfnames +p4052 +tp4053 +a(g822 +g1022 +tp4054 +a(g701 +g1081 +tp4055 +a(g822 +g1022 +tp4056 +a(g740 +Vjoin +p4057 +tp4058 +a(g701 +g1030 +tp4059 +a(g822 +Vmap +p4060 +tp4061 +a(g701 +g1030 +tp4062 +a(g822 +Vtagfiles +p4063 +tp4064 +a(g701 +g1030 +tp4065 +a(g701 +g1039 +tp4066 +a(g701 +g1034 +tp4067 +a(g822 +g1022 +tp4068 +a(g225 +V'escape(v:val, " \u005c\u005c#%")' +p4069 +tp4070 +a(g701 +g1039 +tp4071 +a(g701 +g1039 +tp4072 +a(g822 +V\u000a +p4073 +tp4074 +a(g822 +V +p4075 +tp4076 +a(g740 +Vlet +p4077 +tp4078 +a(g822 +g1022 +tp4079 +a(g822 +Vext_vars +p4080 +tp4081 +a(g822 +g1022 +tp4082 +a(g701 +g1081 +tp4083 +a(g822 +g1022 +tp4084 +a(g822 +g1854 +tp4085 +a(g822 +g1856 +tp4086 +a(g822 +V\u000a +p4087 +tp4088 +a(g822 +V +p4089 +tp4090 +a(g740 +Vif +p4091 +tp4092 +a(g822 +g1022 +tp4093 +a(g822 +Vfnames +p4094 +tp4095 +a(g822 +g1022 +tp4096 +a(g701 +g1020 +tp4097 +a(g701 +g1081 +tp4098 +a(g822 +g1022 +tp4099 +a(g225 +V'' +p4100 +tp4101 +a(g822 +V\u000a +p4102 +tp4103 +a(g822 +V +p4104 +tp4105 +a(g740 +Vlet +p4106 +tp4107 +a(g822 +g1022 +tp4108 +a(g822 +Vsbase +p4109 +tp4110 +a(g822 +g1022 +tp4111 +a(g701 +g1081 +tp4112 +a(g822 +g1022 +tp4113 +a(g822 +Vsubstitute +p4114 +tp4115 +a(g701 +g1030 +tp4116 +a(g740 +g1048 +tp4117 +a(g822 +g1050 +tp4118 +a(g822 +Vbase +p4119 +tp4120 +a(g701 +g1034 +tp4121 +a(g822 +g1022 +tp4122 +a(g225 +V'^\u005c$' +p4123 +tp4124 +a(g701 +g1034 +tp4125 +a(g822 +g1022 +tp4126 +a(g225 +V'' +p4127 +tp4128 +a(g701 +g1034 +tp4129 +a(g822 +g1022 +tp4130 +a(g225 +V'' +p4131 +tp4132 +a(g701 +g1039 +tp4133 +a(g822 +V\u000a +p4134 +tp4135 +a(g822 +V +p4136 +tp4137 +a(g822 +Vexe +p4138 +tp4139 +a(g822 +g1022 +tp4140 +a(g225 +V'silent! vimgrep /^' +p4141 +tp4142 +a(g822 +g2009 +tp4143 +a(g822 +Vsbase +p4144 +tp4145 +a(g822 +g2009 +tp4146 +a(g225 +V'.*\u005ctv\u005c(\u005ct\u005c|$\u005c)/j ' +p4147 +tp4148 +a(g822 +g2009 +tp4149 +a(g822 +Vfnames +p4150 +tp4151 +a(g822 +V\u000a +p4152 +tp4153 +a(g822 +V +p4154 +tp4155 +a(g740 +Vlet +p4156 +tp4157 +a(g822 +g1022 +tp4158 +a(g822 +Vqflist +p4159 +tp4160 +a(g822 +g1022 +tp4161 +a(g701 +g1081 +tp4162 +a(g822 +g1022 +tp4163 +a(g822 +Vgetqflist +p4164 +tp4165 +a(g701 +g1030 +tp4166 +a(g701 +g1039 +tp4167 +a(g822 +V\u000a +p4168 +tp4169 +a(g822 +V +p4170 +tp4171 +a(g740 +Vif +p4172 +tp4173 +a(g822 +g1022 +tp4174 +a(g822 +Vlen +p4175 +tp4176 +a(g701 +g1030 +tp4177 +a(g822 +Vqflist +p4178 +tp4179 +a(g701 +g1039 +tp4180 +a(g822 +g1022 +tp4181 +a(g701 +g1420 +tp4182 +a(g822 +g1022 +tp4183 +a(g27 +g1188 +tp4184 +a(g822 +V\u000a +p4185 +tp4186 +a(g822 +V +p4187 +tp4188 +a(g740 +Vfor +p4189 +tp4190 +a(g822 +g1022 +tp4191 +a(g822 +Vfield +p4192 +tp4193 +a(g822 +g1022 +tp4194 +a(g740 +Vin +p4195 +tp4196 +a(g822 +g1022 +tp4197 +a(g822 +Vqflist +p4198 +tp4199 +a(g822 +V\u000a +p4200 +tp4201 +a(g822 +V +p4202 +tp4203 +a(g740 +Vlet +p4204 +tp4205 +a(g822 +g1022 +tp4206 +a(g822 +Vitem +p4207 +tp4208 +a(g822 +g1022 +tp4209 +a(g701 +g1081 +tp4210 +a(g822 +g1022 +tp4211 +a(g822 +Vmatchstr +p4212 +tp4213 +a(g701 +g1030 +tp4214 +a(g822 +Vfield +p4215 +tp4216 +a(g822 +g1186 +tp4217 +a(g225 +V'text' +p4218 +tp4219 +a(g822 +g1192 +tp4220 +a(g701 +g1034 +tp4221 +a(g822 +g1022 +tp4222 +a(g225 +V'^[^[:space:]]\u005c+' +p4223 +tp4224 +a(g701 +g1039 +tp4225 +a(g822 +V\u000a +p4226 +tp4227 +a(g7 +V " Add -> if it is possible object declaration +p4228 +tp4229 +a(g822 +V\u000a +p4230 +tp4231 +a(g822 +V +p4232 +tp4233 +a(g740 +Vlet +p4234 +tp4235 +a(g822 +g1022 +tp4236 +a(g822 +Vclassname +p4237 +tp4238 +a(g822 +g1022 +tp4239 +a(g701 +g1081 +tp4240 +a(g822 +g1022 +tp4241 +a(g225 +V'' +p4242 +tp4243 +a(g822 +V\u000a +p4244 +tp4245 +a(g822 +V +p4246 +tp4247 +a(g740 +Vif +p4248 +tp4249 +a(g822 +g1022 +tp4250 +a(g822 +Vfield +p4251 +tp4252 +a(g822 +g1186 +tp4253 +a(g225 +V'text' +p4254 +tp4255 +a(g822 +g1192 +tp4256 +a(g822 +g1022 +tp4257 +a(g701 +g1081 +tp4258 +a(g701 +g1441 +tp4259 +a(g822 +g1022 +tp4260 +a(g822 +Vitem +p4261 +tp4262 +a(g822 +g2009 +tp4263 +a(g225 +V'\u005cs*=\u005cs*new\u005cs\u005c+' +p4264 +tp4265 +a(g822 +V\u000a +p4266 +tp4267 +a(g822 +V +p4268 +tp4269 +a(g740 +Vlet +p4270 +tp4271 +a(g822 +g1022 +tp4272 +a(g822 +Vitem +p4273 +tp4274 +a(g822 +g1022 +tp4275 +a(g701 +g1081 +tp4276 +a(g822 +g1022 +tp4277 +a(g822 +Vitem +p4278 +tp4279 +a(g822 +g2009 +tp4280 +a(g225 +V'->' +p4281 +tp4282 +a(g822 +V\u000a +p4283 +tp4284 +a(g822 +V +p4285 +tp4286 +a(g740 +Vlet +p4287 +tp4288 +a(g822 +g1022 +tp4289 +a(g822 +Vclassname +p4290 +tp4291 +a(g822 +g1022 +tp4292 +a(g701 +g1081 +tp4293 +a(g822 +g1022 +tp4294 +a(g822 +Vmatchstr +p4295 +tp4296 +a(g701 +g1030 +tp4297 +a(g822 +Vfield +p4298 +tp4299 +a(g822 +g1186 +tp4300 +a(g225 +V'text' +p4301 +tp4302 +a(g822 +g1192 +tp4303 +a(g701 +g1034 +tp4304 +a(g822 +V\u000a +p4305 +tp4306 +a(g822 +V +p4307 +tp4308 +a(g822 +V\u005c +p4309 +tp4310 +a(g822 +g1022 +tp4311 +a(g225 +V'=\u005cs*new\u005cs\u005c+\u005czs[a-zA-Z_0-9\u005cx7f-\u005cxff]\u005c+\u005cze' +p4312 +tp4313 +a(g701 +g1039 +tp4314 +a(g822 +V\u000a +p4315 +tp4316 +a(g822 +V +p4317 +tp4318 +a(g740 +Vendif +p4319 +tp4320 +a(g822 +V\u000a +p4321 +tp4322 +a(g822 +V +p4323 +tp4324 +a(g740 +Vlet +p4325 +tp4326 +a(g822 +g1022 +tp4327 +a(g822 +Vext_vars +p4328 +tp4329 +a(g822 +g1186 +tp4330 +a(g822 +Vadddollar +p4331 +tp4332 +a(g822 +g2009 +tp4333 +a(g822 +Vitem +p4334 +tp4335 +a(g822 +g1192 +tp4336 +a(g822 +g1022 +tp4337 +a(g701 +g1081 +tp4338 +a(g822 +g1022 +tp4339 +a(g822 +Vclassname +p4340 +tp4341 +a(g822 +V\u000a +p4342 +tp4343 +a(g822 +V +p4344 +tp4345 +a(g740 +Vendfor +p4346 +tp4347 +a(g822 +V\u000a +p4348 +tp4349 +a(g822 +V +p4350 +tp4351 +a(g740 +Vendif +p4352 +tp4353 +a(g822 +V\u000a +p4354 +tp4355 +a(g822 +V +p4356 +tp4357 +a(g740 +Vendif +p4358 +tp4359 +a(g822 +V\u000a +p4360 +tp4361 +a(g7 +V\u000a " Now we have all variables in int_vars dictionary +p4362 +tp4363 +a(g822 +V\u000a +p4364 +tp4365 +a(g822 +V +p4366 +tp4367 +a(g740 +Vcall +p4368 +tp4369 +a(g822 +g1022 +tp4370 +a(g822 +Vextend +p4371 +tp4372 +a(g701 +g1030 +tp4373 +a(g822 +Vint_vars +p4374 +tp4375 +a(g701 +g1034 +tp4376 +a(g822 +g1022 +tp4377 +a(g822 +Vext_vars +p4378 +tp4379 +a(g701 +g1039 +tp4380 +a(g822 +V\u000a +p4381 +tp4382 +a(g7 +V\u000a " Internal solution for finding functions in current file. +p4383 +tp4384 +a(g822 +V\u000a +p4385 +tp4386 +a(g822 +V +p4387 +tp4388 +a(g740 +Vlet +p4389 +tp4390 +a(g822 +g1022 +tp4391 +a(g740 +Vfile +p4392 +tp4393 +a(g822 +g1022 +tp4394 +a(g701 +g1081 +tp4395 +a(g822 +g1022 +tp4396 +a(g822 +Vgetline +p4397 +tp4398 +a(g701 +g1030 +tp4399 +a(g27 +g1232 +tp4400 +a(g701 +g1034 +tp4401 +a(g822 +g1022 +tp4402 +a(g225 +V'$' +p4403 +tp4404 +a(g701 +g1039 +tp4405 +a(g822 +V\u000a +p4406 +tp4407 +a(g822 +V +p4408 +tp4409 +a(g740 +Vcall +p4410 +tp4411 +a(g822 +g1022 +tp4412 +a(g822 +Vfilter +p4413 +tp4414 +a(g701 +g1030 +tp4415 +a(g740 +Vfile +p4416 +tp4417 +a(g701 +g1034 +tp4418 +a(g822 +V\u000a +p4419 +tp4420 +a(g822 +V +p4421 +tp4422 +a(g822 +V\u005c +p4423 +tp4424 +a(g822 +g1022 +tp4425 +a(g225 +V'v:val =~ "function\u005c\u005cs\u005c\u005c+&\u005c\u005c?[a-zA-Z_\u005c\u005cx7f-\u005c\u005cxff][a-zA-Z_0-9\u005c\u005cx7f-\u005c\u005cxff]*\u005c\u005cs*("' +p4426 +tp4427 +a(g701 +g1039 +tp4428 +a(g822 +V\u000a +p4429 +tp4430 +a(g822 +V +p4431 +tp4432 +a(g740 +Vlet +p4433 +tp4434 +a(g822 +g1022 +tp4435 +a(g822 +Vfnames +p4436 +tp4437 +a(g822 +g1022 +tp4438 +a(g701 +g1081 +tp4439 +a(g822 +g1022 +tp4440 +a(g740 +Vjoin +p4441 +tp4442 +a(g701 +g1030 +tp4443 +a(g822 +Vmap +p4444 +tp4445 +a(g701 +g1030 +tp4446 +a(g822 +Vtagfiles +p4447 +tp4448 +a(g701 +g1030 +tp4449 +a(g701 +g1039 +tp4450 +a(g701 +g1034 +tp4451 +a(g822 +g1022 +tp4452 +a(g225 +V'escape(v:val, " \u005c\u005c#%")' +p4453 +tp4454 +a(g701 +g1039 +tp4455 +a(g701 +g1039 +tp4456 +a(g822 +V\u000a +p4457 +tp4458 +a(g822 +V +p4459 +tp4460 +a(g740 +Vlet +p4461 +tp4462 +a(g822 +g1022 +tp4463 +a(g822 +Vjfile +p4464 +tp4465 +a(g822 +g1022 +tp4466 +a(g701 +g1081 +tp4467 +a(g822 +g1022 +tp4468 +a(g740 +Vjoin +p4469 +tp4470 +a(g701 +g1030 +tp4471 +a(g740 +Vfile +p4472 +tp4473 +a(g701 +g1034 +tp4474 +a(g822 +g1022 +tp4475 +a(g225 +V' ' +p4476 +tp4477 +a(g701 +g1039 +tp4478 +a(g822 +V\u000a +p4479 +tp4480 +a(g822 +V +p4481 +tp4482 +a(g740 +Vlet +p4483 +tp4484 +a(g822 +g1022 +tp4485 +a(g822 +Vint_values +p4486 +tp4487 +a(g822 +g1022 +tp4488 +a(g701 +g1081 +tp4489 +a(g822 +g1022 +tp4490 +a(g822 +Vsplit +p4491 +tp4492 +a(g701 +g1030 +tp4493 +a(g822 +Vjfile +p4494 +tp4495 +a(g701 +g1034 +tp4496 +a(g822 +g1022 +tp4497 +a(g225 +V'function\u005cs\u005c+' +p4498 +tp4499 +a(g701 +g1039 +tp4500 +a(g822 +V\u000a +p4501 +tp4502 +a(g822 +V +p4503 +tp4504 +a(g740 +Vlet +p4505 +tp4506 +a(g822 +g1022 +tp4507 +a(g822 +Vint_functions +p4508 +tp4509 +a(g822 +g1022 +tp4510 +a(g701 +g1081 +tp4511 +a(g822 +g1022 +tp4512 +a(g822 +g1854 +tp4513 +a(g822 +g1856 +tp4514 +a(g822 +V\u000a +p4515 +tp4516 +a(g822 +V +p4517 +tp4518 +a(g740 +Vfor +p4519 +tp4520 +a(g822 +g1022 +tp4521 +a(g740 +g1865 +tp4522 +a(g822 +g1022 +tp4523 +a(g740 +Vin +p4524 +tp4525 +a(g822 +g1022 +tp4526 +a(g822 +Vint_values +p4527 +tp4528 +a(g822 +V\u000a +p4529 +tp4530 +a(g822 +V +p4531 +tp4532 +a(g740 +Vlet +p4533 +tp4534 +a(g822 +g1022 +tp4535 +a(g822 +Vf_name +p4536 +tp4537 +a(g822 +g1022 +tp4538 +a(g701 +g1081 +tp4539 +a(g822 +g1022 +tp4540 +a(g822 +Vmatchstr +p4541 +tp4542 +a(g701 +g1030 +tp4543 +a(g740 +g1865 +tp4544 +a(g701 +g1034 +tp4545 +a(g822 +V\u000a +p4546 +tp4547 +a(g822 +V +p4548 +tp4549 +a(g822 +V\u005c +p4550 +tp4551 +a(g822 +g1022 +tp4552 +a(g225 +V'^&\u005c?\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p4553 +tp4554 +a(g701 +g1039 +tp4555 +a(g822 +V\u000a +p4556 +tp4557 +a(g822 +V +p4558 +tp4559 +a(g740 +Vlet +p4560 +tp4561 +a(g822 +g1022 +tp4562 +a(g822 +Vf_args +p4563 +tp4564 +a(g822 +g1022 +tp4565 +a(g701 +g1081 +tp4566 +a(g822 +g1022 +tp4567 +a(g822 +Vmatchstr +p4568 +tp4569 +a(g701 +g1030 +tp4570 +a(g740 +g1865 +tp4571 +a(g701 +g1034 +tp4572 +a(g822 +V\u000a +p4573 +tp4574 +a(g822 +V +p4575 +tp4576 +a(g822 +V\u005c +p4577 +tp4578 +a(g822 +g1022 +tp4579 +a(g225 +V'^&\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*(\u005czs.\u005c{-}\u005cze)\u005c_s*{' +p4580 +tp4581 +a(g701 +g1039 +tp4582 +a(g822 +V\u000a +p4583 +tp4584 +a(g822 +V +p4585 +tp4586 +a(g740 +Vlet +p4587 +tp4588 +a(g822 +g1022 +tp4589 +a(g822 +Vint_functions +p4590 +tp4591 +a(g822 +g1186 +tp4592 +a(g822 +Vf_name +p4593 +tp4594 +a(g822 +g2009 +tp4595 +a(g225 +V'(' +p4596 +tp4597 +a(g822 +g1192 +tp4598 +a(g822 +g1022 +tp4599 +a(g701 +g1081 +tp4600 +a(g822 +g1022 +tp4601 +a(g822 +Vf_args +p4602 +tp4603 +a(g822 +g2009 +tp4604 +a(g225 +V')' +p4605 +tp4606 +a(g822 +V\u000a +p4607 +tp4608 +a(g822 +V +p4609 +tp4610 +a(g740 +Vendfor +p4611 +tp4612 +a(g822 +V\u000a +p4613 +tp4614 +a(g7 +V\u000a " Prepare list of functions from tags file +p4615 +tp4616 +a(g822 +V\u000a +p4617 +tp4618 +a(g822 +V +p4619 +tp4620 +a(g740 +Vlet +p4621 +tp4622 +a(g822 +g1022 +tp4623 +a(g822 +Vext_functions +p4624 +tp4625 +a(g822 +g1022 +tp4626 +a(g701 +g1081 +tp4627 +a(g822 +g1022 +tp4628 +a(g822 +g1854 +tp4629 +a(g822 +g1856 +tp4630 +a(g822 +V\u000a +p4631 +tp4632 +a(g822 +V +p4633 +tp4634 +a(g740 +Vif +p4635 +tp4636 +a(g822 +g1022 +tp4637 +a(g822 +Vfnames +p4638 +tp4639 +a(g822 +g1022 +tp4640 +a(g701 +g1020 +tp4641 +a(g701 +g1081 +tp4642 +a(g822 +g1022 +tp4643 +a(g225 +V'' +p4644 +tp4645 +a(g822 +V\u000a +p4646 +tp4647 +a(g822 +V +p4648 +tp4649 +a(g822 +Vexe +p4650 +tp4651 +a(g822 +g1022 +tp4652 +a(g225 +V'silent! vimgrep /^' +p4653 +tp4654 +a(g822 +g2009 +tp4655 +a(g740 +g1048 +tp4656 +a(g822 +g1050 +tp4657 +a(g822 +Vbase +p4658 +tp4659 +a(g822 +g2009 +tp4660 +a(g225 +V'.*\u005ctf\u005c(\u005ct\u005c|$\u005c)/j ' +p4661 +tp4662 +a(g822 +g2009 +tp4663 +a(g822 +Vfnames +p4664 +tp4665 +a(g822 +V\u000a +p4666 +tp4667 +a(g822 +V +p4668 +tp4669 +a(g740 +Vlet +p4670 +tp4671 +a(g822 +g1022 +tp4672 +a(g822 +Vqflist +p4673 +tp4674 +a(g822 +g1022 +tp4675 +a(g701 +g1081 +tp4676 +a(g822 +g1022 +tp4677 +a(g822 +Vgetqflist +p4678 +tp4679 +a(g701 +g1030 +tp4680 +a(g701 +g1039 +tp4681 +a(g822 +V\u000a +p4682 +tp4683 +a(g822 +V +p4684 +tp4685 +a(g740 +Vif +p4686 +tp4687 +a(g822 +g1022 +tp4688 +a(g822 +Vlen +p4689 +tp4690 +a(g701 +g1030 +tp4691 +a(g822 +Vqflist +p4692 +tp4693 +a(g701 +g1039 +tp4694 +a(g822 +g1022 +tp4695 +a(g701 +g1420 +tp4696 +a(g822 +g1022 +tp4697 +a(g27 +g1188 +tp4698 +a(g822 +V\u000a +p4699 +tp4700 +a(g822 +V +p4701 +tp4702 +a(g740 +Vfor +p4703 +tp4704 +a(g822 +g1022 +tp4705 +a(g822 +Vfield +p4706 +tp4707 +a(g822 +g1022 +tp4708 +a(g740 +Vin +p4709 +tp4710 +a(g822 +g1022 +tp4711 +a(g822 +Vqflist +p4712 +tp4713 +a(g822 +V\u000a +p4714 +tp4715 +a(g7 +V " File name +p4716 +tp4717 +a(g822 +V\u000a +p4718 +tp4719 +a(g822 +V +p4720 +tp4721 +a(g740 +Vlet +p4722 +tp4723 +a(g822 +g1022 +tp4724 +a(g822 +Vitem +p4725 +tp4726 +a(g822 +g1022 +tp4727 +a(g701 +g1081 +tp4728 +a(g822 +g1022 +tp4729 +a(g822 +Vmatchstr +p4730 +tp4731 +a(g701 +g1030 +tp4732 +a(g822 +Vfield +p4733 +tp4734 +a(g822 +g1186 +tp4735 +a(g225 +V'text' +p4736 +tp4737 +a(g822 +g1192 +tp4738 +a(g701 +g1034 +tp4739 +a(g822 +g1022 +tp4740 +a(g225 +V'^[^[:space:]]\u005c+' +p4741 +tp4742 +a(g701 +g1039 +tp4743 +a(g822 +V\u000a +p4744 +tp4745 +a(g822 +V +p4746 +tp4747 +a(g740 +Vlet +p4748 +tp4749 +a(g822 +g1022 +tp4750 +a(g822 +Vfname +p4751 +tp4752 +a(g822 +g1022 +tp4753 +a(g701 +g1081 +tp4754 +a(g822 +g1022 +tp4755 +a(g822 +Vmatchstr +p4756 +tp4757 +a(g701 +g1030 +tp4758 +a(g822 +Vfield +p4759 +tp4760 +a(g822 +g1186 +tp4761 +a(g225 +V'text' +p4762 +tp4763 +a(g822 +g1192 +tp4764 +a(g701 +g1034 +tp4765 +a(g822 +g1022 +tp4766 +a(g225 +V'\u005ct\u005czs\u005cf\u005c+\u005cze' +p4767 +tp4768 +a(g701 +g1039 +tp4769 +a(g822 +V\u000a +p4770 +tp4771 +a(g822 +V +p4772 +tp4773 +a(g740 +Vlet +p4774 +tp4775 +a(g822 +g1022 +tp4776 +a(g822 +Vprototype +p4777 +tp4778 +a(g822 +g1022 +tp4779 +a(g701 +g1081 +tp4780 +a(g822 +g1022 +tp4781 +a(g822 +Vmatchstr +p4782 +tp4783 +a(g701 +g1030 +tp4784 +a(g822 +Vfield +p4785 +tp4786 +a(g822 +g1186 +tp4787 +a(g225 +V'text' +p4788 +tp4789 +a(g822 +g1192 +tp4790 +a(g701 +g1034 +tp4791 +a(g822 +V\u000a +p4792 +tp4793 +a(g822 +V +p4794 +tp4795 +a(g822 +V\u005c +p4796 +tp4797 +a(g822 +g1022 +tp4798 +a(g225 +V'function\u005cs\u005c+&\u005c?[^[:space:]]\u005c+\u005cs*(\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)\u005cs*{\u005c?' +p4799 +tp4800 +a(g701 +g1039 +tp4801 +a(g822 +V\u000a +p4802 +tp4803 +a(g822 +V +p4804 +tp4805 +a(g740 +Vlet +p4806 +tp4807 +a(g822 +g1022 +tp4808 +a(g822 +Vext_functions +p4809 +tp4810 +a(g822 +g1186 +tp4811 +a(g822 +Vitem +p4812 +tp4813 +a(g822 +g2009 +tp4814 +a(g225 +V'(' +p4815 +tp4816 +a(g822 +g1192 +tp4817 +a(g822 +g1022 +tp4818 +a(g701 +g1081 +tp4819 +a(g822 +g1022 +tp4820 +a(g822 +Vprototype +p4821 +tp4822 +a(g822 +g2009 +tp4823 +a(g225 +V') - ' +p4824 +tp4825 +a(g822 +g2009 +tp4826 +a(g822 +Vfname +p4827 +tp4828 +a(g822 +V\u000a +p4829 +tp4830 +a(g822 +V +p4831 +tp4832 +a(g740 +Vendfor +p4833 +tp4834 +a(g822 +V\u000a +p4835 +tp4836 +a(g822 +V +p4837 +tp4838 +a(g740 +Vendif +p4839 +tp4840 +a(g822 +V\u000a +p4841 +tp4842 +a(g822 +V +p4843 +tp4844 +a(g740 +Vendif +p4845 +tp4846 +a(g822 +V\u000a +p4847 +tp4848 +a(g822 +V\u000a +p4849 +tp4850 +a(g822 +V +p4851 +tp4852 +a(g740 +Vlet +p4853 +tp4854 +a(g822 +g1022 +tp4855 +a(g822 +Vall_values +p4856 +tp4857 +a(g822 +g1022 +tp4858 +a(g701 +g1081 +tp4859 +a(g822 +g1022 +tp4860 +a(g822 +g1854 +tp4861 +a(g822 +g1856 +tp4862 +a(g822 +V\u000a +p4863 +tp4864 +a(g822 +V +p4865 +tp4866 +a(g740 +Vcall +p4867 +tp4868 +a(g822 +g1022 +tp4869 +a(g822 +Vextend +p4870 +tp4871 +a(g701 +g1030 +tp4872 +a(g822 +Vall_values +p4873 +tp4874 +a(g701 +g1034 +tp4875 +a(g822 +g1022 +tp4876 +a(g822 +Vint_functions +p4877 +tp4878 +a(g701 +g1039 +tp4879 +a(g822 +V\u000a +p4880 +tp4881 +a(g822 +V +p4882 +tp4883 +a(g740 +Vcall +p4884 +tp4885 +a(g822 +g1022 +tp4886 +a(g822 +Vextend +p4887 +tp4888 +a(g701 +g1030 +tp4889 +a(g822 +Vall_values +p4890 +tp4891 +a(g701 +g1034 +tp4892 +a(g822 +g1022 +tp4893 +a(g822 +Vext_functions +p4894 +tp4895 +a(g701 +g1039 +tp4896 +a(g822 +V\u000a +p4897 +tp4898 +a(g822 +V +p4899 +tp4900 +a(g740 +Vcall +p4901 +tp4902 +a(g822 +g1022 +tp4903 +a(g822 +Vextend +p4904 +tp4905 +a(g701 +g1030 +tp4906 +a(g822 +Vall_values +p4907 +tp4908 +a(g701 +g1034 +tp4909 +a(g822 +g1022 +tp4910 +a(g822 +Vint_vars +p4911 +tp4912 +a(g701 +g1039 +tp4913 +a(g822 +g1022 +tp4914 +a(g7 +V" external variables are already in +p4915 +tp4916 +a(g822 +V\u000a +p4917 +tp4918 +a(g822 +V +p4919 +tp4920 +a(g740 +Vcall +p4921 +tp4922 +a(g822 +g1022 +tp4923 +a(g822 +Vextend +p4924 +tp4925 +a(g701 +g1030 +tp4926 +a(g822 +Vall_values +p4927 +tp4928 +a(g701 +g1034 +tp4929 +a(g822 +g1022 +tp4930 +a(g740 +g2168 +tp4931 +a(g822 +g1050 +tp4932 +a(g822 +Vphp_builtin_object_functions +p4933 +tp4934 +a(g701 +g1039 +tp4935 +a(g822 +V\u000a +p4936 +tp4937 +a(g822 +V\u000a +p4938 +tp4939 +a(g822 +V +p4940 +tp4941 +a(g740 +Vfor +p4942 +tp4943 +a(g822 +g1022 +tp4944 +a(g740 +g2327 +tp4945 +a(g822 +g1022 +tp4946 +a(g740 +Vin +p4947 +tp4948 +a(g822 +g1022 +tp4949 +a(g740 +Vsort +p4950 +tp4951 +a(g701 +g1030 +tp4952 +a(g822 +Vkeys +p4953 +tp4954 +a(g701 +g1030 +tp4955 +a(g822 +Vall_values +p4956 +tp4957 +a(g701 +g1039 +tp4958 +a(g701 +g1039 +tp4959 +a(g822 +V\u000a +p4960 +tp4961 +a(g822 +V +p4962 +tp4963 +a(g740 +Vif +p4964 +tp4965 +a(g822 +g1022 +tp4966 +a(g740 +g2327 +tp4967 +a(g822 +g1022 +tp4968 +a(g701 +g1081 +tp4969 +a(g701 +g1441 +tp4970 +a(g822 +g1022 +tp4971 +a(g225 +V'\u005c(^\u005c|::\u005c)' +p4972 +tp4973 +a(g822 +g2009 +tp4974 +a(g740 +g1048 +tp4975 +a(g822 +g1050 +tp4976 +a(g822 +Vbase +p4977 +tp4978 +a(g822 +V\u000a +p4979 +tp4980 +a(g822 +V +p4981 +tp4982 +a(g740 +Vcall +p4983 +tp4984 +a(g822 +g1022 +tp4985 +a(g822 +Vadd +p4986 +tp4987 +a(g701 +g1030 +tp4988 +a(g740 +Vres +p4989 +tp4990 +a(g701 +g1034 +tp4991 +a(g822 +g1022 +tp4992 +a(g740 +g2327 +tp4993 +a(g701 +g1039 +tp4994 +a(g822 +V\u000a +p4995 +tp4996 +a(g822 +V +p4997 +tp4998 +a(g740 +Vendif +p4999 +tp5000 +a(g822 +V\u000a +p5001 +tp5002 +a(g822 +V +p5003 +tp5004 +a(g740 +Vendfor +p5005 +tp5006 +a(g822 +V\u000a +p5007 +tp5008 +a(g822 +V\u000a +p5009 +tp5010 +a(g822 +V +p5011 +tp5012 +a(g740 +Vlet +p5013 +tp5014 +a(g822 +g1022 +tp5015 +a(g822 +Vstart_list +p5016 +tp5017 +a(g822 +g1022 +tp5018 +a(g701 +g1081 +tp5019 +a(g822 +g1022 +tp5020 +a(g740 +Vres +p5021 +tp5022 +a(g822 +V\u000a +p5023 +tp5024 +a(g822 +V\u000a +p5025 +tp5026 +a(g822 +V +p5027 +tp5028 +a(g740 +Vlet +p5029 +tp5030 +a(g822 +g1022 +tp5031 +a(g822 +Vfinal_list +p5032 +tp5033 +a(g822 +g1022 +tp5034 +a(g701 +g1081 +tp5035 +a(g822 +g1022 +tp5036 +a(g822 +g1186 +tp5037 +a(g822 +g1192 +tp5038 +a(g822 +V\u000a +p5039 +tp5040 +a(g822 +V +p5041 +tp5042 +a(g740 +Vfor +p5043 +tp5044 +a(g822 +g1022 +tp5045 +a(g740 +g1865 +tp5046 +a(g822 +g1022 +tp5047 +a(g740 +Vin +p5048 +tp5049 +a(g822 +g1022 +tp5050 +a(g822 +Vstart_list +p5051 +tp5052 +a(g822 +V\u000a +p5053 +tp5054 +a(g822 +V +p5055 +tp5056 +a(g740 +Vif +p5057 +tp5058 +a(g822 +g1022 +tp5059 +a(g822 +Vhas_key +p5060 +tp5061 +a(g701 +g1030 +tp5062 +a(g822 +Vint_vars +p5063 +tp5064 +a(g701 +g1034 +tp5065 +a(g822 +g1022 +tp5066 +a(g740 +g1865 +tp5067 +a(g701 +g1039 +tp5068 +a(g822 +V\u000a +p5069 +tp5070 +a(g822 +V +p5071 +tp5072 +a(g740 +Vlet +p5073 +tp5074 +a(g822 +g1022 +tp5075 +a(g822 +Vclass +p5076 +tp5077 +a(g822 +g1022 +tp5078 +a(g701 +g1081 +tp5079 +a(g822 +g1022 +tp5080 +a(g225 +V' ' +p5081 +tp5082 +a(g822 +V\u000a +p5083 +tp5084 +a(g822 +V +p5085 +tp5086 +a(g740 +Vif +p5087 +tp5088 +a(g822 +g1022 +tp5089 +a(g822 +Vall_values +p5090 +tp5091 +a(g822 +g1186 +tp5092 +a(g740 +g1865 +tp5093 +a(g822 +g1192 +tp5094 +a(g822 +g1022 +tp5095 +a(g701 +g1020 +tp5096 +a(g701 +g1081 +tp5097 +a(g822 +g1022 +tp5098 +a(g225 +V'' +p5099 +tp5100 +a(g822 +V\u000a +p5101 +tp5102 +a(g822 +V +p5103 +tp5104 +a(g740 +Vlet +p5105 +tp5106 +a(g822 +g1022 +tp5107 +a(g822 +Vclass +p5108 +tp5109 +a(g822 +g1022 +tp5110 +a(g701 +g1081 +tp5111 +a(g822 +g1022 +tp5112 +a(g740 +g1865 +tp5113 +a(g822 +g2009 +tp5114 +a(g225 +V' class ' +p5115 +tp5116 +a(g822 +V\u000a +p5117 +tp5118 +a(g822 +V +p5119 +tp5120 +a(g740 +Vendif +p5121 +tp5122 +a(g822 +V\u000a +p5123 +tp5124 +a(g822 +V +p5125 +tp5126 +a(g740 +Vlet +p5127 +tp5128 +a(g822 +g1022 +tp5129 +a(g822 +Vfinal_list +p5130 +tp5131 +a(g822 +g1022 +tp5132 +a(g701 +g2279 +tp5133 +a(g701 +g1081 +tp5134 +a(g822 +g1022 +tp5135 +a(g822 +g1186 +tp5136 +a(g822 +g1854 +tp5137 +a(g225 +V'word' +p5138 +tp5139 +a(g822 +g1050 +tp5140 +a(g740 +g1865 +tp5141 +a(g701 +g1034 +tp5142 +a(g822 +g1022 +tp5143 +a(g225 +V'info' +p5144 +tp5145 +a(g822 +g1050 +tp5146 +a(g822 +Vclass +p5147 +tp5148 +a(g822 +g2009 +tp5149 +a(g822 +Vall_values +p5150 +tp5151 +a(g822 +g1186 +tp5152 +a(g740 +g1865 +tp5153 +a(g822 +g1192 +tp5154 +a(g701 +g1034 +tp5155 +a(g822 +g1022 +tp5156 +a(g225 +V'kind' +p5157 +tp5158 +a(g822 +g1050 +tp5159 +a(g225 +V'v' +p5160 +tp5161 +a(g822 +g1856 +tp5162 +a(g822 +g1192 +tp5163 +a(g822 +V\u000a +p5164 +tp5165 +a(g822 +V +p5166 +tp5167 +a(g740 +Velse +p5168 +tp5169 +a(g822 +V\u000a +p5170 +tp5171 +a(g822 +V +p5172 +tp5173 +a(g740 +Vlet +p5174 +tp5175 +a(g822 +g1022 +tp5176 +a(g822 +Vfinal_list +p5177 +tp5178 +a(g822 +g1022 +tp5179 +a(g701 +g2279 +tp5180 +a(g701 +g1081 +tp5181 +a(g822 +V\u000a +p5182 +tp5183 +a(g822 +V +p5184 +tp5185 +a(g822 +V\u005c +p5186 +tp5187 +a(g822 +g1022 +tp5188 +a(g822 +g1186 +tp5189 +a(g822 +g1854 +tp5190 +a(g225 +V'word' +p5191 +tp5192 +a(g822 +g1050 +tp5193 +a(g822 +Vsubstitute +p5194 +tp5195 +a(g701 +g1030 +tp5196 +a(g740 +g1865 +tp5197 +a(g701 +g1034 +tp5198 +a(g822 +g1022 +tp5199 +a(g225 +V'.*::' +p5200 +tp5201 +a(g701 +g1034 +tp5202 +a(g822 +g1022 +tp5203 +a(g225 +V'' +p5204 +tp5205 +a(g701 +g1034 +tp5206 +a(g822 +g1022 +tp5207 +a(g225 +V'' +p5208 +tp5209 +a(g701 +g1039 +tp5210 +a(g701 +g1034 +tp5211 +a(g822 +V\u000a +p5212 +tp5213 +a(g822 +V +p5214 +tp5215 +a(g822 +V\u005c +p5216 +tp5217 +a(g822 +V +p5218 +tp5219 +a(g225 +V'info' +p5220 +tp5221 +a(g822 +g1050 +tp5222 +a(g740 +g1865 +tp5223 +a(g822 +g2009 +tp5224 +a(g822 +Vall_values +p5225 +tp5226 +a(g822 +g1186 +tp5227 +a(g740 +g1865 +tp5228 +a(g822 +g1192 +tp5229 +a(g701 +g1034 +tp5230 +a(g822 +V\u000a +p5231 +tp5232 +a(g822 +V +p5233 +tp5234 +a(g822 +V\u005c +p5235 +tp5236 +a(g822 +V +p5237 +tp5238 +a(g225 +V'kind' +p5239 +tp5240 +a(g822 +g1050 +tp5241 +a(g225 +V'f' +p5242 +tp5243 +a(g822 +g1856 +tp5244 +a(g822 +g1192 +tp5245 +a(g822 +V\u000a +p5246 +tp5247 +a(g822 +V +p5248 +tp5249 +a(g740 +Vendif +p5250 +tp5251 +a(g822 +V\u000a +p5252 +tp5253 +a(g822 +V +p5254 +tp5255 +a(g740 +Vendfor +p5256 +tp5257 +a(g822 +V\u000a +p5258 +tp5259 +a(g822 +V\u000a +p5260 +tp5261 +a(g822 +V +p5262 +tp5263 +a(g740 +Vreturn +p5264 +tp5265 +a(g822 +g1022 +tp5266 +a(g822 +Vfinal_list +p5267 +tp5268 +a(g822 +V\u000a +p5269 +tp5270 +a(g822 +g1043 +tp5271 +a(g740 +Vendif +p5272 +tp5273 +a(g822 +V\u000a +p5274 +tp5275 +a(g822 +V\u000a +p5276 +tp5277 +a(g822 +g1043 +tp5278 +a(g740 +Vif +p5279 +tp5280 +a(g822 +g1022 +tp5281 +a(g740 +g1048 +tp5282 +a(g822 +g1050 +tp5283 +a(g822 +Vbase +p5284 +tp5285 +a(g822 +g1022 +tp5286 +a(g701 +g1081 +tp5287 +a(g701 +g1441 +tp5288 +a(g822 +g1022 +tp5289 +a(g225 +V'^\u005c$' +p5290 +tp5291 +a(g822 +V\u000a +p5292 +tp5293 +a(g7 +V " Complete variables +p5294 +tp5295 +a(g822 +V\u000a +p5296 +tp5297 +a(g7 +V " Built-in variables {{{ +p5298 +tp5299 +a(g822 +V\u000a +p5300 +tp5301 +a(g822 +V +p5302 +tp5303 +a(g740 +Vlet +p5304 +tp5305 +a(g822 +g1022 +tp5306 +a(g740 +g2168 +tp5307 +a(g822 +g1050 +tp5308 +a(g822 +Vphp_builtin_vars +p5309 +tp5310 +a(g822 +g1022 +tp5311 +a(g701 +g1081 +tp5312 +a(g822 +g1022 +tp5313 +a(g822 +g1854 +tp5314 +a(g225 +V'$GLOBALS' +p5315 +tp5316 +a(g822 +g1050 +tp5317 +a(g225 +V'' +p5318 +tp5319 +a(g701 +g1034 +tp5320 +a(g822 +V\u000a +p5321 +tp5322 +a(g822 +V +p5323 +tp5324 +a(g822 +V\u005c +p5325 +tp5326 +a(g822 +g1022 +tp5327 +a(g225 +V'$_SERVER' +p5328 +tp5329 +a(g822 +g1050 +tp5330 +a(g225 +V'' +p5331 +tp5332 +a(g701 +g1034 +tp5333 +a(g822 +V\u000a +p5334 +tp5335 +a(g822 +V +p5336 +tp5337 +a(g822 +V\u005c +p5338 +tp5339 +a(g822 +g1022 +tp5340 +a(g225 +V'$_GET' +p5341 +tp5342 +a(g822 +g1050 +tp5343 +a(g225 +V'' +p5344 +tp5345 +a(g701 +g1034 +tp5346 +a(g822 +V\u000a +p5347 +tp5348 +a(g822 +V +p5349 +tp5350 +a(g822 +V\u005c +p5351 +tp5352 +a(g822 +g1022 +tp5353 +a(g225 +V'$_POST' +p5354 +tp5355 +a(g822 +g1050 +tp5356 +a(g225 +V'' +p5357 +tp5358 +a(g701 +g1034 +tp5359 +a(g822 +V\u000a +p5360 +tp5361 +a(g822 +V +p5362 +tp5363 +a(g822 +V\u005c +p5364 +tp5365 +a(g822 +g1022 +tp5366 +a(g225 +V'$_COOKIE' +p5367 +tp5368 +a(g822 +g1050 +tp5369 +a(g225 +V'' +p5370 +tp5371 +a(g701 +g1034 +tp5372 +a(g822 +V\u000a +p5373 +tp5374 +a(g822 +V +p5375 +tp5376 +a(g822 +V\u005c +p5377 +tp5378 +a(g822 +g1022 +tp5379 +a(g225 +V'$_FILES' +p5380 +tp5381 +a(g822 +g1050 +tp5382 +a(g225 +V'' +p5383 +tp5384 +a(g701 +g1034 +tp5385 +a(g822 +V\u000a +p5386 +tp5387 +a(g822 +V +p5388 +tp5389 +a(g822 +V\u005c +p5390 +tp5391 +a(g822 +g1022 +tp5392 +a(g225 +V'$_ENV' +p5393 +tp5394 +a(g822 +g1050 +tp5395 +a(g225 +V'' +p5396 +tp5397 +a(g701 +g1034 +tp5398 +a(g822 +V\u000a +p5399 +tp5400 +a(g822 +V +p5401 +tp5402 +a(g822 +V\u005c +p5403 +tp5404 +a(g822 +g1022 +tp5405 +a(g225 +V'$_REQUEST' +p5406 +tp5407 +a(g822 +g1050 +tp5408 +a(g225 +V'' +p5409 +tp5410 +a(g701 +g1034 +tp5411 +a(g822 +V\u000a +p5412 +tp5413 +a(g822 +V +p5414 +tp5415 +a(g822 +V\u005c +p5416 +tp5417 +a(g822 +g1022 +tp5418 +a(g225 +V'$_SESSION' +p5419 +tp5420 +a(g822 +g1050 +tp5421 +a(g225 +V'' +p5422 +tp5423 +a(g701 +g1034 +tp5424 +a(g822 +V\u000a +p5425 +tp5426 +a(g822 +V +p5427 +tp5428 +a(g822 +V\u005c +p5429 +tp5430 +a(g822 +g1022 +tp5431 +a(g225 +V'$HTTP_SERVER_VARS' +p5432 +tp5433 +a(g822 +g1050 +tp5434 +a(g225 +V'' +p5435 +tp5436 +a(g701 +g1034 +tp5437 +a(g822 +V\u000a +p5438 +tp5439 +a(g822 +V +p5440 +tp5441 +a(g822 +V\u005c +p5442 +tp5443 +a(g822 +g1022 +tp5444 +a(g225 +V'$HTTP_ENV_VARS' +p5445 +tp5446 +a(g822 +g1050 +tp5447 +a(g225 +V'' +p5448 +tp5449 +a(g701 +g1034 +tp5450 +a(g822 +V\u000a +p5451 +tp5452 +a(g822 +V +p5453 +tp5454 +a(g822 +V\u005c +p5455 +tp5456 +a(g822 +g1022 +tp5457 +a(g225 +V'$HTTP_COOKIE_VARS' +p5458 +tp5459 +a(g822 +g1050 +tp5460 +a(g225 +V'' +p5461 +tp5462 +a(g701 +g1034 +tp5463 +a(g822 +V\u000a +p5464 +tp5465 +a(g822 +V +p5466 +tp5467 +a(g822 +V\u005c +p5468 +tp5469 +a(g822 +g1022 +tp5470 +a(g225 +V'$HTTP_GET_VARS' +p5471 +tp5472 +a(g822 +g1050 +tp5473 +a(g225 +V'' +p5474 +tp5475 +a(g701 +g1034 +tp5476 +a(g822 +V\u000a +p5477 +tp5478 +a(g822 +V +p5479 +tp5480 +a(g822 +V\u005c +p5481 +tp5482 +a(g822 +g1022 +tp5483 +a(g225 +V'$HTTP_POST_VARS' +p5484 +tp5485 +a(g822 +g1050 +tp5486 +a(g225 +V'' +p5487 +tp5488 +a(g701 +g1034 +tp5489 +a(g822 +V\u000a +p5490 +tp5491 +a(g822 +V +p5492 +tp5493 +a(g822 +V\u005c +p5494 +tp5495 +a(g822 +g1022 +tp5496 +a(g225 +V'$HTTP_POST_FILES' +p5497 +tp5498 +a(g822 +g1050 +tp5499 +a(g225 +V'' +p5500 +tp5501 +a(g701 +g1034 +tp5502 +a(g822 +V\u000a +p5503 +tp5504 +a(g822 +V +p5505 +tp5506 +a(g822 +V\u005c +p5507 +tp5508 +a(g822 +g1022 +tp5509 +a(g225 +V'$HTTP_SESSION_VARS' +p5510 +tp5511 +a(g822 +g1050 +tp5512 +a(g225 +V'' +p5513 +tp5514 +a(g701 +g1034 +tp5515 +a(g822 +V\u000a +p5516 +tp5517 +a(g822 +V +p5518 +tp5519 +a(g822 +V\u005c +p5520 +tp5521 +a(g822 +g1022 +tp5522 +a(g225 +V'$php_errormsg' +p5523 +tp5524 +a(g822 +g1050 +tp5525 +a(g225 +V'' +p5526 +tp5527 +a(g701 +g1034 +tp5528 +a(g822 +V\u000a +p5529 +tp5530 +a(g822 +V +p5531 +tp5532 +a(g822 +V\u005c +p5533 +tp5534 +a(g822 +g1022 +tp5535 +a(g225 +V'$this' +p5536 +tp5537 +a(g822 +g1050 +tp5538 +a(g225 +V'' +p5539 +tp5540 +a(g822 +V\u000a +p5541 +tp5542 +a(g822 +V +p5543 +tp5544 +a(g822 +V\u005c +p5545 +tp5546 +a(g822 +g1022 +tp5547 +a(g822 +g1856 +tp5548 +a(g822 +V\u000a +p5549 +tp5550 +a(g7 +V " }}} +p5551 +tp5552 +a(g822 +V\u000a +p5553 +tp5554 +a(g7 +V\u000a " Internal solution for current file. +p5555 +tp5556 +a(g822 +V\u000a +p5557 +tp5558 +a(g822 +V +p5559 +tp5560 +a(g740 +Vlet +p5561 +tp5562 +a(g822 +g1022 +tp5563 +a(g740 +Vfile +p5564 +tp5565 +a(g822 +g1022 +tp5566 +a(g701 +g1081 +tp5567 +a(g822 +g1022 +tp5568 +a(g822 +Vgetline +p5569 +tp5570 +a(g701 +g1030 +tp5571 +a(g27 +g1232 +tp5572 +a(g701 +g1034 +tp5573 +a(g822 +g1022 +tp5574 +a(g225 +V'$' +p5575 +tp5576 +a(g701 +g1039 +tp5577 +a(g822 +V\u000a +p5578 +tp5579 +a(g822 +V +p5580 +tp5581 +a(g740 +Vlet +p5582 +tp5583 +a(g822 +g1022 +tp5584 +a(g822 +Vjfile +p5585 +tp5586 +a(g822 +g1022 +tp5587 +a(g701 +g1081 +tp5588 +a(g822 +g1022 +tp5589 +a(g740 +Vjoin +p5590 +tp5591 +a(g701 +g1030 +tp5592 +a(g740 +Vfile +p5593 +tp5594 +a(g701 +g1034 +tp5595 +a(g822 +g1022 +tp5596 +a(g225 +V' ' +p5597 +tp5598 +a(g701 +g1039 +tp5599 +a(g822 +V\u000a +p5600 +tp5601 +a(g822 +V +p5602 +tp5603 +a(g740 +Vlet +p5604 +tp5605 +a(g822 +g1022 +tp5606 +a(g822 +Vint_vals +p5607 +tp5608 +a(g822 +g1022 +tp5609 +a(g701 +g1081 +tp5610 +a(g822 +g1022 +tp5611 +a(g822 +Vsplit +p5612 +tp5613 +a(g701 +g1030 +tp5614 +a(g822 +Vjfile +p5615 +tp5616 +a(g701 +g1034 +tp5617 +a(g822 +g1022 +tp5618 +a(g225 +V'\u005cze\u005c$' +p5619 +tp5620 +a(g701 +g1039 +tp5621 +a(g822 +V\u000a +p5622 +tp5623 +a(g822 +V +p5624 +tp5625 +a(g740 +Vlet +p5626 +tp5627 +a(g822 +g1022 +tp5628 +a(g822 +Vint_vars +p5629 +tp5630 +a(g822 +g1022 +tp5631 +a(g701 +g1081 +tp5632 +a(g822 +g1022 +tp5633 +a(g822 +g1854 +tp5634 +a(g822 +g1856 +tp5635 +a(g822 +V\u000a +p5636 +tp5637 +a(g822 +V +p5638 +tp5639 +a(g740 +Vfor +p5640 +tp5641 +a(g822 +g1022 +tp5642 +a(g740 +g1865 +tp5643 +a(g822 +g1022 +tp5644 +a(g740 +Vin +p5645 +tp5646 +a(g822 +g1022 +tp5647 +a(g822 +Vint_vals +p5648 +tp5649 +a(g822 +V\u000a +p5650 +tp5651 +a(g822 +V +p5652 +tp5653 +a(g740 +Vif +p5654 +tp5655 +a(g822 +g1022 +tp5656 +a(g740 +g1865 +tp5657 +a(g822 +g1022 +tp5658 +a(g701 +g1081 +tp5659 +a(g701 +g1441 +tp5660 +a(g822 +g1022 +tp5661 +a(g225 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*=\u005cs*new' +p5662 +tp5663 +a(g822 +V\u000a +p5664 +tp5665 +a(g822 +V +p5666 +tp5667 +a(g740 +Vlet +p5668 +tp5669 +a(g822 +g1022 +tp5670 +a(g822 +Vval +p5671 +tp5672 +a(g822 +g1022 +tp5673 +a(g701 +g1081 +tp5674 +a(g822 +g1022 +tp5675 +a(g822 +Vmatchstr +p5676 +tp5677 +a(g701 +g1030 +tp5678 +a(g740 +g1865 +tp5679 +a(g701 +g1034 +tp5680 +a(g822 +V\u000a +p5681 +tp5682 +a(g822 +V +p5683 +tp5684 +a(g822 +V\u005c +p5685 +tp5686 +a(g822 +g1022 +tp5687 +a(g225 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p5688 +tp5689 +a(g701 +g1039 +tp5690 +a(g822 +g2009 +tp5691 +a(g225 +V'->' +p5692 +tp5693 +a(g822 +V\u000a +p5694 +tp5695 +a(g822 +V +p5696 +tp5697 +a(g740 +Velse +p5698 +tp5699 +a(g822 +V\u000a +p5700 +tp5701 +a(g822 +V +p5702 +tp5703 +a(g740 +Vlet +p5704 +tp5705 +a(g822 +g1022 +tp5706 +a(g822 +Vval +p5707 +tp5708 +a(g822 +g1022 +tp5709 +a(g701 +g1081 +tp5710 +a(g822 +g1022 +tp5711 +a(g822 +Vmatchstr +p5712 +tp5713 +a(g701 +g1030 +tp5714 +a(g740 +g1865 +tp5715 +a(g701 +g1034 +tp5716 +a(g822 +V\u000a +p5717 +tp5718 +a(g822 +V +p5719 +tp5720 +a(g822 +V\u005c +p5721 +tp5722 +a(g822 +g1022 +tp5723 +a(g225 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p5724 +tp5725 +a(g701 +g1039 +tp5726 +a(g822 +V\u000a +p5727 +tp5728 +a(g822 +V +p5729 +tp5730 +a(g740 +Vendif +p5731 +tp5732 +a(g822 +V\u000a +p5733 +tp5734 +a(g822 +V +p5735 +tp5736 +a(g740 +Vif +p5737 +tp5738 +a(g822 +g1022 +tp5739 +a(g822 +Vval +p5740 +tp5741 +a(g822 +g1022 +tp5742 +a(g701 +g1020 +tp5743 +a(g701 +g1081 +tp5744 +a(g822 +g1022 +tp5745 +a(g225 +V'' +p5746 +tp5747 +a(g822 +V\u000a +p5748 +tp5749 +a(g822 +V +p5750 +tp5751 +a(g740 +Vlet +p5752 +tp5753 +a(g822 +g1022 +tp5754 +a(g822 +Vint_vars +p5755 +tp5756 +a(g822 +g1186 +tp5757 +a(g822 +Vval +p5758 +tp5759 +a(g822 +g1192 +tp5760 +a(g822 +g1022 +tp5761 +a(g701 +g1081 +tp5762 +a(g822 +g1022 +tp5763 +a(g225 +V'' +p5764 +tp5765 +a(g822 +V\u000a +p5766 +tp5767 +a(g822 +V +p5768 +tp5769 +a(g740 +Vendif +p5770 +tp5771 +a(g822 +V\u000a +p5772 +tp5773 +a(g822 +V +p5774 +tp5775 +a(g740 +Vendfor +p5776 +tp5777 +a(g822 +V\u000a +p5778 +tp5779 +a(g822 +V\u000a +p5780 +tp5781 +a(g822 +V +p5782 +tp5783 +a(g740 +Vcall +p5784 +tp5785 +a(g822 +g1022 +tp5786 +a(g822 +Vextend +p5787 +tp5788 +a(g701 +g1030 +tp5789 +a(g822 +Vint_vars +p5790 +tp5791 +a(g701 +g1034 +tp5792 +a(g740 +g2168 +tp5793 +a(g822 +g1050 +tp5794 +a(g822 +Vphp_builtin_vars +p5795 +tp5796 +a(g701 +g1039 +tp5797 +a(g822 +V\u000a +p5798 +tp5799 +a(g7 +V\u000a " ctags has support for PHP, use tags file for external variables +p5800 +tp5801 +a(g822 +V\u000a +p5802 +tp5803 +a(g822 +V +p5804 +tp5805 +a(g740 +Vlet +p5806 +tp5807 +a(g822 +g1022 +tp5808 +a(g822 +Vfnames +p5809 +tp5810 +a(g822 +g1022 +tp5811 +a(g701 +g1081 +tp5812 +a(g822 +g1022 +tp5813 +a(g740 +Vjoin +p5814 +tp5815 +a(g701 +g1030 +tp5816 +a(g822 +Vmap +p5817 +tp5818 +a(g701 +g1030 +tp5819 +a(g822 +Vtagfiles +p5820 +tp5821 +a(g701 +g1030 +tp5822 +a(g701 +g1039 +tp5823 +a(g701 +g1034 +tp5824 +a(g822 +g1022 +tp5825 +a(g225 +V'escape(v:val, " \u005c\u005c#%")' +p5826 +tp5827 +a(g701 +g1039 +tp5828 +a(g701 +g1039 +tp5829 +a(g822 +V\u000a +p5830 +tp5831 +a(g822 +V +p5832 +tp5833 +a(g740 +Vlet +p5834 +tp5835 +a(g822 +g1022 +tp5836 +a(g822 +Vext_vars +p5837 +tp5838 +a(g822 +g1022 +tp5839 +a(g701 +g1081 +tp5840 +a(g822 +g1022 +tp5841 +a(g822 +g1854 +tp5842 +a(g822 +g1856 +tp5843 +a(g822 +V\u000a +p5844 +tp5845 +a(g822 +V +p5846 +tp5847 +a(g740 +Vif +p5848 +tp5849 +a(g822 +g1022 +tp5850 +a(g822 +Vfnames +p5851 +tp5852 +a(g822 +g1022 +tp5853 +a(g701 +g1020 +tp5854 +a(g701 +g1081 +tp5855 +a(g822 +g1022 +tp5856 +a(g225 +V'' +p5857 +tp5858 +a(g822 +V\u000a +p5859 +tp5860 +a(g822 +V +p5861 +tp5862 +a(g740 +Vlet +p5863 +tp5864 +a(g822 +g1022 +tp5865 +a(g822 +Vsbase +p5866 +tp5867 +a(g822 +g1022 +tp5868 +a(g701 +g1081 +tp5869 +a(g822 +g1022 +tp5870 +a(g822 +Vsubstitute +p5871 +tp5872 +a(g701 +g1030 +tp5873 +a(g740 +g1048 +tp5874 +a(g822 +g1050 +tp5875 +a(g822 +Vbase +p5876 +tp5877 +a(g701 +g1034 +tp5878 +a(g822 +g1022 +tp5879 +a(g225 +V'^\u005c$' +p5880 +tp5881 +a(g701 +g1034 +tp5882 +a(g822 +g1022 +tp5883 +a(g225 +V'' +p5884 +tp5885 +a(g701 +g1034 +tp5886 +a(g822 +g1022 +tp5887 +a(g225 +V'' +p5888 +tp5889 +a(g701 +g1039 +tp5890 +a(g822 +V\u000a +p5891 +tp5892 +a(g822 +V +p5893 +tp5894 +a(g822 +Vexe +p5895 +tp5896 +a(g822 +g1022 +tp5897 +a(g225 +V'silent! vimgrep /^' +p5898 +tp5899 +a(g822 +g2009 +tp5900 +a(g822 +Vsbase +p5901 +tp5902 +a(g822 +g2009 +tp5903 +a(g225 +V'.*\u005ctv\u005c(\u005ct\u005c|$\u005c)/j ' +p5904 +tp5905 +a(g822 +g2009 +tp5906 +a(g822 +Vfnames +p5907 +tp5908 +a(g822 +V\u000a +p5909 +tp5910 +a(g822 +V +p5911 +tp5912 +a(g740 +Vlet +p5913 +tp5914 +a(g822 +g1022 +tp5915 +a(g822 +Vqflist +p5916 +tp5917 +a(g822 +g1022 +tp5918 +a(g701 +g1081 +tp5919 +a(g822 +g1022 +tp5920 +a(g822 +Vgetqflist +p5921 +tp5922 +a(g701 +g1030 +tp5923 +a(g701 +g1039 +tp5924 +a(g822 +V\u000a +p5925 +tp5926 +a(g822 +V +p5927 +tp5928 +a(g740 +Vif +p5929 +tp5930 +a(g822 +g1022 +tp5931 +a(g822 +Vlen +p5932 +tp5933 +a(g701 +g1030 +tp5934 +a(g822 +Vqflist +p5935 +tp5936 +a(g701 +g1039 +tp5937 +a(g822 +g1022 +tp5938 +a(g701 +g1420 +tp5939 +a(g822 +g1022 +tp5940 +a(g27 +g1188 +tp5941 +a(g822 +V\u000a +p5942 +tp5943 +a(g822 +V +p5944 +tp5945 +a(g740 +Vfor +p5946 +tp5947 +a(g822 +g1022 +tp5948 +a(g822 +Vfield +p5949 +tp5950 +a(g822 +g1022 +tp5951 +a(g740 +Vin +p5952 +tp5953 +a(g822 +g1022 +tp5954 +a(g822 +Vqflist +p5955 +tp5956 +a(g822 +V\u000a +p5957 +tp5958 +a(g822 +V +p5959 +tp5960 +a(g740 +Vlet +p5961 +tp5962 +a(g822 +g1022 +tp5963 +a(g822 +Vitem +p5964 +tp5965 +a(g822 +g1022 +tp5966 +a(g701 +g1081 +tp5967 +a(g822 +g1022 +tp5968 +a(g225 +V'$' +p5969 +tp5970 +a(g822 +g2009 +tp5971 +a(g822 +Vmatchstr +p5972 +tp5973 +a(g701 +g1030 +tp5974 +a(g822 +Vfield +p5975 +tp5976 +a(g822 +g1186 +tp5977 +a(g225 +V'text' +p5978 +tp5979 +a(g822 +g1192 +tp5980 +a(g701 +g1034 +tp5981 +a(g822 +g1022 +tp5982 +a(g225 +V'^[^[:space:]]\u005c+' +p5983 +tp5984 +a(g701 +g1039 +tp5985 +a(g822 +V\u000a +p5986 +tp5987 +a(g822 +V +p5988 +tp5989 +a(g740 +Vlet +p5990 +tp5991 +a(g822 +g1022 +tp5992 +a(g822 +Vm_menu +p5993 +tp5994 +a(g822 +g1022 +tp5995 +a(g701 +g1081 +tp5996 +a(g822 +g1022 +tp5997 +a(g225 +V'' +p5998 +tp5999 +a(g822 +V\u000a +p6000 +tp6001 +a(g7 +V " Add -> if it is possible object declaration +p6002 +tp6003 +a(g822 +V\u000a +p6004 +tp6005 +a(g822 +V +p6006 +tp6007 +a(g740 +Vif +p6008 +tp6009 +a(g822 +g1022 +tp6010 +a(g822 +Vfield +p6011 +tp6012 +a(g822 +g1186 +tp6013 +a(g225 +V'text' +p6014 +tp6015 +a(g822 +g1192 +tp6016 +a(g822 +g1022 +tp6017 +a(g701 +g1081 +tp6018 +a(g701 +g1441 +tp6019 +a(g822 +g1022 +tp6020 +a(g822 +Vitem +p6021 +tp6022 +a(g822 +g2009 +tp6023 +a(g225 +V'\u005cs*=\u005cs*new\u005cs\u005c+' +p6024 +tp6025 +a(g822 +V\u000a +p6026 +tp6027 +a(g822 +V +p6028 +tp6029 +a(g740 +Vlet +p6030 +tp6031 +a(g822 +g1022 +tp6032 +a(g822 +Vitem +p6033 +tp6034 +a(g822 +g1022 +tp6035 +a(g701 +g1081 +tp6036 +a(g822 +g1022 +tp6037 +a(g822 +Vitem +p6038 +tp6039 +a(g822 +g2009 +tp6040 +a(g225 +V'->' +p6041 +tp6042 +a(g822 +V\u000a +p6043 +tp6044 +a(g822 +V +p6045 +tp6046 +a(g740 +Vlet +p6047 +tp6048 +a(g822 +g1022 +tp6049 +a(g822 +Vm_menu +p6050 +tp6051 +a(g822 +g1022 +tp6052 +a(g701 +g1081 +tp6053 +a(g822 +g1022 +tp6054 +a(g822 +Vmatchstr +p6055 +tp6056 +a(g701 +g1030 +tp6057 +a(g822 +Vfield +p6058 +tp6059 +a(g822 +g1186 +tp6060 +a(g225 +V'text' +p6061 +tp6062 +a(g822 +g1192 +tp6063 +a(g701 +g1034 +tp6064 +a(g822 +V\u000a +p6065 +tp6066 +a(g822 +V +p6067 +tp6068 +a(g822 +V\u005c +p6069 +tp6070 +a(g822 +g1022 +tp6071 +a(g225 +V'=\u005cs*new\u005cs\u005c+\u005czs[a-zA-Z_0-9\u005cx7f-\u005cxff]\u005c+\u005cze' +p6072 +tp6073 +a(g701 +g1039 +tp6074 +a(g822 +V\u000a +p6075 +tp6076 +a(g822 +V +p6077 +tp6078 +a(g740 +Vendif +p6079 +tp6080 +a(g822 +V\u000a +p6081 +tp6082 +a(g822 +V +p6083 +tp6084 +a(g740 +Vlet +p6085 +tp6086 +a(g822 +g1022 +tp6087 +a(g822 +Vext_vars +p6088 +tp6089 +a(g822 +g1186 +tp6090 +a(g822 +Vitem +p6091 +tp6092 +a(g822 +g1192 +tp6093 +a(g822 +g1022 +tp6094 +a(g701 +g1081 +tp6095 +a(g822 +g1022 +tp6096 +a(g822 +Vm_menu +p6097 +tp6098 +a(g822 +V\u000a +p6099 +tp6100 +a(g822 +V +p6101 +tp6102 +a(g740 +Vendfor +p6103 +tp6104 +a(g822 +V\u000a +p6105 +tp6106 +a(g822 +V +p6107 +tp6108 +a(g740 +Vendif +p6109 +tp6110 +a(g822 +V\u000a +p6111 +tp6112 +a(g822 +V +p6113 +tp6114 +a(g740 +Vendif +p6115 +tp6116 +a(g822 +V\u000a +p6117 +tp6118 +a(g822 +V\u000a +p6119 +tp6120 +a(g822 +V +p6121 +tp6122 +a(g740 +Vcall +p6123 +tp6124 +a(g822 +g1022 +tp6125 +a(g822 +Vextend +p6126 +tp6127 +a(g701 +g1030 +tp6128 +a(g822 +Vint_vars +p6129 +tp6130 +a(g701 +g1034 +tp6131 +a(g822 +g1022 +tp6132 +a(g822 +Vext_vars +p6133 +tp6134 +a(g701 +g1039 +tp6135 +a(g822 +V\u000a +p6136 +tp6137 +a(g822 +V +p6138 +tp6139 +a(g740 +Vlet +p6140 +tp6141 +a(g822 +g1022 +tp6142 +a(g740 +g2168 +tp6143 +a(g822 +g1050 +tp6144 +a(g822 +Va0 +p6145 +tp6146 +a(g822 +g1022 +tp6147 +a(g701 +g1081 +tp6148 +a(g822 +g1022 +tp6149 +a(g822 +Vkeys +p6150 +tp6151 +a(g701 +g1030 +tp6152 +a(g822 +Vint_vars +p6153 +tp6154 +a(g701 +g1039 +tp6155 +a(g822 +V\u000a +p6156 +tp6157 +a(g822 +V\u000a +p6158 +tp6159 +a(g822 +V +p6160 +tp6161 +a(g740 +Vfor +p6162 +tp6163 +a(g822 +g1022 +tp6164 +a(g740 +g2327 +tp6165 +a(g822 +g1022 +tp6166 +a(g740 +Vin +p6167 +tp6168 +a(g822 +g1022 +tp6169 +a(g740 +Vsort +p6170 +tp6171 +a(g701 +g1030 +tp6172 +a(g822 +Vkeys +p6173 +tp6174 +a(g701 +g1030 +tp6175 +a(g822 +Vint_vars +p6176 +tp6177 +a(g701 +g1039 +tp6178 +a(g701 +g1039 +tp6179 +a(g822 +V\u000a +p6180 +tp6181 +a(g822 +V +p6182 +tp6183 +a(g740 +Vif +p6184 +tp6185 +a(g822 +g1022 +tp6186 +a(g740 +g2327 +tp6187 +a(g822 +g1022 +tp6188 +a(g701 +g1081 +tp6189 +a(g701 +g1441 +tp6190 +a(g822 +g1022 +tp6191 +a(g225 +V'^\u005c' +p6192 +tp6193 +a(g822 +g2009 +tp6194 +a(g740 +g1048 +tp6195 +a(g822 +g1050 +tp6196 +a(g822 +Vbase +p6197 +tp6198 +a(g822 +V\u000a +p6199 +tp6200 +a(g822 +V +p6201 +tp6202 +a(g740 +Vcall +p6203 +tp6204 +a(g822 +g1022 +tp6205 +a(g822 +Vadd +p6206 +tp6207 +a(g701 +g1030 +tp6208 +a(g740 +Vres +p6209 +tp6210 +a(g701 +g1034 +tp6211 +a(g822 +g1022 +tp6212 +a(g740 +g2327 +tp6213 +a(g701 +g1039 +tp6214 +a(g822 +V\u000a +p6215 +tp6216 +a(g822 +V +p6217 +tp6218 +a(g740 +Vendif +p6219 +tp6220 +a(g822 +V\u000a +p6221 +tp6222 +a(g822 +V +p6223 +tp6224 +a(g740 +Vendfor +p6225 +tp6226 +a(g822 +V\u000a +p6227 +tp6228 +a(g822 +V\u000a +p6229 +tp6230 +a(g822 +V +p6231 +tp6232 +a(g740 +Vlet +p6233 +tp6234 +a(g822 +g1022 +tp6235 +a(g822 +Vint_list +p6236 +tp6237 +a(g822 +g1022 +tp6238 +a(g701 +g1081 +tp6239 +a(g822 +g1022 +tp6240 +a(g740 +Vres +p6241 +tp6242 +a(g822 +V\u000a +p6243 +tp6244 +a(g822 +V\u000a +p6245 +tp6246 +a(g822 +V +p6247 +tp6248 +a(g740 +Vlet +p6249 +tp6250 +a(g822 +g1022 +tp6251 +a(g822 +Vint_dict +p6252 +tp6253 +a(g822 +g1022 +tp6254 +a(g701 +g1081 +tp6255 +a(g822 +g1022 +tp6256 +a(g822 +g1186 +tp6257 +a(g822 +g1192 +tp6258 +a(g822 +V\u000a +p6259 +tp6260 +a(g822 +V +p6261 +tp6262 +a(g740 +Vfor +p6263 +tp6264 +a(g822 +g1022 +tp6265 +a(g740 +g1865 +tp6266 +a(g822 +g1022 +tp6267 +a(g740 +Vin +p6268 +tp6269 +a(g822 +g1022 +tp6270 +a(g822 +Vint_list +p6271 +tp6272 +a(g822 +V\u000a +p6273 +tp6274 +a(g822 +V +p6275 +tp6276 +a(g740 +Vif +p6277 +tp6278 +a(g822 +g1022 +tp6279 +a(g822 +Vint_vars +p6280 +tp6281 +a(g822 +g1186 +tp6282 +a(g740 +g1865 +tp6283 +a(g822 +g1192 +tp6284 +a(g822 +g1022 +tp6285 +a(g701 +g1020 +tp6286 +a(g701 +g1081 +tp6287 +a(g822 +g1022 +tp6288 +a(g225 +V'' +p6289 +tp6290 +a(g822 +V\u000a +p6291 +tp6292 +a(g822 +V +p6293 +tp6294 +a(g740 +Vlet +p6295 +tp6296 +a(g822 +g1022 +tp6297 +a(g822 +Vclass +p6298 +tp6299 +a(g822 +g1022 +tp6300 +a(g701 +g1081 +tp6301 +a(g822 +g1022 +tp6302 +a(g225 +V' ' +p6303 +tp6304 +a(g822 +V\u000a +p6305 +tp6306 +a(g822 +V +p6307 +tp6308 +a(g740 +Vif +p6309 +tp6310 +a(g822 +g1022 +tp6311 +a(g822 +Vint_vars +p6312 +tp6313 +a(g822 +g1186 +tp6314 +a(g740 +g1865 +tp6315 +a(g822 +g1192 +tp6316 +a(g822 +g1022 +tp6317 +a(g701 +g1020 +tp6318 +a(g701 +g1081 +tp6319 +a(g822 +g1022 +tp6320 +a(g225 +V'' +p6321 +tp6322 +a(g822 +V\u000a +p6323 +tp6324 +a(g822 +V +p6325 +tp6326 +a(g740 +Vlet +p6327 +tp6328 +a(g822 +g1022 +tp6329 +a(g822 +Vclass +p6330 +tp6331 +a(g822 +g1022 +tp6332 +a(g701 +g1081 +tp6333 +a(g822 +g1022 +tp6334 +a(g740 +g1865 +tp6335 +a(g822 +g2009 +tp6336 +a(g225 +V' class ' +p6337 +tp6338 +a(g822 +V\u000a +p6339 +tp6340 +a(g822 +V +p6341 +tp6342 +a(g740 +Vendif +p6343 +tp6344 +a(g822 +V\u000a +p6345 +tp6346 +a(g822 +V +p6347 +tp6348 +a(g740 +Vlet +p6349 +tp6350 +a(g822 +g1022 +tp6351 +a(g822 +Vint_dict +p6352 +tp6353 +a(g822 +g1022 +tp6354 +a(g701 +g2279 +tp6355 +a(g701 +g1081 +tp6356 +a(g822 +g1022 +tp6357 +a(g822 +g1186 +tp6358 +a(g822 +g1854 +tp6359 +a(g225 +V'word' +p6360 +tp6361 +a(g822 +g1050 +tp6362 +a(g740 +g1865 +tp6363 +a(g701 +g1034 +tp6364 +a(g822 +g1022 +tp6365 +a(g225 +V'info' +p6366 +tp6367 +a(g822 +g1050 +tp6368 +a(g822 +Vclass +p6369 +tp6370 +a(g822 +g2009 +tp6371 +a(g822 +Vint_vars +p6372 +tp6373 +a(g822 +g1186 +tp6374 +a(g740 +g1865 +tp6375 +a(g822 +g1192 +tp6376 +a(g701 +g1034 +tp6377 +a(g822 +g1022 +tp6378 +a(g225 +V'kind' +p6379 +tp6380 +a(g822 +g1050 +tp6381 +a(g225 +V'v' +p6382 +tp6383 +a(g822 +g1856 +tp6384 +a(g822 +g1192 +tp6385 +a(g822 +V\u000a +p6386 +tp6387 +a(g822 +V +p6388 +tp6389 +a(g740 +Velse +p6390 +tp6391 +a(g822 +V\u000a +p6392 +tp6393 +a(g822 +V +p6394 +tp6395 +a(g740 +Vlet +p6396 +tp6397 +a(g822 +g1022 +tp6398 +a(g822 +Vint_dict +p6399 +tp6400 +a(g822 +g1022 +tp6401 +a(g701 +g2279 +tp6402 +a(g701 +g1081 +tp6403 +a(g822 +g1022 +tp6404 +a(g822 +g1186 +tp6405 +a(g822 +g1854 +tp6406 +a(g225 +V'word' +p6407 +tp6408 +a(g822 +g1050 +tp6409 +a(g740 +g1865 +tp6410 +a(g701 +g1034 +tp6411 +a(g822 +g1022 +tp6412 +a(g225 +V'kind' +p6413 +tp6414 +a(g822 +g1050 +tp6415 +a(g225 +V'v' +p6416 +tp6417 +a(g822 +g1856 +tp6418 +a(g822 +g1192 +tp6419 +a(g822 +V\u000a +p6420 +tp6421 +a(g822 +V +p6422 +tp6423 +a(g740 +Vendif +p6424 +tp6425 +a(g822 +V\u000a +p6426 +tp6427 +a(g822 +V +p6428 +tp6429 +a(g740 +Vendfor +p6430 +tp6431 +a(g822 +V\u000a +p6432 +tp6433 +a(g822 +V\u000a +p6434 +tp6435 +a(g822 +V +p6436 +tp6437 +a(g740 +Vreturn +p6438 +tp6439 +a(g822 +g1022 +tp6440 +a(g822 +Vint_dict +p6441 +tp6442 +a(g822 +V\u000a +p6443 +tp6444 +a(g822 +V\u000a +p6445 +tp6446 +a(g822 +g1043 +tp6447 +a(g740 +Velse +p6448 +tp6449 +a(g822 +V\u000a +p6450 +tp6451 +a(g7 +V " Complete everything else - +p6452 +tp6453 +a(g822 +V\u000a +p6454 +tp6455 +a(g7 +V " + functions, DONE +p6456 +tp6457 +a(g822 +V\u000a +p6458 +tp6459 +a(g7 +V " + keywords of language DONE +p6460 +tp6461 +a(g822 +V\u000a +p6462 +tp6463 +a(g7 +V " + defines (constant definitions), DONE +p6464 +tp6465 +a(g822 +V\u000a +p6466 +tp6467 +a(g7 +V " + extend keywords for predefined constants, DONE +p6468 +tp6469 +a(g822 +V\u000a +p6470 +tp6471 +a(g7 +V " + classes (after new), DONE +p6472 +tp6473 +a(g822 +V\u000a +p6474 +tp6475 +a(g7 +V " + limit choice after -> and :: to funcs and vars DONE +p6476 +tp6477 +a(g822 +V\u000a +p6478 +tp6479 +a(g7 +V\u000a " Internal solution for finding functions in current file. +p6480 +tp6481 +a(g822 +V\u000a +p6482 +tp6483 +a(g822 +V +p6484 +tp6485 +a(g740 +Vlet +p6486 +tp6487 +a(g822 +g1022 +tp6488 +a(g740 +Vfile +p6489 +tp6490 +a(g822 +g1022 +tp6491 +a(g701 +g1081 +tp6492 +a(g822 +g1022 +tp6493 +a(g822 +Vgetline +p6494 +tp6495 +a(g701 +g1030 +tp6496 +a(g27 +g1232 +tp6497 +a(g701 +g1034 +tp6498 +a(g822 +g1022 +tp6499 +a(g225 +V'$' +p6500 +tp6501 +a(g701 +g1039 +tp6502 +a(g822 +V\u000a +p6503 +tp6504 +a(g822 +V +p6505 +tp6506 +a(g740 +Vcall +p6507 +tp6508 +a(g822 +g1022 +tp6509 +a(g822 +Vfilter +p6510 +tp6511 +a(g701 +g1030 +tp6512 +a(g740 +Vfile +p6513 +tp6514 +a(g701 +g1034 +tp6515 +a(g822 +V\u000a +p6516 +tp6517 +a(g822 +V +p6518 +tp6519 +a(g822 +V\u005c +p6520 +tp6521 +a(g822 +g1022 +tp6522 +a(g225 +V'v:val =~ "function\u005c\u005cs\u005c\u005c+&\u005c\u005c?[a-zA-Z_\u005c\u005cx7f-\u005c\u005cxff][a-zA-Z_0-9\u005c\u005cx7f-\u005c\u005cxff]*\u005c\u005cs*("' +p6523 +tp6524 +a(g701 +g1039 +tp6525 +a(g822 +V\u000a +p6526 +tp6527 +a(g822 +V +p6528 +tp6529 +a(g740 +Vlet +p6530 +tp6531 +a(g822 +g1022 +tp6532 +a(g822 +Vfnames +p6533 +tp6534 +a(g822 +g1022 +tp6535 +a(g701 +g1081 +tp6536 +a(g822 +g1022 +tp6537 +a(g740 +Vjoin +p6538 +tp6539 +a(g701 +g1030 +tp6540 +a(g822 +Vmap +p6541 +tp6542 +a(g701 +g1030 +tp6543 +a(g822 +Vtagfiles +p6544 +tp6545 +a(g701 +g1030 +tp6546 +a(g701 +g1039 +tp6547 +a(g701 +g1034 +tp6548 +a(g822 +g1022 +tp6549 +a(g225 +V'escape(v:val, " \u005c\u005c#%")' +p6550 +tp6551 +a(g701 +g1039 +tp6552 +a(g701 +g1039 +tp6553 +a(g822 +V\u000a +p6554 +tp6555 +a(g822 +V +p6556 +tp6557 +a(g740 +Vlet +p6558 +tp6559 +a(g822 +g1022 +tp6560 +a(g822 +Vjfile +p6561 +tp6562 +a(g822 +g1022 +tp6563 +a(g701 +g1081 +tp6564 +a(g822 +g1022 +tp6565 +a(g740 +Vjoin +p6566 +tp6567 +a(g701 +g1030 +tp6568 +a(g740 +Vfile +p6569 +tp6570 +a(g701 +g1034 +tp6571 +a(g822 +g1022 +tp6572 +a(g225 +V' ' +p6573 +tp6574 +a(g701 +g1039 +tp6575 +a(g822 +V\u000a +p6576 +tp6577 +a(g822 +V +p6578 +tp6579 +a(g740 +Vlet +p6580 +tp6581 +a(g822 +g1022 +tp6582 +a(g822 +Vint_values +p6583 +tp6584 +a(g822 +g1022 +tp6585 +a(g701 +g1081 +tp6586 +a(g822 +g1022 +tp6587 +a(g822 +Vsplit +p6588 +tp6589 +a(g701 +g1030 +tp6590 +a(g822 +Vjfile +p6591 +tp6592 +a(g701 +g1034 +tp6593 +a(g822 +g1022 +tp6594 +a(g225 +V'function\u005cs\u005c+' +p6595 +tp6596 +a(g701 +g1039 +tp6597 +a(g822 +V\u000a +p6598 +tp6599 +a(g822 +V +p6600 +tp6601 +a(g740 +Vlet +p6602 +tp6603 +a(g822 +g1022 +tp6604 +a(g822 +Vint_functions +p6605 +tp6606 +a(g822 +g1022 +tp6607 +a(g701 +g1081 +tp6608 +a(g822 +g1022 +tp6609 +a(g822 +g1854 +tp6610 +a(g822 +g1856 +tp6611 +a(g822 +V\u000a +p6612 +tp6613 +a(g822 +V +p6614 +tp6615 +a(g740 +Vfor +p6616 +tp6617 +a(g822 +g1022 +tp6618 +a(g740 +g1865 +tp6619 +a(g822 +g1022 +tp6620 +a(g740 +Vin +p6621 +tp6622 +a(g822 +g1022 +tp6623 +a(g822 +Vint_values +p6624 +tp6625 +a(g822 +V\u000a +p6626 +tp6627 +a(g822 +V +p6628 +tp6629 +a(g740 +Vlet +p6630 +tp6631 +a(g822 +g1022 +tp6632 +a(g822 +Vf_name +p6633 +tp6634 +a(g822 +g1022 +tp6635 +a(g701 +g1081 +tp6636 +a(g822 +g1022 +tp6637 +a(g822 +Vmatchstr +p6638 +tp6639 +a(g701 +g1030 +tp6640 +a(g740 +g1865 +tp6641 +a(g701 +g1034 +tp6642 +a(g822 +V\u000a +p6643 +tp6644 +a(g822 +V +p6645 +tp6646 +a(g822 +V\u005c +p6647 +tp6648 +a(g822 +g1022 +tp6649 +a(g225 +V'^&\u005c?\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p6650 +tp6651 +a(g701 +g1039 +tp6652 +a(g822 +V\u000a +p6653 +tp6654 +a(g822 +V +p6655 +tp6656 +a(g740 +Vlet +p6657 +tp6658 +a(g822 +g1022 +tp6659 +a(g822 +Vf_args +p6660 +tp6661 +a(g822 +g1022 +tp6662 +a(g701 +g1081 +tp6663 +a(g822 +g1022 +tp6664 +a(g822 +Vmatchstr +p6665 +tp6666 +a(g701 +g1030 +tp6667 +a(g740 +g1865 +tp6668 +a(g701 +g1034 +tp6669 +a(g822 +V\u000a +p6670 +tp6671 +a(g822 +V +p6672 +tp6673 +a(g822 +V\u005c +p6674 +tp6675 +a(g822 +g1022 +tp6676 +a(g225 +V'^&\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*(\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)\u005c_s*{' +p6677 +tp6678 +a(g701 +g1039 +tp6679 +a(g822 +V\u000a +p6680 +tp6681 +a(g822 +V +p6682 +tp6683 +a(g740 +Vlet +p6684 +tp6685 +a(g822 +g1022 +tp6686 +a(g822 +Vint_functions +p6687 +tp6688 +a(g822 +g1186 +tp6689 +a(g822 +Vf_name +p6690 +tp6691 +a(g822 +g2009 +tp6692 +a(g225 +V'(' +p6693 +tp6694 +a(g822 +g1192 +tp6695 +a(g822 +g1022 +tp6696 +a(g701 +g1081 +tp6697 +a(g822 +g1022 +tp6698 +a(g822 +Vf_args +p6699 +tp6700 +a(g822 +g2009 +tp6701 +a(g225 +V')' +p6702 +tp6703 +a(g822 +V\u000a +p6704 +tp6705 +a(g822 +V +p6706 +tp6707 +a(g740 +Vendfor +p6708 +tp6709 +a(g822 +V\u000a +p6710 +tp6711 +a(g7 +V\u000a " Prepare list of functions from tags file +p6712 +tp6713 +a(g822 +V\u000a +p6714 +tp6715 +a(g822 +V +p6716 +tp6717 +a(g740 +Vlet +p6718 +tp6719 +a(g822 +g1022 +tp6720 +a(g822 +Vext_functions +p6721 +tp6722 +a(g822 +g1022 +tp6723 +a(g701 +g1081 +tp6724 +a(g822 +g1022 +tp6725 +a(g822 +g1854 +tp6726 +a(g822 +g1856 +tp6727 +a(g822 +V\u000a +p6728 +tp6729 +a(g822 +V +p6730 +tp6731 +a(g740 +Vif +p6732 +tp6733 +a(g822 +g1022 +tp6734 +a(g822 +Vfnames +p6735 +tp6736 +a(g822 +g1022 +tp6737 +a(g701 +g1020 +tp6738 +a(g701 +g1081 +tp6739 +a(g822 +g1022 +tp6740 +a(g225 +V'' +p6741 +tp6742 +a(g822 +V\u000a +p6743 +tp6744 +a(g822 +V +p6745 +tp6746 +a(g822 +Vexe +p6747 +tp6748 +a(g822 +g1022 +tp6749 +a(g225 +V'silent! vimgrep /^' +p6750 +tp6751 +a(g822 +g2009 +tp6752 +a(g740 +g1048 +tp6753 +a(g822 +g1050 +tp6754 +a(g822 +Vbase +p6755 +tp6756 +a(g822 +g2009 +tp6757 +a(g225 +V'.*\u005ctf\u005c(\u005ct\u005c|$\u005c)/j ' +p6758 +tp6759 +a(g822 +g2009 +tp6760 +a(g822 +Vfnames +p6761 +tp6762 +a(g822 +V\u000a +p6763 +tp6764 +a(g822 +V +p6765 +tp6766 +a(g740 +Vlet +p6767 +tp6768 +a(g822 +g1022 +tp6769 +a(g822 +Vqflist +p6770 +tp6771 +a(g822 +g1022 +tp6772 +a(g701 +g1081 +tp6773 +a(g822 +g1022 +tp6774 +a(g822 +Vgetqflist +p6775 +tp6776 +a(g701 +g1030 +tp6777 +a(g701 +g1039 +tp6778 +a(g822 +V\u000a +p6779 +tp6780 +a(g822 +V +p6781 +tp6782 +a(g740 +Vif +p6783 +tp6784 +a(g822 +g1022 +tp6785 +a(g822 +Vlen +p6786 +tp6787 +a(g701 +g1030 +tp6788 +a(g822 +Vqflist +p6789 +tp6790 +a(g701 +g1039 +tp6791 +a(g822 +g1022 +tp6792 +a(g701 +g1420 +tp6793 +a(g822 +g1022 +tp6794 +a(g27 +g1188 +tp6795 +a(g822 +V\u000a +p6796 +tp6797 +a(g822 +V +p6798 +tp6799 +a(g740 +Vfor +p6800 +tp6801 +a(g822 +g1022 +tp6802 +a(g822 +Vfield +p6803 +tp6804 +a(g822 +g1022 +tp6805 +a(g740 +Vin +p6806 +tp6807 +a(g822 +g1022 +tp6808 +a(g822 +Vqflist +p6809 +tp6810 +a(g822 +V\u000a +p6811 +tp6812 +a(g7 +V " File name +p6813 +tp6814 +a(g822 +V\u000a +p6815 +tp6816 +a(g822 +V +p6817 +tp6818 +a(g740 +Vlet +p6819 +tp6820 +a(g822 +g1022 +tp6821 +a(g822 +Vitem +p6822 +tp6823 +a(g822 +g1022 +tp6824 +a(g701 +g1081 +tp6825 +a(g822 +g1022 +tp6826 +a(g822 +Vmatchstr +p6827 +tp6828 +a(g701 +g1030 +tp6829 +a(g822 +Vfield +p6830 +tp6831 +a(g822 +g1186 +tp6832 +a(g225 +V'text' +p6833 +tp6834 +a(g822 +g1192 +tp6835 +a(g701 +g1034 +tp6836 +a(g822 +g1022 +tp6837 +a(g225 +V'^[^[:space:]]\u005c+' +p6838 +tp6839 +a(g701 +g1039 +tp6840 +a(g822 +V\u000a +p6841 +tp6842 +a(g822 +V +p6843 +tp6844 +a(g740 +Vlet +p6845 +tp6846 +a(g822 +g1022 +tp6847 +a(g822 +Vfname +p6848 +tp6849 +a(g822 +g1022 +tp6850 +a(g701 +g1081 +tp6851 +a(g822 +g1022 +tp6852 +a(g822 +Vmatchstr +p6853 +tp6854 +a(g701 +g1030 +tp6855 +a(g822 +Vfield +p6856 +tp6857 +a(g822 +g1186 +tp6858 +a(g225 +V'text' +p6859 +tp6860 +a(g822 +g1192 +tp6861 +a(g701 +g1034 +tp6862 +a(g822 +g1022 +tp6863 +a(g225 +V'\u005ct\u005czs\u005cf\u005c+\u005cze' +p6864 +tp6865 +a(g701 +g1039 +tp6866 +a(g822 +V\u000a +p6867 +tp6868 +a(g822 +V +p6869 +tp6870 +a(g740 +Vlet +p6871 +tp6872 +a(g822 +g1022 +tp6873 +a(g822 +Vprototype +p6874 +tp6875 +a(g822 +g1022 +tp6876 +a(g701 +g1081 +tp6877 +a(g822 +g1022 +tp6878 +a(g822 +Vmatchstr +p6879 +tp6880 +a(g701 +g1030 +tp6881 +a(g822 +Vfield +p6882 +tp6883 +a(g822 +g1186 +tp6884 +a(g225 +V'text' +p6885 +tp6886 +a(g822 +g1192 +tp6887 +a(g701 +g1034 +tp6888 +a(g822 +V\u000a +p6889 +tp6890 +a(g822 +V +p6891 +tp6892 +a(g822 +V\u005c +p6893 +tp6894 +a(g822 +g1022 +tp6895 +a(g225 +V'function\u005cs\u005c+&\u005c?[^[:space:]]\u005c+\u005cs*(\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)\u005cs*{\u005c?' +p6896 +tp6897 +a(g701 +g1039 +tp6898 +a(g822 +V\u000a +p6899 +tp6900 +a(g822 +V +p6901 +tp6902 +a(g740 +Vlet +p6903 +tp6904 +a(g822 +g1022 +tp6905 +a(g822 +Vext_functions +p6906 +tp6907 +a(g822 +g1186 +tp6908 +a(g822 +Vitem +p6909 +tp6910 +a(g822 +g2009 +tp6911 +a(g225 +V'(' +p6912 +tp6913 +a(g822 +g1192 +tp6914 +a(g822 +g1022 +tp6915 +a(g701 +g1081 +tp6916 +a(g822 +g1022 +tp6917 +a(g822 +Vprototype +p6918 +tp6919 +a(g822 +g2009 +tp6920 +a(g225 +V') - ' +p6921 +tp6922 +a(g822 +g2009 +tp6923 +a(g822 +Vfname +p6924 +tp6925 +a(g822 +V\u000a +p6926 +tp6927 +a(g822 +V +p6928 +tp6929 +a(g740 +Vendfor +p6930 +tp6931 +a(g822 +V\u000a +p6932 +tp6933 +a(g822 +V +p6934 +tp6935 +a(g740 +Vendif +p6936 +tp6937 +a(g822 +V\u000a +p6938 +tp6939 +a(g822 +V +p6940 +tp6941 +a(g740 +Vendif +p6942 +tp6943 +a(g822 +V\u000a +p6944 +tp6945 +a(g7 +V\u000a " All functions +p6946 +tp6947 +a(g822 +V\u000a +p6948 +tp6949 +a(g822 +V +p6950 +tp6951 +a(g740 +Vcall +p6952 +tp6953 +a(g822 +g1022 +tp6954 +a(g822 +Vextend +p6955 +tp6956 +a(g701 +g1030 +tp6957 +a(g822 +Vint_functions +p6958 +tp6959 +a(g701 +g1034 +tp6960 +a(g822 +g1022 +tp6961 +a(g822 +Vext_functions +p6962 +tp6963 +a(g701 +g1039 +tp6964 +a(g822 +V\u000a +p6965 +tp6966 +a(g822 +V +p6967 +tp6968 +a(g740 +Vcall +p6969 +tp6970 +a(g822 +g1022 +tp6971 +a(g822 +Vextend +p6972 +tp6973 +a(g701 +g1030 +tp6974 +a(g822 +Vint_functions +p6975 +tp6976 +a(g701 +g1034 +tp6977 +a(g822 +g1022 +tp6978 +a(g740 +g2168 +tp6979 +a(g822 +g1050 +tp6980 +a(g822 +Vphp_builtin_functions +p6981 +tp6982 +a(g701 +g1039 +tp6983 +a(g822 +V\u000a +p6984 +tp6985 +a(g7 +V\u000a " Internal solution for finding constants in current file +p6986 +tp6987 +a(g822 +V\u000a +p6988 +tp6989 +a(g822 +V +p6990 +tp6991 +a(g740 +Vlet +p6992 +tp6993 +a(g822 +g1022 +tp6994 +a(g740 +Vfile +p6995 +tp6996 +a(g822 +g1022 +tp6997 +a(g701 +g1081 +tp6998 +a(g822 +g1022 +tp6999 +a(g822 +Vgetline +p7000 +tp7001 +a(g701 +g1030 +tp7002 +a(g27 +g1232 +tp7003 +a(g701 +g1034 +tp7004 +a(g822 +g1022 +tp7005 +a(g225 +V'$' +p7006 +tp7007 +a(g701 +g1039 +tp7008 +a(g822 +V\u000a +p7009 +tp7010 +a(g822 +V +p7011 +tp7012 +a(g740 +Vcall +p7013 +tp7014 +a(g822 +g1022 +tp7015 +a(g822 +Vfilter +p7016 +tp7017 +a(g701 +g1030 +tp7018 +a(g740 +Vfile +p7019 +tp7020 +a(g701 +g1034 +tp7021 +a(g822 +g1022 +tp7022 +a(g225 +V'v:val =~ "define\u005c\u005cs*("' +p7023 +tp7024 +a(g701 +g1039 +tp7025 +a(g822 +V\u000a +p7026 +tp7027 +a(g822 +V +p7028 +tp7029 +a(g740 +Vlet +p7030 +tp7031 +a(g822 +g1022 +tp7032 +a(g822 +Vjfile +p7033 +tp7034 +a(g822 +g1022 +tp7035 +a(g701 +g1081 +tp7036 +a(g822 +g1022 +tp7037 +a(g740 +Vjoin +p7038 +tp7039 +a(g701 +g1030 +tp7040 +a(g740 +Vfile +p7041 +tp7042 +a(g701 +g1034 +tp7043 +a(g822 +g1022 +tp7044 +a(g225 +V' ' +p7045 +tp7046 +a(g701 +g1039 +tp7047 +a(g822 +V\u000a +p7048 +tp7049 +a(g822 +V +p7050 +tp7051 +a(g740 +Vlet +p7052 +tp7053 +a(g822 +g1022 +tp7054 +a(g822 +Vint_values +p7055 +tp7056 +a(g822 +g1022 +tp7057 +a(g701 +g1081 +tp7058 +a(g822 +g1022 +tp7059 +a(g822 +Vsplit +p7060 +tp7061 +a(g701 +g1030 +tp7062 +a(g822 +Vjfile +p7063 +tp7064 +a(g701 +g1034 +tp7065 +a(g822 +g1022 +tp7066 +a(g225 +V'define\u005cs*(\u005cs*' +p7067 +tp7068 +a(g701 +g1039 +tp7069 +a(g822 +V\u000a +p7070 +tp7071 +a(g822 +V +p7072 +tp7073 +a(g740 +Vlet +p7074 +tp7075 +a(g822 +g1022 +tp7076 +a(g822 +Vint_constants +p7077 +tp7078 +a(g822 +g1022 +tp7079 +a(g701 +g1081 +tp7080 +a(g822 +g1022 +tp7081 +a(g822 +g1854 +tp7082 +a(g822 +g1856 +tp7083 +a(g822 +V\u000a +p7084 +tp7085 +a(g822 +V +p7086 +tp7087 +a(g740 +Vfor +p7088 +tp7089 +a(g822 +g1022 +tp7090 +a(g740 +g1865 +tp7091 +a(g822 +g1022 +tp7092 +a(g740 +Vin +p7093 +tp7094 +a(g822 +g1022 +tp7095 +a(g822 +Vint_values +p7096 +tp7097 +a(g822 +V\u000a +p7098 +tp7099 +a(g822 +V +p7100 +tp7101 +a(g740 +Vlet +p7102 +tp7103 +a(g822 +g1022 +tp7104 +a(g822 +Vc_name +p7105 +tp7106 +a(g822 +g1022 +tp7107 +a(g701 +g1081 +tp7108 +a(g822 +g1022 +tp7109 +a(g822 +Vmatchstr +p7110 +tp7111 +a(g701 +g1030 +tp7112 +a(g740 +g1865 +tp7113 +a(g701 +g1034 +tp7114 +a(g822 +g1022 +tp7115 +a(g225 +V'\u005c(["'']\u005c)\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze\u005c1' +p7116 +tp7117 +a(g701 +g1039 +tp7118 +a(g822 +V\u000a +p7119 +tp7120 +a(g7 +V " let c_value = matchstr(i, +p7121 +tp7122 +a(g822 +V\u000a +p7123 +tp7124 +a(g7 +V " \u005c '\u005c(["'']\u005c)[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005c1\u005cs*,\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)') +p7125 +tp7126 +a(g822 +V\u000a +p7127 +tp7128 +a(g822 +V +p7129 +tp7130 +a(g740 +Vif +p7131 +tp7132 +a(g822 +g1022 +tp7133 +a(g822 +Vc_name +p7134 +tp7135 +a(g822 +g1022 +tp7136 +a(g701 +g1020 +tp7137 +a(g701 +g1081 +tp7138 +a(g822 +g1022 +tp7139 +a(g225 +V'' +p7140 +tp7141 +a(g822 +V\u000a +p7142 +tp7143 +a(g822 +V +p7144 +tp7145 +a(g740 +Vlet +p7146 +tp7147 +a(g822 +g1022 +tp7148 +a(g822 +Vint_constants +p7149 +tp7150 +a(g822 +g1186 +tp7151 +a(g822 +Vc_name +p7152 +tp7153 +a(g822 +g1192 +tp7154 +a(g822 +g1022 +tp7155 +a(g701 +g1081 +tp7156 +a(g822 +g1022 +tp7157 +a(g225 +V'' +p7158 +tp7159 +a(g822 +g1022 +tp7160 +a(g7 +V" c_value +p7161 +tp7162 +a(g822 +V\u000a +p7163 +tp7164 +a(g822 +V +p7165 +tp7166 +a(g740 +Vendif +p7167 +tp7168 +a(g822 +V\u000a +p7169 +tp7170 +a(g822 +V +p7171 +tp7172 +a(g740 +Vendfor +p7173 +tp7174 +a(g822 +V\u000a +p7175 +tp7176 +a(g7 +V\u000a " Prepare list of constants from tags file +p7177 +tp7178 +a(g822 +V\u000a +p7179 +tp7180 +a(g822 +V +p7181 +tp7182 +a(g740 +Vlet +p7183 +tp7184 +a(g822 +g1022 +tp7185 +a(g822 +Vfnames +p7186 +tp7187 +a(g822 +g1022 +tp7188 +a(g701 +g1081 +tp7189 +a(g822 +g1022 +tp7190 +a(g740 +Vjoin +p7191 +tp7192 +a(g701 +g1030 +tp7193 +a(g822 +Vmap +p7194 +tp7195 +a(g701 +g1030 +tp7196 +a(g822 +Vtagfiles +p7197 +tp7198 +a(g701 +g1030 +tp7199 +a(g701 +g1039 +tp7200 +a(g701 +g1034 +tp7201 +a(g822 +g1022 +tp7202 +a(g225 +V'escape(v:val, " \u005c\u005c#%")' +p7203 +tp7204 +a(g701 +g1039 +tp7205 +a(g701 +g1039 +tp7206 +a(g822 +V\u000a +p7207 +tp7208 +a(g822 +V +p7209 +tp7210 +a(g740 +Vlet +p7211 +tp7212 +a(g822 +g1022 +tp7213 +a(g822 +Vext_constants +p7214 +tp7215 +a(g822 +g1022 +tp7216 +a(g701 +g1081 +tp7217 +a(g822 +g1022 +tp7218 +a(g822 +g1854 +tp7219 +a(g822 +g1856 +tp7220 +a(g822 +V\u000a +p7221 +tp7222 +a(g822 +V +p7223 +tp7224 +a(g740 +Vif +p7225 +tp7226 +a(g822 +g1022 +tp7227 +a(g822 +Vfnames +p7228 +tp7229 +a(g822 +g1022 +tp7230 +a(g701 +g1020 +tp7231 +a(g701 +g1081 +tp7232 +a(g822 +g1022 +tp7233 +a(g225 +V'' +p7234 +tp7235 +a(g822 +V\u000a +p7236 +tp7237 +a(g822 +V +p7238 +tp7239 +a(g822 +Vexe +p7240 +tp7241 +a(g822 +g1022 +tp7242 +a(g225 +V'silent! vimgrep /^' +p7243 +tp7244 +a(g822 +g2009 +tp7245 +a(g740 +g1048 +tp7246 +a(g822 +g1050 +tp7247 +a(g822 +Vbase +p7248 +tp7249 +a(g822 +g2009 +tp7250 +a(g225 +V'.*\u005ctd\u005c(\u005ct\u005c|$\u005c)/j ' +p7251 +tp7252 +a(g822 +g2009 +tp7253 +a(g822 +Vfnames +p7254 +tp7255 +a(g822 +V\u000a +p7256 +tp7257 +a(g822 +V +p7258 +tp7259 +a(g740 +Vlet +p7260 +tp7261 +a(g822 +g1022 +tp7262 +a(g822 +Vqflist +p7263 +tp7264 +a(g822 +g1022 +tp7265 +a(g701 +g1081 +tp7266 +a(g822 +g1022 +tp7267 +a(g822 +Vgetqflist +p7268 +tp7269 +a(g701 +g1030 +tp7270 +a(g701 +g1039 +tp7271 +a(g822 +V\u000a +p7272 +tp7273 +a(g822 +V +p7274 +tp7275 +a(g740 +Vif +p7276 +tp7277 +a(g822 +g1022 +tp7278 +a(g822 +Vlen +p7279 +tp7280 +a(g701 +g1030 +tp7281 +a(g822 +Vqflist +p7282 +tp7283 +a(g701 +g1039 +tp7284 +a(g822 +g1022 +tp7285 +a(g701 +g1420 +tp7286 +a(g822 +g1022 +tp7287 +a(g27 +g1188 +tp7288 +a(g822 +V\u000a +p7289 +tp7290 +a(g822 +V +p7291 +tp7292 +a(g740 +Vfor +p7293 +tp7294 +a(g822 +g1022 +tp7295 +a(g822 +Vfield +p7296 +tp7297 +a(g822 +g1022 +tp7298 +a(g740 +Vin +p7299 +tp7300 +a(g822 +g1022 +tp7301 +a(g822 +Vqflist +p7302 +tp7303 +a(g822 +V\u000a +p7304 +tp7305 +a(g822 +V +p7306 +tp7307 +a(g740 +Vlet +p7308 +tp7309 +a(g822 +g1022 +tp7310 +a(g822 +Vitem +p7311 +tp7312 +a(g822 +g1022 +tp7313 +a(g701 +g1081 +tp7314 +a(g822 +g1022 +tp7315 +a(g822 +Vmatchstr +p7316 +tp7317 +a(g701 +g1030 +tp7318 +a(g822 +Vfield +p7319 +tp7320 +a(g822 +g1186 +tp7321 +a(g225 +V'text' +p7322 +tp7323 +a(g822 +g1192 +tp7324 +a(g701 +g1034 +tp7325 +a(g822 +g1022 +tp7326 +a(g225 +V'^[^[:space:]]\u005c+' +p7327 +tp7328 +a(g701 +g1039 +tp7329 +a(g822 +V\u000a +p7330 +tp7331 +a(g822 +V +p7332 +tp7333 +a(g740 +Vlet +p7334 +tp7335 +a(g822 +g1022 +tp7336 +a(g822 +Vext_constants +p7337 +tp7338 +a(g822 +g1186 +tp7339 +a(g822 +Vitem +p7340 +tp7341 +a(g822 +g1192 +tp7342 +a(g822 +g1022 +tp7343 +a(g701 +g1081 +tp7344 +a(g822 +g1022 +tp7345 +a(g225 +V'' +p7346 +tp7347 +a(g822 +V\u000a +p7348 +tp7349 +a(g822 +V +p7350 +tp7351 +a(g740 +Vendfor +p7352 +tp7353 +a(g822 +V\u000a +p7354 +tp7355 +a(g822 +V +p7356 +tp7357 +a(g740 +Vendif +p7358 +tp7359 +a(g822 +V\u000a +p7360 +tp7361 +a(g822 +V +p7362 +tp7363 +a(g740 +Vendif +p7364 +tp7365 +a(g822 +V\u000a +p7366 +tp7367 +a(g7 +V\u000a " All constants +p7368 +tp7369 +a(g822 +V\u000a +p7370 +tp7371 +a(g822 +V +p7372 +tp7373 +a(g740 +Vcall +p7374 +tp7375 +a(g822 +g1022 +tp7376 +a(g822 +Vextend +p7377 +tp7378 +a(g701 +g1030 +tp7379 +a(g822 +Vint_constants +p7380 +tp7381 +a(g701 +g1034 +tp7382 +a(g822 +g1022 +tp7383 +a(g822 +Vext_constants +p7384 +tp7385 +a(g701 +g1039 +tp7386 +a(g822 +V\u000a +p7387 +tp7388 +a(g7 +V " Treat keywords as constants +p7389 +tp7390 +a(g822 +V\u000a +p7391 +tp7392 +a(g822 +V\u000a +p7393 +tp7394 +a(g822 +V +p7395 +tp7396 +a(g740 +Vlet +p7397 +tp7398 +a(g822 +g1022 +tp7399 +a(g822 +Vall_values +p7400 +tp7401 +a(g822 +g1022 +tp7402 +a(g701 +g1081 +tp7403 +a(g822 +g1022 +tp7404 +a(g822 +g1854 +tp7405 +a(g822 +g1856 +tp7406 +a(g822 +V\u000a +p7407 +tp7408 +a(g7 +V\u000a " One big dictionary of functions +p7409 +tp7410 +a(g822 +V\u000a +p7411 +tp7412 +a(g822 +V +p7413 +tp7414 +a(g740 +Vcall +p7415 +tp7416 +a(g822 +g1022 +tp7417 +a(g822 +Vextend +p7418 +tp7419 +a(g701 +g1030 +tp7420 +a(g822 +Vall_values +p7421 +tp7422 +a(g701 +g1034 +tp7423 +a(g822 +g1022 +tp7424 +a(g822 +Vint_functions +p7425 +tp7426 +a(g701 +g1039 +tp7427 +a(g822 +V\u000a +p7428 +tp7429 +a(g7 +V\u000a " Add constants +p7430 +tp7431 +a(g822 +V\u000a +p7432 +tp7433 +a(g822 +V +p7434 +tp7435 +a(g740 +Vcall +p7436 +tp7437 +a(g822 +g1022 +tp7438 +a(g822 +Vextend +p7439 +tp7440 +a(g701 +g1030 +tp7441 +a(g822 +Vall_values +p7442 +tp7443 +a(g701 +g1034 +tp7444 +a(g822 +g1022 +tp7445 +a(g822 +Vint_constants +p7446 +tp7447 +a(g701 +g1039 +tp7448 +a(g822 +V\u000a +p7449 +tp7450 +a(g7 +V " Add keywords +p7451 +tp7452 +a(g822 +V\u000a +p7453 +tp7454 +a(g822 +V +p7455 +tp7456 +a(g740 +Vcall +p7457 +tp7458 +a(g822 +g1022 +tp7459 +a(g822 +Vextend +p7460 +tp7461 +a(g701 +g1030 +tp7462 +a(g822 +Vall_values +p7463 +tp7464 +a(g701 +g1034 +tp7465 +a(g822 +g1022 +tp7466 +a(g740 +g2168 +tp7467 +a(g822 +g1050 +tp7468 +a(g822 +Vphp_keywords +p7469 +tp7470 +a(g701 +g1039 +tp7471 +a(g822 +V\u000a +p7472 +tp7473 +a(g822 +V\u000a +p7474 +tp7475 +a(g822 +V +p7476 +tp7477 +a(g740 +Vfor +p7478 +tp7479 +a(g822 +g1022 +tp7480 +a(g740 +g2327 +tp7481 +a(g822 +g1022 +tp7482 +a(g740 +Vin +p7483 +tp7484 +a(g822 +g1022 +tp7485 +a(g740 +Vsort +p7486 +tp7487 +a(g701 +g1030 +tp7488 +a(g822 +Vkeys +p7489 +tp7490 +a(g701 +g1030 +tp7491 +a(g822 +Vall_values +p7492 +tp7493 +a(g701 +g1039 +tp7494 +a(g701 +g1039 +tp7495 +a(g822 +V\u000a +p7496 +tp7497 +a(g822 +V +p7498 +tp7499 +a(g740 +Vif +p7500 +tp7501 +a(g822 +g1022 +tp7502 +a(g740 +g2327 +tp7503 +a(g822 +g1022 +tp7504 +a(g701 +g1081 +tp7505 +a(g701 +g1441 +tp7506 +a(g822 +g1022 +tp7507 +a(g225 +V'^' +p7508 +tp7509 +a(g822 +g2009 +tp7510 +a(g740 +g1048 +tp7511 +a(g822 +g1050 +tp7512 +a(g822 +Vbase +p7513 +tp7514 +a(g822 +V\u000a +p7515 +tp7516 +a(g822 +V +p7517 +tp7518 +a(g740 +Vcall +p7519 +tp7520 +a(g822 +g1022 +tp7521 +a(g822 +Vadd +p7522 +tp7523 +a(g701 +g1030 +tp7524 +a(g740 +Vres +p7525 +tp7526 +a(g701 +g1034 +tp7527 +a(g822 +g1022 +tp7528 +a(g740 +g2327 +tp7529 +a(g701 +g1039 +tp7530 +a(g822 +V\u000a +p7531 +tp7532 +a(g822 +V +p7533 +tp7534 +a(g740 +Vendif +p7535 +tp7536 +a(g822 +V\u000a +p7537 +tp7538 +a(g822 +V +p7539 +tp7540 +a(g740 +Vendfor +p7541 +tp7542 +a(g822 +V\u000a +p7543 +tp7544 +a(g822 +V\u000a +p7545 +tp7546 +a(g822 +V +p7547 +tp7548 +a(g740 +Vlet +p7549 +tp7550 +a(g822 +g1022 +tp7551 +a(g822 +Vint_list +p7552 +tp7553 +a(g822 +g1022 +tp7554 +a(g701 +g1081 +tp7555 +a(g822 +g1022 +tp7556 +a(g740 +Vres +p7557 +tp7558 +a(g822 +V\u000a +p7559 +tp7560 +a(g822 +V\u000a +p7561 +tp7562 +a(g822 +V +p7563 +tp7564 +a(g740 +Vlet +p7565 +tp7566 +a(g822 +g1022 +tp7567 +a(g822 +Vfinal_list +p7568 +tp7569 +a(g822 +g1022 +tp7570 +a(g701 +g1081 +tp7571 +a(g822 +g1022 +tp7572 +a(g822 +g1186 +tp7573 +a(g822 +g1192 +tp7574 +a(g822 +V\u000a +p7575 +tp7576 +a(g822 +V +p7577 +tp7578 +a(g740 +Vfor +p7579 +tp7580 +a(g822 +g1022 +tp7581 +a(g740 +g1865 +tp7582 +a(g822 +g1022 +tp7583 +a(g740 +Vin +p7584 +tp7585 +a(g822 +g1022 +tp7586 +a(g822 +Vint_list +p7587 +tp7588 +a(g822 +V\u000a +p7589 +tp7590 +a(g822 +V +p7591 +tp7592 +a(g740 +Vif +p7593 +tp7594 +a(g822 +g1022 +tp7595 +a(g822 +Vhas_key +p7596 +tp7597 +a(g701 +g1030 +tp7598 +a(g822 +Vint_functions +p7599 +tp7600 +a(g701 +g1034 +tp7601 +a(g822 +g1022 +tp7602 +a(g740 +g1865 +tp7603 +a(g701 +g1039 +tp7604 +a(g822 +V\u000a +p7605 +tp7606 +a(g822 +V +p7607 +tp7608 +a(g740 +Vlet +p7609 +tp7610 +a(g822 +g1022 +tp7611 +a(g822 +Vfinal_list +p7612 +tp7613 +a(g822 +g1022 +tp7614 +a(g701 +g2279 +tp7615 +a(g701 +g1081 +tp7616 +a(g822 +V\u000a +p7617 +tp7618 +a(g822 +V +p7619 +tp7620 +a(g822 +V\u005c +p7621 +tp7622 +a(g822 +g1022 +tp7623 +a(g822 +g1186 +tp7624 +a(g822 +g1854 +tp7625 +a(g225 +V'word' +p7626 +tp7627 +a(g822 +g1050 +tp7628 +a(g740 +g1865 +tp7629 +a(g701 +g1034 +tp7630 +a(g822 +V\u000a +p7631 +tp7632 +a(g822 +V +p7633 +tp7634 +a(g822 +V\u005c +p7635 +tp7636 +a(g822 +V +p7637 +tp7638 +a(g225 +V'info' +p7639 +tp7640 +a(g822 +g1050 +tp7641 +a(g740 +g1865 +tp7642 +a(g822 +g2009 +tp7643 +a(g822 +Vint_functions +p7644 +tp7645 +a(g822 +g1186 +tp7646 +a(g740 +g1865 +tp7647 +a(g822 +g1192 +tp7648 +a(g701 +g1034 +tp7649 +a(g822 +V\u000a +p7650 +tp7651 +a(g822 +V +p7652 +tp7653 +a(g822 +V\u005c +p7654 +tp7655 +a(g822 +V +p7656 +tp7657 +a(g225 +V'kind' +p7658 +tp7659 +a(g822 +g1050 +tp7660 +a(g225 +V'f' +p7661 +tp7662 +a(g822 +g1856 +tp7663 +a(g822 +g1192 +tp7664 +a(g822 +V\u000a +p7665 +tp7666 +a(g822 +V +p7667 +tp7668 +a(g740 +Velseif +p7669 +tp7670 +a(g822 +g1022 +tp7671 +a(g822 +Vhas_key +p7672 +tp7673 +a(g701 +g1030 +tp7674 +a(g822 +Vint_constants +p7675 +tp7676 +a(g701 +g1034 +tp7677 +a(g822 +g1022 +tp7678 +a(g740 +g1865 +tp7679 +a(g701 +g1039 +tp7680 +a(g822 +V\u000a +p7681 +tp7682 +a(g822 +V +p7683 +tp7684 +a(g740 +Vlet +p7685 +tp7686 +a(g822 +g1022 +tp7687 +a(g822 +Vfinal_list +p7688 +tp7689 +a(g822 +g1022 +tp7690 +a(g701 +g2279 +tp7691 +a(g701 +g1081 +tp7692 +a(g822 +g1022 +tp7693 +a(g822 +g1186 +tp7694 +a(g822 +g1854 +tp7695 +a(g225 +V'word' +p7696 +tp7697 +a(g822 +g1050 +tp7698 +a(g740 +g1865 +tp7699 +a(g701 +g1034 +tp7700 +a(g822 +g1022 +tp7701 +a(g225 +V'kind' +p7702 +tp7703 +a(g822 +g1050 +tp7704 +a(g225 +V'd' +p7705 +tp7706 +a(g822 +g1856 +tp7707 +a(g822 +g1192 +tp7708 +a(g822 +V\u000a +p7709 +tp7710 +a(g822 +V +p7711 +tp7712 +a(g740 +Velse +p7713 +tp7714 +a(g822 +V\u000a +p7715 +tp7716 +a(g822 +V +p7717 +tp7718 +a(g740 +Vlet +p7719 +tp7720 +a(g822 +g1022 +tp7721 +a(g822 +Vfinal_list +p7722 +tp7723 +a(g822 +g1022 +tp7724 +a(g701 +g2279 +tp7725 +a(g701 +g1081 +tp7726 +a(g822 +g1022 +tp7727 +a(g822 +g1186 +tp7728 +a(g822 +g1854 +tp7729 +a(g225 +V'word' +p7730 +tp7731 +a(g822 +g1050 +tp7732 +a(g740 +g1865 +tp7733 +a(g822 +g1856 +tp7734 +a(g822 +g1192 +tp7735 +a(g822 +V\u000a +p7736 +tp7737 +a(g822 +V +p7738 +tp7739 +a(g740 +Vendif +p7740 +tp7741 +a(g822 +V\u000a +p7742 +tp7743 +a(g822 +V +p7744 +tp7745 +a(g740 +Vendfor +p7746 +tp7747 +a(g822 +V\u000a +p7748 +tp7749 +a(g822 +V\u000a +p7750 +tp7751 +a(g822 +V +p7752 +tp7753 +a(g740 +Vreturn +p7754 +tp7755 +a(g822 +g1022 +tp7756 +a(g822 +Vfinal_list +p7757 +tp7758 +a(g822 +V\u000a +p7759 +tp7760 +a(g822 +V\u000a +p7761 +tp7762 +a(g822 +g1043 +tp7763 +a(g740 +Vendif +p7764 +tp7765 +a(g822 +V\u000a +p7766 +tp7767 +a(g822 +V\u000a +p7768 +tp7769 +a(g740 +Vendfunction +p7770 +tp7771 +a(g822 +V\u000a +p7772 +tp7773 +a(g7 +V" vim:set foldmethod=marker: +p7774 +tp7775 +a(g822 +V\u000a +p7776 +tp7777 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pkgconfig_example.pc b/tests/examplefiles/output/pkgconfig_example.pc new file mode 100644 index 0000000..53f85f9 --- /dev/null +++ b/tests/examplefiles/output/pkgconfig_example.pc @@ -0,0 +1,2687 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# This is for a fictional package `yet another portable hatchpotch generator'. +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g666 +Vprefix +p960 +tp961 +a(g412 +V= +p962 +tp963 +a(g826 +V/usr/local/opt/site/private +p964 +tp965 +a(g8 +V# define variable `prefix` +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g666 +Vexec_prefix +p970 +tp971 +a(g412 +g962 +tp972 +a(g125 +V${ +p973 +tp974 +a(g666 +Vprefix +p975 +tp976 +a(g125 +V} +p977 +tp978 +a(g826 +V +p979 +tp980 +a(g8 +V# using variable reference +p981 +tp982 +a(g826 +V\u000a +p983 +tp984 +a(g666 +Vlibdir +p985 +tp986 +a(g412 +g962 +tp987 +a(g125 +V${ +p988 +tp989 +a(g666 +Vexec_prefix +p990 +tp991 +a(g125 +g977 +tp992 +a(g826 +V/lib +p993 +tp994 +a(g826 +V\u000a +p995 +tp996 +a(g666 +Vincludedir +p997 +tp998 +a(g412 +g962 +tp999 +a(g125 +V${ +p1000 +tp1001 +a(g666 +Vprefix +p1002 +tp1003 +a(g125 +g977 +tp1004 +a(g826 +V/include +p1005 +tp1006 +a(g826 +V\u000a +p1007 +tp1008 +a(g666 +Vjust_for_test +p1009 +tp1010 +a(g412 +g962 +tp1011 +a(g826 +V$$ +p1012 +tp1013 +a(g826 +V{ +p1014 +tp1015 +a(g826 +Vthis is not a part of variable reference +p1016 +tp1017 +a(g826 +g977 +tp1018 +a(g826 +g979 +tp1019 +a(g8 +V# escape with `$$` +p1020 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g557 +VName +p1026 +tp1027 +a(g705 +V: +p1028 +tp1029 +a(g826 +V YAPHatchPotchGen +p1030 +tp1031 +a(g826 +V\u000a +p1032 +tp1033 +a(g557 +VDescription +p1034 +tp1035 +a(g705 +g1028 +tp1036 +a(g826 +V Yet Another Portable HatchPotch GENerator. +p1037 +tp1038 +a(g826 +V\u000a +p1039 +tp1040 +a(g557 +VVersion +p1041 +tp1042 +a(g705 +g1028 +tp1043 +a(g826 +V 352.9.3 +p1044 +tp1045 +a(g826 +V\u000a +p1046 +tp1047 +a(g557 +VURL +p1048 +tp1049 +a(g705 +g1028 +tp1050 +a(g826 +V http://www9.yaphatchpotchgen.net +p1051 +tp1052 +a(g8 +V# Don't access. +p1053 +tp1054 +a(g826 +V\u000a +p1055 +tp1056 +a(g557 +VRequires +p1057 +tp1058 +a(g705 +g1028 +tp1059 +a(g826 +V piyohogelib-9.0 = 9.5.3 +p1060 +tp1061 +a(g826 +V\u000a +p1062 +tp1063 +a(g557 +VRequires.private +p1064 +tp1065 +a(g705 +g1028 +tp1066 +a(g826 +V nyorolib-3.0 = 3.0.9 +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g557 +VConflicts +p1071 +tp1072 +a(g705 +g1028 +tp1073 +a(g826 +V apiyohoge <= 8.3 +p1074 +tp1075 +a(g826 +V\u000a +p1076 +tp1077 +a(g557 +VLibs +p1078 +tp1079 +a(g705 +g1028 +tp1080 +a(g826 +V -L +p1081 +tp1082 +a(g125 +V${ +p1083 +tp1084 +a(g666 +Vlibdir +p1085 +tp1086 +a(g125 +g977 +tp1087 +a(g826 +V -lyaphatchpotchgen-352.9 +p1088 +tp1089 +a(g8 +V# using variable reference +p1090 +tp1091 +a(g826 +V\u000a +p1092 +tp1093 +a(g557 +VLibs.private +p1094 +tp1095 +a(g705 +g1028 +tp1096 +a(g826 +V -ll -ly +p1097 +tp1098 +a(g826 +V\u000a +p1099 +tp1100 +a(g557 +VCflags +p1101 +tp1102 +a(g705 +g1028 +tp1103 +a(g826 +V -I +p1104 +tp1105 +a(g125 +V${ +p1106 +tp1107 +a(g666 +Vincludedir +p1108 +tp1109 +a(g125 +g977 +tp1110 +a(g826 +V/piyohogelib-9.0 -I +p1111 +tp1112 +a(g125 +V${ +p1113 +tp1114 +a(g666 +Vlibdir +p1115 +tp1116 +a(g125 +g977 +tp1117 +a(g826 +V/yaphatchpotchgen/include +p1118 +tp1119 +a(g826 +V\u000a +p1120 +tp1121 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/plain.bst b/tests/examplefiles/output/plain.bst new file mode 100644 index 0000000..0695399 --- /dev/null +++ b/tests/examplefiles/output/plain.bst @@ -0,0 +1,19236 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'SingleLine' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsS'Multiline' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg20 +g21 +((lp939 +tp940 +Rp941 +sbsg20 +g21 +((lp942 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag937 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag8 +ag883 +atp951 +Rp952 +sg943 +g946 +sg5 +g8 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV% BibTeX standard bibliography style `plain' +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g8 +V% Version 0.99b (8-Dec-10 release) for BibTeX versions 0.99a or later. +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g8 +V% Copyright (C) 1984, 1985, 1988, 2010 Howard Trickey and Oren Patashnik. +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g8 +V% Unlimited copying and redistribution of this file are permitted as long as +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g8 +V% it is unmodified. Modifications (and redistribution of modified versions) +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g8 +V% are also permitted, but only if the resulting file is renamed to something +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g8 +V% besides btxbst.doc, plain.bst, unsrt.bst, alpha.bst, and abbrv.bst. +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g8 +V% This restriction helps ensure that all standard styles are identical. +p984 +tp985 +a(g827 +V\u000a +p986 +tp987 +a(g8 +V% The file btxbst.doc has the documentation for this style. +p988 +tp989 +a(g827 +V\u000a\u000a +p990 +tp991 +a(g745 +VENTRY +p992 +tp993 +a(g827 +V\u000a +p994 +tp995 +a(g706 +V{ +p996 +tp997 +a(g827 +V +p998 +tp999 +a(g441 +Vaddress +p1000 +tp1001 +a(g827 +V\u000a +p1002 +tp1003 +a(g441 +Vauthor +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g441 +Vbooktitle +p1008 +tp1009 +a(g827 +V\u000a +p1010 +tp1011 +a(g441 +Vchapter +p1012 +tp1013 +a(g827 +V\u000a +p1014 +tp1015 +a(g441 +Vedition +p1016 +tp1017 +a(g827 +V\u000a +p1018 +tp1019 +a(g441 +Veditor +p1020 +tp1021 +a(g827 +V\u000a +p1022 +tp1023 +a(g441 +Vhowpublished +p1024 +tp1025 +a(g827 +V\u000a +p1026 +tp1027 +a(g441 +Vinstitution +p1028 +tp1029 +a(g827 +V\u000a +p1030 +tp1031 +a(g441 +Vjournal +p1032 +tp1033 +a(g827 +V\u000a +p1034 +tp1035 +a(g441 +Vkey +p1036 +tp1037 +a(g827 +V\u000a +p1038 +tp1039 +a(g441 +Vmonth +p1040 +tp1041 +a(g827 +V\u000a +p1042 +tp1043 +a(g441 +Vnote +p1044 +tp1045 +a(g827 +V\u000a +p1046 +tp1047 +a(g441 +Vnumber +p1048 +tp1049 +a(g827 +V\u000a +p1050 +tp1051 +a(g441 +Vorganization +p1052 +tp1053 +a(g827 +V\u000a +p1054 +tp1055 +a(g441 +Vpages +p1056 +tp1057 +a(g827 +V\u000a +p1058 +tp1059 +a(g441 +Vpublisher +p1060 +tp1061 +a(g827 +V\u000a +p1062 +tp1063 +a(g441 +Vschool +p1064 +tp1065 +a(g827 +V\u000a +p1066 +tp1067 +a(g441 +Vseries +p1068 +tp1069 +a(g827 +V\u000a +p1070 +tp1071 +a(g441 +Vtitle +p1072 +tp1073 +a(g827 +V\u000a +p1074 +tp1075 +a(g441 +Vtype +p1076 +tp1077 +a(g827 +V\u000a +p1078 +tp1079 +a(g441 +Vvolume +p1080 +tp1081 +a(g827 +V\u000a +p1082 +tp1083 +a(g441 +Vyear +p1084 +tp1085 +a(g827 +V\u000a +p1086 +tp1087 +a(g706 +V} +p1088 +tp1089 +a(g827 +V\u000a +p1090 +tp1091 +a(g706 +g996 +tp1092 +a(g706 +g1088 +tp1093 +a(g827 +V\u000a +p1094 +tp1095 +a(g706 +g996 +tp1096 +a(g827 +g998 +tp1097 +a(g441 +Vlabel +p1098 +tp1099 +a(g827 +g998 +tp1100 +a(g706 +g1088 +tp1101 +a(g827 +V\u000a\u000a +p1102 +tp1103 +a(g745 +VINTEGERS +p1104 +tp1105 +a(g827 +g998 +tp1106 +a(g706 +g996 +tp1107 +a(g827 +g998 +tp1108 +a(g441 +Voutput.state +p1109 +tp1110 +a(g827 +g998 +tp1111 +a(g441 +Vbefore.all +p1112 +tp1113 +a(g827 +g998 +tp1114 +a(g441 +Vmid.sentence +p1115 +tp1116 +a(g827 +g998 +tp1117 +a(g441 +Vafter.sentence +p1118 +tp1119 +a(g827 +g998 +tp1120 +a(g441 +Vafter.block +p1121 +tp1122 +a(g827 +g998 +tp1123 +a(g706 +g1088 +tp1124 +a(g827 +V\u000a\u000a +p1125 +tp1126 +a(g745 +VFUNCTION +p1127 +tp1128 +a(g827 +g998 +tp1129 +a(g706 +g996 +tp1130 +a(g441 +Vinit.state.consts +p1131 +tp1132 +a(g706 +g1088 +tp1133 +a(g827 +V\u000a +p1134 +tp1135 +a(g706 +g996 +tp1136 +a(g827 +g998 +tp1137 +a(g32 +V#0 +p1138 +tp1139 +a(g827 +g998 +tp1140 +a(g574 +V'before.all +p1141 +tp1142 +a(g827 +g998 +tp1143 +a(g441 +V:= +p1144 +tp1145 +a(g827 +V\u000a +p1146 +tp1147 +a(g32 +V#1 +p1148 +tp1149 +a(g827 +g998 +tp1150 +a(g574 +V'mid.sentence +p1151 +tp1152 +a(g827 +g998 +tp1153 +a(g441 +V:= +p1154 +tp1155 +a(g827 +V\u000a +p1156 +tp1157 +a(g32 +V#2 +p1158 +tp1159 +a(g827 +g998 +tp1160 +a(g574 +V'after.sentence +p1161 +tp1162 +a(g827 +g998 +tp1163 +a(g441 +V:= +p1164 +tp1165 +a(g827 +V\u000a +p1166 +tp1167 +a(g32 +V#3 +p1168 +tp1169 +a(g827 +g998 +tp1170 +a(g574 +V'after.block +p1171 +tp1172 +a(g827 +g998 +tp1173 +a(g441 +V:= +p1174 +tp1175 +a(g827 +V\u000a +p1176 +tp1177 +a(g706 +g1088 +tp1178 +a(g827 +V\u000a\u000a +p1179 +tp1180 +a(g745 +VSTRINGS +p1181 +tp1182 +a(g827 +g998 +tp1183 +a(g706 +g996 +tp1184 +a(g827 +g998 +tp1185 +a(g441 +Vs +p1186 +tp1187 +a(g827 +g998 +tp1188 +a(g441 +Vt +p1189 +tp1190 +a(g827 +g998 +tp1191 +a(g706 +g1088 +tp1192 +a(g827 +V\u000a\u000a +p1193 +tp1194 +a(g745 +VFUNCTION +p1195 +tp1196 +a(g827 +g998 +tp1197 +a(g706 +g996 +tp1198 +a(g441 +Voutput.nonnull +p1199 +tp1200 +a(g706 +g1088 +tp1201 +a(g827 +V\u000a +p1202 +tp1203 +a(g706 +g996 +tp1204 +a(g827 +g998 +tp1205 +a(g574 +V's +p1206 +tp1207 +a(g827 +g998 +tp1208 +a(g441 +V:= +p1209 +tp1210 +a(g827 +V\u000a +p1211 +tp1212 +a(g441 +Voutput.state +p1213 +tp1214 +a(g827 +g998 +tp1215 +a(g441 +Vmid.sentence +p1216 +tp1217 +a(g827 +g998 +tp1218 +a(g441 +V= +p1219 +tp1220 +a(g827 +V\u000a +p1221 +tp1222 +a(g706 +g996 +tp1223 +a(g827 +g998 +tp1224 +a(g89 +V", " +p1225 +tp1226 +a(g827 +g998 +tp1227 +a(g441 +V* +p1228 +tp1229 +a(g827 +g998 +tp1230 +a(g682 +Vwrite$ +p1231 +tp1232 +a(g827 +g998 +tp1233 +a(g706 +g1088 +tp1234 +a(g827 +V\u000a +p1235 +tp1236 +a(g706 +g996 +tp1237 +a(g827 +g998 +tp1238 +a(g441 +Voutput.state +p1239 +tp1240 +a(g827 +g998 +tp1241 +a(g441 +Vafter.block +p1242 +tp1243 +a(g827 +g998 +tp1244 +a(g441 +g1219 +tp1245 +a(g827 +V\u000a +p1246 +tp1247 +a(g706 +g996 +tp1248 +a(g827 +g998 +tp1249 +a(g682 +Vadd.period$ +p1250 +tp1251 +a(g827 +g998 +tp1252 +a(g682 +Vwrite$ +p1253 +tp1254 +a(g827 +V\u000a +p1255 +tp1256 +a(g682 +Vnewline$ +p1257 +tp1258 +a(g827 +V\u000a +p1259 +tp1260 +a(g89 +V"\u005cnewblock " +p1261 +tp1262 +a(g827 +g998 +tp1263 +a(g682 +Vwrite$ +p1264 +tp1265 +a(g827 +V\u000a +p1266 +tp1267 +a(g706 +g1088 +tp1268 +a(g827 +V\u000a +p1269 +tp1270 +a(g706 +g996 +tp1271 +a(g827 +g998 +tp1272 +a(g441 +Voutput.state +p1273 +tp1274 +a(g827 +g998 +tp1275 +a(g441 +Vbefore.all +p1276 +tp1277 +a(g827 +g998 +tp1278 +a(g441 +g1219 +tp1279 +a(g827 +V\u000a +p1280 +tp1281 +a(g574 +V'write$ +p1282 +tp1283 +a(g827 +V\u000a +p1284 +tp1285 +a(g706 +g996 +tp1286 +a(g827 +g998 +tp1287 +a(g682 +Vadd.period$ +p1288 +tp1289 +a(g827 +g998 +tp1290 +a(g89 +V" " +p1291 +tp1292 +a(g827 +g998 +tp1293 +a(g441 +g1228 +tp1294 +a(g827 +g998 +tp1295 +a(g682 +Vwrite$ +p1296 +tp1297 +a(g827 +g998 +tp1298 +a(g706 +g1088 +tp1299 +a(g827 +V\u000a +p1300 +tp1301 +a(g682 +Vif$ +p1302 +tp1303 +a(g827 +V\u000a +p1304 +tp1305 +a(g706 +g1088 +tp1306 +a(g827 +V\u000a +p1307 +tp1308 +a(g682 +Vif$ +p1309 +tp1310 +a(g827 +V\u000a +p1311 +tp1312 +a(g441 +Vmid.sentence +p1313 +tp1314 +a(g827 +g998 +tp1315 +a(g574 +V'output.state +p1316 +tp1317 +a(g827 +g998 +tp1318 +a(g441 +V:= +p1319 +tp1320 +a(g827 +V\u000a +p1321 +tp1322 +a(g706 +g1088 +tp1323 +a(g827 +V\u000a +p1324 +tp1325 +a(g682 +Vif$ +p1326 +tp1327 +a(g827 +V\u000a +p1328 +tp1329 +a(g441 +g1186 +tp1330 +a(g827 +V\u000a +p1331 +tp1332 +a(g706 +g1088 +tp1333 +a(g827 +V\u000a\u000a +p1334 +tp1335 +a(g745 +VFUNCTION +p1336 +tp1337 +a(g827 +g998 +tp1338 +a(g706 +g996 +tp1339 +a(g441 +Voutput +p1340 +tp1341 +a(g706 +g1088 +tp1342 +a(g827 +V\u000a +p1343 +tp1344 +a(g706 +g996 +tp1345 +a(g827 +g998 +tp1346 +a(g682 +Vduplicate$ +p1347 +tp1348 +a(g827 +g998 +tp1349 +a(g682 +Vempty$ +p1350 +tp1351 +a(g827 +V\u000a +p1352 +tp1353 +a(g574 +V'pop$ +p1354 +tp1355 +a(g827 +V\u000a +p1356 +tp1357 +a(g574 +V'output.nonnull +p1358 +tp1359 +a(g827 +V\u000a +p1360 +tp1361 +a(g682 +Vif$ +p1362 +tp1363 +a(g827 +V\u000a +p1364 +tp1365 +a(g706 +g1088 +tp1366 +a(g827 +V\u000a\u000a +p1367 +tp1368 +a(g745 +VFUNCTION +p1369 +tp1370 +a(g827 +g998 +tp1371 +a(g706 +g996 +tp1372 +a(g441 +Voutput.check +p1373 +tp1374 +a(g706 +g1088 +tp1375 +a(g827 +V\u000a +p1376 +tp1377 +a(g706 +g996 +tp1378 +a(g827 +g998 +tp1379 +a(g574 +V't +p1380 +tp1381 +a(g827 +g998 +tp1382 +a(g441 +V:= +p1383 +tp1384 +a(g827 +V\u000a +p1385 +tp1386 +a(g682 +Vduplicate$ +p1387 +tp1388 +a(g827 +g998 +tp1389 +a(g682 +Vempty$ +p1390 +tp1391 +a(g827 +V\u000a +p1392 +tp1393 +a(g706 +g996 +tp1394 +a(g827 +g998 +tp1395 +a(g682 +Vpop$ +p1396 +tp1397 +a(g827 +g998 +tp1398 +a(g89 +V"empty " +p1399 +tp1400 +a(g827 +g998 +tp1401 +a(g441 +g1189 +tp1402 +a(g827 +g998 +tp1403 +a(g441 +g1228 +tp1404 +a(g827 +g998 +tp1405 +a(g89 +V" in " +p1406 +tp1407 +a(g827 +g998 +tp1408 +a(g441 +g1228 +tp1409 +a(g827 +g998 +tp1410 +a(g682 +Vcite$ +p1411 +tp1412 +a(g827 +g998 +tp1413 +a(g441 +g1228 +tp1414 +a(g827 +g998 +tp1415 +a(g682 +Vwarning$ +p1416 +tp1417 +a(g827 +g998 +tp1418 +a(g706 +g1088 +tp1419 +a(g827 +V\u000a +p1420 +tp1421 +a(g574 +V'output.nonnull +p1422 +tp1423 +a(g827 +V\u000a +p1424 +tp1425 +a(g682 +Vif$ +p1426 +tp1427 +a(g827 +V\u000a +p1428 +tp1429 +a(g706 +g1088 +tp1430 +a(g827 +V\u000a\u000a +p1431 +tp1432 +a(g745 +VFUNCTION +p1433 +tp1434 +a(g827 +g998 +tp1435 +a(g706 +g996 +tp1436 +a(g441 +Voutput.bibitem +p1437 +tp1438 +a(g706 +g1088 +tp1439 +a(g827 +V\u000a +p1440 +tp1441 +a(g706 +g996 +tp1442 +a(g827 +g998 +tp1443 +a(g682 +Vnewline$ +p1444 +tp1445 +a(g827 +V\u000a +p1446 +tp1447 +a(g89 +V"\u005cbibitem{" +p1448 +tp1449 +a(g827 +g998 +tp1450 +a(g682 +Vwrite$ +p1451 +tp1452 +a(g827 +V\u000a +p1453 +tp1454 +a(g682 +Vcite$ +p1455 +tp1456 +a(g827 +g998 +tp1457 +a(g682 +Vwrite$ +p1458 +tp1459 +a(g827 +V\u000a +p1460 +tp1461 +a(g89 +V"}" +p1462 +tp1463 +a(g827 +g998 +tp1464 +a(g682 +Vwrite$ +p1465 +tp1466 +a(g827 +V\u000a +p1467 +tp1468 +a(g682 +Vnewline$ +p1469 +tp1470 +a(g827 +V\u000a +p1471 +tp1472 +a(g89 +V"" +p1473 +tp1474 +a(g827 +V\u000a +p1475 +tp1476 +a(g441 +Vbefore.all +p1477 +tp1478 +a(g827 +g998 +tp1479 +a(g574 +V'output.state +p1480 +tp1481 +a(g827 +g998 +tp1482 +a(g441 +V:= +p1483 +tp1484 +a(g827 +V\u000a +p1485 +tp1486 +a(g706 +g1088 +tp1487 +a(g827 +V\u000a\u000a +p1488 +tp1489 +a(g745 +VFUNCTION +p1490 +tp1491 +a(g827 +g998 +tp1492 +a(g706 +g996 +tp1493 +a(g441 +Vfin.entry +p1494 +tp1495 +a(g706 +g1088 +tp1496 +a(g827 +V\u000a +p1497 +tp1498 +a(g706 +g996 +tp1499 +a(g827 +g998 +tp1500 +a(g682 +Vadd.period$ +p1501 +tp1502 +a(g827 +V\u000a +p1503 +tp1504 +a(g682 +Vwrite$ +p1505 +tp1506 +a(g827 +V\u000a +p1507 +tp1508 +a(g682 +Vnewline$ +p1509 +tp1510 +a(g827 +V\u000a +p1511 +tp1512 +a(g706 +g1088 +tp1513 +a(g827 +V\u000a\u000a +p1514 +tp1515 +a(g745 +VFUNCTION +p1516 +tp1517 +a(g827 +g998 +tp1518 +a(g706 +g996 +tp1519 +a(g441 +Vnew.block +p1520 +tp1521 +a(g706 +g1088 +tp1522 +a(g827 +V\u000a +p1523 +tp1524 +a(g706 +g996 +tp1525 +a(g827 +g998 +tp1526 +a(g441 +Voutput.state +p1527 +tp1528 +a(g827 +g998 +tp1529 +a(g441 +Vbefore.all +p1530 +tp1531 +a(g827 +g998 +tp1532 +a(g441 +g1219 +tp1533 +a(g827 +V\u000a +p1534 +tp1535 +a(g574 +V'skip$ +p1536 +tp1537 +a(g827 +V\u000a +p1538 +tp1539 +a(g706 +g996 +tp1540 +a(g827 +g998 +tp1541 +a(g441 +Vafter.block +p1542 +tp1543 +a(g827 +g998 +tp1544 +a(g574 +V'output.state +p1545 +tp1546 +a(g827 +g998 +tp1547 +a(g441 +V:= +p1548 +tp1549 +a(g827 +g998 +tp1550 +a(g706 +g1088 +tp1551 +a(g827 +V\u000a +p1552 +tp1553 +a(g682 +Vif$ +p1554 +tp1555 +a(g827 +V\u000a +p1556 +tp1557 +a(g706 +g1088 +tp1558 +a(g827 +V\u000a\u000a +p1559 +tp1560 +a(g745 +VFUNCTION +p1561 +tp1562 +a(g827 +g998 +tp1563 +a(g706 +g996 +tp1564 +a(g441 +Vnew.sentence +p1565 +tp1566 +a(g706 +g1088 +tp1567 +a(g827 +V\u000a +p1568 +tp1569 +a(g706 +g996 +tp1570 +a(g827 +g998 +tp1571 +a(g441 +Voutput.state +p1572 +tp1573 +a(g827 +g998 +tp1574 +a(g441 +Vafter.block +p1575 +tp1576 +a(g827 +g998 +tp1577 +a(g441 +g1219 +tp1578 +a(g827 +V\u000a +p1579 +tp1580 +a(g574 +V'skip$ +p1581 +tp1582 +a(g827 +V\u000a +p1583 +tp1584 +a(g706 +g996 +tp1585 +a(g827 +g998 +tp1586 +a(g441 +Voutput.state +p1587 +tp1588 +a(g827 +g998 +tp1589 +a(g441 +Vbefore.all +p1590 +tp1591 +a(g827 +g998 +tp1592 +a(g441 +g1219 +tp1593 +a(g827 +V\u000a +p1594 +tp1595 +a(g574 +V'skip$ +p1596 +tp1597 +a(g827 +V\u000a +p1598 +tp1599 +a(g706 +g996 +tp1600 +a(g827 +g998 +tp1601 +a(g441 +Vafter.sentence +p1602 +tp1603 +a(g827 +g998 +tp1604 +a(g574 +V'output.state +p1605 +tp1606 +a(g827 +g998 +tp1607 +a(g441 +V:= +p1608 +tp1609 +a(g827 +g998 +tp1610 +a(g706 +g1088 +tp1611 +a(g827 +V\u000a +p1612 +tp1613 +a(g682 +Vif$ +p1614 +tp1615 +a(g827 +V\u000a +p1616 +tp1617 +a(g706 +g1088 +tp1618 +a(g827 +V\u000a +p1619 +tp1620 +a(g682 +Vif$ +p1621 +tp1622 +a(g827 +V\u000a +p1623 +tp1624 +a(g706 +g1088 +tp1625 +a(g827 +V\u000a\u000a +p1626 +tp1627 +a(g745 +VFUNCTION +p1628 +tp1629 +a(g827 +g998 +tp1630 +a(g706 +g996 +tp1631 +a(g441 +Vnot +p1632 +tp1633 +a(g706 +g1088 +tp1634 +a(g827 +V\u000a +p1635 +tp1636 +a(g706 +g996 +tp1637 +a(g827 +V +p1638 +tp1639 +a(g706 +g996 +tp1640 +a(g827 +g998 +tp1641 +a(g32 +V#0 +p1642 +tp1643 +a(g827 +g998 +tp1644 +a(g706 +g1088 +tp1645 +a(g827 +V\u000a +p1646 +tp1647 +a(g706 +g996 +tp1648 +a(g827 +g998 +tp1649 +a(g32 +V#1 +p1650 +tp1651 +a(g827 +g998 +tp1652 +a(g706 +g1088 +tp1653 +a(g827 +V\u000a +p1654 +tp1655 +a(g682 +Vif$ +p1656 +tp1657 +a(g827 +V\u000a +p1658 +tp1659 +a(g706 +g1088 +tp1660 +a(g827 +V\u000a\u000a +p1661 +tp1662 +a(g745 +VFUNCTION +p1663 +tp1664 +a(g827 +g998 +tp1665 +a(g706 +g996 +tp1666 +a(g441 +Vand +p1667 +tp1668 +a(g706 +g1088 +tp1669 +a(g827 +V\u000a +p1670 +tp1671 +a(g706 +g996 +tp1672 +a(g827 +V +p1673 +tp1674 +a(g574 +V'skip$ +p1675 +tp1676 +a(g827 +V\u000a +p1677 +tp1678 +a(g706 +g996 +tp1679 +a(g827 +g998 +tp1680 +a(g682 +Vpop$ +p1681 +tp1682 +a(g827 +g998 +tp1683 +a(g32 +V#0 +p1684 +tp1685 +a(g827 +g998 +tp1686 +a(g706 +g1088 +tp1687 +a(g827 +V\u000a +p1688 +tp1689 +a(g682 +Vif$ +p1690 +tp1691 +a(g827 +V\u000a +p1692 +tp1693 +a(g706 +g1088 +tp1694 +a(g827 +V\u000a\u000a +p1695 +tp1696 +a(g745 +VFUNCTION +p1697 +tp1698 +a(g827 +g998 +tp1699 +a(g706 +g996 +tp1700 +a(g441 +Vor +p1701 +tp1702 +a(g706 +g1088 +tp1703 +a(g827 +V\u000a +p1704 +tp1705 +a(g706 +g996 +tp1706 +a(g827 +V +p1707 +tp1708 +a(g706 +g996 +tp1709 +a(g827 +g998 +tp1710 +a(g682 +Vpop$ +p1711 +tp1712 +a(g827 +g998 +tp1713 +a(g32 +V#1 +p1714 +tp1715 +a(g827 +g998 +tp1716 +a(g706 +g1088 +tp1717 +a(g827 +V\u000a +p1718 +tp1719 +a(g574 +V'skip$ +p1720 +tp1721 +a(g827 +V\u000a +p1722 +tp1723 +a(g682 +Vif$ +p1724 +tp1725 +a(g827 +V\u000a +p1726 +tp1727 +a(g706 +g1088 +tp1728 +a(g827 +V\u000a\u000a +p1729 +tp1730 +a(g745 +VFUNCTION +p1731 +tp1732 +a(g827 +g998 +tp1733 +a(g706 +g996 +tp1734 +a(g441 +Vnew.block.checka +p1735 +tp1736 +a(g706 +g1088 +tp1737 +a(g827 +V\u000a +p1738 +tp1739 +a(g706 +g996 +tp1740 +a(g827 +g998 +tp1741 +a(g682 +Vempty$ +p1742 +tp1743 +a(g827 +V\u000a +p1744 +tp1745 +a(g574 +V'skip$ +p1746 +tp1747 +a(g827 +V\u000a +p1748 +tp1749 +a(g574 +V'new.block +p1750 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g682 +Vif$ +p1754 +tp1755 +a(g827 +V\u000a +p1756 +tp1757 +a(g706 +g1088 +tp1758 +a(g827 +V\u000a\u000a +p1759 +tp1760 +a(g745 +VFUNCTION +p1761 +tp1762 +a(g827 +g998 +tp1763 +a(g706 +g996 +tp1764 +a(g441 +Vnew.block.checkb +p1765 +tp1766 +a(g706 +g1088 +tp1767 +a(g827 +V\u000a +p1768 +tp1769 +a(g706 +g996 +tp1770 +a(g827 +g998 +tp1771 +a(g682 +Vempty$ +p1772 +tp1773 +a(g827 +V\u000a +p1774 +tp1775 +a(g682 +Vswap$ +p1776 +tp1777 +a(g827 +g998 +tp1778 +a(g682 +Vempty$ +p1779 +tp1780 +a(g827 +V\u000a +p1781 +tp1782 +a(g441 +Vand +p1783 +tp1784 +a(g827 +V\u000a +p1785 +tp1786 +a(g574 +V'skip$ +p1787 +tp1788 +a(g827 +V\u000a +p1789 +tp1790 +a(g574 +V'new.block +p1791 +tp1792 +a(g827 +V\u000a +p1793 +tp1794 +a(g682 +Vif$ +p1795 +tp1796 +a(g827 +V\u000a +p1797 +tp1798 +a(g706 +g1088 +tp1799 +a(g827 +V\u000a\u000a +p1800 +tp1801 +a(g745 +VFUNCTION +p1802 +tp1803 +a(g827 +g998 +tp1804 +a(g706 +g996 +tp1805 +a(g441 +Vnew.sentence.checka +p1806 +tp1807 +a(g706 +g1088 +tp1808 +a(g827 +V\u000a +p1809 +tp1810 +a(g706 +g996 +tp1811 +a(g827 +g998 +tp1812 +a(g682 +Vempty$ +p1813 +tp1814 +a(g827 +V\u000a +p1815 +tp1816 +a(g574 +V'skip$ +p1817 +tp1818 +a(g827 +V\u000a +p1819 +tp1820 +a(g574 +V'new.sentence +p1821 +tp1822 +a(g827 +V\u000a +p1823 +tp1824 +a(g682 +Vif$ +p1825 +tp1826 +a(g827 +V\u000a +p1827 +tp1828 +a(g706 +g1088 +tp1829 +a(g827 +V\u000a\u000a +p1830 +tp1831 +a(g745 +VFUNCTION +p1832 +tp1833 +a(g827 +g998 +tp1834 +a(g706 +g996 +tp1835 +a(g441 +Vnew.sentence.checkb +p1836 +tp1837 +a(g706 +g1088 +tp1838 +a(g827 +V\u000a +p1839 +tp1840 +a(g706 +g996 +tp1841 +a(g827 +g998 +tp1842 +a(g682 +Vempty$ +p1843 +tp1844 +a(g827 +V\u000a +p1845 +tp1846 +a(g682 +Vswap$ +p1847 +tp1848 +a(g827 +g998 +tp1849 +a(g682 +Vempty$ +p1850 +tp1851 +a(g827 +V\u000a +p1852 +tp1853 +a(g441 +Vand +p1854 +tp1855 +a(g827 +V\u000a +p1856 +tp1857 +a(g574 +V'skip$ +p1858 +tp1859 +a(g827 +V\u000a +p1860 +tp1861 +a(g574 +V'new.sentence +p1862 +tp1863 +a(g827 +V\u000a +p1864 +tp1865 +a(g682 +Vif$ +p1866 +tp1867 +a(g827 +V\u000a +p1868 +tp1869 +a(g706 +g1088 +tp1870 +a(g827 +V\u000a\u000a +p1871 +tp1872 +a(g745 +VFUNCTION +p1873 +tp1874 +a(g827 +g998 +tp1875 +a(g706 +g996 +tp1876 +a(g441 +Vfield.or.null +p1877 +tp1878 +a(g706 +g1088 +tp1879 +a(g827 +V\u000a +p1880 +tp1881 +a(g706 +g996 +tp1882 +a(g827 +g998 +tp1883 +a(g682 +Vduplicate$ +p1884 +tp1885 +a(g827 +g998 +tp1886 +a(g682 +Vempty$ +p1887 +tp1888 +a(g827 +V\u000a +p1889 +tp1890 +a(g706 +g996 +tp1891 +a(g827 +g998 +tp1892 +a(g682 +Vpop$ +p1893 +tp1894 +a(g827 +g998 +tp1895 +a(g89 +V"" +p1896 +tp1897 +a(g827 +g998 +tp1898 +a(g706 +g1088 +tp1899 +a(g827 +V\u000a +p1900 +tp1901 +a(g574 +V'skip$ +p1902 +tp1903 +a(g827 +V\u000a +p1904 +tp1905 +a(g682 +Vif$ +p1906 +tp1907 +a(g827 +V\u000a +p1908 +tp1909 +a(g706 +g1088 +tp1910 +a(g827 +V\u000a\u000a +p1911 +tp1912 +a(g745 +VFUNCTION +p1913 +tp1914 +a(g827 +g998 +tp1915 +a(g706 +g996 +tp1916 +a(g441 +Vemphasize +p1917 +tp1918 +a(g706 +g1088 +tp1919 +a(g827 +V\u000a +p1920 +tp1921 +a(g706 +g996 +tp1922 +a(g827 +g998 +tp1923 +a(g682 +Vduplicate$ +p1924 +tp1925 +a(g827 +g998 +tp1926 +a(g682 +Vempty$ +p1927 +tp1928 +a(g827 +V\u000a +p1929 +tp1930 +a(g706 +g996 +tp1931 +a(g827 +g998 +tp1932 +a(g682 +Vpop$ +p1933 +tp1934 +a(g827 +g998 +tp1935 +a(g89 +V"" +p1936 +tp1937 +a(g827 +g998 +tp1938 +a(g706 +g1088 +tp1939 +a(g827 +V\u000a +p1940 +tp1941 +a(g706 +g996 +tp1942 +a(g827 +g998 +tp1943 +a(g89 +V"{\u005cem " +p1944 +tp1945 +a(g827 +g998 +tp1946 +a(g682 +Vswap$ +p1947 +tp1948 +a(g827 +g998 +tp1949 +a(g441 +g1228 +tp1950 +a(g827 +g998 +tp1951 +a(g89 +V"}" +p1952 +tp1953 +a(g827 +g998 +tp1954 +a(g441 +g1228 +tp1955 +a(g827 +g998 +tp1956 +a(g706 +g1088 +tp1957 +a(g827 +V\u000a +p1958 +tp1959 +a(g682 +Vif$ +p1960 +tp1961 +a(g827 +V\u000a +p1962 +tp1963 +a(g706 +g1088 +tp1964 +a(g827 +V\u000a\u000a +p1965 +tp1966 +a(g745 +VINTEGERS +p1967 +tp1968 +a(g827 +g998 +tp1969 +a(g706 +g996 +tp1970 +a(g827 +g998 +tp1971 +a(g441 +Vnameptr +p1972 +tp1973 +a(g827 +g998 +tp1974 +a(g441 +Vnamesleft +p1975 +tp1976 +a(g827 +g998 +tp1977 +a(g441 +Vnumnames +p1978 +tp1979 +a(g827 +g998 +tp1980 +a(g706 +g1088 +tp1981 +a(g827 +V\u000a\u000a +p1982 +tp1983 +a(g745 +VFUNCTION +p1984 +tp1985 +a(g827 +g998 +tp1986 +a(g706 +g996 +tp1987 +a(g441 +Vformat.names +p1988 +tp1989 +a(g706 +g1088 +tp1990 +a(g827 +V\u000a +p1991 +tp1992 +a(g706 +g996 +tp1993 +a(g827 +g998 +tp1994 +a(g574 +V's +p1995 +tp1996 +a(g827 +g998 +tp1997 +a(g441 +V:= +p1998 +tp1999 +a(g827 +V\u000a +p2000 +tp2001 +a(g32 +V#1 +p2002 +tp2003 +a(g827 +g998 +tp2004 +a(g574 +V'nameptr +p2005 +tp2006 +a(g827 +g998 +tp2007 +a(g441 +V:= +p2008 +tp2009 +a(g827 +V\u000a +p2010 +tp2011 +a(g441 +g1186 +tp2012 +a(g827 +g998 +tp2013 +a(g682 +Vnum.names$ +p2014 +tp2015 +a(g827 +g998 +tp2016 +a(g574 +V'numnames +p2017 +tp2018 +a(g827 +g998 +tp2019 +a(g441 +V:= +p2020 +tp2021 +a(g827 +V\u000a +p2022 +tp2023 +a(g441 +Vnumnames +p2024 +tp2025 +a(g827 +g998 +tp2026 +a(g574 +V'namesleft +p2027 +tp2028 +a(g827 +g998 +tp2029 +a(g441 +V:= +p2030 +tp2031 +a(g827 +V\u000a +p2032 +tp2033 +a(g706 +g996 +tp2034 +a(g827 +g998 +tp2035 +a(g441 +Vnamesleft +p2036 +tp2037 +a(g827 +g998 +tp2038 +a(g32 +V#0 +p2039 +tp2040 +a(g827 +g998 +tp2041 +a(g441 +V> +p2042 +tp2043 +a(g827 +g998 +tp2044 +a(g706 +g1088 +tp2045 +a(g827 +V\u000a +p2046 +tp2047 +a(g706 +g996 +tp2048 +a(g827 +g998 +tp2049 +a(g441 +g1186 +tp2050 +a(g827 +g998 +tp2051 +a(g441 +Vnameptr +p2052 +tp2053 +a(g827 +g998 +tp2054 +a(g89 +V"{ff~}{vv~}{ll}{, jj}" +p2055 +tp2056 +a(g827 +g998 +tp2057 +a(g682 +Vformat.name$ +p2058 +tp2059 +a(g827 +g998 +tp2060 +a(g574 +V't +p2061 +tp2062 +a(g827 +g998 +tp2063 +a(g441 +V:= +p2064 +tp2065 +a(g827 +V\u000a +p2066 +tp2067 +a(g441 +Vnameptr +p2068 +tp2069 +a(g827 +g998 +tp2070 +a(g32 +V#1 +p2071 +tp2072 +a(g827 +g998 +tp2073 +a(g441 +g2042 +tp2074 +a(g827 +V\u000a +p2075 +tp2076 +a(g706 +g996 +tp2077 +a(g827 +g998 +tp2078 +a(g441 +Vnamesleft +p2079 +tp2080 +a(g827 +g998 +tp2081 +a(g32 +V#1 +p2082 +tp2083 +a(g827 +g998 +tp2084 +a(g441 +g2042 +tp2085 +a(g827 +V\u000a +p2086 +tp2087 +a(g706 +g996 +tp2088 +a(g827 +g998 +tp2089 +a(g89 +V", " +p2090 +tp2091 +a(g827 +g998 +tp2092 +a(g441 +g1228 +tp2093 +a(g827 +g998 +tp2094 +a(g441 +g1189 +tp2095 +a(g827 +g998 +tp2096 +a(g441 +g1228 +tp2097 +a(g827 +g998 +tp2098 +a(g706 +g1088 +tp2099 +a(g827 +V\u000a +p2100 +tp2101 +a(g706 +g996 +tp2102 +a(g827 +g998 +tp2103 +a(g441 +Vnumnames +p2104 +tp2105 +a(g827 +g998 +tp2106 +a(g32 +V#2 +p2107 +tp2108 +a(g827 +g998 +tp2109 +a(g441 +g2042 +tp2110 +a(g827 +V\u000a +p2111 +tp2112 +a(g706 +g996 +tp2113 +a(g827 +g998 +tp2114 +a(g89 +V"," +p2115 +tp2116 +a(g827 +g998 +tp2117 +a(g441 +g1228 +tp2118 +a(g827 +g998 +tp2119 +a(g706 +g1088 +tp2120 +a(g827 +V\u000a +p2121 +tp2122 +a(g574 +V'skip$ +p2123 +tp2124 +a(g827 +V\u000a +p2125 +tp2126 +a(g682 +Vif$ +p2127 +tp2128 +a(g827 +V\u000a +p2129 +tp2130 +a(g441 +g1189 +tp2131 +a(g827 +g998 +tp2132 +a(g89 +V"others" +p2133 +tp2134 +a(g827 +g998 +tp2135 +a(g441 +g1219 +tp2136 +a(g827 +V\u000a +p2137 +tp2138 +a(g706 +g996 +tp2139 +a(g827 +g998 +tp2140 +a(g89 +V" et~al." +p2141 +tp2142 +a(g827 +g998 +tp2143 +a(g441 +g1228 +tp2144 +a(g827 +g998 +tp2145 +a(g706 +g1088 +tp2146 +a(g827 +V\u000a +p2147 +tp2148 +a(g706 +g996 +tp2149 +a(g827 +g998 +tp2150 +a(g89 +V" and " +p2151 +tp2152 +a(g827 +g998 +tp2153 +a(g441 +g1228 +tp2154 +a(g827 +g998 +tp2155 +a(g441 +g1189 +tp2156 +a(g827 +g998 +tp2157 +a(g441 +g1228 +tp2158 +a(g827 +g998 +tp2159 +a(g706 +g1088 +tp2160 +a(g827 +V\u000a +p2161 +tp2162 +a(g682 +Vif$ +p2163 +tp2164 +a(g827 +V\u000a +p2165 +tp2166 +a(g706 +g1088 +tp2167 +a(g827 +V\u000a +p2168 +tp2169 +a(g682 +Vif$ +p2170 +tp2171 +a(g827 +V\u000a +p2172 +tp2173 +a(g706 +g1088 +tp2174 +a(g827 +V\u000a +p2175 +tp2176 +a(g574 +V't +p2177 +tp2178 +a(g827 +V\u000a +p2179 +tp2180 +a(g682 +Vif$ +p2181 +tp2182 +a(g827 +V\u000a +p2183 +tp2184 +a(g441 +Vnameptr +p2185 +tp2186 +a(g827 +g998 +tp2187 +a(g32 +V#1 +p2188 +tp2189 +a(g827 +g998 +tp2190 +a(g441 +V+ +p2191 +tp2192 +a(g827 +g998 +tp2193 +a(g574 +V'nameptr +p2194 +tp2195 +a(g827 +g998 +tp2196 +a(g441 +V:= +p2197 +tp2198 +a(g827 +V\u000a +p2199 +tp2200 +a(g441 +Vnamesleft +p2201 +tp2202 +a(g827 +g998 +tp2203 +a(g32 +V#1 +p2204 +tp2205 +a(g827 +g998 +tp2206 +a(g441 +V- +p2207 +tp2208 +a(g827 +g998 +tp2209 +a(g574 +V'namesleft +p2210 +tp2211 +a(g827 +g998 +tp2212 +a(g441 +V:= +p2213 +tp2214 +a(g827 +V\u000a +p2215 +tp2216 +a(g706 +g1088 +tp2217 +a(g827 +V\u000a +p2218 +tp2219 +a(g682 +Vwhile$ +p2220 +tp2221 +a(g827 +V\u000a +p2222 +tp2223 +a(g706 +g1088 +tp2224 +a(g827 +V\u000a\u000a +p2225 +tp2226 +a(g745 +VFUNCTION +p2227 +tp2228 +a(g827 +g998 +tp2229 +a(g706 +g996 +tp2230 +a(g441 +Vformat.authors +p2231 +tp2232 +a(g706 +g1088 +tp2233 +a(g827 +V\u000a +p2234 +tp2235 +a(g706 +g996 +tp2236 +a(g827 +g998 +tp2237 +a(g441 +Vauthor +p2238 +tp2239 +a(g827 +g998 +tp2240 +a(g682 +Vempty$ +p2241 +tp2242 +a(g827 +V\u000a +p2243 +tp2244 +a(g706 +g996 +tp2245 +a(g827 +g998 +tp2246 +a(g89 +V"" +p2247 +tp2248 +a(g827 +g998 +tp2249 +a(g706 +g1088 +tp2250 +a(g827 +V\u000a +p2251 +tp2252 +a(g706 +g996 +tp2253 +a(g827 +g998 +tp2254 +a(g441 +Vauthor +p2255 +tp2256 +a(g827 +g998 +tp2257 +a(g441 +Vformat.names +p2258 +tp2259 +a(g827 +g998 +tp2260 +a(g706 +g1088 +tp2261 +a(g827 +V\u000a +p2262 +tp2263 +a(g682 +Vif$ +p2264 +tp2265 +a(g827 +V\u000a +p2266 +tp2267 +a(g706 +g1088 +tp2268 +a(g827 +V\u000a\u000a +p2269 +tp2270 +a(g745 +VFUNCTION +p2271 +tp2272 +a(g827 +g998 +tp2273 +a(g706 +g996 +tp2274 +a(g441 +Vformat.editors +p2275 +tp2276 +a(g706 +g1088 +tp2277 +a(g827 +V\u000a +p2278 +tp2279 +a(g706 +g996 +tp2280 +a(g827 +g998 +tp2281 +a(g441 +Veditor +p2282 +tp2283 +a(g827 +g998 +tp2284 +a(g682 +Vempty$ +p2285 +tp2286 +a(g827 +V\u000a +p2287 +tp2288 +a(g706 +g996 +tp2289 +a(g827 +g998 +tp2290 +a(g89 +V"" +p2291 +tp2292 +a(g827 +g998 +tp2293 +a(g706 +g1088 +tp2294 +a(g827 +V\u000a +p2295 +tp2296 +a(g706 +g996 +tp2297 +a(g827 +g998 +tp2298 +a(g441 +Veditor +p2299 +tp2300 +a(g827 +g998 +tp2301 +a(g441 +Vformat.names +p2302 +tp2303 +a(g827 +V\u000a +p2304 +tp2305 +a(g441 +Veditor +p2306 +tp2307 +a(g827 +g998 +tp2308 +a(g682 +Vnum.names$ +p2309 +tp2310 +a(g827 +g998 +tp2311 +a(g32 +V#1 +p2312 +tp2313 +a(g827 +g998 +tp2314 +a(g441 +g2042 +tp2315 +a(g827 +V\u000a +p2316 +tp2317 +a(g706 +g996 +tp2318 +a(g827 +g998 +tp2319 +a(g89 +V", editors" +p2320 +tp2321 +a(g827 +g998 +tp2322 +a(g441 +g1228 +tp2323 +a(g827 +g998 +tp2324 +a(g706 +g1088 +tp2325 +a(g827 +V\u000a +p2326 +tp2327 +a(g706 +g996 +tp2328 +a(g827 +g998 +tp2329 +a(g89 +V", editor" +p2330 +tp2331 +a(g827 +g998 +tp2332 +a(g441 +g1228 +tp2333 +a(g827 +g998 +tp2334 +a(g706 +g1088 +tp2335 +a(g827 +V\u000a +p2336 +tp2337 +a(g682 +Vif$ +p2338 +tp2339 +a(g827 +V\u000a +p2340 +tp2341 +a(g706 +g1088 +tp2342 +a(g827 +V\u000a +p2343 +tp2344 +a(g682 +Vif$ +p2345 +tp2346 +a(g827 +V\u000a +p2347 +tp2348 +a(g706 +g1088 +tp2349 +a(g827 +V\u000a\u000a +p2350 +tp2351 +a(g745 +VFUNCTION +p2352 +tp2353 +a(g827 +g998 +tp2354 +a(g706 +g996 +tp2355 +a(g441 +Vformat.title +p2356 +tp2357 +a(g706 +g1088 +tp2358 +a(g827 +V\u000a +p2359 +tp2360 +a(g706 +g996 +tp2361 +a(g827 +g998 +tp2362 +a(g441 +Vtitle +p2363 +tp2364 +a(g827 +g998 +tp2365 +a(g682 +Vempty$ +p2366 +tp2367 +a(g827 +V\u000a +p2368 +tp2369 +a(g706 +g996 +tp2370 +a(g827 +g998 +tp2371 +a(g89 +V"" +p2372 +tp2373 +a(g827 +g998 +tp2374 +a(g706 +g1088 +tp2375 +a(g827 +V\u000a +p2376 +tp2377 +a(g706 +g996 +tp2378 +a(g827 +g998 +tp2379 +a(g441 +Vtitle +p2380 +tp2381 +a(g827 +g998 +tp2382 +a(g89 +V"t" +p2383 +tp2384 +a(g827 +g998 +tp2385 +a(g682 +Vchange.case$ +p2386 +tp2387 +a(g827 +g998 +tp2388 +a(g706 +g1088 +tp2389 +a(g827 +V\u000a +p2390 +tp2391 +a(g682 +Vif$ +p2392 +tp2393 +a(g827 +V\u000a +p2394 +tp2395 +a(g706 +g1088 +tp2396 +a(g827 +V\u000a\u000a +p2397 +tp2398 +a(g745 +VFUNCTION +p2399 +tp2400 +a(g827 +g998 +tp2401 +a(g706 +g996 +tp2402 +a(g441 +Vn.dashify +p2403 +tp2404 +a(g706 +g1088 +tp2405 +a(g827 +V\u000a +p2406 +tp2407 +a(g706 +g996 +tp2408 +a(g827 +g998 +tp2409 +a(g574 +V't +p2410 +tp2411 +a(g827 +g998 +tp2412 +a(g441 +V:= +p2413 +tp2414 +a(g827 +V\u000a +p2415 +tp2416 +a(g89 +V"" +p2417 +tp2418 +a(g827 +V\u000a +p2419 +tp2420 +a(g706 +g996 +tp2421 +a(g827 +g998 +tp2422 +a(g441 +g1189 +tp2423 +a(g827 +g998 +tp2424 +a(g682 +Vempty$ +p2425 +tp2426 +a(g827 +g998 +tp2427 +a(g441 +Vnot +p2428 +tp2429 +a(g827 +g998 +tp2430 +a(g706 +g1088 +tp2431 +a(g827 +V\u000a +p2432 +tp2433 +a(g706 +g996 +tp2434 +a(g827 +g998 +tp2435 +a(g441 +g1189 +tp2436 +a(g827 +g998 +tp2437 +a(g32 +V#1 +p2438 +tp2439 +a(g827 +g998 +tp2440 +a(g32 +V#1 +p2441 +tp2442 +a(g827 +g998 +tp2443 +a(g682 +Vsubstring$ +p2444 +tp2445 +a(g827 +g998 +tp2446 +a(g89 +V"-" +p2447 +tp2448 +a(g827 +g998 +tp2449 +a(g441 +g1219 +tp2450 +a(g827 +V\u000a +p2451 +tp2452 +a(g706 +g996 +tp2453 +a(g827 +g998 +tp2454 +a(g441 +g1189 +tp2455 +a(g827 +g998 +tp2456 +a(g32 +V#1 +p2457 +tp2458 +a(g827 +g998 +tp2459 +a(g32 +V#2 +p2460 +tp2461 +a(g827 +g998 +tp2462 +a(g682 +Vsubstring$ +p2463 +tp2464 +a(g827 +g998 +tp2465 +a(g89 +V"--" +p2466 +tp2467 +a(g827 +g998 +tp2468 +a(g441 +g1219 +tp2469 +a(g827 +g998 +tp2470 +a(g441 +Vnot +p2471 +tp2472 +a(g827 +V\u000a +p2473 +tp2474 +a(g706 +g996 +tp2475 +a(g827 +g998 +tp2476 +a(g89 +V"--" +p2477 +tp2478 +a(g827 +g998 +tp2479 +a(g441 +g1228 +tp2480 +a(g827 +V\u000a +p2481 +tp2482 +a(g441 +g1189 +tp2483 +a(g827 +g998 +tp2484 +a(g32 +V#2 +p2485 +tp2486 +a(g827 +g998 +tp2487 +a(g682 +Vglobal.max$ +p2488 +tp2489 +a(g827 +g998 +tp2490 +a(g682 +Vsubstring$ +p2491 +tp2492 +a(g827 +g998 +tp2493 +a(g574 +V't +p2494 +tp2495 +a(g827 +g998 +tp2496 +a(g441 +V:= +p2497 +tp2498 +a(g827 +V\u000a +p2499 +tp2500 +a(g706 +g1088 +tp2501 +a(g827 +V\u000a +p2502 +tp2503 +a(g706 +g996 +tp2504 +a(g827 +V +p2505 +tp2506 +a(g706 +g996 +tp2507 +a(g827 +g998 +tp2508 +a(g441 +g1189 +tp2509 +a(g827 +g998 +tp2510 +a(g32 +V#1 +p2511 +tp2512 +a(g827 +g998 +tp2513 +a(g32 +V#1 +p2514 +tp2515 +a(g827 +g998 +tp2516 +a(g682 +Vsubstring$ +p2517 +tp2518 +a(g827 +g998 +tp2519 +a(g89 +V"-" +p2520 +tp2521 +a(g827 +g998 +tp2522 +a(g441 +g1219 +tp2523 +a(g827 +g998 +tp2524 +a(g706 +g1088 +tp2525 +a(g827 +V\u000a +p2526 +tp2527 +a(g706 +g996 +tp2528 +a(g827 +g998 +tp2529 +a(g89 +V"-" +p2530 +tp2531 +a(g827 +g998 +tp2532 +a(g441 +g1228 +tp2533 +a(g827 +V\u000a +p2534 +tp2535 +a(g441 +g1189 +tp2536 +a(g827 +g998 +tp2537 +a(g32 +V#2 +p2538 +tp2539 +a(g827 +g998 +tp2540 +a(g682 +Vglobal.max$ +p2541 +tp2542 +a(g827 +g998 +tp2543 +a(g682 +Vsubstring$ +p2544 +tp2545 +a(g827 +g998 +tp2546 +a(g574 +V't +p2547 +tp2548 +a(g827 +g998 +tp2549 +a(g441 +V:= +p2550 +tp2551 +a(g827 +V\u000a +p2552 +tp2553 +a(g706 +g1088 +tp2554 +a(g827 +V\u000a +p2555 +tp2556 +a(g682 +Vwhile$ +p2557 +tp2558 +a(g827 +V\u000a +p2559 +tp2560 +a(g706 +g1088 +tp2561 +a(g827 +V\u000a +p2562 +tp2563 +a(g682 +Vif$ +p2564 +tp2565 +a(g827 +V\u000a +p2566 +tp2567 +a(g706 +g1088 +tp2568 +a(g827 +V\u000a +p2569 +tp2570 +a(g706 +g996 +tp2571 +a(g827 +g998 +tp2572 +a(g441 +g1189 +tp2573 +a(g827 +g998 +tp2574 +a(g32 +V#1 +p2575 +tp2576 +a(g827 +g998 +tp2577 +a(g32 +V#1 +p2578 +tp2579 +a(g827 +g998 +tp2580 +a(g682 +Vsubstring$ +p2581 +tp2582 +a(g827 +g998 +tp2583 +a(g441 +g1228 +tp2584 +a(g827 +V\u000a +p2585 +tp2586 +a(g441 +g1189 +tp2587 +a(g827 +g998 +tp2588 +a(g32 +V#2 +p2589 +tp2590 +a(g827 +g998 +tp2591 +a(g682 +Vglobal.max$ +p2592 +tp2593 +a(g827 +g998 +tp2594 +a(g682 +Vsubstring$ +p2595 +tp2596 +a(g827 +g998 +tp2597 +a(g574 +V't +p2598 +tp2599 +a(g827 +g998 +tp2600 +a(g441 +V:= +p2601 +tp2602 +a(g827 +V\u000a +p2603 +tp2604 +a(g706 +g1088 +tp2605 +a(g827 +V\u000a +p2606 +tp2607 +a(g682 +Vif$ +p2608 +tp2609 +a(g827 +V\u000a +p2610 +tp2611 +a(g706 +g1088 +tp2612 +a(g827 +V\u000a +p2613 +tp2614 +a(g682 +Vwhile$ +p2615 +tp2616 +a(g827 +V\u000a +p2617 +tp2618 +a(g706 +g1088 +tp2619 +a(g827 +V\u000a\u000a +p2620 +tp2621 +a(g745 +VFUNCTION +p2622 +tp2623 +a(g827 +g998 +tp2624 +a(g706 +g996 +tp2625 +a(g441 +Vformat.date +p2626 +tp2627 +a(g706 +g1088 +tp2628 +a(g827 +V\u000a +p2629 +tp2630 +a(g706 +g996 +tp2631 +a(g827 +g998 +tp2632 +a(g441 +Vyear +p2633 +tp2634 +a(g827 +g998 +tp2635 +a(g682 +Vempty$ +p2636 +tp2637 +a(g827 +V\u000a +p2638 +tp2639 +a(g706 +g996 +tp2640 +a(g827 +g998 +tp2641 +a(g441 +Vmonth +p2642 +tp2643 +a(g827 +g998 +tp2644 +a(g682 +Vempty$ +p2645 +tp2646 +a(g827 +V\u000a +p2647 +tp2648 +a(g706 +g996 +tp2649 +a(g827 +g998 +tp2650 +a(g89 +V"" +p2651 +tp2652 +a(g827 +g998 +tp2653 +a(g706 +g1088 +tp2654 +a(g827 +V\u000a +p2655 +tp2656 +a(g706 +g996 +tp2657 +a(g827 +g998 +tp2658 +a(g89 +V"there's a month but no year in " +p2659 +tp2660 +a(g827 +g998 +tp2661 +a(g682 +Vcite$ +p2662 +tp2663 +a(g827 +g998 +tp2664 +a(g441 +g1228 +tp2665 +a(g827 +g998 +tp2666 +a(g682 +Vwarning$ +p2667 +tp2668 +a(g827 +V\u000a +p2669 +tp2670 +a(g441 +Vmonth +p2671 +tp2672 +a(g827 +V\u000a +p2673 +tp2674 +a(g706 +g1088 +tp2675 +a(g827 +V\u000a +p2676 +tp2677 +a(g682 +Vif$ +p2678 +tp2679 +a(g827 +V\u000a +p2680 +tp2681 +a(g706 +g1088 +tp2682 +a(g827 +V\u000a +p2683 +tp2684 +a(g706 +g996 +tp2685 +a(g827 +g998 +tp2686 +a(g441 +Vmonth +p2687 +tp2688 +a(g827 +g998 +tp2689 +a(g682 +Vempty$ +p2690 +tp2691 +a(g827 +V\u000a +p2692 +tp2693 +a(g574 +V'year +p2694 +tp2695 +a(g827 +V\u000a +p2696 +tp2697 +a(g706 +g996 +tp2698 +a(g827 +g998 +tp2699 +a(g441 +Vmonth +p2700 +tp2701 +a(g827 +g998 +tp2702 +a(g89 +V" " +p2703 +tp2704 +a(g827 +g998 +tp2705 +a(g441 +g1228 +tp2706 +a(g827 +g998 +tp2707 +a(g441 +Vyear +p2708 +tp2709 +a(g827 +g998 +tp2710 +a(g441 +g1228 +tp2711 +a(g827 +g998 +tp2712 +a(g706 +g1088 +tp2713 +a(g827 +V\u000a +p2714 +tp2715 +a(g682 +Vif$ +p2716 +tp2717 +a(g827 +V\u000a +p2718 +tp2719 +a(g706 +g1088 +tp2720 +a(g827 +V\u000a +p2721 +tp2722 +a(g682 +Vif$ +p2723 +tp2724 +a(g827 +V\u000a +p2725 +tp2726 +a(g706 +g1088 +tp2727 +a(g827 +V\u000a\u000a +p2728 +tp2729 +a(g745 +VFUNCTION +p2730 +tp2731 +a(g827 +g998 +tp2732 +a(g706 +g996 +tp2733 +a(g441 +Vformat.btitle +p2734 +tp2735 +a(g706 +g1088 +tp2736 +a(g827 +V\u000a +p2737 +tp2738 +a(g706 +g996 +tp2739 +a(g827 +g998 +tp2740 +a(g441 +Vtitle +p2741 +tp2742 +a(g827 +g998 +tp2743 +a(g441 +Vemphasize +p2744 +tp2745 +a(g827 +V\u000a +p2746 +tp2747 +a(g706 +g1088 +tp2748 +a(g827 +V\u000a\u000a +p2749 +tp2750 +a(g745 +VFUNCTION +p2751 +tp2752 +a(g827 +g998 +tp2753 +a(g706 +g996 +tp2754 +a(g441 +Vtie.or.space.connect +p2755 +tp2756 +a(g706 +g1088 +tp2757 +a(g827 +V\u000a +p2758 +tp2759 +a(g706 +g996 +tp2760 +a(g827 +g998 +tp2761 +a(g682 +Vduplicate$ +p2762 +tp2763 +a(g827 +g998 +tp2764 +a(g682 +Vtext.length$ +p2765 +tp2766 +a(g827 +g998 +tp2767 +a(g32 +V#3 +p2768 +tp2769 +a(g827 +g998 +tp2770 +a(g441 +V< +p2771 +tp2772 +a(g827 +V\u000a +p2773 +tp2774 +a(g706 +g996 +tp2775 +a(g827 +g998 +tp2776 +a(g89 +V"~" +p2777 +tp2778 +a(g827 +g998 +tp2779 +a(g706 +g1088 +tp2780 +a(g827 +V\u000a +p2781 +tp2782 +a(g706 +g996 +tp2783 +a(g827 +g998 +tp2784 +a(g89 +V" " +p2785 +tp2786 +a(g827 +g998 +tp2787 +a(g706 +g1088 +tp2788 +a(g827 +V\u000a +p2789 +tp2790 +a(g682 +Vif$ +p2791 +tp2792 +a(g827 +V\u000a +p2793 +tp2794 +a(g682 +Vswap$ +p2795 +tp2796 +a(g827 +g998 +tp2797 +a(g441 +g1228 +tp2798 +a(g827 +g998 +tp2799 +a(g441 +g1228 +tp2800 +a(g827 +V\u000a +p2801 +tp2802 +a(g706 +g1088 +tp2803 +a(g827 +V\u000a\u000a +p2804 +tp2805 +a(g745 +VFUNCTION +p2806 +tp2807 +a(g827 +g998 +tp2808 +a(g706 +g996 +tp2809 +a(g441 +Veither.or.check +p2810 +tp2811 +a(g706 +g1088 +tp2812 +a(g827 +V\u000a +p2813 +tp2814 +a(g706 +g996 +tp2815 +a(g827 +g998 +tp2816 +a(g682 +Vempty$ +p2817 +tp2818 +a(g827 +V\u000a +p2819 +tp2820 +a(g574 +V'pop$ +p2821 +tp2822 +a(g827 +V\u000a +p2823 +tp2824 +a(g706 +g996 +tp2825 +a(g827 +g998 +tp2826 +a(g89 +V"can't use both " +p2827 +tp2828 +a(g827 +g998 +tp2829 +a(g682 +Vswap$ +p2830 +tp2831 +a(g827 +g998 +tp2832 +a(g441 +g1228 +tp2833 +a(g827 +g998 +tp2834 +a(g89 +V" fields in " +p2835 +tp2836 +a(g827 +g998 +tp2837 +a(g441 +g1228 +tp2838 +a(g827 +g998 +tp2839 +a(g682 +Vcite$ +p2840 +tp2841 +a(g827 +g998 +tp2842 +a(g441 +g1228 +tp2843 +a(g827 +g998 +tp2844 +a(g682 +Vwarning$ +p2845 +tp2846 +a(g827 +g998 +tp2847 +a(g706 +g1088 +tp2848 +a(g827 +V\u000a +p2849 +tp2850 +a(g682 +Vif$ +p2851 +tp2852 +a(g827 +V\u000a +p2853 +tp2854 +a(g706 +g1088 +tp2855 +a(g827 +V\u000a\u000a +p2856 +tp2857 +a(g745 +VFUNCTION +p2858 +tp2859 +a(g827 +g998 +tp2860 +a(g706 +g996 +tp2861 +a(g441 +Vformat.bvolume +p2862 +tp2863 +a(g706 +g1088 +tp2864 +a(g827 +V\u000a +p2865 +tp2866 +a(g706 +g996 +tp2867 +a(g827 +g998 +tp2868 +a(g441 +Vvolume +p2869 +tp2870 +a(g827 +g998 +tp2871 +a(g682 +Vempty$ +p2872 +tp2873 +a(g827 +V\u000a +p2874 +tp2875 +a(g706 +g996 +tp2876 +a(g827 +g998 +tp2877 +a(g89 +V"" +p2878 +tp2879 +a(g827 +g998 +tp2880 +a(g706 +g1088 +tp2881 +a(g827 +V\u000a +p2882 +tp2883 +a(g706 +g996 +tp2884 +a(g827 +g998 +tp2885 +a(g89 +V"volume" +p2886 +tp2887 +a(g827 +g998 +tp2888 +a(g441 +Vvolume +p2889 +tp2890 +a(g827 +g998 +tp2891 +a(g441 +Vtie.or.space.connect +p2892 +tp2893 +a(g827 +V\u000a +p2894 +tp2895 +a(g441 +Vseries +p2896 +tp2897 +a(g827 +g998 +tp2898 +a(g682 +Vempty$ +p2899 +tp2900 +a(g827 +V\u000a +p2901 +tp2902 +a(g574 +V'skip$ +p2903 +tp2904 +a(g827 +V\u000a +p2905 +tp2906 +a(g706 +g996 +tp2907 +a(g827 +g998 +tp2908 +a(g89 +V" of " +p2909 +tp2910 +a(g827 +g998 +tp2911 +a(g441 +g1228 +tp2912 +a(g827 +g998 +tp2913 +a(g441 +Vseries +p2914 +tp2915 +a(g827 +g998 +tp2916 +a(g441 +Vemphasize +p2917 +tp2918 +a(g827 +g998 +tp2919 +a(g441 +g1228 +tp2920 +a(g827 +g998 +tp2921 +a(g706 +g1088 +tp2922 +a(g827 +V\u000a +p2923 +tp2924 +a(g682 +Vif$ +p2925 +tp2926 +a(g827 +V\u000a +p2927 +tp2928 +a(g89 +V"volume and number" +p2929 +tp2930 +a(g827 +g998 +tp2931 +a(g441 +Vnumber +p2932 +tp2933 +a(g827 +g998 +tp2934 +a(g441 +Veither.or.check +p2935 +tp2936 +a(g827 +V\u000a +p2937 +tp2938 +a(g706 +g1088 +tp2939 +a(g827 +V\u000a +p2940 +tp2941 +a(g682 +Vif$ +p2942 +tp2943 +a(g827 +V\u000a +p2944 +tp2945 +a(g706 +g1088 +tp2946 +a(g827 +V\u000a\u000a +p2947 +tp2948 +a(g745 +VFUNCTION +p2949 +tp2950 +a(g827 +g998 +tp2951 +a(g706 +g996 +tp2952 +a(g441 +Vformat.number.series +p2953 +tp2954 +a(g706 +g1088 +tp2955 +a(g827 +V\u000a +p2956 +tp2957 +a(g706 +g996 +tp2958 +a(g827 +g998 +tp2959 +a(g441 +Vvolume +p2960 +tp2961 +a(g827 +g998 +tp2962 +a(g682 +Vempty$ +p2963 +tp2964 +a(g827 +V\u000a +p2965 +tp2966 +a(g706 +g996 +tp2967 +a(g827 +g998 +tp2968 +a(g441 +Vnumber +p2969 +tp2970 +a(g827 +g998 +tp2971 +a(g682 +Vempty$ +p2972 +tp2973 +a(g827 +V\u000a +p2974 +tp2975 +a(g706 +g996 +tp2976 +a(g827 +g998 +tp2977 +a(g441 +Vseries +p2978 +tp2979 +a(g827 +g998 +tp2980 +a(g441 +Vfield.or.null +p2981 +tp2982 +a(g827 +g998 +tp2983 +a(g706 +g1088 +tp2984 +a(g827 +V\u000a +p2985 +tp2986 +a(g706 +g996 +tp2987 +a(g827 +g998 +tp2988 +a(g441 +Voutput.state +p2989 +tp2990 +a(g827 +g998 +tp2991 +a(g441 +Vmid.sentence +p2992 +tp2993 +a(g827 +g998 +tp2994 +a(g441 +g1219 +tp2995 +a(g827 +V\u000a +p2996 +tp2997 +a(g706 +g996 +tp2998 +a(g827 +g998 +tp2999 +a(g89 +V"number" +p3000 +tp3001 +a(g827 +g998 +tp3002 +a(g706 +g1088 +tp3003 +a(g827 +V\u000a +p3004 +tp3005 +a(g706 +g996 +tp3006 +a(g827 +g998 +tp3007 +a(g89 +V"Number" +p3008 +tp3009 +a(g827 +g998 +tp3010 +a(g706 +g1088 +tp3011 +a(g827 +V\u000a +p3012 +tp3013 +a(g682 +Vif$ +p3014 +tp3015 +a(g827 +V\u000a +p3016 +tp3017 +a(g441 +Vnumber +p3018 +tp3019 +a(g827 +g998 +tp3020 +a(g441 +Vtie.or.space.connect +p3021 +tp3022 +a(g827 +V\u000a +p3023 +tp3024 +a(g441 +Vseries +p3025 +tp3026 +a(g827 +g998 +tp3027 +a(g682 +Vempty$ +p3028 +tp3029 +a(g827 +V\u000a +p3030 +tp3031 +a(g706 +g996 +tp3032 +a(g827 +g998 +tp3033 +a(g89 +V"there's a number but no series in " +p3034 +tp3035 +a(g827 +g998 +tp3036 +a(g682 +Vcite$ +p3037 +tp3038 +a(g827 +g998 +tp3039 +a(g441 +g1228 +tp3040 +a(g827 +g998 +tp3041 +a(g682 +Vwarning$ +p3042 +tp3043 +a(g827 +g998 +tp3044 +a(g706 +g1088 +tp3045 +a(g827 +V\u000a +p3046 +tp3047 +a(g706 +g996 +tp3048 +a(g827 +g998 +tp3049 +a(g89 +V" in " +p3050 +tp3051 +a(g827 +g998 +tp3052 +a(g441 +g1228 +tp3053 +a(g827 +g998 +tp3054 +a(g441 +Vseries +p3055 +tp3056 +a(g827 +g998 +tp3057 +a(g441 +g1228 +tp3058 +a(g827 +g998 +tp3059 +a(g706 +g1088 +tp3060 +a(g827 +V\u000a +p3061 +tp3062 +a(g682 +Vif$ +p3063 +tp3064 +a(g827 +V\u000a +p3065 +tp3066 +a(g706 +g1088 +tp3067 +a(g827 +V\u000a +p3068 +tp3069 +a(g682 +Vif$ +p3070 +tp3071 +a(g827 +V\u000a +p3072 +tp3073 +a(g706 +g1088 +tp3074 +a(g827 +V\u000a +p3075 +tp3076 +a(g706 +g996 +tp3077 +a(g827 +g998 +tp3078 +a(g89 +V"" +p3079 +tp3080 +a(g827 +g998 +tp3081 +a(g706 +g1088 +tp3082 +a(g827 +V\u000a +p3083 +tp3084 +a(g682 +Vif$ +p3085 +tp3086 +a(g827 +V\u000a +p3087 +tp3088 +a(g706 +g1088 +tp3089 +a(g827 +V\u000a\u000a +p3090 +tp3091 +a(g745 +VFUNCTION +p3092 +tp3093 +a(g827 +g998 +tp3094 +a(g706 +g996 +tp3095 +a(g441 +Vformat.edition +p3096 +tp3097 +a(g706 +g1088 +tp3098 +a(g827 +V\u000a +p3099 +tp3100 +a(g706 +g996 +tp3101 +a(g827 +g998 +tp3102 +a(g441 +Vedition +p3103 +tp3104 +a(g827 +g998 +tp3105 +a(g682 +Vempty$ +p3106 +tp3107 +a(g827 +V\u000a +p3108 +tp3109 +a(g706 +g996 +tp3110 +a(g827 +g998 +tp3111 +a(g89 +V"" +p3112 +tp3113 +a(g827 +g998 +tp3114 +a(g706 +g1088 +tp3115 +a(g827 +V\u000a +p3116 +tp3117 +a(g706 +g996 +tp3118 +a(g827 +g998 +tp3119 +a(g441 +Voutput.state +p3120 +tp3121 +a(g827 +g998 +tp3122 +a(g441 +Vmid.sentence +p3123 +tp3124 +a(g827 +g998 +tp3125 +a(g441 +g1219 +tp3126 +a(g827 +V\u000a +p3127 +tp3128 +a(g706 +g996 +tp3129 +a(g827 +g998 +tp3130 +a(g441 +Vedition +p3131 +tp3132 +a(g827 +g998 +tp3133 +a(g89 +V"l" +p3134 +tp3135 +a(g827 +g998 +tp3136 +a(g682 +Vchange.case$ +p3137 +tp3138 +a(g827 +g998 +tp3139 +a(g89 +V" edition" +p3140 +tp3141 +a(g827 +g998 +tp3142 +a(g441 +g1228 +tp3143 +a(g827 +g998 +tp3144 +a(g706 +g1088 +tp3145 +a(g827 +V\u000a +p3146 +tp3147 +a(g706 +g996 +tp3148 +a(g827 +g998 +tp3149 +a(g441 +Vedition +p3150 +tp3151 +a(g827 +g998 +tp3152 +a(g89 +V"t" +p3153 +tp3154 +a(g827 +g998 +tp3155 +a(g682 +Vchange.case$ +p3156 +tp3157 +a(g827 +g998 +tp3158 +a(g89 +V" edition" +p3159 +tp3160 +a(g827 +g998 +tp3161 +a(g441 +g1228 +tp3162 +a(g827 +g998 +tp3163 +a(g706 +g1088 +tp3164 +a(g827 +V\u000a +p3165 +tp3166 +a(g682 +Vif$ +p3167 +tp3168 +a(g827 +V\u000a +p3169 +tp3170 +a(g706 +g1088 +tp3171 +a(g827 +V\u000a +p3172 +tp3173 +a(g682 +Vif$ +p3174 +tp3175 +a(g827 +V\u000a +p3176 +tp3177 +a(g706 +g1088 +tp3178 +a(g827 +V\u000a\u000a +p3179 +tp3180 +a(g745 +VINTEGERS +p3181 +tp3182 +a(g827 +g998 +tp3183 +a(g706 +g996 +tp3184 +a(g827 +g998 +tp3185 +a(g441 +Vmultiresult +p3186 +tp3187 +a(g827 +g998 +tp3188 +a(g706 +g1088 +tp3189 +a(g827 +V\u000a\u000a +p3190 +tp3191 +a(g745 +VFUNCTION +p3192 +tp3193 +a(g827 +g998 +tp3194 +a(g706 +g996 +tp3195 +a(g441 +Vmulti.page.check +p3196 +tp3197 +a(g706 +g1088 +tp3198 +a(g827 +V\u000a +p3199 +tp3200 +a(g706 +g996 +tp3201 +a(g827 +g998 +tp3202 +a(g574 +V't +p3203 +tp3204 +a(g827 +g998 +tp3205 +a(g441 +V:= +p3206 +tp3207 +a(g827 +V\u000a +p3208 +tp3209 +a(g32 +V#0 +p3210 +tp3211 +a(g827 +g998 +tp3212 +a(g574 +V'multiresult +p3213 +tp3214 +a(g827 +g998 +tp3215 +a(g441 +V:= +p3216 +tp3217 +a(g827 +V\u000a +p3218 +tp3219 +a(g706 +g996 +tp3220 +a(g827 +g998 +tp3221 +a(g441 +Vmultiresult +p3222 +tp3223 +a(g827 +g998 +tp3224 +a(g441 +Vnot +p3225 +tp3226 +a(g827 +V\u000a +p3227 +tp3228 +a(g441 +g1189 +tp3229 +a(g827 +g998 +tp3230 +a(g682 +Vempty$ +p3231 +tp3232 +a(g827 +g998 +tp3233 +a(g441 +Vnot +p3234 +tp3235 +a(g827 +V\u000a +p3236 +tp3237 +a(g441 +Vand +p3238 +tp3239 +a(g827 +V\u000a +p3240 +tp3241 +a(g706 +g1088 +tp3242 +a(g827 +V\u000a +p3243 +tp3244 +a(g706 +g996 +tp3245 +a(g827 +g998 +tp3246 +a(g441 +g1189 +tp3247 +a(g827 +g998 +tp3248 +a(g32 +V#1 +p3249 +tp3250 +a(g827 +g998 +tp3251 +a(g32 +V#1 +p3252 +tp3253 +a(g827 +g998 +tp3254 +a(g682 +Vsubstring$ +p3255 +tp3256 +a(g827 +V\u000a +p3257 +tp3258 +a(g682 +Vduplicate$ +p3259 +tp3260 +a(g827 +g998 +tp3261 +a(g89 +V"-" +p3262 +tp3263 +a(g827 +g998 +tp3264 +a(g441 +g1219 +tp3265 +a(g827 +V\u000a +p3266 +tp3267 +a(g682 +Vswap$ +p3268 +tp3269 +a(g827 +g998 +tp3270 +a(g682 +Vduplicate$ +p3271 +tp3272 +a(g827 +g998 +tp3273 +a(g89 +V"," +p3274 +tp3275 +a(g827 +g998 +tp3276 +a(g441 +g1219 +tp3277 +a(g827 +V\u000a +p3278 +tp3279 +a(g682 +Vswap$ +p3280 +tp3281 +a(g827 +g998 +tp3282 +a(g89 +V"+" +p3283 +tp3284 +a(g827 +g998 +tp3285 +a(g441 +g1219 +tp3286 +a(g827 +V\u000a +p3287 +tp3288 +a(g441 +Vor +p3289 +tp3290 +a(g827 +g998 +tp3291 +a(g441 +Vor +p3292 +tp3293 +a(g827 +V\u000a +p3294 +tp3295 +a(g706 +g996 +tp3296 +a(g827 +g998 +tp3297 +a(g32 +V#1 +p3298 +tp3299 +a(g827 +g998 +tp3300 +a(g574 +V'multiresult +p3301 +tp3302 +a(g827 +g998 +tp3303 +a(g441 +V:= +p3304 +tp3305 +a(g827 +g998 +tp3306 +a(g706 +g1088 +tp3307 +a(g827 +V\u000a +p3308 +tp3309 +a(g706 +g996 +tp3310 +a(g827 +g998 +tp3311 +a(g441 +g1189 +tp3312 +a(g827 +g998 +tp3313 +a(g32 +V#2 +p3314 +tp3315 +a(g827 +g998 +tp3316 +a(g682 +Vglobal.max$ +p3317 +tp3318 +a(g827 +g998 +tp3319 +a(g682 +Vsubstring$ +p3320 +tp3321 +a(g827 +g998 +tp3322 +a(g574 +V't +p3323 +tp3324 +a(g827 +g998 +tp3325 +a(g441 +V:= +p3326 +tp3327 +a(g827 +g998 +tp3328 +a(g706 +g1088 +tp3329 +a(g827 +V\u000a +p3330 +tp3331 +a(g682 +Vif$ +p3332 +tp3333 +a(g827 +V\u000a +p3334 +tp3335 +a(g706 +g1088 +tp3336 +a(g827 +V\u000a +p3337 +tp3338 +a(g682 +Vwhile$ +p3339 +tp3340 +a(g827 +V\u000a +p3341 +tp3342 +a(g441 +Vmultiresult +p3343 +tp3344 +a(g827 +V\u000a +p3345 +tp3346 +a(g706 +g1088 +tp3347 +a(g827 +V\u000a\u000a +p3348 +tp3349 +a(g745 +VFUNCTION +p3350 +tp3351 +a(g827 +g998 +tp3352 +a(g706 +g996 +tp3353 +a(g441 +Vformat.pages +p3354 +tp3355 +a(g706 +g1088 +tp3356 +a(g827 +V\u000a +p3357 +tp3358 +a(g706 +g996 +tp3359 +a(g827 +g998 +tp3360 +a(g441 +Vpages +p3361 +tp3362 +a(g827 +g998 +tp3363 +a(g682 +Vempty$ +p3364 +tp3365 +a(g827 +V\u000a +p3366 +tp3367 +a(g706 +g996 +tp3368 +a(g827 +g998 +tp3369 +a(g89 +V"" +p3370 +tp3371 +a(g827 +g998 +tp3372 +a(g706 +g1088 +tp3373 +a(g827 +V\u000a +p3374 +tp3375 +a(g706 +g996 +tp3376 +a(g827 +g998 +tp3377 +a(g441 +Vpages +p3378 +tp3379 +a(g827 +g998 +tp3380 +a(g441 +Vmulti.page.check +p3381 +tp3382 +a(g827 +V\u000a +p3383 +tp3384 +a(g706 +g996 +tp3385 +a(g827 +g998 +tp3386 +a(g89 +V"pages" +p3387 +tp3388 +a(g827 +g998 +tp3389 +a(g441 +Vpages +p3390 +tp3391 +a(g827 +g998 +tp3392 +a(g441 +Vn.dashify +p3393 +tp3394 +a(g827 +g998 +tp3395 +a(g441 +Vtie.or.space.connect +p3396 +tp3397 +a(g827 +g998 +tp3398 +a(g706 +g1088 +tp3399 +a(g827 +V\u000a +p3400 +tp3401 +a(g706 +g996 +tp3402 +a(g827 +g998 +tp3403 +a(g89 +V"page" +p3404 +tp3405 +a(g827 +g998 +tp3406 +a(g441 +Vpages +p3407 +tp3408 +a(g827 +g998 +tp3409 +a(g441 +Vtie.or.space.connect +p3410 +tp3411 +a(g827 +g998 +tp3412 +a(g706 +g1088 +tp3413 +a(g827 +V\u000a +p3414 +tp3415 +a(g682 +Vif$ +p3416 +tp3417 +a(g827 +V\u000a +p3418 +tp3419 +a(g706 +g1088 +tp3420 +a(g827 +V\u000a +p3421 +tp3422 +a(g682 +Vif$ +p3423 +tp3424 +a(g827 +V\u000a +p3425 +tp3426 +a(g706 +g1088 +tp3427 +a(g827 +V\u000a\u000a +p3428 +tp3429 +a(g745 +VFUNCTION +p3430 +tp3431 +a(g827 +g998 +tp3432 +a(g706 +g996 +tp3433 +a(g441 +Vformat.vol.num.pages +p3434 +tp3435 +a(g706 +g1088 +tp3436 +a(g827 +V\u000a +p3437 +tp3438 +a(g706 +g996 +tp3439 +a(g827 +g998 +tp3440 +a(g441 +Vvolume +p3441 +tp3442 +a(g827 +g998 +tp3443 +a(g441 +Vfield.or.null +p3444 +tp3445 +a(g827 +V\u000a +p3446 +tp3447 +a(g441 +Vnumber +p3448 +tp3449 +a(g827 +g998 +tp3450 +a(g682 +Vempty$ +p3451 +tp3452 +a(g827 +V\u000a +p3453 +tp3454 +a(g574 +V'skip$ +p3455 +tp3456 +a(g827 +V\u000a +p3457 +tp3458 +a(g706 +g996 +tp3459 +a(g827 +g998 +tp3460 +a(g89 +V"(" +p3461 +tp3462 +a(g827 +g998 +tp3463 +a(g441 +Vnumber +p3464 +tp3465 +a(g827 +g998 +tp3466 +a(g441 +g1228 +tp3467 +a(g827 +g998 +tp3468 +a(g89 +V")" +p3469 +tp3470 +a(g827 +g998 +tp3471 +a(g441 +g1228 +tp3472 +a(g827 +g998 +tp3473 +a(g441 +g1228 +tp3474 +a(g827 +V\u000a +p3475 +tp3476 +a(g441 +Vvolume +p3477 +tp3478 +a(g827 +g998 +tp3479 +a(g682 +Vempty$ +p3480 +tp3481 +a(g827 +V\u000a +p3482 +tp3483 +a(g706 +g996 +tp3484 +a(g827 +g998 +tp3485 +a(g89 +V"there's a number but no volume in " +p3486 +tp3487 +a(g827 +g998 +tp3488 +a(g682 +Vcite$ +p3489 +tp3490 +a(g827 +g998 +tp3491 +a(g441 +g1228 +tp3492 +a(g827 +g998 +tp3493 +a(g682 +Vwarning$ +p3494 +tp3495 +a(g827 +g998 +tp3496 +a(g706 +g1088 +tp3497 +a(g827 +V\u000a +p3498 +tp3499 +a(g574 +V'skip$ +p3500 +tp3501 +a(g827 +V\u000a +p3502 +tp3503 +a(g682 +Vif$ +p3504 +tp3505 +a(g827 +V\u000a +p3506 +tp3507 +a(g706 +g1088 +tp3508 +a(g827 +V\u000a +p3509 +tp3510 +a(g682 +Vif$ +p3511 +tp3512 +a(g827 +V\u000a +p3513 +tp3514 +a(g441 +Vpages +p3515 +tp3516 +a(g827 +g998 +tp3517 +a(g682 +Vempty$ +p3518 +tp3519 +a(g827 +V\u000a +p3520 +tp3521 +a(g574 +V'skip$ +p3522 +tp3523 +a(g827 +V\u000a +p3524 +tp3525 +a(g706 +g996 +tp3526 +a(g827 +g998 +tp3527 +a(g682 +Vduplicate$ +p3528 +tp3529 +a(g827 +g998 +tp3530 +a(g682 +Vempty$ +p3531 +tp3532 +a(g827 +V\u000a +p3533 +tp3534 +a(g706 +g996 +tp3535 +a(g827 +g998 +tp3536 +a(g682 +Vpop$ +p3537 +tp3538 +a(g827 +g998 +tp3539 +a(g441 +Vformat.pages +p3540 +tp3541 +a(g827 +g998 +tp3542 +a(g706 +g1088 +tp3543 +a(g827 +V\u000a +p3544 +tp3545 +a(g706 +g996 +tp3546 +a(g827 +g998 +tp3547 +a(g89 +V":" +p3548 +tp3549 +a(g827 +g998 +tp3550 +a(g441 +g1228 +tp3551 +a(g827 +g998 +tp3552 +a(g441 +Vpages +p3553 +tp3554 +a(g827 +g998 +tp3555 +a(g441 +Vn.dashify +p3556 +tp3557 +a(g827 +g998 +tp3558 +a(g441 +g1228 +tp3559 +a(g827 +g998 +tp3560 +a(g706 +g1088 +tp3561 +a(g827 +V\u000a +p3562 +tp3563 +a(g682 +Vif$ +p3564 +tp3565 +a(g827 +V\u000a +p3566 +tp3567 +a(g706 +g1088 +tp3568 +a(g827 +V\u000a +p3569 +tp3570 +a(g682 +Vif$ +p3571 +tp3572 +a(g827 +V\u000a +p3573 +tp3574 +a(g706 +g1088 +tp3575 +a(g827 +V\u000a\u000a +p3576 +tp3577 +a(g745 +VFUNCTION +p3578 +tp3579 +a(g827 +g998 +tp3580 +a(g706 +g996 +tp3581 +a(g441 +Vformat.chapter.pages +p3582 +tp3583 +a(g706 +g1088 +tp3584 +a(g827 +V\u000a +p3585 +tp3586 +a(g706 +g996 +tp3587 +a(g827 +g998 +tp3588 +a(g441 +Vchapter +p3589 +tp3590 +a(g827 +g998 +tp3591 +a(g682 +Vempty$ +p3592 +tp3593 +a(g827 +V\u000a +p3594 +tp3595 +a(g574 +V'format.pages +p3596 +tp3597 +a(g827 +V\u000a +p3598 +tp3599 +a(g706 +g996 +tp3600 +a(g827 +g998 +tp3601 +a(g441 +Vtype +p3602 +tp3603 +a(g827 +g998 +tp3604 +a(g682 +Vempty$ +p3605 +tp3606 +a(g827 +V\u000a +p3607 +tp3608 +a(g706 +g996 +tp3609 +a(g827 +g998 +tp3610 +a(g89 +V"chapter" +p3611 +tp3612 +a(g827 +g998 +tp3613 +a(g706 +g1088 +tp3614 +a(g827 +V\u000a +p3615 +tp3616 +a(g706 +g996 +tp3617 +a(g827 +g998 +tp3618 +a(g441 +Vtype +p3619 +tp3620 +a(g827 +g998 +tp3621 +a(g89 +V"l" +p3622 +tp3623 +a(g827 +g998 +tp3624 +a(g682 +Vchange.case$ +p3625 +tp3626 +a(g827 +g998 +tp3627 +a(g706 +g1088 +tp3628 +a(g827 +V\u000a +p3629 +tp3630 +a(g682 +Vif$ +p3631 +tp3632 +a(g827 +V\u000a +p3633 +tp3634 +a(g441 +Vchapter +p3635 +tp3636 +a(g827 +g998 +tp3637 +a(g441 +Vtie.or.space.connect +p3638 +tp3639 +a(g827 +V\u000a +p3640 +tp3641 +a(g441 +Vpages +p3642 +tp3643 +a(g827 +g998 +tp3644 +a(g682 +Vempty$ +p3645 +tp3646 +a(g827 +V\u000a +p3647 +tp3648 +a(g574 +V'skip$ +p3649 +tp3650 +a(g827 +V\u000a +p3651 +tp3652 +a(g706 +g996 +tp3653 +a(g827 +g998 +tp3654 +a(g89 +V", " +p3655 +tp3656 +a(g827 +g998 +tp3657 +a(g441 +g1228 +tp3658 +a(g827 +g998 +tp3659 +a(g441 +Vformat.pages +p3660 +tp3661 +a(g827 +g998 +tp3662 +a(g441 +g1228 +tp3663 +a(g827 +g998 +tp3664 +a(g706 +g1088 +tp3665 +a(g827 +V\u000a +p3666 +tp3667 +a(g682 +Vif$ +p3668 +tp3669 +a(g827 +V\u000a +p3670 +tp3671 +a(g706 +g1088 +tp3672 +a(g827 +V\u000a +p3673 +tp3674 +a(g682 +Vif$ +p3675 +tp3676 +a(g827 +V\u000a +p3677 +tp3678 +a(g706 +g1088 +tp3679 +a(g827 +V\u000a\u000a +p3680 +tp3681 +a(g745 +VFUNCTION +p3682 +tp3683 +a(g827 +g998 +tp3684 +a(g706 +g996 +tp3685 +a(g441 +Vformat.in.ed.booktitle +p3686 +tp3687 +a(g706 +g1088 +tp3688 +a(g827 +V\u000a +p3689 +tp3690 +a(g706 +g996 +tp3691 +a(g827 +g998 +tp3692 +a(g441 +Vbooktitle +p3693 +tp3694 +a(g827 +g998 +tp3695 +a(g682 +Vempty$ +p3696 +tp3697 +a(g827 +V\u000a +p3698 +tp3699 +a(g706 +g996 +tp3700 +a(g827 +g998 +tp3701 +a(g89 +V"" +p3702 +tp3703 +a(g827 +g998 +tp3704 +a(g706 +g1088 +tp3705 +a(g827 +V\u000a +p3706 +tp3707 +a(g706 +g996 +tp3708 +a(g827 +g998 +tp3709 +a(g441 +Veditor +p3710 +tp3711 +a(g827 +g998 +tp3712 +a(g682 +Vempty$ +p3713 +tp3714 +a(g827 +V\u000a +p3715 +tp3716 +a(g706 +g996 +tp3717 +a(g827 +g998 +tp3718 +a(g89 +V"In " +p3719 +tp3720 +a(g827 +g998 +tp3721 +a(g441 +Vbooktitle +p3722 +tp3723 +a(g827 +g998 +tp3724 +a(g441 +Vemphasize +p3725 +tp3726 +a(g827 +g998 +tp3727 +a(g441 +g1228 +tp3728 +a(g827 +g998 +tp3729 +a(g706 +g1088 +tp3730 +a(g827 +V\u000a +p3731 +tp3732 +a(g706 +g996 +tp3733 +a(g827 +g998 +tp3734 +a(g89 +V"In " +p3735 +tp3736 +a(g827 +g998 +tp3737 +a(g441 +Vformat.editors +p3738 +tp3739 +a(g827 +g998 +tp3740 +a(g441 +g1228 +tp3741 +a(g827 +g998 +tp3742 +a(g89 +V", " +p3743 +tp3744 +a(g827 +g998 +tp3745 +a(g441 +g1228 +tp3746 +a(g827 +g998 +tp3747 +a(g441 +Vbooktitle +p3748 +tp3749 +a(g827 +g998 +tp3750 +a(g441 +Vemphasize +p3751 +tp3752 +a(g827 +g998 +tp3753 +a(g441 +g1228 +tp3754 +a(g827 +g998 +tp3755 +a(g706 +g1088 +tp3756 +a(g827 +V\u000a +p3757 +tp3758 +a(g682 +Vif$ +p3759 +tp3760 +a(g827 +V\u000a +p3761 +tp3762 +a(g706 +g1088 +tp3763 +a(g827 +V\u000a +p3764 +tp3765 +a(g682 +Vif$ +p3766 +tp3767 +a(g827 +V\u000a +p3768 +tp3769 +a(g706 +g1088 +tp3770 +a(g827 +V\u000a\u000a +p3771 +tp3772 +a(g745 +VFUNCTION +p3773 +tp3774 +a(g827 +g998 +tp3775 +a(g706 +g996 +tp3776 +a(g441 +Vempty.misc.check +p3777 +tp3778 +a(g706 +g1088 +tp3779 +a(g827 +V\u000a +p3780 +tp3781 +a(g706 +g996 +tp3782 +a(g827 +g998 +tp3783 +a(g441 +Vauthor +p3784 +tp3785 +a(g827 +g998 +tp3786 +a(g682 +Vempty$ +p3787 +tp3788 +a(g827 +g998 +tp3789 +a(g441 +Vtitle +p3790 +tp3791 +a(g827 +g998 +tp3792 +a(g682 +Vempty$ +p3793 +tp3794 +a(g827 +g998 +tp3795 +a(g441 +Vhowpublished +p3796 +tp3797 +a(g827 +g998 +tp3798 +a(g682 +Vempty$ +p3799 +tp3800 +a(g827 +V\u000a +p3801 +tp3802 +a(g441 +Vmonth +p3803 +tp3804 +a(g827 +g998 +tp3805 +a(g682 +Vempty$ +p3806 +tp3807 +a(g827 +g998 +tp3808 +a(g441 +Vyear +p3809 +tp3810 +a(g827 +g998 +tp3811 +a(g682 +Vempty$ +p3812 +tp3813 +a(g827 +g998 +tp3814 +a(g441 +Vnote +p3815 +tp3816 +a(g827 +g998 +tp3817 +a(g682 +Vempty$ +p3818 +tp3819 +a(g827 +V\u000a +p3820 +tp3821 +a(g441 +Vand +p3822 +tp3823 +a(g827 +g998 +tp3824 +a(g441 +Vand +p3825 +tp3826 +a(g827 +g998 +tp3827 +a(g441 +Vand +p3828 +tp3829 +a(g827 +g998 +tp3830 +a(g441 +Vand +p3831 +tp3832 +a(g827 +g998 +tp3833 +a(g441 +Vand +p3834 +tp3835 +a(g827 +V\u000a +p3836 +tp3837 +a(g441 +Vkey +p3838 +tp3839 +a(g827 +g998 +tp3840 +a(g682 +Vempty$ +p3841 +tp3842 +a(g827 +g998 +tp3843 +a(g441 +Vnot +p3844 +tp3845 +a(g827 +g998 +tp3846 +a(g441 +Vand +p3847 +tp3848 +a(g827 +V\u000a +p3849 +tp3850 +a(g706 +g996 +tp3851 +a(g827 +g998 +tp3852 +a(g89 +V"all relevant fields are empty in " +p3853 +tp3854 +a(g827 +g998 +tp3855 +a(g682 +Vcite$ +p3856 +tp3857 +a(g827 +g998 +tp3858 +a(g441 +g1228 +tp3859 +a(g827 +g998 +tp3860 +a(g682 +Vwarning$ +p3861 +tp3862 +a(g827 +g998 +tp3863 +a(g706 +g1088 +tp3864 +a(g827 +V\u000a +p3865 +tp3866 +a(g574 +V'skip$ +p3867 +tp3868 +a(g827 +V\u000a +p3869 +tp3870 +a(g682 +Vif$ +p3871 +tp3872 +a(g827 +V\u000a +p3873 +tp3874 +a(g706 +g1088 +tp3875 +a(g827 +V\u000a\u000a +p3876 +tp3877 +a(g745 +VFUNCTION +p3878 +tp3879 +a(g827 +g998 +tp3880 +a(g706 +g996 +tp3881 +a(g441 +Vformat.thesis.type +p3882 +tp3883 +a(g706 +g1088 +tp3884 +a(g827 +V\u000a +p3885 +tp3886 +a(g706 +g996 +tp3887 +a(g827 +g998 +tp3888 +a(g441 +Vtype +p3889 +tp3890 +a(g827 +g998 +tp3891 +a(g682 +Vempty$ +p3892 +tp3893 +a(g827 +V\u000a +p3894 +tp3895 +a(g574 +V'skip$ +p3896 +tp3897 +a(g827 +V\u000a +p3898 +tp3899 +a(g706 +g996 +tp3900 +a(g827 +g998 +tp3901 +a(g682 +Vpop$ +p3902 +tp3903 +a(g827 +V\u000a +p3904 +tp3905 +a(g441 +Vtype +p3906 +tp3907 +a(g827 +g998 +tp3908 +a(g89 +V"t" +p3909 +tp3910 +a(g827 +g998 +tp3911 +a(g682 +Vchange.case$ +p3912 +tp3913 +a(g827 +V\u000a +p3914 +tp3915 +a(g706 +g1088 +tp3916 +a(g827 +V\u000a +p3917 +tp3918 +a(g682 +Vif$ +p3919 +tp3920 +a(g827 +V\u000a +p3921 +tp3922 +a(g706 +g1088 +tp3923 +a(g827 +V\u000a\u000a +p3924 +tp3925 +a(g745 +VFUNCTION +p3926 +tp3927 +a(g827 +g998 +tp3928 +a(g706 +g996 +tp3929 +a(g441 +Vformat.tr.number +p3930 +tp3931 +a(g706 +g1088 +tp3932 +a(g827 +V\u000a +p3933 +tp3934 +a(g706 +g996 +tp3935 +a(g827 +g998 +tp3936 +a(g441 +Vtype +p3937 +tp3938 +a(g827 +g998 +tp3939 +a(g682 +Vempty$ +p3940 +tp3941 +a(g827 +V\u000a +p3942 +tp3943 +a(g706 +g996 +tp3944 +a(g827 +g998 +tp3945 +a(g89 +V"Technical Report" +p3946 +tp3947 +a(g827 +g998 +tp3948 +a(g706 +g1088 +tp3949 +a(g827 +V\u000a +p3950 +tp3951 +a(g574 +V'type +p3952 +tp3953 +a(g827 +V\u000a +p3954 +tp3955 +a(g682 +Vif$ +p3956 +tp3957 +a(g827 +V\u000a +p3958 +tp3959 +a(g441 +Vnumber +p3960 +tp3961 +a(g827 +g998 +tp3962 +a(g682 +Vempty$ +p3963 +tp3964 +a(g827 +V\u000a +p3965 +tp3966 +a(g706 +g996 +tp3967 +a(g827 +g998 +tp3968 +a(g89 +V"t" +p3969 +tp3970 +a(g827 +g998 +tp3971 +a(g682 +Vchange.case$ +p3972 +tp3973 +a(g827 +g998 +tp3974 +a(g706 +g1088 +tp3975 +a(g827 +V\u000a +p3976 +tp3977 +a(g706 +g996 +tp3978 +a(g827 +g998 +tp3979 +a(g441 +Vnumber +p3980 +tp3981 +a(g827 +g998 +tp3982 +a(g441 +Vtie.or.space.connect +p3983 +tp3984 +a(g827 +g998 +tp3985 +a(g706 +g1088 +tp3986 +a(g827 +V\u000a +p3987 +tp3988 +a(g682 +Vif$ +p3989 +tp3990 +a(g827 +V\u000a +p3991 +tp3992 +a(g706 +g1088 +tp3993 +a(g827 +V\u000a\u000a +p3994 +tp3995 +a(g745 +VFUNCTION +p3996 +tp3997 +a(g827 +g998 +tp3998 +a(g706 +g996 +tp3999 +a(g441 +Vformat.article.crossref +p4000 +tp4001 +a(g706 +g1088 +tp4002 +a(g827 +V\u000a +p4003 +tp4004 +a(g706 +g996 +tp4005 +a(g827 +g998 +tp4006 +a(g441 +Vkey +p4007 +tp4008 +a(g827 +g998 +tp4009 +a(g682 +Vempty$ +p4010 +tp4011 +a(g827 +V\u000a +p4012 +tp4013 +a(g706 +g996 +tp4014 +a(g827 +g998 +tp4015 +a(g441 +Vjournal +p4016 +tp4017 +a(g827 +g998 +tp4018 +a(g682 +Vempty$ +p4019 +tp4020 +a(g827 +V\u000a +p4021 +tp4022 +a(g706 +g996 +tp4023 +a(g827 +g998 +tp4024 +a(g89 +V"need key or journal for " +p4025 +tp4026 +a(g827 +g998 +tp4027 +a(g682 +Vcite$ +p4028 +tp4029 +a(g827 +g998 +tp4030 +a(g441 +g1228 +tp4031 +a(g827 +g998 +tp4032 +a(g89 +V" to crossref " +p4033 +tp4034 +a(g827 +g998 +tp4035 +a(g441 +g1228 +tp4036 +a(g827 +g998 +tp4037 +a(g441 +Vcrossref +p4038 +tp4039 +a(g827 +g998 +tp4040 +a(g441 +g1228 +tp4041 +a(g827 +V\u000a +p4042 +tp4043 +a(g682 +Vwarning$ +p4044 +tp4045 +a(g827 +V\u000a +p4046 +tp4047 +a(g89 +V"" +p4048 +tp4049 +a(g827 +V\u000a +p4050 +tp4051 +a(g706 +g1088 +tp4052 +a(g827 +V\u000a +p4053 +tp4054 +a(g706 +g996 +tp4055 +a(g827 +g998 +tp4056 +a(g89 +V"In {\u005cem " +p4057 +tp4058 +a(g827 +g998 +tp4059 +a(g441 +Vjournal +p4060 +tp4061 +a(g827 +g998 +tp4062 +a(g441 +g1228 +tp4063 +a(g827 +g998 +tp4064 +a(g89 +V"\u005c/}" +p4065 +tp4066 +a(g827 +g998 +tp4067 +a(g441 +g1228 +tp4068 +a(g827 +g998 +tp4069 +a(g706 +g1088 +tp4070 +a(g827 +V\u000a +p4071 +tp4072 +a(g682 +Vif$ +p4073 +tp4074 +a(g827 +V\u000a +p4075 +tp4076 +a(g706 +g1088 +tp4077 +a(g827 +V\u000a +p4078 +tp4079 +a(g706 +g996 +tp4080 +a(g827 +g998 +tp4081 +a(g89 +V"In " +p4082 +tp4083 +a(g827 +g998 +tp4084 +a(g441 +Vkey +p4085 +tp4086 +a(g827 +g998 +tp4087 +a(g441 +g1228 +tp4088 +a(g827 +g998 +tp4089 +a(g706 +g1088 +tp4090 +a(g827 +V\u000a +p4091 +tp4092 +a(g682 +Vif$ +p4093 +tp4094 +a(g827 +V\u000a +p4095 +tp4096 +a(g89 +V" \u005ccite{" +p4097 +tp4098 +a(g827 +g998 +tp4099 +a(g441 +g1228 +tp4100 +a(g827 +g998 +tp4101 +a(g441 +Vcrossref +p4102 +tp4103 +a(g827 +g998 +tp4104 +a(g441 +g1228 +tp4105 +a(g827 +g998 +tp4106 +a(g89 +V"}" +p4107 +tp4108 +a(g827 +g998 +tp4109 +a(g441 +g1228 +tp4110 +a(g827 +V\u000a +p4111 +tp4112 +a(g706 +g1088 +tp4113 +a(g827 +V\u000a\u000a +p4114 +tp4115 +a(g745 +VFUNCTION +p4116 +tp4117 +a(g827 +g998 +tp4118 +a(g706 +g996 +tp4119 +a(g441 +Vformat.crossref.editor +p4120 +tp4121 +a(g706 +g1088 +tp4122 +a(g827 +V\u000a +p4123 +tp4124 +a(g706 +g996 +tp4125 +a(g827 +g998 +tp4126 +a(g441 +Veditor +p4127 +tp4128 +a(g827 +g998 +tp4129 +a(g32 +V#1 +p4130 +tp4131 +a(g827 +g998 +tp4132 +a(g89 +V"{vv~}{ll}" +p4133 +tp4134 +a(g827 +g998 +tp4135 +a(g682 +Vformat.name$ +p4136 +tp4137 +a(g827 +V\u000a +p4138 +tp4139 +a(g441 +Veditor +p4140 +tp4141 +a(g827 +g998 +tp4142 +a(g682 +Vnum.names$ +p4143 +tp4144 +a(g827 +g998 +tp4145 +a(g682 +Vduplicate$ +p4146 +tp4147 +a(g827 +V\u000a +p4148 +tp4149 +a(g32 +V#2 +p4150 +tp4151 +a(g827 +g998 +tp4152 +a(g441 +g2042 +tp4153 +a(g827 +V\u000a +p4154 +tp4155 +a(g706 +g996 +tp4156 +a(g827 +g998 +tp4157 +a(g682 +Vpop$ +p4158 +tp4159 +a(g827 +g998 +tp4160 +a(g89 +V" et~al." +p4161 +tp4162 +a(g827 +g998 +tp4163 +a(g441 +g1228 +tp4164 +a(g827 +g998 +tp4165 +a(g706 +g1088 +tp4166 +a(g827 +V\u000a +p4167 +tp4168 +a(g706 +g996 +tp4169 +a(g827 +g998 +tp4170 +a(g32 +V#2 +p4171 +tp4172 +a(g827 +g998 +tp4173 +a(g441 +g2771 +tp4174 +a(g827 +V\u000a +p4175 +tp4176 +a(g574 +V'skip$ +p4177 +tp4178 +a(g827 +V\u000a +p4179 +tp4180 +a(g706 +g996 +tp4181 +a(g827 +g998 +tp4182 +a(g441 +Veditor +p4183 +tp4184 +a(g827 +g998 +tp4185 +a(g32 +V#2 +p4186 +tp4187 +a(g827 +g998 +tp4188 +a(g89 +V"{ff }{vv }{ll}{ jj}" +p4189 +tp4190 +a(g827 +g998 +tp4191 +a(g682 +Vformat.name$ +p4192 +tp4193 +a(g827 +g998 +tp4194 +a(g89 +V"others" +p4195 +tp4196 +a(g827 +g998 +tp4197 +a(g441 +g1219 +tp4198 +a(g827 +V\u000a +p4199 +tp4200 +a(g706 +g996 +tp4201 +a(g827 +g998 +tp4202 +a(g89 +V" et~al." +p4203 +tp4204 +a(g827 +g998 +tp4205 +a(g441 +g1228 +tp4206 +a(g827 +g998 +tp4207 +a(g706 +g1088 +tp4208 +a(g827 +V\u000a +p4209 +tp4210 +a(g706 +g996 +tp4211 +a(g827 +g998 +tp4212 +a(g89 +V" and " +p4213 +tp4214 +a(g827 +g998 +tp4215 +a(g441 +g1228 +tp4216 +a(g827 +g998 +tp4217 +a(g441 +Veditor +p4218 +tp4219 +a(g827 +g998 +tp4220 +a(g32 +V#2 +p4221 +tp4222 +a(g827 +g998 +tp4223 +a(g89 +V"{vv~}{ll}" +p4224 +tp4225 +a(g827 +g998 +tp4226 +a(g682 +Vformat.name$ +p4227 +tp4228 +a(g827 +g998 +tp4229 +a(g441 +g1228 +tp4230 +a(g827 +g998 +tp4231 +a(g706 +g1088 +tp4232 +a(g827 +V\u000a +p4233 +tp4234 +a(g682 +Vif$ +p4235 +tp4236 +a(g827 +V\u000a +p4237 +tp4238 +a(g706 +g1088 +tp4239 +a(g827 +V\u000a +p4240 +tp4241 +a(g682 +Vif$ +p4242 +tp4243 +a(g827 +V\u000a +p4244 +tp4245 +a(g706 +g1088 +tp4246 +a(g827 +V\u000a +p4247 +tp4248 +a(g682 +Vif$ +p4249 +tp4250 +a(g827 +V\u000a +p4251 +tp4252 +a(g706 +g1088 +tp4253 +a(g827 +V\u000a\u000a +p4254 +tp4255 +a(g745 +VFUNCTION +p4256 +tp4257 +a(g827 +g998 +tp4258 +a(g706 +g996 +tp4259 +a(g441 +Vformat.book.crossref +p4260 +tp4261 +a(g706 +g1088 +tp4262 +a(g827 +V\u000a +p4263 +tp4264 +a(g706 +g996 +tp4265 +a(g827 +g998 +tp4266 +a(g441 +Vvolume +p4267 +tp4268 +a(g827 +g998 +tp4269 +a(g682 +Vempty$ +p4270 +tp4271 +a(g827 +V\u000a +p4272 +tp4273 +a(g706 +g996 +tp4274 +a(g827 +g998 +tp4275 +a(g89 +V"empty volume in " +p4276 +tp4277 +a(g827 +g998 +tp4278 +a(g682 +Vcite$ +p4279 +tp4280 +a(g827 +g998 +tp4281 +a(g441 +g1228 +tp4282 +a(g827 +g998 +tp4283 +a(g89 +V"'s crossref of " +p4284 +tp4285 +a(g827 +g998 +tp4286 +a(g441 +g1228 +tp4287 +a(g827 +g998 +tp4288 +a(g441 +Vcrossref +p4289 +tp4290 +a(g827 +g998 +tp4291 +a(g441 +g1228 +tp4292 +a(g827 +g998 +tp4293 +a(g682 +Vwarning$ +p4294 +tp4295 +a(g827 +V\u000a +p4296 +tp4297 +a(g89 +V"In " +p4298 +tp4299 +a(g827 +V\u000a +p4300 +tp4301 +a(g706 +g1088 +tp4302 +a(g827 +V\u000a +p4303 +tp4304 +a(g706 +g996 +tp4305 +a(g827 +g998 +tp4306 +a(g89 +V"Volume" +p4307 +tp4308 +a(g827 +g998 +tp4309 +a(g441 +Vvolume +p4310 +tp4311 +a(g827 +g998 +tp4312 +a(g441 +Vtie.or.space.connect +p4313 +tp4314 +a(g827 +V\u000a +p4315 +tp4316 +a(g89 +V" of " +p4317 +tp4318 +a(g827 +g998 +tp4319 +a(g441 +g1228 +tp4320 +a(g827 +V\u000a +p4321 +tp4322 +a(g706 +g1088 +tp4323 +a(g827 +V\u000a +p4324 +tp4325 +a(g682 +Vif$ +p4326 +tp4327 +a(g827 +V\u000a +p4328 +tp4329 +a(g441 +Veditor +p4330 +tp4331 +a(g827 +g998 +tp4332 +a(g682 +Vempty$ +p4333 +tp4334 +a(g827 +V\u000a +p4335 +tp4336 +a(g441 +Veditor +p4337 +tp4338 +a(g827 +g998 +tp4339 +a(g441 +Vfield.or.null +p4340 +tp4341 +a(g827 +g998 +tp4342 +a(g441 +Vauthor +p4343 +tp4344 +a(g827 +g998 +tp4345 +a(g441 +Vfield.or.null +p4346 +tp4347 +a(g827 +g998 +tp4348 +a(g441 +g1219 +tp4349 +a(g827 +V\u000a +p4350 +tp4351 +a(g441 +Vor +p4352 +tp4353 +a(g827 +V\u000a +p4354 +tp4355 +a(g706 +g996 +tp4356 +a(g827 +g998 +tp4357 +a(g441 +Vkey +p4358 +tp4359 +a(g827 +g998 +tp4360 +a(g682 +Vempty$ +p4361 +tp4362 +a(g827 +V\u000a +p4363 +tp4364 +a(g706 +g996 +tp4365 +a(g827 +g998 +tp4366 +a(g441 +Vseries +p4367 +tp4368 +a(g827 +g998 +tp4369 +a(g682 +Vempty$ +p4370 +tp4371 +a(g827 +V\u000a +p4372 +tp4373 +a(g706 +g996 +tp4374 +a(g827 +g998 +tp4375 +a(g89 +V"need editor, key, or series for " +p4376 +tp4377 +a(g827 +g998 +tp4378 +a(g682 +Vcite$ +p4379 +tp4380 +a(g827 +g998 +tp4381 +a(g441 +g1228 +tp4382 +a(g827 +g998 +tp4383 +a(g89 +V" to crossref " +p4384 +tp4385 +a(g827 +g998 +tp4386 +a(g441 +g1228 +tp4387 +a(g827 +V\u000a +p4388 +tp4389 +a(g441 +Vcrossref +p4390 +tp4391 +a(g827 +g998 +tp4392 +a(g441 +g1228 +tp4393 +a(g827 +g998 +tp4394 +a(g682 +Vwarning$ +p4395 +tp4396 +a(g827 +V\u000a +p4397 +tp4398 +a(g89 +V"" +p4399 +tp4400 +a(g827 +g998 +tp4401 +a(g441 +g1228 +tp4402 +a(g827 +V\u000a +p4403 +tp4404 +a(g706 +g1088 +tp4405 +a(g827 +V\u000a +p4406 +tp4407 +a(g706 +g996 +tp4408 +a(g827 +g998 +tp4409 +a(g89 +V"{\u005cem " +p4410 +tp4411 +a(g827 +g998 +tp4412 +a(g441 +g1228 +tp4413 +a(g827 +g998 +tp4414 +a(g441 +Vseries +p4415 +tp4416 +a(g827 +g998 +tp4417 +a(g441 +g1228 +tp4418 +a(g827 +g998 +tp4419 +a(g89 +V"\u005c/}" +p4420 +tp4421 +a(g827 +g998 +tp4422 +a(g441 +g1228 +tp4423 +a(g827 +g998 +tp4424 +a(g706 +g1088 +tp4425 +a(g827 +V\u000a +p4426 +tp4427 +a(g682 +Vif$ +p4428 +tp4429 +a(g827 +V\u000a +p4430 +tp4431 +a(g706 +g1088 +tp4432 +a(g827 +V\u000a +p4433 +tp4434 +a(g706 +g996 +tp4435 +a(g827 +g998 +tp4436 +a(g441 +Vkey +p4437 +tp4438 +a(g827 +g998 +tp4439 +a(g441 +g1228 +tp4440 +a(g827 +g998 +tp4441 +a(g706 +g1088 +tp4442 +a(g827 +V\u000a +p4443 +tp4444 +a(g682 +Vif$ +p4445 +tp4446 +a(g827 +V\u000a +p4447 +tp4448 +a(g706 +g1088 +tp4449 +a(g827 +V\u000a +p4450 +tp4451 +a(g706 +g996 +tp4452 +a(g827 +g998 +tp4453 +a(g441 +Vformat.crossref.editor +p4454 +tp4455 +a(g827 +g998 +tp4456 +a(g441 +g1228 +tp4457 +a(g827 +g998 +tp4458 +a(g706 +g1088 +tp4459 +a(g827 +V\u000a +p4460 +tp4461 +a(g682 +Vif$ +p4462 +tp4463 +a(g827 +V\u000a +p4464 +tp4465 +a(g89 +V" \u005ccite{" +p4466 +tp4467 +a(g827 +g998 +tp4468 +a(g441 +g1228 +tp4469 +a(g827 +g998 +tp4470 +a(g441 +Vcrossref +p4471 +tp4472 +a(g827 +g998 +tp4473 +a(g441 +g1228 +tp4474 +a(g827 +g998 +tp4475 +a(g89 +V"}" +p4476 +tp4477 +a(g827 +g998 +tp4478 +a(g441 +g1228 +tp4479 +a(g827 +V\u000a +p4480 +tp4481 +a(g706 +g1088 +tp4482 +a(g827 +V\u000a\u000a +p4483 +tp4484 +a(g745 +VFUNCTION +p4485 +tp4486 +a(g827 +g998 +tp4487 +a(g706 +g996 +tp4488 +a(g441 +Vformat.incoll.inproc.crossref +p4489 +tp4490 +a(g706 +g1088 +tp4491 +a(g827 +V\u000a +p4492 +tp4493 +a(g706 +g996 +tp4494 +a(g827 +g998 +tp4495 +a(g441 +Veditor +p4496 +tp4497 +a(g827 +g998 +tp4498 +a(g682 +Vempty$ +p4499 +tp4500 +a(g827 +V\u000a +p4501 +tp4502 +a(g441 +Veditor +p4503 +tp4504 +a(g827 +g998 +tp4505 +a(g441 +Vfield.or.null +p4506 +tp4507 +a(g827 +g998 +tp4508 +a(g441 +Vauthor +p4509 +tp4510 +a(g827 +g998 +tp4511 +a(g441 +Vfield.or.null +p4512 +tp4513 +a(g827 +g998 +tp4514 +a(g441 +g1219 +tp4515 +a(g827 +V\u000a +p4516 +tp4517 +a(g441 +Vor +p4518 +tp4519 +a(g827 +V\u000a +p4520 +tp4521 +a(g706 +g996 +tp4522 +a(g827 +g998 +tp4523 +a(g441 +Vkey +p4524 +tp4525 +a(g827 +g998 +tp4526 +a(g682 +Vempty$ +p4527 +tp4528 +a(g827 +V\u000a +p4529 +tp4530 +a(g706 +g996 +tp4531 +a(g827 +g998 +tp4532 +a(g441 +Vbooktitle +p4533 +tp4534 +a(g827 +g998 +tp4535 +a(g682 +Vempty$ +p4536 +tp4537 +a(g827 +V\u000a +p4538 +tp4539 +a(g706 +g996 +tp4540 +a(g827 +g998 +tp4541 +a(g89 +V"need editor, key, or booktitle for " +p4542 +tp4543 +a(g827 +g998 +tp4544 +a(g682 +Vcite$ +p4545 +tp4546 +a(g827 +g998 +tp4547 +a(g441 +g1228 +tp4548 +a(g827 +g998 +tp4549 +a(g89 +V" to crossref " +p4550 +tp4551 +a(g827 +g998 +tp4552 +a(g441 +g1228 +tp4553 +a(g827 +V\u000a +p4554 +tp4555 +a(g441 +Vcrossref +p4556 +tp4557 +a(g827 +g998 +tp4558 +a(g441 +g1228 +tp4559 +a(g827 +g998 +tp4560 +a(g682 +Vwarning$ +p4561 +tp4562 +a(g827 +V\u000a +p4563 +tp4564 +a(g89 +V"" +p4565 +tp4566 +a(g827 +V\u000a +p4567 +tp4568 +a(g706 +g1088 +tp4569 +a(g827 +V\u000a +p4570 +tp4571 +a(g706 +g996 +tp4572 +a(g827 +g998 +tp4573 +a(g89 +V"In {\u005cem " +p4574 +tp4575 +a(g827 +g998 +tp4576 +a(g441 +Vbooktitle +p4577 +tp4578 +a(g827 +g998 +tp4579 +a(g441 +g1228 +tp4580 +a(g827 +g998 +tp4581 +a(g89 +V"\u005c/}" +p4582 +tp4583 +a(g827 +g998 +tp4584 +a(g441 +g1228 +tp4585 +a(g827 +g998 +tp4586 +a(g706 +g1088 +tp4587 +a(g827 +V\u000a +p4588 +tp4589 +a(g682 +Vif$ +p4590 +tp4591 +a(g827 +V\u000a +p4592 +tp4593 +a(g706 +g1088 +tp4594 +a(g827 +V\u000a +p4595 +tp4596 +a(g706 +g996 +tp4597 +a(g827 +g998 +tp4598 +a(g89 +V"In " +p4599 +tp4600 +a(g827 +g998 +tp4601 +a(g441 +Vkey +p4602 +tp4603 +a(g827 +g998 +tp4604 +a(g441 +g1228 +tp4605 +a(g827 +g998 +tp4606 +a(g706 +g1088 +tp4607 +a(g827 +V\u000a +p4608 +tp4609 +a(g682 +Vif$ +p4610 +tp4611 +a(g827 +V\u000a +p4612 +tp4613 +a(g706 +g1088 +tp4614 +a(g827 +V\u000a +p4615 +tp4616 +a(g706 +g996 +tp4617 +a(g827 +g998 +tp4618 +a(g89 +V"In " +p4619 +tp4620 +a(g827 +g998 +tp4621 +a(g441 +Vformat.crossref.editor +p4622 +tp4623 +a(g827 +g998 +tp4624 +a(g441 +g1228 +tp4625 +a(g827 +g998 +tp4626 +a(g706 +g1088 +tp4627 +a(g827 +V\u000a +p4628 +tp4629 +a(g682 +Vif$ +p4630 +tp4631 +a(g827 +V\u000a +p4632 +tp4633 +a(g89 +V" \u005ccite{" +p4634 +tp4635 +a(g827 +g998 +tp4636 +a(g441 +g1228 +tp4637 +a(g827 +g998 +tp4638 +a(g441 +Vcrossref +p4639 +tp4640 +a(g827 +g998 +tp4641 +a(g441 +g1228 +tp4642 +a(g827 +g998 +tp4643 +a(g89 +V"}" +p4644 +tp4645 +a(g827 +g998 +tp4646 +a(g441 +g1228 +tp4647 +a(g827 +V\u000a +p4648 +tp4649 +a(g706 +g1088 +tp4650 +a(g827 +V\u000a\u000a +p4651 +tp4652 +a(g745 +VFUNCTION +p4653 +tp4654 +a(g827 +g998 +tp4655 +a(g706 +g996 +tp4656 +a(g441 +Varticle +p4657 +tp4658 +a(g706 +g1088 +tp4659 +a(g827 +V\u000a +p4660 +tp4661 +a(g706 +g996 +tp4662 +a(g827 +g998 +tp4663 +a(g441 +Voutput.bibitem +p4664 +tp4665 +a(g827 +V\u000a +p4666 +tp4667 +a(g441 +Vformat.authors +p4668 +tp4669 +a(g827 +g998 +tp4670 +a(g89 +V"author" +p4671 +tp4672 +a(g827 +g998 +tp4673 +a(g441 +Voutput.check +p4674 +tp4675 +a(g827 +V\u000a +p4676 +tp4677 +a(g441 +Vnew.block +p4678 +tp4679 +a(g827 +V\u000a +p4680 +tp4681 +a(g441 +Vformat.title +p4682 +tp4683 +a(g827 +g998 +tp4684 +a(g89 +V"title" +p4685 +tp4686 +a(g827 +g998 +tp4687 +a(g441 +Voutput.check +p4688 +tp4689 +a(g827 +V\u000a +p4690 +tp4691 +a(g441 +Vnew.block +p4692 +tp4693 +a(g827 +V\u000a +p4694 +tp4695 +a(g441 +Vcrossref +p4696 +tp4697 +a(g827 +g998 +tp4698 +a(g682 +Vmissing$ +p4699 +tp4700 +a(g827 +V\u000a +p4701 +tp4702 +a(g706 +g996 +tp4703 +a(g827 +g998 +tp4704 +a(g441 +Vjournal +p4705 +tp4706 +a(g827 +g998 +tp4707 +a(g441 +Vemphasize +p4708 +tp4709 +a(g827 +g998 +tp4710 +a(g89 +V"journal" +p4711 +tp4712 +a(g827 +g998 +tp4713 +a(g441 +Voutput.check +p4714 +tp4715 +a(g827 +V\u000a +p4716 +tp4717 +a(g441 +Vformat.vol.num.pages +p4718 +tp4719 +a(g827 +g998 +tp4720 +a(g441 +Voutput +p4721 +tp4722 +a(g827 +V\u000a +p4723 +tp4724 +a(g441 +Vformat.date +p4725 +tp4726 +a(g827 +g998 +tp4727 +a(g89 +V"year" +p4728 +tp4729 +a(g827 +g998 +tp4730 +a(g441 +Voutput.check +p4731 +tp4732 +a(g827 +V\u000a +p4733 +tp4734 +a(g706 +g1088 +tp4735 +a(g827 +V\u000a +p4736 +tp4737 +a(g706 +g996 +tp4738 +a(g827 +g998 +tp4739 +a(g441 +Vformat.article.crossref +p4740 +tp4741 +a(g827 +g998 +tp4742 +a(g441 +Voutput.nonnull +p4743 +tp4744 +a(g827 +V\u000a +p4745 +tp4746 +a(g441 +Vformat.pages +p4747 +tp4748 +a(g827 +g998 +tp4749 +a(g441 +Voutput +p4750 +tp4751 +a(g827 +V\u000a +p4752 +tp4753 +a(g706 +g1088 +tp4754 +a(g827 +V\u000a +p4755 +tp4756 +a(g682 +Vif$ +p4757 +tp4758 +a(g827 +V\u000a +p4759 +tp4760 +a(g441 +Vnew.block +p4761 +tp4762 +a(g827 +V\u000a +p4763 +tp4764 +a(g441 +Vnote +p4765 +tp4766 +a(g827 +g998 +tp4767 +a(g441 +Voutput +p4768 +tp4769 +a(g827 +V\u000a +p4770 +tp4771 +a(g441 +Vfin.entry +p4772 +tp4773 +a(g827 +V\u000a +p4774 +tp4775 +a(g706 +g1088 +tp4776 +a(g827 +V\u000a\u000a +p4777 +tp4778 +a(g745 +VFUNCTION +p4779 +tp4780 +a(g827 +g998 +tp4781 +a(g706 +g996 +tp4782 +a(g441 +Vbook +p4783 +tp4784 +a(g706 +g1088 +tp4785 +a(g827 +V\u000a +p4786 +tp4787 +a(g706 +g996 +tp4788 +a(g827 +g998 +tp4789 +a(g441 +Voutput.bibitem +p4790 +tp4791 +a(g827 +V\u000a +p4792 +tp4793 +a(g441 +Vauthor +p4794 +tp4795 +a(g827 +g998 +tp4796 +a(g682 +Vempty$ +p4797 +tp4798 +a(g827 +V\u000a +p4799 +tp4800 +a(g706 +g996 +tp4801 +a(g827 +g998 +tp4802 +a(g441 +Vformat.editors +p4803 +tp4804 +a(g827 +g998 +tp4805 +a(g89 +V"author and editor" +p4806 +tp4807 +a(g827 +g998 +tp4808 +a(g441 +Voutput.check +p4809 +tp4810 +a(g827 +g998 +tp4811 +a(g706 +g1088 +tp4812 +a(g827 +V\u000a +p4813 +tp4814 +a(g706 +g996 +tp4815 +a(g827 +g998 +tp4816 +a(g441 +Vformat.authors +p4817 +tp4818 +a(g827 +g998 +tp4819 +a(g441 +Voutput.nonnull +p4820 +tp4821 +a(g827 +V\u000a +p4822 +tp4823 +a(g441 +Vcrossref +p4824 +tp4825 +a(g827 +g998 +tp4826 +a(g682 +Vmissing$ +p4827 +tp4828 +a(g827 +V\u000a +p4829 +tp4830 +a(g706 +g996 +tp4831 +a(g827 +g998 +tp4832 +a(g89 +V"author and editor" +p4833 +tp4834 +a(g827 +g998 +tp4835 +a(g441 +Veditor +p4836 +tp4837 +a(g827 +g998 +tp4838 +a(g441 +Veither.or.check +p4839 +tp4840 +a(g827 +g998 +tp4841 +a(g706 +g1088 +tp4842 +a(g827 +V\u000a +p4843 +tp4844 +a(g574 +V'skip$ +p4845 +tp4846 +a(g827 +V\u000a +p4847 +tp4848 +a(g682 +Vif$ +p4849 +tp4850 +a(g827 +V\u000a +p4851 +tp4852 +a(g706 +g1088 +tp4853 +a(g827 +V\u000a +p4854 +tp4855 +a(g682 +Vif$ +p4856 +tp4857 +a(g827 +V\u000a +p4858 +tp4859 +a(g441 +Vnew.block +p4860 +tp4861 +a(g827 +V\u000a +p4862 +tp4863 +a(g441 +Vformat.btitle +p4864 +tp4865 +a(g827 +g998 +tp4866 +a(g89 +V"title" +p4867 +tp4868 +a(g827 +g998 +tp4869 +a(g441 +Voutput.check +p4870 +tp4871 +a(g827 +V\u000a +p4872 +tp4873 +a(g441 +Vcrossref +p4874 +tp4875 +a(g827 +g998 +tp4876 +a(g682 +Vmissing$ +p4877 +tp4878 +a(g827 +V\u000a +p4879 +tp4880 +a(g706 +g996 +tp4881 +a(g827 +g998 +tp4882 +a(g441 +Vformat.bvolume +p4883 +tp4884 +a(g827 +g998 +tp4885 +a(g441 +Voutput +p4886 +tp4887 +a(g827 +V\u000a +p4888 +tp4889 +a(g441 +Vnew.block +p4890 +tp4891 +a(g827 +V\u000a +p4892 +tp4893 +a(g441 +Vformat.number.series +p4894 +tp4895 +a(g827 +g998 +tp4896 +a(g441 +Voutput +p4897 +tp4898 +a(g827 +V\u000a +p4899 +tp4900 +a(g441 +Vnew.sentence +p4901 +tp4902 +a(g827 +V\u000a +p4903 +tp4904 +a(g441 +Vpublisher +p4905 +tp4906 +a(g827 +g998 +tp4907 +a(g89 +V"publisher" +p4908 +tp4909 +a(g827 +g998 +tp4910 +a(g441 +Voutput.check +p4911 +tp4912 +a(g827 +V\u000a +p4913 +tp4914 +a(g441 +Vaddress +p4915 +tp4916 +a(g827 +g998 +tp4917 +a(g441 +Voutput +p4918 +tp4919 +a(g827 +V\u000a +p4920 +tp4921 +a(g706 +g1088 +tp4922 +a(g827 +V\u000a +p4923 +tp4924 +a(g706 +g996 +tp4925 +a(g827 +g998 +tp4926 +a(g441 +Vnew.block +p4927 +tp4928 +a(g827 +V\u000a +p4929 +tp4930 +a(g441 +Vformat.book.crossref +p4931 +tp4932 +a(g827 +g998 +tp4933 +a(g441 +Voutput.nonnull +p4934 +tp4935 +a(g827 +V\u000a +p4936 +tp4937 +a(g706 +g1088 +tp4938 +a(g827 +V\u000a +p4939 +tp4940 +a(g682 +Vif$ +p4941 +tp4942 +a(g827 +V\u000a +p4943 +tp4944 +a(g441 +Vformat.edition +p4945 +tp4946 +a(g827 +g998 +tp4947 +a(g441 +Voutput +p4948 +tp4949 +a(g827 +V\u000a +p4950 +tp4951 +a(g441 +Vformat.date +p4952 +tp4953 +a(g827 +g998 +tp4954 +a(g89 +V"year" +p4955 +tp4956 +a(g827 +g998 +tp4957 +a(g441 +Voutput.check +p4958 +tp4959 +a(g827 +V\u000a +p4960 +tp4961 +a(g441 +Vnew.block +p4962 +tp4963 +a(g827 +V\u000a +p4964 +tp4965 +a(g441 +Vnote +p4966 +tp4967 +a(g827 +g998 +tp4968 +a(g441 +Voutput +p4969 +tp4970 +a(g827 +V\u000a +p4971 +tp4972 +a(g441 +Vfin.entry +p4973 +tp4974 +a(g827 +V\u000a +p4975 +tp4976 +a(g706 +g1088 +tp4977 +a(g827 +V\u000a\u000a +p4978 +tp4979 +a(g745 +VFUNCTION +p4980 +tp4981 +a(g827 +g998 +tp4982 +a(g706 +g996 +tp4983 +a(g441 +Vbooklet +p4984 +tp4985 +a(g706 +g1088 +tp4986 +a(g827 +V\u000a +p4987 +tp4988 +a(g706 +g996 +tp4989 +a(g827 +g998 +tp4990 +a(g441 +Voutput.bibitem +p4991 +tp4992 +a(g827 +V\u000a +p4993 +tp4994 +a(g441 +Vformat.authors +p4995 +tp4996 +a(g827 +g998 +tp4997 +a(g441 +Voutput +p4998 +tp4999 +a(g827 +V\u000a +p5000 +tp5001 +a(g441 +Vnew.block +p5002 +tp5003 +a(g827 +V\u000a +p5004 +tp5005 +a(g441 +Vformat.title +p5006 +tp5007 +a(g827 +g998 +tp5008 +a(g89 +V"title" +p5009 +tp5010 +a(g827 +g998 +tp5011 +a(g441 +Voutput.check +p5012 +tp5013 +a(g827 +V\u000a +p5014 +tp5015 +a(g441 +Vhowpublished +p5016 +tp5017 +a(g827 +g998 +tp5018 +a(g441 +Vaddress +p5019 +tp5020 +a(g827 +g998 +tp5021 +a(g441 +Vnew.block.checkb +p5022 +tp5023 +a(g827 +V\u000a +p5024 +tp5025 +a(g441 +Vhowpublished +p5026 +tp5027 +a(g827 +g998 +tp5028 +a(g441 +Voutput +p5029 +tp5030 +a(g827 +V\u000a +p5031 +tp5032 +a(g441 +Vaddress +p5033 +tp5034 +a(g827 +g998 +tp5035 +a(g441 +Voutput +p5036 +tp5037 +a(g827 +V\u000a +p5038 +tp5039 +a(g441 +Vformat.date +p5040 +tp5041 +a(g827 +g998 +tp5042 +a(g441 +Voutput +p5043 +tp5044 +a(g827 +V\u000a +p5045 +tp5046 +a(g441 +Vnew.block +p5047 +tp5048 +a(g827 +V\u000a +p5049 +tp5050 +a(g441 +Vnote +p5051 +tp5052 +a(g827 +g998 +tp5053 +a(g441 +Voutput +p5054 +tp5055 +a(g827 +V\u000a +p5056 +tp5057 +a(g441 +Vfin.entry +p5058 +tp5059 +a(g827 +V\u000a +p5060 +tp5061 +a(g706 +g1088 +tp5062 +a(g827 +V\u000a\u000a +p5063 +tp5064 +a(g745 +VFUNCTION +p5065 +tp5066 +a(g827 +g998 +tp5067 +a(g706 +g996 +tp5068 +a(g441 +Vinbook +p5069 +tp5070 +a(g706 +g1088 +tp5071 +a(g827 +V\u000a +p5072 +tp5073 +a(g706 +g996 +tp5074 +a(g827 +g998 +tp5075 +a(g441 +Voutput.bibitem +p5076 +tp5077 +a(g827 +V\u000a +p5078 +tp5079 +a(g441 +Vauthor +p5080 +tp5081 +a(g827 +g998 +tp5082 +a(g682 +Vempty$ +p5083 +tp5084 +a(g827 +V\u000a +p5085 +tp5086 +a(g706 +g996 +tp5087 +a(g827 +g998 +tp5088 +a(g441 +Vformat.editors +p5089 +tp5090 +a(g827 +g998 +tp5091 +a(g89 +V"author and editor" +p5092 +tp5093 +a(g827 +g998 +tp5094 +a(g441 +Voutput.check +p5095 +tp5096 +a(g827 +g998 +tp5097 +a(g706 +g1088 +tp5098 +a(g827 +V\u000a +p5099 +tp5100 +a(g706 +g996 +tp5101 +a(g827 +g998 +tp5102 +a(g441 +Vformat.authors +p5103 +tp5104 +a(g827 +g998 +tp5105 +a(g441 +Voutput.nonnull +p5106 +tp5107 +a(g827 +V\u000a +p5108 +tp5109 +a(g441 +Vcrossref +p5110 +tp5111 +a(g827 +g998 +tp5112 +a(g682 +Vmissing$ +p5113 +tp5114 +a(g827 +V\u000a +p5115 +tp5116 +a(g706 +g996 +tp5117 +a(g827 +g998 +tp5118 +a(g89 +V"author and editor" +p5119 +tp5120 +a(g827 +g998 +tp5121 +a(g441 +Veditor +p5122 +tp5123 +a(g827 +g998 +tp5124 +a(g441 +Veither.or.check +p5125 +tp5126 +a(g827 +g998 +tp5127 +a(g706 +g1088 +tp5128 +a(g827 +V\u000a +p5129 +tp5130 +a(g574 +V'skip$ +p5131 +tp5132 +a(g827 +V\u000a +p5133 +tp5134 +a(g682 +Vif$ +p5135 +tp5136 +a(g827 +V\u000a +p5137 +tp5138 +a(g706 +g1088 +tp5139 +a(g827 +V\u000a +p5140 +tp5141 +a(g682 +Vif$ +p5142 +tp5143 +a(g827 +V\u000a +p5144 +tp5145 +a(g441 +Vnew.block +p5146 +tp5147 +a(g827 +V\u000a +p5148 +tp5149 +a(g441 +Vformat.btitle +p5150 +tp5151 +a(g827 +g998 +tp5152 +a(g89 +V"title" +p5153 +tp5154 +a(g827 +g998 +tp5155 +a(g441 +Voutput.check +p5156 +tp5157 +a(g827 +V\u000a +p5158 +tp5159 +a(g441 +Vcrossref +p5160 +tp5161 +a(g827 +g998 +tp5162 +a(g682 +Vmissing$ +p5163 +tp5164 +a(g827 +V\u000a +p5165 +tp5166 +a(g706 +g996 +tp5167 +a(g827 +g998 +tp5168 +a(g441 +Vformat.bvolume +p5169 +tp5170 +a(g827 +g998 +tp5171 +a(g441 +Voutput +p5172 +tp5173 +a(g827 +V\u000a +p5174 +tp5175 +a(g441 +Vformat.chapter.pages +p5176 +tp5177 +a(g827 +g998 +tp5178 +a(g89 +V"chapter and pages" +p5179 +tp5180 +a(g827 +g998 +tp5181 +a(g441 +Voutput.check +p5182 +tp5183 +a(g827 +V\u000a +p5184 +tp5185 +a(g441 +Vnew.block +p5186 +tp5187 +a(g827 +V\u000a +p5188 +tp5189 +a(g441 +Vformat.number.series +p5190 +tp5191 +a(g827 +g998 +tp5192 +a(g441 +Voutput +p5193 +tp5194 +a(g827 +V\u000a +p5195 +tp5196 +a(g441 +Vnew.sentence +p5197 +tp5198 +a(g827 +V\u000a +p5199 +tp5200 +a(g441 +Vpublisher +p5201 +tp5202 +a(g827 +g998 +tp5203 +a(g89 +V"publisher" +p5204 +tp5205 +a(g827 +g998 +tp5206 +a(g441 +Voutput.check +p5207 +tp5208 +a(g827 +V\u000a +p5209 +tp5210 +a(g441 +Vaddress +p5211 +tp5212 +a(g827 +g998 +tp5213 +a(g441 +Voutput +p5214 +tp5215 +a(g827 +V\u000a +p5216 +tp5217 +a(g706 +g1088 +tp5218 +a(g827 +V\u000a +p5219 +tp5220 +a(g706 +g996 +tp5221 +a(g827 +g998 +tp5222 +a(g441 +Vformat.chapter.pages +p5223 +tp5224 +a(g827 +g998 +tp5225 +a(g89 +V"chapter and pages" +p5226 +tp5227 +a(g827 +g998 +tp5228 +a(g441 +Voutput.check +p5229 +tp5230 +a(g827 +V\u000a +p5231 +tp5232 +a(g441 +Vnew.block +p5233 +tp5234 +a(g827 +V\u000a +p5235 +tp5236 +a(g441 +Vformat.book.crossref +p5237 +tp5238 +a(g827 +g998 +tp5239 +a(g441 +Voutput.nonnull +p5240 +tp5241 +a(g827 +V\u000a +p5242 +tp5243 +a(g706 +g1088 +tp5244 +a(g827 +V\u000a +p5245 +tp5246 +a(g682 +Vif$ +p5247 +tp5248 +a(g827 +V\u000a +p5249 +tp5250 +a(g441 +Vformat.edition +p5251 +tp5252 +a(g827 +g998 +tp5253 +a(g441 +Voutput +p5254 +tp5255 +a(g827 +V\u000a +p5256 +tp5257 +a(g441 +Vformat.date +p5258 +tp5259 +a(g827 +g998 +tp5260 +a(g89 +V"year" +p5261 +tp5262 +a(g827 +g998 +tp5263 +a(g441 +Voutput.check +p5264 +tp5265 +a(g827 +V\u000a +p5266 +tp5267 +a(g441 +Vnew.block +p5268 +tp5269 +a(g827 +V\u000a +p5270 +tp5271 +a(g441 +Vnote +p5272 +tp5273 +a(g827 +g998 +tp5274 +a(g441 +Voutput +p5275 +tp5276 +a(g827 +V\u000a +p5277 +tp5278 +a(g441 +Vfin.entry +p5279 +tp5280 +a(g827 +V\u000a +p5281 +tp5282 +a(g706 +g1088 +tp5283 +a(g827 +V\u000a\u000a +p5284 +tp5285 +a(g745 +VFUNCTION +p5286 +tp5287 +a(g827 +g998 +tp5288 +a(g706 +g996 +tp5289 +a(g441 +Vincollection +p5290 +tp5291 +a(g706 +g1088 +tp5292 +a(g827 +V\u000a +p5293 +tp5294 +a(g706 +g996 +tp5295 +a(g827 +g998 +tp5296 +a(g441 +Voutput.bibitem +p5297 +tp5298 +a(g827 +V\u000a +p5299 +tp5300 +a(g441 +Vformat.authors +p5301 +tp5302 +a(g827 +g998 +tp5303 +a(g89 +V"author" +p5304 +tp5305 +a(g827 +g998 +tp5306 +a(g441 +Voutput.check +p5307 +tp5308 +a(g827 +V\u000a +p5309 +tp5310 +a(g441 +Vnew.block +p5311 +tp5312 +a(g827 +V\u000a +p5313 +tp5314 +a(g441 +Vformat.title +p5315 +tp5316 +a(g827 +g998 +tp5317 +a(g89 +V"title" +p5318 +tp5319 +a(g827 +g998 +tp5320 +a(g441 +Voutput.check +p5321 +tp5322 +a(g827 +V\u000a +p5323 +tp5324 +a(g441 +Vnew.block +p5325 +tp5326 +a(g827 +V\u000a +p5327 +tp5328 +a(g441 +Vcrossref +p5329 +tp5330 +a(g827 +g998 +tp5331 +a(g682 +Vmissing$ +p5332 +tp5333 +a(g827 +V\u000a +p5334 +tp5335 +a(g706 +g996 +tp5336 +a(g827 +g998 +tp5337 +a(g441 +Vformat.in.ed.booktitle +p5338 +tp5339 +a(g827 +g998 +tp5340 +a(g89 +V"booktitle" +p5341 +tp5342 +a(g827 +g998 +tp5343 +a(g441 +Voutput.check +p5344 +tp5345 +a(g827 +V\u000a +p5346 +tp5347 +a(g441 +Vformat.bvolume +p5348 +tp5349 +a(g827 +g998 +tp5350 +a(g441 +Voutput +p5351 +tp5352 +a(g827 +V\u000a +p5353 +tp5354 +a(g441 +Vformat.number.series +p5355 +tp5356 +a(g827 +g998 +tp5357 +a(g441 +Voutput +p5358 +tp5359 +a(g827 +V\u000a +p5360 +tp5361 +a(g441 +Vformat.chapter.pages +p5362 +tp5363 +a(g827 +g998 +tp5364 +a(g441 +Voutput +p5365 +tp5366 +a(g827 +V\u000a +p5367 +tp5368 +a(g441 +Vnew.sentence +p5369 +tp5370 +a(g827 +V\u000a +p5371 +tp5372 +a(g441 +Vpublisher +p5373 +tp5374 +a(g827 +g998 +tp5375 +a(g89 +V"publisher" +p5376 +tp5377 +a(g827 +g998 +tp5378 +a(g441 +Voutput.check +p5379 +tp5380 +a(g827 +V\u000a +p5381 +tp5382 +a(g441 +Vaddress +p5383 +tp5384 +a(g827 +g998 +tp5385 +a(g441 +Voutput +p5386 +tp5387 +a(g827 +V\u000a +p5388 +tp5389 +a(g441 +Vformat.edition +p5390 +tp5391 +a(g827 +g998 +tp5392 +a(g441 +Voutput +p5393 +tp5394 +a(g827 +V\u000a +p5395 +tp5396 +a(g441 +Vformat.date +p5397 +tp5398 +a(g827 +g998 +tp5399 +a(g89 +V"year" +p5400 +tp5401 +a(g827 +g998 +tp5402 +a(g441 +Voutput.check +p5403 +tp5404 +a(g827 +V\u000a +p5405 +tp5406 +a(g706 +g1088 +tp5407 +a(g827 +V\u000a +p5408 +tp5409 +a(g706 +g996 +tp5410 +a(g827 +g998 +tp5411 +a(g441 +Vformat.incoll.inproc.crossref +p5412 +tp5413 +a(g827 +g998 +tp5414 +a(g441 +Voutput.nonnull +p5415 +tp5416 +a(g827 +V\u000a +p5417 +tp5418 +a(g441 +Vformat.chapter.pages +p5419 +tp5420 +a(g827 +g998 +tp5421 +a(g441 +Voutput +p5422 +tp5423 +a(g827 +V\u000a +p5424 +tp5425 +a(g706 +g1088 +tp5426 +a(g827 +V\u000a +p5427 +tp5428 +a(g682 +Vif$ +p5429 +tp5430 +a(g827 +V\u000a +p5431 +tp5432 +a(g441 +Vnew.block +p5433 +tp5434 +a(g827 +V\u000a +p5435 +tp5436 +a(g441 +Vnote +p5437 +tp5438 +a(g827 +g998 +tp5439 +a(g441 +Voutput +p5440 +tp5441 +a(g827 +V\u000a +p5442 +tp5443 +a(g441 +Vfin.entry +p5444 +tp5445 +a(g827 +V\u000a +p5446 +tp5447 +a(g706 +g1088 +tp5448 +a(g827 +V\u000a\u000a +p5449 +tp5450 +a(g745 +VFUNCTION +p5451 +tp5452 +a(g827 +g998 +tp5453 +a(g706 +g996 +tp5454 +a(g441 +Vinproceedings +p5455 +tp5456 +a(g706 +g1088 +tp5457 +a(g827 +V\u000a +p5458 +tp5459 +a(g706 +g996 +tp5460 +a(g827 +g998 +tp5461 +a(g441 +Voutput.bibitem +p5462 +tp5463 +a(g827 +V\u000a +p5464 +tp5465 +a(g441 +Vformat.authors +p5466 +tp5467 +a(g827 +g998 +tp5468 +a(g89 +V"author" +p5469 +tp5470 +a(g827 +g998 +tp5471 +a(g441 +Voutput.check +p5472 +tp5473 +a(g827 +V\u000a +p5474 +tp5475 +a(g441 +Vnew.block +p5476 +tp5477 +a(g827 +V\u000a +p5478 +tp5479 +a(g441 +Vformat.title +p5480 +tp5481 +a(g827 +g998 +tp5482 +a(g89 +V"title" +p5483 +tp5484 +a(g827 +g998 +tp5485 +a(g441 +Voutput.check +p5486 +tp5487 +a(g827 +V\u000a +p5488 +tp5489 +a(g441 +Vnew.block +p5490 +tp5491 +a(g827 +V\u000a +p5492 +tp5493 +a(g441 +Vcrossref +p5494 +tp5495 +a(g827 +g998 +tp5496 +a(g682 +Vmissing$ +p5497 +tp5498 +a(g827 +V\u000a +p5499 +tp5500 +a(g706 +g996 +tp5501 +a(g827 +g998 +tp5502 +a(g441 +Vformat.in.ed.booktitle +p5503 +tp5504 +a(g827 +g998 +tp5505 +a(g89 +V"booktitle" +p5506 +tp5507 +a(g827 +g998 +tp5508 +a(g441 +Voutput.check +p5509 +tp5510 +a(g827 +V\u000a +p5511 +tp5512 +a(g441 +Vformat.bvolume +p5513 +tp5514 +a(g827 +g998 +tp5515 +a(g441 +Voutput +p5516 +tp5517 +a(g827 +V\u000a +p5518 +tp5519 +a(g441 +Vformat.number.series +p5520 +tp5521 +a(g827 +g998 +tp5522 +a(g441 +Voutput +p5523 +tp5524 +a(g827 +V\u000a +p5525 +tp5526 +a(g441 +Vformat.pages +p5527 +tp5528 +a(g827 +g998 +tp5529 +a(g441 +Voutput +p5530 +tp5531 +a(g827 +V\u000a +p5532 +tp5533 +a(g441 +Vaddress +p5534 +tp5535 +a(g827 +g998 +tp5536 +a(g682 +Vempty$ +p5537 +tp5538 +a(g827 +V\u000a +p5539 +tp5540 +a(g706 +g996 +tp5541 +a(g827 +g998 +tp5542 +a(g441 +Vorganization +p5543 +tp5544 +a(g827 +g998 +tp5545 +a(g441 +Vpublisher +p5546 +tp5547 +a(g827 +g998 +tp5548 +a(g441 +Vnew.sentence.checkb +p5549 +tp5550 +a(g827 +V\u000a +p5551 +tp5552 +a(g441 +Vorganization +p5553 +tp5554 +a(g827 +g998 +tp5555 +a(g441 +Voutput +p5556 +tp5557 +a(g827 +V\u000a +p5558 +tp5559 +a(g441 +Vpublisher +p5560 +tp5561 +a(g827 +g998 +tp5562 +a(g441 +Voutput +p5563 +tp5564 +a(g827 +V\u000a +p5565 +tp5566 +a(g441 +Vformat.date +p5567 +tp5568 +a(g827 +g998 +tp5569 +a(g89 +V"year" +p5570 +tp5571 +a(g827 +g998 +tp5572 +a(g441 +Voutput.check +p5573 +tp5574 +a(g827 +V\u000a +p5575 +tp5576 +a(g706 +g1088 +tp5577 +a(g827 +V\u000a +p5578 +tp5579 +a(g706 +g996 +tp5580 +a(g827 +g998 +tp5581 +a(g441 +Vaddress +p5582 +tp5583 +a(g827 +g998 +tp5584 +a(g441 +Voutput.nonnull +p5585 +tp5586 +a(g827 +V\u000a +p5587 +tp5588 +a(g441 +Vformat.date +p5589 +tp5590 +a(g827 +g998 +tp5591 +a(g89 +V"year" +p5592 +tp5593 +a(g827 +g998 +tp5594 +a(g441 +Voutput.check +p5595 +tp5596 +a(g827 +V\u000a +p5597 +tp5598 +a(g441 +Vnew.sentence +p5599 +tp5600 +a(g827 +V\u000a +p5601 +tp5602 +a(g441 +Vorganization +p5603 +tp5604 +a(g827 +g998 +tp5605 +a(g441 +Voutput +p5606 +tp5607 +a(g827 +V\u000a +p5608 +tp5609 +a(g441 +Vpublisher +p5610 +tp5611 +a(g827 +g998 +tp5612 +a(g441 +Voutput +p5613 +tp5614 +a(g827 +V\u000a +p5615 +tp5616 +a(g706 +g1088 +tp5617 +a(g827 +V\u000a +p5618 +tp5619 +a(g682 +Vif$ +p5620 +tp5621 +a(g827 +V\u000a +p5622 +tp5623 +a(g706 +g1088 +tp5624 +a(g827 +V\u000a +p5625 +tp5626 +a(g706 +g996 +tp5627 +a(g827 +g998 +tp5628 +a(g441 +Vformat.incoll.inproc.crossref +p5629 +tp5630 +a(g827 +g998 +tp5631 +a(g441 +Voutput.nonnull +p5632 +tp5633 +a(g827 +V\u000a +p5634 +tp5635 +a(g441 +Vformat.pages +p5636 +tp5637 +a(g827 +g998 +tp5638 +a(g441 +Voutput +p5639 +tp5640 +a(g827 +V\u000a +p5641 +tp5642 +a(g706 +g1088 +tp5643 +a(g827 +V\u000a +p5644 +tp5645 +a(g682 +Vif$ +p5646 +tp5647 +a(g827 +V\u000a +p5648 +tp5649 +a(g441 +Vnew.block +p5650 +tp5651 +a(g827 +V\u000a +p5652 +tp5653 +a(g441 +Vnote +p5654 +tp5655 +a(g827 +g998 +tp5656 +a(g441 +Voutput +p5657 +tp5658 +a(g827 +V\u000a +p5659 +tp5660 +a(g441 +Vfin.entry +p5661 +tp5662 +a(g827 +V\u000a +p5663 +tp5664 +a(g706 +g1088 +tp5665 +a(g827 +V\u000a\u000a +p5666 +tp5667 +a(g745 +VFUNCTION +p5668 +tp5669 +a(g827 +g998 +tp5670 +a(g706 +g996 +tp5671 +a(g441 +Vconference +p5672 +tp5673 +a(g706 +g1088 +tp5674 +a(g827 +g998 +tp5675 +a(g706 +g996 +tp5676 +a(g827 +g998 +tp5677 +a(g441 +Vinproceedings +p5678 +tp5679 +a(g827 +g998 +tp5680 +a(g706 +g1088 +tp5681 +a(g827 +V\u000a\u000a +p5682 +tp5683 +a(g745 +VFUNCTION +p5684 +tp5685 +a(g827 +g998 +tp5686 +a(g706 +g996 +tp5687 +a(g441 +Vmanual +p5688 +tp5689 +a(g706 +g1088 +tp5690 +a(g827 +V\u000a +p5691 +tp5692 +a(g706 +g996 +tp5693 +a(g827 +g998 +tp5694 +a(g441 +Voutput.bibitem +p5695 +tp5696 +a(g827 +V\u000a +p5697 +tp5698 +a(g441 +Vauthor +p5699 +tp5700 +a(g827 +g998 +tp5701 +a(g682 +Vempty$ +p5702 +tp5703 +a(g827 +V\u000a +p5704 +tp5705 +a(g706 +g996 +tp5706 +a(g827 +g998 +tp5707 +a(g441 +Vorganization +p5708 +tp5709 +a(g827 +g998 +tp5710 +a(g682 +Vempty$ +p5711 +tp5712 +a(g827 +V\u000a +p5713 +tp5714 +a(g574 +V'skip$ +p5715 +tp5716 +a(g827 +V\u000a +p5717 +tp5718 +a(g706 +g996 +tp5719 +a(g827 +g998 +tp5720 +a(g441 +Vorganization +p5721 +tp5722 +a(g827 +g998 +tp5723 +a(g441 +Voutput.nonnull +p5724 +tp5725 +a(g827 +V\u000a +p5726 +tp5727 +a(g441 +Vaddress +p5728 +tp5729 +a(g827 +g998 +tp5730 +a(g441 +Voutput +p5731 +tp5732 +a(g827 +V\u000a +p5733 +tp5734 +a(g706 +g1088 +tp5735 +a(g827 +V\u000a +p5736 +tp5737 +a(g682 +Vif$ +p5738 +tp5739 +a(g827 +V\u000a +p5740 +tp5741 +a(g706 +g1088 +tp5742 +a(g827 +V\u000a +p5743 +tp5744 +a(g706 +g996 +tp5745 +a(g827 +g998 +tp5746 +a(g441 +Vformat.authors +p5747 +tp5748 +a(g827 +g998 +tp5749 +a(g441 +Voutput.nonnull +p5750 +tp5751 +a(g827 +g998 +tp5752 +a(g706 +g1088 +tp5753 +a(g827 +V\u000a +p5754 +tp5755 +a(g682 +Vif$ +p5756 +tp5757 +a(g827 +V\u000a +p5758 +tp5759 +a(g441 +Vnew.block +p5760 +tp5761 +a(g827 +V\u000a +p5762 +tp5763 +a(g441 +Vformat.btitle +p5764 +tp5765 +a(g827 +g998 +tp5766 +a(g89 +V"title" +p5767 +tp5768 +a(g827 +g998 +tp5769 +a(g441 +Voutput.check +p5770 +tp5771 +a(g827 +V\u000a +p5772 +tp5773 +a(g441 +Vauthor +p5774 +tp5775 +a(g827 +g998 +tp5776 +a(g682 +Vempty$ +p5777 +tp5778 +a(g827 +V\u000a +p5779 +tp5780 +a(g706 +g996 +tp5781 +a(g827 +g998 +tp5782 +a(g441 +Vorganization +p5783 +tp5784 +a(g827 +g998 +tp5785 +a(g682 +Vempty$ +p5786 +tp5787 +a(g827 +V\u000a +p5788 +tp5789 +a(g706 +g996 +tp5790 +a(g827 +g998 +tp5791 +a(g441 +Vaddress +p5792 +tp5793 +a(g827 +g998 +tp5794 +a(g441 +Vnew.block.checka +p5795 +tp5796 +a(g827 +V\u000a +p5797 +tp5798 +a(g441 +Vaddress +p5799 +tp5800 +a(g827 +g998 +tp5801 +a(g441 +Voutput +p5802 +tp5803 +a(g827 +V\u000a +p5804 +tp5805 +a(g706 +g1088 +tp5806 +a(g827 +V\u000a +p5807 +tp5808 +a(g574 +V'skip$ +p5809 +tp5810 +a(g827 +V\u000a +p5811 +tp5812 +a(g682 +Vif$ +p5813 +tp5814 +a(g827 +V\u000a +p5815 +tp5816 +a(g706 +g1088 +tp5817 +a(g827 +V\u000a +p5818 +tp5819 +a(g706 +g996 +tp5820 +a(g827 +g998 +tp5821 +a(g441 +Vorganization +p5822 +tp5823 +a(g827 +g998 +tp5824 +a(g441 +Vaddress +p5825 +tp5826 +a(g827 +g998 +tp5827 +a(g441 +Vnew.block.checkb +p5828 +tp5829 +a(g827 +V\u000a +p5830 +tp5831 +a(g441 +Vorganization +p5832 +tp5833 +a(g827 +g998 +tp5834 +a(g441 +Voutput +p5835 +tp5836 +a(g827 +V\u000a +p5837 +tp5838 +a(g441 +Vaddress +p5839 +tp5840 +a(g827 +g998 +tp5841 +a(g441 +Voutput +p5842 +tp5843 +a(g827 +V\u000a +p5844 +tp5845 +a(g706 +g1088 +tp5846 +a(g827 +V\u000a +p5847 +tp5848 +a(g682 +Vif$ +p5849 +tp5850 +a(g827 +V\u000a +p5851 +tp5852 +a(g441 +Vformat.edition +p5853 +tp5854 +a(g827 +g998 +tp5855 +a(g441 +Voutput +p5856 +tp5857 +a(g827 +V\u000a +p5858 +tp5859 +a(g441 +Vformat.date +p5860 +tp5861 +a(g827 +g998 +tp5862 +a(g441 +Voutput +p5863 +tp5864 +a(g827 +V\u000a +p5865 +tp5866 +a(g441 +Vnew.block +p5867 +tp5868 +a(g827 +V\u000a +p5869 +tp5870 +a(g441 +Vnote +p5871 +tp5872 +a(g827 +g998 +tp5873 +a(g441 +Voutput +p5874 +tp5875 +a(g827 +V\u000a +p5876 +tp5877 +a(g441 +Vfin.entry +p5878 +tp5879 +a(g827 +V\u000a +p5880 +tp5881 +a(g706 +g1088 +tp5882 +a(g827 +V\u000a\u000a +p5883 +tp5884 +a(g745 +VFUNCTION +p5885 +tp5886 +a(g827 +g998 +tp5887 +a(g706 +g996 +tp5888 +a(g441 +Vmastersthesis +p5889 +tp5890 +a(g706 +g1088 +tp5891 +a(g827 +V\u000a +p5892 +tp5893 +a(g706 +g996 +tp5894 +a(g827 +g998 +tp5895 +a(g441 +Voutput.bibitem +p5896 +tp5897 +a(g827 +V\u000a +p5898 +tp5899 +a(g441 +Vformat.authors +p5900 +tp5901 +a(g827 +g998 +tp5902 +a(g89 +V"author" +p5903 +tp5904 +a(g827 +g998 +tp5905 +a(g441 +Voutput.check +p5906 +tp5907 +a(g827 +V\u000a +p5908 +tp5909 +a(g441 +Vnew.block +p5910 +tp5911 +a(g827 +V\u000a +p5912 +tp5913 +a(g441 +Vformat.title +p5914 +tp5915 +a(g827 +g998 +tp5916 +a(g89 +V"title" +p5917 +tp5918 +a(g827 +g998 +tp5919 +a(g441 +Voutput.check +p5920 +tp5921 +a(g827 +V\u000a +p5922 +tp5923 +a(g441 +Vnew.block +p5924 +tp5925 +a(g827 +V\u000a +p5926 +tp5927 +a(g89 +V"Master's thesis" +p5928 +tp5929 +a(g827 +g998 +tp5930 +a(g441 +Vformat.thesis.type +p5931 +tp5932 +a(g827 +g998 +tp5933 +a(g441 +Voutput.nonnull +p5934 +tp5935 +a(g827 +V\u000a +p5936 +tp5937 +a(g441 +Vschool +p5938 +tp5939 +a(g827 +g998 +tp5940 +a(g89 +V"school" +p5941 +tp5942 +a(g827 +g998 +tp5943 +a(g441 +Voutput.check +p5944 +tp5945 +a(g827 +V\u000a +p5946 +tp5947 +a(g441 +Vaddress +p5948 +tp5949 +a(g827 +g998 +tp5950 +a(g441 +Voutput +p5951 +tp5952 +a(g827 +V\u000a +p5953 +tp5954 +a(g441 +Vformat.date +p5955 +tp5956 +a(g827 +g998 +tp5957 +a(g89 +V"year" +p5958 +tp5959 +a(g827 +g998 +tp5960 +a(g441 +Voutput.check +p5961 +tp5962 +a(g827 +V\u000a +p5963 +tp5964 +a(g441 +Vnew.block +p5965 +tp5966 +a(g827 +V\u000a +p5967 +tp5968 +a(g441 +Vnote +p5969 +tp5970 +a(g827 +g998 +tp5971 +a(g441 +Voutput +p5972 +tp5973 +a(g827 +V\u000a +p5974 +tp5975 +a(g441 +Vfin.entry +p5976 +tp5977 +a(g827 +V\u000a +p5978 +tp5979 +a(g706 +g1088 +tp5980 +a(g827 +V\u000a\u000a +p5981 +tp5982 +a(g745 +VFUNCTION +p5983 +tp5984 +a(g827 +g998 +tp5985 +a(g706 +g996 +tp5986 +a(g441 +Vmisc +p5987 +tp5988 +a(g706 +g1088 +tp5989 +a(g827 +V\u000a +p5990 +tp5991 +a(g706 +g996 +tp5992 +a(g827 +g998 +tp5993 +a(g441 +Voutput.bibitem +p5994 +tp5995 +a(g827 +V\u000a +p5996 +tp5997 +a(g441 +Vformat.authors +p5998 +tp5999 +a(g827 +g998 +tp6000 +a(g441 +Voutput +p6001 +tp6002 +a(g827 +V\u000a +p6003 +tp6004 +a(g441 +Vtitle +p6005 +tp6006 +a(g827 +g998 +tp6007 +a(g441 +Vhowpublished +p6008 +tp6009 +a(g827 +g998 +tp6010 +a(g441 +Vnew.block.checkb +p6011 +tp6012 +a(g827 +V\u000a +p6013 +tp6014 +a(g441 +Vformat.title +p6015 +tp6016 +a(g827 +g998 +tp6017 +a(g441 +Voutput +p6018 +tp6019 +a(g827 +V\u000a +p6020 +tp6021 +a(g441 +Vhowpublished +p6022 +tp6023 +a(g827 +g998 +tp6024 +a(g441 +Vnew.block.checka +p6025 +tp6026 +a(g827 +V\u000a +p6027 +tp6028 +a(g441 +Vhowpublished +p6029 +tp6030 +a(g827 +g998 +tp6031 +a(g441 +Voutput +p6032 +tp6033 +a(g827 +V\u000a +p6034 +tp6035 +a(g441 +Vformat.date +p6036 +tp6037 +a(g827 +g998 +tp6038 +a(g441 +Voutput +p6039 +tp6040 +a(g827 +V\u000a +p6041 +tp6042 +a(g441 +Vnew.block +p6043 +tp6044 +a(g827 +V\u000a +p6045 +tp6046 +a(g441 +Vnote +p6047 +tp6048 +a(g827 +g998 +tp6049 +a(g441 +Voutput +p6050 +tp6051 +a(g827 +V\u000a +p6052 +tp6053 +a(g441 +Vfin.entry +p6054 +tp6055 +a(g827 +V\u000a +p6056 +tp6057 +a(g441 +Vempty.misc.check +p6058 +tp6059 +a(g827 +V\u000a +p6060 +tp6061 +a(g706 +g1088 +tp6062 +a(g827 +V\u000a\u000a +p6063 +tp6064 +a(g745 +VFUNCTION +p6065 +tp6066 +a(g827 +g998 +tp6067 +a(g706 +g996 +tp6068 +a(g441 +Vphdthesis +p6069 +tp6070 +a(g706 +g1088 +tp6071 +a(g827 +V\u000a +p6072 +tp6073 +a(g706 +g996 +tp6074 +a(g827 +g998 +tp6075 +a(g441 +Voutput.bibitem +p6076 +tp6077 +a(g827 +V\u000a +p6078 +tp6079 +a(g441 +Vformat.authors +p6080 +tp6081 +a(g827 +g998 +tp6082 +a(g89 +V"author" +p6083 +tp6084 +a(g827 +g998 +tp6085 +a(g441 +Voutput.check +p6086 +tp6087 +a(g827 +V\u000a +p6088 +tp6089 +a(g441 +Vnew.block +p6090 +tp6091 +a(g827 +V\u000a +p6092 +tp6093 +a(g441 +Vformat.btitle +p6094 +tp6095 +a(g827 +g998 +tp6096 +a(g89 +V"title" +p6097 +tp6098 +a(g827 +g998 +tp6099 +a(g441 +Voutput.check +p6100 +tp6101 +a(g827 +V\u000a +p6102 +tp6103 +a(g441 +Vnew.block +p6104 +tp6105 +a(g827 +V\u000a +p6106 +tp6107 +a(g89 +V"PhD thesis" +p6108 +tp6109 +a(g827 +g998 +tp6110 +a(g441 +Vformat.thesis.type +p6111 +tp6112 +a(g827 +g998 +tp6113 +a(g441 +Voutput.nonnull +p6114 +tp6115 +a(g827 +V\u000a +p6116 +tp6117 +a(g441 +Vschool +p6118 +tp6119 +a(g827 +g998 +tp6120 +a(g89 +V"school" +p6121 +tp6122 +a(g827 +g998 +tp6123 +a(g441 +Voutput.check +p6124 +tp6125 +a(g827 +V\u000a +p6126 +tp6127 +a(g441 +Vaddress +p6128 +tp6129 +a(g827 +g998 +tp6130 +a(g441 +Voutput +p6131 +tp6132 +a(g827 +V\u000a +p6133 +tp6134 +a(g441 +Vformat.date +p6135 +tp6136 +a(g827 +g998 +tp6137 +a(g89 +V"year" +p6138 +tp6139 +a(g827 +g998 +tp6140 +a(g441 +Voutput.check +p6141 +tp6142 +a(g827 +V\u000a +p6143 +tp6144 +a(g441 +Vnew.block +p6145 +tp6146 +a(g827 +V\u000a +p6147 +tp6148 +a(g441 +Vnote +p6149 +tp6150 +a(g827 +g998 +tp6151 +a(g441 +Voutput +p6152 +tp6153 +a(g827 +V\u000a +p6154 +tp6155 +a(g441 +Vfin.entry +p6156 +tp6157 +a(g827 +V\u000a +p6158 +tp6159 +a(g706 +g1088 +tp6160 +a(g827 +V\u000a\u000a +p6161 +tp6162 +a(g745 +VFUNCTION +p6163 +tp6164 +a(g827 +g998 +tp6165 +a(g706 +g996 +tp6166 +a(g441 +Vproceedings +p6167 +tp6168 +a(g706 +g1088 +tp6169 +a(g827 +V\u000a +p6170 +tp6171 +a(g706 +g996 +tp6172 +a(g827 +g998 +tp6173 +a(g441 +Voutput.bibitem +p6174 +tp6175 +a(g827 +V\u000a +p6176 +tp6177 +a(g441 +Veditor +p6178 +tp6179 +a(g827 +g998 +tp6180 +a(g682 +Vempty$ +p6181 +tp6182 +a(g827 +V\u000a +p6183 +tp6184 +a(g706 +g996 +tp6185 +a(g827 +g998 +tp6186 +a(g441 +Vorganization +p6187 +tp6188 +a(g827 +g998 +tp6189 +a(g441 +Voutput +p6190 +tp6191 +a(g827 +g998 +tp6192 +a(g706 +g1088 +tp6193 +a(g827 +V\u000a +p6194 +tp6195 +a(g706 +g996 +tp6196 +a(g827 +g998 +tp6197 +a(g441 +Vformat.editors +p6198 +tp6199 +a(g827 +g998 +tp6200 +a(g441 +Voutput.nonnull +p6201 +tp6202 +a(g827 +g998 +tp6203 +a(g706 +g1088 +tp6204 +a(g827 +V\u000a +p6205 +tp6206 +a(g682 +Vif$ +p6207 +tp6208 +a(g827 +V\u000a +p6209 +tp6210 +a(g441 +Vnew.block +p6211 +tp6212 +a(g827 +V\u000a +p6213 +tp6214 +a(g441 +Vformat.btitle +p6215 +tp6216 +a(g827 +g998 +tp6217 +a(g89 +V"title" +p6218 +tp6219 +a(g827 +g998 +tp6220 +a(g441 +Voutput.check +p6221 +tp6222 +a(g827 +V\u000a +p6223 +tp6224 +a(g441 +Vformat.bvolume +p6225 +tp6226 +a(g827 +g998 +tp6227 +a(g441 +Voutput +p6228 +tp6229 +a(g827 +V\u000a +p6230 +tp6231 +a(g441 +Vformat.number.series +p6232 +tp6233 +a(g827 +g998 +tp6234 +a(g441 +Voutput +p6235 +tp6236 +a(g827 +V\u000a +p6237 +tp6238 +a(g441 +Vaddress +p6239 +tp6240 +a(g827 +g998 +tp6241 +a(g682 +Vempty$ +p6242 +tp6243 +a(g827 +V\u000a +p6244 +tp6245 +a(g706 +g996 +tp6246 +a(g827 +g998 +tp6247 +a(g441 +Veditor +p6248 +tp6249 +a(g827 +g998 +tp6250 +a(g682 +Vempty$ +p6251 +tp6252 +a(g827 +V\u000a +p6253 +tp6254 +a(g706 +g996 +tp6255 +a(g827 +g998 +tp6256 +a(g441 +Vpublisher +p6257 +tp6258 +a(g827 +g998 +tp6259 +a(g441 +Vnew.sentence.checka +p6260 +tp6261 +a(g827 +g998 +tp6262 +a(g706 +g1088 +tp6263 +a(g827 +V\u000a +p6264 +tp6265 +a(g706 +g996 +tp6266 +a(g827 +g998 +tp6267 +a(g441 +Vorganization +p6268 +tp6269 +a(g827 +g998 +tp6270 +a(g441 +Vpublisher +p6271 +tp6272 +a(g827 +g998 +tp6273 +a(g441 +Vnew.sentence.checkb +p6274 +tp6275 +a(g827 +V\u000a +p6276 +tp6277 +a(g441 +Vorganization +p6278 +tp6279 +a(g827 +g998 +tp6280 +a(g441 +Voutput +p6281 +tp6282 +a(g827 +V\u000a +p6283 +tp6284 +a(g706 +g1088 +tp6285 +a(g827 +V\u000a +p6286 +tp6287 +a(g682 +Vif$ +p6288 +tp6289 +a(g827 +V\u000a +p6290 +tp6291 +a(g441 +Vpublisher +p6292 +tp6293 +a(g827 +g998 +tp6294 +a(g441 +Voutput +p6295 +tp6296 +a(g827 +V\u000a +p6297 +tp6298 +a(g441 +Vformat.date +p6299 +tp6300 +a(g827 +g998 +tp6301 +a(g89 +V"year" +p6302 +tp6303 +a(g827 +g998 +tp6304 +a(g441 +Voutput.check +p6305 +tp6306 +a(g827 +V\u000a +p6307 +tp6308 +a(g706 +g1088 +tp6309 +a(g827 +V\u000a +p6310 +tp6311 +a(g706 +g996 +tp6312 +a(g827 +g998 +tp6313 +a(g441 +Vaddress +p6314 +tp6315 +a(g827 +g998 +tp6316 +a(g441 +Voutput.nonnull +p6317 +tp6318 +a(g827 +V\u000a +p6319 +tp6320 +a(g441 +Vformat.date +p6321 +tp6322 +a(g827 +g998 +tp6323 +a(g89 +V"year" +p6324 +tp6325 +a(g827 +g998 +tp6326 +a(g441 +Voutput.check +p6327 +tp6328 +a(g827 +V\u000a +p6329 +tp6330 +a(g441 +Vnew.sentence +p6331 +tp6332 +a(g827 +V\u000a +p6333 +tp6334 +a(g441 +Veditor +p6335 +tp6336 +a(g827 +g998 +tp6337 +a(g682 +Vempty$ +p6338 +tp6339 +a(g827 +V\u000a +p6340 +tp6341 +a(g574 +V'skip$ +p6342 +tp6343 +a(g827 +V\u000a +p6344 +tp6345 +a(g706 +g996 +tp6346 +a(g827 +g998 +tp6347 +a(g441 +Vorganization +p6348 +tp6349 +a(g827 +g998 +tp6350 +a(g441 +Voutput +p6351 +tp6352 +a(g827 +g998 +tp6353 +a(g706 +g1088 +tp6354 +a(g827 +V\u000a +p6355 +tp6356 +a(g682 +Vif$ +p6357 +tp6358 +a(g827 +V\u000a +p6359 +tp6360 +a(g441 +Vpublisher +p6361 +tp6362 +a(g827 +g998 +tp6363 +a(g441 +Voutput +p6364 +tp6365 +a(g827 +V\u000a +p6366 +tp6367 +a(g706 +g1088 +tp6368 +a(g827 +V\u000a +p6369 +tp6370 +a(g682 +Vif$ +p6371 +tp6372 +a(g827 +V\u000a +p6373 +tp6374 +a(g441 +Vnew.block +p6375 +tp6376 +a(g827 +V\u000a +p6377 +tp6378 +a(g441 +Vnote +p6379 +tp6380 +a(g827 +g998 +tp6381 +a(g441 +Voutput +p6382 +tp6383 +a(g827 +V\u000a +p6384 +tp6385 +a(g441 +Vfin.entry +p6386 +tp6387 +a(g827 +V\u000a +p6388 +tp6389 +a(g706 +g1088 +tp6390 +a(g827 +V\u000a\u000a +p6391 +tp6392 +a(g745 +VFUNCTION +p6393 +tp6394 +a(g827 +g998 +tp6395 +a(g706 +g996 +tp6396 +a(g441 +Vtechreport +p6397 +tp6398 +a(g706 +g1088 +tp6399 +a(g827 +V\u000a +p6400 +tp6401 +a(g706 +g996 +tp6402 +a(g827 +g998 +tp6403 +a(g441 +Voutput.bibitem +p6404 +tp6405 +a(g827 +V\u000a +p6406 +tp6407 +a(g441 +Vformat.authors +p6408 +tp6409 +a(g827 +g998 +tp6410 +a(g89 +V"author" +p6411 +tp6412 +a(g827 +g998 +tp6413 +a(g441 +Voutput.check +p6414 +tp6415 +a(g827 +V\u000a +p6416 +tp6417 +a(g441 +Vnew.block +p6418 +tp6419 +a(g827 +V\u000a +p6420 +tp6421 +a(g441 +Vformat.title +p6422 +tp6423 +a(g827 +g998 +tp6424 +a(g89 +V"title" +p6425 +tp6426 +a(g827 +g998 +tp6427 +a(g441 +Voutput.check +p6428 +tp6429 +a(g827 +V\u000a +p6430 +tp6431 +a(g441 +Vnew.block +p6432 +tp6433 +a(g827 +V\u000a +p6434 +tp6435 +a(g441 +Vformat.tr.number +p6436 +tp6437 +a(g827 +g998 +tp6438 +a(g441 +Voutput.nonnull +p6439 +tp6440 +a(g827 +V\u000a +p6441 +tp6442 +a(g441 +Vinstitution +p6443 +tp6444 +a(g827 +g998 +tp6445 +a(g89 +V"institution" +p6446 +tp6447 +a(g827 +g998 +tp6448 +a(g441 +Voutput.check +p6449 +tp6450 +a(g827 +V\u000a +p6451 +tp6452 +a(g441 +Vaddress +p6453 +tp6454 +a(g827 +g998 +tp6455 +a(g441 +Voutput +p6456 +tp6457 +a(g827 +V\u000a +p6458 +tp6459 +a(g441 +Vformat.date +p6460 +tp6461 +a(g827 +g998 +tp6462 +a(g89 +V"year" +p6463 +tp6464 +a(g827 +g998 +tp6465 +a(g441 +Voutput.check +p6466 +tp6467 +a(g827 +V\u000a +p6468 +tp6469 +a(g441 +Vnew.block +p6470 +tp6471 +a(g827 +V\u000a +p6472 +tp6473 +a(g441 +Vnote +p6474 +tp6475 +a(g827 +g998 +tp6476 +a(g441 +Voutput +p6477 +tp6478 +a(g827 +V\u000a +p6479 +tp6480 +a(g441 +Vfin.entry +p6481 +tp6482 +a(g827 +V\u000a +p6483 +tp6484 +a(g706 +g1088 +tp6485 +a(g827 +V\u000a\u000a +p6486 +tp6487 +a(g745 +VFUNCTION +p6488 +tp6489 +a(g827 +g998 +tp6490 +a(g706 +g996 +tp6491 +a(g441 +Vunpublished +p6492 +tp6493 +a(g706 +g1088 +tp6494 +a(g827 +V\u000a +p6495 +tp6496 +a(g706 +g996 +tp6497 +a(g827 +g998 +tp6498 +a(g441 +Voutput.bibitem +p6499 +tp6500 +a(g827 +V\u000a +p6501 +tp6502 +a(g441 +Vformat.authors +p6503 +tp6504 +a(g827 +g998 +tp6505 +a(g89 +V"author" +p6506 +tp6507 +a(g827 +g998 +tp6508 +a(g441 +Voutput.check +p6509 +tp6510 +a(g827 +V\u000a +p6511 +tp6512 +a(g441 +Vnew.block +p6513 +tp6514 +a(g827 +V\u000a +p6515 +tp6516 +a(g441 +Vformat.title +p6517 +tp6518 +a(g827 +g998 +tp6519 +a(g89 +V"title" +p6520 +tp6521 +a(g827 +g998 +tp6522 +a(g441 +Voutput.check +p6523 +tp6524 +a(g827 +V\u000a +p6525 +tp6526 +a(g441 +Vnew.block +p6527 +tp6528 +a(g827 +V\u000a +p6529 +tp6530 +a(g441 +Vnote +p6531 +tp6532 +a(g827 +g998 +tp6533 +a(g89 +V"note" +p6534 +tp6535 +a(g827 +g998 +tp6536 +a(g441 +Voutput.check +p6537 +tp6538 +a(g827 +V\u000a +p6539 +tp6540 +a(g441 +Vformat.date +p6541 +tp6542 +a(g827 +g998 +tp6543 +a(g441 +Voutput +p6544 +tp6545 +a(g827 +V\u000a +p6546 +tp6547 +a(g441 +Vfin.entry +p6548 +tp6549 +a(g827 +V\u000a +p6550 +tp6551 +a(g706 +g1088 +tp6552 +a(g827 +V\u000a\u000a +p6553 +tp6554 +a(g745 +VFUNCTION +p6555 +tp6556 +a(g827 +g998 +tp6557 +a(g706 +g996 +tp6558 +a(g441 +Vdefault.type +p6559 +tp6560 +a(g706 +g1088 +tp6561 +a(g827 +g998 +tp6562 +a(g706 +g996 +tp6563 +a(g827 +g998 +tp6564 +a(g441 +Vmisc +p6565 +tp6566 +a(g827 +g998 +tp6567 +a(g706 +g1088 +tp6568 +a(g827 +V\u000a\u000a +p6569 +tp6570 +a(g745 +VMACRO +p6571 +tp6572 +a(g827 +g998 +tp6573 +a(g706 +g996 +tp6574 +a(g441 +Vjan +p6575 +tp6576 +a(g706 +g1088 +tp6577 +a(g827 +g998 +tp6578 +a(g706 +g996 +tp6579 +a(g89 +V"January" +p6580 +tp6581 +a(g706 +g1088 +tp6582 +a(g827 +V\u000a\u000a +p6583 +tp6584 +a(g745 +VMACRO +p6585 +tp6586 +a(g827 +g998 +tp6587 +a(g706 +g996 +tp6588 +a(g441 +Vfeb +p6589 +tp6590 +a(g706 +g1088 +tp6591 +a(g827 +g998 +tp6592 +a(g706 +g996 +tp6593 +a(g89 +V"February" +p6594 +tp6595 +a(g706 +g1088 +tp6596 +a(g827 +V\u000a\u000a +p6597 +tp6598 +a(g745 +VMACRO +p6599 +tp6600 +a(g827 +g998 +tp6601 +a(g706 +g996 +tp6602 +a(g441 +Vmar +p6603 +tp6604 +a(g706 +g1088 +tp6605 +a(g827 +g998 +tp6606 +a(g706 +g996 +tp6607 +a(g89 +V"March" +p6608 +tp6609 +a(g706 +g1088 +tp6610 +a(g827 +V\u000a\u000a +p6611 +tp6612 +a(g745 +VMACRO +p6613 +tp6614 +a(g827 +g998 +tp6615 +a(g706 +g996 +tp6616 +a(g441 +Vapr +p6617 +tp6618 +a(g706 +g1088 +tp6619 +a(g827 +g998 +tp6620 +a(g706 +g996 +tp6621 +a(g89 +V"April" +p6622 +tp6623 +a(g706 +g1088 +tp6624 +a(g827 +V\u000a\u000a +p6625 +tp6626 +a(g745 +VMACRO +p6627 +tp6628 +a(g827 +g998 +tp6629 +a(g706 +g996 +tp6630 +a(g441 +Vmay +p6631 +tp6632 +a(g706 +g1088 +tp6633 +a(g827 +g998 +tp6634 +a(g706 +g996 +tp6635 +a(g89 +V"May" +p6636 +tp6637 +a(g706 +g1088 +tp6638 +a(g827 +V\u000a\u000a +p6639 +tp6640 +a(g745 +VMACRO +p6641 +tp6642 +a(g827 +g998 +tp6643 +a(g706 +g996 +tp6644 +a(g441 +Vjun +p6645 +tp6646 +a(g706 +g1088 +tp6647 +a(g827 +g998 +tp6648 +a(g706 +g996 +tp6649 +a(g89 +V"June" +p6650 +tp6651 +a(g706 +g1088 +tp6652 +a(g827 +V\u000a\u000a +p6653 +tp6654 +a(g745 +VMACRO +p6655 +tp6656 +a(g827 +g998 +tp6657 +a(g706 +g996 +tp6658 +a(g441 +Vjul +p6659 +tp6660 +a(g706 +g1088 +tp6661 +a(g827 +g998 +tp6662 +a(g706 +g996 +tp6663 +a(g89 +V"July" +p6664 +tp6665 +a(g706 +g1088 +tp6666 +a(g827 +V\u000a\u000a +p6667 +tp6668 +a(g745 +VMACRO +p6669 +tp6670 +a(g827 +g998 +tp6671 +a(g706 +g996 +tp6672 +a(g441 +Vaug +p6673 +tp6674 +a(g706 +g1088 +tp6675 +a(g827 +g998 +tp6676 +a(g706 +g996 +tp6677 +a(g89 +V"August" +p6678 +tp6679 +a(g706 +g1088 +tp6680 +a(g827 +V\u000a\u000a +p6681 +tp6682 +a(g745 +VMACRO +p6683 +tp6684 +a(g827 +g998 +tp6685 +a(g706 +g996 +tp6686 +a(g441 +Vsep +p6687 +tp6688 +a(g706 +g1088 +tp6689 +a(g827 +g998 +tp6690 +a(g706 +g996 +tp6691 +a(g89 +V"September" +p6692 +tp6693 +a(g706 +g1088 +tp6694 +a(g827 +V\u000a\u000a +p6695 +tp6696 +a(g745 +VMACRO +p6697 +tp6698 +a(g827 +g998 +tp6699 +a(g706 +g996 +tp6700 +a(g441 +Voct +p6701 +tp6702 +a(g706 +g1088 +tp6703 +a(g827 +g998 +tp6704 +a(g706 +g996 +tp6705 +a(g89 +V"October" +p6706 +tp6707 +a(g706 +g1088 +tp6708 +a(g827 +V\u000a\u000a +p6709 +tp6710 +a(g745 +VMACRO +p6711 +tp6712 +a(g827 +g998 +tp6713 +a(g706 +g996 +tp6714 +a(g441 +Vnov +p6715 +tp6716 +a(g706 +g1088 +tp6717 +a(g827 +g998 +tp6718 +a(g706 +g996 +tp6719 +a(g89 +V"November" +p6720 +tp6721 +a(g706 +g1088 +tp6722 +a(g827 +V\u000a\u000a +p6723 +tp6724 +a(g745 +VMACRO +p6725 +tp6726 +a(g827 +g998 +tp6727 +a(g706 +g996 +tp6728 +a(g441 +Vdec +p6729 +tp6730 +a(g706 +g1088 +tp6731 +a(g827 +g998 +tp6732 +a(g706 +g996 +tp6733 +a(g89 +V"December" +p6734 +tp6735 +a(g706 +g1088 +tp6736 +a(g827 +V\u000a\u000a +p6737 +tp6738 +a(g745 +VMACRO +p6739 +tp6740 +a(g827 +g998 +tp6741 +a(g706 +g996 +tp6742 +a(g441 +Vacmcs +p6743 +tp6744 +a(g706 +g1088 +tp6745 +a(g827 +g998 +tp6746 +a(g706 +g996 +tp6747 +a(g89 +V"ACM Computing Surveys" +p6748 +tp6749 +a(g706 +g1088 +tp6750 +a(g827 +V\u000a\u000a +p6751 +tp6752 +a(g745 +VMACRO +p6753 +tp6754 +a(g827 +g998 +tp6755 +a(g706 +g996 +tp6756 +a(g441 +Vacta +p6757 +tp6758 +a(g706 +g1088 +tp6759 +a(g827 +g998 +tp6760 +a(g706 +g996 +tp6761 +a(g89 +V"Acta Informatica" +p6762 +tp6763 +a(g706 +g1088 +tp6764 +a(g827 +V\u000a\u000a +p6765 +tp6766 +a(g745 +VMACRO +p6767 +tp6768 +a(g827 +g998 +tp6769 +a(g706 +g996 +tp6770 +a(g441 +Vcacm +p6771 +tp6772 +a(g706 +g1088 +tp6773 +a(g827 +g998 +tp6774 +a(g706 +g996 +tp6775 +a(g89 +V"Communications of the ACM" +p6776 +tp6777 +a(g706 +g1088 +tp6778 +a(g827 +V\u000a\u000a +p6779 +tp6780 +a(g745 +VMACRO +p6781 +tp6782 +a(g827 +g998 +tp6783 +a(g706 +g996 +tp6784 +a(g441 +Vibmjrd +p6785 +tp6786 +a(g706 +g1088 +tp6787 +a(g827 +g998 +tp6788 +a(g706 +g996 +tp6789 +a(g89 +V"IBM Journal of Research and Development" +p6790 +tp6791 +a(g706 +g1088 +tp6792 +a(g827 +V\u000a\u000a +p6793 +tp6794 +a(g745 +VMACRO +p6795 +tp6796 +a(g827 +g998 +tp6797 +a(g706 +g996 +tp6798 +a(g441 +Vibmsj +p6799 +tp6800 +a(g706 +g1088 +tp6801 +a(g827 +g998 +tp6802 +a(g706 +g996 +tp6803 +a(g89 +V"IBM Systems Journal" +p6804 +tp6805 +a(g706 +g1088 +tp6806 +a(g827 +V\u000a\u000a +p6807 +tp6808 +a(g745 +VMACRO +p6809 +tp6810 +a(g827 +g998 +tp6811 +a(g706 +g996 +tp6812 +a(g441 +Vieeese +p6813 +tp6814 +a(g706 +g1088 +tp6815 +a(g827 +g998 +tp6816 +a(g706 +g996 +tp6817 +a(g89 +V"IEEE Transactions on Software Engineering" +p6818 +tp6819 +a(g706 +g1088 +tp6820 +a(g827 +V\u000a\u000a +p6821 +tp6822 +a(g745 +VMACRO +p6823 +tp6824 +a(g827 +g998 +tp6825 +a(g706 +g996 +tp6826 +a(g441 +Vieeetc +p6827 +tp6828 +a(g706 +g1088 +tp6829 +a(g827 +g998 +tp6830 +a(g706 +g996 +tp6831 +a(g89 +V"IEEE Transactions on Computers" +p6832 +tp6833 +a(g706 +g1088 +tp6834 +a(g827 +V\u000a\u000a +p6835 +tp6836 +a(g745 +VMACRO +p6837 +tp6838 +a(g827 +g998 +tp6839 +a(g706 +g996 +tp6840 +a(g441 +Vieeetcad +p6841 +tp6842 +a(g706 +g1088 +tp6843 +a(g827 +V\u000a +p6844 +tp6845 +a(g706 +g996 +tp6846 +a(g89 +V"IEEE Transactions on Computer-Aided Design of Integrated Circuits" +p6847 +tp6848 +a(g706 +g1088 +tp6849 +a(g827 +V\u000a\u000a +p6850 +tp6851 +a(g745 +VMACRO +p6852 +tp6853 +a(g827 +g998 +tp6854 +a(g706 +g996 +tp6855 +a(g441 +Vipl +p6856 +tp6857 +a(g706 +g1088 +tp6858 +a(g827 +g998 +tp6859 +a(g706 +g996 +tp6860 +a(g89 +V"Information Processing Letters" +p6861 +tp6862 +a(g706 +g1088 +tp6863 +a(g827 +V\u000a\u000a +p6864 +tp6865 +a(g745 +VMACRO +p6866 +tp6867 +a(g827 +g998 +tp6868 +a(g706 +g996 +tp6869 +a(g441 +Vjacm +p6870 +tp6871 +a(g706 +g1088 +tp6872 +a(g827 +g998 +tp6873 +a(g706 +g996 +tp6874 +a(g89 +V"Journal of the ACM" +p6875 +tp6876 +a(g706 +g1088 +tp6877 +a(g827 +V\u000a\u000a +p6878 +tp6879 +a(g745 +VMACRO +p6880 +tp6881 +a(g827 +g998 +tp6882 +a(g706 +g996 +tp6883 +a(g441 +Vjcss +p6884 +tp6885 +a(g706 +g1088 +tp6886 +a(g827 +g998 +tp6887 +a(g706 +g996 +tp6888 +a(g89 +V"Journal of Computer and System Sciences" +p6889 +tp6890 +a(g706 +g1088 +tp6891 +a(g827 +V\u000a\u000a +p6892 +tp6893 +a(g745 +VMACRO +p6894 +tp6895 +a(g827 +g998 +tp6896 +a(g706 +g996 +tp6897 +a(g441 +Vscp +p6898 +tp6899 +a(g706 +g1088 +tp6900 +a(g827 +g998 +tp6901 +a(g706 +g996 +tp6902 +a(g89 +V"Science of Computer Programming" +p6903 +tp6904 +a(g706 +g1088 +tp6905 +a(g827 +V\u000a\u000a +p6906 +tp6907 +a(g745 +VMACRO +p6908 +tp6909 +a(g827 +g998 +tp6910 +a(g706 +g996 +tp6911 +a(g441 +Vsicomp +p6912 +tp6913 +a(g706 +g1088 +tp6914 +a(g827 +g998 +tp6915 +a(g706 +g996 +tp6916 +a(g89 +V"SIAM Journal on Computing" +p6917 +tp6918 +a(g706 +g1088 +tp6919 +a(g827 +V\u000a\u000a +p6920 +tp6921 +a(g745 +VMACRO +p6922 +tp6923 +a(g827 +g998 +tp6924 +a(g706 +g996 +tp6925 +a(g441 +Vtocs +p6926 +tp6927 +a(g706 +g1088 +tp6928 +a(g827 +g998 +tp6929 +a(g706 +g996 +tp6930 +a(g89 +V"ACM Transactions on Computer Systems" +p6931 +tp6932 +a(g706 +g1088 +tp6933 +a(g827 +V\u000a\u000a +p6934 +tp6935 +a(g745 +VMACRO +p6936 +tp6937 +a(g827 +g998 +tp6938 +a(g706 +g996 +tp6939 +a(g441 +Vtods +p6940 +tp6941 +a(g706 +g1088 +tp6942 +a(g827 +g998 +tp6943 +a(g706 +g996 +tp6944 +a(g89 +V"ACM Transactions on Database Systems" +p6945 +tp6946 +a(g706 +g1088 +tp6947 +a(g827 +V\u000a\u000a +p6948 +tp6949 +a(g745 +VMACRO +p6950 +tp6951 +a(g827 +g998 +tp6952 +a(g706 +g996 +tp6953 +a(g441 +Vtog +p6954 +tp6955 +a(g706 +g1088 +tp6956 +a(g827 +g998 +tp6957 +a(g706 +g996 +tp6958 +a(g89 +V"ACM Transactions on Graphics" +p6959 +tp6960 +a(g706 +g1088 +tp6961 +a(g827 +V\u000a\u000a +p6962 +tp6963 +a(g745 +VMACRO +p6964 +tp6965 +a(g827 +g998 +tp6966 +a(g706 +g996 +tp6967 +a(g441 +Vtoms +p6968 +tp6969 +a(g706 +g1088 +tp6970 +a(g827 +g998 +tp6971 +a(g706 +g996 +tp6972 +a(g89 +V"ACM Transactions on Mathematical Software" +p6973 +tp6974 +a(g706 +g1088 +tp6975 +a(g827 +V\u000a\u000a +p6976 +tp6977 +a(g745 +VMACRO +p6978 +tp6979 +a(g827 +g998 +tp6980 +a(g706 +g996 +tp6981 +a(g441 +Vtoois +p6982 +tp6983 +a(g706 +g1088 +tp6984 +a(g827 +g998 +tp6985 +a(g706 +g996 +tp6986 +a(g89 +V"ACM Transactions on Office Information Systems" +p6987 +tp6988 +a(g706 +g1088 +tp6989 +a(g827 +V\u000a\u000a +p6990 +tp6991 +a(g745 +VMACRO +p6992 +tp6993 +a(g827 +g998 +tp6994 +a(g706 +g996 +tp6995 +a(g441 +Vtoplas +p6996 +tp6997 +a(g706 +g1088 +tp6998 +a(g827 +g998 +tp6999 +a(g706 +g996 +tp7000 +a(g89 +V"ACM Transactions on Programming Languages and Systems" +p7001 +tp7002 +a(g706 +g1088 +tp7003 +a(g827 +V\u000a\u000a +p7004 +tp7005 +a(g745 +VMACRO +p7006 +tp7007 +a(g827 +g998 +tp7008 +a(g706 +g996 +tp7009 +a(g441 +Vtcs +p7010 +tp7011 +a(g706 +g1088 +tp7012 +a(g827 +g998 +tp7013 +a(g706 +g996 +tp7014 +a(g89 +V"Theoretical Computer Science" +p7015 +tp7016 +a(g706 +g1088 +tp7017 +a(g827 +V\u000a\u000a +p7018 +tp7019 +a(g745 +VREAD +p7020 +tp7021 +a(g827 +V\u000a\u000a +p7022 +tp7023 +a(g745 +VFUNCTION +p7024 +tp7025 +a(g827 +g998 +tp7026 +a(g706 +g996 +tp7027 +a(g441 +Vsortify +p7028 +tp7029 +a(g706 +g1088 +tp7030 +a(g827 +V\u000a +p7031 +tp7032 +a(g706 +g996 +tp7033 +a(g827 +g998 +tp7034 +a(g682 +Vpurify$ +p7035 +tp7036 +a(g827 +V\u000a +p7037 +tp7038 +a(g89 +V"l" +p7039 +tp7040 +a(g827 +g998 +tp7041 +a(g682 +Vchange.case$ +p7042 +tp7043 +a(g827 +V\u000a +p7044 +tp7045 +a(g706 +g1088 +tp7046 +a(g827 +V\u000a\u000a +p7047 +tp7048 +a(g745 +VINTEGERS +p7049 +tp7050 +a(g827 +g998 +tp7051 +a(g706 +g996 +tp7052 +a(g827 +g998 +tp7053 +a(g441 +Vlen +p7054 +tp7055 +a(g827 +g998 +tp7056 +a(g706 +g1088 +tp7057 +a(g827 +V\u000a\u000a +p7058 +tp7059 +a(g745 +VFUNCTION +p7060 +tp7061 +a(g827 +g998 +tp7062 +a(g706 +g996 +tp7063 +a(g441 +Vchop.word +p7064 +tp7065 +a(g706 +g1088 +tp7066 +a(g827 +V\u000a +p7067 +tp7068 +a(g706 +g996 +tp7069 +a(g827 +g998 +tp7070 +a(g574 +V's +p7071 +tp7072 +a(g827 +g998 +tp7073 +a(g441 +V:= +p7074 +tp7075 +a(g827 +V\u000a +p7076 +tp7077 +a(g574 +V'len +p7078 +tp7079 +a(g827 +g998 +tp7080 +a(g441 +V:= +p7081 +tp7082 +a(g827 +V\u000a +p7083 +tp7084 +a(g441 +g1186 +tp7085 +a(g827 +g998 +tp7086 +a(g32 +V#1 +p7087 +tp7088 +a(g827 +g998 +tp7089 +a(g441 +Vlen +p7090 +tp7091 +a(g827 +g998 +tp7092 +a(g682 +Vsubstring$ +p7093 +tp7094 +a(g827 +g998 +tp7095 +a(g441 +g1219 +tp7096 +a(g827 +V\u000a +p7097 +tp7098 +a(g706 +g996 +tp7099 +a(g827 +g998 +tp7100 +a(g441 +g1186 +tp7101 +a(g827 +g998 +tp7102 +a(g441 +Vlen +p7103 +tp7104 +a(g827 +g998 +tp7105 +a(g32 +V#1 +p7106 +tp7107 +a(g827 +g998 +tp7108 +a(g441 +g2191 +tp7109 +a(g827 +g998 +tp7110 +a(g682 +Vglobal.max$ +p7111 +tp7112 +a(g827 +g998 +tp7113 +a(g682 +Vsubstring$ +p7114 +tp7115 +a(g827 +g998 +tp7116 +a(g706 +g1088 +tp7117 +a(g827 +V\u000a +p7118 +tp7119 +a(g574 +V's +p7120 +tp7121 +a(g827 +V\u000a +p7122 +tp7123 +a(g682 +Vif$ +p7124 +tp7125 +a(g827 +V\u000a +p7126 +tp7127 +a(g706 +g1088 +tp7128 +a(g827 +V\u000a\u000a +p7129 +tp7130 +a(g745 +VFUNCTION +p7131 +tp7132 +a(g827 +g998 +tp7133 +a(g706 +g996 +tp7134 +a(g441 +Vsort.format.names +p7135 +tp7136 +a(g706 +g1088 +tp7137 +a(g827 +V\u000a +p7138 +tp7139 +a(g706 +g996 +tp7140 +a(g827 +g998 +tp7141 +a(g574 +V's +p7142 +tp7143 +a(g827 +g998 +tp7144 +a(g441 +V:= +p7145 +tp7146 +a(g827 +V\u000a +p7147 +tp7148 +a(g32 +V#1 +p7149 +tp7150 +a(g827 +g998 +tp7151 +a(g574 +V'nameptr +p7152 +tp7153 +a(g827 +g998 +tp7154 +a(g441 +V:= +p7155 +tp7156 +a(g827 +V\u000a +p7157 +tp7158 +a(g89 +V"" +p7159 +tp7160 +a(g827 +V\u000a +p7161 +tp7162 +a(g441 +g1186 +tp7163 +a(g827 +g998 +tp7164 +a(g682 +Vnum.names$ +p7165 +tp7166 +a(g827 +g998 +tp7167 +a(g574 +V'numnames +p7168 +tp7169 +a(g827 +g998 +tp7170 +a(g441 +V:= +p7171 +tp7172 +a(g827 +V\u000a +p7173 +tp7174 +a(g441 +Vnumnames +p7175 +tp7176 +a(g827 +g998 +tp7177 +a(g574 +V'namesleft +p7178 +tp7179 +a(g827 +g998 +tp7180 +a(g441 +V:= +p7181 +tp7182 +a(g827 +V\u000a +p7183 +tp7184 +a(g706 +g996 +tp7185 +a(g827 +g998 +tp7186 +a(g441 +Vnamesleft +p7187 +tp7188 +a(g827 +g998 +tp7189 +a(g32 +V#0 +p7190 +tp7191 +a(g827 +g998 +tp7192 +a(g441 +g2042 +tp7193 +a(g827 +g998 +tp7194 +a(g706 +g1088 +tp7195 +a(g827 +V\u000a +p7196 +tp7197 +a(g706 +g996 +tp7198 +a(g827 +g998 +tp7199 +a(g441 +Vnameptr +p7200 +tp7201 +a(g827 +g998 +tp7202 +a(g32 +V#1 +p7203 +tp7204 +a(g827 +g998 +tp7205 +a(g441 +g2042 +tp7206 +a(g827 +V\u000a +p7207 +tp7208 +a(g706 +g996 +tp7209 +a(g827 +g998 +tp7210 +a(g89 +V" " +p7211 +tp7212 +a(g827 +g998 +tp7213 +a(g441 +g1228 +tp7214 +a(g827 +g998 +tp7215 +a(g706 +g1088 +tp7216 +a(g827 +V\u000a +p7217 +tp7218 +a(g574 +V'skip$ +p7219 +tp7220 +a(g827 +V\u000a +p7221 +tp7222 +a(g682 +Vif$ +p7223 +tp7224 +a(g827 +V\u000a +p7225 +tp7226 +a(g441 +g1186 +tp7227 +a(g827 +g998 +tp7228 +a(g441 +Vnameptr +p7229 +tp7230 +a(g827 +g998 +tp7231 +a(g89 +V"{vv{ } }{ll{ }}{ ff{ }}{ jj{ }}" +p7232 +tp7233 +a(g827 +g998 +tp7234 +a(g682 +Vformat.name$ +p7235 +tp7236 +a(g827 +g998 +tp7237 +a(g574 +V't +p7238 +tp7239 +a(g827 +g998 +tp7240 +a(g441 +V:= +p7241 +tp7242 +a(g827 +V\u000a +p7243 +tp7244 +a(g441 +Vnameptr +p7245 +tp7246 +a(g827 +g998 +tp7247 +a(g441 +Vnumnames +p7248 +tp7249 +a(g827 +g998 +tp7250 +a(g441 +g1219 +tp7251 +a(g827 +g998 +tp7252 +a(g441 +g1189 +tp7253 +a(g827 +g998 +tp7254 +a(g89 +V"others" +p7255 +tp7256 +a(g827 +g998 +tp7257 +a(g441 +g1219 +tp7258 +a(g827 +g998 +tp7259 +a(g441 +Vand +p7260 +tp7261 +a(g827 +V\u000a +p7262 +tp7263 +a(g706 +g996 +tp7264 +a(g827 +g998 +tp7265 +a(g89 +V"et al" +p7266 +tp7267 +a(g827 +g998 +tp7268 +a(g441 +g1228 +tp7269 +a(g827 +g998 +tp7270 +a(g706 +g1088 +tp7271 +a(g827 +V\u000a +p7272 +tp7273 +a(g706 +g996 +tp7274 +a(g827 +g998 +tp7275 +a(g441 +g1189 +tp7276 +a(g827 +g998 +tp7277 +a(g441 +Vsortify +p7278 +tp7279 +a(g827 +g998 +tp7280 +a(g441 +g1228 +tp7281 +a(g827 +g998 +tp7282 +a(g706 +g1088 +tp7283 +a(g827 +V\u000a +p7284 +tp7285 +a(g682 +Vif$ +p7286 +tp7287 +a(g827 +V\u000a +p7288 +tp7289 +a(g441 +Vnameptr +p7290 +tp7291 +a(g827 +g998 +tp7292 +a(g32 +V#1 +p7293 +tp7294 +a(g827 +g998 +tp7295 +a(g441 +g2191 +tp7296 +a(g827 +g998 +tp7297 +a(g574 +V'nameptr +p7298 +tp7299 +a(g827 +g998 +tp7300 +a(g441 +V:= +p7301 +tp7302 +a(g827 +V\u000a +p7303 +tp7304 +a(g441 +Vnamesleft +p7305 +tp7306 +a(g827 +g998 +tp7307 +a(g32 +V#1 +p7308 +tp7309 +a(g827 +g998 +tp7310 +a(g441 +g2207 +tp7311 +a(g827 +g998 +tp7312 +a(g574 +V'namesleft +p7313 +tp7314 +a(g827 +g998 +tp7315 +a(g441 +V:= +p7316 +tp7317 +a(g827 +V\u000a +p7318 +tp7319 +a(g706 +g1088 +tp7320 +a(g827 +V\u000a +p7321 +tp7322 +a(g682 +Vwhile$ +p7323 +tp7324 +a(g827 +V\u000a +p7325 +tp7326 +a(g706 +g1088 +tp7327 +a(g827 +V\u000a\u000a +p7328 +tp7329 +a(g745 +VFUNCTION +p7330 +tp7331 +a(g827 +g998 +tp7332 +a(g706 +g996 +tp7333 +a(g441 +Vsort.format.title +p7334 +tp7335 +a(g706 +g1088 +tp7336 +a(g827 +V\u000a +p7337 +tp7338 +a(g706 +g996 +tp7339 +a(g827 +g998 +tp7340 +a(g574 +V't +p7341 +tp7342 +a(g827 +g998 +tp7343 +a(g441 +V:= +p7344 +tp7345 +a(g827 +V\u000a +p7346 +tp7347 +a(g89 +V"A " +p7348 +tp7349 +a(g827 +g998 +tp7350 +a(g32 +V#2 +p7351 +tp7352 +a(g827 +V\u000a +p7353 +tp7354 +a(g89 +V"An " +p7355 +tp7356 +a(g827 +g998 +tp7357 +a(g32 +V#3 +p7358 +tp7359 +a(g827 +V\u000a +p7360 +tp7361 +a(g89 +V"The " +p7362 +tp7363 +a(g827 +g998 +tp7364 +a(g32 +V#4 +p7365 +tp7366 +a(g827 +g998 +tp7367 +a(g441 +g1189 +tp7368 +a(g827 +g998 +tp7369 +a(g441 +Vchop.word +p7370 +tp7371 +a(g827 +V\u000a +p7372 +tp7373 +a(g441 +Vchop.word +p7374 +tp7375 +a(g827 +V\u000a +p7376 +tp7377 +a(g441 +Vchop.word +p7378 +tp7379 +a(g827 +V\u000a +p7380 +tp7381 +a(g441 +Vsortify +p7382 +tp7383 +a(g827 +V\u000a +p7384 +tp7385 +a(g32 +V#1 +p7386 +tp7387 +a(g827 +g998 +tp7388 +a(g682 +Vglobal.max$ +p7389 +tp7390 +a(g827 +g998 +tp7391 +a(g682 +Vsubstring$ +p7392 +tp7393 +a(g827 +V\u000a +p7394 +tp7395 +a(g706 +g1088 +tp7396 +a(g827 +V\u000a\u000a +p7397 +tp7398 +a(g745 +VFUNCTION +p7399 +tp7400 +a(g827 +g998 +tp7401 +a(g706 +g996 +tp7402 +a(g441 +Vauthor.sort +p7403 +tp7404 +a(g706 +g1088 +tp7405 +a(g827 +V\u000a +p7406 +tp7407 +a(g706 +g996 +tp7408 +a(g827 +g998 +tp7409 +a(g441 +Vauthor +p7410 +tp7411 +a(g827 +g998 +tp7412 +a(g682 +Vempty$ +p7413 +tp7414 +a(g827 +V\u000a +p7415 +tp7416 +a(g706 +g996 +tp7417 +a(g827 +g998 +tp7418 +a(g441 +Vkey +p7419 +tp7420 +a(g827 +g998 +tp7421 +a(g682 +Vempty$ +p7422 +tp7423 +a(g827 +V\u000a +p7424 +tp7425 +a(g706 +g996 +tp7426 +a(g827 +g998 +tp7427 +a(g89 +V"to sort, need author or key in " +p7428 +tp7429 +a(g827 +g998 +tp7430 +a(g682 +Vcite$ +p7431 +tp7432 +a(g827 +g998 +tp7433 +a(g441 +g1228 +tp7434 +a(g827 +g998 +tp7435 +a(g682 +Vwarning$ +p7436 +tp7437 +a(g827 +V\u000a +p7438 +tp7439 +a(g89 +V"" +p7440 +tp7441 +a(g827 +V\u000a +p7442 +tp7443 +a(g706 +g1088 +tp7444 +a(g827 +V\u000a +p7445 +tp7446 +a(g706 +g996 +tp7447 +a(g827 +g998 +tp7448 +a(g441 +Vkey +p7449 +tp7450 +a(g827 +g998 +tp7451 +a(g441 +Vsortify +p7452 +tp7453 +a(g827 +g998 +tp7454 +a(g706 +g1088 +tp7455 +a(g827 +V\u000a +p7456 +tp7457 +a(g682 +Vif$ +p7458 +tp7459 +a(g827 +V\u000a +p7460 +tp7461 +a(g706 +g1088 +tp7462 +a(g827 +V\u000a +p7463 +tp7464 +a(g706 +g996 +tp7465 +a(g827 +g998 +tp7466 +a(g441 +Vauthor +p7467 +tp7468 +a(g827 +g998 +tp7469 +a(g441 +Vsort.format.names +p7470 +tp7471 +a(g827 +g998 +tp7472 +a(g706 +g1088 +tp7473 +a(g827 +V\u000a +p7474 +tp7475 +a(g682 +Vif$ +p7476 +tp7477 +a(g827 +V\u000a +p7478 +tp7479 +a(g706 +g1088 +tp7480 +a(g827 +V\u000a\u000a +p7481 +tp7482 +a(g745 +VFUNCTION +p7483 +tp7484 +a(g827 +g998 +tp7485 +a(g706 +g996 +tp7486 +a(g441 +Vauthor.editor.sort +p7487 +tp7488 +a(g706 +g1088 +tp7489 +a(g827 +V\u000a +p7490 +tp7491 +a(g706 +g996 +tp7492 +a(g827 +g998 +tp7493 +a(g441 +Vauthor +p7494 +tp7495 +a(g827 +g998 +tp7496 +a(g682 +Vempty$ +p7497 +tp7498 +a(g827 +V\u000a +p7499 +tp7500 +a(g706 +g996 +tp7501 +a(g827 +g998 +tp7502 +a(g441 +Veditor +p7503 +tp7504 +a(g827 +g998 +tp7505 +a(g682 +Vempty$ +p7506 +tp7507 +a(g827 +V\u000a +p7508 +tp7509 +a(g706 +g996 +tp7510 +a(g827 +g998 +tp7511 +a(g441 +Vkey +p7512 +tp7513 +a(g827 +g998 +tp7514 +a(g682 +Vempty$ +p7515 +tp7516 +a(g827 +V\u000a +p7517 +tp7518 +a(g706 +g996 +tp7519 +a(g827 +g998 +tp7520 +a(g89 +V"to sort, need author, editor, or key in " +p7521 +tp7522 +a(g827 +g998 +tp7523 +a(g682 +Vcite$ +p7524 +tp7525 +a(g827 +g998 +tp7526 +a(g441 +g1228 +tp7527 +a(g827 +g998 +tp7528 +a(g682 +Vwarning$ +p7529 +tp7530 +a(g827 +V\u000a +p7531 +tp7532 +a(g89 +V"" +p7533 +tp7534 +a(g827 +V\u000a +p7535 +tp7536 +a(g706 +g1088 +tp7537 +a(g827 +V\u000a +p7538 +tp7539 +a(g706 +g996 +tp7540 +a(g827 +g998 +tp7541 +a(g441 +Vkey +p7542 +tp7543 +a(g827 +g998 +tp7544 +a(g441 +Vsortify +p7545 +tp7546 +a(g827 +g998 +tp7547 +a(g706 +g1088 +tp7548 +a(g827 +V\u000a +p7549 +tp7550 +a(g682 +Vif$ +p7551 +tp7552 +a(g827 +V\u000a +p7553 +tp7554 +a(g706 +g1088 +tp7555 +a(g827 +V\u000a +p7556 +tp7557 +a(g706 +g996 +tp7558 +a(g827 +g998 +tp7559 +a(g441 +Veditor +p7560 +tp7561 +a(g827 +g998 +tp7562 +a(g441 +Vsort.format.names +p7563 +tp7564 +a(g827 +g998 +tp7565 +a(g706 +g1088 +tp7566 +a(g827 +V\u000a +p7567 +tp7568 +a(g682 +Vif$ +p7569 +tp7570 +a(g827 +V\u000a +p7571 +tp7572 +a(g706 +g1088 +tp7573 +a(g827 +V\u000a +p7574 +tp7575 +a(g706 +g996 +tp7576 +a(g827 +g998 +tp7577 +a(g441 +Vauthor +p7578 +tp7579 +a(g827 +g998 +tp7580 +a(g441 +Vsort.format.names +p7581 +tp7582 +a(g827 +g998 +tp7583 +a(g706 +g1088 +tp7584 +a(g827 +V\u000a +p7585 +tp7586 +a(g682 +Vif$ +p7587 +tp7588 +a(g827 +V\u000a +p7589 +tp7590 +a(g706 +g1088 +tp7591 +a(g827 +V\u000a\u000a +p7592 +tp7593 +a(g745 +VFUNCTION +p7594 +tp7595 +a(g827 +g998 +tp7596 +a(g706 +g996 +tp7597 +a(g441 +Vauthor.organization.sort +p7598 +tp7599 +a(g706 +g1088 +tp7600 +a(g827 +V\u000a +p7601 +tp7602 +a(g706 +g996 +tp7603 +a(g827 +g998 +tp7604 +a(g441 +Vauthor +p7605 +tp7606 +a(g827 +g998 +tp7607 +a(g682 +Vempty$ +p7608 +tp7609 +a(g827 +V\u000a +p7610 +tp7611 +a(g706 +g996 +tp7612 +a(g827 +g998 +tp7613 +a(g441 +Vorganization +p7614 +tp7615 +a(g827 +g998 +tp7616 +a(g682 +Vempty$ +p7617 +tp7618 +a(g827 +V\u000a +p7619 +tp7620 +a(g706 +g996 +tp7621 +a(g827 +g998 +tp7622 +a(g441 +Vkey +p7623 +tp7624 +a(g827 +g998 +tp7625 +a(g682 +Vempty$ +p7626 +tp7627 +a(g827 +V\u000a +p7628 +tp7629 +a(g706 +g996 +tp7630 +a(g827 +g998 +tp7631 +a(g89 +V"to sort, need author, organization, or key in " +p7632 +tp7633 +a(g827 +g998 +tp7634 +a(g682 +Vcite$ +p7635 +tp7636 +a(g827 +g998 +tp7637 +a(g441 +g1228 +tp7638 +a(g827 +g998 +tp7639 +a(g682 +Vwarning$ +p7640 +tp7641 +a(g827 +V\u000a +p7642 +tp7643 +a(g89 +V"" +p7644 +tp7645 +a(g827 +V\u000a +p7646 +tp7647 +a(g706 +g1088 +tp7648 +a(g827 +V\u000a +p7649 +tp7650 +a(g706 +g996 +tp7651 +a(g827 +g998 +tp7652 +a(g441 +Vkey +p7653 +tp7654 +a(g827 +g998 +tp7655 +a(g441 +Vsortify +p7656 +tp7657 +a(g827 +g998 +tp7658 +a(g706 +g1088 +tp7659 +a(g827 +V\u000a +p7660 +tp7661 +a(g682 +Vif$ +p7662 +tp7663 +a(g827 +V\u000a +p7664 +tp7665 +a(g706 +g1088 +tp7666 +a(g827 +V\u000a +p7667 +tp7668 +a(g706 +g996 +tp7669 +a(g827 +g998 +tp7670 +a(g89 +V"The " +p7671 +tp7672 +a(g827 +g998 +tp7673 +a(g32 +V#4 +p7674 +tp7675 +a(g827 +g998 +tp7676 +a(g441 +Vorganization +p7677 +tp7678 +a(g827 +g998 +tp7679 +a(g441 +Vchop.word +p7680 +tp7681 +a(g827 +g998 +tp7682 +a(g441 +Vsortify +p7683 +tp7684 +a(g827 +g998 +tp7685 +a(g706 +g1088 +tp7686 +a(g827 +V\u000a +p7687 +tp7688 +a(g682 +Vif$ +p7689 +tp7690 +a(g827 +V\u000a +p7691 +tp7692 +a(g706 +g1088 +tp7693 +a(g827 +V\u000a +p7694 +tp7695 +a(g706 +g996 +tp7696 +a(g827 +g998 +tp7697 +a(g441 +Vauthor +p7698 +tp7699 +a(g827 +g998 +tp7700 +a(g441 +Vsort.format.names +p7701 +tp7702 +a(g827 +g998 +tp7703 +a(g706 +g1088 +tp7704 +a(g827 +V\u000a +p7705 +tp7706 +a(g682 +Vif$ +p7707 +tp7708 +a(g827 +V\u000a +p7709 +tp7710 +a(g706 +g1088 +tp7711 +a(g827 +V\u000a\u000a +p7712 +tp7713 +a(g745 +VFUNCTION +p7714 +tp7715 +a(g827 +g998 +tp7716 +a(g706 +g996 +tp7717 +a(g441 +Veditor.organization.sort +p7718 +tp7719 +a(g706 +g1088 +tp7720 +a(g827 +V\u000a +p7721 +tp7722 +a(g706 +g996 +tp7723 +a(g827 +g998 +tp7724 +a(g441 +Veditor +p7725 +tp7726 +a(g827 +g998 +tp7727 +a(g682 +Vempty$ +p7728 +tp7729 +a(g827 +V\u000a +p7730 +tp7731 +a(g706 +g996 +tp7732 +a(g827 +g998 +tp7733 +a(g441 +Vorganization +p7734 +tp7735 +a(g827 +g998 +tp7736 +a(g682 +Vempty$ +p7737 +tp7738 +a(g827 +V\u000a +p7739 +tp7740 +a(g706 +g996 +tp7741 +a(g827 +g998 +tp7742 +a(g441 +Vkey +p7743 +tp7744 +a(g827 +g998 +tp7745 +a(g682 +Vempty$ +p7746 +tp7747 +a(g827 +V\u000a +p7748 +tp7749 +a(g706 +g996 +tp7750 +a(g827 +g998 +tp7751 +a(g89 +V"to sort, need editor, organization, or key in " +p7752 +tp7753 +a(g827 +g998 +tp7754 +a(g682 +Vcite$ +p7755 +tp7756 +a(g827 +g998 +tp7757 +a(g441 +g1228 +tp7758 +a(g827 +g998 +tp7759 +a(g682 +Vwarning$ +p7760 +tp7761 +a(g827 +V\u000a +p7762 +tp7763 +a(g89 +V"" +p7764 +tp7765 +a(g827 +V\u000a +p7766 +tp7767 +a(g706 +g1088 +tp7768 +a(g827 +V\u000a +p7769 +tp7770 +a(g706 +g996 +tp7771 +a(g827 +g998 +tp7772 +a(g441 +Vkey +p7773 +tp7774 +a(g827 +g998 +tp7775 +a(g441 +Vsortify +p7776 +tp7777 +a(g827 +g998 +tp7778 +a(g706 +g1088 +tp7779 +a(g827 +V\u000a +p7780 +tp7781 +a(g682 +Vif$ +p7782 +tp7783 +a(g827 +V\u000a +p7784 +tp7785 +a(g706 +g1088 +tp7786 +a(g827 +V\u000a +p7787 +tp7788 +a(g706 +g996 +tp7789 +a(g827 +g998 +tp7790 +a(g89 +V"The " +p7791 +tp7792 +a(g827 +g998 +tp7793 +a(g32 +V#4 +p7794 +tp7795 +a(g827 +g998 +tp7796 +a(g441 +Vorganization +p7797 +tp7798 +a(g827 +g998 +tp7799 +a(g441 +Vchop.word +p7800 +tp7801 +a(g827 +g998 +tp7802 +a(g441 +Vsortify +p7803 +tp7804 +a(g827 +g998 +tp7805 +a(g706 +g1088 +tp7806 +a(g827 +V\u000a +p7807 +tp7808 +a(g682 +Vif$ +p7809 +tp7810 +a(g827 +V\u000a +p7811 +tp7812 +a(g706 +g1088 +tp7813 +a(g827 +V\u000a +p7814 +tp7815 +a(g706 +g996 +tp7816 +a(g827 +g998 +tp7817 +a(g441 +Veditor +p7818 +tp7819 +a(g827 +g998 +tp7820 +a(g441 +Vsort.format.names +p7821 +tp7822 +a(g827 +g998 +tp7823 +a(g706 +g1088 +tp7824 +a(g827 +V\u000a +p7825 +tp7826 +a(g682 +Vif$ +p7827 +tp7828 +a(g827 +V\u000a +p7829 +tp7830 +a(g706 +g1088 +tp7831 +a(g827 +V\u000a\u000a +p7832 +tp7833 +a(g745 +VFUNCTION +p7834 +tp7835 +a(g827 +g998 +tp7836 +a(g706 +g996 +tp7837 +a(g441 +Vpresort +p7838 +tp7839 +a(g706 +g1088 +tp7840 +a(g827 +V\u000a +p7841 +tp7842 +a(g706 +g996 +tp7843 +a(g827 +g998 +tp7844 +a(g682 +Vtype$ +p7845 +tp7846 +a(g827 +g998 +tp7847 +a(g89 +V"book" +p7848 +tp7849 +a(g827 +g998 +tp7850 +a(g441 +g1219 +tp7851 +a(g827 +V\u000a +p7852 +tp7853 +a(g682 +Vtype$ +p7854 +tp7855 +a(g827 +g998 +tp7856 +a(g89 +V"inbook" +p7857 +tp7858 +a(g827 +g998 +tp7859 +a(g441 +g1219 +tp7860 +a(g827 +V\u000a +p7861 +tp7862 +a(g441 +Vor +p7863 +tp7864 +a(g827 +V\u000a +p7865 +tp7866 +a(g574 +V'author.editor.sort +p7867 +tp7868 +a(g827 +V\u000a +p7869 +tp7870 +a(g706 +g996 +tp7871 +a(g827 +g998 +tp7872 +a(g682 +Vtype$ +p7873 +tp7874 +a(g827 +g998 +tp7875 +a(g89 +V"proceedings" +p7876 +tp7877 +a(g827 +g998 +tp7878 +a(g441 +g1219 +tp7879 +a(g827 +V\u000a +p7880 +tp7881 +a(g574 +V'editor.organization.sort +p7882 +tp7883 +a(g827 +V\u000a +p7884 +tp7885 +a(g706 +g996 +tp7886 +a(g827 +g998 +tp7887 +a(g682 +Vtype$ +p7888 +tp7889 +a(g827 +g998 +tp7890 +a(g89 +V"manual" +p7891 +tp7892 +a(g827 +g998 +tp7893 +a(g441 +g1219 +tp7894 +a(g827 +V\u000a +p7895 +tp7896 +a(g574 +V'author.organization.sort +p7897 +tp7898 +a(g827 +V\u000a +p7899 +tp7900 +a(g574 +V'author.sort +p7901 +tp7902 +a(g827 +V\u000a +p7903 +tp7904 +a(g682 +Vif$ +p7905 +tp7906 +a(g827 +V\u000a +p7907 +tp7908 +a(g706 +g1088 +tp7909 +a(g827 +V\u000a +p7910 +tp7911 +a(g682 +Vif$ +p7912 +tp7913 +a(g827 +V\u000a +p7914 +tp7915 +a(g706 +g1088 +tp7916 +a(g827 +V\u000a +p7917 +tp7918 +a(g682 +Vif$ +p7919 +tp7920 +a(g827 +V\u000a +p7921 +tp7922 +a(g89 +V" " +p7923 +tp7924 +a(g827 +V\u000a +p7925 +tp7926 +a(g441 +g1228 +tp7927 +a(g827 +V\u000a +p7928 +tp7929 +a(g441 +Vyear +p7930 +tp7931 +a(g827 +g998 +tp7932 +a(g441 +Vfield.or.null +p7933 +tp7934 +a(g827 +g998 +tp7935 +a(g441 +Vsortify +p7936 +tp7937 +a(g827 +V\u000a +p7938 +tp7939 +a(g441 +g1228 +tp7940 +a(g827 +V\u000a +p7941 +tp7942 +a(g89 +V" " +p7943 +tp7944 +a(g827 +V\u000a +p7945 +tp7946 +a(g441 +g1228 +tp7947 +a(g827 +V\u000a +p7948 +tp7949 +a(g441 +Vtitle +p7950 +tp7951 +a(g827 +g998 +tp7952 +a(g441 +Vfield.or.null +p7953 +tp7954 +a(g827 +V\u000a +p7955 +tp7956 +a(g441 +Vsort.format.title +p7957 +tp7958 +a(g827 +V\u000a +p7959 +tp7960 +a(g441 +g1228 +tp7961 +a(g827 +V\u000a +p7962 +tp7963 +a(g32 +V#1 +p7964 +tp7965 +a(g827 +g998 +tp7966 +a(g682 +Ventry.max$ +p7967 +tp7968 +a(g827 +g998 +tp7969 +a(g682 +Vsubstring$ +p7970 +tp7971 +a(g827 +V\u000a +p7972 +tp7973 +a(g574 +V'sort.key$ +p7974 +tp7975 +a(g827 +g998 +tp7976 +a(g441 +V:= +p7977 +tp7978 +a(g827 +V\u000a +p7979 +tp7980 +a(g706 +g1088 +tp7981 +a(g827 +V\u000a\u000a +p7982 +tp7983 +a(g745 +VITERATE +p7984 +tp7985 +a(g827 +g998 +tp7986 +a(g706 +g996 +tp7987 +a(g441 +Vpresort +p7988 +tp7989 +a(g706 +g1088 +tp7990 +a(g827 +V\u000a\u000a +p7991 +tp7992 +a(g745 +VSORT +p7993 +tp7994 +a(g827 +V\u000a\u000a +p7995 +tp7996 +a(g745 +VSTRINGS +p7997 +tp7998 +a(g827 +g998 +tp7999 +a(g706 +g996 +tp8000 +a(g827 +g998 +tp8001 +a(g441 +Vlongest.label +p8002 +tp8003 +a(g827 +g998 +tp8004 +a(g706 +g1088 +tp8005 +a(g827 +V\u000a\u000a +p8006 +tp8007 +a(g745 +VINTEGERS +p8008 +tp8009 +a(g827 +g998 +tp8010 +a(g706 +g996 +tp8011 +a(g827 +g998 +tp8012 +a(g441 +Vnumber.label +p8013 +tp8014 +a(g827 +g998 +tp8015 +a(g441 +Vlongest.label.width +p8016 +tp8017 +a(g827 +g998 +tp8018 +a(g706 +g1088 +tp8019 +a(g827 +V\u000a\u000a +p8020 +tp8021 +a(g745 +VFUNCTION +p8022 +tp8023 +a(g827 +g998 +tp8024 +a(g706 +g996 +tp8025 +a(g441 +Vinitialize.longest.label +p8026 +tp8027 +a(g706 +g1088 +tp8028 +a(g827 +V\u000a +p8029 +tp8030 +a(g706 +g996 +tp8031 +a(g827 +g998 +tp8032 +a(g89 +V"" +p8033 +tp8034 +a(g827 +g998 +tp8035 +a(g574 +V'longest.label +p8036 +tp8037 +a(g827 +g998 +tp8038 +a(g441 +V:= +p8039 +tp8040 +a(g827 +V\u000a +p8041 +tp8042 +a(g32 +V#1 +p8043 +tp8044 +a(g827 +g998 +tp8045 +a(g574 +V'number.label +p8046 +tp8047 +a(g827 +g998 +tp8048 +a(g441 +V:= +p8049 +tp8050 +a(g827 +V\u000a +p8051 +tp8052 +a(g32 +V#0 +p8053 +tp8054 +a(g827 +g998 +tp8055 +a(g574 +V'longest.label.width +p8056 +tp8057 +a(g827 +g998 +tp8058 +a(g441 +V:= +p8059 +tp8060 +a(g827 +V\u000a +p8061 +tp8062 +a(g706 +g1088 +tp8063 +a(g827 +V\u000a\u000a +p8064 +tp8065 +a(g745 +VFUNCTION +p8066 +tp8067 +a(g827 +g998 +tp8068 +a(g706 +g996 +tp8069 +a(g441 +Vlongest.label.pass +p8070 +tp8071 +a(g706 +g1088 +tp8072 +a(g827 +V\u000a +p8073 +tp8074 +a(g706 +g996 +tp8075 +a(g827 +g998 +tp8076 +a(g441 +Vnumber.label +p8077 +tp8078 +a(g827 +g998 +tp8079 +a(g682 +Vint.to.str$ +p8080 +tp8081 +a(g827 +g998 +tp8082 +a(g574 +V'label +p8083 +tp8084 +a(g827 +g998 +tp8085 +a(g441 +V:= +p8086 +tp8087 +a(g827 +V\u000a +p8088 +tp8089 +a(g441 +Vnumber.label +p8090 +tp8091 +a(g827 +g998 +tp8092 +a(g32 +V#1 +p8093 +tp8094 +a(g827 +g998 +tp8095 +a(g441 +g2191 +tp8096 +a(g827 +g998 +tp8097 +a(g574 +V'number.label +p8098 +tp8099 +a(g827 +g998 +tp8100 +a(g441 +V:= +p8101 +tp8102 +a(g827 +V\u000a +p8103 +tp8104 +a(g441 +Vlabel +p8105 +tp8106 +a(g827 +g998 +tp8107 +a(g682 +Vwidth$ +p8108 +tp8109 +a(g827 +g998 +tp8110 +a(g441 +Vlongest.label.width +p8111 +tp8112 +a(g827 +g998 +tp8113 +a(g441 +g2042 +tp8114 +a(g827 +V\u000a +p8115 +tp8116 +a(g706 +g996 +tp8117 +a(g827 +g998 +tp8118 +a(g441 +Vlabel +p8119 +tp8120 +a(g827 +g998 +tp8121 +a(g574 +V'longest.label +p8122 +tp8123 +a(g827 +g998 +tp8124 +a(g441 +V:= +p8125 +tp8126 +a(g827 +V\u000a +p8127 +tp8128 +a(g441 +Vlabel +p8129 +tp8130 +a(g827 +g998 +tp8131 +a(g682 +Vwidth$ +p8132 +tp8133 +a(g827 +g998 +tp8134 +a(g574 +V'longest.label.width +p8135 +tp8136 +a(g827 +g998 +tp8137 +a(g441 +V:= +p8138 +tp8139 +a(g827 +V\u000a +p8140 +tp8141 +a(g706 +g1088 +tp8142 +a(g827 +V\u000a +p8143 +tp8144 +a(g574 +V'skip$ +p8145 +tp8146 +a(g827 +V\u000a +p8147 +tp8148 +a(g682 +Vif$ +p8149 +tp8150 +a(g827 +V\u000a +p8151 +tp8152 +a(g706 +g1088 +tp8153 +a(g827 +V\u000a\u000a +p8154 +tp8155 +a(g745 +VEXECUTE +p8156 +tp8157 +a(g827 +g998 +tp8158 +a(g706 +g996 +tp8159 +a(g441 +Vinitialize.longest.label +p8160 +tp8161 +a(g706 +g1088 +tp8162 +a(g827 +V\u000a\u000a +p8163 +tp8164 +a(g745 +VITERATE +p8165 +tp8166 +a(g827 +g998 +tp8167 +a(g706 +g996 +tp8168 +a(g441 +Vlongest.label.pass +p8169 +tp8170 +a(g706 +g1088 +tp8171 +a(g827 +V\u000a\u000a +p8172 +tp8173 +a(g745 +VFUNCTION +p8174 +tp8175 +a(g827 +g998 +tp8176 +a(g706 +g996 +tp8177 +a(g441 +Vbegin.bib +p8178 +tp8179 +a(g706 +g1088 +tp8180 +a(g827 +V\u000a +p8181 +tp8182 +a(g706 +g996 +tp8183 +a(g827 +g998 +tp8184 +a(g682 +Vpreamble$ +p8185 +tp8186 +a(g827 +g998 +tp8187 +a(g682 +Vempty$ +p8188 +tp8189 +a(g827 +V\u000a +p8190 +tp8191 +a(g574 +V'skip$ +p8192 +tp8193 +a(g827 +V\u000a +p8194 +tp8195 +a(g706 +g996 +tp8196 +a(g827 +g998 +tp8197 +a(g682 +Vpreamble$ +p8198 +tp8199 +a(g827 +g998 +tp8200 +a(g682 +Vwrite$ +p8201 +tp8202 +a(g827 +g998 +tp8203 +a(g682 +Vnewline$ +p8204 +tp8205 +a(g827 +g998 +tp8206 +a(g706 +g1088 +tp8207 +a(g827 +V\u000a +p8208 +tp8209 +a(g682 +Vif$ +p8210 +tp8211 +a(g827 +V\u000a +p8212 +tp8213 +a(g89 +V"\u005cbegin{thebibliography}{" +p8214 +tp8215 +a(g827 +V +p8216 +tp8217 +a(g441 +Vlongest.label +p8218 +tp8219 +a(g827 +V +p8220 +tp8221 +a(g441 +g1228 +tp8222 +a(g827 +g998 +tp8223 +a(g89 +V"}" +p8224 +tp8225 +a(g827 +g998 +tp8226 +a(g441 +g1228 +tp8227 +a(g827 +g998 +tp8228 +a(g682 +Vwrite$ +p8229 +tp8230 +a(g827 +g998 +tp8231 +a(g682 +Vnewline$ +p8232 +tp8233 +a(g827 +V\u000a +p8234 +tp8235 +a(g706 +g1088 +tp8236 +a(g827 +V\u000a\u000a +p8237 +tp8238 +a(g745 +VEXECUTE +p8239 +tp8240 +a(g827 +g998 +tp8241 +a(g706 +g996 +tp8242 +a(g441 +Vbegin.bib +p8243 +tp8244 +a(g706 +g1088 +tp8245 +a(g827 +V\u000a\u000a +p8246 +tp8247 +a(g745 +VEXECUTE +p8248 +tp8249 +a(g827 +g998 +tp8250 +a(g706 +g996 +tp8251 +a(g441 +Vinit.state.consts +p8252 +tp8253 +a(g706 +g1088 +tp8254 +a(g827 +V\u000a\u000a +p8255 +tp8256 +a(g745 +VITERATE +p8257 +tp8258 +a(g827 +g998 +tp8259 +a(g706 +g996 +tp8260 +a(g682 +Vcall.type$ +p8261 +tp8262 +a(g706 +g1088 +tp8263 +a(g827 +V\u000a\u000a +p8264 +tp8265 +a(g745 +VFUNCTION +p8266 +tp8267 +a(g827 +g998 +tp8268 +a(g706 +g996 +tp8269 +a(g441 +Vend.bib +p8270 +tp8271 +a(g706 +g1088 +tp8272 +a(g827 +V\u000a +p8273 +tp8274 +a(g706 +g996 +tp8275 +a(g827 +g998 +tp8276 +a(g682 +Vnewline$ +p8277 +tp8278 +a(g827 +V\u000a +p8279 +tp8280 +a(g89 +V"\u005cend{thebibliography}" +p8281 +tp8282 +a(g827 +g998 +tp8283 +a(g682 +Vwrite$ +p8284 +tp8285 +a(g827 +g998 +tp8286 +a(g682 +Vnewline$ +p8287 +tp8288 +a(g827 +V\u000a +p8289 +tp8290 +a(g706 +g1088 +tp8291 +a(g827 +V\u000a\u000a +p8292 +tp8293 +a(g745 +VEXECUTE +p8294 +tp8295 +a(g827 +g998 +tp8296 +a(g706 +g996 +tp8297 +a(g441 +Vend.bib +p8298 +tp8299 +a(g706 +g1088 +tp8300 +a(g827 +V\u000a +p8301 +tp8302 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pleac.in.rb b/tests/examplefiles/output/pleac.in.rb new file mode 100644 index 0000000..e2083cc --- /dev/null +++ b/tests/examplefiles/output/pleac.in.rb @@ -0,0 +1,31984 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# -*- ruby -*- +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g8 +V# Local variables: +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V# indent-tabs-mode: nil +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V# ruby-indent-level: 4 +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V# End: +p972 +tp973 +a(g826 +V\u000a\u000a +p974 +tp975 +a(g8 +V# @@PLEAC@@_NAME +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V# @@SKIP@@ Ruby +p980 +tp981 +a(g826 +V\u000a\u000a +p982 +tp983 +a(g8 +V# @@PLEAC@@_WEB +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g8 +V# @@SKIP@@ http://www.ruby-lang.org +p988 +tp989 +a(g826 +V\u000a\u000a\u000a +p990 +tp991 +a(g8 +V# @@PLEAC@@_1.0 +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g435 +Vstring +p996 +tp997 +a(g826 +V +p998 +tp999 +a(g412 +V= +p1000 +tp1001 +a(g826 +g998 +tp1002 +a(g229 +V'\u005cn' +p1003 +tp1004 +a(g826 +V +p1005 +tp1006 +a(g8 +V# two characters, \u005c and an n +p1007 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g435 +Vstring +p1011 +tp1012 +a(g826 +g998 +tp1013 +a(g412 +g1000 +tp1014 +a(g826 +g998 +tp1015 +a(g229 +V'Jon \u005c'Maddog\u005c' Orwant' +p1016 +tp1017 +a(g826 +V +p1018 +tp1019 +a(g8 +V# literal single quotes +p1020 +tp1021 +a(g826 +V\u000a\u000a +p1022 +tp1023 +a(g435 +Vstring +p1024 +tp1025 +a(g826 +g998 +tp1026 +a(g412 +g1000 +tp1027 +a(g826 +g998 +tp1028 +a(g157 +V" +p1029 +tp1030 +a(g213 +V\u005cn +p1031 +tp1032 +a(g157 +g1029 +tp1033 +a(g826 +V +p1034 +tp1035 +a(g8 +V# a "newline" character +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g435 +Vstring +p1040 +tp1041 +a(g826 +g998 +tp1042 +a(g412 +g1000 +tp1043 +a(g826 +g998 +tp1044 +a(g157 +g1029 +tp1045 +a(g157 +VJon +p1046 +tp1047 +a(g213 +V\u005c" +p1048 +tp1049 +a(g157 +VMaddog +p1050 +tp1051 +a(g213 +V\u005c" +p1052 +tp1053 +a(g157 +V Orwant +p1054 +tp1055 +a(g157 +g1029 +tp1056 +a(g826 +V +p1057 +tp1058 +a(g8 +V# literal double quotes +p1059 +tp1060 +a(g826 +V\u000a\u000a +p1061 +tp1062 +a(g435 +Vstring +p1063 +tp1064 +a(g826 +g998 +tp1065 +a(g412 +g1000 +tp1066 +a(g826 +g998 +tp1067 +a(g236 +V%q/Jon 'Maddog' Orwant/ +p1068 +tp1069 +a(g826 +V +p1070 +tp1071 +a(g8 +V# literal single quotes +p1072 +tp1073 +a(g826 +V\u000a\u000a +p1074 +tp1075 +a(g435 +Vstring +p1076 +tp1077 +a(g826 +g998 +tp1078 +a(g412 +g1000 +tp1079 +a(g826 +g998 +tp1080 +a(g236 +V%q[ +p1081 +tp1082 +a(g236 +VJon 'Maddog' Orwant +p1083 +tp1084 +a(g236 +V] +p1085 +tp1086 +a(g826 +V +p1087 +tp1088 +a(g8 +V# literal single quotes +p1089 +tp1090 +a(g826 +V\u000a +p1091 +tp1092 +a(g435 +Vstring +p1093 +tp1094 +a(g826 +g998 +tp1095 +a(g412 +g1000 +tp1096 +a(g826 +g998 +tp1097 +a(g236 +V%q{ +p1098 +tp1099 +a(g236 +VJon 'Maddog' Orwant +p1100 +tp1101 +a(g236 +V} +p1102 +tp1103 +a(g826 +V +p1104 +tp1105 +a(g8 +V# literal single quotes +p1106 +tp1107 +a(g826 +V\u000a +p1108 +tp1109 +a(g435 +Vstring +p1110 +tp1111 +a(g826 +g998 +tp1112 +a(g412 +g1000 +tp1113 +a(g826 +g998 +tp1114 +a(g236 +V%q( +p1115 +tp1116 +a(g236 +VJon 'Maddog' Orwant +p1117 +tp1118 +a(g236 +V) +p1119 +tp1120 +a(g826 +V +p1121 +tp1122 +a(g8 +V# literal single quotes +p1123 +tp1124 +a(g826 +V\u000a +p1125 +tp1126 +a(g435 +Vstring +p1127 +tp1128 +a(g826 +g998 +tp1129 +a(g412 +g1000 +tp1130 +a(g826 +g998 +tp1131 +a(g236 +V%q< +p1132 +tp1133 +a(g236 +VJon 'Maddog' Orwant +p1134 +tp1135 +a(g236 +V> +p1136 +tp1137 +a(g826 +V +p1138 +tp1139 +a(g8 +V# literal single quotes +p1140 +tp1141 +a(g826 +V\u000a\u000a +p1142 +tp1143 +a(g435 +Va +p1144 +tp1145 +a(g826 +g998 +tp1146 +a(g412 +g1000 +tp1147 +a(g826 +g998 +tp1148 +a(g412 +V<< +p1149 +tp1150 +a(g109 +g1029 +tp1151 +a(g133 +VEOF +p1152 +tp1153 +a(g109 +g1029 +tp1154 +a(g826 +V\u000a +p1155 +tp1156 +a(g109 +VThis is a multiline here document\u000a +p1157 +tp1158 +a(g109 +Vterminated by EOF on a line by itself\u000a +p1159 +tp1160 +a(g133 +VEOF\u000a +p1161 +tp1162 +a(g826 +V\u000a\u000a +p1163 +tp1164 +a(g8 +V# @@PLEAC@@_1.1 +p1165 +tp1166 +a(g826 +V\u000a +p1167 +tp1168 +a(g435 +Vvalue +p1169 +tp1170 +a(g826 +g998 +tp1171 +a(g412 +g1000 +tp1172 +a(g826 +g998 +tp1173 +a(g435 +Vstring +p1174 +tp1175 +a(g412 +V[ +p1176 +tp1177 +a(g435 +Voffset +p1178 +tp1179 +a(g705 +V, +p1180 +tp1181 +a(g435 +Vcount +p1182 +tp1183 +a(g412 +g1085 +tp1184 +a(g826 +V\u000a +p1185 +tp1186 +a(g435 +Vvalue +p1187 +tp1188 +a(g826 +g998 +tp1189 +a(g412 +g1000 +tp1190 +a(g826 +g998 +tp1191 +a(g435 +Vstring +p1192 +tp1193 +a(g412 +g1176 +tp1194 +a(g435 +Voffset +p1195 +tp1196 +a(g412 +V.. +p1197 +tp1198 +a(g412 +V- +p1199 +tp1200 +a(g37 +V1 +p1201 +tp1202 +a(g412 +g1085 +tp1203 +a(g826 +V\u000a\u000a +p1204 +tp1205 +a(g435 +Vstring +p1206 +tp1207 +a(g412 +g1176 +tp1208 +a(g435 +Voffset +p1209 +tp1210 +a(g705 +g1180 +tp1211 +a(g435 +Vcount +p1212 +tp1213 +a(g412 +g1085 +tp1214 +a(g826 +g998 +tp1215 +a(g412 +g1000 +tp1216 +a(g826 +g998 +tp1217 +a(g435 +Vnewstring +p1218 +tp1219 +a(g826 +V\u000a +p1220 +tp1221 +a(g435 +Vstring +p1222 +tp1223 +a(g412 +g1176 +tp1224 +a(g435 +Voffset +p1225 +tp1226 +a(g412 +V.. +p1227 +tp1228 +a(g412 +g1199 +tp1229 +a(g37 +g1201 +tp1230 +a(g412 +g1085 +tp1231 +a(g826 +V +p1232 +tp1233 +a(g412 +g1000 +tp1234 +a(g826 +g998 +tp1235 +a(g435 +Vnewtail +p1236 +tp1237 +a(g826 +V\u000a\u000a +p1238 +tp1239 +a(g8 +V# in Ruby we can also specify intervals by their two offsets +p1240 +tp1241 +a(g826 +V\u000a +p1242 +tp1243 +a(g435 +Vvalue +p1244 +tp1245 +a(g826 +g998 +tp1246 +a(g412 +g1000 +tp1247 +a(g826 +g998 +tp1248 +a(g435 +Vstring +p1249 +tp1250 +a(g412 +g1176 +tp1251 +a(g435 +Voffset +p1252 +tp1253 +a(g412 +V.. +p1254 +tp1255 +a(g435 +Voffs2 +p1256 +tp1257 +a(g412 +g1085 +tp1258 +a(g826 +V\u000a +p1259 +tp1260 +a(g435 +Vstring +p1261 +tp1262 +a(g412 +g1176 +tp1263 +a(g435 +Voffset +p1264 +tp1265 +a(g412 +V.. +p1266 +tp1267 +a(g435 +Voffs2 +p1268 +tp1269 +a(g412 +g1085 +tp1270 +a(g826 +g998 +tp1271 +a(g412 +g1000 +tp1272 +a(g826 +g998 +tp1273 +a(g435 +Vnewstring +p1274 +tp1275 +a(g826 +V\u000a\u000a +p1276 +tp1277 +a(g435 +Vleading +p1278 +tp1279 +a(g705 +g1180 +tp1280 +a(g826 +g998 +tp1281 +a(g435 +Vs1 +p1282 +tp1283 +a(g705 +g1180 +tp1284 +a(g826 +g998 +tp1285 +a(g435 +Vs2 +p1286 +tp1287 +a(g705 +g1180 +tp1288 +a(g826 +g998 +tp1289 +a(g435 +Vtrailing +p1290 +tp1291 +a(g826 +g998 +tp1292 +a(g412 +g1000 +tp1293 +a(g826 +g998 +tp1294 +a(g435 +Vdata +p1295 +tp1296 +a(g412 +V. +p1297 +tp1298 +a(g435 +Vunpack +p1299 +tp1300 +a(g705 +V( +p1301 +tp1302 +a(g157 +g1029 +tp1303 +a(g157 +VA5 x3 A8 A8 A* +p1304 +tp1305 +a(g157 +g1029 +tp1306 +a(g705 +g1119 +tp1307 +a(g826 +V\u000a\u000a +p1308 +tp1309 +a(g435 +Vfivers +p1310 +tp1311 +a(g826 +g998 +tp1312 +a(g412 +g1000 +tp1313 +a(g826 +g998 +tp1314 +a(g435 +Vstring +p1315 +tp1316 +a(g412 +g1297 +tp1317 +a(g435 +Vunpack +p1318 +tp1319 +a(g705 +g1301 +tp1320 +a(g157 +g1029 +tp1321 +a(g157 +VA5 +p1322 +tp1323 +a(g157 +g1029 +tp1324 +a(g826 +g998 +tp1325 +a(g412 +V* +p1326 +tp1327 +a(g826 +g998 +tp1328 +a(g705 +g1301 +tp1329 +a(g435 +Vstring +p1330 +tp1331 +a(g412 +g1297 +tp1332 +a(g435 +Vlength +p1333 +tp1334 +a(g412 +V/ +p1335 +tp1336 +a(g37 +V5 +p1337 +tp1338 +a(g705 +g1119 +tp1339 +a(g705 +g1119 +tp1340 +a(g826 +V\u000a\u000a +p1341 +tp1342 +a(g435 +Vchars +p1343 +tp1344 +a(g826 +g998 +tp1345 +a(g412 +g1000 +tp1346 +a(g826 +g998 +tp1347 +a(g435 +Vstring +p1348 +tp1349 +a(g412 +g1297 +tp1350 +a(g435 +Vunpack +p1351 +tp1352 +a(g705 +g1301 +tp1353 +a(g157 +g1029 +tp1354 +a(g157 +VA1 +p1355 +tp1356 +a(g157 +g1029 +tp1357 +a(g826 +g998 +tp1358 +a(g412 +g1326 +tp1359 +a(g826 +g998 +tp1360 +a(g435 +Vstring +p1361 +tp1362 +a(g412 +g1297 +tp1363 +a(g435 +Vlength +p1364 +tp1365 +a(g705 +g1119 +tp1366 +a(g826 +V\u000a\u000a +p1367 +tp1368 +a(g435 +Vstring +p1369 +tp1370 +a(g826 +g998 +tp1371 +a(g412 +g1000 +tp1372 +a(g826 +g998 +tp1373 +a(g157 +g1029 +tp1374 +a(g157 +VThis is what you have +p1375 +tp1376 +a(g157 +g1029 +tp1377 +a(g826 +V\u000a +p1378 +tp1379 +a(g8 +V# +012345678901234567890 Indexing forwards (left to right) +p1380 +tp1381 +a(g826 +V\u000a +p1382 +tp1383 +a(g8 +V# 109876543210987654321- Indexing backwards (right to left) +p1384 +tp1385 +a(g826 +V\u000a +p1386 +tp1387 +a(g8 +V# note that 0 means 10 or 20, etc. above +p1388 +tp1389 +a(g826 +V\u000a\u000a +p1390 +tp1391 +a(g435 +Vfirst +p1392 +tp1393 +a(g826 +V +p1394 +tp1395 +a(g412 +g1000 +tp1396 +a(g826 +g998 +tp1397 +a(g435 +Vstring +p1398 +tp1399 +a(g412 +g1176 +tp1400 +a(g37 +V0 +p1401 +tp1402 +a(g705 +g1180 +tp1403 +a(g826 +g998 +tp1404 +a(g37 +g1201 +tp1405 +a(g412 +g1085 +tp1406 +a(g826 +V +p1407 +tp1408 +a(g8 +V# "T" +p1409 +tp1410 +a(g826 +V\u000a +p1411 +tp1412 +a(g435 +Vstart +p1413 +tp1414 +a(g826 +V +p1415 +tp1416 +a(g412 +g1000 +tp1417 +a(g826 +g998 +tp1418 +a(g435 +Vstring +p1419 +tp1420 +a(g412 +g1176 +tp1421 +a(g37 +g1337 +tp1422 +a(g705 +g1180 +tp1423 +a(g826 +g998 +tp1424 +a(g37 +V2 +p1425 +tp1426 +a(g412 +g1085 +tp1427 +a(g826 +V +p1428 +tp1429 +a(g8 +V# "is" +p1430 +tp1431 +a(g826 +V\u000a +p1432 +tp1433 +a(g435 +Vrest +p1434 +tp1435 +a(g826 +V +p1436 +tp1437 +a(g412 +g1000 +tp1438 +a(g826 +g998 +tp1439 +a(g435 +Vstring +p1440 +tp1441 +a(g412 +g1176 +tp1442 +a(g37 +V13 +p1443 +tp1444 +a(g412 +V.. +p1445 +tp1446 +a(g412 +g1199 +tp1447 +a(g37 +g1201 +tp1448 +a(g412 +g1085 +tp1449 +a(g826 +V +p1450 +tp1451 +a(g8 +V# "you have" +p1452 +tp1453 +a(g826 +V\u000a +p1454 +tp1455 +a(g435 +Vlast +p1456 +tp1457 +a(g826 +V +p1458 +tp1459 +a(g412 +g1000 +tp1460 +a(g826 +g998 +tp1461 +a(g435 +Vstring +p1462 +tp1463 +a(g412 +g1176 +tp1464 +a(g412 +g1199 +tp1465 +a(g37 +g1201 +tp1466 +a(g705 +g1180 +tp1467 +a(g826 +g998 +tp1468 +a(g37 +g1201 +tp1469 +a(g412 +g1085 +tp1470 +a(g826 +V +p1471 +tp1472 +a(g8 +V# "e" +p1473 +tp1474 +a(g826 +V\u000a +p1475 +tp1476 +a(g435 +Vend_ +p1477 +tp1478 +a(g826 +V +p1479 +tp1480 +a(g412 +g1000 +tp1481 +a(g826 +g998 +tp1482 +a(g435 +Vstring +p1483 +tp1484 +a(g412 +g1176 +tp1485 +a(g412 +g1199 +tp1486 +a(g37 +V4 +p1487 +tp1488 +a(g412 +V.. +p1489 +tp1490 +a(g412 +g1199 +tp1491 +a(g37 +g1201 +tp1492 +a(g412 +g1085 +tp1493 +a(g826 +V +p1494 +tp1495 +a(g8 +V# "have" +p1496 +tp1497 +a(g826 +V\u000a +p1498 +tp1499 +a(g435 +Vpiece +p1500 +tp1501 +a(g826 +V +p1502 +tp1503 +a(g412 +g1000 +tp1504 +a(g826 +g998 +tp1505 +a(g435 +Vstring +p1506 +tp1507 +a(g412 +g1176 +tp1508 +a(g412 +g1199 +tp1509 +a(g37 +V8 +p1510 +tp1511 +a(g705 +g1180 +tp1512 +a(g826 +g998 +tp1513 +a(g37 +V3 +p1514 +tp1515 +a(g412 +g1085 +tp1516 +a(g826 +V +p1517 +tp1518 +a(g8 +V# "you" +p1519 +tp1520 +a(g826 +V\u000a\u000a +p1521 +tp1522 +a(g435 +Vstring +p1523 +tp1524 +a(g412 +g1176 +tp1525 +a(g37 +g1337 +tp1526 +a(g705 +g1180 +tp1527 +a(g826 +g998 +tp1528 +a(g37 +g1425 +tp1529 +a(g412 +g1085 +tp1530 +a(g826 +g998 +tp1531 +a(g412 +g1000 +tp1532 +a(g826 +g998 +tp1533 +a(g157 +g1029 +tp1534 +a(g157 +Vwasn't +p1535 +tp1536 +a(g157 +g1029 +tp1537 +a(g826 +V +p1538 +tp1539 +a(g8 +V# change "is" to "wasn't" +p1540 +tp1541 +a(g826 +V\u000a +p1542 +tp1543 +a(g435 +Vstring +p1544 +tp1545 +a(g412 +g1176 +tp1546 +a(g412 +g1199 +tp1547 +a(g37 +V12 +p1548 +tp1549 +a(g412 +V.. +p1550 +tp1551 +a(g412 +g1199 +tp1552 +a(g37 +g1201 +tp1553 +a(g412 +g1085 +tp1554 +a(g826 +g998 +tp1555 +a(g412 +g1000 +tp1556 +a(g826 +g998 +tp1557 +a(g157 +g1029 +tp1558 +a(g157 +Vondrous +p1559 +tp1560 +a(g157 +g1029 +tp1561 +a(g826 +g998 +tp1562 +a(g8 +V# "This wasn't wondrous" +p1563 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g435 +Vstring +p1567 +tp1568 +a(g412 +g1176 +tp1569 +a(g37 +g1401 +tp1570 +a(g705 +g1180 +tp1571 +a(g826 +g998 +tp1572 +a(g37 +g1201 +tp1573 +a(g412 +g1085 +tp1574 +a(g826 +g998 +tp1575 +a(g412 +g1000 +tp1576 +a(g826 +g998 +tp1577 +a(g157 +g1029 +tp1578 +a(g157 +g1029 +tp1579 +a(g826 +V +p1580 +tp1581 +a(g8 +V# delete first character +p1582 +tp1583 +a(g826 +V\u000a +p1584 +tp1585 +a(g435 +Vstring +p1586 +tp1587 +a(g412 +g1176 +tp1588 +a(g412 +g1199 +tp1589 +a(g37 +V10 +p1590 +tp1591 +a(g412 +V.. +p1592 +tp1593 +a(g412 +g1199 +tp1594 +a(g37 +g1201 +tp1595 +a(g412 +g1085 +tp1596 +a(g826 +V +p1597 +tp1598 +a(g412 +g1000 +tp1599 +a(g826 +g998 +tp1600 +a(g157 +g1029 +tp1601 +a(g157 +g1029 +tp1602 +a(g826 +V +p1603 +tp1604 +a(g8 +V# delete last 10 characters +p1605 +tp1606 +a(g826 +V\u000a\u000a +p1607 +tp1608 +a(g744 +Vif +p1609 +tp1610 +a(g826 +g998 +tp1611 +a(g435 +Vstring +p1612 +tp1613 +a(g412 +g1176 +tp1614 +a(g412 +g1199 +tp1615 +a(g37 +V10 +p1616 +tp1617 +a(g412 +V.. +p1618 +tp1619 +a(g412 +g1199 +tp1620 +a(g37 +g1201 +tp1621 +a(g412 +g1085 +tp1622 +a(g826 +g998 +tp1623 +a(g412 +V=~ +p1624 +tp1625 +a(g826 +g998 +tp1626 +a(g197 +g1335 +tp1627 +a(g197 +Vpattern +p1628 +tp1629 +a(g197 +g1335 +tp1630 +a(g826 +V\u000a +p1631 +tp1632 +a(g681 +Vputs +p1633 +tp1634 +a(g826 +g998 +tp1635 +a(g157 +g1029 +tp1636 +a(g157 +VPattern matches in last 10 characters +p1637 +tp1638 +a(g157 +g1029 +tp1639 +a(g826 +V\u000a +p1640 +tp1641 +a(g744 +Vend +p1642 +tp1643 +a(g826 +V\u000a\u000a +p1644 +tp1645 +a(g435 +Vstring +p1646 +tp1647 +a(g412 +g1176 +tp1648 +a(g37 +g1401 +tp1649 +a(g705 +g1180 +tp1650 +a(g826 +g998 +tp1651 +a(g37 +g1337 +tp1652 +a(g412 +g1085 +tp1653 +a(g412 +g1297 +tp1654 +a(g435 +Vgsub! +p1655 +tp1656 +a(g705 +g1301 +tp1657 +a(g197 +g1335 +tp1658 +a(g197 +Vis +p1659 +tp1660 +a(g197 +g1335 +tp1661 +a(g705 +g1180 +tp1662 +a(g826 +g998 +tp1663 +a(g229 +V'at' +p1664 +tp1665 +a(g705 +g1119 +tp1666 +a(g826 +V\u000a\u000a +p1667 +tp1668 +a(g435 +g1144 +tp1669 +a(g826 +g998 +tp1670 +a(g412 +g1000 +tp1671 +a(g826 +g998 +tp1672 +a(g157 +g1029 +tp1673 +a(g157 +Vmake a hat +p1674 +tp1675 +a(g157 +g1029 +tp1676 +a(g826 +V\u000a +p1677 +tp1678 +a(g435 +g1144 +tp1679 +a(g412 +g1176 +tp1680 +a(g37 +g1401 +tp1681 +a(g705 +g1180 +tp1682 +a(g826 +g998 +tp1683 +a(g37 +g1201 +tp1684 +a(g412 +g1085 +tp1685 +a(g705 +g1180 +tp1686 +a(g826 +g998 +tp1687 +a(g435 +g1144 +tp1688 +a(g412 +g1176 +tp1689 +a(g412 +g1199 +tp1690 +a(g37 +g1201 +tp1691 +a(g705 +g1180 +tp1692 +a(g826 +g998 +tp1693 +a(g37 +g1201 +tp1694 +a(g412 +g1085 +tp1695 +a(g826 +g998 +tp1696 +a(g412 +g1000 +tp1697 +a(g826 +g998 +tp1698 +a(g435 +g1144 +tp1699 +a(g412 +g1176 +tp1700 +a(g412 +g1199 +tp1701 +a(g37 +g1201 +tp1702 +a(g705 +g1180 +tp1703 +a(g826 +g998 +tp1704 +a(g37 +g1201 +tp1705 +a(g412 +g1085 +tp1706 +a(g705 +g1180 +tp1707 +a(g826 +g998 +tp1708 +a(g435 +g1144 +tp1709 +a(g412 +g1176 +tp1710 +a(g37 +g1401 +tp1711 +a(g705 +g1180 +tp1712 +a(g826 +g998 +tp1713 +a(g37 +g1201 +tp1714 +a(g412 +g1085 +tp1715 +a(g826 +V\u000a\u000a +p1716 +tp1717 +a(g435 +g1144 +tp1718 +a(g826 +g998 +tp1719 +a(g412 +g1000 +tp1720 +a(g826 +g998 +tp1721 +a(g157 +g1029 +tp1722 +a(g157 +VTo be or not to be +p1723 +tp1724 +a(g157 +g1029 +tp1725 +a(g826 +V\u000a +p1726 +tp1727 +a(g435 +Vb +p1728 +tp1729 +a(g826 +g998 +tp1730 +a(g412 +g1000 +tp1731 +a(g826 +g998 +tp1732 +a(g435 +g1144 +tp1733 +a(g412 +g1297 +tp1734 +a(g435 +Vunpack +p1735 +tp1736 +a(g705 +g1301 +tp1737 +a(g157 +g1029 +tp1738 +a(g157 +Vx6 A6 +p1739 +tp1740 +a(g157 +g1029 +tp1741 +a(g705 +g1119 +tp1742 +a(g826 +V\u000a\u000a +p1743 +tp1744 +a(g435 +g1728 +tp1745 +a(g705 +g1180 +tp1746 +a(g826 +g998 +tp1747 +a(g435 +Vc +p1748 +tp1749 +a(g826 +g998 +tp1750 +a(g412 +g1000 +tp1751 +a(g826 +g998 +tp1752 +a(g435 +g1144 +tp1753 +a(g412 +g1297 +tp1754 +a(g435 +Vunpack +p1755 +tp1756 +a(g705 +g1301 +tp1757 +a(g157 +g1029 +tp1758 +a(g157 +Vx6 A2 X5 A2 +p1759 +tp1760 +a(g157 +g1029 +tp1761 +a(g705 +g1119 +tp1762 +a(g826 +V\u000a +p1763 +tp1764 +a(g681 +Vputs +p1765 +tp1766 +a(g826 +g998 +tp1767 +a(g157 +g1029 +tp1768 +a(g125 +V#{ +p1769 +tp1770 +a(g435 +g1728 +tp1771 +a(g125 +g1102 +tp1772 +a(g213 +V\u005cn +p1773 +tp1774 +a(g125 +V#{ +p1775 +tp1776 +a(g435 +g1748 +tp1777 +a(g125 +g1102 +tp1778 +a(g213 +V\u005cn +p1779 +tp1780 +a(g157 +g1029 +tp1781 +a(g826 +V\u000a\u000a +p1782 +tp1783 +a(g744 +Vdef +p1784 +tp1785 +a(g826 +g998 +tp1786 +a(g573 +Vcut2fmt +p1787 +tp1788 +a(g705 +g1301 +tp1789 +a(g412 +g1326 +tp1790 +a(g435 +Vargs +p1791 +tp1792 +a(g705 +g1119 +tp1793 +a(g826 +V\u000a +p1794 +tp1795 +a(g435 +Vtemplate +p1796 +tp1797 +a(g826 +g998 +tp1798 +a(g412 +g1000 +tp1799 +a(g826 +g998 +tp1800 +a(g229 +V'' +p1801 +tp1802 +a(g826 +V\u000a +p1803 +tp1804 +a(g435 +Vlastpos +p1805 +tp1806 +a(g826 +V +p1807 +tp1808 +a(g412 +g1000 +tp1809 +a(g826 +g998 +tp1810 +a(g37 +g1201 +tp1811 +a(g826 +V\u000a +p1812 +tp1813 +a(g744 +Vfor +p1814 +tp1815 +a(g826 +g998 +tp1816 +a(g435 +Vplace +p1817 +tp1818 +a(g826 +g998 +tp1819 +a(g744 +Vin +p1820 +tp1821 +a(g826 +g998 +tp1822 +a(g435 +Vargs +p1823 +tp1824 +a(g826 +V\u000a +p1825 +tp1826 +a(g435 +Vtemplate +p1827 +tp1828 +a(g826 +g998 +tp1829 +a(g412 +V+= +p1830 +tp1831 +a(g826 +g998 +tp1832 +a(g157 +g1029 +tp1833 +a(g157 +VA +p1834 +tp1835 +a(g157 +g1029 +tp1836 +a(g826 +g998 +tp1837 +a(g412 +V+ +p1838 +tp1839 +a(g826 +g998 +tp1840 +a(g705 +g1301 +tp1841 +a(g435 +Vplace +p1842 +tp1843 +a(g826 +g998 +tp1844 +a(g412 +g1199 +tp1845 +a(g826 +g998 +tp1846 +a(g435 +Vlastpos +p1847 +tp1848 +a(g705 +g1119 +tp1849 +a(g412 +g1297 +tp1850 +a(g435 +Vto_s +p1851 +tp1852 +a(g826 +g998 +tp1853 +a(g412 +g1838 +tp1854 +a(g826 +g998 +tp1855 +a(g157 +g1029 +tp1856 +a(g157 +g998 +tp1857 +a(g157 +g1029 +tp1858 +a(g826 +V\u000a +p1859 +tp1860 +a(g435 +Vlastpos +p1861 +tp1862 +a(g826 +V +p1863 +tp1864 +a(g412 +g1000 +tp1865 +a(g826 +g998 +tp1866 +a(g435 +Vplace +p1867 +tp1868 +a(g826 +V\u000a +p1869 +tp1870 +a(g744 +Vend +p1871 +tp1872 +a(g826 +V\u000a +p1873 +tp1874 +a(g435 +Vtemplate +p1875 +tp1876 +a(g826 +g998 +tp1877 +a(g412 +V+= +p1878 +tp1879 +a(g826 +g998 +tp1880 +a(g157 +g1029 +tp1881 +a(g157 +VA* +p1882 +tp1883 +a(g157 +g1029 +tp1884 +a(g826 +V\u000a +p1885 +tp1886 +a(g744 +Vreturn +p1887 +tp1888 +a(g826 +g998 +tp1889 +a(g435 +Vtemplate +p1890 +tp1891 +a(g826 +V\u000a +p1892 +tp1893 +a(g744 +Vend +p1894 +tp1895 +a(g826 +V\u000a\u000a +p1896 +tp1897 +a(g435 +Vfmt +p1898 +tp1899 +a(g826 +g998 +tp1900 +a(g412 +g1000 +tp1901 +a(g826 +g998 +tp1902 +a(g435 +Vcut2fmt +p1903 +tp1904 +a(g705 +g1301 +tp1905 +a(g37 +g1510 +tp1906 +a(g705 +g1180 +tp1907 +a(g826 +g998 +tp1908 +a(g37 +V14 +p1909 +tp1910 +a(g705 +g1180 +tp1911 +a(g826 +g998 +tp1912 +a(g37 +V20 +p1913 +tp1914 +a(g705 +g1180 +tp1915 +a(g826 +g998 +tp1916 +a(g37 +V26 +p1917 +tp1918 +a(g705 +g1180 +tp1919 +a(g826 +g998 +tp1920 +a(g37 +V30 +p1921 +tp1922 +a(g705 +g1119 +tp1923 +a(g826 +V\u000a\u000a\u000a +p1924 +tp1925 +a(g8 +V# @@PLEAC@@_1.2 +p1926 +tp1927 +a(g826 +V\u000a +p1928 +tp1929 +a(g8 +V# careful! "b is true" doesn't mean "b != 0" (0 is true in Ruby) +p1930 +tp1931 +a(g826 +V\u000a +p1932 +tp1933 +a(g8 +V# thus no problem of "defined" later since only nil is false +p1934 +tp1935 +a(g826 +V\u000a +p1936 +tp1937 +a(g8 +V# the following sets to `c' if `b' is nil or false +p1938 +tp1939 +a(g826 +V\u000a +p1940 +tp1941 +a(g435 +g1144 +tp1942 +a(g826 +g998 +tp1943 +a(g412 +g1000 +tp1944 +a(g826 +g998 +tp1945 +a(g435 +g1728 +tp1946 +a(g826 +g998 +tp1947 +a(g412 +V|| +p1948 +tp1949 +a(g826 +g998 +tp1950 +a(g435 +g1748 +tp1951 +a(g826 +V\u000a\u000a +p1952 +tp1953 +a(g8 +V# if you need Perl's behaviour (setting to `c' if `b' is 0) the most +p1954 +tp1955 +a(g826 +V\u000a +p1956 +tp1957 +a(g8 +V# effective way is to use Numeric#nonzero? (thanks to Dave Thomas!) +p1958 +tp1959 +a(g826 +V\u000a +p1960 +tp1961 +a(g435 +g1144 +tp1962 +a(g826 +g998 +tp1963 +a(g412 +g1000 +tp1964 +a(g826 +g998 +tp1965 +a(g435 +g1728 +tp1966 +a(g412 +g1297 +tp1967 +a(g435 +Vnonzero? +p1968 +tp1969 +a(g826 +g998 +tp1970 +a(g412 +V|| +p1971 +tp1972 +a(g826 +g998 +tp1973 +a(g435 +g1748 +tp1974 +a(g826 +V\u000a\u000a +p1975 +tp1976 +a(g8 +V# you will still want to use defined? in order to test +p1977 +tp1978 +a(g826 +V\u000a +p1979 +tp1980 +a(g8 +V# for scope existence of a given object +p1981 +tp1982 +a(g826 +V\u000a +p1983 +tp1984 +a(g435 +g1144 +tp1985 +a(g826 +g998 +tp1986 +a(g412 +g1000 +tp1987 +a(g826 +g998 +tp1988 +a(g435 +Vdefined? +p1989 +tp1990 +a(g705 +g1301 +tp1991 +a(g435 +g1728 +tp1992 +a(g705 +g1119 +tp1993 +a(g826 +g998 +tp1994 +a(g705 +V? +p1995 +tp1996 +a(g826 +g998 +tp1997 +a(g435 +g1728 +tp1998 +a(g826 +g998 +tp1999 +a(g705 +V: +p2000 +tp2001 +a(g826 +g998 +tp2002 +a(g435 +g1748 +tp2003 +a(g826 +V\u000a\u000a +p2004 +tp2005 +a(g435 +Vdir +p2006 +tp2007 +a(g826 +g998 +tp2008 +a(g412 +g1000 +tp2009 +a(g826 +g998 +tp2010 +a(g565 +VARGV +p2011 +tp2012 +a(g412 +g1297 +tp2013 +a(g435 +Vshift +p2014 +tp2015 +a(g826 +g998 +tp2016 +a(g412 +V|| +p2017 +tp2018 +a(g826 +g998 +tp2019 +a(g157 +g1029 +tp2020 +a(g157 +V/tmp +p2021 +tp2022 +a(g157 +g1029 +tp2023 +a(g826 +V\u000a\u000a\u000a +p2024 +tp2025 +a(g8 +V# @@PLEAC@@_1.3 +p2026 +tp2027 +a(g826 +V\u000a +p2028 +tp2029 +a(g435 +Vv1 +p2030 +tp2031 +a(g705 +g1180 +tp2032 +a(g826 +g998 +tp2033 +a(g435 +Vv2 +p2034 +tp2035 +a(g826 +g998 +tp2036 +a(g412 +g1000 +tp2037 +a(g826 +g998 +tp2038 +a(g435 +Vv2 +p2039 +tp2040 +a(g705 +g1180 +tp2041 +a(g826 +g998 +tp2042 +a(g435 +Vv1 +p2043 +tp2044 +a(g826 +V\u000a\u000a +p2045 +tp2046 +a(g435 +Valpha +p2047 +tp2048 +a(g705 +g1180 +tp2049 +a(g826 +g998 +tp2050 +a(g435 +Vbeta +p2051 +tp2052 +a(g705 +g1180 +tp2053 +a(g826 +g998 +tp2054 +a(g435 +Vproduction +p2055 +tp2056 +a(g826 +g998 +tp2057 +a(g412 +g1000 +tp2058 +a(g826 +g998 +tp2059 +a(g236 +V%w( +p2060 +tp2061 +a(g236 +VJanuary March August +p2062 +tp2063 +a(g236 +g1119 +tp2064 +a(g826 +V\u000a +p2065 +tp2066 +a(g435 +Valpha +p2067 +tp2068 +a(g705 +g1180 +tp2069 +a(g826 +g998 +tp2070 +a(g435 +Vbeta +p2071 +tp2072 +a(g705 +g1180 +tp2073 +a(g826 +g998 +tp2074 +a(g435 +Vproduction +p2075 +tp2076 +a(g826 +g998 +tp2077 +a(g412 +g1000 +tp2078 +a(g826 +g998 +tp2079 +a(g435 +Vbeta +p2080 +tp2081 +a(g705 +g1180 +tp2082 +a(g826 +g998 +tp2083 +a(g435 +Vproduction +p2084 +tp2085 +a(g705 +g1180 +tp2086 +a(g826 +g998 +tp2087 +a(g435 +Valpha +p2088 +tp2089 +a(g826 +V\u000a\u000a\u000a +p2090 +tp2091 +a(g8 +V# @@PLEAC@@_1.4 +p2092 +tp2093 +a(g826 +V\u000a +p2094 +tp2095 +a(g435 +Vnum +p2096 +tp2097 +a(g826 +g998 +tp2098 +a(g412 +g1000 +tp2099 +a(g826 +g998 +tp2100 +a(g435 +Vchar +p2101 +tp2102 +a(g412 +g1176 +tp2103 +a(g37 +g1401 +tp2104 +a(g412 +g1085 +tp2105 +a(g826 +V\u000a +p2106 +tp2107 +a(g435 +Vchar +p2108 +tp2109 +a(g826 +g998 +tp2110 +a(g412 +g1000 +tp2111 +a(g826 +g998 +tp2112 +a(g435 +Vnum +p2113 +tp2114 +a(g412 +g1297 +tp2115 +a(g435 +Vchr +p2116 +tp2117 +a(g826 +V\u000a\u000a +p2118 +tp2119 +a(g8 +V# Ruby also supports having a char from character constant +p2120 +tp2121 +a(g826 +V\u000a +p2122 +tp2123 +a(g435 +Vnum +p2124 +tp2125 +a(g826 +g998 +tp2126 +a(g412 +g1000 +tp2127 +a(g826 +g998 +tp2128 +a(g93 +V?r +p2129 +tp2130 +a(g826 +V\u000a\u000a +p2131 +tp2132 +a(g435 +Vchar +p2133 +tp2134 +a(g826 +g998 +tp2135 +a(g412 +g1000 +tp2136 +a(g826 +g998 +tp2137 +a(g681 +Vsprintf +p2138 +tp2139 +a(g705 +g1301 +tp2140 +a(g157 +g1029 +tp2141 +a(g157 +V%c +p2142 +tp2143 +a(g157 +g1029 +tp2144 +a(g705 +g1180 +tp2145 +a(g826 +g998 +tp2146 +a(g435 +Vnum +p2147 +tp2148 +a(g705 +g1119 +tp2149 +a(g826 +V\u000a +p2150 +tp2151 +a(g681 +Vprintf +p2152 +tp2153 +a(g705 +g1301 +tp2154 +a(g157 +g1029 +tp2155 +a(g157 +VNumber %d is character %c +p2156 +tp2157 +a(g213 +V\u005cn +p2158 +tp2159 +a(g157 +g1029 +tp2160 +a(g705 +g1180 +tp2161 +a(g826 +g998 +tp2162 +a(g435 +Vnum +p2163 +tp2164 +a(g705 +g1180 +tp2165 +a(g826 +g998 +tp2166 +a(g435 +Vnum +p2167 +tp2168 +a(g705 +g1119 +tp2169 +a(g826 +V\u000a\u000a +p2170 +tp2171 +a(g435 +Vascii +p2172 +tp2173 +a(g826 +g998 +tp2174 +a(g412 +g1000 +tp2175 +a(g826 +g998 +tp2176 +a(g435 +Vstring +p2177 +tp2178 +a(g412 +g1297 +tp2179 +a(g435 +Vunpack +p2180 +tp2181 +a(g705 +g1301 +tp2182 +a(g157 +g1029 +tp2183 +a(g157 +VC* +p2184 +tp2185 +a(g157 +g1029 +tp2186 +a(g705 +g1119 +tp2187 +a(g826 +V\u000a +p2188 +tp2189 +a(g435 +Vstring +p2190 +tp2191 +a(g826 +g998 +tp2192 +a(g412 +g1000 +tp2193 +a(g826 +g998 +tp2194 +a(g435 +Vascii +p2195 +tp2196 +a(g412 +g1297 +tp2197 +a(g435 +Vpack +p2198 +tp2199 +a(g705 +g1301 +tp2200 +a(g157 +g1029 +tp2201 +a(g157 +VC* +p2202 +tp2203 +a(g157 +g1029 +tp2204 +a(g705 +g1119 +tp2205 +a(g826 +V\u000a\u000a +p2206 +tp2207 +a(g435 +Vhal +p2208 +tp2209 +a(g826 +g998 +tp2210 +a(g412 +g1000 +tp2211 +a(g826 +g998 +tp2212 +a(g157 +g1029 +tp2213 +a(g157 +VHAL +p2214 +tp2215 +a(g157 +g1029 +tp2216 +a(g826 +V\u000a +p2217 +tp2218 +a(g435 +Vascii +p2219 +tp2220 +a(g826 +g998 +tp2221 +a(g412 +g1000 +tp2222 +a(g826 +g998 +tp2223 +a(g435 +Vhal +p2224 +tp2225 +a(g412 +g1297 +tp2226 +a(g435 +Vunpack +p2227 +tp2228 +a(g705 +g1301 +tp2229 +a(g157 +g1029 +tp2230 +a(g157 +VC* +p2231 +tp2232 +a(g157 +g1029 +tp2233 +a(g705 +g1119 +tp2234 +a(g826 +V\u000a +p2235 +tp2236 +a(g8 +V# We can't use Array#each since we can't mutate a Fixnum +p2237 +tp2238 +a(g826 +V\u000a +p2239 +tp2240 +a(g435 +Vascii +p2241 +tp2242 +a(g412 +g1297 +tp2243 +a(g435 +Vcollect! +p2244 +tp2245 +a(g826 +g998 +tp2246 +a(g705 +V{ +p2247 +tp2248 +a(g826 +g998 +tp2249 +a(g412 +V| +p2250 +tp2251 +a(g435 +Vi +p2252 +tp2253 +a(g412 +g2250 +tp2254 +a(g826 +V\u000a +p2255 +tp2256 +a(g435 +g2252 +tp2257 +a(g826 +g998 +tp2258 +a(g412 +g1838 +tp2259 +a(g826 +g998 +tp2260 +a(g37 +g1201 +tp2261 +a(g826 +V +p2262 +tp2263 +a(g8 +V# add one to each ASCII value +p2264 +tp2265 +a(g826 +V\u000a +p2266 +tp2267 +a(g705 +g1102 +tp2268 +a(g826 +V \u000a +p2269 +tp2270 +a(g435 +Vibm +p2271 +tp2272 +a(g826 +g998 +tp2273 +a(g412 +g1000 +tp2274 +a(g826 +g998 +tp2275 +a(g435 +Vascii +p2276 +tp2277 +a(g412 +g1297 +tp2278 +a(g435 +Vpack +p2279 +tp2280 +a(g705 +g1301 +tp2281 +a(g157 +g1029 +tp2282 +a(g157 +VC* +p2283 +tp2284 +a(g157 +g1029 +tp2285 +a(g705 +g1119 +tp2286 +a(g826 +V\u000a +p2287 +tp2288 +a(g681 +Vputs +p2289 +tp2290 +a(g826 +g998 +tp2291 +a(g435 +Vibm +p2292 +tp2293 +a(g826 +V\u000a\u000a\u000a +p2294 +tp2295 +a(g8 +V# @@PLEAC@@_1.5 +p2296 +tp2297 +a(g826 +V\u000a +p2298 +tp2299 +a(g435 +Varray +p2300 +tp2301 +a(g826 +g998 +tp2302 +a(g412 +g1000 +tp2303 +a(g826 +g998 +tp2304 +a(g435 +Vstring +p2305 +tp2306 +a(g412 +g1297 +tp2307 +a(g435 +Vsplit +p2308 +tp2309 +a(g705 +g1301 +tp2310 +a(g229 +V'' +p2311 +tp2312 +a(g705 +g1119 +tp2313 +a(g826 +V\u000a\u000a +p2314 +tp2315 +a(g435 +Varray +p2316 +tp2317 +a(g826 +g998 +tp2318 +a(g412 +g1000 +tp2319 +a(g826 +g998 +tp2320 +a(g435 +Vstring +p2321 +tp2322 +a(g412 +g1297 +tp2323 +a(g435 +Vunpack +p2324 +tp2325 +a(g705 +g1301 +tp2326 +a(g157 +g1029 +tp2327 +a(g157 +VC* +p2328 +tp2329 +a(g157 +g1029 +tp2330 +a(g705 +g1119 +tp2331 +a(g826 +V\u000a\u000a +p2332 +tp2333 +a(g435 +Vstring +p2334 +tp2335 +a(g412 +g1297 +tp2336 +a(g435 +Vscan +p2337 +tp2338 +a(g705 +g1301 +tp2339 +a(g197 +g1335 +tp2340 +a(g197 +g1297 +tp2341 +a(g197 +g1335 +tp2342 +a(g705 +g1119 +tp2343 +a(g826 +g998 +tp2344 +a(g705 +g2247 +tp2345 +a(g826 +g998 +tp2346 +a(g412 +g2250 +tp2347 +a(g435 +g1728 +tp2348 +a(g412 +g2250 +tp2349 +a(g826 +V\u000a +p2350 +tp2351 +a(g8 +V# do something with b +p2352 +tp2353 +a(g826 +V\u000a +p2354 +tp2355 +a(g705 +g1102 +tp2356 +a(g826 +V\u000a\u000a +p2357 +tp2358 +a(g435 +Vstring +p2359 +tp2360 +a(g826 +g998 +tp2361 +a(g412 +g1000 +tp2362 +a(g826 +g998 +tp2363 +a(g157 +g1029 +tp2364 +a(g157 +Van apple a day +p2365 +tp2366 +a(g157 +g1029 +tp2367 +a(g826 +V\u000a +p2368 +tp2369 +a(g681 +Vprint +p2370 +tp2371 +a(g826 +g998 +tp2372 +a(g157 +g1029 +tp2373 +a(g157 +Vunique chars are: +p2374 +tp2375 +a(g157 +g1029 +tp2376 +a(g705 +g1180 +tp2377 +a(g826 +g998 +tp2378 +a(g435 +Vstring +p2379 +tp2380 +a(g412 +g1297 +tp2381 +a(g435 +Vsplit +p2382 +tp2383 +a(g705 +g1301 +tp2384 +a(g229 +V'' +p2385 +tp2386 +a(g705 +g1119 +tp2387 +a(g412 +g1297 +tp2388 +a(g435 +Vuniq +p2389 +tp2390 +a(g412 +g1297 +tp2391 +a(g435 +Vsort +p2392 +tp2393 +a(g705 +g1180 +tp2394 +a(g826 +g998 +tp2395 +a(g157 +g1029 +tp2396 +a(g213 +V\u005cn +p2397 +tp2398 +a(g157 +g1029 +tp2399 +a(g826 +V\u000a\u000a +p2400 +tp2401 +a(g435 +Vsum +p2402 +tp2403 +a(g826 +g998 +tp2404 +a(g412 +g1000 +tp2405 +a(g826 +g998 +tp2406 +a(g37 +g1401 +tp2407 +a(g826 +V\u000a +p2408 +tp2409 +a(g744 +Vfor +p2410 +tp2411 +a(g826 +g998 +tp2412 +a(g435 +Vascval +p2413 +tp2414 +a(g826 +g998 +tp2415 +a(g744 +Vin +p2416 +tp2417 +a(g826 +g998 +tp2418 +a(g435 +Vstring +p2419 +tp2420 +a(g412 +g1297 +tp2421 +a(g435 +Vunpack +p2422 +tp2423 +a(g705 +g1301 +tp2424 +a(g157 +g1029 +tp2425 +a(g157 +VC* +p2426 +tp2427 +a(g157 +g1029 +tp2428 +a(g705 +g1119 +tp2429 +a(g826 +g998 +tp2430 +a(g8 +V# or use Array#each for a pure OO style :) +p2431 +tp2432 +a(g826 +V\u000a +p2433 +tp2434 +a(g435 +Vsum +p2435 +tp2436 +a(g826 +g998 +tp2437 +a(g412 +V+= +p2438 +tp2439 +a(g826 +g998 +tp2440 +a(g435 +Vascval +p2441 +tp2442 +a(g826 +V\u000a +p2443 +tp2444 +a(g744 +Vend +p2445 +tp2446 +a(g826 +V\u000a +p2447 +tp2448 +a(g681 +Vputs +p2449 +tp2450 +a(g826 +g998 +tp2451 +a(g157 +g1029 +tp2452 +a(g157 +Vsum is +p2453 +tp2454 +a(g125 +V#{ +p2455 +tp2456 +a(g435 +Vsum +p2457 +tp2458 +a(g826 +g998 +tp2459 +a(g412 +V& +p2460 +tp2461 +a(g826 +g998 +tp2462 +a(g297 +V0xffffffff +p2463 +tp2464 +a(g125 +g1102 +tp2465 +a(g157 +g1029 +tp2466 +a(g826 +g998 +tp2467 +a(g8 +V# since Ruby will go Bignum if necessary +p2468 +tp2469 +a(g826 +V\u000a\u000a +p2470 +tp2471 +a(g8 +V# @@INCLUDE@@ include/ruby/slowcat.rb +p2472 +tp2473 +a(g826 +V\u000a\u000a\u000a +p2474 +tp2475 +a(g8 +V# @@PLEAC@@_1.6 +p2476 +tp2477 +a(g826 +V\u000a +p2478 +tp2479 +a(g435 +Vrevbytes +p2480 +tp2481 +a(g826 +g998 +tp2482 +a(g412 +g1000 +tp2483 +a(g826 +g998 +tp2484 +a(g435 +Vstring +p2485 +tp2486 +a(g412 +g1297 +tp2487 +a(g435 +Vreverse +p2488 +tp2489 +a(g826 +V\u000a\u000a +p2490 +tp2491 +a(g435 +Vrevwords +p2492 +tp2493 +a(g826 +g998 +tp2494 +a(g412 +g1000 +tp2495 +a(g826 +g998 +tp2496 +a(g435 +Vstring +p2497 +tp2498 +a(g412 +g1297 +tp2499 +a(g435 +Vsplit +p2500 +tp2501 +a(g705 +g1301 +tp2502 +a(g157 +g1029 +tp2503 +a(g157 +g998 +tp2504 +a(g157 +g1029 +tp2505 +a(g705 +g1119 +tp2506 +a(g412 +g1297 +tp2507 +a(g435 +Vreverse +p2508 +tp2509 +a(g412 +g1297 +tp2510 +a(g435 +Vjoin +p2511 +tp2512 +a(g705 +g1301 +tp2513 +a(g157 +g1029 +tp2514 +a(g157 +g998 +tp2515 +a(g157 +g1029 +tp2516 +a(g705 +g1119 +tp2517 +a(g826 +V\u000a\u000a +p2518 +tp2519 +a(g435 +Vrevwords +p2520 +tp2521 +a(g826 +g998 +tp2522 +a(g412 +g1000 +tp2523 +a(g826 +g998 +tp2524 +a(g435 +Vstring +p2525 +tp2526 +a(g412 +g1297 +tp2527 +a(g435 +Vsplit +p2528 +tp2529 +a(g705 +g1301 +tp2530 +a(g197 +g1335 +tp2531 +a(g197 +g1301 +tp2532 +a(g197 +V\u005c +p2533 +tp2534 +a(g197 +Vs+) +p2535 +tp2536 +a(g197 +g1335 +tp2537 +a(g705 +g1119 +tp2538 +a(g412 +g1297 +tp2539 +a(g435 +Vreverse +p2540 +tp2541 +a(g412 +g1297 +tp2542 +a(g435 +Vjoin +p2543 +tp2544 +a(g826 +V\u000a\u000a +p2545 +tp2546 +a(g8 +V# using the fact that IO is Enumerable, you can directly "select" it +p2547 +tp2548 +a(g826 +V\u000a +p2549 +tp2550 +a(g435 +Vlong_palindromes +p2551 +tp2552 +a(g826 +g998 +tp2553 +a(g412 +g1000 +tp2554 +a(g826 +g998 +tp2555 +a(g565 +VFile +p2556 +tp2557 +a(g412 +g1297 +tp2558 +a(g435 +Vopen +p2559 +tp2560 +a(g705 +g1301 +tp2561 +a(g157 +g1029 +tp2562 +a(g157 +V/usr/share/dict/words +p2563 +tp2564 +a(g157 +g1029 +tp2565 +a(g705 +g1119 +tp2566 +a(g412 +g1297 +tp2567 +a(g826 +V\u000a +p2568 +tp2569 +a(g681 +Vselect +p2570 +tp2571 +a(g826 +g998 +tp2572 +a(g705 +g2247 +tp2573 +a(g826 +g998 +tp2574 +a(g412 +g2250 +tp2575 +a(g435 +Vw +p2576 +tp2577 +a(g412 +g2250 +tp2578 +a(g826 +g998 +tp2579 +a(g435 +g2576 +tp2580 +a(g412 +g1297 +tp2581 +a(g435 +Vchomp! +p2582 +tp2583 +a(g705 +V; +p2584 +tp2585 +a(g826 +g998 +tp2586 +a(g435 +g2576 +tp2587 +a(g412 +g1297 +tp2588 +a(g435 +Vreverse +p2589 +tp2590 +a(g826 +g998 +tp2591 +a(g412 +V== +p2592 +tp2593 +a(g826 +g998 +tp2594 +a(g435 +g2576 +tp2595 +a(g826 +g998 +tp2596 +a(g412 +V&& +p2597 +tp2598 +a(g826 +g998 +tp2599 +a(g435 +g2576 +tp2600 +a(g412 +g1297 +tp2601 +a(g435 +Vlength +p2602 +tp2603 +a(g826 +g998 +tp2604 +a(g412 +g1136 +tp2605 +a(g826 +g998 +tp2606 +a(g37 +g1337 +tp2607 +a(g826 +g998 +tp2608 +a(g705 +g1102 +tp2609 +a(g826 +V\u000a\u000a\u000a +p2610 +tp2611 +a(g8 +V# @@PLEAC@@_1.7 +p2612 +tp2613 +a(g826 +V\u000a +p2614 +tp2615 +a(g744 +Vwhile +p2616 +tp2617 +a(g826 +g998 +tp2618 +a(g435 +Vstring +p2619 +tp2620 +a(g412 +g1297 +tp2621 +a(g435 +Vsub! +p2622 +tp2623 +a(g705 +g1301 +tp2624 +a(g157 +g1029 +tp2625 +a(g213 +V\u005ct +p2626 +tp2627 +a(g157 +g1838 +tp2628 +a(g157 +g1029 +tp2629 +a(g705 +g1119 +tp2630 +a(g826 +g998 +tp2631 +a(g705 +g2247 +tp2632 +a(g826 +g998 +tp2633 +a(g229 +V' ' +p2634 +tp2635 +a(g826 +g998 +tp2636 +a(g412 +g1326 +tp2637 +a(g826 +g998 +tp2638 +a(g705 +g1301 +tp2639 +a(g469 +V$& +p2640 +tp2641 +a(g412 +g1297 +tp2642 +a(g435 +Vlength +p2643 +tp2644 +a(g826 +g998 +tp2645 +a(g412 +g1326 +tp2646 +a(g826 +g998 +tp2647 +a(g37 +g1510 +tp2648 +a(g826 +g998 +tp2649 +a(g412 +g1199 +tp2650 +a(g826 +g998 +tp2651 +a(g469 +V$` +p2652 +tp2653 +a(g412 +g1297 +tp2654 +a(g435 +Vlength +p2655 +tp2656 +a(g826 +g998 +tp2657 +a(g412 +V% +p2658 +tp2659 +a(g826 +g998 +tp2660 +a(g37 +g1510 +tp2661 +a(g705 +g1119 +tp2662 +a(g826 +g998 +tp2663 +a(g705 +g1102 +tp2664 +a(g826 +V\u000a +p2665 +tp2666 +a(g744 +Vend +p2667 +tp2668 +a(g826 +V\u000a\u000a\u000a +p2669 +tp2670 +a(g8 +V# @@PLEAC@@_1.8 +p2671 +tp2672 +a(g826 +V\u000a +p2673 +tp2674 +a(g229 +V'You owe #{debt} to me' +p2675 +tp2676 +a(g412 +g1297 +tp2677 +a(g435 +Vgsub +p2678 +tp2679 +a(g705 +g1301 +tp2680 +a(g197 +g1335 +tp2681 +a(g197 +V\u005c +p2682 +tp2683 +a(g125 +V#{ +p2684 +tp2685 +a(g705 +g1301 +tp2686 +a(g705 +V\u005c +p2687 +tp2688 +a(g435 +g2576 +tp2689 +a(g412 +g1838 +tp2690 +a(g705 +g1119 +tp2691 +a(g125 +g1102 +tp2692 +a(g197 +g1335 +tp2693 +a(g705 +g1119 +tp2694 +a(g826 +g998 +tp2695 +a(g705 +g2247 +tp2696 +a(g826 +g998 +tp2697 +a(g681 +Veval +p2698 +tp2699 +a(g705 +g1301 +tp2700 +a(g469 +V$1 +p2701 +tp2702 +a(g705 +g1119 +tp2703 +a(g826 +g998 +tp2704 +a(g705 +g1102 +tp2705 +a(g826 +V\u000a\u000a +p2706 +tp2707 +a(g435 +Vrows +p2708 +tp2709 +a(g705 +g1180 +tp2710 +a(g826 +g998 +tp2711 +a(g435 +Vcols +p2712 +tp2713 +a(g826 +g998 +tp2714 +a(g412 +g1000 +tp2715 +a(g826 +g998 +tp2716 +a(g37 +V24 +p2717 +tp2718 +a(g705 +g1180 +tp2719 +a(g826 +g998 +tp2720 +a(g37 +V80 +p2721 +tp2722 +a(g826 +V\u000a +p2723 +tp2724 +a(g435 +Vtext +p2725 +tp2726 +a(g826 +g998 +tp2727 +a(g412 +g1000 +tp2728 +a(g826 +g998 +tp2729 +a(g236 +V%q( +p2730 +tp2731 +a(g236 +VI am +p2732 +tp2733 +a(g236 +V# +p2734 +tp2735 +a(g236 +V{rows} high and +p2736 +tp2737 +a(g236 +g2734 +tp2738 +a(g236 +V{cols} long +p2739 +tp2740 +a(g236 +g1119 +tp2741 +a(g826 +V\u000a +p2742 +tp2743 +a(g435 +Vtext +p2744 +tp2745 +a(g412 +g1297 +tp2746 +a(g435 +Vgsub! +p2747 +tp2748 +a(g705 +g1301 +tp2749 +a(g197 +g1335 +tp2750 +a(g197 +V\u005c +p2751 +tp2752 +a(g125 +V#{ +p2753 +tp2754 +a(g705 +g1301 +tp2755 +a(g705 +V\u005c +p2756 +tp2757 +a(g435 +g2576 +tp2758 +a(g412 +g1838 +tp2759 +a(g705 +g1119 +tp2760 +a(g125 +g1102 +tp2761 +a(g197 +g1335 +tp2762 +a(g705 +g1119 +tp2763 +a(g826 +g998 +tp2764 +a(g705 +g2247 +tp2765 +a(g826 +g998 +tp2766 +a(g681 +Veval +p2767 +tp2768 +a(g705 +g1301 +tp2769 +a(g157 +g1029 +tp2770 +a(g125 +V#{ +p2771 +tp2772 +a(g469 +V$1 +p2773 +tp2774 +a(g125 +g1102 +tp2775 +a(g157 +g1029 +tp2776 +a(g705 +g1119 +tp2777 +a(g826 +g998 +tp2778 +a(g705 +g1102 +tp2779 +a(g826 +V\u000a +p2780 +tp2781 +a(g681 +Vputs +p2782 +tp2783 +a(g826 +g998 +tp2784 +a(g435 +Vtext +p2785 +tp2786 +a(g826 +V\u000a\u000a +p2787 +tp2788 +a(g229 +V'I am 17 years old' +p2789 +tp2790 +a(g412 +g1297 +tp2791 +a(g435 +Vgsub +p2792 +tp2793 +a(g705 +g1301 +tp2794 +a(g197 +g1335 +tp2795 +a(g197 +V\u005c +p2796 +tp2797 +a(g197 +Vd+ +p2798 +tp2799 +a(g197 +g1335 +tp2800 +a(g705 +g1119 +tp2801 +a(g826 +g998 +tp2802 +a(g705 +g2247 +tp2803 +a(g826 +g998 +tp2804 +a(g37 +g1425 +tp2805 +a(g826 +g998 +tp2806 +a(g412 +g1326 +tp2807 +a(g826 +g998 +tp2808 +a(g469 +V$& +p2809 +tp2810 +a(g412 +g1297 +tp2811 +a(g435 +Vto_i +p2812 +tp2813 +a(g826 +g998 +tp2814 +a(g705 +g1102 +tp2815 +a(g826 +V\u000a\u000a\u000a +p2816 +tp2817 +a(g8 +V# @@PLEAC@@_1.9 +p2818 +tp2819 +a(g826 +V\u000a +p2820 +tp2821 +a(g435 +Ve +p2822 +tp2823 +a(g826 +g998 +tp2824 +a(g412 +g1000 +tp2825 +a(g826 +g998 +tp2826 +a(g157 +g1029 +tp2827 +a(g157 +Vbo peep +p2828 +tp2829 +a(g157 +g1029 +tp2830 +a(g412 +g1297 +tp2831 +a(g435 +Vupcase +p2832 +tp2833 +a(g826 +V\u000a +p2834 +tp2835 +a(g435 +g2822 +tp2836 +a(g412 +g1297 +tp2837 +a(g435 +Vdowncase! +p2838 +tp2839 +a(g826 +V\u000a +p2840 +tp2841 +a(g435 +g2822 +tp2842 +a(g412 +g1297 +tp2843 +a(g435 +Vcapitalize! +p2844 +tp2845 +a(g826 +V\u000a\u000a +p2846 +tp2847 +a(g157 +g1029 +tp2848 +a(g157 +VthIS is a loNG liNE +p2849 +tp2850 +a(g157 +g1029 +tp2851 +a(g412 +g1297 +tp2852 +a(g435 +Vgsub! +p2853 +tp2854 +a(g705 +g1301 +tp2855 +a(g197 +g1335 +tp2856 +a(g197 +V\u005c +p2857 +tp2858 +a(g197 +Vw+ +p2859 +tp2860 +a(g197 +g1335 +tp2861 +a(g705 +g1119 +tp2862 +a(g826 +g998 +tp2863 +a(g705 +g2247 +tp2864 +a(g826 +g998 +tp2865 +a(g469 +V$& +p2866 +tp2867 +a(g412 +g1297 +tp2868 +a(g435 +Vcapitalize +p2869 +tp2870 +a(g826 +g998 +tp2871 +a(g705 +g1102 +tp2872 +a(g826 +V\u000a\u000a\u000a +p2873 +tp2874 +a(g8 +V# @@PLEAC@@_1.10 +p2875 +tp2876 +a(g826 +V\u000a +p2877 +tp2878 +a(g157 +g1029 +tp2879 +a(g157 +VI have +p2880 +tp2881 +a(g125 +V#{ +p2882 +tp2883 +a(g435 +Vn +p2884 +tp2885 +a(g412 +g1838 +tp2886 +a(g37 +g1201 +tp2887 +a(g125 +g1102 +tp2888 +a(g157 +V guanacos. +p2889 +tp2890 +a(g157 +g1029 +tp2891 +a(g826 +V\u000a +p2892 +tp2893 +a(g681 +Vprint +p2894 +tp2895 +a(g826 +g998 +tp2896 +a(g157 +g1029 +tp2897 +a(g157 +VI have +p2898 +tp2899 +a(g157 +g1029 +tp2900 +a(g705 +g1180 +tp2901 +a(g826 +g998 +tp2902 +a(g435 +g2884 +tp2903 +a(g412 +g1838 +tp2904 +a(g37 +g1201 +tp2905 +a(g705 +g1180 +tp2906 +a(g826 +g998 +tp2907 +a(g157 +g1029 +tp2908 +a(g157 +V guanacos. +p2909 +tp2910 +a(g157 +g1029 +tp2911 +a(g826 +V\u000a\u000a\u000a +p2912 +tp2913 +a(g8 +V# @@PLEAC@@_1.11 +p2914 +tp2915 +a(g826 +V\u000a +p2916 +tp2917 +a(g435 +Vvar +p2918 +tp2919 +a(g826 +g998 +tp2920 +a(g412 +g1000 +tp2921 +a(g826 +g998 +tp2922 +a(g412 +V<< +p2923 +tp2924 +a(g109 +V' +p2925 +tp2926 +a(g133 +VEOF +p2927 +tp2928 +a(g109 +g2925 +tp2929 +a(g412 +g1297 +tp2930 +a(g435 +Vgsub +p2931 +tp2932 +a(g705 +g1301 +tp2933 +a(g197 +g1335 +tp2934 +a(g197 +V^ +p2935 +tp2936 +a(g197 +V\u005c +p2937 +tp2938 +a(g197 +Vs+ +p2939 +tp2940 +a(g197 +g1335 +tp2941 +a(g705 +g1180 +tp2942 +a(g826 +g998 +tp2943 +a(g229 +V'' +p2944 +tp2945 +a(g705 +g1119 +tp2946 +a(g826 +V\u000a +p2947 +tp2948 +a(g109 +V your text\u000a +p2949 +tp2950 +a(g109 +V goes here\u000a +p2951 +tp2952 +a(g133 +VEOF\u000a +p2953 +tp2954 +a(g826 +V\u000a\u000a +p2955 +tp2956 +a(g8 +V# @@PLEAC@@_1.12 +p2957 +tp2958 +a(g826 +V\u000a +p2959 +tp2960 +a(g435 +Vstring +p2961 +tp2962 +a(g826 +g998 +tp2963 +a(g412 +g1000 +tp2964 +a(g826 +g998 +tp2965 +a(g157 +g1029 +tp2966 +a(g157 +VFolding and splicing is the work of an editor, +p2967 +tp2968 +a(g213 +V\u005cn +p2969 +tp2970 +a(g157 +g1029 +tp2971 +a(g412 +g1838 +tp2972 +a(g826 +V\u000a +p2973 +tp2974 +a(g157 +g1029 +tp2975 +a(g157 +Vnot a mere collection of silicon +p2976 +tp2977 +a(g213 +V\u005cn +p2978 +tp2979 +a(g157 +g1029 +tp2980 +a(g412 +g1838 +tp2981 +a(g826 +V \u000a +p2982 +tp2983 +a(g157 +g1029 +tp2984 +a(g157 +Vand +p2985 +tp2986 +a(g213 +V\u005cn +p2987 +tp2988 +a(g157 +g1029 +tp2989 +a(g412 +g1838 +tp2990 +a(g826 +V\u000a +p2991 +tp2992 +a(g157 +g1029 +tp2993 +a(g157 +Vmobile electrons! +p2994 +tp2995 +a(g157 +g1029 +tp2996 +a(g826 +V\u000a\u000a +p2997 +tp2998 +a(g744 +Vdef +p2999 +tp3000 +a(g826 +g998 +tp3001 +a(g573 +Vwrap +p3002 +tp3003 +a(g705 +g1301 +tp3004 +a(g435 +Vstr +p3005 +tp3006 +a(g705 +g1180 +tp3007 +a(g826 +g998 +tp3008 +a(g435 +Vmax_size +p3009 +tp3010 +a(g705 +g1119 +tp3011 +a(g826 +V\u000a +p3012 +tp3013 +a(g435 +Vall +p3014 +tp3015 +a(g826 +g998 +tp3016 +a(g412 +g1000 +tp3017 +a(g826 +g998 +tp3018 +a(g412 +g1176 +tp3019 +a(g412 +g1085 +tp3020 +a(g826 +V\u000a +p3021 +tp3022 +a(g435 +Vline +p3023 +tp3024 +a(g826 +g998 +tp3025 +a(g412 +g1000 +tp3026 +a(g826 +g998 +tp3027 +a(g229 +V'' +p3028 +tp3029 +a(g826 +V\u000a +p3030 +tp3031 +a(g744 +Vfor +p3032 +tp3033 +a(g826 +g998 +tp3034 +a(g435 +Vl +p3035 +tp3036 +a(g826 +g998 +tp3037 +a(g744 +Vin +p3038 +tp3039 +a(g826 +g998 +tp3040 +a(g435 +Vstr +p3041 +tp3042 +a(g412 +g1297 +tp3043 +a(g435 +Vsplit +p3044 +tp3045 +a(g826 +V\u000a +p3046 +tp3047 +a(g744 +Vif +p3048 +tp3049 +a(g826 +g998 +tp3050 +a(g705 +g1301 +tp3051 +a(g435 +Vline +p3052 +tp3053 +a(g412 +g1838 +tp3054 +a(g435 +g3035 +tp3055 +a(g705 +g1119 +tp3056 +a(g412 +g1297 +tp3057 +a(g435 +Vlength +p3058 +tp3059 +a(g826 +g998 +tp3060 +a(g412 +g1136 +tp3061 +a(g412 +g1000 +tp3062 +a(g826 +g998 +tp3063 +a(g435 +Vmax_size +p3064 +tp3065 +a(g826 +V\u000a +p3066 +tp3067 +a(g435 +Vall +p3068 +tp3069 +a(g412 +g1297 +tp3070 +a(g435 +Vpush +p3071 +tp3072 +a(g705 +g1301 +tp3073 +a(g435 +Vline +p3074 +tp3075 +a(g705 +g1119 +tp3076 +a(g826 +V\u000a +p3077 +tp3078 +a(g435 +Vline +p3079 +tp3080 +a(g826 +g998 +tp3081 +a(g412 +g1000 +tp3082 +a(g826 +g998 +tp3083 +a(g229 +V'' +p3084 +tp3085 +a(g826 +V\u000a +p3086 +tp3087 +a(g744 +Vend +p3088 +tp3089 +a(g826 +V\u000a +p3090 +tp3091 +a(g435 +Vline +p3092 +tp3093 +a(g826 +g998 +tp3094 +a(g412 +V+= +p3095 +tp3096 +a(g826 +g998 +tp3097 +a(g435 +Vline +p3098 +tp3099 +a(g826 +g998 +tp3100 +a(g412 +V== +p3101 +tp3102 +a(g826 +g998 +tp3103 +a(g229 +V'' +p3104 +tp3105 +a(g826 +g998 +tp3106 +a(g705 +g1995 +tp3107 +a(g826 +g998 +tp3108 +a(g435 +g3035 +tp3109 +a(g826 +g998 +tp3110 +a(g705 +g2000 +tp3111 +a(g826 +g998 +tp3112 +a(g229 +V' ' +p3113 +tp3114 +a(g826 +g998 +tp3115 +a(g412 +g1838 +tp3116 +a(g826 +g998 +tp3117 +a(g435 +g3035 +tp3118 +a(g826 +V\u000a +p3119 +tp3120 +a(g744 +Vend +p3121 +tp3122 +a(g826 +V\u000a +p3123 +tp3124 +a(g435 +Vall +p3125 +tp3126 +a(g412 +g1297 +tp3127 +a(g435 +Vpush +p3128 +tp3129 +a(g705 +g1301 +tp3130 +a(g435 +Vline +p3131 +tp3132 +a(g705 +g1119 +tp3133 +a(g412 +g1297 +tp3134 +a(g435 +Vjoin +p3135 +tp3136 +a(g705 +g1301 +tp3137 +a(g157 +g1029 +tp3138 +a(g213 +V\u005cn +p3139 +tp3140 +a(g157 +g1029 +tp3141 +a(g705 +g1119 +tp3142 +a(g826 +V\u000a +p3143 +tp3144 +a(g744 +Vend +p3145 +tp3146 +a(g826 +V\u000a\u000a +p3147 +tp3148 +a(g681 +Vprint +p3149 +tp3150 +a(g826 +g998 +tp3151 +a(g435 +Vwrap +p3152 +tp3153 +a(g705 +g1301 +tp3154 +a(g435 +Vstring +p3155 +tp3156 +a(g705 +g1180 +tp3157 +a(g826 +g998 +tp3158 +a(g37 +V20 +p3159 +tp3160 +a(g705 +g1119 +tp3161 +a(g826 +V\u000a +p3162 +tp3163 +a(g8 +V#=> Folding and +p3164 +tp3165 +a(g826 +V\u000a +p3166 +tp3167 +a(g8 +V#=> splicing is the +p3168 +tp3169 +a(g826 +V\u000a +p3170 +tp3171 +a(g8 +V#=> work of an editor, +p3172 +tp3173 +a(g826 +V\u000a +p3174 +tp3175 +a(g8 +V#=> not a mere +p3176 +tp3177 +a(g826 +V\u000a +p3178 +tp3179 +a(g8 +V#=> collection of +p3180 +tp3181 +a(g826 +V\u000a +p3182 +tp3183 +a(g8 +V#=> silicon and mobile +p3184 +tp3185 +a(g826 +V\u000a +p3186 +tp3187 +a(g8 +V#=> electrons! +p3188 +tp3189 +a(g826 +V\u000a\u000a\u000a +p3190 +tp3191 +a(g8 +V# @@PLEAC@@_1.13 +p3192 +tp3193 +a(g826 +V\u000a +p3194 +tp3195 +a(g435 +Vstring +p3196 +tp3197 +a(g826 +g998 +tp3198 +a(g412 +g1000 +tp3199 +a(g826 +g998 +tp3200 +a(g236 +V%q( +p3201 +tp3202 +a(g236 +VMom said, "Don't do that." +p3203 +tp3204 +a(g236 +g1119 +tp3205 +a(g826 +V\u000a +p3206 +tp3207 +a(g435 +Vstring +p3208 +tp3209 +a(g412 +g1297 +tp3210 +a(g435 +Vgsub +p3211 +tp3212 +a(g705 +g1301 +tp3213 +a(g197 +g1335 +tp3214 +a(g197 +V['"] +p3215 +tp3216 +a(g197 +g1335 +tp3217 +a(g705 +g1119 +tp3218 +a(g826 +g998 +tp3219 +a(g705 +g2247 +tp3220 +a(g826 +g998 +tp3221 +a(g229 +V'\u005c\u005c' +p3222 +tp3223 +a(g412 +g1838 +tp3224 +a(g469 +V$& +p3225 +tp3226 +a(g826 +g998 +tp3227 +a(g705 +g1102 +tp3228 +a(g826 +V\u000a +p3229 +tp3230 +a(g435 +Vstring +p3231 +tp3232 +a(g412 +g1297 +tp3233 +a(g435 +Vgsub +p3234 +tp3235 +a(g705 +g1301 +tp3236 +a(g197 +g1335 +tp3237 +a(g197 +V['"] +p3238 +tp3239 +a(g197 +g1335 +tp3240 +a(g705 +g1180 +tp3241 +a(g826 +g998 +tp3242 +a(g229 +V'\u005c&\u005c&' +p3243 +tp3244 +a(g705 +g1119 +tp3245 +a(g826 +V\u000a +p3246 +tp3247 +a(g435 +Vstring +p3248 +tp3249 +a(g412 +g1297 +tp3250 +a(g435 +Vgsub +p3251 +tp3252 +a(g705 +g1301 +tp3253 +a(g197 +g1335 +tp3254 +a(g197 +V[^A-Z] +p3255 +tp3256 +a(g197 +g1335 +tp3257 +a(g705 +g1119 +tp3258 +a(g826 +g998 +tp3259 +a(g705 +g2247 +tp3260 +a(g826 +g998 +tp3261 +a(g229 +V'\u005c\u005c' +p3262 +tp3263 +a(g412 +g1838 +tp3264 +a(g469 +V$& +p3265 +tp3266 +a(g826 +g998 +tp3267 +a(g705 +g1102 +tp3268 +a(g826 +V\u000a +p3269 +tp3270 +a(g157 +g1029 +tp3271 +a(g157 +Vis a test! +p3272 +tp3273 +a(g157 +g1029 +tp3274 +a(g412 +g1297 +tp3275 +a(g435 +Vgsub +p3276 +tp3277 +a(g705 +g1301 +tp3278 +a(g197 +g1335 +tp3279 +a(g197 +V\u005c +p3280 +tp3281 +a(g197 +VW +p3282 +tp3283 +a(g197 +g1335 +tp3284 +a(g705 +g1119 +tp3285 +a(g826 +g998 +tp3286 +a(g705 +g2247 +tp3287 +a(g826 +g998 +tp3288 +a(g229 +V'\u005c\u005c' +p3289 +tp3290 +a(g412 +g1838 +tp3291 +a(g469 +V$& +p3292 +tp3293 +a(g826 +g998 +tp3294 +a(g705 +g1102 +tp3295 +a(g826 +V +p3296 +tp3297 +a(g8 +V# no function like quotemeta? +p3298 +tp3299 +a(g826 +V\u000a\u000a\u000a +p3300 +tp3301 +a(g8 +V# @@PLEAC@@_1.14 +p3302 +tp3303 +a(g826 +V\u000a +p3304 +tp3305 +a(g435 +Vstring +p3306 +tp3307 +a(g412 +g1297 +tp3308 +a(g435 +Vstrip! +p3309 +tp3310 +a(g826 +V\u000a\u000a\u000a +p3311 +tp3312 +a(g8 +V# @@PLEAC@@_1.15 +p3313 +tp3314 +a(g826 +V\u000a +p3315 +tp3316 +a(g744 +Vdef +p3317 +tp3318 +a(g826 +g998 +tp3319 +a(g573 +Vparse_csv +p3320 +tp3321 +a(g705 +g1301 +tp3322 +a(g435 +Vtext +p3323 +tp3324 +a(g705 +g1119 +tp3325 +a(g826 +V\u000a +p3326 +tp3327 +a(g785 +Vnew +p3328 +tp3329 +a(g826 +g998 +tp3330 +a(g412 +g1000 +tp3331 +a(g826 +g998 +tp3332 +a(g435 +Vtext +p3333 +tp3334 +a(g412 +g1297 +tp3335 +a(g435 +Vscan +p3336 +tp3337 +a(g705 +g1301 +tp3338 +a(g197 +g1335 +tp3339 +a(g197 +V"([^ +p3340 +tp3341 +a(g197 +V\u005c +p3342 +tp3343 +a(g197 +g1029 +tp3344 +a(g197 +V\u005c\u005c +p3345 +tp3346 +a(g197 +V]*(?: +p3347 +tp3348 +a(g197 +V\u005c\u005c +p3349 +tp3350 +a(g197 +V.[^ +p3351 +tp3352 +a(g197 +V\u005c +p3353 +tp3354 +a(g197 +g1029 +tp3355 +a(g197 +V\u005c\u005c +p3356 +tp3357 +a(g197 +V]*)*)",?|([^,]+),?|, +p3358 +tp3359 +a(g197 +g1335 +tp3360 +a(g705 +g1119 +tp3361 +a(g826 +V\u000a +p3362 +tp3363 +a(g785 +Vnew +p3364 +tp3365 +a(g826 +g998 +tp3366 +a(g412 +V<< +p3367 +tp3368 +a(g826 +g998 +tp3369 +a(g785 +Vnil +p3370 +tp3371 +a(g826 +g998 +tp3372 +a(g744 +Vif +p3373 +tp3374 +a(g826 +g998 +tp3375 +a(g435 +Vtext +p3376 +tp3377 +a(g412 +g1176 +tp3378 +a(g412 +g1199 +tp3379 +a(g37 +g1201 +tp3380 +a(g412 +g1085 +tp3381 +a(g826 +g998 +tp3382 +a(g412 +V== +p3383 +tp3384 +a(g826 +g998 +tp3385 +a(g93 +V?, +p3386 +tp3387 +a(g826 +V\u000a +p3388 +tp3389 +a(g785 +Vnew +p3390 +tp3391 +a(g412 +g1297 +tp3392 +a(g435 +Vflatten +p3393 +tp3394 +a(g412 +g1297 +tp3395 +a(g435 +Vcompact +p3396 +tp3397 +a(g826 +V\u000a +p3398 +tp3399 +a(g744 +Vend +p3400 +tp3401 +a(g826 +V \u000a\u000a +p3402 +tp3403 +a(g435 +Vline +p3404 +tp3405 +a(g826 +g998 +tp3406 +a(g412 +g1000 +tp3407 +a(g826 +g998 +tp3408 +a(g236 +V%q< +p3409 +tp3410 +a(g236 +VXYZZY,"","O'Reilly, Inc","Wall, Larry","a +p3411 +tp3412 +a(g236 +V\u005c +p3413 +tp3414 +a(g236 +V"glug +p3415 +tp3416 +a(g236 +V\u005c +p3417 +tp3418 +a(g236 +V" bit,",5,"Error, Core Dumped" +p3419 +tp3420 +a(g236 +g1136 +tp3421 +a(g826 +V\u000a +p3422 +tp3423 +a(g435 +Vfields +p3424 +tp3425 +a(g826 +g998 +tp3426 +a(g412 +g1000 +tp3427 +a(g826 +g998 +tp3428 +a(g435 +Vparse_csv +p3429 +tp3430 +a(g705 +g1301 +tp3431 +a(g435 +Vline +p3432 +tp3433 +a(g705 +g1119 +tp3434 +a(g826 +V\u000a +p3435 +tp3436 +a(g435 +Vfields +p3437 +tp3438 +a(g412 +g1297 +tp3439 +a(g435 +Veach_with_index +p3440 +tp3441 +a(g826 +g998 +tp3442 +a(g705 +g2247 +tp3443 +a(g826 +g998 +tp3444 +a(g412 +g2250 +tp3445 +a(g435 +Vv +p3446 +tp3447 +a(g705 +g1180 +tp3448 +a(g435 +g2252 +tp3449 +a(g412 +g2250 +tp3450 +a(g826 +V\u000a +p3451 +tp3452 +a(g681 +Vprint +p3453 +tp3454 +a(g826 +g998 +tp3455 +a(g157 +g1029 +tp3456 +a(g125 +V#{ +p3457 +tp3458 +a(g435 +g2252 +tp3459 +a(g125 +g1102 +tp3460 +a(g157 +V : +p3461 +tp3462 +a(g125 +V#{ +p3463 +tp3464 +a(g435 +g3446 +tp3465 +a(g125 +g1102 +tp3466 +a(g213 +V\u005cn +p3467 +tp3468 +a(g157 +g1029 +tp3469 +a(g705 +g2584 +tp3470 +a(g826 +V\u000a +p3471 +tp3472 +a(g705 +g1102 +tp3473 +a(g826 +V\u000a\u000a\u000a +p3474 +tp3475 +a(g8 +V# @@PLEAC@@_1.16 +p3476 +tp3477 +a(g826 +V\u000a +p3478 +tp3479 +a(g8 +V# Use the soundex.rb Library from Michael Neumann. +p3480 +tp3481 +a(g826 +V\u000a +p3482 +tp3483 +a(g8 +V# http://www.s-direktnet.de/homepages/neumann/rb_prgs/Soundex.rb +p3484 +tp3485 +a(g826 +V\u000a +p3486 +tp3487 +a(g681 +Vrequire +p3488 +tp3489 +a(g826 +g998 +tp3490 +a(g229 +V'Soundex' +p3491 +tp3492 +a(g826 +V\u000a\u000a +p3493 +tp3494 +a(g435 +Vcode +p3495 +tp3496 +a(g826 +g998 +tp3497 +a(g412 +g1000 +tp3498 +a(g826 +g998 +tp3499 +a(g565 +VText +p3500 +tp3501 +a(g412 +V:: +p3502 +tp3503 +a(g565 +VSoundex +p3504 +tp3505 +a(g412 +g1297 +tp3506 +a(g435 +Vsoundex +p3507 +tp3508 +a(g705 +g1301 +tp3509 +a(g435 +Vstring +p3510 +tp3511 +a(g705 +g1119 +tp3512 +a(g826 +V\u000a +p3513 +tp3514 +a(g435 +Vcodes +p3515 +tp3516 +a(g826 +g998 +tp3517 +a(g412 +g1000 +tp3518 +a(g826 +g998 +tp3519 +a(g565 +VText +p3520 +tp3521 +a(g412 +V:: +p3522 +tp3523 +a(g565 +VSoundex +p3524 +tp3525 +a(g412 +g1297 +tp3526 +a(g435 +Vsoundex +p3527 +tp3528 +a(g705 +g1301 +tp3529 +a(g435 +Varray +p3530 +tp3531 +a(g705 +g1119 +tp3532 +a(g826 +V\u000a\u000a +p3533 +tp3534 +a(g8 +V# substitution function for getpwent(): +p3535 +tp3536 +a(g826 +V\u000a +p3537 +tp3538 +a(g8 +V# returns an array of user entries, +p3539 +tp3540 +a(g826 +V\u000a +p3541 +tp3542 +a(g8 +V# each entry contains the username and the full name +p3543 +tp3544 +a(g826 +V\u000a +p3545 +tp3546 +a(g744 +Vdef +p3547 +tp3548 +a(g826 +g998 +tp3549 +a(g573 +Vlogin_names +p3550 +tp3551 +a(g826 +V\u000a +p3552 +tp3553 +a(g435 +Vresult +p3554 +tp3555 +a(g826 +g998 +tp3556 +a(g412 +g1000 +tp3557 +a(g826 +g998 +tp3558 +a(g412 +g1176 +tp3559 +a(g412 +g1085 +tp3560 +a(g826 +V\u000a +p3561 +tp3562 +a(g565 +VFile +p3563 +tp3564 +a(g412 +g1297 +tp3565 +a(g435 +Vopen +p3566 +tp3567 +a(g705 +g1301 +tp3568 +a(g157 +g1029 +tp3569 +a(g157 +V/etc/passwd +p3570 +tp3571 +a(g157 +g1029 +tp3572 +a(g705 +g1119 +tp3573 +a(g826 +g998 +tp3574 +a(g705 +g2247 +tp3575 +a(g826 +g998 +tp3576 +a(g412 +g2250 +tp3577 +a(g435 +Vfile +p3578 +tp3579 +a(g412 +g2250 +tp3580 +a(g826 +V\u000a +p3581 +tp3582 +a(g435 +Vfile +p3583 +tp3584 +a(g412 +g1297 +tp3585 +a(g435 +Veach_line +p3586 +tp3587 +a(g826 +g998 +tp3588 +a(g705 +g2247 +tp3589 +a(g826 +g998 +tp3590 +a(g412 +g2250 +tp3591 +a(g435 +Vline +p3592 +tp3593 +a(g412 +g2250 +tp3594 +a(g826 +V\u000a +p3595 +tp3596 +a(g744 +Vnext +p3597 +tp3598 +a(g826 +g998 +tp3599 +a(g744 +Vif +p3600 +tp3601 +a(g826 +g998 +tp3602 +a(g435 +Vline +p3603 +tp3604 +a(g412 +g1297 +tp3605 +a(g435 +Vmatch +p3606 +tp3607 +a(g705 +g1301 +tp3608 +a(g197 +g1335 +tp3609 +a(g197 +g2935 +tp3610 +a(g197 +g2734 +tp3611 +a(g197 +g1335 +tp3612 +a(g705 +g1119 +tp3613 +a(g826 +V\u000a +p3614 +tp3615 +a(g435 +Vcols +p3616 +tp3617 +a(g826 +g998 +tp3618 +a(g412 +g1000 +tp3619 +a(g826 +g998 +tp3620 +a(g435 +Vline +p3621 +tp3622 +a(g412 +g1297 +tp3623 +a(g435 +Vsplit +p3624 +tp3625 +a(g705 +g1301 +tp3626 +a(g157 +g1029 +tp3627 +a(g157 +g2000 +tp3628 +a(g157 +g1029 +tp3629 +a(g705 +g1119 +tp3630 +a(g826 +V\u000a +p3631 +tp3632 +a(g435 +Vresult +p3633 +tp3634 +a(g412 +g1297 +tp3635 +a(g435 +Vpush +p3636 +tp3637 +a(g705 +g1301 +tp3638 +a(g412 +g1176 +tp3639 +a(g435 +Vcols +p3640 +tp3641 +a(g412 +g1176 +tp3642 +a(g37 +g1401 +tp3643 +a(g412 +g1085 +tp3644 +a(g705 +g1180 +tp3645 +a(g826 +g998 +tp3646 +a(g435 +Vcols +p3647 +tp3648 +a(g412 +g1176 +tp3649 +a(g37 +g1487 +tp3650 +a(g412 +g1085 +tp3651 +a(g412 +g1085 +tp3652 +a(g705 +g1119 +tp3653 +a(g826 +V\u000a +p3654 +tp3655 +a(g705 +g1102 +tp3656 +a(g826 +V\u000a +p3657 +tp3658 +a(g705 +g1102 +tp3659 +a(g826 +V\u000a +p3660 +tp3661 +a(g435 +Vresult +p3662 +tp3663 +a(g826 +V\u000a +p3664 +tp3665 +a(g744 +Vend +p3666 +tp3667 +a(g826 +V\u000a\u000a +p3668 +tp3669 +a(g681 +Vputs +p3670 +tp3671 +a(g826 +g998 +tp3672 +a(g157 +g1029 +tp3673 +a(g157 +VLookup user: +p3674 +tp3675 +a(g157 +g1029 +tp3676 +a(g826 +V\u000a +p3677 +tp3678 +a(g435 +Vuser +p3679 +tp3680 +a(g826 +g998 +tp3681 +a(g412 +g1000 +tp3682 +a(g826 +g998 +tp3683 +a(g565 +VSTDIN +p3684 +tp3685 +a(g412 +g1297 +tp3686 +a(g435 +Vgets +p3687 +tp3688 +a(g826 +V\u000a +p3689 +tp3690 +a(g435 +Vuser +p3691 +tp3692 +a(g412 +g1297 +tp3693 +a(g435 +Vchomp! +p3694 +tp3695 +a(g826 +V\u000a +p3696 +tp3697 +a(g681 +Vexit +p3698 +tp3699 +a(g826 +g998 +tp3700 +a(g744 +Vunless +p3701 +tp3702 +a(g826 +g998 +tp3703 +a(g435 +Vuser +p3704 +tp3705 +a(g826 +V\u000a +p3706 +tp3707 +a(g435 +Vname_code +p3708 +tp3709 +a(g826 +g998 +tp3710 +a(g412 +g1000 +tp3711 +a(g826 +g998 +tp3712 +a(g565 +VText +p3713 +tp3714 +a(g412 +V:: +p3715 +tp3716 +a(g565 +VSoundex +p3717 +tp3718 +a(g412 +g1297 +tp3719 +a(g435 +Vsoundex +p3720 +tp3721 +a(g705 +g1301 +tp3722 +a(g435 +Vuser +p3723 +tp3724 +a(g705 +g1119 +tp3725 +a(g826 +V\u000a\u000a +p3726 +tp3727 +a(g435 +Vsplitter +p3728 +tp3729 +a(g826 +g998 +tp3730 +a(g412 +g1000 +tp3731 +a(g826 +g998 +tp3732 +a(g565 +VRegexp +p3733 +tp3734 +a(g412 +g1297 +tp3735 +a(g435 +Vnew +p3736 +tp3737 +a(g705 +g1301 +tp3738 +a(g229 +V'(\u005cw+)[^,]*\u005cb(\u005cw+)' +p3739 +tp3740 +a(g705 +g1119 +tp3741 +a(g826 +V\u000a +p3742 +tp3743 +a(g744 +Vfor +p3744 +tp3745 +a(g826 +g998 +tp3746 +a(g435 +Vusername +p3747 +tp3748 +a(g705 +g1180 +tp3749 +a(g826 +g998 +tp3750 +a(g435 +Vfullname +p3751 +tp3752 +a(g826 +g998 +tp3753 +a(g744 +Vin +p3754 +tp3755 +a(g826 +g998 +tp3756 +a(g435 +Vlogin_names +p3757 +tp3758 +a(g826 +g998 +tp3759 +a(g744 +Vdo +p3760 +tp3761 +a(g826 +V\u000a +p3762 +tp3763 +a(g435 +Vfirstname +p3764 +tp3765 +a(g705 +g1180 +tp3766 +a(g826 +g998 +tp3767 +a(g435 +Vlastname +p3768 +tp3769 +a(g826 +g998 +tp3770 +a(g412 +g1000 +tp3771 +a(g826 +g998 +tp3772 +a(g435 +Vsplitter +p3773 +tp3774 +a(g412 +g1297 +tp3775 +a(g435 +Vmatch +p3776 +tp3777 +a(g705 +g1301 +tp3778 +a(g435 +Vfullname +p3779 +tp3780 +a(g705 +g1119 +tp3781 +a(g412 +g1176 +tp3782 +a(g37 +g1201 +tp3783 +a(g705 +g1180 +tp3784 +a(g37 +g1425 +tp3785 +a(g412 +g1085 +tp3786 +a(g826 +V\u000a +p3787 +tp3788 +a(g744 +Vif +p3789 +tp3790 +a(g826 +g998 +tp3791 +a(g435 +Vname_code +p3792 +tp3793 +a(g826 +g998 +tp3794 +a(g412 +V== +p3795 +tp3796 +a(g826 +g998 +tp3797 +a(g565 +VText +p3798 +tp3799 +a(g412 +V:: +p3800 +tp3801 +a(g565 +VSoundex +p3802 +tp3803 +a(g412 +g1297 +tp3804 +a(g435 +Vsoundex +p3805 +tp3806 +a(g705 +g1301 +tp3807 +a(g435 +Vusername +p3808 +tp3809 +a(g705 +g1119 +tp3810 +a(g826 +V\u000a +p3811 +tp3812 +a(g412 +V|| +p3813 +tp3814 +a(g826 +g998 +tp3815 +a(g435 +Vname_code +p3816 +tp3817 +a(g826 +g998 +tp3818 +a(g412 +V== +p3819 +tp3820 +a(g826 +g998 +tp3821 +a(g565 +VText +p3822 +tp3823 +a(g412 +V:: +p3824 +tp3825 +a(g565 +VSoundex +p3826 +tp3827 +a(g412 +g1297 +tp3828 +a(g435 +Vsoundex +p3829 +tp3830 +a(g705 +g1301 +tp3831 +a(g435 +Vfirstname +p3832 +tp3833 +a(g705 +g1119 +tp3834 +a(g826 +V\u000a +p3835 +tp3836 +a(g412 +V|| +p3837 +tp3838 +a(g826 +g998 +tp3839 +a(g435 +Vname_code +p3840 +tp3841 +a(g826 +g998 +tp3842 +a(g412 +V== +p3843 +tp3844 +a(g826 +g998 +tp3845 +a(g565 +VText +p3846 +tp3847 +a(g412 +V:: +p3848 +tp3849 +a(g565 +VSoundex +p3850 +tp3851 +a(g412 +g1297 +tp3852 +a(g435 +Vsoundex +p3853 +tp3854 +a(g705 +g1301 +tp3855 +a(g435 +Vlastname +p3856 +tp3857 +a(g705 +g1119 +tp3858 +a(g826 +V\u000a +p3859 +tp3860 +a(g744 +Vthen +p3861 +tp3862 +a(g826 +V\u000a +p3863 +tp3864 +a(g681 +Vputs +p3865 +tp3866 +a(g826 +g998 +tp3867 +a(g157 +g1029 +tp3868 +a(g125 +V#{ +p3869 +tp3870 +a(g435 +Vusername +p3871 +tp3872 +a(g125 +g1102 +tp3873 +a(g157 +V: +p3874 +tp3875 +a(g125 +V#{ +p3876 +tp3877 +a(g435 +Vfirstname +p3878 +tp3879 +a(g125 +g1102 +tp3880 +a(g157 +g998 +tp3881 +a(g125 +V#{ +p3882 +tp3883 +a(g435 +Vlastname +p3884 +tp3885 +a(g125 +g1102 +tp3886 +a(g157 +g1029 +tp3887 +a(g826 +V\u000a +p3888 +tp3889 +a(g744 +Vend +p3890 +tp3891 +a(g826 +V\u000a +p3892 +tp3893 +a(g744 +Vend +p3894 +tp3895 +a(g826 +V\u000a\u000a\u000a +p3896 +tp3897 +a(g8 +V# @@PLEAC@@_1.17 +p3898 +tp3899 +a(g826 +V\u000a +p3900 +tp3901 +a(g8 +V# @@INCLUDE@@ include/ruby/fixstyle.rb +p3902 +tp3903 +a(g826 +V\u000a\u000a\u000a +p3904 +tp3905 +a(g8 +V# @@PLEAC@@_1.18 +p3906 +tp3907 +a(g826 +V\u000a +p3908 +tp3909 +a(g8 +V# @@INCLUDE@@ include/ruby/psgrep.rb +p3910 +tp3911 +a(g826 +V\u000a\u000a\u000a +p3912 +tp3913 +a(g8 +V# @@PLEAC@@_2.1 +p3914 +tp3915 +a(g826 +V\u000a +p3916 +tp3917 +a(g8 +V# Matz tells that you can use Integer() for strict checked conversion. +p3918 +tp3919 +a(g826 +V\u000a +p3920 +tp3921 +a(g681 +VInteger +p3922 +tp3923 +a(g705 +g1301 +tp3924 +a(g157 +g1029 +tp3925 +a(g157 +Vabc +p3926 +tp3927 +a(g157 +g1029 +tp3928 +a(g705 +g1119 +tp3929 +a(g826 +V\u000a +p3930 +tp3931 +a(g8 +V#=> `Integer': invalid value for Integer: "abc" (ArgumentError) +p3932 +tp3933 +a(g826 +V\u000a +p3934 +tp3935 +a(g681 +VInteger +p3936 +tp3937 +a(g705 +g1301 +tp3938 +a(g157 +g1029 +tp3939 +a(g157 +V567 +p3940 +tp3941 +a(g157 +g1029 +tp3942 +a(g705 +g1119 +tp3943 +a(g826 +V\u000a +p3944 +tp3945 +a(g8 +V#=> 567 +p3946 +tp3947 +a(g826 +V\u000a\u000a +p3948 +tp3949 +a(g8 +V# You may use Float() for floating point stuff +p3950 +tp3951 +a(g826 +V\u000a +p3952 +tp3953 +a(g681 +VInteger +p3954 +tp3955 +a(g705 +g1301 +tp3956 +a(g157 +g1029 +tp3957 +a(g157 +V56.7 +p3958 +tp3959 +a(g157 +g1029 +tp3960 +a(g705 +g1119 +tp3961 +a(g826 +V\u000a +p3962 +tp3963 +a(g8 +V#=> `Integer': invalid value for Integer: "56.7" (ArgumentError) +p3964 +tp3965 +a(g826 +V\u000a +p3966 +tp3967 +a(g681 +VFloat +p3968 +tp3969 +a(g705 +g1301 +tp3970 +a(g157 +g1029 +tp3971 +a(g157 +V56.7 +p3972 +tp3973 +a(g157 +g1029 +tp3974 +a(g705 +g1119 +tp3975 +a(g826 +V\u000a +p3976 +tp3977 +a(g8 +V#=> 56.7 +p3978 +tp3979 +a(g826 +V\u000a\u000a +p3980 +tp3981 +a(g8 +V# You may also use a regexp for that +p3982 +tp3983 +a(g826 +V\u000a +p3984 +tp3985 +a(g744 +Vif +p3986 +tp3987 +a(g826 +g998 +tp3988 +a(g435 +Vstring +p3989 +tp3990 +a(g826 +g998 +tp3991 +a(g412 +V=~ +p3992 +tp3993 +a(g826 +g998 +tp3994 +a(g197 +g1335 +tp3995 +a(g197 +V^[+-]? +p3996 +tp3997 +a(g197 +V\u005c +p3998 +tp3999 +a(g197 +Vd+$ +p4000 +tp4001 +a(g197 +g1335 +tp4002 +a(g826 +V\u000a +p4003 +tp4004 +a(g681 +Vp +p4005 +tp4006 +a(g826 +g998 +tp4007 +a(g229 +V'is an integer' +p4008 +tp4009 +a(g826 +V\u000a +p4010 +tp4011 +a(g744 +Velse +p4012 +tp4013 +a(g826 +V\u000a +p4014 +tp4015 +a(g681 +g4005 +tp4016 +a(g826 +g998 +tp4017 +a(g229 +V'is not' +p4018 +tp4019 +a(g826 +V\u000a +p4020 +tp4021 +a(g744 +Vend +p4022 +tp4023 +a(g826 +V\u000a\u000a +p4024 +tp4025 +a(g744 +Vif +p4026 +tp4027 +a(g826 +g998 +tp4028 +a(g435 +Vstring +p4029 +tp4030 +a(g826 +g998 +tp4031 +a(g412 +V=~ +p4032 +tp4033 +a(g826 +g998 +tp4034 +a(g197 +g1335 +tp4035 +a(g197 +V^-?(?: +p4036 +tp4037 +a(g197 +V\u005c +p4038 +tp4039 +a(g197 +Vd+(?: +p4040 +tp4041 +a(g197 +V\u005c +p4042 +tp4043 +a(g197 +g1297 +tp4044 +a(g197 +V\u005c +p4045 +tp4046 +a(g197 +Vd*)?| +p4047 +tp4048 +a(g197 +V\u005c +p4049 +tp4050 +a(g197 +g1297 +tp4051 +a(g197 +V\u005c +p4052 +tp4053 +a(g197 +Vd+)$ +p4054 +tp4055 +a(g197 +g1335 +tp4056 +a(g826 +V\u000a +p4057 +tp4058 +a(g681 +g4005 +tp4059 +a(g826 +g998 +tp4060 +a(g229 +V'is a decimal number' +p4061 +tp4062 +a(g826 +V\u000a +p4063 +tp4064 +a(g744 +Velse +p4065 +tp4066 +a(g826 +V\u000a +p4067 +tp4068 +a(g681 +g4005 +tp4069 +a(g826 +g998 +tp4070 +a(g229 +V'is not' +p4071 +tp4072 +a(g826 +V\u000a +p4073 +tp4074 +a(g744 +Vend +p4075 +tp4076 +a(g826 +V\u000a\u000a\u000a +p4077 +tp4078 +a(g8 +V# @@PLEAC@@_2.2 +p4079 +tp4080 +a(g826 +V\u000a +p4081 +tp4082 +a(g8 +V# equal(num1, num2, accuracy) : returns true if num1 and num2 are +p4083 +tp4084 +a(g826 +V\u000a +p4085 +tp4086 +a(g8 +V# equal to accuracy number of decimal places +p4087 +tp4088 +a(g826 +V\u000a +p4089 +tp4090 +a(g744 +Vdef +p4091 +tp4092 +a(g826 +g998 +tp4093 +a(g573 +Vequal +p4094 +tp4095 +a(g705 +g1301 +tp4096 +a(g435 +g2252 +tp4097 +a(g705 +g1180 +tp4098 +a(g826 +g998 +tp4099 +a(g435 +Vj +p4100 +tp4101 +a(g705 +g1180 +tp4102 +a(g826 +g998 +tp4103 +a(g435 +g1144 +tp4104 +a(g705 +g1119 +tp4105 +a(g826 +V\u000a +p4106 +tp4107 +a(g681 +Vsprintf +p4108 +tp4109 +a(g705 +g1301 +tp4110 +a(g157 +g1029 +tp4111 +a(g157 +V%. +p4112 +tp4113 +a(g125 +V#{ +p4114 +tp4115 +a(g435 +g1144 +tp4116 +a(g125 +g1102 +tp4117 +a(g157 +Vg +p4118 +tp4119 +a(g157 +g1029 +tp4120 +a(g705 +g1180 +tp4121 +a(g826 +g998 +tp4122 +a(g435 +g2252 +tp4123 +a(g705 +g1119 +tp4124 +a(g826 +g998 +tp4125 +a(g412 +V== +p4126 +tp4127 +a(g826 +g998 +tp4128 +a(g681 +Vsprintf +p4129 +tp4130 +a(g705 +g1301 +tp4131 +a(g157 +g1029 +tp4132 +a(g157 +V%. +p4133 +tp4134 +a(g125 +V#{ +p4135 +tp4136 +a(g435 +g1144 +tp4137 +a(g125 +g1102 +tp4138 +a(g157 +g4118 +tp4139 +a(g157 +g1029 +tp4140 +a(g705 +g1180 +tp4141 +a(g826 +g998 +tp4142 +a(g435 +g4100 +tp4143 +a(g705 +g1119 +tp4144 +a(g826 +V\u000a +p4145 +tp4146 +a(g744 +Vend +p4147 +tp4148 +a(g826 +V\u000a\u000a +p4149 +tp4150 +a(g435 +Vwage +p4151 +tp4152 +a(g826 +g998 +tp4153 +a(g412 +g1000 +tp4154 +a(g826 +g998 +tp4155 +a(g37 +V536 +p4156 +tp4157 +a(g826 +V +p4158 +tp4159 +a(g8 +V# $5.36/hour +p4160 +tp4161 +a(g826 +V\u000a +p4162 +tp4163 +a(g435 +Vweek +p4164 +tp4165 +a(g826 +g998 +tp4166 +a(g412 +g1000 +tp4167 +a(g826 +g998 +tp4168 +a(g37 +V40 +p4169 +tp4170 +a(g826 +g998 +tp4171 +a(g412 +g1326 +tp4172 +a(g826 +g998 +tp4173 +a(g435 +Vwage +p4174 +tp4175 +a(g826 +V +p4176 +tp4177 +a(g8 +V# $214.40 +p4178 +tp4179 +a(g826 +V\u000a +p4180 +tp4181 +a(g681 +Vprintf +p4182 +tp4183 +a(g705 +g1301 +tp4184 +a(g157 +g1029 +tp4185 +a(g157 +VOne week's wage is: +p4186 +tp4187 +a(g157 +V\u005c +p4188 +tp4189 +a(g157 +V$%.2f +p4190 +tp4191 +a(g213 +V\u005cn +p4192 +tp4193 +a(g157 +g1029 +tp4194 +a(g705 +g1180 +tp4195 +a(g826 +g998 +tp4196 +a(g435 +Vweek +p4197 +tp4198 +a(g412 +g1335 +tp4199 +a(g37 +V100 +p4200 +tp4201 +a(g412 +g1297 +tp4202 +a(g37 +g1401 +tp4203 +a(g705 +g1119 +tp4204 +a(g826 +V\u000a\u000a\u000a +p4205 +tp4206 +a(g8 +V# @@PLEAC@@_2.3 +p4207 +tp4208 +a(g826 +V\u000a +p4209 +tp4210 +a(g435 +Vnum +p4211 +tp4212 +a(g412 +g1297 +tp4213 +a(g435 +Vround +p4214 +tp4215 +a(g826 +V +p4216 +tp4217 +a(g8 +V# rounds to integer +p4218 +tp4219 +a(g826 +V\u000a\u000a +p4220 +tp4221 +a(g435 +g1144 +tp4222 +a(g826 +g998 +tp4223 +a(g412 +g1000 +tp4224 +a(g826 +g998 +tp4225 +a(g37 +g1401 +tp4226 +a(g412 +g1297 +tp4227 +a(g37 +V255 +p4228 +tp4229 +a(g826 +V\u000a +p4230 +tp4231 +a(g435 +g1728 +tp4232 +a(g826 +g998 +tp4233 +a(g412 +g1000 +tp4234 +a(g826 +g998 +tp4235 +a(g681 +Vsprintf +p4236 +tp4237 +a(g705 +g1301 +tp4238 +a(g157 +g1029 +tp4239 +a(g157 +V%.2f +p4240 +tp4241 +a(g157 +g1029 +tp4242 +a(g705 +g1180 +tp4243 +a(g826 +g998 +tp4244 +a(g435 +g1144 +tp4245 +a(g705 +g1119 +tp4246 +a(g826 +V\u000a +p4247 +tp4248 +a(g681 +Vprint +p4249 +tp4250 +a(g826 +V +p4251 +tp4252 +a(g157 +g1029 +tp4253 +a(g157 +VUnrounded: +p4254 +tp4255 +a(g125 +V#{ +p4256 +tp4257 +a(g435 +g1144 +tp4258 +a(g125 +g1102 +tp4259 +a(g213 +V\u005cn +p4260 +tp4261 +a(g157 +VRounded: +p4262 +tp4263 +a(g125 +V#{ +p4264 +tp4265 +a(g435 +g1728 +tp4266 +a(g125 +g1102 +tp4267 +a(g213 +V\u005cn +p4268 +tp4269 +a(g157 +g1029 +tp4270 +a(g826 +V\u000a +p4271 +tp4272 +a(g681 +Vprintf +p4273 +tp4274 +a(g826 +g998 +tp4275 +a(g157 +g1029 +tp4276 +a(g157 +VUnrounded: +p4277 +tp4278 +a(g125 +V#{ +p4279 +tp4280 +a(g435 +g1144 +tp4281 +a(g125 +g1102 +tp4282 +a(g213 +V\u005cn +p4283 +tp4284 +a(g157 +VRounded: %.2f +p4285 +tp4286 +a(g213 +V\u005cn +p4287 +tp4288 +a(g157 +g1029 +tp4289 +a(g705 +g1180 +tp4290 +a(g826 +g998 +tp4291 +a(g435 +g1144 +tp4292 +a(g826 +V\u000a\u000a +p4293 +tp4294 +a(g681 +Vprint +p4295 +tp4296 +a(g826 +g998 +tp4297 +a(g157 +g1029 +tp4298 +a(g157 +Vnumber +p4299 +tp4300 +a(g213 +V\u005ct +p4301 +tp4302 +a(g157 +Vint +p4303 +tp4304 +a(g213 +V\u005ct +p4305 +tp4306 +a(g157 +Vfloor +p4307 +tp4308 +a(g213 +V\u005ct +p4309 +tp4310 +a(g157 +Vceil +p4311 +tp4312 +a(g213 +V\u005cn +p4313 +tp4314 +a(g157 +g1029 +tp4315 +a(g826 +V\u000a +p4316 +tp4317 +a(g435 +g1144 +tp4318 +a(g826 +g998 +tp4319 +a(g412 +g1000 +tp4320 +a(g826 +g998 +tp4321 +a(g412 +g1176 +tp4322 +a(g826 +g998 +tp4323 +a(g37 +g1514 +tp4324 +a(g412 +g1297 +tp4325 +a(g37 +g1514 +tp4326 +a(g826 +g998 +tp4327 +a(g705 +g1180 +tp4328 +a(g826 +g998 +tp4329 +a(g37 +g1514 +tp4330 +a(g412 +g1297 +tp4331 +a(g37 +g1337 +tp4332 +a(g826 +g998 +tp4333 +a(g705 +g1180 +tp4334 +a(g826 +g998 +tp4335 +a(g37 +g1514 +tp4336 +a(g412 +g1297 +tp4337 +a(g37 +V7 +p4338 +tp4339 +a(g705 +g1180 +tp4340 +a(g826 +g998 +tp4341 +a(g412 +g1199 +tp4342 +a(g37 +g1514 +tp4343 +a(g412 +g1297 +tp4344 +a(g37 +g1514 +tp4345 +a(g826 +g998 +tp4346 +a(g412 +g1085 +tp4347 +a(g826 +V\u000a +p4348 +tp4349 +a(g744 +Vfor +p4350 +tp4351 +a(g826 +g998 +tp4352 +a(g435 +g2884 +tp4353 +a(g826 +g998 +tp4354 +a(g744 +Vin +p4355 +tp4356 +a(g826 +g998 +tp4357 +a(g435 +g1144 +tp4358 +a(g826 +V\u000a +p4359 +tp4360 +a(g681 +Vprintf +p4361 +tp4362 +a(g705 +g1301 +tp4363 +a(g157 +g1029 +tp4364 +a(g157 +V% .1f +p4365 +tp4366 +a(g213 +V\u005ct +p4367 +tp4368 +a(g157 +V% .1f +p4369 +tp4370 +a(g213 +V\u005ct +p4371 +tp4372 +a(g157 +V% .1f +p4373 +tp4374 +a(g213 +V\u005ct +p4375 +tp4376 +a(g157 +V% .1f +p4377 +tp4378 +a(g213 +V\u005cn +p4379 +tp4380 +a(g157 +g1029 +tp4381 +a(g705 +g1180 +tp4382 +a(g826 +V +p4383 +tp4384 +a(g8 +V# at least I don't fake my output :) +p4385 +tp4386 +a(g826 +V\u000a +p4387 +tp4388 +a(g435 +g2884 +tp4389 +a(g705 +g1180 +tp4390 +a(g826 +g998 +tp4391 +a(g435 +g2884 +tp4392 +a(g412 +g1297 +tp4393 +a(g435 +Vto_i +p4394 +tp4395 +a(g705 +g1180 +tp4396 +a(g826 +g998 +tp4397 +a(g435 +g2884 +tp4398 +a(g412 +g1297 +tp4399 +a(g435 +Vfloor +p4400 +tp4401 +a(g705 +g1180 +tp4402 +a(g826 +g998 +tp4403 +a(g435 +g2884 +tp4404 +a(g412 +g1297 +tp4405 +a(g435 +Vceil +p4406 +tp4407 +a(g705 +g1119 +tp4408 +a(g826 +V\u000a +p4409 +tp4410 +a(g744 +Vend +p4411 +tp4412 +a(g826 +V\u000a\u000a\u000a +p4413 +tp4414 +a(g8 +V# @@PLEAC@@_2.4 +p4415 +tp4416 +a(g826 +V\u000a +p4417 +tp4418 +a(g744 +Vdef +p4419 +tp4420 +a(g826 +g998 +tp4421 +a(g573 +Vdec2bin +p4422 +tp4423 +a(g705 +g1301 +tp4424 +a(g435 +g2884 +tp4425 +a(g705 +g1119 +tp4426 +a(g826 +V\u000a +p4427 +tp4428 +a(g412 +g1176 +tp4429 +a(g435 +g2884 +tp4430 +a(g412 +g1085 +tp4431 +a(g412 +g1297 +tp4432 +a(g435 +Vpack +p4433 +tp4434 +a(g705 +g1301 +tp4435 +a(g157 +g1029 +tp4436 +a(g157 +VN +p4437 +tp4438 +a(g157 +g1029 +tp4439 +a(g705 +g1119 +tp4440 +a(g412 +g1297 +tp4441 +a(g435 +Vunpack +p4442 +tp4443 +a(g705 +g1301 +tp4444 +a(g157 +g1029 +tp4445 +a(g157 +VB32 +p4446 +tp4447 +a(g157 +g1029 +tp4448 +a(g705 +g1119 +tp4449 +a(g412 +g1176 +tp4450 +a(g37 +g1401 +tp4451 +a(g412 +g1085 +tp4452 +a(g412 +g1297 +tp4453 +a(g435 +Vsub +p4454 +tp4455 +a(g705 +g1301 +tp4456 +a(g197 +g1335 +tp4457 +a(g197 +V^0+(?= +p4458 +tp4459 +a(g197 +V\u005c +p4460 +tp4461 +a(g197 +Vd) +p4462 +tp4463 +a(g197 +g1335 +tp4464 +a(g705 +g1180 +tp4465 +a(g826 +g998 +tp4466 +a(g229 +V'' +p4467 +tp4468 +a(g705 +g1119 +tp4469 +a(g826 +V\u000a +p4470 +tp4471 +a(g744 +Vend +p4472 +tp4473 +a(g826 +V\u000a\u000a +p4474 +tp4475 +a(g744 +Vdef +p4476 +tp4477 +a(g826 +g998 +tp4478 +a(g573 +Vbin2dec +p4479 +tp4480 +a(g705 +g1301 +tp4481 +a(g435 +g2884 +tp4482 +a(g705 +g1119 +tp4483 +a(g826 +V\u000a +p4484 +tp4485 +a(g412 +g1176 +tp4486 +a(g705 +g1301 +tp4487 +a(g157 +g1029 +tp4488 +a(g157 +g1401 +tp4489 +a(g157 +g1029 +tp4490 +a(g412 +g1326 +tp4491 +a(g37 +V32 +p4492 +tp4493 +a(g412 +g1838 +tp4494 +a(g435 +g2884 +tp4495 +a(g412 +g1297 +tp4496 +a(g435 +Vto_s +p4497 +tp4498 +a(g705 +g1119 +tp4499 +a(g412 +g1176 +tp4500 +a(g412 +g1199 +tp4501 +a(g37 +V32 +p4502 +tp4503 +a(g412 +V.. +p4504 +tp4505 +a(g412 +g1199 +tp4506 +a(g37 +g1201 +tp4507 +a(g412 +g1085 +tp4508 +a(g412 +g1085 +tp4509 +a(g412 +g1297 +tp4510 +a(g435 +Vpack +p4511 +tp4512 +a(g705 +g1301 +tp4513 +a(g157 +g1029 +tp4514 +a(g157 +VB32 +p4515 +tp4516 +a(g157 +g1029 +tp4517 +a(g705 +g1119 +tp4518 +a(g412 +g1297 +tp4519 +a(g435 +Vunpack +p4520 +tp4521 +a(g705 +g1301 +tp4522 +a(g157 +g1029 +tp4523 +a(g157 +g4437 +tp4524 +a(g157 +g1029 +tp4525 +a(g705 +g1119 +tp4526 +a(g412 +g1176 +tp4527 +a(g37 +g1401 +tp4528 +a(g412 +g1085 +tp4529 +a(g826 +V\u000a +p4530 +tp4531 +a(g744 +Vend +p4532 +tp4533 +a(g826 +V\u000a\u000a\u000a +p4534 +tp4535 +a(g8 +V# @@PLEAC@@_2.5 +p4536 +tp4537 +a(g826 +V\u000a +p4538 +tp4539 +a(g744 +Vfor +p4540 +tp4541 +a(g826 +g998 +tp4542 +a(g435 +g2252 +tp4543 +a(g826 +g998 +tp4544 +a(g744 +Vin +p4545 +tp4546 +a(g826 +g998 +tp4547 +a(g435 +Vx +p4548 +tp4549 +a(g826 +g998 +tp4550 +a(g412 +V.. +p4551 +tp4552 +a(g826 +g998 +tp4553 +a(g435 +Vy +p4554 +tp4555 +a(g826 +V\u000a +p4556 +tp4557 +a(g8 +V# i is set to every integer from x to y, inclusive +p4558 +tp4559 +a(g826 +V\u000a +p4560 +tp4561 +a(g744 +Vend +p4562 +tp4563 +a(g826 +V\u000a\u000a +p4564 +tp4565 +a(g435 +g4548 +tp4566 +a(g412 +g1297 +tp4567 +a(g435 +Vstep +p4568 +tp4569 +a(g705 +g1301 +tp4570 +a(g435 +g4554 +tp4571 +a(g705 +g1180 +tp4572 +a(g37 +g4338 +tp4573 +a(g705 +g1119 +tp4574 +a(g826 +g998 +tp4575 +a(g705 +g2247 +tp4576 +a(g826 +g998 +tp4577 +a(g412 +g2250 +tp4578 +a(g435 +g2252 +tp4579 +a(g412 +g2250 +tp4580 +a(g826 +V\u000a +p4581 +tp4582 +a(g8 +V# i is set to every integer from x to y, stepsize = 7 +p4583 +tp4584 +a(g826 +V\u000a +p4585 +tp4586 +a(g705 +g1102 +tp4587 +a(g826 +V\u000a\u000a +p4588 +tp4589 +a(g681 +Vprint +p4590 +tp4591 +a(g826 +g998 +tp4592 +a(g157 +g1029 +tp4593 +a(g157 +VInfancy is: +p4594 +tp4595 +a(g157 +g1029 +tp4596 +a(g826 +V\u000a +p4597 +tp4598 +a(g705 +g1301 +tp4599 +a(g37 +g1401 +tp4600 +a(g412 +V.. +p4601 +tp4602 +a(g37 +g1425 +tp4603 +a(g705 +g1119 +tp4604 +a(g412 +g1297 +tp4605 +a(g435 +Veach +p4606 +tp4607 +a(g826 +g998 +tp4608 +a(g705 +g2247 +tp4609 +a(g826 +g998 +tp4610 +a(g412 +g2250 +tp4611 +a(g435 +g2252 +tp4612 +a(g412 +g2250 +tp4613 +a(g826 +V\u000a +p4614 +tp4615 +a(g681 +Vprint +p4616 +tp4617 +a(g826 +g998 +tp4618 +a(g435 +g2252 +tp4619 +a(g705 +g1180 +tp4620 +a(g826 +g998 +tp4621 +a(g157 +g1029 +tp4622 +a(g157 +g998 +tp4623 +a(g157 +g1029 +tp4624 +a(g826 +V\u000a +p4625 +tp4626 +a(g705 +g1102 +tp4627 +a(g826 +V\u000a +p4628 +tp4629 +a(g681 +Vprint +p4630 +tp4631 +a(g826 +g998 +tp4632 +a(g157 +g1029 +tp4633 +a(g213 +V\u005cn +p4634 +tp4635 +a(g157 +g1029 +tp4636 +a(g826 +V\u000a\u000a\u000a +p4637 +tp4638 +a(g8 +V# @@PLEAC@@_2.6 +p4639 +tp4640 +a(g826 +V\u000a +p4641 +tp4642 +a(g8 +V# We can add conversion methods to the Integer class, +p4643 +tp4644 +a(g826 +V\u000a +p4645 +tp4646 +a(g8 +V# this makes a roman number just a representation for normal numbers. +p4647 +tp4648 +a(g826 +V\u000a +p4649 +tp4650 +a(g744 +Vclass +p4651 +tp4652 +a(g826 +g998 +tp4653 +a(g628 +VInteger +p4654 +tp4655 +a(g826 +V\u000a \u000a +p4656 +tp4657 +a(g445 +V@@romanlist +p4658 +tp4659 +a(g826 +g998 +tp4660 +a(g412 +g1000 +tp4661 +a(g826 +g998 +tp4662 +a(g412 +g1176 +tp4663 +a(g412 +g1176 +tp4664 +a(g157 +g1029 +tp4665 +a(g157 +VM +p4666 +tp4667 +a(g157 +g1029 +tp4668 +a(g705 +g1180 +tp4669 +a(g826 +g998 +tp4670 +a(g37 +V1000 +p4671 +tp4672 +a(g412 +g1085 +tp4673 +a(g705 +g1180 +tp4674 +a(g826 +V\u000a +p4675 +tp4676 +a(g412 +g1176 +tp4677 +a(g157 +g1029 +tp4678 +a(g157 +VCM +p4679 +tp4680 +a(g157 +g1029 +tp4681 +a(g705 +g1180 +tp4682 +a(g826 +g998 +tp4683 +a(g37 +V900 +p4684 +tp4685 +a(g412 +g1085 +tp4686 +a(g705 +g1180 +tp4687 +a(g826 +V\u000a +p4688 +tp4689 +a(g412 +g1176 +tp4690 +a(g157 +g1029 +tp4691 +a(g157 +VD +p4692 +tp4693 +a(g157 +g1029 +tp4694 +a(g705 +g1180 +tp4695 +a(g826 +V +p4696 +tp4697 +a(g37 +V500 +p4698 +tp4699 +a(g412 +g1085 +tp4700 +a(g705 +g1180 +tp4701 +a(g826 +V\u000a +p4702 +tp4703 +a(g412 +g1176 +tp4704 +a(g157 +g1029 +tp4705 +a(g157 +VCD +p4706 +tp4707 +a(g157 +g1029 +tp4708 +a(g705 +g1180 +tp4709 +a(g826 +g998 +tp4710 +a(g37 +V400 +p4711 +tp4712 +a(g412 +g1085 +tp4713 +a(g705 +g1180 +tp4714 +a(g826 +V\u000a +p4715 +tp4716 +a(g412 +g1176 +tp4717 +a(g157 +g1029 +tp4718 +a(g157 +VC +p4719 +tp4720 +a(g157 +g1029 +tp4721 +a(g705 +g1180 +tp4722 +a(g826 +V +p4723 +tp4724 +a(g37 +V100 +p4725 +tp4726 +a(g412 +g1085 +tp4727 +a(g705 +g1180 +tp4728 +a(g826 +V\u000a +p4729 +tp4730 +a(g412 +g1176 +tp4731 +a(g157 +g1029 +tp4732 +a(g157 +VXC +p4733 +tp4734 +a(g157 +g1029 +tp4735 +a(g705 +g1180 +tp4736 +a(g826 +V +p4737 +tp4738 +a(g37 +V90 +p4739 +tp4740 +a(g412 +g1085 +tp4741 +a(g705 +g1180 +tp4742 +a(g826 +V\u000a +p4743 +tp4744 +a(g412 +g1176 +tp4745 +a(g157 +g1029 +tp4746 +a(g157 +VL +p4747 +tp4748 +a(g157 +g1029 +tp4749 +a(g705 +g1180 +tp4750 +a(g826 +V +p4751 +tp4752 +a(g37 +V50 +p4753 +tp4754 +a(g412 +g1085 +tp4755 +a(g705 +g1180 +tp4756 +a(g826 +V\u000a +p4757 +tp4758 +a(g412 +g1176 +tp4759 +a(g157 +g1029 +tp4760 +a(g157 +VXL +p4761 +tp4762 +a(g157 +g1029 +tp4763 +a(g705 +g1180 +tp4764 +a(g826 +V +p4765 +tp4766 +a(g37 +V40 +p4767 +tp4768 +a(g412 +g1085 +tp4769 +a(g705 +g1180 +tp4770 +a(g826 +V\u000a +p4771 +tp4772 +a(g412 +g1176 +tp4773 +a(g157 +g1029 +tp4774 +a(g157 +VX +p4775 +tp4776 +a(g157 +g1029 +tp4777 +a(g705 +g1180 +tp4778 +a(g826 +V +p4779 +tp4780 +a(g37 +V10 +p4781 +tp4782 +a(g412 +g1085 +tp4783 +a(g705 +g1180 +tp4784 +a(g826 +V\u000a +p4785 +tp4786 +a(g412 +g1176 +tp4787 +a(g157 +g1029 +tp4788 +a(g157 +VIX +p4789 +tp4790 +a(g157 +g1029 +tp4791 +a(g705 +g1180 +tp4792 +a(g826 +V +p4793 +tp4794 +a(g37 +V9 +p4795 +tp4796 +a(g412 +g1085 +tp4797 +a(g705 +g1180 +tp4798 +a(g826 +V\u000a +p4799 +tp4800 +a(g412 +g1176 +tp4801 +a(g157 +g1029 +tp4802 +a(g157 +VV +p4803 +tp4804 +a(g157 +g1029 +tp4805 +a(g705 +g1180 +tp4806 +a(g826 +V +p4807 +tp4808 +a(g37 +g1337 +tp4809 +a(g412 +g1085 +tp4810 +a(g705 +g1180 +tp4811 +a(g826 +V\u000a +p4812 +tp4813 +a(g412 +g1176 +tp4814 +a(g157 +g1029 +tp4815 +a(g157 +VIV +p4816 +tp4817 +a(g157 +g1029 +tp4818 +a(g705 +g1180 +tp4819 +a(g826 +V +p4820 +tp4821 +a(g37 +g1487 +tp4822 +a(g412 +g1085 +tp4823 +a(g705 +g1180 +tp4824 +a(g826 +V\u000a +p4825 +tp4826 +a(g412 +g1176 +tp4827 +a(g157 +g1029 +tp4828 +a(g157 +VI +p4829 +tp4830 +a(g157 +g1029 +tp4831 +a(g705 +g1180 +tp4832 +a(g826 +V +p4833 +tp4834 +a(g37 +g1201 +tp4835 +a(g412 +g1085 +tp4836 +a(g412 +g1085 +tp4837 +a(g826 +V\u000a \u000a +p4838 +tp4839 +a(g744 +Vdef +p4840 +tp4841 +a(g826 +g998 +tp4842 +a(g573 +Vto_roman +p4843 +tp4844 +a(g826 +V\u000a +p4845 +tp4846 +a(g435 +Vremains +p4847 +tp4848 +a(g826 +g998 +tp4849 +a(g412 +g1000 +tp4850 +a(g826 +g998 +tp4851 +a(g681 +Vself +p4852 +tp4853 +a(g826 +V\u000a +p4854 +tp4855 +a(g435 +Vroman +p4856 +tp4857 +a(g826 +g998 +tp4858 +a(g412 +g1000 +tp4859 +a(g826 +g998 +tp4860 +a(g157 +g1029 +tp4861 +a(g157 +g1029 +tp4862 +a(g826 +V\u000a +p4863 +tp4864 +a(g744 +Vfor +p4865 +tp4866 +a(g826 +g998 +tp4867 +a(g435 +Vsym +p4868 +tp4869 +a(g705 +g1180 +tp4870 +a(g826 +g998 +tp4871 +a(g435 +Vnum +p4872 +tp4873 +a(g826 +g998 +tp4874 +a(g744 +Vin +p4875 +tp4876 +a(g826 +g998 +tp4877 +a(g445 +V@@romanlist +p4878 +tp4879 +a(g826 +V\u000a +p4880 +tp4881 +a(g744 +Vwhile +p4882 +tp4883 +a(g826 +g998 +tp4884 +a(g435 +Vremains +p4885 +tp4886 +a(g826 +g998 +tp4887 +a(g412 +g1136 +tp4888 +a(g412 +g1000 +tp4889 +a(g826 +g998 +tp4890 +a(g435 +Vnum +p4891 +tp4892 +a(g826 +V\u000a +p4893 +tp4894 +a(g435 +Vremains +p4895 +tp4896 +a(g826 +g998 +tp4897 +a(g412 +V-= +p4898 +tp4899 +a(g826 +g998 +tp4900 +a(g435 +Vnum +p4901 +tp4902 +a(g826 +V\u000a +p4903 +tp4904 +a(g435 +Vroman +p4905 +tp4906 +a(g826 +g998 +tp4907 +a(g412 +V<< +p4908 +tp4909 +a(g826 +g998 +tp4910 +a(g435 +Vsym +p4911 +tp4912 +a(g826 +V\u000a +p4913 +tp4914 +a(g744 +Vend +p4915 +tp4916 +a(g826 +V\u000a +p4917 +tp4918 +a(g744 +Vend +p4919 +tp4920 +a(g826 +V\u000a +p4921 +tp4922 +a(g435 +Vroman +p4923 +tp4924 +a(g826 +V\u000a +p4925 +tp4926 +a(g744 +Vend +p4927 +tp4928 +a(g826 +V\u000a \u000a +p4929 +tp4930 +a(g744 +Vdef +p4931 +tp4932 +a(g826 +g998 +tp4933 +a(g628 +VInteger +p4934 +tp4935 +a(g412 +g1297 +tp4936 +a(g573 +Vfrom_roman +p4937 +tp4938 +a(g705 +g1301 +tp4939 +a(g435 +Vroman +p4940 +tp4941 +a(g705 +g1119 +tp4942 +a(g826 +V\u000a +p4943 +tp4944 +a(g435 +Vustr +p4945 +tp4946 +a(g826 +g998 +tp4947 +a(g412 +g1000 +tp4948 +a(g826 +g998 +tp4949 +a(g435 +Vroman +p4950 +tp4951 +a(g412 +g1297 +tp4952 +a(g435 +Vupcase +p4953 +tp4954 +a(g826 +V\u000a +p4955 +tp4956 +a(g435 +Vsum +p4957 +tp4958 +a(g826 +g998 +tp4959 +a(g412 +g1000 +tp4960 +a(g826 +g998 +tp4961 +a(g37 +g1401 +tp4962 +a(g826 +V\u000a +p4963 +tp4964 +a(g744 +Vfor +p4965 +tp4966 +a(g826 +g998 +tp4967 +a(g435 +Ventry +p4968 +tp4969 +a(g826 +g998 +tp4970 +a(g744 +Vin +p4971 +tp4972 +a(g826 +g998 +tp4973 +a(g445 +V@@romanlist +p4974 +tp4975 +a(g826 +V\u000a +p4976 +tp4977 +a(g435 +Vsym +p4978 +tp4979 +a(g705 +g1180 +tp4980 +a(g826 +g998 +tp4981 +a(g435 +Vnum +p4982 +tp4983 +a(g826 +g998 +tp4984 +a(g412 +g1000 +tp4985 +a(g826 +g998 +tp4986 +a(g435 +Ventry +p4987 +tp4988 +a(g412 +g1176 +tp4989 +a(g37 +g1401 +tp4990 +a(g412 +g1085 +tp4991 +a(g705 +g1180 +tp4992 +a(g826 +g998 +tp4993 +a(g435 +Ventry +p4994 +tp4995 +a(g412 +g1176 +tp4996 +a(g37 +g1201 +tp4997 +a(g412 +g1085 +tp4998 +a(g826 +V\u000a +p4999 +tp5000 +a(g744 +Vwhile +p5001 +tp5002 +a(g826 +g998 +tp5003 +a(g435 +Vsym +p5004 +tp5005 +a(g826 +g998 +tp5006 +a(g412 +V== +p5007 +tp5008 +a(g826 +g998 +tp5009 +a(g435 +Vustr +p5010 +tp5011 +a(g412 +g1176 +tp5012 +a(g37 +g1401 +tp5013 +a(g705 +g1180 +tp5014 +a(g826 +g998 +tp5015 +a(g435 +Vsym +p5016 +tp5017 +a(g412 +g1297 +tp5018 +a(g435 +Vlength +p5019 +tp5020 +a(g412 +g1085 +tp5021 +a(g826 +V\u000a +p5022 +tp5023 +a(g435 +Vsum +p5024 +tp5025 +a(g826 +g998 +tp5026 +a(g412 +V+= +p5027 +tp5028 +a(g826 +g998 +tp5029 +a(g435 +Vnum +p5030 +tp5031 +a(g826 +V\u000a +p5032 +tp5033 +a(g435 +Vustr +p5034 +tp5035 +a(g412 +g1297 +tp5036 +a(g435 +Vslice! +p5037 +tp5038 +a(g705 +g1301 +tp5039 +a(g37 +g1401 +tp5040 +a(g705 +g1180 +tp5041 +a(g826 +g998 +tp5042 +a(g435 +Vsym +p5043 +tp5044 +a(g412 +g1297 +tp5045 +a(g435 +Vlength +p5046 +tp5047 +a(g705 +g1119 +tp5048 +a(g826 +V\u000a +p5049 +tp5050 +a(g744 +Vend +p5051 +tp5052 +a(g826 +V\u000a +p5053 +tp5054 +a(g744 +Vend +p5055 +tp5056 +a(g826 +V\u000a +p5057 +tp5058 +a(g435 +Vsum +p5059 +tp5060 +a(g826 +V\u000a +p5061 +tp5062 +a(g744 +Vend +p5063 +tp5064 +a(g826 +V\u000a \u000a +p5065 +tp5066 +a(g744 +Vend +p5067 +tp5068 +a(g826 +V\u000a\u000a\u000a +p5069 +tp5070 +a(g435 +Vroman_fifteen +p5071 +tp5072 +a(g826 +g998 +tp5073 +a(g412 +g1000 +tp5074 +a(g826 +g998 +tp5075 +a(g37 +V15 +p5076 +tp5077 +a(g412 +g1297 +tp5078 +a(g435 +Vto_roman +p5079 +tp5080 +a(g826 +V\u000a +p5081 +tp5082 +a(g681 +Vputs +p5083 +tp5084 +a(g826 +g998 +tp5085 +a(g157 +g1029 +tp5086 +a(g157 +VRoman for fifteen is +p5087 +tp5088 +a(g125 +V#{ +p5089 +tp5090 +a(g435 +Vroman_fifteen +p5091 +tp5092 +a(g125 +g1102 +tp5093 +a(g157 +g1029 +tp5094 +a(g826 +V\u000a +p5095 +tp5096 +a(g435 +g2252 +tp5097 +a(g826 +g998 +tp5098 +a(g412 +g1000 +tp5099 +a(g826 +g998 +tp5100 +a(g681 +VInteger +p5101 +tp5102 +a(g412 +g1297 +tp5103 +a(g435 +Vfrom_roman +p5104 +tp5105 +a(g705 +g1301 +tp5106 +a(g435 +Vroman_fifteen +p5107 +tp5108 +a(g705 +g1119 +tp5109 +a(g826 +V\u000a +p5110 +tp5111 +a(g681 +Vputs +p5112 +tp5113 +a(g826 +g998 +tp5114 +a(g157 +g1029 +tp5115 +a(g157 +VConverted back, +p5116 +tp5117 +a(g125 +V#{ +p5118 +tp5119 +a(g435 +Vroman_fifteen +p5120 +tp5121 +a(g125 +g1102 +tp5122 +a(g157 +V is +p5123 +tp5124 +a(g125 +V#{ +p5125 +tp5126 +a(g435 +g2252 +tp5127 +a(g125 +g1102 +tp5128 +a(g157 +g1029 +tp5129 +a(g826 +V\u000a\u000a +p5130 +tp5131 +a(g8 +V# check +p5132 +tp5133 +a(g826 +V\u000a +p5134 +tp5135 +a(g744 +Vfor +p5136 +tp5137 +a(g826 +g998 +tp5138 +a(g435 +g2252 +tp5139 +a(g826 +g998 +tp5140 +a(g744 +Vin +p5141 +tp5142 +a(g826 +g998 +tp5143 +a(g705 +g1301 +tp5144 +a(g37 +g1201 +tp5145 +a(g412 +V.. +p5146 +tp5147 +a(g37 +V3900 +p5148 +tp5149 +a(g705 +g1119 +tp5150 +a(g826 +V\u000a +p5151 +tp5152 +a(g435 +Vr +p5153 +tp5154 +a(g826 +g998 +tp5155 +a(g412 +g1000 +tp5156 +a(g826 +g998 +tp5157 +a(g435 +g2252 +tp5158 +a(g412 +g1297 +tp5159 +a(g435 +Vto_roman +p5160 +tp5161 +a(g826 +V\u000a +p5162 +tp5163 +a(g435 +g4100 +tp5164 +a(g826 +g998 +tp5165 +a(g412 +g1000 +tp5166 +a(g826 +g998 +tp5167 +a(g681 +VInteger +p5168 +tp5169 +a(g412 +g1297 +tp5170 +a(g435 +Vfrom_roman +p5171 +tp5172 +a(g705 +g1301 +tp5173 +a(g435 +g5153 +tp5174 +a(g705 +g1119 +tp5175 +a(g826 +V\u000a +p5176 +tp5177 +a(g744 +Vif +p5178 +tp5179 +a(g826 +g998 +tp5180 +a(g435 +g2252 +tp5181 +a(g826 +g998 +tp5182 +a(g412 +V!= +p5183 +tp5184 +a(g826 +g998 +tp5185 +a(g435 +g4100 +tp5186 +a(g826 +V\u000a +p5187 +tp5188 +a(g681 +Vputs +p5189 +tp5190 +a(g826 +g998 +tp5191 +a(g157 +g1029 +tp5192 +a(g157 +Verror: +p5193 +tp5194 +a(g125 +V#{ +p5195 +tp5196 +a(g435 +g2252 +tp5197 +a(g125 +g1102 +tp5198 +a(g157 +V : +p5199 +tp5200 +a(g125 +V#{ +p5201 +tp5202 +a(g435 +g5153 +tp5203 +a(g125 +g1102 +tp5204 +a(g157 +V - +p5205 +tp5206 +a(g125 +V#{ +p5207 +tp5208 +a(g435 +g4100 +tp5209 +a(g125 +g1102 +tp5210 +a(g157 +g1029 +tp5211 +a(g826 +V\u000a +p5212 +tp5213 +a(g744 +Vend +p5214 +tp5215 +a(g826 +V\u000a +p5216 +tp5217 +a(g744 +Vend +p5218 +tp5219 +a(g826 +V\u000a\u000a\u000a +p5220 +tp5221 +a(g8 +V# @@PLEAC@@_2.7 +p5222 +tp5223 +a(g826 +V\u000a +p5224 +tp5225 +a(g435 +Vrandom +p5226 +tp5227 +a(g826 +g998 +tp5228 +a(g412 +g1000 +tp5229 +a(g826 +g998 +tp5230 +a(g681 +Vrand +p5231 +tp5232 +a(g705 +g1301 +tp5233 +a(g435 +g4554 +tp5234 +a(g412 +g1199 +tp5235 +a(g435 +g4548 +tp5236 +a(g412 +g1838 +tp5237 +a(g37 +g1201 +tp5238 +a(g705 +g1119 +tp5239 +a(g412 +g1838 +tp5240 +a(g435 +g4548 +tp5241 +a(g826 +V\u000a\u000a +p5242 +tp5243 +a(g435 +Vchars +p5244 +tp5245 +a(g826 +g998 +tp5246 +a(g412 +g1000 +tp5247 +a(g826 +g998 +tp5248 +a(g412 +g1176 +tp5249 +a(g157 +g1029 +tp5250 +a(g157 +g1834 +tp5251 +a(g157 +g1029 +tp5252 +a(g412 +V.. +p5253 +tp5254 +a(g157 +g1029 +tp5255 +a(g157 +VZ +p5256 +tp5257 +a(g157 +g1029 +tp5258 +a(g705 +g1180 +tp5259 +a(g157 +g1029 +tp5260 +a(g157 +g1144 +tp5261 +a(g157 +g1029 +tp5262 +a(g412 +V.. +p5263 +tp5264 +a(g157 +g1029 +tp5265 +a(g157 +Vz +p5266 +tp5267 +a(g157 +g1029 +tp5268 +a(g705 +g1180 +tp5269 +a(g157 +g1029 +tp5270 +a(g157 +g1401 +tp5271 +a(g157 +g1029 +tp5272 +a(g412 +V.. +p5273 +tp5274 +a(g157 +g1029 +tp5275 +a(g157 +g4795 +tp5276 +a(g157 +g1029 +tp5277 +a(g412 +g1085 +tp5278 +a(g412 +g1297 +tp5279 +a(g435 +Vcollect +p5280 +tp5281 +a(g826 +g998 +tp5282 +a(g705 +g2247 +tp5283 +a(g826 +g998 +tp5284 +a(g412 +g2250 +tp5285 +a(g435 +g5153 +tp5286 +a(g412 +g2250 +tp5287 +a(g826 +g998 +tp5288 +a(g435 +g5153 +tp5289 +a(g412 +g1297 +tp5290 +a(g435 +Vto_a +p5291 +tp5292 +a(g826 +g998 +tp5293 +a(g705 +g1102 +tp5294 +a(g412 +g1297 +tp5295 +a(g435 +Vjoin +p5296 +tp5297 +a(g826 +g998 +tp5298 +a(g412 +g1838 +tp5299 +a(g826 +g998 +tp5300 +a(g236 +V%q( +p5301 +tp5302 +a(g236 +V!@$%^&* +p5303 +tp5304 +a(g236 +g1119 +tp5305 +a(g826 +V\u000a +p5306 +tp5307 +a(g435 +Vpassword +p5308 +tp5309 +a(g826 +g998 +tp5310 +a(g412 +g1000 +tp5311 +a(g826 +g998 +tp5312 +a(g705 +g1301 +tp5313 +a(g37 +g1201 +tp5314 +a(g412 +V.. +p5315 +tp5316 +a(g37 +g1510 +tp5317 +a(g705 +g1119 +tp5318 +a(g412 +g1297 +tp5319 +a(g435 +Vcollect +p5320 +tp5321 +a(g826 +g998 +tp5322 +a(g705 +g2247 +tp5323 +a(g826 +g998 +tp5324 +a(g435 +Vchars +p5325 +tp5326 +a(g412 +g1176 +tp5327 +a(g681 +Vrand +p5328 +tp5329 +a(g705 +g1301 +tp5330 +a(g435 +Vchars +p5331 +tp5332 +a(g412 +g1297 +tp5333 +a(g435 +Vsize +p5334 +tp5335 +a(g705 +g1119 +tp5336 +a(g412 +g1085 +tp5337 +a(g826 +g998 +tp5338 +a(g705 +g1102 +tp5339 +a(g412 +g1297 +tp5340 +a(g435 +Vpack +p5341 +tp5342 +a(g705 +g1301 +tp5343 +a(g157 +g1029 +tp5344 +a(g157 +VC* +p5345 +tp5346 +a(g157 +g1029 +tp5347 +a(g705 +g1119 +tp5348 +a(g826 +V\u000a\u000a\u000a +p5349 +tp5350 +a(g8 +V# @@PLEAC@@_2.8 +p5351 +tp5352 +a(g826 +V\u000a +p5353 +tp5354 +a(g681 +Vsrand +p5355 +tp5356 +a(g826 +V +p5357 +tp5358 +a(g8 +V# uses a combination of the time, the process id, and a sequence number +p5359 +tp5360 +a(g826 +V\u000a +p5361 +tp5362 +a(g681 +Vsrand +p5363 +tp5364 +a(g705 +g1301 +tp5365 +a(g435 +Vval +p5366 +tp5367 +a(g705 +g1119 +tp5368 +a(g826 +V +p5369 +tp5370 +a(g8 +V# for repeatable behaviour +p5371 +tp5372 +a(g826 +V\u000a\u000a\u000a +p5373 +tp5374 +a(g8 +V# @@PLEAC@@_2.9 +p5375 +tp5376 +a(g826 +V\u000a +p5377 +tp5378 +a(g8 +V# from the randomr lib: +p5379 +tp5380 +a(g826 +V\u000a +p5381 +tp5382 +a(g8 +V# http://raa.ruby-lang.org/project/randomr/ +p5383 +tp5384 +a(g826 +V\u000a +p5385 +tp5386 +a(g412 +g1199 +tp5387 +a(g412 +g1199 +tp5388 +a(g412 +g1199 +tp5389 +a(g412 +g1199 +tp5390 +a(g412 +g1136 +tp5391 +a(g826 +g998 +tp5392 +a(g117 +Vhttp +p5393 +tp5394 +a(g705 +g2000 +tp5395 +a(g197 +g1335 +tp5396 +a(g197 +g1335 +tp5397 +a(g435 +Vraa +p5398 +tp5399 +a(g412 +g1297 +tp5400 +a(g435 +Vruby +p5401 +tp5402 +a(g412 +g1199 +tp5403 +a(g435 +Vlang +p5404 +tp5405 +a(g412 +g1297 +tp5406 +a(g435 +Vorg +p5407 +tp5408 +a(g412 +g1335 +tp5409 +a(g435 +Vproject +p5410 +tp5411 +a(g412 +g1335 +tp5412 +a(g435 +Vrandomr +p5413 +tp5414 +a(g412 +g1335 +tp5415 +a(g826 +V\u000a\u000a +p5416 +tp5417 +a(g681 +Vrequire +p5418 +tp5419 +a(g826 +g998 +tp5420 +a(g229 +V'random/mersenne_twister' +p5421 +tp5422 +a(g826 +V\u000a +p5423 +tp5424 +a(g435 +Vmers +p5425 +tp5426 +a(g826 +g998 +tp5427 +a(g412 +g1000 +tp5428 +a(g826 +g998 +tp5429 +a(g565 +VRandom +p5430 +tp5431 +a(g412 +V:: +p5432 +tp5433 +a(g565 +VMersenneTwister +p5434 +tp5435 +a(g412 +g1297 +tp5436 +a(g435 +Vnew +p5437 +tp5438 +a(g826 +g998 +tp5439 +a(g37 +V123456789 +p5440 +tp5441 +a(g826 +V\u000a +p5442 +tp5443 +a(g681 +Vputs +p5444 +tp5445 +a(g826 +g998 +tp5446 +a(g435 +Vmers +p5447 +tp5448 +a(g412 +g1297 +tp5449 +a(g435 +Vrand +p5450 +tp5451 +a(g705 +g1301 +tp5452 +a(g37 +g1401 +tp5453 +a(g705 +g1119 +tp5454 +a(g826 +V +p5455 +tp5456 +a(g8 +V# 0.550321932544541 +p5457 +tp5458 +a(g826 +V\u000a +p5459 +tp5460 +a(g681 +Vputs +p5461 +tp5462 +a(g826 +g998 +tp5463 +a(g435 +Vmers +p5464 +tp5465 +a(g412 +g1297 +tp5466 +a(g435 +Vrand +p5467 +tp5468 +a(g705 +g1301 +tp5469 +a(g37 +V10 +p5470 +tp5471 +a(g705 +g1119 +tp5472 +a(g826 +V +p5473 +tp5474 +a(g8 +V# 2 +p5475 +tp5476 +a(g826 +V\u000a\u000a +p5477 +tp5478 +a(g8 +V# using online sources of random data via the realrand package: +p5479 +tp5480 +a(g826 +V\u000a +p5481 +tp5482 +a(g8 +V# http://raa.ruby-lang.org/project/realrand/ +p5483 +tp5484 +a(g826 +V\u000a +p5485 +tp5486 +a(g8 +V# **Note** +p5487 +tp5488 +a(g826 +V\u000a +p5489 +tp5490 +a(g8 +V# The following online services are used in this package: +p5491 +tp5492 +a(g826 +V\u000a +p5493 +tp5494 +a(g8 +V# http://www.random.org - source: atmospheric noise +p5495 +tp5496 +a(g826 +V\u000a +p5497 +tp5498 +a(g8 +V# http://www.fourmilab.ch/hotbits - source: radioactive decay timings +p5499 +tp5500 +a(g826 +V\u000a +p5501 +tp5502 +a(g8 +V# http://random.hd.org - source: entropy from local and network noise +p5503 +tp5504 +a(g826 +V\u000a +p5505 +tp5506 +a(g8 +V# Please visit the sites and respect the rules of each service. +p5507 +tp5508 +a(g826 +V\u000a\u000a +p5509 +tp5510 +a(g681 +Vrequire +p5511 +tp5512 +a(g826 +g998 +tp5513 +a(g229 +V'random/online' +p5514 +tp5515 +a(g826 +V\u000a\u000a +p5516 +tp5517 +a(g435 +Vgenerator1 +p5518 +tp5519 +a(g826 +g998 +tp5520 +a(g412 +g1000 +tp5521 +a(g826 +g998 +tp5522 +a(g565 +VRandom +p5523 +tp5524 +a(g412 +V:: +p5525 +tp5526 +a(g565 +VRandomOrg +p5527 +tp5528 +a(g412 +g1297 +tp5529 +a(g435 +Vnew +p5530 +tp5531 +a(g826 +V\u000a +p5532 +tp5533 +a(g681 +Vputs +p5534 +tp5535 +a(g826 +g998 +tp5536 +a(g435 +Vgenerator1 +p5537 +tp5538 +a(g412 +g1297 +tp5539 +a(g435 +Vrandbyte +p5540 +tp5541 +a(g705 +g1301 +tp5542 +a(g37 +g1337 +tp5543 +a(g705 +g1119 +tp5544 +a(g412 +g1297 +tp5545 +a(g435 +Vjoin +p5546 +tp5547 +a(g705 +g1301 +tp5548 +a(g157 +g1029 +tp5549 +a(g157 +g1180 +tp5550 +a(g157 +g1029 +tp5551 +a(g705 +g1119 +tp5552 +a(g826 +V\u000a +p5553 +tp5554 +a(g681 +Vputs +p5555 +tp5556 +a(g826 +g998 +tp5557 +a(g435 +Vgenerator1 +p5558 +tp5559 +a(g412 +g1297 +tp5560 +a(g435 +Vrandnum +p5561 +tp5562 +a(g705 +g1301 +tp5563 +a(g37 +V10 +p5564 +tp5565 +a(g705 +g1180 +tp5566 +a(g826 +g998 +tp5567 +a(g37 +g1201 +tp5568 +a(g705 +g1180 +tp5569 +a(g826 +g998 +tp5570 +a(g37 +V6 +p5571 +tp5572 +a(g705 +g1119 +tp5573 +a(g412 +g1297 +tp5574 +a(g435 +Vjoin +p5575 +tp5576 +a(g705 +g1301 +tp5577 +a(g157 +g1029 +tp5578 +a(g157 +g1180 +tp5579 +a(g157 +g1029 +tp5580 +a(g705 +g1119 +tp5581 +a(g826 +V +p5582 +tp5583 +a(g8 +V# Roll dice 10 times. +p5584 +tp5585 +a(g826 +V\u000a\u000a +p5586 +tp5587 +a(g435 +Vgenerator2 +p5588 +tp5589 +a(g826 +g998 +tp5590 +a(g412 +g1000 +tp5591 +a(g826 +g998 +tp5592 +a(g565 +VRandom +p5593 +tp5594 +a(g412 +V:: +p5595 +tp5596 +a(g565 +VFourmiLab +p5597 +tp5598 +a(g412 +g1297 +tp5599 +a(g435 +Vnew +p5600 +tp5601 +a(g826 +V\u000a +p5602 +tp5603 +a(g681 +Vputs +p5604 +tp5605 +a(g826 +g998 +tp5606 +a(g435 +Vgenerator2 +p5607 +tp5608 +a(g412 +g1297 +tp5609 +a(g435 +Vrandbyte +p5610 +tp5611 +a(g705 +g1301 +tp5612 +a(g37 +g1337 +tp5613 +a(g705 +g1119 +tp5614 +a(g412 +g1297 +tp5615 +a(g435 +Vjoin +p5616 +tp5617 +a(g705 +g1301 +tp5618 +a(g157 +g1029 +tp5619 +a(g157 +g1180 +tp5620 +a(g157 +g1029 +tp5621 +a(g705 +g1119 +tp5622 +a(g826 +V\u000a +p5623 +tp5624 +a(g8 +V# randnum is not supported. +p5625 +tp5626 +a(g826 +V\u000a\u000a +p5627 +tp5628 +a(g435 +Vgenerator3 +p5629 +tp5630 +a(g826 +g998 +tp5631 +a(g412 +g1000 +tp5632 +a(g826 +g998 +tp5633 +a(g565 +VRandom +p5634 +tp5635 +a(g412 +V:: +p5636 +tp5637 +a(g565 +VEntropyPool +p5638 +tp5639 +a(g412 +g1297 +tp5640 +a(g435 +Vnew +p5641 +tp5642 +a(g826 +V\u000a +p5643 +tp5644 +a(g681 +Vputs +p5645 +tp5646 +a(g826 +g998 +tp5647 +a(g435 +Vgenerator3 +p5648 +tp5649 +a(g412 +g1297 +tp5650 +a(g435 +Vrandbyte +p5651 +tp5652 +a(g705 +g1301 +tp5653 +a(g37 +g1337 +tp5654 +a(g705 +g1119 +tp5655 +a(g412 +g1297 +tp5656 +a(g435 +Vjoin +p5657 +tp5658 +a(g705 +g1301 +tp5659 +a(g157 +g1029 +tp5660 +a(g157 +g1180 +tp5661 +a(g157 +g1029 +tp5662 +a(g705 +g1119 +tp5663 +a(g826 +V\u000a +p5664 +tp5665 +a(g8 +V# randnum is not supported. +p5666 +tp5667 +a(g826 +V\u000a\u000a\u000a +p5668 +tp5669 +a(g8 +V# @@PLEAC@@_2.10 +p5670 +tp5671 +a(g826 +V\u000a +p5672 +tp5673 +a(g744 +Vdef +p5674 +tp5675 +a(g826 +g998 +tp5676 +a(g573 +Vgaussian_rand +p5677 +tp5678 +a(g826 +V\u000a +p5679 +tp5680 +a(g744 +Vbegin +p5681 +tp5682 +a(g826 +V\u000a +p5683 +tp5684 +a(g435 +Vu1 +p5685 +tp5686 +a(g826 +g998 +tp5687 +a(g412 +g1000 +tp5688 +a(g826 +g998 +tp5689 +a(g37 +g1425 +tp5690 +a(g826 +g998 +tp5691 +a(g412 +g1326 +tp5692 +a(g826 +g998 +tp5693 +a(g681 +Vrand +p5694 +tp5695 +a(g705 +g1301 +tp5696 +a(g705 +g1119 +tp5697 +a(g826 +g998 +tp5698 +a(g412 +g1199 +tp5699 +a(g826 +g998 +tp5700 +a(g37 +g1201 +tp5701 +a(g826 +V\u000a +p5702 +tp5703 +a(g435 +Vu2 +p5704 +tp5705 +a(g826 +g998 +tp5706 +a(g412 +g1000 +tp5707 +a(g826 +g998 +tp5708 +a(g37 +g1425 +tp5709 +a(g826 +g998 +tp5710 +a(g412 +g1326 +tp5711 +a(g826 +g998 +tp5712 +a(g681 +Vrand +p5713 +tp5714 +a(g705 +g1301 +tp5715 +a(g705 +g1119 +tp5716 +a(g826 +g998 +tp5717 +a(g412 +g1199 +tp5718 +a(g826 +g998 +tp5719 +a(g37 +g1201 +tp5720 +a(g826 +V\u000a +p5721 +tp5722 +a(g435 +g2576 +tp5723 +a(g826 +g998 +tp5724 +a(g412 +g1000 +tp5725 +a(g826 +g998 +tp5726 +a(g435 +Vu1 +p5727 +tp5728 +a(g412 +g1326 +tp5729 +a(g435 +Vu1 +p5730 +tp5731 +a(g826 +g998 +tp5732 +a(g412 +g1838 +tp5733 +a(g826 +g998 +tp5734 +a(g435 +Vu2 +p5735 +tp5736 +a(g412 +g1326 +tp5737 +a(g435 +Vu2 +p5738 +tp5739 +a(g826 +V\u000a +p5740 +tp5741 +a(g744 +Vend +p5742 +tp5743 +a(g826 +g998 +tp5744 +a(g744 +Vwhile +p5745 +tp5746 +a(g826 +g998 +tp5747 +a(g705 +g1301 +tp5748 +a(g435 +g2576 +tp5749 +a(g826 +g998 +tp5750 +a(g412 +g1136 +tp5751 +a(g412 +g1000 +tp5752 +a(g826 +g998 +tp5753 +a(g37 +g1201 +tp5754 +a(g705 +g1119 +tp5755 +a(g826 +V\u000a +p5756 +tp5757 +a(g435 +g2576 +tp5758 +a(g826 +g998 +tp5759 +a(g412 +g1000 +tp5760 +a(g826 +g998 +tp5761 +a(g565 +VMath +p5762 +tp5763 +a(g412 +g1297 +tp5764 +a(g435 +Vsqrt +p5765 +tp5766 +a(g705 +g1301 +tp5767 +a(g705 +g1301 +tp5768 +a(g412 +g1199 +tp5769 +a(g37 +g1425 +tp5770 +a(g412 +g1326 +tp5771 +a(g565 +VMath +p5772 +tp5773 +a(g412 +g1297 +tp5774 +a(g435 +Vlog +p5775 +tp5776 +a(g705 +g1301 +tp5777 +a(g435 +g2576 +tp5778 +a(g705 +g1119 +tp5779 +a(g705 +g1119 +tp5780 +a(g412 +g1335 +tp5781 +a(g435 +g2576 +tp5782 +a(g705 +g1119 +tp5783 +a(g826 +V\u000a +p5784 +tp5785 +a(g412 +g1176 +tp5786 +a(g826 +g998 +tp5787 +a(g435 +Vu2 +p5788 +tp5789 +a(g412 +g1326 +tp5790 +a(g435 +g2576 +tp5791 +a(g705 +g1180 +tp5792 +a(g826 +g998 +tp5793 +a(g435 +Vu1 +p5794 +tp5795 +a(g412 +g1326 +tp5796 +a(g435 +g2576 +tp5797 +a(g826 +g998 +tp5798 +a(g412 +g1085 +tp5799 +a(g826 +V\u000a +p5800 +tp5801 +a(g744 +Vend +p5802 +tp5803 +a(g826 +V\u000a\u000a +p5804 +tp5805 +a(g435 +Vmean +p5806 +tp5807 +a(g826 +g998 +tp5808 +a(g412 +g1000 +tp5809 +a(g826 +g998 +tp5810 +a(g37 +V25 +p5811 +tp5812 +a(g826 +V\u000a +p5813 +tp5814 +a(g435 +Vsdev +p5815 +tp5816 +a(g826 +g998 +tp5817 +a(g412 +g1000 +tp5818 +a(g826 +g998 +tp5819 +a(g37 +g1425 +tp5820 +a(g826 +V\u000a +p5821 +tp5822 +a(g435 +Vsalary +p5823 +tp5824 +a(g826 +g998 +tp5825 +a(g412 +g1000 +tp5826 +a(g826 +g998 +tp5827 +a(g435 +Vgaussian_rand +p5828 +tp5829 +a(g412 +g1176 +tp5830 +a(g37 +g1401 +tp5831 +a(g412 +g1085 +tp5832 +a(g826 +g998 +tp5833 +a(g412 +g1326 +tp5834 +a(g826 +g998 +tp5835 +a(g435 +Vsdev +p5836 +tp5837 +a(g826 +g998 +tp5838 +a(g412 +g1838 +tp5839 +a(g826 +g998 +tp5840 +a(g435 +Vmean +p5841 +tp5842 +a(g826 +V\u000a +p5843 +tp5844 +a(g681 +Vprintf +p5845 +tp5846 +a(g705 +g1301 +tp5847 +a(g157 +g1029 +tp5848 +a(g157 +VYou have been hired at +p5849 +tp5850 +a(g157 +V\u005c +p5851 +tp5852 +a(g157 +V$%.2f +p5853 +tp5854 +a(g213 +V\u005cn +p5855 +tp5856 +a(g157 +g1029 +tp5857 +a(g705 +g1180 +tp5858 +a(g826 +g998 +tp5859 +a(g435 +Vsalary +p5860 +tp5861 +a(g705 +g1119 +tp5862 +a(g826 +V\u000a\u000a\u000a +p5863 +tp5864 +a(g8 +V# @@PLEAC@@_2.11 +p5865 +tp5866 +a(g826 +V\u000a +p5867 +tp5868 +a(g744 +Vdef +p5869 +tp5870 +a(g826 +g998 +tp5871 +a(g573 +Vdeg2rad +p5872 +tp5873 +a(g705 +g1301 +tp5874 +a(g435 +Vd +p5875 +tp5876 +a(g705 +g1119 +tp5877 +a(g826 +V\u000a +p5878 +tp5879 +a(g705 +g1301 +tp5880 +a(g435 +g5875 +tp5881 +a(g412 +g1335 +tp5882 +a(g37 +V180 +p5883 +tp5884 +a(g412 +g1297 +tp5885 +a(g37 +g1401 +tp5886 +a(g705 +g1119 +tp5887 +a(g412 +g1326 +tp5888 +a(g565 +VMath +p5889 +tp5890 +a(g412 +V:: +p5891 +tp5892 +a(g565 +VPI +p5893 +tp5894 +a(g826 +V\u000a +p5895 +tp5896 +a(g744 +Vend +p5897 +tp5898 +a(g826 +V\u000a\u000a +p5899 +tp5900 +a(g744 +Vdef +p5901 +tp5902 +a(g826 +g998 +tp5903 +a(g573 +Vrad2deg +p5904 +tp5905 +a(g705 +g1301 +tp5906 +a(g435 +g5153 +tp5907 +a(g705 +g1119 +tp5908 +a(g826 +V\u000a +p5909 +tp5910 +a(g705 +g1301 +tp5911 +a(g435 +g5153 +tp5912 +a(g412 +g1335 +tp5913 +a(g565 +VMath +p5914 +tp5915 +a(g412 +V:: +p5916 +tp5917 +a(g565 +VPI +p5918 +tp5919 +a(g705 +g1119 +tp5920 +a(g412 +g1326 +tp5921 +a(g37 +V180 +p5922 +tp5923 +a(g826 +V\u000a +p5924 +tp5925 +a(g744 +Vend +p5926 +tp5927 +a(g826 +V\u000a\u000a\u000a +p5928 +tp5929 +a(g8 +V# @@PLEAC@@_2.12 +p5930 +tp5931 +a(g826 +V\u000a +p5932 +tp5933 +a(g435 +Vsin_val +p5934 +tp5935 +a(g826 +g998 +tp5936 +a(g412 +g1000 +tp5937 +a(g826 +g998 +tp5938 +a(g565 +VMath +p5939 +tp5940 +a(g412 +g1297 +tp5941 +a(g435 +Vsin +p5942 +tp5943 +a(g705 +g1301 +tp5944 +a(g435 +Vangle +p5945 +tp5946 +a(g705 +g1119 +tp5947 +a(g826 +V\u000a +p5948 +tp5949 +a(g435 +Vcos_val +p5950 +tp5951 +a(g826 +g998 +tp5952 +a(g412 +g1000 +tp5953 +a(g826 +g998 +tp5954 +a(g565 +VMath +p5955 +tp5956 +a(g412 +g1297 +tp5957 +a(g435 +Vcos +p5958 +tp5959 +a(g705 +g1301 +tp5960 +a(g435 +Vangle +p5961 +tp5962 +a(g705 +g1119 +tp5963 +a(g826 +V\u000a +p5964 +tp5965 +a(g435 +Vtan_val +p5966 +tp5967 +a(g826 +g998 +tp5968 +a(g412 +g1000 +tp5969 +a(g826 +g998 +tp5970 +a(g565 +VMath +p5971 +tp5972 +a(g412 +g1297 +tp5973 +a(g435 +Vtan +p5974 +tp5975 +a(g705 +g1301 +tp5976 +a(g435 +Vangle +p5977 +tp5978 +a(g705 +g1119 +tp5979 +a(g826 +V\u000a\u000a +p5980 +tp5981 +a(g8 +V# AFAIK Ruby's Math module doesn't provide acos/asin +p5982 +tp5983 +a(g826 +V\u000a +p5984 +tp5985 +a(g8 +V# While we're at it, let's also define missing hyperbolic functions +p5986 +tp5987 +a(g826 +V\u000a +p5988 +tp5989 +a(g744 +Vmodule +p5990 +tp5991 +a(g826 +g998 +tp5992 +a(g620 +VMath +p5993 +tp5994 +a(g826 +V\u000a +p5995 +tp5996 +a(g744 +Vdef +p5997 +tp5998 +a(g826 +g998 +tp5999 +a(g628 +VMath +p6000 +tp6001 +a(g412 +g1297 +tp6002 +a(g573 +Vasin +p6003 +tp6004 +a(g705 +g1301 +tp6005 +a(g435 +g4548 +tp6006 +a(g705 +g1119 +tp6007 +a(g826 +V\u000a +p6008 +tp6009 +a(g435 +Vatan2 +p6010 +tp6011 +a(g705 +g1301 +tp6012 +a(g435 +g4548 +tp6013 +a(g705 +g1180 +tp6014 +a(g826 +g998 +tp6015 +a(g435 +Vsqrt +p6016 +tp6017 +a(g705 +g1301 +tp6018 +a(g37 +g1201 +tp6019 +a(g826 +g998 +tp6020 +a(g412 +g1199 +tp6021 +a(g826 +g998 +tp6022 +a(g435 +g4548 +tp6023 +a(g412 +V** +p6024 +tp6025 +a(g37 +g1425 +tp6026 +a(g705 +g1119 +tp6027 +a(g705 +g1119 +tp6028 +a(g826 +V\u000a +p6029 +tp6030 +a(g744 +Vend +p6031 +tp6032 +a(g826 +V\u000a +p6033 +tp6034 +a(g744 +Vdef +p6035 +tp6036 +a(g826 +g998 +tp6037 +a(g628 +VMath +p6038 +tp6039 +a(g412 +g1297 +tp6040 +a(g573 +Vacos +p6041 +tp6042 +a(g705 +g1301 +tp6043 +a(g435 +g4548 +tp6044 +a(g705 +g1119 +tp6045 +a(g826 +V\u000a +p6046 +tp6047 +a(g435 +Vatan2 +p6048 +tp6049 +a(g705 +g1301 +tp6050 +a(g435 +Vsqrt +p6051 +tp6052 +a(g705 +g1301 +tp6053 +a(g37 +g1201 +tp6054 +a(g826 +g998 +tp6055 +a(g412 +g1199 +tp6056 +a(g826 +g998 +tp6057 +a(g435 +g4548 +tp6058 +a(g412 +V** +p6059 +tp6060 +a(g37 +g1425 +tp6061 +a(g705 +g1119 +tp6062 +a(g705 +g1180 +tp6063 +a(g826 +g998 +tp6064 +a(g435 +g4548 +tp6065 +a(g705 +g1119 +tp6066 +a(g826 +V\u000a +p6067 +tp6068 +a(g744 +Vend +p6069 +tp6070 +a(g826 +V\u000a +p6071 +tp6072 +a(g744 +Vdef +p6073 +tp6074 +a(g826 +g998 +tp6075 +a(g628 +VMath +p6076 +tp6077 +a(g412 +g1297 +tp6078 +a(g573 +Vatan +p6079 +tp6080 +a(g705 +g1301 +tp6081 +a(g435 +g4548 +tp6082 +a(g705 +g1119 +tp6083 +a(g826 +V\u000a +p6084 +tp6085 +a(g435 +Vatan2 +p6086 +tp6087 +a(g705 +g1301 +tp6088 +a(g435 +g4548 +tp6089 +a(g705 +g1180 +tp6090 +a(g826 +g998 +tp6091 +a(g37 +g1201 +tp6092 +a(g705 +g1119 +tp6093 +a(g826 +V\u000a +p6094 +tp6095 +a(g744 +Vend +p6096 +tp6097 +a(g826 +V\u000a +p6098 +tp6099 +a(g744 +Vdef +p6100 +tp6101 +a(g826 +g998 +tp6102 +a(g628 +VMath +p6103 +tp6104 +a(g412 +g1297 +tp6105 +a(g573 +Vsinh +p6106 +tp6107 +a(g705 +g1301 +tp6108 +a(g435 +g4548 +tp6109 +a(g705 +g1119 +tp6110 +a(g826 +V\u000a +p6111 +tp6112 +a(g705 +g1301 +tp6113 +a(g435 +Vexp +p6114 +tp6115 +a(g705 +g1301 +tp6116 +a(g435 +g4548 +tp6117 +a(g705 +g1119 +tp6118 +a(g826 +g998 +tp6119 +a(g412 +g1199 +tp6120 +a(g826 +g998 +tp6121 +a(g435 +Vexp +p6122 +tp6123 +a(g705 +g1301 +tp6124 +a(g412 +g1199 +tp6125 +a(g435 +g4548 +tp6126 +a(g705 +g1119 +tp6127 +a(g705 +g1119 +tp6128 +a(g826 +g998 +tp6129 +a(g412 +g1335 +tp6130 +a(g826 +g998 +tp6131 +a(g37 +g1425 +tp6132 +a(g826 +V\u000a +p6133 +tp6134 +a(g744 +Vend +p6135 +tp6136 +a(g826 +V\u000a +p6137 +tp6138 +a(g744 +Vdef +p6139 +tp6140 +a(g826 +g998 +tp6141 +a(g628 +VMath +p6142 +tp6143 +a(g412 +g1297 +tp6144 +a(g573 +Vcosh +p6145 +tp6146 +a(g705 +g1301 +tp6147 +a(g435 +g4548 +tp6148 +a(g705 +g1119 +tp6149 +a(g826 +V\u000a +p6150 +tp6151 +a(g705 +g1301 +tp6152 +a(g435 +Vexp +p6153 +tp6154 +a(g705 +g1301 +tp6155 +a(g435 +g4548 +tp6156 +a(g705 +g1119 +tp6157 +a(g826 +g998 +tp6158 +a(g412 +g1838 +tp6159 +a(g826 +g998 +tp6160 +a(g435 +Vexp +p6161 +tp6162 +a(g705 +g1301 +tp6163 +a(g412 +g1199 +tp6164 +a(g435 +g4548 +tp6165 +a(g705 +g1119 +tp6166 +a(g705 +g1119 +tp6167 +a(g826 +g998 +tp6168 +a(g412 +g1335 +tp6169 +a(g826 +g998 +tp6170 +a(g37 +g1425 +tp6171 +a(g826 +V\u000a +p6172 +tp6173 +a(g744 +Vend +p6174 +tp6175 +a(g826 +V\u000a +p6176 +tp6177 +a(g744 +Vdef +p6178 +tp6179 +a(g826 +g998 +tp6180 +a(g628 +VMath +p6181 +tp6182 +a(g412 +g1297 +tp6183 +a(g573 +Vtanh +p6184 +tp6185 +a(g705 +g1301 +tp6186 +a(g435 +g4548 +tp6187 +a(g705 +g1119 +tp6188 +a(g826 +V\u000a +p6189 +tp6190 +a(g435 +Vsinh +p6191 +tp6192 +a(g705 +g1301 +tp6193 +a(g435 +g4548 +tp6194 +a(g705 +g1119 +tp6195 +a(g826 +g998 +tp6196 +a(g412 +g1335 +tp6197 +a(g826 +g998 +tp6198 +a(g435 +Vcosh +p6199 +tp6200 +a(g705 +g1301 +tp6201 +a(g435 +g4548 +tp6202 +a(g705 +g1119 +tp6203 +a(g826 +V\u000a +p6204 +tp6205 +a(g744 +Vend +p6206 +tp6207 +a(g826 +V\u000a +p6208 +tp6209 +a(g744 +Vend +p6210 +tp6211 +a(g826 +V\u000a\u000a +p6212 +tp6213 +a(g8 +V# The support for Complex numbers is not built-in +p6214 +tp6215 +a(g826 +V\u000a +p6216 +tp6217 +a(g435 +g4554 +tp6218 +a(g826 +g998 +tp6219 +a(g412 +g1000 +tp6220 +a(g826 +g998 +tp6221 +a(g565 +VMath +p6222 +tp6223 +a(g412 +g1297 +tp6224 +a(g435 +Vacos +p6225 +tp6226 +a(g705 +g1301 +tp6227 +a(g37 +g1514 +tp6228 +a(g412 +g1297 +tp6229 +a(g37 +g4338 +tp6230 +a(g705 +g1119 +tp6231 +a(g826 +V\u000a +p6232 +tp6233 +a(g8 +V#=> in `sqrt': square root for negative number (ArgumentError) +p6234 +tp6235 +a(g826 +V\u000a\u000a +p6236 +tp6237 +a(g8 +V# There is an implementation of Complex numbers in 'complex.rb' in current +p6238 +tp6239 +a(g826 +V\u000a +p6240 +tp6241 +a(g8 +V# Ruby distro, but it doesn't support atan2 with complex args, so it doesn't +p6242 +tp6243 +a(g826 +V\u000a +p6244 +tp6245 +a(g8 +V# solve this problem. +p6246 +tp6247 +a(g826 +V\u000a\u000a\u000a +p6248 +tp6249 +a(g8 +V# @@PLEAC@@_2.13 +p6250 +tp6251 +a(g826 +V\u000a +p6252 +tp6253 +a(g435 +Vlog_e +p6254 +tp6255 +a(g826 +g998 +tp6256 +a(g412 +g1000 +tp6257 +a(g826 +g998 +tp6258 +a(g565 +VMath +p6259 +tp6260 +a(g412 +g1297 +tp6261 +a(g435 +Vlog +p6262 +tp6263 +a(g705 +g1301 +tp6264 +a(g435 +Vval +p6265 +tp6266 +a(g705 +g1119 +tp6267 +a(g826 +V\u000a +p6268 +tp6269 +a(g435 +Vlog_10 +p6270 +tp6271 +a(g826 +g998 +tp6272 +a(g412 +g1000 +tp6273 +a(g826 +g998 +tp6274 +a(g565 +VMath +p6275 +tp6276 +a(g412 +g1297 +tp6277 +a(g435 +Vlog10 +p6278 +tp6279 +a(g705 +g1301 +tp6280 +a(g435 +Vval +p6281 +tp6282 +a(g705 +g1119 +tp6283 +a(g826 +V\u000a\u000a +p6284 +tp6285 +a(g744 +Vdef +p6286 +tp6287 +a(g826 +g998 +tp6288 +a(g573 +Vlog_base +p6289 +tp6290 +a(g705 +g1301 +tp6291 +a(g435 +Vbase +p6292 +tp6293 +a(g705 +g1180 +tp6294 +a(g826 +g998 +tp6295 +a(g435 +Vval +p6296 +tp6297 +a(g705 +g1119 +tp6298 +a(g826 +V\u000a +p6299 +tp6300 +a(g565 +VMath +p6301 +tp6302 +a(g412 +g1297 +tp6303 +a(g435 +Vlog +p6304 +tp6305 +a(g705 +g1301 +tp6306 +a(g435 +Vval +p6307 +tp6308 +a(g705 +g1119 +tp6309 +a(g412 +g1335 +tp6310 +a(g565 +VMath +p6311 +tp6312 +a(g412 +g1297 +tp6313 +a(g435 +Vlog +p6314 +tp6315 +a(g705 +g1301 +tp6316 +a(g435 +Vbase +p6317 +tp6318 +a(g705 +g1119 +tp6319 +a(g826 +V\u000a +p6320 +tp6321 +a(g744 +Vend +p6322 +tp6323 +a(g826 +V\u000a\u000a +p6324 +tp6325 +a(g435 +Vanswer +p6326 +tp6327 +a(g826 +g998 +tp6328 +a(g412 +g1000 +tp6329 +a(g826 +g998 +tp6330 +a(g435 +Vlog_base +p6331 +tp6332 +a(g705 +g1301 +tp6333 +a(g37 +V10 +p6334 +tp6335 +a(g705 +g1180 +tp6336 +a(g826 +g998 +tp6337 +a(g37 +V10_000 +p6338 +tp6339 +a(g705 +g1119 +tp6340 +a(g826 +V\u000a +p6341 +tp6342 +a(g681 +Vputs +p6343 +tp6344 +a(g826 +g998 +tp6345 +a(g157 +g1029 +tp6346 +a(g157 +Vlog10(10,000) = +p6347 +tp6348 +a(g125 +V#{ +p6349 +tp6350 +a(g435 +Vanswer +p6351 +tp6352 +a(g125 +g1102 +tp6353 +a(g157 +g1029 +tp6354 +a(g826 +V\u000a\u000a\u000a +p6355 +tp6356 +a(g8 +V# @@PLEAC@@_2.14 +p6357 +tp6358 +a(g826 +V\u000a +p6359 +tp6360 +a(g681 +Vrequire +p6361 +tp6362 +a(g826 +g998 +tp6363 +a(g229 +V'matrix.rb' +p6364 +tp6365 +a(g826 +V\u000a\u000a +p6366 +tp6367 +a(g435 +g1144 +tp6368 +a(g826 +g998 +tp6369 +a(g412 +g1000 +tp6370 +a(g826 +g998 +tp6371 +a(g565 +VMatrix +p6372 +tp6373 +a(g412 +g1176 +tp6374 +a(g412 +g1176 +tp6375 +a(g37 +g1514 +tp6376 +a(g705 +g1180 +tp6377 +a(g826 +g998 +tp6378 +a(g37 +g1425 +tp6379 +a(g705 +g1180 +tp6380 +a(g826 +g998 +tp6381 +a(g37 +g1514 +tp6382 +a(g412 +g1085 +tp6383 +a(g705 +g1180 +tp6384 +a(g826 +g998 +tp6385 +a(g412 +g1176 +tp6386 +a(g37 +g1337 +tp6387 +a(g705 +g1180 +tp6388 +a(g826 +g998 +tp6389 +a(g37 +g4795 +tp6390 +a(g705 +g1180 +tp6391 +a(g826 +g998 +tp6392 +a(g37 +g1510 +tp6393 +a(g412 +g1085 +tp6394 +a(g412 +g1085 +tp6395 +a(g826 +V\u000a +p6396 +tp6397 +a(g435 +g1728 +tp6398 +a(g826 +g998 +tp6399 +a(g412 +g1000 +tp6400 +a(g826 +g998 +tp6401 +a(g565 +VMatrix +p6402 +tp6403 +a(g412 +g1176 +tp6404 +a(g412 +g1176 +tp6405 +a(g37 +g1487 +tp6406 +a(g705 +g1180 +tp6407 +a(g826 +g998 +tp6408 +a(g37 +g4338 +tp6409 +a(g412 +g1085 +tp6410 +a(g705 +g1180 +tp6411 +a(g826 +g998 +tp6412 +a(g412 +g1176 +tp6413 +a(g37 +g4795 +tp6414 +a(g705 +g1180 +tp6415 +a(g826 +g998 +tp6416 +a(g37 +g1514 +tp6417 +a(g412 +g1085 +tp6418 +a(g705 +g1180 +tp6419 +a(g826 +g998 +tp6420 +a(g412 +g1176 +tp6421 +a(g37 +g1510 +tp6422 +a(g705 +g1180 +tp6423 +a(g826 +g998 +tp6424 +a(g37 +g1201 +tp6425 +a(g412 +g1085 +tp6426 +a(g412 +g1085 +tp6427 +a(g826 +V\u000a +p6428 +tp6429 +a(g435 +g1748 +tp6430 +a(g826 +g998 +tp6431 +a(g412 +g1000 +tp6432 +a(g826 +g998 +tp6433 +a(g435 +g1144 +tp6434 +a(g826 +g998 +tp6435 +a(g412 +g1326 +tp6436 +a(g826 +g998 +tp6437 +a(g435 +g1728 +tp6438 +a(g826 +V\u000a\u000a +p6439 +tp6440 +a(g435 +g1144 +tp6441 +a(g412 +g1297 +tp6442 +a(g435 +Vrow_size +p6443 +tp6444 +a(g826 +V\u000a +p6445 +tp6446 +a(g435 +g1144 +tp6447 +a(g412 +g1297 +tp6448 +a(g435 +Vcolumn_size +p6449 +tp6450 +a(g826 +V\u000a\u000a +p6451 +tp6452 +a(g435 +g1748 +tp6453 +a(g412 +g1297 +tp6454 +a(g435 +Vdet +p6455 +tp6456 +a(g826 +V\u000a +p6457 +tp6458 +a(g435 +g1144 +tp6459 +a(g412 +g1297 +tp6460 +a(g435 +Vtranspose +p6461 +tp6462 +a(g826 +V\u000a\u000a\u000a +p6463 +tp6464 +a(g8 +V# @@PLEAC@@_2.15 +p6465 +tp6466 +a(g826 +V\u000a +p6467 +tp6468 +a(g681 +Vrequire +p6469 +tp6470 +a(g826 +g998 +tp6471 +a(g229 +V'complex.rb' +p6472 +tp6473 +a(g826 +V\u000a +p6474 +tp6475 +a(g681 +Vrequire +p6476 +tp6477 +a(g826 +g998 +tp6478 +a(g229 +V'rational.rb' +p6479 +tp6480 +a(g826 +V\u000a\u000a +p6481 +tp6482 +a(g435 +g1144 +tp6483 +a(g826 +g998 +tp6484 +a(g412 +g1000 +tp6485 +a(g826 +g998 +tp6486 +a(g565 +VComplex +p6487 +tp6488 +a(g705 +g1301 +tp6489 +a(g37 +g1514 +tp6490 +a(g705 +g1180 +tp6491 +a(g826 +g998 +tp6492 +a(g37 +g1337 +tp6493 +a(g705 +g1119 +tp6494 +a(g826 +V +p6495 +tp6496 +a(g8 +V# 3 + 5i +p6497 +tp6498 +a(g826 +V\u000a +p6499 +tp6500 +a(g435 +g1728 +tp6501 +a(g826 +g998 +tp6502 +a(g412 +g1000 +tp6503 +a(g826 +g998 +tp6504 +a(g565 +VComplex +p6505 +tp6506 +a(g705 +g1301 +tp6507 +a(g37 +g1425 +tp6508 +a(g705 +g1180 +tp6509 +a(g826 +g998 +tp6510 +a(g412 +g1199 +tp6511 +a(g37 +g1425 +tp6512 +a(g705 +g1119 +tp6513 +a(g826 +V +p6514 +tp6515 +a(g8 +V# 2 - 2i +p6516 +tp6517 +a(g826 +V\u000a +p6518 +tp6519 +a(g681 +Vputs +p6520 +tp6521 +a(g826 +g998 +tp6522 +a(g157 +g1029 +tp6523 +a(g157 +Vc = +p6524 +tp6525 +a(g125 +V#{ +p6526 +tp6527 +a(g435 +g1144 +tp6528 +a(g412 +g1326 +tp6529 +a(g435 +g1728 +tp6530 +a(g125 +g1102 +tp6531 +a(g157 +g1029 +tp6532 +a(g826 +V\u000a\u000a +p6533 +tp6534 +a(g435 +g1748 +tp6535 +a(g826 +g998 +tp6536 +a(g412 +g1000 +tp6537 +a(g826 +g998 +tp6538 +a(g435 +g1144 +tp6539 +a(g826 +g998 +tp6540 +a(g412 +g1326 +tp6541 +a(g826 +g998 +tp6542 +a(g435 +g1728 +tp6543 +a(g826 +V\u000a +p6544 +tp6545 +a(g435 +g5875 +tp6546 +a(g826 +g998 +tp6547 +a(g412 +g1000 +tp6548 +a(g826 +g998 +tp6549 +a(g37 +g1514 +tp6550 +a(g826 +g998 +tp6551 +a(g412 +g1838 +tp6552 +a(g826 +g998 +tp6553 +a(g37 +g1487 +tp6554 +a(g412 +g1326 +tp6555 +a(g565 +VComplex +p6556 +tp6557 +a(g412 +V:: +p6558 +tp6559 +a(g435 +g4829 +tp6560 +a(g826 +V\u000a\u000a +p6561 +tp6562 +a(g681 +Vprintf +p6563 +tp6564 +a(g826 +g998 +tp6565 +a(g157 +g1029 +tp6566 +a(g157 +Vsqrt( +p6567 +tp6568 +a(g125 +V#{ +p6569 +tp6570 +a(g435 +g5875 +tp6571 +a(g125 +g1102 +tp6572 +a(g157 +V) = %s +p6573 +tp6574 +a(g213 +V\u005cn +p6575 +tp6576 +a(g157 +g1029 +tp6577 +a(g705 +g1180 +tp6578 +a(g826 +g998 +tp6579 +a(g565 +VMath +p6580 +tp6581 +a(g412 +g1297 +tp6582 +a(g435 +Vsqrt +p6583 +tp6584 +a(g705 +g1301 +tp6585 +a(g435 +g5875 +tp6586 +a(g705 +g1119 +tp6587 +a(g826 +V\u000a\u000a\u000a +p6588 +tp6589 +a(g8 +V# @@PLEAC@@_2.16 +p6590 +tp6591 +a(g826 +V\u000a +p6592 +tp6593 +a(g435 +Vnumber +p6594 +tp6595 +a(g826 +g998 +tp6596 +a(g412 +g1000 +tp6597 +a(g826 +g998 +tp6598 +a(g435 +Vhexadecimal +p6599 +tp6600 +a(g412 +g1297 +tp6601 +a(g435 +Vhex +p6602 +tp6603 +a(g826 +V\u000a +p6604 +tp6605 +a(g435 +Vnumber +p6606 +tp6607 +a(g826 +g998 +tp6608 +a(g412 +g1000 +tp6609 +a(g826 +g998 +tp6610 +a(g435 +Voctal +p6611 +tp6612 +a(g412 +g1297 +tp6613 +a(g435 +Voct +p6614 +tp6615 +a(g826 +V\u000a\u000a +p6616 +tp6617 +a(g681 +Vprint +p6618 +tp6619 +a(g826 +g998 +tp6620 +a(g157 +g1029 +tp6621 +a(g157 +VGimme a number in decimal, octal, or hex: +p6622 +tp6623 +a(g157 +g1029 +tp6624 +a(g826 +V\u000a +p6625 +tp6626 +a(g435 +Vnum +p6627 +tp6628 +a(g826 +g998 +tp6629 +a(g412 +g1000 +tp6630 +a(g826 +g998 +tp6631 +a(g681 +Vgets +p6632 +tp6633 +a(g412 +g1297 +tp6634 +a(g435 +Vchomp +p6635 +tp6636 +a(g826 +V\u000a +p6637 +tp6638 +a(g681 +Vexit +p6639 +tp6640 +a(g826 +g998 +tp6641 +a(g744 +Vunless +p6642 +tp6643 +a(g826 +g998 +tp6644 +a(g435 +Vdefined? +p6645 +tp6646 +a(g705 +g1301 +tp6647 +a(g435 +Vnum +p6648 +tp6649 +a(g705 +g1119 +tp6650 +a(g826 +V\u000a +p6651 +tp6652 +a(g435 +Vnum +p6653 +tp6654 +a(g826 +g998 +tp6655 +a(g412 +g1000 +tp6656 +a(g826 +g998 +tp6657 +a(g435 +Vnum +p6658 +tp6659 +a(g412 +g1297 +tp6660 +a(g435 +Voct +p6661 +tp6662 +a(g826 +g998 +tp6663 +a(g744 +Vif +p6664 +tp6665 +a(g826 +g998 +tp6666 +a(g435 +Vnum +p6667 +tp6668 +a(g826 +g998 +tp6669 +a(g412 +V=~ +p6670 +tp6671 +a(g826 +g998 +tp6672 +a(g197 +g1335 +tp6673 +a(g197 +V^0 +p6674 +tp6675 +a(g197 +g1335 +tp6676 +a(g826 +V +p6677 +tp6678 +a(g8 +V# does both oct and hex +p6679 +tp6680 +a(g826 +V\u000a +p6681 +tp6682 +a(g681 +Vprintf +p6683 +tp6684 +a(g826 +g998 +tp6685 +a(g157 +g1029 +tp6686 +a(g157 +V%d %x %o +p6687 +tp6688 +a(g213 +V\u005cn +p6689 +tp6690 +a(g157 +g1029 +tp6691 +a(g705 +g1180 +tp6692 +a(g826 +g998 +tp6693 +a(g435 +Vnum +p6694 +tp6695 +a(g705 +g1180 +tp6696 +a(g826 +g998 +tp6697 +a(g435 +Vnum +p6698 +tp6699 +a(g705 +g1180 +tp6700 +a(g826 +g998 +tp6701 +a(g435 +Vnum +p6702 +tp6703 +a(g826 +V\u000a\u000a +p6704 +tp6705 +a(g681 +Vprint +p6706 +tp6707 +a(g826 +g998 +tp6708 +a(g157 +g1029 +tp6709 +a(g157 +VEnter file permission in octal: +p6710 +tp6711 +a(g157 +g1029 +tp6712 +a(g826 +V\u000a +p6713 +tp6714 +a(g435 +Vpermissions +p6715 +tp6716 +a(g826 +g998 +tp6717 +a(g412 +g1000 +tp6718 +a(g826 +g998 +tp6719 +a(g681 +Vgets +p6720 +tp6721 +a(g412 +g1297 +tp6722 +a(g435 +Vchomp +p6723 +tp6724 +a(g826 +V\u000a +p6725 +tp6726 +a(g744 +Vraise +p6727 +tp6728 +a(g826 +g998 +tp6729 +a(g157 +g1029 +tp6730 +a(g157 +VExiting ... +p6731 +tp6732 +a(g213 +V\u005cn +p6733 +tp6734 +a(g157 +g1029 +tp6735 +a(g826 +g998 +tp6736 +a(g744 +Vunless +p6737 +tp6738 +a(g826 +g998 +tp6739 +a(g435 +Vdefined? +p6740 +tp6741 +a(g705 +g1301 +tp6742 +a(g435 +Vpermissions +p6743 +tp6744 +a(g705 +g1119 +tp6745 +a(g826 +V\u000a +p6746 +tp6747 +a(g681 +Vputs +p6748 +tp6749 +a(g826 +g998 +tp6750 +a(g157 +g1029 +tp6751 +a(g157 +VThe decimal value is +p6752 +tp6753 +a(g125 +V#{ +p6754 +tp6755 +a(g435 +Vpermissions +p6756 +tp6757 +a(g412 +g1297 +tp6758 +a(g435 +Voct +p6759 +tp6760 +a(g125 +g1102 +tp6761 +a(g157 +g1029 +tp6762 +a(g826 +V\u000a\u000a\u000a +p6763 +tp6764 +a(g8 +V# @@PLEAC@@_2.17 +p6765 +tp6766 +a(g826 +V\u000a +p6767 +tp6768 +a(g744 +Vdef +p6769 +tp6770 +a(g826 +g998 +tp6771 +a(g573 +Vcommify +p6772 +tp6773 +a(g705 +g1301 +tp6774 +a(g435 +g2884 +tp6775 +a(g705 +g1119 +tp6776 +a(g826 +V\u000a +p6777 +tp6778 +a(g435 +g2884 +tp6779 +a(g412 +g1297 +tp6780 +a(g435 +Vto_s +p6781 +tp6782 +a(g826 +g998 +tp6783 +a(g412 +V=~ +p6784 +tp6785 +a(g826 +g998 +tp6786 +a(g197 +g1335 +tp6787 +a(g197 +V([^ +p6788 +tp6789 +a(g197 +V\u005c +p6790 +tp6791 +a(g197 +V.]*)( +p6792 +tp6793 +a(g197 +V\u005c +p6794 +tp6795 +a(g197 +V..*)? +p6796 +tp6797 +a(g197 +g1335 +tp6798 +a(g826 +V\u000a +p6799 +tp6800 +a(g435 +Vint +p6801 +tp6802 +a(g705 +g1180 +tp6803 +a(g826 +g998 +tp6804 +a(g435 +Vdec +p6805 +tp6806 +a(g826 +g998 +tp6807 +a(g412 +g1000 +tp6808 +a(g826 +g998 +tp6809 +a(g469 +V$1 +p6810 +tp6811 +a(g412 +g1297 +tp6812 +a(g435 +Vreverse +p6813 +tp6814 +a(g705 +g1180 +tp6815 +a(g826 +g998 +tp6816 +a(g469 +V$2 +p6817 +tp6818 +a(g826 +g998 +tp6819 +a(g705 +g1995 +tp6820 +a(g826 +g998 +tp6821 +a(g469 +V$2 +p6822 +tp6823 +a(g826 +g998 +tp6824 +a(g705 +g2000 +tp6825 +a(g826 +g998 +tp6826 +a(g157 +g1029 +tp6827 +a(g157 +g1029 +tp6828 +a(g826 +V\u000a +p6829 +tp6830 +a(g744 +Vwhile +p6831 +tp6832 +a(g826 +g998 +tp6833 +a(g435 +Vint +p6834 +tp6835 +a(g412 +g1297 +tp6836 +a(g435 +Vgsub! +p6837 +tp6838 +a(g705 +g1301 +tp6839 +a(g197 +g1335 +tp6840 +a(g197 +V(,| +p6841 +tp6842 +a(g197 +V\u005c +p6843 +tp6844 +a(g197 +V.|^)( +p6845 +tp6846 +a(g197 +V\u005c +p6847 +tp6848 +a(g197 +Vd{3})( +p6849 +tp6850 +a(g197 +V\u005c +p6851 +tp6852 +a(g197 +Vd) +p6853 +tp6854 +a(g197 +g1335 +tp6855 +a(g705 +g1180 +tp6856 +a(g826 +g998 +tp6857 +a(g229 +V'\u005c1\u005c2,\u005c3' +p6858 +tp6859 +a(g705 +g1119 +tp6860 +a(g826 +V\u000a +p6861 +tp6862 +a(g744 +Vend +p6863 +tp6864 +a(g826 +V\u000a +p6865 +tp6866 +a(g435 +Vint +p6867 +tp6868 +a(g412 +g1297 +tp6869 +a(g435 +Vreverse +p6870 +tp6871 +a(g826 +g998 +tp6872 +a(g412 +g1838 +tp6873 +a(g826 +g998 +tp6874 +a(g435 +Vdec +p6875 +tp6876 +a(g826 +V\u000a +p6877 +tp6878 +a(g744 +Vend +p6879 +tp6880 +a(g826 +V\u000a\u000a\u000a +p6881 +tp6882 +a(g8 +V# @@PLEAC@@_2.18 +p6883 +tp6884 +a(g826 +V\u000a +p6885 +tp6886 +a(g681 +Vprintf +p6887 +tp6888 +a(g826 +g998 +tp6889 +a(g157 +g1029 +tp6890 +a(g157 +VIt took %d hour%s +p6891 +tp6892 +a(g213 +V\u005cn +p6893 +tp6894 +a(g157 +g1029 +tp6895 +a(g705 +g1180 +tp6896 +a(g826 +g998 +tp6897 +a(g435 +Vtime +p6898 +tp6899 +a(g705 +g1180 +tp6900 +a(g826 +g998 +tp6901 +a(g435 +Vtime +p6902 +tp6903 +a(g826 +g998 +tp6904 +a(g412 +V== +p6905 +tp6906 +a(g826 +g998 +tp6907 +a(g37 +g1201 +tp6908 +a(g826 +g998 +tp6909 +a(g412 +g1995 +tp6910 +a(g826 +g998 +tp6911 +a(g157 +g1029 +tp6912 +a(g157 +g1029 +tp6913 +a(g826 +g998 +tp6914 +a(g705 +g2000 +tp6915 +a(g826 +g998 +tp6916 +a(g157 +g1029 +tp6917 +a(g157 +Vs +p6918 +tp6919 +a(g157 +g1029 +tp6920 +a(g826 +V\u000a\u000a +p6921 +tp6922 +a(g8 +V# dunno if an equivalent to Lingua::EN::Inflect exists... +p6923 +tp6924 +a(g826 +V\u000a\u000a\u000a +p6925 +tp6926 +a(g8 +V# @@PLEAC@@_2.19 +p6927 +tp6928 +a(g826 +V\u000a +p6929 +tp6930 +a(g8 +V#----------------------------- +p6931 +tp6932 +a(g826 +V\u000a +p6933 +tp6934 +a(g8 +V#!/usr/bin/ruby +p6935 +tp6936 +a(g826 +V\u000a +p6937 +tp6938 +a(g8 +V# bigfact - calculating prime factors +p6939 +tp6940 +a(g826 +V\u000a +p6941 +tp6942 +a(g744 +Vdef +p6943 +tp6944 +a(g826 +g998 +tp6945 +a(g573 +Vfactorize +p6946 +tp6947 +a(g705 +g1301 +tp6948 +a(g435 +Vorig +p6949 +tp6950 +a(g705 +g1119 +tp6951 +a(g826 +V\u000a +p6952 +tp6953 +a(g435 +Vfactors +p6954 +tp6955 +a(g826 +g998 +tp6956 +a(g412 +g1000 +tp6957 +a(g826 +g998 +tp6958 +a(g705 +g2247 +tp6959 +a(g705 +g1102 +tp6960 +a(g826 +V\u000a +p6961 +tp6962 +a(g435 +Vfactors +p6963 +tp6964 +a(g412 +g1297 +tp6965 +a(g435 +Vdefault +p6966 +tp6967 +a(g826 +g998 +tp6968 +a(g412 +g1000 +tp6969 +a(g826 +g998 +tp6970 +a(g37 +g1401 +tp6971 +a(g826 +V +p6972 +tp6973 +a(g8 +V# return 0 instead nil if key not found in hash +p6974 +tp6975 +a(g826 +V\u000a +p6976 +tp6977 +a(g435 +g2884 +tp6978 +a(g826 +g998 +tp6979 +a(g412 +g1000 +tp6980 +a(g826 +g998 +tp6981 +a(g435 +Vorig +p6982 +tp6983 +a(g826 +V\u000a +p6984 +tp6985 +a(g435 +g2252 +tp6986 +a(g826 +g998 +tp6987 +a(g412 +g1000 +tp6988 +a(g826 +g998 +tp6989 +a(g37 +g1425 +tp6990 +a(g826 +V\u000a +p6991 +tp6992 +a(g435 +Vsqi +p6993 +tp6994 +a(g826 +g998 +tp6995 +a(g412 +g1000 +tp6996 +a(g826 +g998 +tp6997 +a(g37 +g1487 +tp6998 +a(g826 +V +p6999 +tp7000 +a(g8 +V# square of i +p7001 +tp7002 +a(g826 +V\u000a +p7003 +tp7004 +a(g744 +Vwhile +p7005 +tp7006 +a(g826 +g998 +tp7007 +a(g435 +Vsqi +p7008 +tp7009 +a(g826 +g998 +tp7010 +a(g412 +V< +p7011 +tp7012 +a(g412 +g1000 +tp7013 +a(g826 +g998 +tp7014 +a(g435 +g2884 +tp7015 +a(g826 +g998 +tp7016 +a(g744 +Vdo +p7017 +tp7018 +a(g826 +V\u000a +p7019 +tp7020 +a(g744 +Vwhile +p7021 +tp7022 +a(g826 +g998 +tp7023 +a(g435 +g2884 +tp7024 +a(g412 +g1297 +tp7025 +a(g435 +Vmodulo +p7026 +tp7027 +a(g705 +g1301 +tp7028 +a(g435 +g2252 +tp7029 +a(g705 +g1119 +tp7030 +a(g826 +g998 +tp7031 +a(g412 +V== +p7032 +tp7033 +a(g826 +g998 +tp7034 +a(g37 +g1401 +tp7035 +a(g826 +g998 +tp7036 +a(g744 +Vdo +p7037 +tp7038 +a(g826 +V\u000a +p7039 +tp7040 +a(g435 +g2884 +tp7041 +a(g826 +g998 +tp7042 +a(g412 +V/= +p7043 +tp7044 +a(g826 +g998 +tp7045 +a(g435 +g2252 +tp7046 +a(g826 +V\u000a +p7047 +tp7048 +a(g435 +Vfactors +p7049 +tp7050 +a(g412 +g1176 +tp7051 +a(g435 +g2252 +tp7052 +a(g412 +g1085 +tp7053 +a(g826 +g998 +tp7054 +a(g412 +V+= +p7055 +tp7056 +a(g826 +g998 +tp7057 +a(g37 +g1201 +tp7058 +a(g826 +V\u000a +p7059 +tp7060 +a(g8 +V# puts "Found factor #{i}" +p7061 +tp7062 +a(g826 +V\u000a +p7063 +tp7064 +a(g744 +Vend +p7065 +tp7066 +a(g826 +V\u000a +p7067 +tp7068 +a(g8 +V# we take advantage of the fact that (i +1)**2 = i**2 + 2*i +1 +p7069 +tp7070 +a(g826 +V\u000a +p7071 +tp7072 +a(g435 +Vsqi +p7073 +tp7074 +a(g826 +g998 +tp7075 +a(g412 +V+= +p7076 +tp7077 +a(g826 +g998 +tp7078 +a(g37 +g1425 +tp7079 +a(g826 +g998 +tp7080 +a(g412 +g1326 +tp7081 +a(g826 +g998 +tp7082 +a(g435 +g2252 +tp7083 +a(g826 +g998 +tp7084 +a(g412 +g1838 +tp7085 +a(g826 +g998 +tp7086 +a(g37 +g1201 +tp7087 +a(g826 +V\u000a +p7088 +tp7089 +a(g435 +g2252 +tp7090 +a(g826 +g998 +tp7091 +a(g412 +V+= +p7092 +tp7093 +a(g826 +g998 +tp7094 +a(g37 +g1201 +tp7095 +a(g826 +V\u000a +p7096 +tp7097 +a(g744 +Vend +p7098 +tp7099 +a(g826 +V\u000a \u000a +p7100 +tp7101 +a(g744 +Vif +p7102 +tp7103 +a(g826 +g998 +tp7104 +a(g705 +g1301 +tp7105 +a(g435 +g2884 +tp7106 +a(g826 +g998 +tp7107 +a(g412 +V!= +p7108 +tp7109 +a(g826 +g998 +tp7110 +a(g37 +g1201 +tp7111 +a(g705 +g1119 +tp7112 +a(g826 +g998 +tp7113 +a(g412 +V&& +p7114 +tp7115 +a(g826 +g998 +tp7116 +a(g705 +g1301 +tp7117 +a(g435 +g2884 +tp7118 +a(g826 +g998 +tp7119 +a(g412 +V!= +p7120 +tp7121 +a(g826 +g998 +tp7122 +a(g435 +Vorig +p7123 +tp7124 +a(g705 +g1119 +tp7125 +a(g826 +V\u000a +p7126 +tp7127 +a(g435 +Vfactors +p7128 +tp7129 +a(g412 +g1176 +tp7130 +a(g435 +g2884 +tp7131 +a(g412 +g1085 +tp7132 +a(g826 +g998 +tp7133 +a(g412 +V+= +p7134 +tp7135 +a(g826 +g998 +tp7136 +a(g37 +g1201 +tp7137 +a(g826 +V\u000a +p7138 +tp7139 +a(g744 +Vend +p7140 +tp7141 +a(g826 +V\u000a +p7142 +tp7143 +a(g435 +Vfactors +p7144 +tp7145 +a(g826 +V\u000a +p7146 +tp7147 +a(g744 +Vend +p7148 +tp7149 +a(g826 +V\u000a\u000a +p7150 +tp7151 +a(g744 +Vdef +p7152 +tp7153 +a(g826 +g998 +tp7154 +a(g573 +Vprintfactorhash +p7155 +tp7156 +a(g705 +g1301 +tp7157 +a(g435 +Vorig +p7158 +tp7159 +a(g705 +g1180 +tp7160 +a(g826 +g998 +tp7161 +a(g435 +Vfactorcount +p7162 +tp7163 +a(g705 +g1119 +tp7164 +a(g826 +V\u000a +p7165 +tp7166 +a(g681 +Vprint +p7167 +tp7168 +a(g826 +g998 +tp7169 +a(g681 +Vformat +p7170 +tp7171 +a(g705 +g1301 +tp7172 +a(g157 +g1029 +tp7173 +a(g157 +V%-10d +p7174 +tp7175 +a(g157 +g1029 +tp7176 +a(g705 +g1180 +tp7177 +a(g826 +g998 +tp7178 +a(g435 +Vorig +p7179 +tp7180 +a(g705 +g1119 +tp7181 +a(g826 +V\u000a +p7182 +tp7183 +a(g744 +Vif +p7184 +tp7185 +a(g826 +g998 +tp7186 +a(g435 +Vfactorcount +p7187 +tp7188 +a(g412 +g1297 +tp7189 +a(g435 +Vlength +p7190 +tp7191 +a(g826 +g998 +tp7192 +a(g412 +V== +p7193 +tp7194 +a(g826 +g998 +tp7195 +a(g37 +g1401 +tp7196 +a(g826 +V\u000a +p7197 +tp7198 +a(g681 +Vprint +p7199 +tp7200 +a(g826 +g998 +tp7201 +a(g157 +g1029 +tp7202 +a(g157 +VPRIME +p7203 +tp7204 +a(g157 +g1029 +tp7205 +a(g826 +V\u000a +p7206 +tp7207 +a(g744 +Velse +p7208 +tp7209 +a(g826 +V\u000a +p7210 +tp7211 +a(g8 +V# sorts after number, because the hash keys are numbers +p7212 +tp7213 +a(g826 +V\u000a +p7214 +tp7215 +a(g435 +Vfactorcount +p7216 +tp7217 +a(g412 +g1297 +tp7218 +a(g435 +Vsort +p7219 +tp7220 +a(g412 +g1297 +tp7221 +a(g435 +Veach +p7222 +tp7223 +a(g826 +g998 +tp7224 +a(g705 +g2247 +tp7225 +a(g826 +g998 +tp7226 +a(g412 +g2250 +tp7227 +a(g435 +Vfactor +p7228 +tp7229 +a(g705 +g1180 +tp7230 +a(g435 +Vexponent +p7231 +tp7232 +a(g412 +g2250 +tp7233 +a(g826 +V\u000a +p7234 +tp7235 +a(g681 +Vprint +p7236 +tp7237 +a(g826 +g998 +tp7238 +a(g435 +Vfactor +p7239 +tp7240 +a(g826 +V\u000a +p7241 +tp7242 +a(g744 +Vif +p7243 +tp7244 +a(g826 +g998 +tp7245 +a(g435 +Vexponent +p7246 +tp7247 +a(g826 +g998 +tp7248 +a(g412 +g1136 +tp7249 +a(g826 +g998 +tp7250 +a(g37 +g1201 +tp7251 +a(g826 +V\u000a +p7252 +tp7253 +a(g681 +Vprint +p7254 +tp7255 +a(g826 +g998 +tp7256 +a(g157 +g1029 +tp7257 +a(g157 +V** +p7258 +tp7259 +a(g157 +g1029 +tp7260 +a(g705 +g1180 +tp7261 +a(g826 +g998 +tp7262 +a(g435 +Vexponent +p7263 +tp7264 +a(g826 +V\u000a +p7265 +tp7266 +a(g744 +Vend +p7267 +tp7268 +a(g826 +V\u000a +p7269 +tp7270 +a(g681 +Vprint +p7271 +tp7272 +a(g826 +g998 +tp7273 +a(g157 +g1029 +tp7274 +a(g157 +g998 +tp7275 +a(g157 +g1029 +tp7276 +a(g826 +V\u000a +p7277 +tp7278 +a(g705 +g1102 +tp7279 +a(g826 +V\u000a +p7280 +tp7281 +a(g744 +Vend +p7282 +tp7283 +a(g826 +V\u000a +p7284 +tp7285 +a(g681 +Vputs +p7286 +tp7287 +a(g826 +V\u000a +p7288 +tp7289 +a(g744 +Vend +p7290 +tp7291 +a(g826 +V\u000a\u000a +p7292 +tp7293 +a(g744 +Vfor +p7294 +tp7295 +a(g826 +g998 +tp7296 +a(g435 +Varg +p7297 +tp7298 +a(g826 +g998 +tp7299 +a(g744 +Vin +p7300 +tp7301 +a(g826 +g998 +tp7302 +a(g565 +VARGV +p7303 +tp7304 +a(g826 +V\u000a +p7305 +tp7306 +a(g435 +g2884 +tp7307 +a(g826 +g998 +tp7308 +a(g412 +g1000 +tp7309 +a(g826 +g998 +tp7310 +a(g435 +Varg +p7311 +tp7312 +a(g412 +g1297 +tp7313 +a(g435 +Vto_i +p7314 +tp7315 +a(g826 +V\u000a +p7316 +tp7317 +a(g435 +Vmfactors +p7318 +tp7319 +a(g826 +g998 +tp7320 +a(g412 +g1000 +tp7321 +a(g826 +g998 +tp7322 +a(g435 +Vfactorize +p7323 +tp7324 +a(g705 +g1301 +tp7325 +a(g435 +g2884 +tp7326 +a(g705 +g1119 +tp7327 +a(g826 +V\u000a +p7328 +tp7329 +a(g435 +Vprintfactorhash +p7330 +tp7331 +a(g705 +g1301 +tp7332 +a(g435 +g2884 +tp7333 +a(g705 +g1180 +tp7334 +a(g826 +g998 +tp7335 +a(g435 +Vmfactors +p7336 +tp7337 +a(g705 +g1119 +tp7338 +a(g826 +V\u000a +p7339 +tp7340 +a(g744 +Vend +p7341 +tp7342 +a(g826 +V\u000a +p7343 +tp7344 +a(g8 +V#----------------------------- +p7345 +tp7346 +a(g826 +V\u000a\u000a\u000a +p7347 +tp7348 +a(g8 +V# @@PLEAC@@_3.0 +p7349 +tp7350 +a(g826 +V\u000a +p7351 +tp7352 +a(g681 +Vputs +p7353 +tp7354 +a(g826 +g998 +tp7355 +a(g565 +VTime +p7356 +tp7357 +a(g412 +g1297 +tp7358 +a(g435 +Vnow +p7359 +tp7360 +a(g826 +V\u000a\u000a +p7361 +tp7362 +a(g681 +Vprint +p7363 +tp7364 +a(g826 +g998 +tp7365 +a(g157 +g1029 +tp7366 +a(g157 +VToday is day +p7367 +tp7368 +a(g157 +g1029 +tp7369 +a(g705 +g1180 +tp7370 +a(g826 +g998 +tp7371 +a(g565 +VTime +p7372 +tp7373 +a(g412 +g1297 +tp7374 +a(g435 +Vnow +p7375 +tp7376 +a(g412 +g1297 +tp7377 +a(g435 +Vyday +p7378 +tp7379 +a(g705 +g1180 +tp7380 +a(g826 +g998 +tp7381 +a(g157 +g1029 +tp7382 +a(g157 +V of the current year. +p7383 +tp7384 +a(g213 +V\u005cn +p7385 +tp7386 +a(g157 +g1029 +tp7387 +a(g826 +V\u000a +p7388 +tp7389 +a(g681 +Vprint +p7390 +tp7391 +a(g826 +g998 +tp7392 +a(g157 +g1029 +tp7393 +a(g157 +VToday is day +p7394 +tp7395 +a(g157 +g1029 +tp7396 +a(g705 +g1180 +tp7397 +a(g826 +g998 +tp7398 +a(g565 +VTime +p7399 +tp7400 +a(g412 +g1297 +tp7401 +a(g435 +Vnow +p7402 +tp7403 +a(g412 +g1297 +tp7404 +a(g435 +Vday +p7405 +tp7406 +a(g705 +g1180 +tp7407 +a(g826 +g998 +tp7408 +a(g157 +g1029 +tp7409 +a(g157 +V of the current month. +p7410 +tp7411 +a(g213 +V\u005cn +p7412 +tp7413 +a(g157 +g1029 +tp7414 +a(g826 +V\u000a\u000a\u000a +p7415 +tp7416 +a(g8 +V# @@PLEAC@@_3.1 +p7417 +tp7418 +a(g826 +V\u000a +p7419 +tp7420 +a(g435 +Vday +p7421 +tp7422 +a(g705 +g1180 +tp7423 +a(g826 +g998 +tp7424 +a(g435 +Vmonth +p7425 +tp7426 +a(g705 +g1180 +tp7427 +a(g826 +g998 +tp7428 +a(g435 +Vyear +p7429 +tp7430 +a(g826 +g998 +tp7431 +a(g412 +g1000 +tp7432 +a(g826 +g998 +tp7433 +a(g565 +VTime +p7434 +tp7435 +a(g412 +g1297 +tp7436 +a(g435 +Vnow +p7437 +tp7438 +a(g412 +g1297 +tp7439 +a(g435 +Vday +p7440 +tp7441 +a(g705 +g1180 +tp7442 +a(g826 +g998 +tp7443 +a(g565 +VTime +p7444 +tp7445 +a(g412 +g1297 +tp7446 +a(g435 +Vnow +p7447 +tp7448 +a(g412 +g1297 +tp7449 +a(g435 +Vmonth +p7450 +tp7451 +a(g705 +g1180 +tp7452 +a(g826 +g998 +tp7453 +a(g565 +VTime +p7454 +tp7455 +a(g412 +g1297 +tp7456 +a(g435 +Vnow +p7457 +tp7458 +a(g412 +g1297 +tp7459 +a(g435 +Vyear +p7460 +tp7461 +a(g826 +V\u000a +p7462 +tp7463 +a(g8 +V# or +p7464 +tp7465 +a(g826 +V\u000a +p7466 +tp7467 +a(g435 +Vday +p7468 +tp7469 +a(g705 +g1180 +tp7470 +a(g826 +g998 +tp7471 +a(g435 +Vmonth +p7472 +tp7473 +a(g705 +g1180 +tp7474 +a(g826 +g998 +tp7475 +a(g435 +Vyear +p7476 +tp7477 +a(g826 +g998 +tp7478 +a(g412 +g1000 +tp7479 +a(g826 +g998 +tp7480 +a(g565 +VTime +p7481 +tp7482 +a(g412 +g1297 +tp7483 +a(g435 +Vnow +p7484 +tp7485 +a(g412 +g1297 +tp7486 +a(g435 +Vto_a +p7487 +tp7488 +a(g412 +g1176 +tp7489 +a(g37 +g1514 +tp7490 +a(g412 +V.. +p7491 +tp7492 +a(g37 +g1337 +tp7493 +a(g412 +g1085 +tp7494 +a(g826 +V\u000a\u000a +p7495 +tp7496 +a(g435 +Vtl +p7497 +tp7498 +a(g826 +g998 +tp7499 +a(g412 +g1000 +tp7500 +a(g826 +g998 +tp7501 +a(g565 +VTime +p7502 +tp7503 +a(g412 +g1297 +tp7504 +a(g435 +Vnow +p7505 +tp7506 +a(g412 +g1297 +tp7507 +a(g435 +Vlocaltime +p7508 +tp7509 +a(g826 +V\u000a +p7510 +tp7511 +a(g681 +Vprintf +p7512 +tp7513 +a(g705 +g1301 +tp7514 +a(g157 +g1029 +tp7515 +a(g157 +VThe current date is %04d %02d %02d +p7516 +tp7517 +a(g213 +V\u005cn +p7518 +tp7519 +a(g157 +g1029 +tp7520 +a(g705 +g1180 +tp7521 +a(g826 +g998 +tp7522 +a(g435 +Vtl +p7523 +tp7524 +a(g412 +g1297 +tp7525 +a(g435 +Vyear +p7526 +tp7527 +a(g705 +g1180 +tp7528 +a(g826 +g998 +tp7529 +a(g435 +Vtl +p7530 +tp7531 +a(g412 +g1297 +tp7532 +a(g435 +Vmonth +p7533 +tp7534 +a(g705 +g1180 +tp7535 +a(g826 +g998 +tp7536 +a(g435 +Vtl +p7537 +tp7538 +a(g412 +g1297 +tp7539 +a(g435 +Vday +p7540 +tp7541 +a(g705 +g1119 +tp7542 +a(g826 +V\u000a\u000a +p7543 +tp7544 +a(g565 +VTime +p7545 +tp7546 +a(g412 +g1297 +tp7547 +a(g435 +Vnow +p7548 +tp7549 +a(g412 +g1297 +tp7550 +a(g435 +Vlocaltime +p7551 +tp7552 +a(g412 +g1297 +tp7553 +a(g435 +Vstrftime +p7554 +tp7555 +a(g705 +g1301 +tp7556 +a(g157 +g1029 +tp7557 +a(g157 +V%Y-%m-%d +p7558 +tp7559 +a(g157 +g1029 +tp7560 +a(g705 +g1119 +tp7561 +a(g826 +V\u000a\u000a\u000a +p7562 +tp7563 +a(g8 +V# @@PLEAC@@_3.2 +p7564 +tp7565 +a(g826 +V\u000a +p7566 +tp7567 +a(g565 +VTime +p7568 +tp7569 +a(g412 +g1297 +tp7570 +a(g435 +Vlocal +p7571 +tp7572 +a(g705 +g1301 +tp7573 +a(g435 +Vyear +p7574 +tp7575 +a(g705 +g1180 +tp7576 +a(g826 +g998 +tp7577 +a(g435 +Vmonth +p7578 +tp7579 +a(g705 +g1180 +tp7580 +a(g826 +g998 +tp7581 +a(g435 +Vday +p7582 +tp7583 +a(g705 +g1180 +tp7584 +a(g826 +g998 +tp7585 +a(g435 +Vhour +p7586 +tp7587 +a(g705 +g1180 +tp7588 +a(g826 +g998 +tp7589 +a(g435 +Vminute +p7590 +tp7591 +a(g705 +g1180 +tp7592 +a(g826 +g998 +tp7593 +a(g435 +Vsecond +p7594 +tp7595 +a(g705 +g1119 +tp7596 +a(g412 +g1297 +tp7597 +a(g435 +Vtv_sec +p7598 +tp7599 +a(g826 +V\u000a +p7600 +tp7601 +a(g565 +VTime +p7602 +tp7603 +a(g412 +g1297 +tp7604 +a(g435 +Vgm +p7605 +tp7606 +a(g705 +g1301 +tp7607 +a(g435 +Vyear +p7608 +tp7609 +a(g705 +g1180 +tp7610 +a(g826 +g998 +tp7611 +a(g435 +Vmonth +p7612 +tp7613 +a(g705 +g1180 +tp7614 +a(g826 +g998 +tp7615 +a(g435 +Vday +p7616 +tp7617 +a(g705 +g1180 +tp7618 +a(g826 +g998 +tp7619 +a(g435 +Vhour +p7620 +tp7621 +a(g705 +g1180 +tp7622 +a(g826 +g998 +tp7623 +a(g435 +Vminute +p7624 +tp7625 +a(g705 +g1180 +tp7626 +a(g826 +g998 +tp7627 +a(g435 +Vsecond +p7628 +tp7629 +a(g705 +g1119 +tp7630 +a(g412 +g1297 +tp7631 +a(g435 +Vtv_sec +p7632 +tp7633 +a(g826 +V\u000a\u000a\u000a +p7634 +tp7635 +a(g8 +V# @@PLEAC@@_3.3 +p7636 +tp7637 +a(g826 +V\u000a +p7638 +tp7639 +a(g435 +Vsec +p7640 +tp7641 +a(g705 +g1180 +tp7642 +a(g826 +g998 +tp7643 +a(g435 +Vmin +p7644 +tp7645 +a(g705 +g1180 +tp7646 +a(g826 +g998 +tp7647 +a(g435 +Vhour +p7648 +tp7649 +a(g705 +g1180 +tp7650 +a(g826 +g998 +tp7651 +a(g435 +Vday +p7652 +tp7653 +a(g705 +g1180 +tp7654 +a(g826 +g998 +tp7655 +a(g435 +Vmonth +p7656 +tp7657 +a(g705 +g1180 +tp7658 +a(g826 +g998 +tp7659 +a(g435 +Vyear +p7660 +tp7661 +a(g705 +g1180 +tp7662 +a(g826 +g998 +tp7663 +a(g435 +Vwday +p7664 +tp7665 +a(g705 +g1180 +tp7666 +a(g826 +g998 +tp7667 +a(g435 +Vyday +p7668 +tp7669 +a(g705 +g1180 +tp7670 +a(g826 +g998 +tp7671 +a(g435 +Visdst +p7672 +tp7673 +a(g705 +g1180 +tp7674 +a(g826 +g998 +tp7675 +a(g435 +Vzone +p7676 +tp7677 +a(g826 +g998 +tp7678 +a(g412 +g1000 +tp7679 +a(g826 +g998 +tp7680 +a(g565 +VTime +p7681 +tp7682 +a(g412 +g1297 +tp7683 +a(g435 +Vat +p7684 +tp7685 +a(g705 +g1301 +tp7686 +a(g435 +Vepoch_secs +p7687 +tp7688 +a(g705 +g1119 +tp7689 +a(g412 +g1297 +tp7690 +a(g435 +Vto_a +p7691 +tp7692 +a(g826 +V\u000a\u000a\u000a +p7693 +tp7694 +a(g8 +V# @@PLEAC@@_3.4 +p7695 +tp7696 +a(g826 +V\u000a +p7697 +tp7698 +a(g435 +Vwhen_ +p7699 +tp7700 +a(g826 +g998 +tp7701 +a(g412 +g1000 +tp7702 +a(g826 +g998 +tp7703 +a(g435 +Vnow +p7704 +tp7705 +a(g826 +g998 +tp7706 +a(g412 +g1838 +tp7707 +a(g826 +g998 +tp7708 +a(g435 +Vdifference +p7709 +tp7710 +a(g826 +V +p7711 +tp7712 +a(g8 +V# now -> Time ; difference -> Numeric (delta in seconds) +p7713 +tp7714 +a(g826 +V\u000a +p7715 +tp7716 +a(g435 +Vthen_ +p7717 +tp7718 +a(g826 +g998 +tp7719 +a(g412 +g1000 +tp7720 +a(g826 +g998 +tp7721 +a(g435 +Vnow +p7722 +tp7723 +a(g826 +g998 +tp7724 +a(g412 +g1199 +tp7725 +a(g826 +g998 +tp7726 +a(g435 +Vdifference +p7727 +tp7728 +a(g826 +V\u000a\u000a\u000a +p7729 +tp7730 +a(g8 +V# @@PLEAC@@_3.5 +p7731 +tp7732 +a(g826 +V\u000a +p7733 +tp7734 +a(g435 +Vbree +p7735 +tp7736 +a(g826 +g998 +tp7737 +a(g412 +g1000 +tp7738 +a(g826 +g998 +tp7739 +a(g37 +V361535725 +p7740 +tp7741 +a(g826 +V\u000a +p7742 +tp7743 +a(g435 +Vnat +p7744 +tp7745 +a(g826 +V +p7746 +tp7747 +a(g412 +g1000 +tp7748 +a(g826 +V +p7749 +tp7750 +a(g37 +V96201950 +p7751 +tp7752 +a(g826 +V\u000a\u000a +p7753 +tp7754 +a(g435 +Vdifference +p7755 +tp7756 +a(g826 +g998 +tp7757 +a(g412 +g1000 +tp7758 +a(g826 +g998 +tp7759 +a(g435 +Vbree +p7760 +tp7761 +a(g826 +g998 +tp7762 +a(g412 +g1199 +tp7763 +a(g826 +g998 +tp7764 +a(g435 +Vnat +p7765 +tp7766 +a(g826 +V\u000a +p7767 +tp7768 +a(g681 +Vputs +p7769 +tp7770 +a(g826 +g998 +tp7771 +a(g157 +g1029 +tp7772 +a(g157 +VThere were +p7773 +tp7774 +a(g125 +V#{ +p7775 +tp7776 +a(g435 +Vdifference +p7777 +tp7778 +a(g125 +g1102 +tp7779 +a(g157 +V seconds between Nat and Bree +p7780 +tp7781 +a(g157 +g1029 +tp7782 +a(g826 +V\u000a\u000a +p7783 +tp7784 +a(g435 +Vseconds +p7785 +tp7786 +a(g826 +V +p7787 +tp7788 +a(g412 +g1000 +tp7789 +a(g826 +V +p7790 +tp7791 +a(g435 +Vdifference +p7792 +tp7793 +a(g826 +g998 +tp7794 +a(g412 +g2658 +tp7795 +a(g826 +g998 +tp7796 +a(g37 +V60 +p7797 +tp7798 +a(g826 +V\u000a +p7799 +tp7800 +a(g435 +Vdifference +p7801 +tp7802 +a(g826 +g998 +tp7803 +a(g412 +g1000 +tp7804 +a(g826 +g998 +tp7805 +a(g705 +g1301 +tp7806 +a(g435 +Vdifference +p7807 +tp7808 +a(g826 +g998 +tp7809 +a(g412 +g1199 +tp7810 +a(g826 +g998 +tp7811 +a(g435 +Vseconds +p7812 +tp7813 +a(g705 +g1119 +tp7814 +a(g826 +g998 +tp7815 +a(g412 +g1335 +tp7816 +a(g826 +g998 +tp7817 +a(g37 +V60 +p7818 +tp7819 +a(g826 +V\u000a +p7820 +tp7821 +a(g435 +Vminutes +p7822 +tp7823 +a(g826 +V +p7824 +tp7825 +a(g412 +g1000 +tp7826 +a(g826 +V +p7827 +tp7828 +a(g435 +Vdifference +p7829 +tp7830 +a(g826 +g998 +tp7831 +a(g412 +g2658 +tp7832 +a(g826 +g998 +tp7833 +a(g37 +V60 +p7834 +tp7835 +a(g826 +V\u000a +p7836 +tp7837 +a(g435 +Vdifference +p7838 +tp7839 +a(g826 +g998 +tp7840 +a(g412 +g1000 +tp7841 +a(g826 +g998 +tp7842 +a(g705 +g1301 +tp7843 +a(g435 +Vdifference +p7844 +tp7845 +a(g826 +g998 +tp7846 +a(g412 +g1199 +tp7847 +a(g826 +g998 +tp7848 +a(g435 +Vminutes +p7849 +tp7850 +a(g705 +g1119 +tp7851 +a(g826 +g998 +tp7852 +a(g412 +g1335 +tp7853 +a(g826 +g998 +tp7854 +a(g37 +V60 +p7855 +tp7856 +a(g826 +V\u000a +p7857 +tp7858 +a(g435 +Vhours +p7859 +tp7860 +a(g826 +V +p7861 +tp7862 +a(g412 +g1000 +tp7863 +a(g826 +V +p7864 +tp7865 +a(g435 +Vdifference +p7866 +tp7867 +a(g826 +g998 +tp7868 +a(g412 +g2658 +tp7869 +a(g826 +g998 +tp7870 +a(g37 +V24 +p7871 +tp7872 +a(g826 +V\u000a +p7873 +tp7874 +a(g435 +Vdifference +p7875 +tp7876 +a(g826 +g998 +tp7877 +a(g412 +g1000 +tp7878 +a(g826 +g998 +tp7879 +a(g705 +g1301 +tp7880 +a(g435 +Vdifference +p7881 +tp7882 +a(g826 +g998 +tp7883 +a(g412 +g1199 +tp7884 +a(g826 +g998 +tp7885 +a(g435 +Vhours +p7886 +tp7887 +a(g705 +g1119 +tp7888 +a(g826 +V +p7889 +tp7890 +a(g412 +g1335 +tp7891 +a(g826 +g998 +tp7892 +a(g37 +V24 +p7893 +tp7894 +a(g826 +V\u000a +p7895 +tp7896 +a(g435 +Vdays +p7897 +tp7898 +a(g826 +V +p7899 +tp7900 +a(g412 +g1000 +tp7901 +a(g826 +V +p7902 +tp7903 +a(g435 +Vdifference +p7904 +tp7905 +a(g826 +g998 +tp7906 +a(g412 +g2658 +tp7907 +a(g826 +g998 +tp7908 +a(g37 +g4338 +tp7909 +a(g826 +V\u000a +p7910 +tp7911 +a(g435 +Vweeks +p7912 +tp7913 +a(g826 +V +p7914 +tp7915 +a(g412 +g1000 +tp7916 +a(g826 +g998 +tp7917 +a(g705 +g1301 +tp7918 +a(g435 +Vdifference +p7919 +tp7920 +a(g826 +g998 +tp7921 +a(g412 +g1199 +tp7922 +a(g826 +g998 +tp7923 +a(g435 +Vdays +p7924 +tp7925 +a(g705 +g1119 +tp7926 +a(g826 +V +p7927 +tp7928 +a(g412 +g1335 +tp7929 +a(g826 +V +p7930 +tp7931 +a(g37 +g4338 +tp7932 +a(g826 +V\u000a\u000a +p7933 +tp7934 +a(g681 +Vputs +p7935 +tp7936 +a(g826 +g998 +tp7937 +a(g157 +g1029 +tp7938 +a(g157 +g1301 +tp7939 +a(g125 +V#{ +p7940 +tp7941 +a(g435 +Vweeks +p7942 +tp7943 +a(g125 +g1102 +tp7944 +a(g157 +V weeks, +p7945 +tp7946 +a(g125 +V#{ +p7947 +tp7948 +a(g435 +Vdays +p7949 +tp7950 +a(g125 +g1102 +tp7951 +a(g157 +V days, +p7952 +tp7953 +a(g125 +V#{ +p7954 +tp7955 +a(g435 +Vhours +p7956 +tp7957 +a(g125 +g1102 +tp7958 +a(g157 +g2000 +tp7959 +a(g125 +V#{ +p7960 +tp7961 +a(g435 +Vminutes +p7962 +tp7963 +a(g125 +g1102 +tp7964 +a(g157 +g2000 +tp7965 +a(g125 +V#{ +p7966 +tp7967 +a(g435 +Vseconds +p7968 +tp7969 +a(g125 +g1102 +tp7970 +a(g157 +g1119 +tp7971 +a(g157 +g1029 +tp7972 +a(g826 +V\u000a\u000a\u000a +p7973 +tp7974 +a(g8 +V# @@PLEAC@@_3.6 +p7975 +tp7976 +a(g826 +V\u000a +p7977 +tp7978 +a(g435 +Vmonthday +p7979 +tp7980 +a(g705 +g1180 +tp7981 +a(g826 +g998 +tp7982 +a(g435 +Vweekday +p7983 +tp7984 +a(g705 +g1180 +tp7985 +a(g826 +g998 +tp7986 +a(g435 +Vyearday +p7987 +tp7988 +a(g826 +g998 +tp7989 +a(g412 +g1000 +tp7990 +a(g826 +g998 +tp7991 +a(g435 +Vdate +p7992 +tp7993 +a(g412 +g1297 +tp7994 +a(g435 +Vmday +p7995 +tp7996 +a(g705 +g1180 +tp7997 +a(g826 +g998 +tp7998 +a(g435 +Vdate +p7999 +tp8000 +a(g412 +g1297 +tp8001 +a(g435 +Vwday +p8002 +tp8003 +a(g705 +g1180 +tp8004 +a(g826 +g998 +tp8005 +a(g435 +Vdate +p8006 +tp8007 +a(g412 +g1297 +tp8008 +a(g435 +Vyday +p8009 +tp8010 +a(g826 +V\u000a\u000a +p8011 +tp8012 +a(g8 +V# AFAIK the week number is not just a division since week boundaries are on sundays +p8013 +tp8014 +a(g826 +V\u000a +p8015 +tp8016 +a(g435 +Vweeknum +p8017 +tp8018 +a(g826 +g998 +tp8019 +a(g412 +g1000 +tp8020 +a(g826 +g998 +tp8021 +a(g435 +g5875 +tp8022 +a(g412 +g1297 +tp8023 +a(g435 +Vstrftime +p8024 +tp8025 +a(g705 +g1301 +tp8026 +a(g157 +g1029 +tp8027 +a(g157 +V%U +p8028 +tp8029 +a(g157 +g1029 +tp8030 +a(g705 +g1119 +tp8031 +a(g412 +g1297 +tp8032 +a(g435 +Vto_i +p8033 +tp8034 +a(g826 +g998 +tp8035 +a(g412 +g1838 +tp8036 +a(g826 +g998 +tp8037 +a(g37 +g1201 +tp8038 +a(g826 +V\u000a\u000a +p8039 +tp8040 +a(g435 +Vyear +p8041 +tp8042 +a(g826 +V +p8043 +tp8044 +a(g412 +g1000 +tp8045 +a(g826 +g998 +tp8046 +a(g37 +V1981 +p8047 +tp8048 +a(g826 +V\u000a +p8049 +tp8050 +a(g435 +Vmonth +p8051 +tp8052 +a(g826 +g998 +tp8053 +a(g412 +g1000 +tp8054 +a(g826 +g998 +tp8055 +a(g157 +g1029 +tp8056 +a(g157 +Vjun +p8057 +tp8058 +a(g157 +g1029 +tp8059 +a(g826 +V +p8060 +tp8061 +a(g8 +V# or `6' if you want to emulate a broken language +p8062 +tp8063 +a(g826 +V\u000a +p8064 +tp8065 +a(g435 +Vday +p8066 +tp8067 +a(g826 +V +p8068 +tp8069 +a(g412 +g1000 +tp8070 +a(g826 +g998 +tp8071 +a(g37 +V16 +p8072 +tp8073 +a(g826 +V\u000a +p8074 +tp8075 +a(g435 +Vt +p8076 +tp8077 +a(g826 +g998 +tp8078 +a(g412 +g1000 +tp8079 +a(g826 +g998 +tp8080 +a(g565 +VTime +p8081 +tp8082 +a(g412 +g1297 +tp8083 +a(g435 +Vmktime +p8084 +tp8085 +a(g705 +g1301 +tp8086 +a(g435 +Vyear +p8087 +tp8088 +a(g705 +g1180 +tp8089 +a(g826 +g998 +tp8090 +a(g435 +Vmonth +p8091 +tp8092 +a(g705 +g1180 +tp8093 +a(g826 +g998 +tp8094 +a(g435 +Vday +p8095 +tp8096 +a(g705 +g1119 +tp8097 +a(g826 +V\u000a +p8098 +tp8099 +a(g681 +Vprint +p8100 +tp8101 +a(g826 +g998 +tp8102 +a(g157 +g1029 +tp8103 +a(g125 +V#{ +p8104 +tp8105 +a(g435 +Vmonth +p8106 +tp8107 +a(g125 +g1102 +tp8108 +a(g157 +g1335 +tp8109 +a(g125 +V#{ +p8110 +tp8111 +a(g435 +Vday +p8112 +tp8113 +a(g125 +g1102 +tp8114 +a(g157 +g1335 +tp8115 +a(g125 +V#{ +p8116 +tp8117 +a(g435 +Vyear +p8118 +tp8119 +a(g125 +g1102 +tp8120 +a(g157 +V was a +p8121 +tp8122 +a(g157 +g1029 +tp8123 +a(g705 +g1180 +tp8124 +a(g826 +g998 +tp8125 +a(g435 +g8076 +tp8126 +a(g412 +g1297 +tp8127 +a(g435 +Vstrftime +p8128 +tp8129 +a(g705 +g1301 +tp8130 +a(g157 +g1029 +tp8131 +a(g157 +V%A +p8132 +tp8133 +a(g157 +g1029 +tp8134 +a(g705 +g1119 +tp8135 +a(g705 +g1180 +tp8136 +a(g826 +g998 +tp8137 +a(g157 +g1029 +tp8138 +a(g213 +V\u005cn +p8139 +tp8140 +a(g157 +g1029 +tp8141 +a(g826 +V\u000a\u000a\u000a +p8142 +tp8143 +a(g8 +V# @@PLEAC@@_3.7 +p8144 +tp8145 +a(g826 +V\u000a +p8146 +tp8147 +a(g435 +Vyyyy +p8148 +tp8149 +a(g705 +g1180 +tp8150 +a(g826 +g998 +tp8151 +a(g435 +Vmm +p8152 +tp8153 +a(g705 +g1180 +tp8154 +a(g826 +g998 +tp8155 +a(g435 +Vdd +p8156 +tp8157 +a(g826 +g998 +tp8158 +a(g412 +g1000 +tp8159 +a(g826 +g998 +tp8160 +a(g469 +V$1 +p8161 +tp8162 +a(g705 +g1180 +tp8163 +a(g826 +g998 +tp8164 +a(g469 +V$2 +p8165 +tp8166 +a(g705 +g1180 +tp8167 +a(g826 +g998 +tp8168 +a(g469 +V$3 +p8169 +tp8170 +a(g826 +g998 +tp8171 +a(g744 +Vif +p8172 +tp8173 +a(g826 +g998 +tp8174 +a(g157 +g1029 +tp8175 +a(g157 +V1998-06-25 +p8176 +tp8177 +a(g157 +g1029 +tp8178 +a(g826 +g998 +tp8179 +a(g412 +V=~ +p8180 +tp8181 +a(g826 +g998 +tp8182 +a(g197 +g1335 +tp8183 +a(g197 +g1301 +tp8184 +a(g197 +V\u005c +p8185 +tp8186 +a(g197 +Vd+)-( +p8187 +tp8188 +a(g197 +V\u005c +p8189 +tp8190 +a(g197 +Vd+)-( +p8191 +tp8192 +a(g197 +V\u005c +p8193 +tp8194 +a(g197 +Vd+) +p8195 +tp8196 +a(g197 +g1335 +tp8197 +a(g826 +V\u000a\u000a +p8198 +tp8199 +a(g435 +Vepoch_seconds +p8200 +tp8201 +a(g826 +g998 +tp8202 +a(g412 +g1000 +tp8203 +a(g826 +g998 +tp8204 +a(g565 +VTime +p8205 +tp8206 +a(g412 +g1297 +tp8207 +a(g435 +Vmktime +p8208 +tp8209 +a(g705 +g1301 +tp8210 +a(g435 +Vyyyy +p8211 +tp8212 +a(g705 +g1180 +tp8213 +a(g826 +g998 +tp8214 +a(g435 +Vmm +p8215 +tp8216 +a(g705 +g1180 +tp8217 +a(g826 +g998 +tp8218 +a(g435 +Vdd +p8219 +tp8220 +a(g705 +g1119 +tp8221 +a(g412 +g1297 +tp8222 +a(g435 +Vtv_sec +p8223 +tp8224 +a(g826 +V\u000a\u000a +p8225 +tp8226 +a(g8 +V# dunno an equivalent to Date::Manip#ParseDate +p8227 +tp8228 +a(g826 +V\u000a\u000a\u000a +p8229 +tp8230 +a(g8 +V# @@PLEAC@@_3.8 +p8231 +tp8232 +a(g826 +V\u000a +p8233 +tp8234 +a(g435 +Vstring +p8235 +tp8236 +a(g826 +g998 +tp8237 +a(g412 +g1000 +tp8238 +a(g826 +g998 +tp8239 +a(g565 +VTime +p8240 +tp8241 +a(g412 +g1297 +tp8242 +a(g435 +Vat +p8243 +tp8244 +a(g705 +g1301 +tp8245 +a(g435 +Vepoch_secs +p8246 +tp8247 +a(g705 +g1119 +tp8248 +a(g826 +V\u000a +p8249 +tp8250 +a(g565 +VTime +p8251 +tp8252 +a(g412 +g1297 +tp8253 +a(g435 +Vat +p8254 +tp8255 +a(g705 +g1301 +tp8256 +a(g37 +V1234567890 +p8257 +tp8258 +a(g705 +g1119 +tp8259 +a(g412 +g1297 +tp8260 +a(g435 +Vgmtime +p8261 +tp8262 +a(g826 +V +p8263 +tp8264 +a(g8 +V# gives: Fri Feb 13 23:31:30 UTC 2009 +p8265 +tp8266 +a(g826 +V\u000a\u000a +p8267 +tp8268 +a(g435 +Vtime +p8269 +tp8270 +a(g826 +g998 +tp8271 +a(g412 +g1000 +tp8272 +a(g826 +g998 +tp8273 +a(g565 +VTime +p8274 +tp8275 +a(g412 +g1297 +tp8276 +a(g435 +Vmktime +p8277 +tp8278 +a(g705 +g1301 +tp8279 +a(g37 +V1973 +p8280 +tp8281 +a(g705 +g1180 +tp8282 +a(g826 +g998 +tp8283 +a(g157 +g1029 +tp8284 +a(g157 +Vjan +p8285 +tp8286 +a(g157 +g1029 +tp8287 +a(g705 +g1180 +tp8288 +a(g826 +g998 +tp8289 +a(g37 +V18 +p8290 +tp8291 +a(g705 +g1180 +tp8292 +a(g826 +g998 +tp8293 +a(g37 +g1514 +tp8294 +a(g705 +g1180 +tp8295 +a(g826 +g998 +tp8296 +a(g37 +V45 +p8297 +tp8298 +a(g705 +g1180 +tp8299 +a(g826 +g998 +tp8300 +a(g37 +V50 +p8301 +tp8302 +a(g705 +g1119 +tp8303 +a(g826 +V\u000a +p8304 +tp8305 +a(g681 +Vprint +p8306 +tp8307 +a(g826 +g998 +tp8308 +a(g157 +g1029 +tp8309 +a(g157 +VIn localtime it gives: +p8310 +tp8311 +a(g157 +g1029 +tp8312 +a(g705 +g1180 +tp8313 +a(g826 +g998 +tp8314 +a(g435 +Vtime +p8315 +tp8316 +a(g412 +g1297 +tp8317 +a(g435 +Vlocaltime +p8318 +tp8319 +a(g705 +g1180 +tp8320 +a(g826 +g998 +tp8321 +a(g157 +g1029 +tp8322 +a(g213 +V\u005cn +p8323 +tp8324 +a(g157 +g1029 +tp8325 +a(g826 +V\u000a\u000a\u000a +p8326 +tp8327 +a(g8 +V# @@PLEAC@@_3.9 +p8328 +tp8329 +a(g826 +V\u000a +p8330 +tp8331 +a(g8 +V# Ruby provides micro-seconds in Time object +p8332 +tp8333 +a(g826 +V\u000a +p8334 +tp8335 +a(g565 +VTime +p8336 +tp8337 +a(g412 +g1297 +tp8338 +a(g435 +Vnow +p8339 +tp8340 +a(g412 +g1297 +tp8341 +a(g435 +Vusec +p8342 +tp8343 +a(g826 +V\u000a\u000a +p8344 +tp8345 +a(g8 +V# Ruby gives the seconds in floating format when substracting two Time objects +p8346 +tp8347 +a(g826 +V\u000a +p8348 +tp8349 +a(g435 +Vbefore +p8350 +tp8351 +a(g826 +g998 +tp8352 +a(g412 +g1000 +tp8353 +a(g826 +g998 +tp8354 +a(g565 +VTime +p8355 +tp8356 +a(g412 +g1297 +tp8357 +a(g435 +Vnow +p8358 +tp8359 +a(g826 +V\u000a +p8360 +tp8361 +a(g435 +Vline +p8362 +tp8363 +a(g826 +g998 +tp8364 +a(g412 +g1000 +tp8365 +a(g826 +g998 +tp8366 +a(g681 +Vgets +p8367 +tp8368 +a(g826 +V\u000a +p8369 +tp8370 +a(g435 +Velapsed +p8371 +tp8372 +a(g826 +g998 +tp8373 +a(g412 +g1000 +tp8374 +a(g826 +g998 +tp8375 +a(g565 +VTime +p8376 +tp8377 +a(g412 +g1297 +tp8378 +a(g435 +Vnow +p8379 +tp8380 +a(g826 +g998 +tp8381 +a(g412 +g1199 +tp8382 +a(g826 +g998 +tp8383 +a(g435 +Vbefore +p8384 +tp8385 +a(g826 +V\u000a +p8386 +tp8387 +a(g681 +Vputs +p8388 +tp8389 +a(g826 +g998 +tp8390 +a(g157 +g1029 +tp8391 +a(g157 +VYou took +p8392 +tp8393 +a(g125 +V#{ +p8394 +tp8395 +a(g435 +Velapsed +p8396 +tp8397 +a(g125 +g1102 +tp8398 +a(g157 +V seconds. +p8399 +tp8400 +a(g157 +g1029 +tp8401 +a(g826 +V\u000a\u000a +p8402 +tp8403 +a(g8 +V# On my Celeron-400 with Linux-2.2.19-14mdk, average for three execs are: +p8404 +tp8405 +a(g826 +V\u000a +p8406 +tp8407 +a(g8 +V# This Ruby version: average 0.00321 sec +p8408 +tp8409 +a(g826 +V\u000a +p8410 +tp8411 +a(g8 +V# Cookbook's Perl version: average 0.00981 sec +p8412 +tp8413 +a(g826 +V\u000a +p8414 +tp8415 +a(g435 +Vsize +p8416 +tp8417 +a(g826 +g998 +tp8418 +a(g412 +g1000 +tp8419 +a(g826 +g998 +tp8420 +a(g37 +V500 +p8421 +tp8422 +a(g826 +V\u000a +p8423 +tp8424 +a(g435 +Vnumber_of_times +p8425 +tp8426 +a(g826 +g998 +tp8427 +a(g412 +g1000 +tp8428 +a(g826 +g998 +tp8429 +a(g37 +V100 +p8430 +tp8431 +a(g826 +V\u000a +p8432 +tp8433 +a(g435 +Vtotal_time +p8434 +tp8435 +a(g826 +g998 +tp8436 +a(g412 +g1000 +tp8437 +a(g826 +g998 +tp8438 +a(g37 +g1401 +tp8439 +a(g826 +V\u000a +p8440 +tp8441 +a(g435 +Vnumber_of_times +p8442 +tp8443 +a(g412 +g1297 +tp8444 +a(g435 +Vtimes +p8445 +tp8446 +a(g826 +g998 +tp8447 +a(g705 +g2247 +tp8448 +a(g826 +V\u000a +p8449 +tp8450 +a(g8 +V# populate array +p8451 +tp8452 +a(g826 +V\u000a +p8453 +tp8454 +a(g435 +Varray +p8455 +tp8456 +a(g826 +g998 +tp8457 +a(g412 +g1000 +tp8458 +a(g826 +g998 +tp8459 +a(g412 +g1176 +tp8460 +a(g412 +g1085 +tp8461 +a(g826 +V\u000a +p8462 +tp8463 +a(g435 +Vsize +p8464 +tp8465 +a(g412 +g1297 +tp8466 +a(g435 +Vtimes +p8467 +tp8468 +a(g826 +g998 +tp8469 +a(g705 +g2247 +tp8470 +a(g826 +g998 +tp8471 +a(g435 +Varray +p8472 +tp8473 +a(g826 +g998 +tp8474 +a(g412 +V<< +p8475 +tp8476 +a(g826 +g998 +tp8477 +a(g681 +Vrand +p8478 +tp8479 +a(g826 +g998 +tp8480 +a(g705 +g1102 +tp8481 +a(g826 +V\u000a +p8482 +tp8483 +a(g8 +V# sort it +p8484 +tp8485 +a(g826 +V\u000a +p8486 +tp8487 +a(g435 +Vbegin_ +p8488 +tp8489 +a(g826 +g998 +tp8490 +a(g412 +g1000 +tp8491 +a(g826 +g998 +tp8492 +a(g565 +VTime +p8493 +tp8494 +a(g412 +g1297 +tp8495 +a(g435 +Vnow +p8496 +tp8497 +a(g826 +V\u000a +p8498 +tp8499 +a(g435 +Varray +p8500 +tp8501 +a(g412 +g1297 +tp8502 +a(g435 +Vsort! +p8503 +tp8504 +a(g826 +V\u000a +p8505 +tp8506 +a(g435 +Vtime +p8507 +tp8508 +a(g826 +g998 +tp8509 +a(g412 +g1000 +tp8510 +a(g826 +g998 +tp8511 +a(g565 +VTime +p8512 +tp8513 +a(g412 +g1297 +tp8514 +a(g435 +Vnow +p8515 +tp8516 +a(g826 +g998 +tp8517 +a(g412 +g1199 +tp8518 +a(g826 +g998 +tp8519 +a(g435 +Vbegin_ +p8520 +tp8521 +a(g826 +V\u000a +p8522 +tp8523 +a(g435 +Vtotal_time +p8524 +tp8525 +a(g826 +g998 +tp8526 +a(g412 +V+= +p8527 +tp8528 +a(g826 +g998 +tp8529 +a(g435 +Vtime +p8530 +tp8531 +a(g826 +V\u000a +p8532 +tp8533 +a(g705 +g1102 +tp8534 +a(g826 +V\u000a +p8535 +tp8536 +a(g681 +Vprintf +p8537 +tp8538 +a(g826 +g998 +tp8539 +a(g157 +g1029 +tp8540 +a(g157 +VOn average, sorting %d random numbers takes %.5f seconds +p8541 +tp8542 +a(g213 +V\u005cn +p8543 +tp8544 +a(g157 +g1029 +tp8545 +a(g705 +g1180 +tp8546 +a(g826 +V\u000a +p8547 +tp8548 +a(g435 +Vsize +p8549 +tp8550 +a(g705 +g1180 +tp8551 +a(g826 +g998 +tp8552 +a(g705 +g1301 +tp8553 +a(g435 +Vtotal_time +p8554 +tp8555 +a(g412 +g1335 +tp8556 +a(g681 +VFloat +p8557 +tp8558 +a(g705 +g1301 +tp8559 +a(g435 +Vnumber_of_times +p8560 +tp8561 +a(g705 +g1119 +tp8562 +a(g705 +g1119 +tp8563 +a(g826 +V\u000a\u000a\u000a +p8564 +tp8565 +a(g8 +V# @@PLEAC@@_3.10 +p8566 +tp8567 +a(g826 +V\u000a +p8568 +tp8569 +a(g681 +Vsleep +p8570 +tp8571 +a(g705 +g1301 +tp8572 +a(g37 +g1401 +tp8573 +a(g412 +g1297 +tp8574 +a(g281 +V005 +p8575 +tp8576 +a(g705 +g1119 +tp8577 +a(g826 +V +p8578 +tp8579 +a(g8 +V# Ruby is definitely not as broken as Perl :) +p8580 +tp8581 +a(g826 +V\u000a +p8582 +tp8583 +a(g8 +V# (may be interrupted by sending the process a SIGALRM) +p8584 +tp8585 +a(g826 +V\u000a\u000a\u000a +p8586 +tp8587 +a(g8 +V# @@PLEAC@@_3.11 +p8588 +tp8589 +a(g826 +V\u000a +p8590 +tp8591 +a(g8 +V#!/usr/bin/ruby -w +p8592 +tp8593 +a(g826 +V\u000a +p8594 +tp8595 +a(g8 +V# hopdelta - feed mail header, produce lines +p8596 +tp8597 +a(g826 +V\u000a +p8598 +tp8599 +a(g8 +V# showing delay at each hop. +p8600 +tp8601 +a(g826 +V\u000a +p8602 +tp8603 +a(g681 +Vrequire +p8604 +tp8605 +a(g826 +g998 +tp8606 +a(g229 +V'time' +p8607 +tp8608 +a(g826 +V\u000a +p8609 +tp8610 +a(g744 +Vclass +p8611 +tp8612 +a(g826 +g998 +tp8613 +a(g628 +VMailHopDelta +p8614 +tp8615 +a(g826 +V\u000a\u000a +p8616 +tp8617 +a(g744 +Vdef +p8618 +tp8619 +a(g826 +g998 +tp8620 +a(g573 +Vinitialize +p8621 +tp8622 +a(g705 +g1301 +tp8623 +a(g435 +Vmail +p8624 +tp8625 +a(g705 +g1119 +tp8626 +a(g826 +V\u000a +p8627 +tp8628 +a(g461 +V@head +p8629 +tp8630 +a(g826 +g998 +tp8631 +a(g412 +g1000 +tp8632 +a(g826 +g998 +tp8633 +a(g435 +Vmail +p8634 +tp8635 +a(g412 +g1297 +tp8636 +a(g435 +Vgsub +p8637 +tp8638 +a(g705 +g1301 +tp8639 +a(g197 +g1335 +tp8640 +a(g197 +V\u005c +p8641 +tp8642 +a(g197 +g2884 +tp8643 +a(g197 +V\u005c +p8644 +tp8645 +a(g197 +Vs+ +p8646 +tp8647 +a(g197 +g1335 +tp8648 +a(g705 +g1180 +tp8649 +a(g229 +V' ' +p8650 +tp8651 +a(g705 +g1119 +tp8652 +a(g826 +V\u000a +p8653 +tp8654 +a(g461 +V@topline +p8655 +tp8656 +a(g826 +g998 +tp8657 +a(g412 +g1000 +tp8658 +a(g826 +g998 +tp8659 +a(g236 +V%w-Sender Recipient Time Delta- +p8660 +tp8661 +a(g826 +V\u000a +p8662 +tp8663 +a(g461 +V@start_from +p8664 +tp8665 +a(g826 +g998 +tp8666 +a(g412 +g1000 +tp8667 +a(g826 +g998 +tp8668 +a(g435 +Vmail +p8669 +tp8670 +a(g412 +g1297 +tp8671 +a(g435 +Vmatch +p8672 +tp8673 +a(g705 +g1301 +tp8674 +a(g197 +g1335 +tp8675 +a(g197 +V^From.* +p8676 +tp8677 +a(g197 +V\u005c +p8678 +tp8679 +a(g197 +V@([^ +p8680 +tp8681 +a(g197 +V\u005c +p8682 +tp8683 +a(g197 +Vs>]*) +p8684 +tp8685 +a(g197 +g1335 +tp8686 +a(g705 +g1119 +tp8687 +a(g412 +g1176 +tp8688 +a(g37 +g1201 +tp8689 +a(g412 +g1085 +tp8690 +a(g826 +V\u000a +p8691 +tp8692 +a(g461 +V@date +p8693 +tp8694 +a(g826 +g998 +tp8695 +a(g412 +g1000 +tp8696 +a(g826 +g998 +tp8697 +a(g565 +VTime +p8698 +tp8699 +a(g412 +g1297 +tp8700 +a(g435 +Vparse +p8701 +tp8702 +a(g705 +g1301 +tp8703 +a(g435 +Vmail +p8704 +tp8705 +a(g412 +g1297 +tp8706 +a(g435 +Vmatch +p8707 +tp8708 +a(g705 +g1301 +tp8709 +a(g197 +g1335 +tp8710 +a(g197 +V^Date: +p8711 +tp8712 +a(g197 +V\u005c +p8713 +tp8714 +a(g197 +Vs+(.*) +p8715 +tp8716 +a(g197 +g1335 +tp8717 +a(g705 +g1119 +tp8718 +a(g412 +g1176 +tp8719 +a(g37 +g1201 +tp8720 +a(g412 +g1085 +tp8721 +a(g705 +g1119 +tp8722 +a(g826 +V\u000a +p8723 +tp8724 +a(g744 +Vend +p8725 +tp8726 +a(g826 +V\u000a\u000a +p8727 +tp8728 +a(g744 +Vdef +p8729 +tp8730 +a(g826 +g998 +tp8731 +a(g573 +Vout +p8732 +tp8733 +a(g705 +g1301 +tp8734 +a(g435 +Vline +p8735 +tp8736 +a(g705 +g1119 +tp8737 +a(g826 +V\u000a +p8738 +tp8739 +a(g157 +g1029 +tp8740 +a(g157 +V%-20.20s %-20.20s %-20.20s %s +p8741 +tp8742 +a(g157 +g1029 +tp8743 +a(g826 +g998 +tp8744 +a(g412 +g2658 +tp8745 +a(g826 +g998 +tp8746 +a(g435 +Vline +p8747 +tp8748 +a(g826 +V\u000a +p8749 +tp8750 +a(g744 +Vend +p8751 +tp8752 +a(g826 +V\u000a\u000a +p8753 +tp8754 +a(g744 +Vdef +p8755 +tp8756 +a(g826 +g998 +tp8757 +a(g573 +Vhop_date +p8758 +tp8759 +a(g705 +g1301 +tp8760 +a(g435 +Vday +p8761 +tp8762 +a(g705 +g1119 +tp8763 +a(g826 +V\u000a +p8764 +tp8765 +a(g435 +Vday +p8766 +tp8767 +a(g412 +g1297 +tp8768 +a(g435 +Vstrftime +p8769 +tp8770 +a(g705 +g1301 +tp8771 +a(g157 +g1029 +tp8772 +a(g157 +V%I:%M:%S %Y/%m/%d +p8773 +tp8774 +a(g157 +g1029 +tp8775 +a(g705 +g1119 +tp8776 +a(g826 +V\u000a +p8777 +tp8778 +a(g744 +Vend +p8779 +tp8780 +a(g826 +V\u000a\u000a +p8781 +tp8782 +a(g744 +Vdef +p8783 +tp8784 +a(g826 +g998 +tp8785 +a(g573 +Vputs_hops +p8786 +tp8787 +a(g826 +V\u000a +p8788 +tp8789 +a(g681 +Vputs +p8790 +tp8791 +a(g826 +g998 +tp8792 +a(g435 +Vout +p8793 +tp8794 +a(g705 +g1301 +tp8795 +a(g461 +V@topline +p8796 +tp8797 +a(g705 +g1119 +tp8798 +a(g826 +V \u000a +p8799 +tp8800 +a(g681 +Vputs +p8801 +tp8802 +a(g826 +g998 +tp8803 +a(g435 +Vout +p8804 +tp8805 +a(g705 +g1301 +tp8806 +a(g412 +g1176 +tp8807 +a(g229 +V'Start' +p8808 +tp8809 +a(g705 +g1180 +tp8810 +a(g826 +g998 +tp8811 +a(g461 +V@start_from +p8812 +tp8813 +a(g705 +g1180 +tp8814 +a(g826 +g998 +tp8815 +a(g435 +Vhop_date +p8816 +tp8817 +a(g705 +g1301 +tp8818 +a(g461 +V@date +p8819 +tp8820 +a(g705 +g1119 +tp8821 +a(g705 +g1180 +tp8822 +a(g229 +V'' +p8823 +tp8824 +a(g412 +g1085 +tp8825 +a(g705 +g1119 +tp8826 +a(g826 +V\u000a +p8827 +tp8828 +a(g461 +V@head +p8829 +tp8830 +a(g412 +g1297 +tp8831 +a(g435 +Vsplit +p8832 +tp8833 +a(g705 +g1301 +tp8834 +a(g197 +g1335 +tp8835 +a(g197 +V\u005c +p8836 +tp8837 +a(g197 +g2884 +tp8838 +a(g197 +g1335 +tp8839 +a(g705 +g1119 +tp8840 +a(g412 +g1297 +tp8841 +a(g435 +Vreverse +p8842 +tp8843 +a(g412 +g1297 +tp8844 +a(g435 +Vgrep +p8845 +tp8846 +a(g705 +g1301 +tp8847 +a(g197 +g1335 +tp8848 +a(g197 +V^Received: +p8849 +tp8850 +a(g197 +g1335 +tp8851 +a(g705 +g1119 +tp8852 +a(g412 +g1297 +tp8853 +a(g435 +Veach +p8854 +tp8855 +a(g826 +g998 +tp8856 +a(g744 +Vdo +p8857 +tp8858 +a(g826 +g998 +tp8859 +a(g412 +g2250 +tp8860 +a(g435 +Vhop +p8861 +tp8862 +a(g412 +g2250 +tp8863 +a(g826 +V\u000a +p8864 +tp8865 +a(g435 +Vhop +p8866 +tp8867 +a(g412 +g1297 +tp8868 +a(g435 +Vgsub! +p8869 +tp8870 +a(g705 +g1301 +tp8871 +a(g197 +g1335 +tp8872 +a(g197 +V\u005c +p8873 +tp8874 +a(g197 +Vbon (.*?) (id.*) +p8875 +tp8876 +a(g197 +g1335 +tp8877 +a(g705 +g1180 +tp8878 +a(g229 +V'; \u005c1' +p8879 +tp8880 +a(g705 +g1119 +tp8881 +a(g826 +V\u000a +p8882 +tp8883 +a(g435 +Vwhence +p8884 +tp8885 +a(g826 +g998 +tp8886 +a(g412 +g1000 +tp8887 +a(g826 +g998 +tp8888 +a(g435 +Vhop +p8889 +tp8890 +a(g412 +g1297 +tp8891 +a(g435 +Vmatch +p8892 +tp8893 +a(g705 +g1301 +tp8894 +a(g197 +g1335 +tp8895 +a(g197 +g2584 +tp8896 +a(g197 +V\u005c +p8897 +tp8898 +a(g197 +Vs+(.*)$ +p8899 +tp8900 +a(g197 +g1335 +tp8901 +a(g705 +g1119 +tp8902 +a(g412 +g1176 +tp8903 +a(g37 +g1201 +tp8904 +a(g412 +g1085 +tp8905 +a(g826 +V\u000a +p8906 +tp8907 +a(g744 +Vunless +p8908 +tp8909 +a(g826 +g998 +tp8910 +a(g435 +Vwhence +p8911 +tp8912 +a(g826 +V\u000a +p8913 +tp8914 +a(g681 +Vwarn +p8915 +tp8916 +a(g826 +g998 +tp8917 +a(g157 +g1029 +tp8918 +a(g157 +VBad received line: +p8919 +tp8920 +a(g125 +V#{ +p8921 +tp8922 +a(g435 +Vhop +p8923 +tp8924 +a(g125 +g1102 +tp8925 +a(g157 +g1029 +tp8926 +a(g826 +V\u000a +p8927 +tp8928 +a(g744 +Vnext +p8929 +tp8930 +a(g826 +V\u000a +p8931 +tp8932 +a(g744 +Vend +p8933 +tp8934 +a(g826 +V\u000a +p8935 +tp8936 +a(g435 +Vfrom +p8937 +tp8938 +a(g826 +g998 +tp8939 +a(g412 +g1000 +tp8940 +a(g826 +g998 +tp8941 +a(g469 +V$+ +p8942 +tp8943 +a(g826 +g998 +tp8944 +a(g744 +Vif +p8945 +tp8946 +a(g826 +g998 +tp8947 +a(g435 +Vhop +p8948 +tp8949 +a(g826 +g998 +tp8950 +a(g412 +V=~ +p8951 +tp8952 +a(g826 +g998 +tp8953 +a(g197 +g1335 +tp8954 +a(g197 +Vfrom +p8955 +tp8956 +a(g197 +V\u005c +p8957 +tp8958 +a(g197 +Vs+( +p8959 +tp8960 +a(g197 +V\u005c +p8961 +tp8962 +a(g197 +VS+)| +p8963 +tp8964 +a(g197 +V\u005c +p8965 +tp8966 +a(g197 +V((.*?) +p8967 +tp8968 +a(g197 +V\u005c +p8969 +tp8970 +a(g197 +g1119 +tp8971 +a(g197 +g1335 +tp8972 +a(g826 +V\u000a +p8973 +tp8974 +a(g435 +Vby +p8975 +tp8976 +a(g826 +V +p8977 +tp8978 +a(g412 +g1000 +tp8979 +a(g826 +g998 +tp8980 +a(g469 +V$1 +p8981 +tp8982 +a(g826 +g998 +tp8983 +a(g744 +Vif +p8984 +tp8985 +a(g826 +g998 +tp8986 +a(g435 +Vhop +p8987 +tp8988 +a(g826 +g998 +tp8989 +a(g412 +V=~ +p8990 +tp8991 +a(g826 +g998 +tp8992 +a(g197 +g1335 +tp8993 +a(g197 +Vby +p8994 +tp8995 +a(g197 +V\u005c +p8996 +tp8997 +a(g197 +Vs+( +p8998 +tp8999 +a(g197 +V\u005c +p9000 +tp9001 +a(g197 +VS+ +p9002 +tp9003 +a(g197 +V\u005c +p9004 +tp9005 +a(g197 +g1297 +tp9006 +a(g197 +V\u005c +p9007 +tp9008 +a(g197 +VS+) +p9009 +tp9010 +a(g197 +g1335 +tp9011 +a(g826 +V\u000a +p9012 +tp9013 +a(g744 +Vnext +p9014 +tp9015 +a(g826 +g998 +tp9016 +a(g744 +Vunless +p9017 +tp9018 +a(g826 +g998 +tp9019 +a(g435 +Vnow +p9020 +tp9021 +a(g826 +g998 +tp9022 +a(g412 +g1000 +tp9023 +a(g826 +g998 +tp9024 +a(g565 +VTime +p9025 +tp9026 +a(g412 +g1297 +tp9027 +a(g435 +Vparse +p9028 +tp9029 +a(g705 +g1301 +tp9030 +a(g435 +Vwhence +p9031 +tp9032 +a(g705 +g1119 +tp9033 +a(g412 +g1297 +tp9034 +a(g435 +Vlocaltime +p9035 +tp9036 +a(g826 +V\u000a +p9037 +tp9038 +a(g435 +Vdelta +p9039 +tp9040 +a(g826 +g998 +tp9041 +a(g412 +g1000 +tp9042 +a(g826 +g998 +tp9043 +a(g435 +Vnow +p9044 +tp9045 +a(g826 +g998 +tp9046 +a(g412 +g1199 +tp9047 +a(g826 +g998 +tp9048 +a(g461 +V@date +p9049 +tp9050 +a(g826 +V\u000a +p9051 +tp9052 +a(g681 +Vputs +p9053 +tp9054 +a(g826 +g998 +tp9055 +a(g435 +Vout +p9056 +tp9057 +a(g705 +g1301 +tp9058 +a(g412 +g1176 +tp9059 +a(g435 +Vfrom +p9060 +tp9061 +a(g705 +g1180 +tp9062 +a(g826 +g998 +tp9063 +a(g435 +Vby +p9064 +tp9065 +a(g705 +g1180 +tp9066 +a(g826 +g998 +tp9067 +a(g435 +Vhop_date +p9068 +tp9069 +a(g705 +g1301 +tp9070 +a(g435 +Vnow +p9071 +tp9072 +a(g705 +g1119 +tp9073 +a(g705 +g1180 +tp9074 +a(g826 +g998 +tp9075 +a(g435 +Vhop_time +p9076 +tp9077 +a(g705 +g1301 +tp9078 +a(g435 +Vdelta +p9079 +tp9080 +a(g705 +g1119 +tp9081 +a(g412 +g1085 +tp9082 +a(g705 +g1119 +tp9083 +a(g826 +V\u000a +p9084 +tp9085 +a(g461 +V@date +p9086 +tp9087 +a(g826 +g998 +tp9088 +a(g412 +g1000 +tp9089 +a(g826 +g998 +tp9090 +a(g435 +Vnow +p9091 +tp9092 +a(g826 +V\u000a +p9093 +tp9094 +a(g744 +Vend +p9095 +tp9096 +a(g826 +V\u000a +p9097 +tp9098 +a(g744 +Vend +p9099 +tp9100 +a(g826 +V\u000a\u000a +p9101 +tp9102 +a(g744 +Vdef +p9103 +tp9104 +a(g826 +g998 +tp9105 +a(g573 +Vhop_time +p9106 +tp9107 +a(g705 +g1301 +tp9108 +a(g435 +Vsecs +p9109 +tp9110 +a(g705 +g1119 +tp9111 +a(g826 +V\u000a +p9112 +tp9113 +a(g435 +Vsign +p9114 +tp9115 +a(g826 +g998 +tp9116 +a(g412 +g1000 +tp9117 +a(g826 +g998 +tp9118 +a(g435 +Vsecs +p9119 +tp9120 +a(g826 +g998 +tp9121 +a(g412 +g7011 +tp9122 +a(g826 +g998 +tp9123 +a(g37 +g1401 +tp9124 +a(g826 +g998 +tp9125 +a(g412 +g1995 +tp9126 +a(g826 +g998 +tp9127 +a(g412 +g1199 +tp9128 +a(g37 +g1201 +tp9129 +a(g826 +g998 +tp9130 +a(g705 +g2000 +tp9131 +a(g826 +g998 +tp9132 +a(g37 +g1201 +tp9133 +a(g826 +V\u000a +p9134 +tp9135 +a(g435 +Vdays +p9136 +tp9137 +a(g705 +g1180 +tp9138 +a(g826 +g998 +tp9139 +a(g435 +Vsecs +p9140 +tp9141 +a(g826 +g998 +tp9142 +a(g412 +g1000 +tp9143 +a(g826 +g998 +tp9144 +a(g435 +Vsecs +p9145 +tp9146 +a(g412 +g1297 +tp9147 +a(g435 +Vabs +p9148 +tp9149 +a(g412 +g1297 +tp9150 +a(g435 +Vdivmod +p9151 +tp9152 +a(g705 +g1301 +tp9153 +a(g37 +V60 +p9154 +tp9155 +a(g826 +g998 +tp9156 +a(g412 +g1326 +tp9157 +a(g826 +g998 +tp9158 +a(g37 +V60 +p9159 +tp9160 +a(g826 +g998 +tp9161 +a(g412 +g1326 +tp9162 +a(g826 +g998 +tp9163 +a(g37 +V24 +p9164 +tp9165 +a(g705 +g1119 +tp9166 +a(g826 +V\u000a +p9167 +tp9168 +a(g435 +Vhours +p9169 +tp9170 +a(g705 +g1180 +tp9171 +a(g435 +Vsecs +p9172 +tp9173 +a(g826 +g998 +tp9174 +a(g412 +g1000 +tp9175 +a(g826 +g998 +tp9176 +a(g435 +Vsecs +p9177 +tp9178 +a(g412 +g1297 +tp9179 +a(g435 +Vabs +p9180 +tp9181 +a(g412 +g1297 +tp9182 +a(g435 +Vdivmod +p9183 +tp9184 +a(g705 +g1301 +tp9185 +a(g37 +V60 +p9186 +tp9187 +a(g826 +g998 +tp9188 +a(g412 +g1326 +tp9189 +a(g826 +g998 +tp9190 +a(g37 +V60 +p9191 +tp9192 +a(g705 +g1119 +tp9193 +a(g826 +V\u000a +p9194 +tp9195 +a(g435 +Vmins +p9196 +tp9197 +a(g705 +g1180 +tp9198 +a(g826 +g998 +tp9199 +a(g435 +Vsecs +p9200 +tp9201 +a(g826 +g998 +tp9202 +a(g412 +g1000 +tp9203 +a(g826 +g998 +tp9204 +a(g435 +Vsecs +p9205 +tp9206 +a(g412 +g1297 +tp9207 +a(g435 +Vabs +p9208 +tp9209 +a(g412 +g1297 +tp9210 +a(g435 +Vdivmod +p9211 +tp9212 +a(g705 +g1301 +tp9213 +a(g37 +V60 +p9214 +tp9215 +a(g705 +g1119 +tp9216 +a(g826 +V\u000a +p9217 +tp9218 +a(g435 +Vrtn +p9219 +tp9220 +a(g826 +g998 +tp9221 +a(g412 +g1000 +tp9222 +a(g826 +V +p9223 +tp9224 +a(g157 +g1029 +tp9225 +a(g157 +V%3ds +p9226 +tp9227 +a(g157 +g1029 +tp9228 +a(g826 +g998 +tp9229 +a(g412 +g2658 +tp9230 +a(g826 +g998 +tp9231 +a(g412 +g1176 +tp9232 +a(g435 +Vsecs +p9233 +tp9234 +a(g826 +V +p9235 +tp9236 +a(g412 +g1326 +tp9237 +a(g826 +g998 +tp9238 +a(g435 +Vsign +p9239 +tp9240 +a(g412 +g1085 +tp9241 +a(g826 +V\u000a +p9242 +tp9243 +a(g435 +Vrtn +p9244 +tp9245 +a(g826 +g998 +tp9246 +a(g412 +V<< +p9247 +tp9248 +a(g826 +g998 +tp9249 +a(g157 +g1029 +tp9250 +a(g157 +V%3dm +p9251 +tp9252 +a(g157 +g1029 +tp9253 +a(g826 +g998 +tp9254 +a(g412 +g2658 +tp9255 +a(g826 +g998 +tp9256 +a(g412 +g1176 +tp9257 +a(g435 +Vmins +p9258 +tp9259 +a(g826 +V +p9260 +tp9261 +a(g412 +g1326 +tp9262 +a(g826 +g998 +tp9263 +a(g435 +Vsign +p9264 +tp9265 +a(g412 +g1085 +tp9266 +a(g826 +g998 +tp9267 +a(g744 +Vif +p9268 +tp9269 +a(g826 +g998 +tp9270 +a(g435 +Vmins +p9271 +tp9272 +a(g826 +V +p9273 +tp9274 +a(g412 +V!= +p9275 +tp9276 +a(g826 +g998 +tp9277 +a(g37 +g1401 +tp9278 +a(g826 +V\u000a +p9279 +tp9280 +a(g435 +Vrtn +p9281 +tp9282 +a(g826 +g998 +tp9283 +a(g412 +V<< +p9284 +tp9285 +a(g826 +g998 +tp9286 +a(g157 +g1029 +tp9287 +a(g157 +V%3dh +p9288 +tp9289 +a(g157 +g1029 +tp9290 +a(g826 +g998 +tp9291 +a(g412 +g2658 +tp9292 +a(g826 +g998 +tp9293 +a(g412 +g1176 +tp9294 +a(g435 +Vhours +p9295 +tp9296 +a(g826 +g998 +tp9297 +a(g412 +g1326 +tp9298 +a(g826 +g998 +tp9299 +a(g435 +Vsign +p9300 +tp9301 +a(g412 +g1085 +tp9302 +a(g826 +g998 +tp9303 +a(g744 +Vif +p9304 +tp9305 +a(g826 +g998 +tp9306 +a(g435 +Vhours +p9307 +tp9308 +a(g826 +g998 +tp9309 +a(g412 +V!= +p9310 +tp9311 +a(g826 +g998 +tp9312 +a(g37 +g1401 +tp9313 +a(g826 +V\u000a +p9314 +tp9315 +a(g435 +Vrtn +p9316 +tp9317 +a(g826 +g998 +tp9318 +a(g412 +V<< +p9319 +tp9320 +a(g826 +g998 +tp9321 +a(g157 +g1029 +tp9322 +a(g157 +V%3dd +p9323 +tp9324 +a(g157 +g1029 +tp9325 +a(g826 +g998 +tp9326 +a(g412 +g2658 +tp9327 +a(g826 +g998 +tp9328 +a(g412 +g1176 +tp9329 +a(g435 +Vdays +p9330 +tp9331 +a(g826 +V +p9332 +tp9333 +a(g412 +g1326 +tp9334 +a(g826 +g998 +tp9335 +a(g435 +Vsign +p9336 +tp9337 +a(g412 +g1085 +tp9338 +a(g826 +g998 +tp9339 +a(g744 +Vif +p9340 +tp9341 +a(g826 +g998 +tp9342 +a(g435 +Vdays +p9343 +tp9344 +a(g826 +V +p9345 +tp9346 +a(g412 +V!= +p9347 +tp9348 +a(g826 +g998 +tp9349 +a(g37 +g1401 +tp9350 +a(g826 +V \u000a +p9351 +tp9352 +a(g435 +Vrtn +p9353 +tp9354 +a(g826 +V\u000a +p9355 +tp9356 +a(g744 +Vend +p9357 +tp9358 +a(g826 +V\u000a +p9359 +tp9360 +a(g744 +Vend +p9361 +tp9362 +a(g826 +V\u000a\u000a +p9363 +tp9364 +a(g469 +V$/ +p9365 +tp9366 +a(g826 +g998 +tp9367 +a(g412 +g1000 +tp9368 +a(g826 +g998 +tp9369 +a(g157 +g1029 +tp9370 +a(g157 +g1029 +tp9371 +a(g826 +V\u000a +p9372 +tp9373 +a(g435 +Vmail +p9374 +tp9375 +a(g826 +g998 +tp9376 +a(g412 +g1000 +tp9377 +a(g826 +g998 +tp9378 +a(g565 +VMailHopDelta +p9379 +tp9380 +a(g412 +g1297 +tp9381 +a(g435 +Vnew +p9382 +tp9383 +a(g705 +g1301 +tp9384 +a(g565 +VARGF +p9385 +tp9386 +a(g412 +g1297 +tp9387 +a(g435 +Vgets +p9388 +tp9389 +a(g705 +g1119 +tp9390 +a(g412 +g1297 +tp9391 +a(g435 +Vputs_hops +p9392 +tp9393 +a(g826 +V\u000a\u000a\u000a +p9394 +tp9395 +a(g8 +V# @@PLEAC@@_4.0 +p9396 +tp9397 +a(g826 +V\u000a +p9398 +tp9399 +a(g435 +Vsingle_level +p9400 +tp9401 +a(g826 +g998 +tp9402 +a(g412 +g1000 +tp9403 +a(g826 +g998 +tp9404 +a(g412 +g1176 +tp9405 +a(g826 +g998 +tp9406 +a(g157 +g1029 +tp9407 +a(g157 +Vthis +p9408 +tp9409 +a(g157 +g1029 +tp9410 +a(g705 +g1180 +tp9411 +a(g826 +g998 +tp9412 +a(g157 +g1029 +tp9413 +a(g157 +Vthat +p9414 +tp9415 +a(g157 +g1029 +tp9416 +a(g705 +g1180 +tp9417 +a(g826 +g998 +tp9418 +a(g157 +g1029 +tp9419 +a(g157 +Vthe +p9420 +tp9421 +a(g157 +g1029 +tp9422 +a(g705 +g1180 +tp9423 +a(g826 +g998 +tp9424 +a(g157 +g1029 +tp9425 +a(g157 +Vother +p9426 +tp9427 +a(g157 +g1029 +tp9428 +a(g826 +g998 +tp9429 +a(g412 +g1085 +tp9430 +a(g826 +V\u000a\u000a +p9431 +tp9432 +a(g8 +V# Ruby directly supports nested arrays +p9433 +tp9434 +a(g826 +V\u000a +p9435 +tp9436 +a(g435 +Vdouble_level +p9437 +tp9438 +a(g826 +g998 +tp9439 +a(g412 +g1000 +tp9440 +a(g826 +g998 +tp9441 +a(g412 +g1176 +tp9442 +a(g826 +g998 +tp9443 +a(g157 +g1029 +tp9444 +a(g157 +Vthis +p9445 +tp9446 +a(g157 +g1029 +tp9447 +a(g705 +g1180 +tp9448 +a(g826 +g998 +tp9449 +a(g157 +g1029 +tp9450 +a(g157 +Vthat +p9451 +tp9452 +a(g157 +g1029 +tp9453 +a(g705 +g1180 +tp9454 +a(g826 +g998 +tp9455 +a(g412 +g1176 +tp9456 +a(g826 +g998 +tp9457 +a(g157 +g1029 +tp9458 +a(g157 +Vthe +p9459 +tp9460 +a(g157 +g1029 +tp9461 +a(g705 +g1180 +tp9462 +a(g826 +g998 +tp9463 +a(g157 +g1029 +tp9464 +a(g157 +Vother +p9465 +tp9466 +a(g157 +g1029 +tp9467 +a(g826 +g998 +tp9468 +a(g412 +g1085 +tp9469 +a(g826 +g998 +tp9470 +a(g412 +g1085 +tp9471 +a(g826 +V\u000a +p9472 +tp9473 +a(g435 +Vstill_single_level +p9474 +tp9475 +a(g826 +g998 +tp9476 +a(g412 +g1000 +tp9477 +a(g826 +g998 +tp9478 +a(g412 +g1176 +tp9479 +a(g826 +g998 +tp9480 +a(g157 +g1029 +tp9481 +a(g157 +Vthis +p9482 +tp9483 +a(g157 +g1029 +tp9484 +a(g705 +g1180 +tp9485 +a(g826 +g998 +tp9486 +a(g157 +g1029 +tp9487 +a(g157 +Vthat +p9488 +tp9489 +a(g157 +g1029 +tp9490 +a(g705 +g1180 +tp9491 +a(g826 +g998 +tp9492 +a(g412 +g1176 +tp9493 +a(g826 +g998 +tp9494 +a(g157 +g1029 +tp9495 +a(g157 +Vthe +p9496 +tp9497 +a(g157 +g1029 +tp9498 +a(g705 +g1180 +tp9499 +a(g826 +g998 +tp9500 +a(g157 +g1029 +tp9501 +a(g157 +Vother +p9502 +tp9503 +a(g157 +g1029 +tp9504 +a(g826 +g998 +tp9505 +a(g412 +g1085 +tp9506 +a(g826 +g998 +tp9507 +a(g412 +g1085 +tp9508 +a(g412 +g1297 +tp9509 +a(g435 +Vflatten +p9510 +tp9511 +a(g826 +V\u000a\u000a\u000a +p9512 +tp9513 +a(g8 +V# @@PLEAC@@_4.1 +p9514 +tp9515 +a(g826 +V\u000a +p9516 +tp9517 +a(g435 +g1144 +tp9518 +a(g826 +g998 +tp9519 +a(g412 +g1000 +tp9520 +a(g826 +g998 +tp9521 +a(g412 +g1176 +tp9522 +a(g826 +g998 +tp9523 +a(g157 +g1029 +tp9524 +a(g157 +Vquick +p9525 +tp9526 +a(g157 +g1029 +tp9527 +a(g705 +g1180 +tp9528 +a(g826 +g998 +tp9529 +a(g157 +g1029 +tp9530 +a(g157 +Vbrown +p9531 +tp9532 +a(g157 +g1029 +tp9533 +a(g705 +g1180 +tp9534 +a(g826 +g998 +tp9535 +a(g157 +g1029 +tp9536 +a(g157 +Vfox +p9537 +tp9538 +a(g157 +g1029 +tp9539 +a(g826 +g998 +tp9540 +a(g412 +g1085 +tp9541 +a(g826 +V\u000a +p9542 +tp9543 +a(g435 +g1144 +tp9544 +a(g826 +g998 +tp9545 +a(g412 +g1000 +tp9546 +a(g826 +g998 +tp9547 +a(g236 +V%w( +p9548 +tp9549 +a(g236 +VWhy are you teasing me? +p9550 +tp9551 +a(g236 +g1119 +tp9552 +a(g826 +V\u000a\u000a +p9553 +tp9554 +a(g435 +Vlines +p9555 +tp9556 +a(g826 +g998 +tp9557 +a(g412 +g1000 +tp9558 +a(g826 +g998 +tp9559 +a(g412 +V<< +p9560 +tp9561 +a(g109 +g1029 +tp9562 +a(g133 +VEND_OF_HERE_DOC +p9563 +tp9564 +a(g109 +g1029 +tp9565 +a(g412 +g1297 +tp9566 +a(g435 +Vgsub +p9567 +tp9568 +a(g705 +g1301 +tp9569 +a(g197 +g1335 +tp9570 +a(g197 +g2935 +tp9571 +a(g197 +V\u005c +p9572 +tp9573 +a(g197 +Vs*(.+) +p9574 +tp9575 +a(g197 +g1335 +tp9576 +a(g705 +g1180 +tp9577 +a(g826 +g998 +tp9578 +a(g229 +V'\u005c1' +p9579 +tp9580 +a(g705 +g1119 +tp9581 +a(g826 +V\u000a +p9582 +tp9583 +a(g109 +V The boy stood on the burning deck,\u000a +p9584 +tp9585 +a(g109 +V It was as hot as glass.\u000a +p9586 +tp9587 +a(g133 +VEND_OF_HERE_DOC\u000a +p9588 +tp9589 +a(g826 +V\u000a +p9590 +tp9591 +a(g435 +Vbigarray +p9592 +tp9593 +a(g826 +g998 +tp9594 +a(g412 +g1000 +tp9595 +a(g826 +g998 +tp9596 +a(g565 +VIO +p9597 +tp9598 +a(g412 +g1297 +tp9599 +a(g435 +Vreadlines +p9600 +tp9601 +a(g705 +g1301 +tp9602 +a(g157 +g1029 +tp9603 +a(g157 +Vmydatafile +p9604 +tp9605 +a(g157 +g1029 +tp9606 +a(g705 +g1119 +tp9607 +a(g412 +g1297 +tp9608 +a(g435 +Vcollect +p9609 +tp9610 +a(g826 +g998 +tp9611 +a(g705 +g2247 +tp9612 +a(g826 +g998 +tp9613 +a(g412 +g2250 +tp9614 +a(g435 +g3035 +tp9615 +a(g412 +g2250 +tp9616 +a(g826 +g998 +tp9617 +a(g435 +g3035 +tp9618 +a(g412 +g1297 +tp9619 +a(g435 +Vchomp +p9620 +tp9621 +a(g826 +g998 +tp9622 +a(g705 +g1102 +tp9623 +a(g826 +V\u000a\u000a +p9624 +tp9625 +a(g681 +Vname +p9626 +tp9627 +a(g826 +g998 +tp9628 +a(g412 +g1000 +tp9629 +a(g826 +g998 +tp9630 +a(g157 +g1029 +tp9631 +a(g157 +VGandalf +p9632 +tp9633 +a(g157 +g1029 +tp9634 +a(g826 +V\u000a +p9635 +tp9636 +a(g435 +Vbanner +p9637 +tp9638 +a(g826 +g998 +tp9639 +a(g412 +g1000 +tp9640 +a(g826 +g998 +tp9641 +a(g236 +V%Q( +p9642 +tp9643 +a(g236 +VSpeak, +p9644 +tp9645 +a(g125 +V#{ +p9646 +tp9647 +a(g681 +Vname +p9648 +tp9649 +a(g125 +g1102 +tp9650 +a(g236 +V, and welcome! +p9651 +tp9652 +a(g236 +g1119 +tp9653 +a(g826 +V\u000a\u000a +p9654 +tp9655 +a(g435 +Vhost_info +p9656 +tp9657 +a(g826 +V +p9658 +tp9659 +a(g412 +g1000 +tp9660 +a(g826 +g998 +tp9661 +a(g101 +V` +p9662 +tp9663 +a(g101 +Vhost +p9664 +tp9665 +a(g125 +V#{ +p9666 +tp9667 +a(g435 +Vhis_host +p9668 +tp9669 +a(g125 +g1102 +tp9670 +a(g101 +g9662 +tp9671 +a(g826 +V\u000a\u000a +p9672 +tp9673 +a(g236 +V%x( +p9674 +tp9675 +a(g236 +Vps +p9676 +tp9677 +a(g125 +V#{ +p9678 +tp9679 +a(g469 +V$$ +p9680 +tp9681 +a(g125 +g1102 +tp9682 +a(g236 +g1119 +tp9683 +a(g826 +V\u000a\u000a +p9684 +tp9685 +a(g435 +Vbanner +p9686 +tp9687 +a(g826 +g998 +tp9688 +a(g412 +g1000 +tp9689 +a(g826 +g998 +tp9690 +a(g229 +V'Costs only $4.95' +p9691 +tp9692 +a(g412 +g1297 +tp9693 +a(g435 +Vsplit +p9694 +tp9695 +a(g705 +g1301 +tp9696 +a(g229 +V' ' +p9697 +tp9698 +a(g705 +g1119 +tp9699 +a(g826 +V\u000a\u000a +p9700 +tp9701 +a(g435 +Vrax +p9702 +tp9703 +a(g826 +g998 +tp9704 +a(g412 +g1000 +tp9705 +a(g826 +g998 +tp9706 +a(g236 +V%w! ( ) < > { } [ ] ! +p9707 +tp9708 +a(g826 +V\u000a\u000a\u000a +p9709 +tp9710 +a(g8 +V# @@PLEAC@@_4.2 +p9711 +tp9712 +a(g826 +V\u000a +p9713 +tp9714 +a(g744 +Vdef +p9715 +tp9716 +a(g826 +g998 +tp9717 +a(g573 +Vcommify_series +p9718 +tp9719 +a(g705 +g1301 +tp9720 +a(g435 +Varr +p9721 +tp9722 +a(g705 +g1119 +tp9723 +a(g826 +V\u000a +p9724 +tp9725 +a(g744 +Vreturn +p9726 +tp9727 +a(g826 +g998 +tp9728 +a(g229 +V'' +p9729 +tp9730 +a(g826 +g998 +tp9731 +a(g744 +Vif +p9732 +tp9733 +a(g826 +g998 +tp9734 +a(g426 +Vnot +p9735 +tp9736 +a(g826 +g998 +tp9737 +a(g435 +Varr +p9738 +tp9739 +a(g826 +V\u000a +p9740 +tp9741 +a(g744 +Vcase +p9742 +tp9743 +a(g826 +g998 +tp9744 +a(g435 +Varr +p9745 +tp9746 +a(g412 +g1297 +tp9747 +a(g435 +Vsize +p9748 +tp9749 +a(g826 +V\u000a +p9750 +tp9751 +a(g744 +Vwhen +p9752 +tp9753 +a(g826 +g998 +tp9754 +a(g37 +g1401 +tp9755 +a(g826 +g998 +tp9756 +a(g744 +Vthen +p9757 +tp9758 +a(g826 +g998 +tp9759 +a(g229 +V'' +p9760 +tp9761 +a(g826 +V\u000a +p9762 +tp9763 +a(g744 +Vwhen +p9764 +tp9765 +a(g826 +g998 +tp9766 +a(g37 +g1201 +tp9767 +a(g826 +g998 +tp9768 +a(g744 +Vthen +p9769 +tp9770 +a(g826 +g998 +tp9771 +a(g435 +Varr +p9772 +tp9773 +a(g412 +g1176 +tp9774 +a(g37 +g1401 +tp9775 +a(g412 +g1085 +tp9776 +a(g826 +V\u000a +p9777 +tp9778 +a(g744 +Vwhen +p9779 +tp9780 +a(g826 +g998 +tp9781 +a(g37 +g1425 +tp9782 +a(g826 +g998 +tp9783 +a(g744 +Vthen +p9784 +tp9785 +a(g826 +g998 +tp9786 +a(g435 +Varr +p9787 +tp9788 +a(g412 +g1297 +tp9789 +a(g435 +Vjoin +p9790 +tp9791 +a(g705 +g1301 +tp9792 +a(g229 +V' and ' +p9793 +tp9794 +a(g705 +g1119 +tp9795 +a(g826 +V\u000a +p9796 +tp9797 +a(g744 +Velse +p9798 +tp9799 +a(g826 +g998 +tp9800 +a(g435 +Varr +p9801 +tp9802 +a(g412 +g1176 +tp9803 +a(g37 +g1401 +tp9804 +a(g412 +V.. +p9805 +tp9806 +a(g412 +g1199 +tp9807 +a(g37 +g1425 +tp9808 +a(g412 +g1085 +tp9809 +a(g412 +g1297 +tp9810 +a(g435 +Vjoin +p9811 +tp9812 +a(g705 +g1301 +tp9813 +a(g229 +V', ' +p9814 +tp9815 +a(g705 +g1119 +tp9816 +a(g826 +g998 +tp9817 +a(g412 +g1838 +tp9818 +a(g826 +g998 +tp9819 +a(g229 +V', and ' +p9820 +tp9821 +a(g826 +g998 +tp9822 +a(g412 +g1838 +tp9823 +a(g826 +g998 +tp9824 +a(g435 +Varr +p9825 +tp9826 +a(g412 +g1176 +tp9827 +a(g412 +g1199 +tp9828 +a(g37 +g1201 +tp9829 +a(g412 +g1085 +tp9830 +a(g826 +V\u000a +p9831 +tp9832 +a(g744 +Vend +p9833 +tp9834 +a(g826 +V\u000a +p9835 +tp9836 +a(g744 +Vend +p9837 +tp9838 +a(g826 +V\u000a\u000a +p9839 +tp9840 +a(g435 +Varray +p9841 +tp9842 +a(g826 +g998 +tp9843 +a(g412 +g1000 +tp9844 +a(g826 +g998 +tp9845 +a(g412 +g1176 +tp9846 +a(g826 +g998 +tp9847 +a(g157 +g1029 +tp9848 +a(g157 +Vred +p9849 +tp9850 +a(g157 +g1029 +tp9851 +a(g705 +g1180 +tp9852 +a(g826 +g998 +tp9853 +a(g157 +g1029 +tp9854 +a(g157 +Vyellow +p9855 +tp9856 +a(g157 +g1029 +tp9857 +a(g705 +g1180 +tp9858 +a(g826 +g998 +tp9859 +a(g157 +g1029 +tp9860 +a(g157 +Vgreen +p9861 +tp9862 +a(g157 +g1029 +tp9863 +a(g826 +g998 +tp9864 +a(g412 +g1085 +tp9865 +a(g826 +V\u000a\u000a +p9866 +tp9867 +a(g681 +Vprint +p9868 +tp9869 +a(g826 +g998 +tp9870 +a(g157 +g1029 +tp9871 +a(g157 +VI have +p9872 +tp9873 +a(g157 +g1029 +tp9874 +a(g705 +g1180 +tp9875 +a(g826 +g998 +tp9876 +a(g435 +Varray +p9877 +tp9878 +a(g705 +g1180 +tp9879 +a(g826 +g998 +tp9880 +a(g157 +g1029 +tp9881 +a(g157 +V marbles +p9882 +tp9883 +a(g213 +V\u005cn +p9884 +tp9885 +a(g157 +g1029 +tp9886 +a(g826 +V\u000a +p9887 +tp9888 +a(g8 +V# -> I have redyellowgreen marbles +p9889 +tp9890 +a(g826 +V\u000a\u000a +p9891 +tp9892 +a(g8 +V# But unlike Perl: +p9893 +tp9894 +a(g826 +V\u000a +p9895 +tp9896 +a(g681 +Vprint +p9897 +tp9898 +a(g826 +g998 +tp9899 +a(g157 +g1029 +tp9900 +a(g157 +VI have +p9901 +tp9902 +a(g125 +V#{ +p9903 +tp9904 +a(g435 +Varray +p9905 +tp9906 +a(g125 +g1102 +tp9907 +a(g157 +V marbles +p9908 +tp9909 +a(g213 +V\u005cn +p9910 +tp9911 +a(g157 +g1029 +tp9912 +a(g826 +V\u000a +p9913 +tp9914 +a(g8 +V# -> I have redyellowgreen marbles +p9915 +tp9916 +a(g826 +V\u000a +p9917 +tp9918 +a(g8 +V# So, needs: +p9919 +tp9920 +a(g826 +V\u000a +p9921 +tp9922 +a(g681 +Vprint +p9923 +tp9924 +a(g826 +g998 +tp9925 +a(g157 +g1029 +tp9926 +a(g157 +VI have +p9927 +tp9928 +a(g125 +V#{ +p9929 +tp9930 +a(g435 +Varray +p9931 +tp9932 +a(g412 +g1297 +tp9933 +a(g435 +Vjoin +p9934 +tp9935 +a(g705 +g1301 +tp9936 +a(g229 +V' ' +p9937 +tp9938 +a(g705 +g1119 +tp9939 +a(g125 +g1102 +tp9940 +a(g157 +V marbles +p9941 +tp9942 +a(g213 +V\u005cn +p9943 +tp9944 +a(g157 +g1029 +tp9945 +a(g826 +V\u000a +p9946 +tp9947 +a(g8 +V# -> I have red yellow green marbles +p9948 +tp9949 +a(g826 +V\u000a\u000a +p9950 +tp9951 +a(g8 +V#!/usr/bin/ruby +p9952 +tp9953 +a(g826 +V\u000a +p9954 +tp9955 +a(g8 +V# communify_series - show proper comma insertion in list output +p9956 +tp9957 +a(g826 +V\u000a\u000a +p9958 +tp9959 +a(g744 +Vdef +p9960 +tp9961 +a(g826 +g998 +tp9962 +a(g573 +Vcommify_series +p9963 +tp9964 +a(g705 +g1301 +tp9965 +a(g435 +Varr +p9966 +tp9967 +a(g705 +g1119 +tp9968 +a(g826 +V\u000a +p9969 +tp9970 +a(g744 +Vreturn +p9971 +tp9972 +a(g826 +g998 +tp9973 +a(g229 +V'' +p9974 +tp9975 +a(g826 +g998 +tp9976 +a(g744 +Vif +p9977 +tp9978 +a(g826 +g998 +tp9979 +a(g426 +Vnot +p9980 +tp9981 +a(g826 +g998 +tp9982 +a(g435 +Varr +p9983 +tp9984 +a(g826 +V\u000a +p9985 +tp9986 +a(g435 +Vsepchar +p9987 +tp9988 +a(g826 +g998 +tp9989 +a(g412 +g1000 +tp9990 +a(g826 +g998 +tp9991 +a(g435 +Varr +p9992 +tp9993 +a(g412 +g1297 +tp9994 +a(g435 +Vfind +p9995 +tp9996 +a(g826 +g998 +tp9997 +a(g705 +g2247 +tp9998 +a(g826 +g998 +tp9999 +a(g412 +g2250 +tp10000 +a(g681 +g4005 +tp10001 +a(g412 +g2250 +tp10002 +a(g826 +g998 +tp10003 +a(g681 +g4005 +tp10004 +a(g826 +g998 +tp10005 +a(g412 +V=~ +p10006 +tp10007 +a(g826 +g998 +tp10008 +a(g197 +g1335 +tp10009 +a(g197 +g1180 +tp10010 +a(g197 +g1335 +tp10011 +a(g826 +g998 +tp10012 +a(g705 +g1102 +tp10013 +a(g826 +g998 +tp10014 +a(g705 +g1995 +tp10015 +a(g826 +g998 +tp10016 +a(g229 +V'; ' +p10017 +tp10018 +a(g826 +g998 +tp10019 +a(g705 +g2000 +tp10020 +a(g826 +g998 +tp10021 +a(g229 +V', ' +p10022 +tp10023 +a(g826 +V\u000a +p10024 +tp10025 +a(g744 +Vcase +p10026 +tp10027 +a(g826 +g998 +tp10028 +a(g435 +Varr +p10029 +tp10030 +a(g412 +g1297 +tp10031 +a(g435 +Vsize +p10032 +tp10033 +a(g826 +V\u000a +p10034 +tp10035 +a(g744 +Vwhen +p10036 +tp10037 +a(g826 +g998 +tp10038 +a(g37 +g1401 +tp10039 +a(g826 +g998 +tp10040 +a(g744 +Vthen +p10041 +tp10042 +a(g826 +g998 +tp10043 +a(g229 +V'' +p10044 +tp10045 +a(g826 +V\u000a +p10046 +tp10047 +a(g744 +Vwhen +p10048 +tp10049 +a(g826 +g998 +tp10050 +a(g37 +g1201 +tp10051 +a(g826 +g998 +tp10052 +a(g744 +Vthen +p10053 +tp10054 +a(g826 +g998 +tp10055 +a(g435 +Varr +p10056 +tp10057 +a(g412 +g1176 +tp10058 +a(g37 +g1401 +tp10059 +a(g412 +g1085 +tp10060 +a(g826 +V\u000a +p10061 +tp10062 +a(g744 +Vwhen +p10063 +tp10064 +a(g826 +g998 +tp10065 +a(g37 +g1425 +tp10066 +a(g826 +g998 +tp10067 +a(g744 +Vthen +p10068 +tp10069 +a(g826 +g998 +tp10070 +a(g435 +Varr +p10071 +tp10072 +a(g412 +g1297 +tp10073 +a(g435 +Vjoin +p10074 +tp10075 +a(g705 +g1301 +tp10076 +a(g229 +V' and ' +p10077 +tp10078 +a(g705 +g1119 +tp10079 +a(g826 +V\u000a +p10080 +tp10081 +a(g744 +Velse +p10082 +tp10083 +a(g826 +g998 +tp10084 +a(g435 +Varr +p10085 +tp10086 +a(g412 +g1176 +tp10087 +a(g37 +g1401 +tp10088 +a(g412 +V.. +p10089 +tp10090 +a(g412 +g1199 +tp10091 +a(g37 +g1425 +tp10092 +a(g412 +g1085 +tp10093 +a(g412 +g1297 +tp10094 +a(g435 +Vjoin +p10095 +tp10096 +a(g705 +g1301 +tp10097 +a(g435 +Vsepchar +p10098 +tp10099 +a(g705 +g1119 +tp10100 +a(g826 +g998 +tp10101 +a(g412 +g1838 +tp10102 +a(g826 +g998 +tp10103 +a(g435 +Vsepchar +p10104 +tp10105 +a(g826 +g998 +tp10106 +a(g412 +g1838 +tp10107 +a(g826 +g998 +tp10108 +a(g229 +V'and ' +p10109 +tp10110 +a(g826 +g998 +tp10111 +a(g412 +g1838 +tp10112 +a(g826 +g998 +tp10113 +a(g435 +Varr +p10114 +tp10115 +a(g412 +g1176 +tp10116 +a(g412 +g1199 +tp10117 +a(g37 +g1201 +tp10118 +a(g412 +g1085 +tp10119 +a(g826 +V\u000a +p10120 +tp10121 +a(g744 +Vend +p10122 +tp10123 +a(g826 +V\u000a +p10124 +tp10125 +a(g744 +Vend +p10126 +tp10127 +a(g826 +V\u000a\u000a +p10128 +tp10129 +a(g435 +Vlists +p10130 +tp10131 +a(g826 +g998 +tp10132 +a(g412 +g1000 +tp10133 +a(g826 +g998 +tp10134 +a(g412 +g1176 +tp10135 +a(g826 +V\u000a +p10136 +tp10137 +a(g412 +g1176 +tp10138 +a(g826 +g998 +tp10139 +a(g229 +V'just one thing' +p10140 +tp10141 +a(g826 +g998 +tp10142 +a(g412 +g1085 +tp10143 +a(g705 +g1180 +tp10144 +a(g826 +V\u000a +p10145 +tp10146 +a(g236 +V%w( +p10147 +tp10148 +a(g236 +VMutt Jeff +p10149 +tp10150 +a(g236 +g1119 +tp10151 +a(g705 +g1180 +tp10152 +a(g826 +V\u000a +p10153 +tp10154 +a(g236 +V%w( +p10155 +tp10156 +a(g236 +VPeter Paul Mary +p10157 +tp10158 +a(g236 +g1119 +tp10159 +a(g705 +g1180 +tp10160 +a(g826 +V\u000a +p10161 +tp10162 +a(g412 +g1176 +tp10163 +a(g826 +g998 +tp10164 +a(g229 +V'To our parents' +p10165 +tp10166 +a(g705 +g1180 +tp10167 +a(g826 +g998 +tp10168 +a(g229 +V'Mother Theresa' +p10169 +tp10170 +a(g705 +g1180 +tp10171 +a(g826 +g998 +tp10172 +a(g229 +V'God' +p10173 +tp10174 +a(g826 +g998 +tp10175 +a(g412 +g1085 +tp10176 +a(g705 +g1180 +tp10177 +a(g826 +V\u000a +p10178 +tp10179 +a(g412 +g1176 +tp10180 +a(g826 +g998 +tp10181 +a(g229 +V'pastrami' +p10182 +tp10183 +a(g705 +g1180 +tp10184 +a(g826 +g998 +tp10185 +a(g229 +V'ham and cheese' +p10186 +tp10187 +a(g705 +g1180 +tp10188 +a(g826 +g998 +tp10189 +a(g229 +V'peanut butter and jelly' +p10190 +tp10191 +a(g705 +g1180 +tp10192 +a(g826 +g998 +tp10193 +a(g229 +V'tuna' +p10194 +tp10195 +a(g826 +g998 +tp10196 +a(g412 +g1085 +tp10197 +a(g705 +g1180 +tp10198 +a(g826 +V\u000a +p10199 +tp10200 +a(g412 +g1176 +tp10201 +a(g826 +g998 +tp10202 +a(g229 +V'recycle tired, old phrases' +p10203 +tp10204 +a(g705 +g1180 +tp10205 +a(g826 +g998 +tp10206 +a(g229 +V'ponder big, happy thoughts' +p10207 +tp10208 +a(g826 +g998 +tp10209 +a(g412 +g1085 +tp10210 +a(g705 +g1180 +tp10211 +a(g826 +V\u000a +p10212 +tp10213 +a(g412 +g1176 +tp10214 +a(g826 +g998 +tp10215 +a(g229 +V'recycle tired, old phrases' +p10216 +tp10217 +a(g705 +g1180 +tp10218 +a(g826 +V\u000a +p10219 +tp10220 +a(g229 +V'ponder big, happy thoughts' +p10221 +tp10222 +a(g705 +g1180 +tp10223 +a(g826 +V\u000a +p10224 +tp10225 +a(g229 +V'sleep and dream peacefully' +p10226 +tp10227 +a(g826 +g998 +tp10228 +a(g412 +g1085 +tp10229 +a(g705 +g1180 +tp10230 +a(g826 +V\u000a +p10231 +tp10232 +a(g412 +g1085 +tp10233 +a(g826 +V\u000a\u000a +p10234 +tp10235 +a(g744 +Vfor +p10236 +tp10237 +a(g826 +g998 +tp10238 +a(g435 +Vlist +p10239 +tp10240 +a(g826 +g998 +tp10241 +a(g744 +Vin +p10242 +tp10243 +a(g826 +g998 +tp10244 +a(g435 +Vlists +p10245 +tp10246 +a(g826 +g998 +tp10247 +a(g744 +Vdo +p10248 +tp10249 +a(g826 +V\u000a +p10250 +tp10251 +a(g681 +Vputs +p10252 +tp10253 +a(g826 +g998 +tp10254 +a(g157 +g1029 +tp10255 +a(g157 +VThe list is: +p10256 +tp10257 +a(g125 +V#{ +p10258 +tp10259 +a(g435 +Vcommify_series +p10260 +tp10261 +a(g705 +g1301 +tp10262 +a(g435 +Vlist +p10263 +tp10264 +a(g705 +g1119 +tp10265 +a(g125 +g1102 +tp10266 +a(g157 +g1297 +tp10267 +a(g157 +g1029 +tp10268 +a(g826 +V\u000a +p10269 +tp10270 +a(g744 +Vend +p10271 +tp10272 +a(g826 +V\u000a\u000a\u000a +p10273 +tp10274 +a(g8 +V# @@PLEAC@@_4.3 +p10275 +tp10276 +a(g826 +V\u000a +p10277 +tp10278 +a(g8 +V# (note: AFAIK Ruby doesn't allow gory change of Array length) +p10279 +tp10280 +a(g826 +V\u000a +p10281 +tp10282 +a(g8 +V# grow the array by assigning nil to past the end of array +p10283 +tp10284 +a(g826 +V\u000a +p10285 +tp10286 +a(g435 +Vary +p10287 +tp10288 +a(g412 +g1176 +tp10289 +a(g435 +Vnew_size +p10290 +tp10291 +a(g412 +g1199 +tp10292 +a(g37 +g1201 +tp10293 +a(g412 +g1085 +tp10294 +a(g826 +g998 +tp10295 +a(g412 +g1000 +tp10296 +a(g826 +g998 +tp10297 +a(g785 +Vnil +p10298 +tp10299 +a(g826 +V\u000a +p10300 +tp10301 +a(g8 +V# shrink the array by slicing it down +p10302 +tp10303 +a(g826 +V\u000a +p10304 +tp10305 +a(g435 +Vary +p10306 +tp10307 +a(g412 +g1297 +tp10308 +a(g435 +Vslice! +p10309 +tp10310 +a(g705 +g1301 +tp10311 +a(g435 +Vnew_size +p10312 +tp10313 +a(g412 +V.. +p10314 +tp10315 +a(g412 +g1199 +tp10316 +a(g37 +g1201 +tp10317 +a(g705 +g1119 +tp10318 +a(g826 +V\u000a +p10319 +tp10320 +a(g8 +V# init the array with given size +p10321 +tp10322 +a(g826 +V\u000a +p10323 +tp10324 +a(g681 +VArray +p10325 +tp10326 +a(g412 +g1297 +tp10327 +a(g435 +Vnew +p10328 +tp10329 +a(g705 +g1301 +tp10330 +a(g435 +Vnumber_of_elems +p10331 +tp10332 +a(g705 +g1119 +tp10333 +a(g826 +V\u000a +p10334 +tp10335 +a(g8 +V# assign to an element past the original end enlarges the array +p10336 +tp10337 +a(g826 +V\u000a +p10338 +tp10339 +a(g435 +Vary +p10340 +tp10341 +a(g412 +g1176 +tp10342 +a(g435 +Vindex_new_last_elem +p10343 +tp10344 +a(g412 +g1085 +tp10345 +a(g826 +g998 +tp10346 +a(g412 +g1000 +tp10347 +a(g826 +g998 +tp10348 +a(g435 +Vvalue +p10349 +tp10350 +a(g826 +V\u000a\u000a +p10351 +tp10352 +a(g744 +Vdef +p10353 +tp10354 +a(g826 +g998 +tp10355 +a(g573 +Vwhat_about_that_array +p10356 +tp10357 +a(g705 +g1301 +tp10358 +a(g435 +g1144 +tp10359 +a(g705 +g1119 +tp10360 +a(g826 +V\u000a +p10361 +tp10362 +a(g681 +Vprint +p10363 +tp10364 +a(g826 +g998 +tp10365 +a(g157 +g1029 +tp10366 +a(g157 +VThe array now has +p10367 +tp10368 +a(g157 +g1029 +tp10369 +a(g705 +g1180 +tp10370 +a(g826 +g998 +tp10371 +a(g435 +g1144 +tp10372 +a(g412 +g1297 +tp10373 +a(g435 +Vsize +p10374 +tp10375 +a(g705 +g1180 +tp10376 +a(g826 +g998 +tp10377 +a(g157 +g1029 +tp10378 +a(g157 +V elements. +p10379 +tp10380 +a(g213 +V\u005cn +p10381 +tp10382 +a(g157 +g1029 +tp10383 +a(g826 +V\u000a +p10384 +tp10385 +a(g8 +V# Index of last element is not really interesting in Ruby +p10386 +tp10387 +a(g826 +V\u000a +p10388 +tp10389 +a(g681 +Vprint +p10390 +tp10391 +a(g826 +g998 +tp10392 +a(g157 +g1029 +tp10393 +a(g157 +VElement +p10394 +tp10395 +a(g157 +g2734 +tp10396 +a(g157 +V3 is ` +p10397 +tp10398 +a(g125 +V#{ +p10399 +tp10400 +a(g435 +g1144 +tp10401 +a(g412 +g1176 +tp10402 +a(g37 +g1514 +tp10403 +a(g412 +g1085 +tp10404 +a(g125 +g1102 +tp10405 +a(g157 +V'. +p10406 +tp10407 +a(g213 +V\u005cn +p10408 +tp10409 +a(g157 +g1029 +tp10410 +a(g826 +V\u000a +p10411 +tp10412 +a(g744 +Vend +p10413 +tp10414 +a(g826 +V\u000a +p10415 +tp10416 +a(g435 +Vpeople +p10417 +tp10418 +a(g826 +g998 +tp10419 +a(g412 +g1000 +tp10420 +a(g826 +g998 +tp10421 +a(g236 +V%w( +p10422 +tp10423 +a(g236 +VCrosby Stills Nash Young +p10424 +tp10425 +a(g236 +g1119 +tp10426 +a(g826 +V\u000a +p10427 +tp10428 +a(g435 +Vwhat_about_that_array +p10429 +tp10430 +a(g705 +g1301 +tp10431 +a(g435 +Vpeople +p10432 +tp10433 +a(g705 +g1119 +tp10434 +a(g826 +V\u000a\u000a\u000a +p10435 +tp10436 +a(g8 +V# @@PLEAC@@_4.4 +p10437 +tp10438 +a(g826 +V\u000a +p10439 +tp10440 +a(g8 +V# OO style +p10441 +tp10442 +a(g826 +V\u000a +p10443 +tp10444 +a(g435 +Vbad_users +p10445 +tp10446 +a(g412 +g1297 +tp10447 +a(g435 +Veach +p10448 +tp10449 +a(g826 +g998 +tp10450 +a(g705 +g2247 +tp10451 +a(g826 +g998 +tp10452 +a(g412 +g2250 +tp10453 +a(g435 +Vuser +p10454 +tp10455 +a(g412 +g2250 +tp10456 +a(g826 +V\u000a +p10457 +tp10458 +a(g435 +Vcomplain +p10459 +tp10460 +a(g705 +g1301 +tp10461 +a(g435 +Vuser +p10462 +tp10463 +a(g705 +g1119 +tp10464 +a(g826 +V\u000a +p10465 +tp10466 +a(g705 +g1102 +tp10467 +a(g826 +V\u000a +p10468 +tp10469 +a(g8 +V# or, functional style +p10470 +tp10471 +a(g826 +V\u000a +p10472 +tp10473 +a(g744 +Vfor +p10474 +tp10475 +a(g826 +g998 +tp10476 +a(g435 +Vuser +p10477 +tp10478 +a(g826 +g998 +tp10479 +a(g744 +Vin +p10480 +tp10481 +a(g826 +g998 +tp10482 +a(g435 +Vbad_users +p10483 +tp10484 +a(g826 +V\u000a +p10485 +tp10486 +a(g435 +Vcomplain +p10487 +tp10488 +a(g705 +g1301 +tp10489 +a(g435 +Vuser +p10490 +tp10491 +a(g705 +g1119 +tp10492 +a(g826 +V\u000a +p10493 +tp10494 +a(g744 +Vend +p10495 +tp10496 +a(g826 +V\u000a\u000a +p10497 +tp10498 +a(g744 +Vfor +p10499 +tp10500 +a(g826 +g998 +tp10501 +a(g435 +Vvar +p10502 +tp10503 +a(g826 +g998 +tp10504 +a(g744 +Vin +p10505 +tp10506 +a(g826 +g998 +tp10507 +a(g565 +VENV +p10508 +tp10509 +a(g412 +g1297 +tp10510 +a(g435 +Vkeys +p10511 +tp10512 +a(g412 +g1297 +tp10513 +a(g435 +Vsort +p10514 +tp10515 +a(g826 +V\u000a +p10516 +tp10517 +a(g681 +Vputs +p10518 +tp10519 +a(g826 +g998 +tp10520 +a(g157 +g1029 +tp10521 +a(g125 +V#{ +p10522 +tp10523 +a(g435 +Vvar +p10524 +tp10525 +a(g125 +g1102 +tp10526 +a(g157 +g1000 +tp10527 +a(g125 +V#{ +p10528 +tp10529 +a(g565 +VENV +p10530 +tp10531 +a(g412 +g1176 +tp10532 +a(g435 +Vvar +p10533 +tp10534 +a(g412 +g1085 +tp10535 +a(g125 +g1102 +tp10536 +a(g157 +g1029 +tp10537 +a(g826 +V\u000a +p10538 +tp10539 +a(g744 +Vend +p10540 +tp10541 +a(g826 +V\u000a\u000a +p10542 +tp10543 +a(g744 +Vfor +p10544 +tp10545 +a(g826 +g998 +tp10546 +a(g435 +Vuser +p10547 +tp10548 +a(g826 +g998 +tp10549 +a(g744 +Vin +p10550 +tp10551 +a(g826 +g998 +tp10552 +a(g435 +Vall_users +p10553 +tp10554 +a(g826 +V\u000a +p10555 +tp10556 +a(g435 +Vdisk_space +p10557 +tp10558 +a(g826 +g998 +tp10559 +a(g412 +g1000 +tp10560 +a(g826 +g998 +tp10561 +a(g435 +Vget_usage +p10562 +tp10563 +a(g705 +g1301 +tp10564 +a(g435 +Vuser +p10565 +tp10566 +a(g705 +g1119 +tp10567 +a(g826 +V\u000a +p10568 +tp10569 +a(g744 +Vif +p10570 +tp10571 +a(g826 +g998 +tp10572 +a(g705 +g1301 +tp10573 +a(g435 +Vdisk_space +p10574 +tp10575 +a(g826 +g998 +tp10576 +a(g412 +g1136 +tp10577 +a(g826 +g998 +tp10578 +a(g565 +VMAX_QUOTA +p10579 +tp10580 +a(g705 +g1119 +tp10581 +a(g826 +V\u000a +p10582 +tp10583 +a(g435 +Vcomplain +p10584 +tp10585 +a(g705 +g1301 +tp10586 +a(g435 +Vuser +p10587 +tp10588 +a(g705 +g1119 +tp10589 +a(g826 +V\u000a +p10590 +tp10591 +a(g744 +Vend +p10592 +tp10593 +a(g826 +V\u000a +p10594 +tp10595 +a(g744 +Vend +p10596 +tp10597 +a(g826 +V\u000a\u000a +p10598 +tp10599 +a(g744 +Vfor +p10600 +tp10601 +a(g826 +g998 +tp10602 +a(g435 +g3035 +tp10603 +a(g826 +g998 +tp10604 +a(g744 +Vin +p10605 +tp10606 +a(g826 +g998 +tp10607 +a(g565 +VIO +p10608 +tp10609 +a(g412 +g1297 +tp10610 +a(g435 +Vpopen +p10611 +tp10612 +a(g705 +g1301 +tp10613 +a(g157 +g1029 +tp10614 +a(g157 +Vwho +p10615 +tp10616 +a(g157 +g1029 +tp10617 +a(g705 +g1119 +tp10618 +a(g412 +g1297 +tp10619 +a(g435 +Vreadlines +p10620 +tp10621 +a(g826 +V\u000a +p10622 +tp10623 +a(g681 +Vprint +p10624 +tp10625 +a(g826 +g998 +tp10626 +a(g435 +g3035 +tp10627 +a(g826 +g998 +tp10628 +a(g744 +Vif +p10629 +tp10630 +a(g826 +g998 +tp10631 +a(g435 +g3035 +tp10632 +a(g826 +g998 +tp10633 +a(g412 +V=~ +p10634 +tp10635 +a(g826 +g998 +tp10636 +a(g197 +g1335 +tp10637 +a(g197 +V^gc +p10638 +tp10639 +a(g197 +g1335 +tp10640 +a(g826 +V \u000a +p10641 +tp10642 +a(g744 +Vend +p10643 +tp10644 +a(g826 +V\u000a\u000a +p10645 +tp10646 +a(g8 +V# we can mimic the obfuscated Perl way +p10647 +tp10648 +a(g826 +V\u000a +p10649 +tp10650 +a(g744 +Vwhile +p10651 +tp10652 +a(g826 +g998 +tp10653 +a(g435 +Vfh +p10654 +tp10655 +a(g412 +g1297 +tp10656 +a(g435 +Vgets +p10657 +tp10658 +a(g826 +V +p10659 +tp10660 +a(g8 +V# $_ is set to the line just read +p10661 +tp10662 +a(g826 +V\u000a +p10663 +tp10664 +a(g681 +Vchomp +p10665 +tp10666 +a(g826 +V +p10667 +tp10668 +a(g8 +V# $_ has a trailing \u005cn removed, if it had one +p10669 +tp10670 +a(g826 +V\u000a +p10671 +tp10672 +a(g681 +Vsplit +p10673 +tp10674 +a(g412 +g1297 +tp10675 +a(g435 +Veach +p10676 +tp10677 +a(g826 +g998 +tp10678 +a(g705 +g2247 +tp10679 +a(g826 +g998 +tp10680 +a(g412 +g2250 +tp10681 +a(g435 +g2576 +tp10682 +a(g412 +g2250 +tp10683 +a(g826 +V +p10684 +tp10685 +a(g8 +V# $_ is split on whitespace +p10686 +tp10687 +a(g826 +V\u000a +p10688 +tp10689 +a(g8 +V# but $_ is not set to each chunk as in Perl +p10690 +tp10691 +a(g826 +V\u000a +p10692 +tp10693 +a(g681 +Vprint +p10694 +tp10695 +a(g826 +g998 +tp10696 +a(g435 +g2576 +tp10697 +a(g412 +g1297 +tp10698 +a(g435 +Vreverse +p10699 +tp10700 +a(g826 +V\u000a +p10701 +tp10702 +a(g705 +g1102 +tp10703 +a(g826 +V\u000a +p10704 +tp10705 +a(g744 +Vend +p10706 +tp10707 +a(g826 +V\u000a +p10708 +tp10709 +a(g8 +V# ...or use a cleaner way +p10710 +tp10711 +a(g826 +V\u000a +p10712 +tp10713 +a(g744 +Vfor +p10714 +tp10715 +a(g826 +g998 +tp10716 +a(g435 +g3035 +tp10717 +a(g826 +g998 +tp10718 +a(g744 +Vin +p10719 +tp10720 +a(g826 +g998 +tp10721 +a(g435 +Vfh +p10722 +tp10723 +a(g412 +g1297 +tp10724 +a(g435 +Vreadlines +p10725 +tp10726 +a(g826 +V\u000a +p10727 +tp10728 +a(g435 +g3035 +tp10729 +a(g412 +g1297 +tp10730 +a(g435 +Vchomp +p10731 +tp10732 +a(g412 +g1297 +tp10733 +a(g435 +Vsplit +p10734 +tp10735 +a(g412 +g1297 +tp10736 +a(g435 +Veach +p10737 +tp10738 +a(g826 +g998 +tp10739 +a(g705 +g2247 +tp10740 +a(g826 +g998 +tp10741 +a(g412 +g2250 +tp10742 +a(g435 +g2576 +tp10743 +a(g412 +g2250 +tp10744 +a(g826 +g998 +tp10745 +a(g681 +Vprint +p10746 +tp10747 +a(g826 +g998 +tp10748 +a(g435 +g2576 +tp10749 +a(g412 +g1297 +tp10750 +a(g435 +Vreverse +p10751 +tp10752 +a(g826 +g998 +tp10753 +a(g705 +g1102 +tp10754 +a(g826 +V\u000a +p10755 +tp10756 +a(g744 +Vend +p10757 +tp10758 +a(g826 +V\u000a\u000a +p10759 +tp10760 +a(g8 +V# same drawback as in problem 1.4, we can't mutate a Numeric... +p10761 +tp10762 +a(g826 +V\u000a +p10763 +tp10764 +a(g435 +Varray +p10765 +tp10766 +a(g412 +g1297 +tp10767 +a(g435 +Vcollect! +p10768 +tp10769 +a(g826 +g998 +tp10770 +a(g705 +g2247 +tp10771 +a(g826 +g998 +tp10772 +a(g412 +g2250 +tp10773 +a(g435 +g3446 +tp10774 +a(g412 +g2250 +tp10775 +a(g826 +g998 +tp10776 +a(g435 +g3446 +tp10777 +a(g826 +g998 +tp10778 +a(g412 +g1199 +tp10779 +a(g826 +g998 +tp10780 +a(g37 +g1201 +tp10781 +a(g826 +g998 +tp10782 +a(g705 +g1102 +tp10783 +a(g826 +V\u000a\u000a +p10784 +tp10785 +a(g435 +g1144 +tp10786 +a(g826 +g998 +tp10787 +a(g412 +g1000 +tp10788 +a(g826 +g998 +tp10789 +a(g412 +g1176 +tp10790 +a(g826 +g998 +tp10791 +a(g412 +g1297 +tp10792 +a(g37 +g1337 +tp10793 +a(g705 +g1180 +tp10794 +a(g826 +g998 +tp10795 +a(g37 +g1514 +tp10796 +a(g826 +g998 +tp10797 +a(g412 +g1085 +tp10798 +a(g705 +g2584 +tp10799 +a(g826 +g998 +tp10800 +a(g435 +g1728 +tp10801 +a(g826 +g998 +tp10802 +a(g412 +g1000 +tp10803 +a(g826 +g998 +tp10804 +a(g412 +g1176 +tp10805 +a(g826 +g998 +tp10806 +a(g37 +g1401 +tp10807 +a(g705 +g1180 +tp10808 +a(g826 +g998 +tp10809 +a(g37 +g1201 +tp10810 +a(g826 +g998 +tp10811 +a(g412 +g1085 +tp10812 +a(g826 +V\u000a +p10813 +tp10814 +a(g744 +Vfor +p10815 +tp10816 +a(g826 +g998 +tp10817 +a(g435 +Vary +p10818 +tp10819 +a(g826 +g998 +tp10820 +a(g744 +Vin +p10821 +tp10822 +a(g826 +g998 +tp10823 +a(g412 +g1176 +tp10824 +a(g826 +g998 +tp10825 +a(g435 +g1144 +tp10826 +a(g705 +g1180 +tp10827 +a(g826 +g998 +tp10828 +a(g435 +g1728 +tp10829 +a(g826 +g998 +tp10830 +a(g412 +g1085 +tp10831 +a(g826 +V\u000a +p10832 +tp10833 +a(g435 +Vary +p10834 +tp10835 +a(g412 +g1297 +tp10836 +a(g435 +Vcollect! +p10837 +tp10838 +a(g826 +g998 +tp10839 +a(g705 +g2247 +tp10840 +a(g826 +g998 +tp10841 +a(g412 +g2250 +tp10842 +a(g435 +g3446 +tp10843 +a(g412 +g2250 +tp10844 +a(g826 +g998 +tp10845 +a(g435 +g3446 +tp10846 +a(g826 +g998 +tp10847 +a(g412 +g1326 +tp10848 +a(g826 +g998 +tp10849 +a(g37 +g4338 +tp10850 +a(g826 +g998 +tp10851 +a(g705 +g1102 +tp10852 +a(g826 +V\u000a +p10853 +tp10854 +a(g744 +Vend +p10855 +tp10856 +a(g826 +V\u000a +p10857 +tp10858 +a(g681 +Vputs +p10859 +tp10860 +a(g826 +g998 +tp10861 +a(g157 +g1029 +tp10862 +a(g125 +V#{ +p10863 +tp10864 +a(g435 +g1144 +tp10865 +a(g412 +g1297 +tp10866 +a(g435 +Vjoin +p10867 +tp10868 +a(g705 +g1301 +tp10869 +a(g229 +V' ' +p10870 +tp10871 +a(g705 +g1119 +tp10872 +a(g125 +g1102 +tp10873 +a(g157 +g998 +tp10874 +a(g125 +V#{ +p10875 +tp10876 +a(g435 +g1728 +tp10877 +a(g412 +g1297 +tp10878 +a(g435 +Vjoin +p10879 +tp10880 +a(g705 +g1301 +tp10881 +a(g229 +V' ' +p10882 +tp10883 +a(g705 +g1119 +tp10884 +a(g125 +g1102 +tp10885 +a(g157 +g1029 +tp10886 +a(g826 +V\u000a\u000a +p10887 +tp10888 +a(g8 +V# we can mutate Strings, cool; we need a trick for the scalar +p10889 +tp10890 +a(g826 +V\u000a +p10891 +tp10892 +a(g744 +Vfor +p10893 +tp10894 +a(g826 +g998 +tp10895 +a(g435 +Vary +p10896 +tp10897 +a(g826 +g998 +tp10898 +a(g744 +Vin +p10899 +tp10900 +a(g826 +g998 +tp10901 +a(g412 +g1176 +tp10902 +a(g826 +g998 +tp10903 +a(g412 +g1176 +tp10904 +a(g826 +g998 +tp10905 +a(g435 +Vscalar +p10906 +tp10907 +a(g826 +g998 +tp10908 +a(g412 +g1085 +tp10909 +a(g705 +g1180 +tp10910 +a(g826 +g998 +tp10911 +a(g435 +Varray +p10912 +tp10913 +a(g705 +g1180 +tp10914 +a(g826 +g998 +tp10915 +a(g681 +Vhash +p10916 +tp10917 +a(g412 +g1297 +tp10918 +a(g435 +Vvalues +p10919 +tp10920 +a(g826 +g998 +tp10921 +a(g412 +g1085 +tp10922 +a(g826 +V\u000a +p10923 +tp10924 +a(g435 +Vary +p10925 +tp10926 +a(g412 +g1297 +tp10927 +a(g435 +Veach +p10928 +tp10929 +a(g826 +g998 +tp10930 +a(g705 +g2247 +tp10931 +a(g826 +g998 +tp10932 +a(g412 +g2250 +tp10933 +a(g435 +g3446 +tp10934 +a(g412 +g2250 +tp10935 +a(g826 +g998 +tp10936 +a(g435 +g3446 +tp10937 +a(g412 +g1297 +tp10938 +a(g435 +Vstrip! +p10939 +tp10940 +a(g826 +g998 +tp10941 +a(g705 +g1102 +tp10942 +a(g826 +V +p10943 +tp10944 +a(g8 +V# String#strip rules :) +p10945 +tp10946 +a(g826 +V\u000a +p10947 +tp10948 +a(g744 +Vend +p10949 +tp10950 +a(g826 +V\u000a\u000a\u000a +p10951 +tp10952 +a(g8 +V# @@PLEAC@@_4.5 +p10953 +tp10954 +a(g826 +V\u000a +p10955 +tp10956 +a(g8 +V# not relevant in Ruby since we have always references +p10957 +tp10958 +a(g826 +V\u000a +p10959 +tp10960 +a(g744 +Vfor +p10961 +tp10962 +a(g826 +g998 +tp10963 +a(g435 +Vitem +p10964 +tp10965 +a(g826 +g998 +tp10966 +a(g744 +Vin +p10967 +tp10968 +a(g826 +g998 +tp10969 +a(g435 +Varray +p10970 +tp10971 +a(g826 +V\u000a +p10972 +tp10973 +a(g8 +V# do somethingh with item +p10974 +tp10975 +a(g826 +V\u000a +p10976 +tp10977 +a(g744 +Vend +p10978 +tp10979 +a(g826 +V\u000a\u000a\u000a +p10980 +tp10981 +a(g8 +V# @@PLEAC@@_4.6 +p10982 +tp10983 +a(g826 +V\u000a +p10984 +tp10985 +a(g435 +Vunique +p10986 +tp10987 +a(g826 +g998 +tp10988 +a(g412 +g1000 +tp10989 +a(g826 +g998 +tp10990 +a(g435 +Vlist +p10991 +tp10992 +a(g412 +g1297 +tp10993 +a(g435 +Vuniq +p10994 +tp10995 +a(g826 +V\u000a\u000a +p10996 +tp10997 +a(g8 +V# generate a list of users logged in, removing duplicates +p10998 +tp10999 +a(g826 +V\u000a +p11000 +tp11001 +a(g435 +Vusers +p11002 +tp11003 +a(g826 +g998 +tp11004 +a(g412 +g1000 +tp11005 +a(g826 +g998 +tp11006 +a(g101 +g9662 +tp11007 +a(g101 +Vwho +p11008 +tp11009 +a(g101 +g9662 +tp11010 +a(g412 +g1297 +tp11011 +a(g435 +Vcollect +p11012 +tp11013 +a(g826 +g998 +tp11014 +a(g705 +g2247 +tp11015 +a(g826 +g998 +tp11016 +a(g412 +g2250 +tp11017 +a(g435 +g3035 +tp11018 +a(g412 +g2250 +tp11019 +a(g826 +g998 +tp11020 +a(g435 +g3035 +tp11021 +a(g826 +g998 +tp11022 +a(g412 +V=~ +p11023 +tp11024 +a(g826 +g998 +tp11025 +a(g197 +g1335 +tp11026 +a(g197 +g1301 +tp11027 +a(g197 +V\u005c +p11028 +tp11029 +a(g197 +Vw+) +p11030 +tp11031 +a(g197 +g1335 +tp11032 +a(g705 +g2584 +tp11033 +a(g826 +g998 +tp11034 +a(g469 +V$1 +p11035 +tp11036 +a(g826 +g998 +tp11037 +a(g705 +g1102 +tp11038 +a(g412 +g1297 +tp11039 +a(g435 +Vsort +p11040 +tp11041 +a(g412 +g1297 +tp11042 +a(g435 +Vuniq +p11043 +tp11044 +a(g826 +V\u000a +p11045 +tp11046 +a(g681 +Vputs +p11047 +tp11048 +a(g705 +g1301 +tp11049 +a(g157 +g1029 +tp11050 +a(g157 +Vusers logged in: +p11051 +tp11052 +a(g125 +V#{ +p11053 +tp11054 +a(g435 +Vcommify_series +p11055 +tp11056 +a(g705 +g1301 +tp11057 +a(g435 +Vusers +p11058 +tp11059 +a(g705 +g1119 +tp11060 +a(g125 +g1102 +tp11061 +a(g157 +g1029 +tp11062 +a(g705 +g1119 +tp11063 +a(g826 +V +p11064 +tp11065 +a(g8 +V# see 4.2 for commify_series +p11066 +tp11067 +a(g826 +V\u000a\u000a\u000a +p11068 +tp11069 +a(g8 +V# @@PLEAC@@_4.7 +p11070 +tp11071 +a(g826 +V\u000a +p11072 +tp11073 +a(g435 +g1144 +tp11074 +a(g826 +g998 +tp11075 +a(g412 +g1199 +tp11076 +a(g826 +g998 +tp11077 +a(g435 +g1728 +tp11078 +a(g826 +V\u000a +p11079 +tp11080 +a(g8 +V# [ 1, 1, 2, 2, 3, 3, 3, 4, 5 ] - [ 1, 2, 4 ] -> [3, 5] +p11081 +tp11082 +a(g826 +V\u000a\u000a\u000a +p11083 +tp11084 +a(g8 +V# @@PLEAC@@_4.8 +p11085 +tp11086 +a(g826 +V\u000a +p11087 +tp11088 +a(g435 +Vunion +p11089 +tp11090 +a(g826 +g998 +tp11091 +a(g412 +g1000 +tp11092 +a(g826 +g998 +tp11093 +a(g435 +g1144 +tp11094 +a(g826 +g998 +tp11095 +a(g412 +g2250 +tp11096 +a(g826 +g998 +tp11097 +a(g435 +g1728 +tp11098 +a(g826 +V\u000a +p11099 +tp11100 +a(g435 +Vintersection +p11101 +tp11102 +a(g826 +g998 +tp11103 +a(g412 +g1000 +tp11104 +a(g826 +g998 +tp11105 +a(g435 +g1144 +tp11106 +a(g826 +g998 +tp11107 +a(g412 +g2460 +tp11108 +a(g826 +g998 +tp11109 +a(g435 +g1728 +tp11110 +a(g826 +V\u000a +p11111 +tp11112 +a(g435 +Vdifference +p11113 +tp11114 +a(g826 +g998 +tp11115 +a(g412 +g1000 +tp11116 +a(g826 +g998 +tp11117 +a(g435 +g1144 +tp11118 +a(g826 +g998 +tp11119 +a(g412 +g1199 +tp11120 +a(g826 +g998 +tp11121 +a(g435 +g1728 +tp11122 +a(g826 +V\u000a\u000a\u000a +p11123 +tp11124 +a(g8 +V# @@PLEAC@@_4.9 +p11125 +tp11126 +a(g826 +V\u000a +p11127 +tp11128 +a(g435 +Varray1 +p11129 +tp11130 +a(g412 +g1297 +tp11131 +a(g435 +Vconcat +p11132 +tp11133 +a(g705 +g1301 +tp11134 +a(g435 +Varray2 +p11135 +tp11136 +a(g705 +g1119 +tp11137 +a(g826 +V\u000a +p11138 +tp11139 +a(g8 +V# if you will assign to another object, better use: +p11140 +tp11141 +a(g826 +V\u000a +p11142 +tp11143 +a(g435 +Vnew_ary +p11144 +tp11145 +a(g826 +g998 +tp11146 +a(g412 +g1000 +tp11147 +a(g826 +g998 +tp11148 +a(g435 +Varray1 +p11149 +tp11150 +a(g826 +g998 +tp11151 +a(g412 +g1838 +tp11152 +a(g826 +g998 +tp11153 +a(g435 +Varray2 +p11154 +tp11155 +a(g826 +V\u000a\u000a +p11156 +tp11157 +a(g435 +Vmembers +p11158 +tp11159 +a(g826 +g998 +tp11160 +a(g412 +g1000 +tp11161 +a(g826 +g998 +tp11162 +a(g412 +g1176 +tp11163 +a(g826 +g998 +tp11164 +a(g157 +g1029 +tp11165 +a(g157 +VTime +p11166 +tp11167 +a(g157 +g1029 +tp11168 +a(g705 +g1180 +tp11169 +a(g826 +g998 +tp11170 +a(g157 +g1029 +tp11171 +a(g157 +VFlies +p11172 +tp11173 +a(g157 +g1029 +tp11174 +a(g826 +g998 +tp11175 +a(g412 +g1085 +tp11176 +a(g826 +V\u000a +p11177 +tp11178 +a(g435 +Vinitiates +p11179 +tp11180 +a(g826 +g998 +tp11181 +a(g412 +g1000 +tp11182 +a(g826 +V +p11183 +tp11184 +a(g412 +g1176 +tp11185 +a(g826 +g998 +tp11186 +a(g157 +g1029 +tp11187 +a(g157 +VAn +p11188 +tp11189 +a(g157 +g1029 +tp11190 +a(g705 +g1180 +tp11191 +a(g826 +g998 +tp11192 +a(g157 +g1029 +tp11193 +a(g157 +VArrow +p11194 +tp11195 +a(g157 +g1029 +tp11196 +a(g826 +g998 +tp11197 +a(g412 +g1085 +tp11198 +a(g826 +V\u000a +p11199 +tp11200 +a(g435 +Vmembers +p11201 +tp11202 +a(g826 +g998 +tp11203 +a(g412 +V+= +p11204 +tp11205 +a(g826 +g998 +tp11206 +a(g435 +Vinitiates +p11207 +tp11208 +a(g826 +V\u000a\u000a +p11209 +tp11210 +a(g435 +Vmembers +p11211 +tp11212 +a(g826 +g998 +tp11213 +a(g412 +g1000 +tp11214 +a(g826 +g998 +tp11215 +a(g412 +g1176 +tp11216 +a(g826 +g998 +tp11217 +a(g157 +g1029 +tp11218 +a(g157 +VTime +p11219 +tp11220 +a(g157 +g1029 +tp11221 +a(g705 +g1180 +tp11222 +a(g826 +g998 +tp11223 +a(g157 +g1029 +tp11224 +a(g157 +VFlies +p11225 +tp11226 +a(g157 +g1029 +tp11227 +a(g826 +g998 +tp11228 +a(g412 +g1085 +tp11229 +a(g826 +V\u000a +p11230 +tp11231 +a(g435 +Vinitiates +p11232 +tp11233 +a(g826 +g998 +tp11234 +a(g412 +g1000 +tp11235 +a(g826 +g998 +tp11236 +a(g412 +g1176 +tp11237 +a(g826 +g998 +tp11238 +a(g157 +g1029 +tp11239 +a(g157 +VAn +p11240 +tp11241 +a(g157 +g1029 +tp11242 +a(g705 +g1180 +tp11243 +a(g826 +g998 +tp11244 +a(g157 +g1029 +tp11245 +a(g157 +VArrow +p11246 +tp11247 +a(g157 +g1029 +tp11248 +a(g826 +g998 +tp11249 +a(g412 +g1085 +tp11250 +a(g826 +V\u000a +p11251 +tp11252 +a(g435 +Vmembers +p11253 +tp11254 +a(g412 +g1176 +tp11255 +a(g37 +g1425 +tp11256 +a(g705 +g1180 +tp11257 +a(g37 +g1401 +tp11258 +a(g412 +g1085 +tp11259 +a(g826 +g998 +tp11260 +a(g412 +g1000 +tp11261 +a(g826 +g998 +tp11262 +a(g412 +g1176 +tp11263 +a(g826 +g998 +tp11264 +a(g157 +g1029 +tp11265 +a(g157 +VLike +p11266 +tp11267 +a(g157 +g1029 +tp11268 +a(g705 +g1180 +tp11269 +a(g826 +g998 +tp11270 +a(g435 +Vinitiates +p11271 +tp11272 +a(g826 +g998 +tp11273 +a(g412 +g1085 +tp11274 +a(g412 +g1297 +tp11275 +a(g435 +Vflatten +p11276 +tp11277 +a(g826 +V\u000a\u000a +p11278 +tp11279 +a(g435 +Vmembers +p11280 +tp11281 +a(g412 +g1176 +tp11282 +a(g37 +g1401 +tp11283 +a(g412 +g1085 +tp11284 +a(g826 +g998 +tp11285 +a(g412 +g1000 +tp11286 +a(g826 +g998 +tp11287 +a(g157 +g1029 +tp11288 +a(g157 +VFruit +p11289 +tp11290 +a(g157 +g1029 +tp11291 +a(g826 +V\u000a +p11292 +tp11293 +a(g435 +Vmembers +p11294 +tp11295 +a(g412 +g1176 +tp11296 +a(g37 +g1514 +tp11297 +a(g705 +g1180 +tp11298 +a(g37 +g1425 +tp11299 +a(g412 +g1085 +tp11300 +a(g826 +g998 +tp11301 +a(g412 +g1000 +tp11302 +a(g826 +g998 +tp11303 +a(g157 +g1029 +tp11304 +a(g157 +g1834 +tp11305 +a(g157 +g1029 +tp11306 +a(g705 +g1180 +tp11307 +a(g826 +g998 +tp11308 +a(g157 +g1029 +tp11309 +a(g157 +VBanana +p11310 +tp11311 +a(g157 +g1029 +tp11312 +a(g826 +V\u000a\u000a\u000a +p11313 +tp11314 +a(g8 +V# @@PLEAC@@_4.10 +p11315 +tp11316 +a(g826 +V\u000a +p11317 +tp11318 +a(g435 +Vreversed +p11319 +tp11320 +a(g826 +g998 +tp11321 +a(g412 +g1000 +tp11322 +a(g826 +g998 +tp11323 +a(g435 +Vary +p11324 +tp11325 +a(g412 +g1297 +tp11326 +a(g435 +Vreverse +p11327 +tp11328 +a(g826 +V\u000a\u000a +p11329 +tp11330 +a(g435 +Vary +p11331 +tp11332 +a(g412 +g1297 +tp11333 +a(g435 +Vreverse_each +p11334 +tp11335 +a(g826 +g998 +tp11336 +a(g705 +g2247 +tp11337 +a(g826 +g998 +tp11338 +a(g412 +g2250 +tp11339 +a(g435 +g2822 +tp11340 +a(g412 +g2250 +tp11341 +a(g826 +V\u000a +p11342 +tp11343 +a(g8 +V# do something with e +p11344 +tp11345 +a(g826 +V\u000a +p11346 +tp11347 +a(g705 +g1102 +tp11348 +a(g826 +V\u000a\u000a +p11349 +tp11350 +a(g435 +Vdescending +p11351 +tp11352 +a(g826 +g998 +tp11353 +a(g412 +g1000 +tp11354 +a(g826 +g998 +tp11355 +a(g435 +Vary +p11356 +tp11357 +a(g412 +g1297 +tp11358 +a(g435 +Vsort +p11359 +tp11360 +a(g412 +g1297 +tp11361 +a(g435 +Vreverse +p11362 +tp11363 +a(g826 +V\u000a +p11364 +tp11365 +a(g435 +Vdescending +p11366 +tp11367 +a(g826 +g998 +tp11368 +a(g412 +g1000 +tp11369 +a(g826 +g998 +tp11370 +a(g435 +Vary +p11371 +tp11372 +a(g412 +g1297 +tp11373 +a(g435 +Vsort +p11374 +tp11375 +a(g826 +g998 +tp11376 +a(g705 +g2247 +tp11377 +a(g826 +g998 +tp11378 +a(g412 +g2250 +tp11379 +a(g435 +g1144 +tp11380 +a(g705 +g1180 +tp11381 +a(g435 +g1728 +tp11382 +a(g412 +g2250 +tp11383 +a(g826 +g998 +tp11384 +a(g435 +g1728 +tp11385 +a(g826 +g998 +tp11386 +a(g412 +g7011 +tp11387 +a(g412 +g1000 +tp11388 +a(g412 +g1136 +tp11389 +a(g826 +g998 +tp11390 +a(g435 +g1144 +tp11391 +a(g826 +g998 +tp11392 +a(g705 +g1102 +tp11393 +a(g826 +V\u000a\u000a\u000a +p11394 +tp11395 +a(g8 +V# @@PLEAC@@_4.11 +p11396 +tp11397 +a(g826 +V\u000a +p11398 +tp11399 +a(g8 +V# remove n elements from front of ary (shift n) +p11400 +tp11401 +a(g826 +V\u000a +p11402 +tp11403 +a(g435 +Vfront +p11404 +tp11405 +a(g826 +g998 +tp11406 +a(g412 +g1000 +tp11407 +a(g826 +g998 +tp11408 +a(g435 +Vary +p11409 +tp11410 +a(g412 +g1297 +tp11411 +a(g435 +Vslice! +p11412 +tp11413 +a(g705 +g1301 +tp11414 +a(g37 +g1401 +tp11415 +a(g705 +g1180 +tp11416 +a(g826 +g998 +tp11417 +a(g435 +g2884 +tp11418 +a(g705 +g1119 +tp11419 +a(g826 +V\u000a\u000a +p11420 +tp11421 +a(g8 +V# remove n elements from the end of ary (pop n) +p11422 +tp11423 +a(g826 +V\u000a +p11424 +tp11425 +a(g435 +Vend_ +p11426 +tp11427 +a(g826 +g998 +tp11428 +a(g412 +g1000 +tp11429 +a(g826 +g998 +tp11430 +a(g435 +Vary +p11431 +tp11432 +a(g412 +g1297 +tp11433 +a(g435 +Vslice! +p11434 +tp11435 +a(g705 +g1301 +tp11436 +a(g412 +g1199 +tp11437 +a(g435 +g2884 +tp11438 +a(g826 +g998 +tp11439 +a(g412 +V.. +p11440 +tp11441 +a(g826 +g998 +tp11442 +a(g412 +g1199 +tp11443 +a(g37 +g1201 +tp11444 +a(g705 +g1119 +tp11445 +a(g826 +V\u000a\u000a +p11446 +tp11447 +a(g8 +V# let's extend the Array class, to make that useful +p11448 +tp11449 +a(g826 +V\u000a +p11450 +tp11451 +a(g744 +Vclass +p11452 +tp11453 +a(g826 +g998 +tp11454 +a(g628 +VArray +p11455 +tp11456 +a(g826 +V\u000a +p11457 +tp11458 +a(g744 +Vdef +p11459 +tp11460 +a(g826 +g998 +tp11461 +a(g573 +Vshift2 +p11462 +tp11463 +a(g705 +g1301 +tp11464 +a(g705 +g1119 +tp11465 +a(g826 +V\u000a +p11466 +tp11467 +a(g435 +Vslice! +p11468 +tp11469 +a(g705 +g1301 +tp11470 +a(g37 +g1401 +tp11471 +a(g826 +g998 +tp11472 +a(g412 +V.. +p11473 +tp11474 +a(g826 +g998 +tp11475 +a(g37 +g1201 +tp11476 +a(g705 +g1119 +tp11477 +a(g826 +V +p11478 +tp11479 +a(g8 +V# more symetric with pop2... +p11480 +tp11481 +a(g826 +V\u000a +p11482 +tp11483 +a(g744 +Vend +p11484 +tp11485 +a(g826 +V\u000a +p11486 +tp11487 +a(g744 +Vdef +p11488 +tp11489 +a(g826 +g998 +tp11490 +a(g573 +Vpop2 +p11491 +tp11492 +a(g705 +g1301 +tp11493 +a(g705 +g1119 +tp11494 +a(g826 +V\u000a +p11495 +tp11496 +a(g435 +Vslice! +p11497 +tp11498 +a(g705 +g1301 +tp11499 +a(g412 +g1199 +tp11500 +a(g37 +g1425 +tp11501 +a(g826 +g998 +tp11502 +a(g412 +V.. +p11503 +tp11504 +a(g826 +g998 +tp11505 +a(g412 +g1199 +tp11506 +a(g37 +g1201 +tp11507 +a(g705 +g1119 +tp11508 +a(g826 +V\u000a +p11509 +tp11510 +a(g744 +Vend +p11511 +tp11512 +a(g826 +V\u000a +p11513 +tp11514 +a(g744 +Vend +p11515 +tp11516 +a(g826 +V\u000a\u000a +p11517 +tp11518 +a(g435 +Vfriends +p11519 +tp11520 +a(g826 +g998 +tp11521 +a(g412 +g1000 +tp11522 +a(g826 +g998 +tp11523 +a(g236 +V%w( +p11524 +tp11525 +a(g236 +VPeter Paul Mary Jim Tim +p11526 +tp11527 +a(g236 +g1119 +tp11528 +a(g826 +V\u000a +p11529 +tp11530 +a(g435 +Vthis +p11531 +tp11532 +a(g705 +g1180 +tp11533 +a(g826 +g998 +tp11534 +a(g435 +Vthat +p11535 +tp11536 +a(g826 +g998 +tp11537 +a(g412 +g1000 +tp11538 +a(g826 +g998 +tp11539 +a(g435 +Vfriends +p11540 +tp11541 +a(g412 +g1297 +tp11542 +a(g435 +Vshift2 +p11543 +tp11544 +a(g826 +V\u000a\u000a +p11545 +tp11546 +a(g435 +Vbeverages +p11547 +tp11548 +a(g826 +g998 +tp11549 +a(g412 +g1000 +tp11550 +a(g826 +g998 +tp11551 +a(g236 +V%w( +p11552 +tp11553 +a(g236 +VDew Jolt Cola Sprite Fresca +p11554 +tp11555 +a(g236 +g1119 +tp11556 +a(g826 +V\u000a +p11557 +tp11558 +a(g435 +Vpair +p11559 +tp11560 +a(g826 +g998 +tp11561 +a(g412 +g1000 +tp11562 +a(g826 +g998 +tp11563 +a(g435 +Vbeverages +p11564 +tp11565 +a(g412 +g1297 +tp11566 +a(g435 +Vpop2 +p11567 +tp11568 +a(g826 +V\u000a\u000a\u000a +p11569 +tp11570 +a(g8 +V# @@PLEAC@@_4.12 +p11571 +tp11572 +a(g826 +V\u000a +p11573 +tp11574 +a(g8 +V# use Enumerable#detect (or the synonym Enumerable#find) +p11575 +tp11576 +a(g826 +V\u000a +p11577 +tp11578 +a(g435 +Vhighest_eng +p11579 +tp11580 +a(g826 +g998 +tp11581 +a(g412 +g1000 +tp11582 +a(g826 +g998 +tp11583 +a(g435 +Vemployees +p11584 +tp11585 +a(g412 +g1297 +tp11586 +a(g435 +Vdetect +p11587 +tp11588 +a(g826 +g998 +tp11589 +a(g705 +g2247 +tp11590 +a(g826 +g998 +tp11591 +a(g412 +g2250 +tp11592 +a(g435 +Vemp +p11593 +tp11594 +a(g412 +g2250 +tp11595 +a(g826 +g998 +tp11596 +a(g435 +Vemp +p11597 +tp11598 +a(g412 +g1297 +tp11599 +a(g435 +Vcategory +p11600 +tp11601 +a(g826 +g998 +tp11602 +a(g412 +V== +p11603 +tp11604 +a(g826 +g998 +tp11605 +a(g229 +V'engineer' +p11606 +tp11607 +a(g826 +g998 +tp11608 +a(g705 +g1102 +tp11609 +a(g826 +V\u000a\u000a\u000a +p11610 +tp11611 +a(g8 +V# @@PLEAC@@_4.13 +p11612 +tp11613 +a(g826 +V\u000a +p11614 +tp11615 +a(g8 +V# use Enumerable#select (or the synonym Enumerable#find_all) +p11616 +tp11617 +a(g826 +V\u000a +p11618 +tp11619 +a(g435 +Vbigs +p11620 +tp11621 +a(g826 +g998 +tp11622 +a(g412 +g1000 +tp11623 +a(g826 +g998 +tp11624 +a(g435 +Vnums +p11625 +tp11626 +a(g412 +g1297 +tp11627 +a(g435 +Vselect +p11628 +tp11629 +a(g826 +g998 +tp11630 +a(g705 +g2247 +tp11631 +a(g826 +g998 +tp11632 +a(g412 +g2250 +tp11633 +a(g435 +g2252 +tp11634 +a(g412 +g2250 +tp11635 +a(g826 +g998 +tp11636 +a(g435 +g2252 +tp11637 +a(g826 +g998 +tp11638 +a(g412 +g1136 +tp11639 +a(g826 +g998 +tp11640 +a(g37 +V1_000_000 +p11641 +tp11642 +a(g826 +g998 +tp11643 +a(g705 +g1102 +tp11644 +a(g826 +V\u000a +p11645 +tp11646 +a(g435 +Vpigs +p11647 +tp11648 +a(g826 +g998 +tp11649 +a(g412 +g1000 +tp11650 +a(g826 +g998 +tp11651 +a(g435 +Vusers +p11652 +tp11653 +a(g412 +g1297 +tp11654 +a(g435 +Vkeys +p11655 +tp11656 +a(g412 +g1297 +tp11657 +a(g435 +Vselect +p11658 +tp11659 +a(g826 +g998 +tp11660 +a(g705 +g2247 +tp11661 +a(g826 +g998 +tp11662 +a(g412 +g2250 +tp11663 +a(g435 +Vk +p11664 +tp11665 +a(g412 +g2250 +tp11666 +a(g826 +g998 +tp11667 +a(g435 +Vusers +p11668 +tp11669 +a(g412 +g1176 +tp11670 +a(g435 +g11664 +tp11671 +a(g412 +g1085 +tp11672 +a(g826 +g998 +tp11673 +a(g412 +g1136 +tp11674 +a(g826 +g998 +tp11675 +a(g37 +g1201 +tp11676 +a(g435 +Ve7 +p11677 +tp11678 +a(g826 +g998 +tp11679 +a(g705 +g1102 +tp11680 +a(g826 +V\u000a\u000a +p11681 +tp11682 +a(g435 +Vmatching +p11683 +tp11684 +a(g826 +g998 +tp11685 +a(g412 +g1000 +tp11686 +a(g826 +g998 +tp11687 +a(g101 +g9662 +tp11688 +a(g101 +Vwho +p11689 +tp11690 +a(g101 +g9662 +tp11691 +a(g412 +g1297 +tp11692 +a(g435 +Vselect +p11693 +tp11694 +a(g826 +g998 +tp11695 +a(g705 +g2247 +tp11696 +a(g826 +g998 +tp11697 +a(g412 +g2250 +tp11698 +a(g435 +Vu +p11699 +tp11700 +a(g412 +g2250 +tp11701 +a(g826 +g998 +tp11702 +a(g435 +g11699 +tp11703 +a(g826 +g998 +tp11704 +a(g412 +V=~ +p11705 +tp11706 +a(g826 +g998 +tp11707 +a(g197 +g1335 +tp11708 +a(g197 +V^gnat +p11709 +tp11710 +a(g197 +g1335 +tp11711 +a(g826 +g998 +tp11712 +a(g705 +g1102 +tp11713 +a(g826 +V\u000a\u000a +p11714 +tp11715 +a(g435 +Vengineers +p11716 +tp11717 +a(g826 +g998 +tp11718 +a(g412 +g1000 +tp11719 +a(g826 +g998 +tp11720 +a(g435 +Vemployees +p11721 +tp11722 +a(g412 +g1297 +tp11723 +a(g435 +Vselect +p11724 +tp11725 +a(g826 +g998 +tp11726 +a(g705 +g2247 +tp11727 +a(g826 +g998 +tp11728 +a(g412 +g2250 +tp11729 +a(g435 +g2822 +tp11730 +a(g412 +g2250 +tp11731 +a(g826 +g998 +tp11732 +a(g435 +g2822 +tp11733 +a(g412 +g1297 +tp11734 +a(g435 +Vposition +p11735 +tp11736 +a(g826 +g998 +tp11737 +a(g412 +V== +p11738 +tp11739 +a(g826 +g998 +tp11740 +a(g229 +V'Engineer' +p11741 +tp11742 +a(g826 +g998 +tp11743 +a(g705 +g1102 +tp11744 +a(g826 +V\u000a\u000a +p11745 +tp11746 +a(g435 +Vsecondary_assistance +p11747 +tp11748 +a(g826 +g998 +tp11749 +a(g412 +g1000 +tp11750 +a(g826 +g998 +tp11751 +a(g435 +Vapplicants +p11752 +tp11753 +a(g412 +g1297 +tp11754 +a(g435 +Vselect +p11755 +tp11756 +a(g826 +g998 +tp11757 +a(g705 +g2247 +tp11758 +a(g826 +g998 +tp11759 +a(g412 +g2250 +tp11760 +a(g435 +g1144 +tp11761 +a(g412 +g2250 +tp11762 +a(g826 +V\u000a +p11763 +tp11764 +a(g435 +g1144 +tp11765 +a(g412 +g1297 +tp11766 +a(g435 +Vincome +p11767 +tp11768 +a(g826 +g998 +tp11769 +a(g412 +g1136 +tp11770 +a(g412 +g1000 +tp11771 +a(g826 +g998 +tp11772 +a(g37 +V26_000 +p11773 +tp11774 +a(g826 +g998 +tp11775 +a(g412 +V&& +p11776 +tp11777 +a(g826 +g998 +tp11778 +a(g435 +g1144 +tp11779 +a(g412 +g1297 +tp11780 +a(g435 +Vincome +p11781 +tp11782 +a(g826 +g998 +tp11783 +a(g412 +g7011 +tp11784 +a(g826 +g998 +tp11785 +a(g37 +V30_000 +p11786 +tp11787 +a(g826 +V\u000a +p11788 +tp11789 +a(g705 +g1102 +tp11790 +a(g826 +V\u000a\u000a\u000a +p11791 +tp11792 +a(g8 +V# @@PLEAC@@_4.14 +p11793 +tp11794 +a(g826 +V\u000a +p11795 +tp11796 +a(g8 +V# normally you would have an array of Numeric (Float or +p11797 +tp11798 +a(g826 +V\u000a +p11799 +tp11800 +a(g8 +V# Fixnum or Bignum), so you would use: +p11801 +tp11802 +a(g826 +V\u000a +p11803 +tp11804 +a(g435 +Vsorted +p11805 +tp11806 +a(g826 +g998 +tp11807 +a(g412 +g1000 +tp11808 +a(g826 +g998 +tp11809 +a(g435 +Vunsorted +p11810 +tp11811 +a(g412 +g1297 +tp11812 +a(g435 +Vsort +p11813 +tp11814 +a(g826 +V\u000a +p11815 +tp11816 +a(g8 +V# if you have strings representing Integers or Floats +p11817 +tp11818 +a(g826 +V\u000a +p11819 +tp11820 +a(g8 +V# you may specify another sort method: +p11821 +tp11822 +a(g826 +V\u000a +p11823 +tp11824 +a(g435 +Vsorted +p11825 +tp11826 +a(g826 +g998 +tp11827 +a(g412 +g1000 +tp11828 +a(g826 +g998 +tp11829 +a(g435 +Vunsorted +p11830 +tp11831 +a(g412 +g1297 +tp11832 +a(g435 +Vsort +p11833 +tp11834 +a(g826 +g998 +tp11835 +a(g705 +g2247 +tp11836 +a(g826 +g998 +tp11837 +a(g412 +g2250 +tp11838 +a(g435 +g1144 +tp11839 +a(g705 +g1180 +tp11840 +a(g435 +g1728 +tp11841 +a(g412 +g2250 +tp11842 +a(g826 +g998 +tp11843 +a(g435 +g1144 +tp11844 +a(g412 +g1297 +tp11845 +a(g435 +Vto_f +p11846 +tp11847 +a(g826 +g998 +tp11848 +a(g412 +g7011 +tp11849 +a(g412 +g1000 +tp11850 +a(g412 +g1136 +tp11851 +a(g826 +g998 +tp11852 +a(g435 +g1728 +tp11853 +a(g412 +g1297 +tp11854 +a(g435 +Vto_f +p11855 +tp11856 +a(g826 +g998 +tp11857 +a(g705 +g1102 +tp11858 +a(g826 +V\u000a\u000a +p11859 +tp11860 +a(g8 +V# let's use the list of my own PID's +p11861 +tp11862 +a(g826 +V\u000a +p11863 +tp11864 +a(g101 +g9662 +tp11865 +a(g101 +Vps ux +p11866 +tp11867 +a(g101 +g9662 +tp11868 +a(g412 +g1297 +tp11869 +a(g435 +Vsplit +p11870 +tp11871 +a(g705 +g1301 +tp11872 +a(g157 +g1029 +tp11873 +a(g213 +V\u005cn +p11874 +tp11875 +a(g157 +g1029 +tp11876 +a(g705 +g1119 +tp11877 +a(g412 +g1176 +tp11878 +a(g37 +g1201 +tp11879 +a(g412 +V.. +p11880 +tp11881 +a(g412 +g1199 +tp11882 +a(g37 +g1201 +tp11883 +a(g412 +g1085 +tp11884 +a(g412 +g1297 +tp11885 +a(g826 +V\u000a +p11886 +tp11887 +a(g681 +Vselect +p11888 +tp11889 +a(g826 +g998 +tp11890 +a(g705 +g2247 +tp11891 +a(g826 +g998 +tp11892 +a(g412 +g2250 +tp11893 +a(g435 +g2252 +tp11894 +a(g412 +g2250 +tp11895 +a(g826 +g998 +tp11896 +a(g435 +g2252 +tp11897 +a(g826 +g998 +tp11898 +a(g412 +V=~ +p11899 +tp11900 +a(g826 +g998 +tp11901 +a(g197 +g1335 +tp11902 +a(g197 +g2935 +tp11903 +a(g125 +V#{ +p11904 +tp11905 +a(g565 +VENV +p11906 +tp11907 +a(g412 +g1176 +tp11908 +a(g229 +V'USER' +p11909 +tp11910 +a(g412 +g1085 +tp11911 +a(g125 +g1102 +tp11912 +a(g197 +g1335 +tp11913 +a(g826 +g998 +tp11914 +a(g705 +g1102 +tp11915 +a(g412 +g1297 +tp11916 +a(g826 +V\u000a +p11917 +tp11918 +a(g435 +Vcollect +p11919 +tp11920 +a(g826 +g998 +tp11921 +a(g705 +g2247 +tp11922 +a(g826 +g998 +tp11923 +a(g412 +g2250 +tp11924 +a(g435 +g2252 +tp11925 +a(g412 +g2250 +tp11926 +a(g826 +g998 +tp11927 +a(g435 +g2252 +tp11928 +a(g412 +g1297 +tp11929 +a(g435 +Vsplit +p11930 +tp11931 +a(g412 +g1176 +tp11932 +a(g37 +g1201 +tp11933 +a(g412 +g1085 +tp11934 +a(g826 +g998 +tp11935 +a(g705 +g1102 +tp11936 +a(g412 +g1297 +tp11937 +a(g826 +V\u000a +p11938 +tp11939 +a(g435 +Vsort +p11940 +tp11941 +a(g826 +g998 +tp11942 +a(g705 +g2247 +tp11943 +a(g826 +g998 +tp11944 +a(g412 +g2250 +tp11945 +a(g435 +g1144 +tp11946 +a(g705 +g1180 +tp11947 +a(g435 +g1728 +tp11948 +a(g412 +g2250 +tp11949 +a(g826 +g998 +tp11950 +a(g435 +g1144 +tp11951 +a(g412 +g1297 +tp11952 +a(g435 +Vto_i +p11953 +tp11954 +a(g826 +g998 +tp11955 +a(g412 +g7011 +tp11956 +a(g412 +g1000 +tp11957 +a(g412 +g1136 +tp11958 +a(g826 +g998 +tp11959 +a(g435 +g1728 +tp11960 +a(g412 +g1297 +tp11961 +a(g435 +Vto_i +p11962 +tp11963 +a(g826 +g998 +tp11964 +a(g705 +g1102 +tp11965 +a(g412 +g1297 +tp11966 +a(g435 +Veach +p11967 +tp11968 +a(g826 +g998 +tp11969 +a(g705 +g2247 +tp11970 +a(g826 +g998 +tp11971 +a(g412 +g2250 +tp11972 +a(g435 +g2252 +tp11973 +a(g412 +g2250 +tp11974 +a(g826 +g998 +tp11975 +a(g681 +Vputs +p11976 +tp11977 +a(g826 +g998 +tp11978 +a(g435 +g2252 +tp11979 +a(g826 +g998 +tp11980 +a(g705 +g1102 +tp11981 +a(g826 +V\u000a +p11982 +tp11983 +a(g681 +Vputs +p11984 +tp11985 +a(g826 +g998 +tp11986 +a(g157 +g1029 +tp11987 +a(g157 +VSelect a process ID to kill: +p11988 +tp11989 +a(g157 +g1029 +tp11990 +a(g826 +V\u000a +p11991 +tp11992 +a(g435 +Vpid +p11993 +tp11994 +a(g826 +g998 +tp11995 +a(g412 +g1000 +tp11996 +a(g826 +g998 +tp11997 +a(g681 +Vgets +p11998 +tp11999 +a(g412 +g1297 +tp12000 +a(g435 +Vchomp +p12001 +tp12002 +a(g826 +V\u000a +p12003 +tp12004 +a(g744 +Vraise +p12005 +tp12006 +a(g826 +g998 +tp12007 +a(g157 +g1029 +tp12008 +a(g157 +VExiting ... +p12009 +tp12010 +a(g213 +V\u005cn +p12011 +tp12012 +a(g157 +g1029 +tp12013 +a(g826 +g998 +tp12014 +a(g744 +Vunless +p12015 +tp12016 +a(g826 +g998 +tp12017 +a(g435 +Vpid +p12018 +tp12019 +a(g826 +g998 +tp12020 +a(g412 +V&& +p12021 +tp12022 +a(g826 +g998 +tp12023 +a(g435 +Vpid +p12024 +tp12025 +a(g826 +g998 +tp12026 +a(g412 +V=~ +p12027 +tp12028 +a(g826 +g998 +tp12029 +a(g197 +g1335 +tp12030 +a(g197 +g2935 +tp12031 +a(g197 +V\u005c +p12032 +tp12033 +a(g197 +Vd+$ +p12034 +tp12035 +a(g197 +g1335 +tp12036 +a(g826 +V\u000a +p12037 +tp12038 +a(g565 +VProcess +p12039 +tp12040 +a(g412 +g1297 +tp12041 +a(g435 +Vkill +p12042 +tp12043 +a(g705 +g1301 +tp12044 +a(g229 +V'TERM' +p12045 +tp12046 +a(g705 +g1180 +tp12047 +a(g826 +g998 +tp12048 +a(g435 +Vpid +p12049 +tp12050 +a(g412 +g1297 +tp12051 +a(g435 +Vto_i +p12052 +tp12053 +a(g705 +g1119 +tp12054 +a(g826 +V\u000a +p12055 +tp12056 +a(g681 +Vsleep +p12057 +tp12058 +a(g826 +g998 +tp12059 +a(g37 +g1425 +tp12060 +a(g826 +V\u000a +p12061 +tp12062 +a(g565 +VProcess +p12063 +tp12064 +a(g412 +g1297 +tp12065 +a(g435 +Vkill +p12066 +tp12067 +a(g705 +g1301 +tp12068 +a(g229 +V'KILL' +p12069 +tp12070 +a(g705 +g1180 +tp12071 +a(g826 +g998 +tp12072 +a(g435 +Vpid +p12073 +tp12074 +a(g412 +g1297 +tp12075 +a(g435 +Vto_i +p12076 +tp12077 +a(g705 +g1119 +tp12078 +a(g826 +V\u000a\u000a +p12079 +tp12080 +a(g435 +Vdescending +p12081 +tp12082 +a(g826 +g998 +tp12083 +a(g412 +g1000 +tp12084 +a(g826 +g998 +tp12085 +a(g435 +Vunsorted +p12086 +tp12087 +a(g412 +g1297 +tp12088 +a(g435 +Vsort +p12089 +tp12090 +a(g826 +g998 +tp12091 +a(g705 +g2247 +tp12092 +a(g826 +g998 +tp12093 +a(g412 +g2250 +tp12094 +a(g435 +g1144 +tp12095 +a(g705 +g1180 +tp12096 +a(g435 +g1728 +tp12097 +a(g412 +g2250 +tp12098 +a(g826 +g998 +tp12099 +a(g435 +g1728 +tp12100 +a(g412 +g1297 +tp12101 +a(g435 +Vto_f +p12102 +tp12103 +a(g826 +g998 +tp12104 +a(g412 +g7011 +tp12105 +a(g412 +g1000 +tp12106 +a(g412 +g1136 +tp12107 +a(g826 +g998 +tp12108 +a(g435 +g1144 +tp12109 +a(g412 +g1297 +tp12110 +a(g435 +Vto_f +p12111 +tp12112 +a(g826 +g998 +tp12113 +a(g705 +g1102 +tp12114 +a(g826 +V\u000a\u000a\u000a +p12115 +tp12116 +a(g8 +V# @@PLEAC@@_4.15 +p12117 +tp12118 +a(g826 +V\u000a +p12119 +tp12120 +a(g435 +Vordered +p12121 +tp12122 +a(g826 +g998 +tp12123 +a(g412 +g1000 +tp12124 +a(g826 +g998 +tp12125 +a(g435 +Vunordered +p12126 +tp12127 +a(g412 +g1297 +tp12128 +a(g435 +Vsort +p12129 +tp12130 +a(g826 +g998 +tp12131 +a(g705 +g2247 +tp12132 +a(g826 +g998 +tp12133 +a(g412 +g2250 +tp12134 +a(g435 +g1144 +tp12135 +a(g705 +g1180 +tp12136 +a(g435 +g1728 +tp12137 +a(g412 +g2250 +tp12138 +a(g826 +g998 +tp12139 +a(g435 +Vcompare +p12140 +tp12141 +a(g705 +g1301 +tp12142 +a(g435 +g1144 +tp12143 +a(g705 +g1180 +tp12144 +a(g435 +g1728 +tp12145 +a(g705 +g1119 +tp12146 +a(g826 +g998 +tp12147 +a(g705 +g1102 +tp12148 +a(g826 +V\u000a\u000a +p12149 +tp12150 +a(g435 +Vprecomputed +p12151 +tp12152 +a(g826 +g998 +tp12153 +a(g412 +g1000 +tp12154 +a(g826 +g998 +tp12155 +a(g435 +Vunordered +p12156 +tp12157 +a(g412 +g1297 +tp12158 +a(g435 +Vcollect +p12159 +tp12160 +a(g826 +g998 +tp12161 +a(g705 +g2247 +tp12162 +a(g826 +g998 +tp12163 +a(g412 +g2250 +tp12164 +a(g435 +g2822 +tp12165 +a(g412 +g2250 +tp12166 +a(g826 +g998 +tp12167 +a(g412 +g1176 +tp12168 +a(g435 +Vcompute +p12169 +tp12170 +a(g705 +g1180 +tp12171 +a(g826 +g998 +tp12172 +a(g435 +g2822 +tp12173 +a(g412 +g1085 +tp12174 +a(g826 +g998 +tp12175 +a(g705 +g1102 +tp12176 +a(g826 +V\u000a +p12177 +tp12178 +a(g435 +Vordered_precomputed +p12179 +tp12180 +a(g826 +g998 +tp12181 +a(g412 +g1000 +tp12182 +a(g826 +g998 +tp12183 +a(g435 +Vprecomputed +p12184 +tp12185 +a(g412 +g1297 +tp12186 +a(g435 +Vsort +p12187 +tp12188 +a(g826 +g998 +tp12189 +a(g705 +g2247 +tp12190 +a(g826 +g998 +tp12191 +a(g412 +g2250 +tp12192 +a(g435 +g1144 +tp12193 +a(g705 +g1180 +tp12194 +a(g435 +g1728 +tp12195 +a(g412 +g2250 +tp12196 +a(g826 +g998 +tp12197 +a(g435 +g1144 +tp12198 +a(g412 +g1176 +tp12199 +a(g37 +g1401 +tp12200 +a(g412 +g1085 +tp12201 +a(g826 +g998 +tp12202 +a(g412 +g7011 +tp12203 +a(g412 +g1000 +tp12204 +a(g412 +g1136 +tp12205 +a(g826 +g998 +tp12206 +a(g435 +g1728 +tp12207 +a(g412 +g1176 +tp12208 +a(g37 +g1401 +tp12209 +a(g412 +g1085 +tp12210 +a(g826 +g998 +tp12211 +a(g705 +g1102 +tp12212 +a(g826 +V\u000a +p12213 +tp12214 +a(g435 +Vordered +p12215 +tp12216 +a(g826 +g998 +tp12217 +a(g412 +g1000 +tp12218 +a(g826 +g998 +tp12219 +a(g435 +Vordered_precomputed +p12220 +tp12221 +a(g412 +g1297 +tp12222 +a(g435 +Vcollect +p12223 +tp12224 +a(g826 +g998 +tp12225 +a(g705 +g2247 +tp12226 +a(g826 +g998 +tp12227 +a(g412 +g2250 +tp12228 +a(g435 +g2822 +tp12229 +a(g412 +g2250 +tp12230 +a(g826 +g998 +tp12231 +a(g435 +g2822 +tp12232 +a(g412 +g1176 +tp12233 +a(g37 +g1201 +tp12234 +a(g412 +g1085 +tp12235 +a(g826 +g998 +tp12236 +a(g705 +g1102 +tp12237 +a(g826 +V\u000a\u000a +p12238 +tp12239 +a(g435 +Vordered +p12240 +tp12241 +a(g826 +g998 +tp12242 +a(g412 +g1000 +tp12243 +a(g826 +g998 +tp12244 +a(g435 +Vunordered +p12245 +tp12246 +a(g412 +g1297 +tp12247 +a(g435 +Vcollect +p12248 +tp12249 +a(g826 +g998 +tp12250 +a(g705 +g2247 +tp12251 +a(g826 +g998 +tp12252 +a(g412 +g2250 +tp12253 +a(g435 +g2822 +tp12254 +a(g412 +g2250 +tp12255 +a(g826 +g998 +tp12256 +a(g412 +g1176 +tp12257 +a(g435 +Vcompute +p12258 +tp12259 +a(g705 +g1180 +tp12260 +a(g826 +g998 +tp12261 +a(g435 +g2822 +tp12262 +a(g412 +g1085 +tp12263 +a(g826 +g998 +tp12264 +a(g705 +g1102 +tp12265 +a(g412 +g1297 +tp12266 +a(g826 +V\u000a +p12267 +tp12268 +a(g435 +Vsort +p12269 +tp12270 +a(g826 +g998 +tp12271 +a(g705 +g2247 +tp12272 +a(g826 +g998 +tp12273 +a(g412 +g2250 +tp12274 +a(g435 +g1144 +tp12275 +a(g705 +g1180 +tp12276 +a(g435 +g1728 +tp12277 +a(g412 +g2250 +tp12278 +a(g826 +g998 +tp12279 +a(g435 +g1144 +tp12280 +a(g412 +g1176 +tp12281 +a(g37 +g1401 +tp12282 +a(g412 +g1085 +tp12283 +a(g826 +g998 +tp12284 +a(g412 +g7011 +tp12285 +a(g412 +g1000 +tp12286 +a(g412 +g1136 +tp12287 +a(g826 +g998 +tp12288 +a(g435 +g1728 +tp12289 +a(g412 +g1176 +tp12290 +a(g37 +g1401 +tp12291 +a(g412 +g1085 +tp12292 +a(g826 +g998 +tp12293 +a(g705 +g1102 +tp12294 +a(g412 +g1297 +tp12295 +a(g826 +V\u000a +p12296 +tp12297 +a(g435 +Vcollect +p12298 +tp12299 +a(g826 +g998 +tp12300 +a(g705 +g2247 +tp12301 +a(g826 +g998 +tp12302 +a(g412 +g2250 +tp12303 +a(g435 +g2822 +tp12304 +a(g412 +g2250 +tp12305 +a(g826 +g998 +tp12306 +a(g435 +g2822 +tp12307 +a(g412 +g1176 +tp12308 +a(g37 +g1201 +tp12309 +a(g412 +g1085 +tp12310 +a(g826 +g998 +tp12311 +a(g705 +g1102 +tp12312 +a(g826 +V\u000a\u000a +p12313 +tp12314 +a(g744 +Vfor +p12315 +tp12316 +a(g826 +g998 +tp12317 +a(g435 +Vemployee +p12318 +tp12319 +a(g826 +g998 +tp12320 +a(g744 +Vin +p12321 +tp12322 +a(g826 +g998 +tp12323 +a(g435 +Vemployees +p12324 +tp12325 +a(g412 +g1297 +tp12326 +a(g435 +Vsort +p12327 +tp12328 +a(g826 +g998 +tp12329 +a(g705 +g2247 +tp12330 +a(g826 +g998 +tp12331 +a(g412 +g2250 +tp12332 +a(g435 +g1144 +tp12333 +a(g705 +g1180 +tp12334 +a(g435 +g1728 +tp12335 +a(g412 +g2250 +tp12336 +a(g826 +g998 +tp12337 +a(g435 +g1144 +tp12338 +a(g412 +g1297 +tp12339 +a(g435 +Vname +p12340 +tp12341 +a(g826 +g998 +tp12342 +a(g412 +g7011 +tp12343 +a(g412 +g1000 +tp12344 +a(g412 +g1136 +tp12345 +a(g826 +g998 +tp12346 +a(g435 +g1728 +tp12347 +a(g412 +g1297 +tp12348 +a(g435 +Vname +p12349 +tp12350 +a(g826 +g998 +tp12351 +a(g705 +g1102 +tp12352 +a(g826 +V\u000a +p12353 +tp12354 +a(g681 +Vprint +p12355 +tp12356 +a(g826 +g998 +tp12357 +a(g435 +Vemployee +p12358 +tp12359 +a(g412 +g1297 +tp12360 +a(g435 +Vname +p12361 +tp12362 +a(g705 +g1180 +tp12363 +a(g826 +g998 +tp12364 +a(g157 +g1029 +tp12365 +a(g157 +V earns +p12366 +tp12367 +a(g157 +V\u005c +p12368 +tp12369 +a(g157 +V$ +p12370 +tp12371 +a(g157 +g1029 +tp12372 +a(g705 +g1180 +tp12373 +a(g826 +g998 +tp12374 +a(g435 +Vemployee +p12375 +tp12376 +a(g412 +g1297 +tp12377 +a(g435 +Vsalary +p12378 +tp12379 +a(g705 +g1180 +tp12380 +a(g826 +g998 +tp12381 +a(g157 +g1029 +tp12382 +a(g213 +V\u005cn +p12383 +tp12384 +a(g157 +g1029 +tp12385 +a(g826 +V\u000a +p12386 +tp12387 +a(g744 +Vend +p12388 +tp12389 +a(g826 +V\u000a\u000a +p12390 +tp12391 +a(g8 +V# Beware! `0' is true in Ruby. +p12392 +tp12393 +a(g826 +V\u000a +p12394 +tp12395 +a(g8 +V# For chaining comparisons, you may use Numeric#nonzero?, which +p12396 +tp12397 +a(g826 +V\u000a +p12398 +tp12399 +a(g8 +V# returns num if num is not zero, nil otherwise +p12400 +tp12401 +a(g826 +V\u000a +p12402 +tp12403 +a(g435 +Vsorted +p12404 +tp12405 +a(g826 +g998 +tp12406 +a(g412 +g1000 +tp12407 +a(g826 +g998 +tp12408 +a(g435 +Vemployees +p12409 +tp12410 +a(g412 +g1297 +tp12411 +a(g435 +Vsort +p12412 +tp12413 +a(g826 +g998 +tp12414 +a(g705 +g2247 +tp12415 +a(g826 +g998 +tp12416 +a(g412 +g2250 +tp12417 +a(g435 +g1144 +tp12418 +a(g705 +g1180 +tp12419 +a(g435 +g1728 +tp12420 +a(g412 +g2250 +tp12421 +a(g826 +g998 +tp12422 +a(g705 +g1301 +tp12423 +a(g435 +g1144 +tp12424 +a(g412 +g1297 +tp12425 +a(g435 +Vname +p12426 +tp12427 +a(g826 +g998 +tp12428 +a(g412 +g7011 +tp12429 +a(g412 +g1000 +tp12430 +a(g412 +g1136 +tp12431 +a(g826 +g998 +tp12432 +a(g435 +g1728 +tp12433 +a(g412 +g1297 +tp12434 +a(g435 +Vname +p12435 +tp12436 +a(g705 +g1119 +tp12437 +a(g412 +g1297 +tp12438 +a(g435 +Vnonzero? +p12439 +tp12440 +a(g826 +g998 +tp12441 +a(g412 +V|| +p12442 +tp12443 +a(g826 +g998 +tp12444 +a(g435 +g1728 +tp12445 +a(g412 +g1297 +tp12446 +a(g435 +Vage +p12447 +tp12448 +a(g826 +g998 +tp12449 +a(g412 +g7011 +tp12450 +a(g412 +g1000 +tp12451 +a(g412 +g1136 +tp12452 +a(g826 +g998 +tp12453 +a(g435 +g1144 +tp12454 +a(g412 +g1297 +tp12455 +a(g435 +Vage +p12456 +tp12457 +a(g826 +g998 +tp12458 +a(g705 +g1102 +tp12459 +a(g826 +V\u000a\u000a +p12460 +tp12461 +a(g435 +Vusers +p12462 +tp12463 +a(g826 +g998 +tp12464 +a(g412 +g1000 +tp12465 +a(g826 +g998 +tp12466 +a(g412 +g1176 +tp12467 +a(g412 +g1085 +tp12468 +a(g826 +V\u000a +p12469 +tp12470 +a(g8 +V# getpwent is not wrapped in Ruby... let's fallback +p12471 +tp12472 +a(g826 +V\u000a +p12473 +tp12474 +a(g565 +VIO +p12475 +tp12476 +a(g412 +g1297 +tp12477 +a(g435 +Vreadlines +p12478 +tp12479 +a(g705 +g1301 +tp12480 +a(g229 +V'/etc/passwd' +p12481 +tp12482 +a(g705 +g1119 +tp12483 +a(g412 +g1297 +tp12484 +a(g435 +Veach +p12485 +tp12486 +a(g826 +g998 +tp12487 +a(g705 +g2247 +tp12488 +a(g826 +g998 +tp12489 +a(g412 +g2250 +tp12490 +a(g435 +g11699 +tp12491 +a(g412 +g2250 +tp12492 +a(g826 +g998 +tp12493 +a(g435 +Vusers +p12494 +tp12495 +a(g826 +g998 +tp12496 +a(g412 +V<< +p12497 +tp12498 +a(g826 +g998 +tp12499 +a(g435 +g11699 +tp12500 +a(g412 +g1297 +tp12501 +a(g435 +Vsplit +p12502 +tp12503 +a(g705 +g1301 +tp12504 +a(g229 +V':' +p12505 +tp12506 +a(g705 +g1119 +tp12507 +a(g826 +g998 +tp12508 +a(g705 +g1102 +tp12509 +a(g826 +V\u000a +p12510 +tp12511 +a(g435 +Vusers +p12512 +tp12513 +a(g412 +g1297 +tp12514 +a(g435 +Vsort! +p12515 +tp12516 +a(g826 +g998 +tp12517 +a(g705 +g2247 +tp12518 +a(g826 +g998 +tp12519 +a(g412 +g2250 +tp12520 +a(g435 +g1144 +tp12521 +a(g705 +g1180 +tp12522 +a(g435 +g1728 +tp12523 +a(g412 +g2250 +tp12524 +a(g826 +g998 +tp12525 +a(g435 +g1144 +tp12526 +a(g412 +g1176 +tp12527 +a(g37 +g1401 +tp12528 +a(g412 +g1085 +tp12529 +a(g826 +g998 +tp12530 +a(g412 +g7011 +tp12531 +a(g412 +g1000 +tp12532 +a(g412 +g1136 +tp12533 +a(g826 +g998 +tp12534 +a(g435 +g1728 +tp12535 +a(g412 +g1176 +tp12536 +a(g37 +g1401 +tp12537 +a(g412 +g1085 +tp12538 +a(g826 +g998 +tp12539 +a(g705 +g1102 +tp12540 +a(g826 +V\u000a +p12541 +tp12542 +a(g744 +Vfor +p12543 +tp12544 +a(g826 +g998 +tp12545 +a(g435 +Vuser +p12546 +tp12547 +a(g826 +g998 +tp12548 +a(g744 +Vin +p12549 +tp12550 +a(g826 +g998 +tp12551 +a(g435 +Vusers +p12552 +tp12553 +a(g826 +V\u000a +p12554 +tp12555 +a(g681 +Vputs +p12556 +tp12557 +a(g826 +g998 +tp12558 +a(g435 +Vuser +p12559 +tp12560 +a(g412 +g1176 +tp12561 +a(g37 +g1401 +tp12562 +a(g412 +g1085 +tp12563 +a(g826 +V\u000a +p12564 +tp12565 +a(g744 +Vend +p12566 +tp12567 +a(g826 +V\u000a\u000a +p12568 +tp12569 +a(g435 +Vsorted +p12570 +tp12571 +a(g826 +g998 +tp12572 +a(g412 +g1000 +tp12573 +a(g826 +g998 +tp12574 +a(g435 +Vnames +p12575 +tp12576 +a(g412 +g1297 +tp12577 +a(g435 +Vsort +p12578 +tp12579 +a(g826 +g998 +tp12580 +a(g705 +g2247 +tp12581 +a(g826 +g998 +tp12582 +a(g412 +g2250 +tp12583 +a(g435 +g1144 +tp12584 +a(g705 +g1180 +tp12585 +a(g435 +g1728 +tp12586 +a(g412 +g2250 +tp12587 +a(g826 +g998 +tp12588 +a(g435 +g1144 +tp12589 +a(g412 +g1176 +tp12590 +a(g37 +g1201 +tp12591 +a(g705 +g1180 +tp12592 +a(g826 +g998 +tp12593 +a(g37 +g1201 +tp12594 +a(g412 +g1085 +tp12595 +a(g826 +g998 +tp12596 +a(g412 +g7011 +tp12597 +a(g412 +g1000 +tp12598 +a(g412 +g1136 +tp12599 +a(g826 +g998 +tp12600 +a(g435 +g1728 +tp12601 +a(g412 +g1176 +tp12602 +a(g37 +g1201 +tp12603 +a(g705 +g1180 +tp12604 +a(g826 +g998 +tp12605 +a(g37 +g1201 +tp12606 +a(g412 +g1085 +tp12607 +a(g826 +g998 +tp12608 +a(g705 +g1102 +tp12609 +a(g826 +V\u000a +p12610 +tp12611 +a(g435 +Vsorted +p12612 +tp12613 +a(g826 +g998 +tp12614 +a(g412 +g1000 +tp12615 +a(g826 +g998 +tp12616 +a(g435 +Vstrings +p12617 +tp12618 +a(g412 +g1297 +tp12619 +a(g435 +Vsort +p12620 +tp12621 +a(g826 +g998 +tp12622 +a(g705 +g2247 +tp12623 +a(g826 +g998 +tp12624 +a(g412 +g2250 +tp12625 +a(g435 +g1144 +tp12626 +a(g705 +g1180 +tp12627 +a(g435 +g1728 +tp12628 +a(g412 +g2250 +tp12629 +a(g826 +g998 +tp12630 +a(g435 +g1144 +tp12631 +a(g412 +g1297 +tp12632 +a(g435 +Vlength +p12633 +tp12634 +a(g826 +g998 +tp12635 +a(g412 +g7011 +tp12636 +a(g412 +g1000 +tp12637 +a(g412 +g1136 +tp12638 +a(g826 +g998 +tp12639 +a(g435 +g1728 +tp12640 +a(g412 +g1297 +tp12641 +a(g435 +Vlength +p12642 +tp12643 +a(g826 +g998 +tp12644 +a(g705 +g1102 +tp12645 +a(g826 +V\u000a\u000a +p12646 +tp12647 +a(g8 +V# let's show only the compact version +p12648 +tp12649 +a(g826 +V\u000a +p12650 +tp12651 +a(g435 +Vordered +p12652 +tp12653 +a(g826 +g998 +tp12654 +a(g412 +g1000 +tp12655 +a(g826 +g998 +tp12656 +a(g435 +Vstrings +p12657 +tp12658 +a(g412 +g1297 +tp12659 +a(g435 +Vcollect +p12660 +tp12661 +a(g826 +g998 +tp12662 +a(g705 +g2247 +tp12663 +a(g826 +g998 +tp12664 +a(g412 +g2250 +tp12665 +a(g435 +g2822 +tp12666 +a(g412 +g2250 +tp12667 +a(g826 +g998 +tp12668 +a(g412 +g1176 +tp12669 +a(g435 +g2822 +tp12670 +a(g412 +g1297 +tp12671 +a(g435 +Vlength +p12672 +tp12673 +a(g705 +g1180 +tp12674 +a(g826 +g998 +tp12675 +a(g435 +g2822 +tp12676 +a(g412 +g1085 +tp12677 +a(g826 +g998 +tp12678 +a(g705 +g1102 +tp12679 +a(g412 +g1297 +tp12680 +a(g826 +V\u000a +p12681 +tp12682 +a(g435 +Vsort +p12683 +tp12684 +a(g826 +g998 +tp12685 +a(g705 +g2247 +tp12686 +a(g826 +g998 +tp12687 +a(g412 +g2250 +tp12688 +a(g435 +g1144 +tp12689 +a(g705 +g1180 +tp12690 +a(g435 +g1728 +tp12691 +a(g412 +g2250 +tp12692 +a(g826 +g998 +tp12693 +a(g435 +g1144 +tp12694 +a(g412 +g1176 +tp12695 +a(g37 +g1401 +tp12696 +a(g412 +g1085 +tp12697 +a(g826 +g998 +tp12698 +a(g412 +g7011 +tp12699 +a(g412 +g1000 +tp12700 +a(g412 +g1136 +tp12701 +a(g826 +g998 +tp12702 +a(g435 +g1728 +tp12703 +a(g412 +g1176 +tp12704 +a(g37 +g1401 +tp12705 +a(g412 +g1085 +tp12706 +a(g826 +g998 +tp12707 +a(g705 +g1102 +tp12708 +a(g412 +g1297 +tp12709 +a(g826 +V\u000a +p12710 +tp12711 +a(g435 +Vcollect +p12712 +tp12713 +a(g826 +g998 +tp12714 +a(g705 +g2247 +tp12715 +a(g826 +g998 +tp12716 +a(g412 +g2250 +tp12717 +a(g435 +g2822 +tp12718 +a(g412 +g2250 +tp12719 +a(g826 +g998 +tp12720 +a(g435 +g2822 +tp12721 +a(g412 +g1176 +tp12722 +a(g37 +g1201 +tp12723 +a(g412 +g1085 +tp12724 +a(g826 +g998 +tp12725 +a(g705 +g1102 +tp12726 +a(g826 +V\u000a\u000a +p12727 +tp12728 +a(g435 +Vordered +p12729 +tp12730 +a(g826 +g998 +tp12731 +a(g412 +g1000 +tp12732 +a(g826 +g998 +tp12733 +a(g435 +Vstrings +p12734 +tp12735 +a(g412 +g1297 +tp12736 +a(g435 +Vcollect +p12737 +tp12738 +a(g826 +g998 +tp12739 +a(g705 +g2247 +tp12740 +a(g826 +g998 +tp12741 +a(g412 +g2250 +tp12742 +a(g435 +g2822 +tp12743 +a(g412 +g2250 +tp12744 +a(g826 +g998 +tp12745 +a(g412 +g1176 +tp12746 +a(g197 +g1335 +tp12747 +a(g197 +V\u005c +p12748 +tp12749 +a(g197 +Vd+ +p12750 +tp12751 +a(g197 +g1335 +tp12752 +a(g412 +g1297 +tp12753 +a(g435 +Vmatch +p12754 +tp12755 +a(g705 +g1301 +tp12756 +a(g435 +g2822 +tp12757 +a(g705 +g1119 +tp12758 +a(g412 +g1176 +tp12759 +a(g37 +g1401 +tp12760 +a(g412 +g1085 +tp12761 +a(g412 +g1297 +tp12762 +a(g435 +Vto_i +p12763 +tp12764 +a(g705 +g1180 +tp12765 +a(g826 +g998 +tp12766 +a(g435 +g2822 +tp12767 +a(g412 +g1085 +tp12768 +a(g826 +g998 +tp12769 +a(g705 +g1102 +tp12770 +a(g412 +g1297 +tp12771 +a(g826 +V\u000a +p12772 +tp12773 +a(g435 +Vsort +p12774 +tp12775 +a(g826 +g998 +tp12776 +a(g705 +g2247 +tp12777 +a(g826 +g998 +tp12778 +a(g412 +g2250 +tp12779 +a(g435 +g1144 +tp12780 +a(g705 +g1180 +tp12781 +a(g435 +g1728 +tp12782 +a(g412 +g2250 +tp12783 +a(g826 +g998 +tp12784 +a(g435 +g1144 +tp12785 +a(g412 +g1176 +tp12786 +a(g37 +g1401 +tp12787 +a(g412 +g1085 +tp12788 +a(g826 +g998 +tp12789 +a(g412 +g7011 +tp12790 +a(g412 +g1000 +tp12791 +a(g412 +g1136 +tp12792 +a(g826 +g998 +tp12793 +a(g435 +g1728 +tp12794 +a(g412 +g1176 +tp12795 +a(g37 +g1401 +tp12796 +a(g412 +g1085 +tp12797 +a(g826 +g998 +tp12798 +a(g705 +g1102 +tp12799 +a(g412 +g1297 +tp12800 +a(g826 +V\u000a +p12801 +tp12802 +a(g435 +Vcollect +p12803 +tp12804 +a(g826 +g998 +tp12805 +a(g705 +g2247 +tp12806 +a(g826 +g998 +tp12807 +a(g412 +g2250 +tp12808 +a(g435 +g2822 +tp12809 +a(g412 +g2250 +tp12810 +a(g826 +g998 +tp12811 +a(g435 +g2822 +tp12812 +a(g412 +g1176 +tp12813 +a(g37 +g1201 +tp12814 +a(g412 +g1085 +tp12815 +a(g826 +g998 +tp12816 +a(g705 +g1102 +tp12817 +a(g826 +V\u000a\u000a +p12818 +tp12819 +a(g681 +Vprint +p12820 +tp12821 +a(g826 +g998 +tp12822 +a(g101 +g9662 +tp12823 +a(g101 +Vcat /etc/passwd +p12824 +tp12825 +a(g101 +g9662 +tp12826 +a(g412 +g1297 +tp12827 +a(g435 +Vcollect +p12828 +tp12829 +a(g826 +g998 +tp12830 +a(g705 +g2247 +tp12831 +a(g826 +g998 +tp12832 +a(g412 +g2250 +tp12833 +a(g435 +g2822 +tp12834 +a(g412 +g2250 +tp12835 +a(g826 +g998 +tp12836 +a(g412 +g1176 +tp12837 +a(g435 +g2822 +tp12838 +a(g705 +g1180 +tp12839 +a(g826 +g998 +tp12840 +a(g435 +g2822 +tp12841 +a(g412 +g1297 +tp12842 +a(g435 +Vsplit +p12843 +tp12844 +a(g705 +g1301 +tp12845 +a(g229 +V':' +p12846 +tp12847 +a(g705 +g1119 +tp12848 +a(g412 +g1297 +tp12849 +a(g435 +Vindexes +p12850 +tp12851 +a(g705 +g1301 +tp12852 +a(g37 +g1514 +tp12853 +a(g705 +g1180 +tp12854 +a(g37 +g1425 +tp12855 +a(g705 +g1180 +tp12856 +a(g37 +g1401 +tp12857 +a(g705 +g1119 +tp12858 +a(g412 +g1085 +tp12859 +a(g412 +g1297 +tp12860 +a(g435 +Vflatten +p12861 +tp12862 +a(g826 +g998 +tp12863 +a(g705 +g1102 +tp12864 +a(g412 +g1297 +tp12865 +a(g826 +V\u000a +p12866 +tp12867 +a(g435 +Vsort +p12868 +tp12869 +a(g826 +g998 +tp12870 +a(g705 +g2247 +tp12871 +a(g826 +g998 +tp12872 +a(g412 +g2250 +tp12873 +a(g435 +g1144 +tp12874 +a(g705 +g1180 +tp12875 +a(g435 +g1728 +tp12876 +a(g412 +g2250 +tp12877 +a(g826 +g998 +tp12878 +a(g705 +g1301 +tp12879 +a(g435 +g1144 +tp12880 +a(g412 +g1176 +tp12881 +a(g37 +g1201 +tp12882 +a(g412 +g1085 +tp12883 +a(g826 +g998 +tp12884 +a(g412 +g7011 +tp12885 +a(g412 +g1000 +tp12886 +a(g412 +g1136 +tp12887 +a(g826 +g998 +tp12888 +a(g435 +g1728 +tp12889 +a(g412 +g1176 +tp12890 +a(g37 +g1201 +tp12891 +a(g412 +g1085 +tp12892 +a(g705 +g1119 +tp12893 +a(g412 +g1297 +tp12894 +a(g435 +Vnonzero? +p12895 +tp12896 +a(g826 +g998 +tp12897 +a(g412 +V|| +p12898 +tp12899 +a(g826 +g998 +tp12900 +a(g705 +g1301 +tp12901 +a(g435 +g1144 +tp12902 +a(g412 +g1176 +tp12903 +a(g37 +g1425 +tp12904 +a(g412 +g1085 +tp12905 +a(g826 +g998 +tp12906 +a(g412 +g7011 +tp12907 +a(g412 +g1000 +tp12908 +a(g412 +g1136 +tp12909 +a(g826 +g998 +tp12910 +a(g435 +g1728 +tp12911 +a(g412 +g1176 +tp12912 +a(g37 +g1425 +tp12913 +a(g412 +g1085 +tp12914 +a(g705 +g1119 +tp12915 +a(g412 +g1297 +tp12916 +a(g435 +Vnonzero? +p12917 +tp12918 +a(g826 +g998 +tp12919 +a(g412 +V|| +p12920 +tp12921 +a(g826 +g998 +tp12922 +a(g435 +g1144 +tp12923 +a(g412 +g1176 +tp12924 +a(g37 +g1514 +tp12925 +a(g412 +g1085 +tp12926 +a(g826 +g998 +tp12927 +a(g412 +g7011 +tp12928 +a(g412 +g1000 +tp12929 +a(g412 +g1136 +tp12930 +a(g826 +g998 +tp12931 +a(g435 +g1728 +tp12932 +a(g412 +g1176 +tp12933 +a(g37 +g1514 +tp12934 +a(g412 +g1085 +tp12935 +a(g826 +g998 +tp12936 +a(g705 +g1102 +tp12937 +a(g412 +g1297 +tp12938 +a(g826 +V\u000a +p12939 +tp12940 +a(g435 +Vcollect +p12941 +tp12942 +a(g826 +g998 +tp12943 +a(g705 +g2247 +tp12944 +a(g826 +g998 +tp12945 +a(g412 +g2250 +tp12946 +a(g435 +g2822 +tp12947 +a(g412 +g2250 +tp12948 +a(g826 +g998 +tp12949 +a(g435 +g2822 +tp12950 +a(g412 +g1176 +tp12951 +a(g37 +g1401 +tp12952 +a(g412 +g1085 +tp12953 +a(g826 +g998 +tp12954 +a(g705 +g1102 +tp12955 +a(g826 +V\u000a\u000a\u000a +p12956 +tp12957 +a(g8 +V# @@PLEAC@@_4.16 +p12958 +tp12959 +a(g826 +V\u000a +p12960 +tp12961 +a(g435 +Vcircular +p12962 +tp12963 +a(g412 +g1297 +tp12964 +a(g435 +Vunshift +p12965 +tp12966 +a(g705 +g1301 +tp12967 +a(g435 +Vcircular +p12968 +tp12969 +a(g412 +g1297 +tp12970 +a(g435 +Vpop +p12971 +tp12972 +a(g705 +g1119 +tp12973 +a(g826 +V +p12974 +tp12975 +a(g8 +V# the last shall be first +p12976 +tp12977 +a(g826 +V\u000a +p12978 +tp12979 +a(g435 +Vcircular +p12980 +tp12981 +a(g412 +g1297 +tp12982 +a(g435 +Vpush +p12983 +tp12984 +a(g705 +g1301 +tp12985 +a(g435 +Vcircular +p12986 +tp12987 +a(g412 +g1297 +tp12988 +a(g435 +Vshift +p12989 +tp12990 +a(g705 +g1119 +tp12991 +a(g826 +V +p12992 +tp12993 +a(g8 +V# and vice versa +p12994 +tp12995 +a(g826 +V\u000a\u000a +p12996 +tp12997 +a(g744 +Vdef +p12998 +tp12999 +a(g826 +g998 +tp13000 +a(g573 +Vgrab_and_rotate +p13001 +tp13002 +a(g705 +g1301 +tp13003 +a(g435 +g3035 +tp13004 +a(g705 +g1119 +tp13005 +a(g826 +V\u000a +p13006 +tp13007 +a(g435 +g3035 +tp13008 +a(g412 +g1297 +tp13009 +a(g435 +Vpush +p13010 +tp13011 +a(g705 +g1301 +tp13012 +a(g435 +Vret +p13013 +tp13014 +a(g826 +g998 +tp13015 +a(g412 +g1000 +tp13016 +a(g826 +g998 +tp13017 +a(g435 +g3035 +tp13018 +a(g412 +g1297 +tp13019 +a(g435 +Vshift +p13020 +tp13021 +a(g705 +g1119 +tp13022 +a(g826 +V\u000a +p13023 +tp13024 +a(g435 +Vret +p13025 +tp13026 +a(g826 +V\u000a +p13027 +tp13028 +a(g744 +Vend +p13029 +tp13030 +a(g826 +V\u000a\u000a +p13031 +tp13032 +a(g435 +Vprocesses +p13033 +tp13034 +a(g826 +g998 +tp13035 +a(g412 +g1000 +tp13036 +a(g826 +g998 +tp13037 +a(g412 +g1176 +tp13038 +a(g37 +g1201 +tp13039 +a(g705 +g1180 +tp13040 +a(g826 +g998 +tp13041 +a(g37 +g1425 +tp13042 +a(g705 +g1180 +tp13043 +a(g826 +g998 +tp13044 +a(g37 +g1514 +tp13045 +a(g705 +g1180 +tp13046 +a(g826 +g998 +tp13047 +a(g37 +g1487 +tp13048 +a(g705 +g1180 +tp13049 +a(g826 +g998 +tp13050 +a(g37 +g1337 +tp13051 +a(g412 +g1085 +tp13052 +a(g826 +V\u000a +p13053 +tp13054 +a(g744 +Vwhile +p13055 +tp13056 +a(g826 +g998 +tp13057 +a(g705 +g1301 +tp13058 +a(g37 +g1201 +tp13059 +a(g705 +g1119 +tp13060 +a(g826 +V\u000a +p13061 +tp13062 +a(g435 +Vprocess +p13063 +tp13064 +a(g826 +g998 +tp13065 +a(g412 +g1000 +tp13066 +a(g826 +g998 +tp13067 +a(g435 +Vgrab_and_rotate +p13068 +tp13069 +a(g705 +g1301 +tp13070 +a(g435 +Vprocesses +p13071 +tp13072 +a(g705 +g1119 +tp13073 +a(g826 +V\u000a +p13074 +tp13075 +a(g681 +Vputs +p13076 +tp13077 +a(g826 +g998 +tp13078 +a(g157 +g1029 +tp13079 +a(g157 +VHandling process +p13080 +tp13081 +a(g125 +V#{ +p13082 +tp13083 +a(g435 +Vprocess +p13084 +tp13085 +a(g125 +g1102 +tp13086 +a(g157 +g1029 +tp13087 +a(g826 +V\u000a +p13088 +tp13089 +a(g681 +Vsleep +p13090 +tp13091 +a(g826 +g998 +tp13092 +a(g37 +g1201 +tp13093 +a(g826 +V\u000a +p13094 +tp13095 +a(g744 +Vend +p13096 +tp13097 +a(g826 +V\u000a\u000a\u000a +p13098 +tp13099 +a(g8 +V# @@PLEAC@@_4.17 +p13100 +tp13101 +a(g826 +V\u000a +p13102 +tp13103 +a(g744 +Vdef +p13104 +tp13105 +a(g826 +g998 +tp13106 +a(g573 +Vfisher_yates_shuffle +p13107 +tp13108 +a(g705 +g1301 +tp13109 +a(g435 +g1144 +tp13110 +a(g705 +g1119 +tp13111 +a(g826 +V\u000a +p13112 +tp13113 +a(g705 +g1301 +tp13114 +a(g435 +g1144 +tp13115 +a(g412 +g1297 +tp13116 +a(g435 +Vsize +p13117 +tp13118 +a(g412 +g1199 +tp13119 +a(g37 +g1201 +tp13120 +a(g705 +g1119 +tp13121 +a(g412 +g1297 +tp13122 +a(g435 +Vdownto +p13123 +tp13124 +a(g705 +g1301 +tp13125 +a(g37 +g1201 +tp13126 +a(g705 +g1119 +tp13127 +a(g826 +g998 +tp13128 +a(g705 +g2247 +tp13129 +a(g826 +g998 +tp13130 +a(g412 +g2250 +tp13131 +a(g435 +g2252 +tp13132 +a(g412 +g2250 +tp13133 +a(g826 +V\u000a +p13134 +tp13135 +a(g435 +g4100 +tp13136 +a(g826 +g998 +tp13137 +a(g412 +g1000 +tp13138 +a(g826 +g998 +tp13139 +a(g681 +Vrand +p13140 +tp13141 +a(g705 +g1301 +tp13142 +a(g435 +g2252 +tp13143 +a(g412 +g1838 +tp13144 +a(g37 +g1201 +tp13145 +a(g705 +g1119 +tp13146 +a(g826 +V\u000a +p13147 +tp13148 +a(g435 +g1144 +tp13149 +a(g412 +g1176 +tp13150 +a(g435 +g2252 +tp13151 +a(g412 +g1085 +tp13152 +a(g705 +g1180 +tp13153 +a(g826 +g998 +tp13154 +a(g435 +g1144 +tp13155 +a(g412 +g1176 +tp13156 +a(g435 +g4100 +tp13157 +a(g412 +g1085 +tp13158 +a(g826 +g998 +tp13159 +a(g412 +g1000 +tp13160 +a(g826 +g998 +tp13161 +a(g435 +g1144 +tp13162 +a(g412 +g1176 +tp13163 +a(g435 +g4100 +tp13164 +a(g412 +g1085 +tp13165 +a(g705 +g1180 +tp13166 +a(g826 +g998 +tp13167 +a(g435 +g1144 +tp13168 +a(g412 +g1176 +tp13169 +a(g435 +g2252 +tp13170 +a(g412 +g1085 +tp13171 +a(g826 +g998 +tp13172 +a(g744 +Vif +p13173 +tp13174 +a(g826 +g998 +tp13175 +a(g435 +g2252 +tp13176 +a(g826 +g998 +tp13177 +a(g412 +V!= +p13178 +tp13179 +a(g826 +g998 +tp13180 +a(g435 +g4100 +tp13181 +a(g826 +V\u000a +p13182 +tp13183 +a(g705 +g1102 +tp13184 +a(g826 +V\u000a +p13185 +tp13186 +a(g744 +Vend +p13187 +tp13188 +a(g826 +V\u000a\u000a +p13189 +tp13190 +a(g744 +Vdef +p13191 +tp13192 +a(g826 +g998 +tp13193 +a(g573 +Vnaive_shuffle +p13194 +tp13195 +a(g705 +g1301 +tp13196 +a(g435 +g1144 +tp13197 +a(g705 +g1119 +tp13198 +a(g826 +V\u000a +p13199 +tp13200 +a(g744 +Vfor +p13201 +tp13202 +a(g826 +g998 +tp13203 +a(g435 +g2252 +tp13204 +a(g826 +g998 +tp13205 +a(g744 +Vin +p13206 +tp13207 +a(g826 +g998 +tp13208 +a(g37 +g1401 +tp13209 +a(g412 +V... +p13210 +tp13211 +a(g435 +g1144 +tp13212 +a(g412 +g1297 +tp13213 +a(g435 +Vsize +p13214 +tp13215 +a(g826 +V\u000a +p13216 +tp13217 +a(g435 +g4100 +tp13218 +a(g826 +g998 +tp13219 +a(g412 +g1000 +tp13220 +a(g826 +g998 +tp13221 +a(g681 +Vrand +p13222 +tp13223 +a(g705 +g1301 +tp13224 +a(g435 +g1144 +tp13225 +a(g412 +g1297 +tp13226 +a(g435 +Vsize +p13227 +tp13228 +a(g705 +g1119 +tp13229 +a(g826 +V\u000a +p13230 +tp13231 +a(g435 +g1144 +tp13232 +a(g412 +g1176 +tp13233 +a(g435 +g2252 +tp13234 +a(g412 +g1085 +tp13235 +a(g705 +g1180 +tp13236 +a(g826 +g998 +tp13237 +a(g435 +g1144 +tp13238 +a(g412 +g1176 +tp13239 +a(g435 +g4100 +tp13240 +a(g412 +g1085 +tp13241 +a(g826 +g998 +tp13242 +a(g412 +g1000 +tp13243 +a(g826 +g998 +tp13244 +a(g435 +g1144 +tp13245 +a(g412 +g1176 +tp13246 +a(g435 +g4100 +tp13247 +a(g412 +g1085 +tp13248 +a(g705 +g1180 +tp13249 +a(g826 +g998 +tp13250 +a(g435 +g1144 +tp13251 +a(g412 +g1176 +tp13252 +a(g435 +g2252 +tp13253 +a(g412 +g1085 +tp13254 +a(g826 +V\u000a +p13255 +tp13256 +a(g744 +Vend +p13257 +tp13258 +a(g826 +V\u000a +p13259 +tp13260 +a(g744 +Vend +p13261 +tp13262 +a(g826 +V\u000a +p13263 +tp13264 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/postgresql_test.txt b/tests/examplefiles/output/postgresql_test.txt new file mode 100644 index 0000000..47b20d6 --- /dev/null +++ b/tests/examplefiles/output/postgresql_test.txt @@ -0,0 +1,3817 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVCREATE +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g7 +VOR +p960 +tp961 +a(g826 +g958 +tp962 +a(g7 +VREPLACE +p963 +tp964 +a(g826 +g958 +tp965 +a(g7 +VFUNCTION +p966 +tp967 +a(g826 +g958 +tp968 +a(g423 +Vsomething +p969 +tp970 +a(g693 +V( +p971 +tp972 +a(g693 +V) +p973 +tp974 +a(g826 +g958 +tp975 +a(g7 +VRETURNS +p976 +tp977 +a(g826 +g958 +tp978 +a(g669 +Vint4 +p979 +tp980 +a(g826 +g958 +tp981 +a(g7 +VAS +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g76 +V$ +p986 +tp987 +a(g120 +Vx +p988 +tp989 +a(g76 +g986 +tp990 +a(g826 +V\u000a +p991 +tp992 +a(g7 +VBEGIN +p993 +tp994 +a(g826 +V\u000a +p995 +tp996 +a(g7 +VRETURN +p997 +tp998 +a(g826 +g958 +tp999 +a(g302 +V42 +p1000 +tp1001 +a(g693 +V; +p1002 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g7 +VEND +p1006 +tp1007 +a(g826 +V\u000a +p1008 +tp1009 +a(g76 +g986 +tp1010 +a(g120 +g988 +tp1011 +a(g76 +g986 +tp1012 +a(g826 +V\u000a +p1013 +tp1014 +a(g7 +VLANGUAGE +p1015 +tp1016 +a(g826 +g958 +tp1017 +a(g217 +V' +p1018 +tp1019 +a(g217 +Vplpgsql +p1020 +tp1021 +a(g217 +g1018 +tp1022 +a(g693 +g1002 +tp1023 +a(g826 +V\u000a\u000a +p1024 +tp1025 +a(g7 +VCREATE +p1026 +tp1027 +a(g826 +g958 +tp1028 +a(g7 +VFUNCTION +p1029 +tp1030 +a(g826 +g958 +tp1031 +a(g423 +Vpymax +p1032 +tp1033 +a(g826 +g958 +tp1034 +a(g693 +g971 +tp1035 +a(g423 +Va +p1036 +tp1037 +a(g826 +g958 +tp1038 +a(g669 +Vinteger +p1039 +tp1040 +a(g693 +V, +p1041 +tp1042 +a(g826 +g958 +tp1043 +a(g423 +Vb +p1044 +tp1045 +a(g826 +g958 +tp1046 +a(g669 +Vinteger +p1047 +tp1048 +a(g693 +g973 +tp1049 +a(g826 +V\u000a +p1050 +tp1051 +a(g7 +VRETURNS +p1052 +tp1053 +a(g826 +g958 +tp1054 +a(g669 +Vinteger +p1055 +tp1056 +a(g826 +V\u000a +p1057 +tp1058 +a(g7 +VAS +p1059 +tp1060 +a(g826 +g958 +tp1061 +a(g76 +g986 +tp1062 +a(g120 +V +p1063 +tp1064 +a(g76 +g986 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g826 +V +p1068 +tp1069 +a(g7 +Vif +p1070 +tp1071 +a(g826 +g958 +tp1072 +a(g423 +g1036 +tp1073 +a(g826 +g958 +tp1074 +a(g400 +V> +p1075 +tp1076 +a(g826 +g958 +tp1077 +a(g423 +g1044 +tp1078 +a(g693 +V: +p1079 +tp1080 +a(g826 +V\u000a +p1081 +tp1082 +a(g826 +V +p1083 +tp1084 +a(g7 +Vreturn +p1085 +tp1086 +a(g826 +g958 +tp1087 +a(g423 +g1036 +tp1088 +a(g826 +V\u000a +p1089 +tp1090 +a(g826 +V +p1091 +tp1092 +a(g7 +Vreturn +p1093 +tp1094 +a(g826 +g958 +tp1095 +a(g423 +g1044 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g76 +g986 +tp1099 +a(g120 +g1063 +tp1100 +a(g76 +g986 +tp1101 +a(g826 +g958 +tp1102 +a(g7 +Vlanguage +p1103 +tp1104 +a(g826 +g958 +tp1105 +a(g423 +Vplpythonu +p1106 +tp1107 +a(g693 +g1002 +tp1108 +a(g826 +V\u000a\u000a +p1109 +tp1110 +a(g7 +VCREATE +p1111 +tp1112 +a(g826 +g958 +tp1113 +a(g7 +VFUNCTION +p1114 +tp1115 +a(g826 +g958 +tp1116 +a(g423 +Vnested_lexers +p1117 +tp1118 +a(g826 +g958 +tp1119 +a(g693 +g971 +tp1120 +a(g423 +g1036 +tp1121 +a(g826 +g958 +tp1122 +a(g669 +Vinteger +p1123 +tp1124 +a(g693 +g1041 +tp1125 +a(g826 +g958 +tp1126 +a(g423 +g1044 +tp1127 +a(g826 +g958 +tp1128 +a(g669 +Vinteger +p1129 +tp1130 +a(g693 +g973 +tp1131 +a(g826 +V\u000a +p1132 +tp1133 +a(g76 +g986 +tp1134 +a(g120 +Vfunction +p1135 +tp1136 +a(g76 +g986 +tp1137 +a(g826 +V\u000a +p1138 +tp1139 +a(g7 +VBEGIN +p1140 +tp1141 +a(g826 +V\u000a +p1142 +tp1143 +a(g7 +VSELECT +p1144 +tp1145 +a(g826 +g958 +tp1146 +a(g693 +g971 +tp1147 +a(g428 +V$1 +p1148 +tp1149 +a(g826 +g958 +tp1150 +a(g400 +V~ +p1151 +tp1152 +a(g826 +g958 +tp1153 +a(g76 +g986 +tp1154 +a(g120 +Vq +p1155 +tp1156 +a(g76 +g986 +tp1157 +a(g76 +V[\u005ct\u005cr\u005cn\u005cv\u005c\u005c] +p1158 +tp1159 +a(g76 +g986 +tp1160 +a(g120 +g1155 +tp1161 +a(g76 +g986 +tp1162 +a(g693 +g973 +tp1163 +a(g693 +g1002 +tp1164 +a(g826 +V\u000a +p1165 +tp1166 +a(g7 +VEND +p1167 +tp1168 +a(g693 +g1002 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g76 +g986 +tp1172 +a(g120 +Vfunction +p1173 +tp1174 +a(g76 +g986 +tp1175 +a(g826 +V\u000a +p1176 +tp1177 +a(g7 +VLANGUAGE +p1178 +tp1179 +a(g826 +g958 +tp1180 +a(g423 +Vsql +p1181 +tp1182 +a(g693 +g1002 +tp1183 +a(g826 +V\u000a\u000a +p1184 +tp1185 +a(g7 +VCREATE +p1186 +tp1187 +a(g826 +g958 +tp1188 +a(g7 +VOR +p1189 +tp1190 +a(g826 +g958 +tp1191 +a(g7 +VREPLACE +p1192 +tp1193 +a(g826 +g958 +tp1194 +a(g7 +VFUNCTION +p1195 +tp1196 +a(g826 +g958 +tp1197 +a(g423 +Vmeasurement_insert_trigger +p1198 +tp1199 +a(g693 +g971 +tp1200 +a(g693 +g973 +tp1201 +a(g826 +V\u000a +p1202 +tp1203 +a(g7 +VRETURNS +p1204 +tp1205 +a(g826 +g958 +tp1206 +a(g7 +VTRIGGER +p1207 +tp1208 +a(g826 +g958 +tp1209 +a(g7 +VAS +p1210 +tp1211 +a(g826 +g958 +tp1212 +a(g76 +g986 +tp1213 +a(g120 +g1063 +tp1214 +a(g76 +g986 +tp1215 +a(g826 +V\u000a +p1216 +tp1217 +a(g7 +VBEGIN +p1218 +tp1219 +a(g826 +V\u000a +p1220 +tp1221 +a(g584 +V<> +p1222 +tp1223 +a(g826 +V\u000a +p1224 +tp1225 +a(g7 +VINSERT +p1226 +tp1227 +a(g826 +g958 +tp1228 +a(g7 +VINTO +p1229 +tp1230 +a(g826 +g958 +tp1231 +a(g423 +Vmeasurement_y2008m01 +p1232 +tp1233 +a(g826 +g958 +tp1234 +a(g7 +VVALUES +p1235 +tp1236 +a(g826 +g958 +tp1237 +a(g693 +g971 +tp1238 +a(g423 +VNEW +p1239 +tp1240 +a(g302 +V. +p1241 +tp1242 +a(g400 +V* +p1243 +tp1244 +a(g693 +g973 +tp1245 +a(g693 +g1002 +tp1246 +a(g826 +V\u000a +p1247 +tp1248 +a(g7 +VRETURN +p1249 +tp1250 +a(g826 +g958 +tp1251 +a(g7 +VNULL +p1252 +tp1253 +a(g693 +g1002 +tp1254 +a(g826 +V\u000a +p1255 +tp1256 +a(g7 +VEND +p1257 +tp1258 +a(g693 +g1002 +tp1259 +a(g826 +V\u000a +p1260 +tp1261 +a(g76 +g986 +tp1262 +a(g120 +g1063 +tp1263 +a(g76 +g986 +tp1264 +a(g826 +V\u000a +p1265 +tp1266 +a(g7 +VLANGUAGE +p1267 +tp1268 +a(g826 +g958 +tp1269 +a(g423 +Vplpgsql +p1270 +tp1271 +a(g693 +g1002 +tp1272 +a(g826 +V\u000a\u000a +p1273 +tp1274 +a(g745 +V-- As returned by pg_dump\u000a +p1275 +tp1276 +a(g7 +VCREATE +p1277 +tp1278 +a(g826 +g958 +tp1279 +a(g7 +VFUNCTION +p1280 +tp1281 +a(g826 +g958 +tp1282 +a(g423 +Vtest_function +p1283 +tp1284 +a(g693 +g971 +tp1285 +a(g693 +g973 +tp1286 +a(g826 +g958 +tp1287 +a(g7 +VRETURNS +p1288 +tp1289 +a(g826 +g958 +tp1290 +a(g669 +Vinteger +p1291 +tp1292 +a(g826 +V\u000a +p1293 +tp1294 +a(g7 +VLANGUAGE +p1295 +tp1296 +a(g826 +g958 +tp1297 +a(g423 +Vplpgsql +p1298 +tp1299 +a(g826 +g958 +tp1300 +a(g7 +VSTABLE +p1301 +tp1302 +a(g826 +g958 +tp1303 +a(g7 +VSTRICT +p1304 +tp1305 +a(g826 +V\u000a +p1306 +tp1307 +a(g7 +VAS +p1308 +tp1309 +a(g826 +g958 +tp1310 +a(g76 +g986 +tp1311 +a(g120 +g1063 +tp1312 +a(g76 +g986 +tp1313 +a(g826 +V\u000a +p1314 +tp1315 +a(g7 +Vbegin +p1316 +tp1317 +a(g826 +V\u000a +p1318 +tp1319 +a(g7 +Vreturn +p1320 +tp1321 +a(g826 +g958 +tp1322 +a(g302 +V42 +p1323 +tp1324 +a(g693 +g1002 +tp1325 +a(g826 +V\u000a +p1326 +tp1327 +a(g7 +Vend +p1328 +tp1329 +a(g826 +V\u000a +p1330 +tp1331 +a(g76 +g986 +tp1332 +a(g120 +g1063 +tp1333 +a(g76 +g986 +tp1334 +a(g693 +g1002 +tp1335 +a(g826 +V\u000a\u000a +p1336 +tp1337 +a(g745 +V-- Unicode names and strings\u000a +p1338 +tp1339 +a(g7 +VSELECT +p1340 +tp1341 +a(g826 +g958 +tp1342 +a(g168 +VU& +p1343 +tp1344 +a(g217 +g1018 +tp1345 +a(g217 +V\u005c0441\u005c043B\u005c043E\u005c043D +p1346 +tp1347 +a(g217 +g1018 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g7 +VFROM +p1351 +tp1352 +a(g826 +g958 +tp1353 +a(g168 +VU& +p1354 +tp1355 +a(g176 +V" +p1356 +tp1357 +a(g176 +V\u005c0441\u005c043B\u005c043E\u005c043D +p1358 +tp1359 +a(g176 +g1356 +tp1360 +a(g693 +g1002 +tp1361 +a(g826 +V\u000a\u000a +p1362 +tp1363 +a(g745 +V-- Escapes\u000a +p1364 +tp1365 +a(g7 +VSELECT +p1366 +tp1367 +a(g826 +g958 +tp1368 +a(g168 +VE +p1369 +tp1370 +a(g217 +g1018 +tp1371 +a(g217 +V1\u005cn2\u005cn3 +p1372 +tp1373 +a(g217 +g1018 +tp1374 +a(g693 +g1002 +tp1375 +a(g826 +V\u000a\u000a +p1376 +tp1377 +a(g745 +V-- DO example from postgresql documentation\u000a +p1378 +tp1379 +a(g776 +V/* +p1380 +tp1381 +a(g776 +V\u000a +p1382 +tp1383 +a(g776 +g1243 +tp1384 +a(g776 +V PostgreSQL is Copyright © 1996-2016 by the PostgreSQL Global Development Group.\u000a +p1385 +tp1386 +a(g776 +g1243 +tp1387 +a(g776 +V \u000a +p1388 +tp1389 +a(g776 +g1243 +tp1390 +a(g776 +V Postgres95 is Copyright © 1994-5 by the Regents of the University of California.\u000a +p1391 +tp1392 +a(g776 +g1243 +tp1393 +a(g776 +V \u000a +p1394 +tp1395 +a(g776 +g1243 +tp1396 +a(g776 +V Permission to use, copy, modify, and distribute this software and its\u000a +p1397 +tp1398 +a(g776 +g1243 +tp1399 +a(g776 +V documentation for any purpose, without fee, and without a written agreement\u000a +p1400 +tp1401 +a(g776 +g1243 +tp1402 +a(g776 +V is hereby granted, provided that the above copyright notice and this paragraph\u000a +p1403 +tp1404 +a(g776 +g1243 +tp1405 +a(g776 +V and the following two paragraphs appear in all copies.\u000a +p1406 +tp1407 +a(g776 +g1243 +tp1408 +a(g776 +V \u000a +p1409 +tp1410 +a(g776 +g1243 +tp1411 +a(g776 +V IN NO EVENT SHALL THE UNIVERSITY OF CALIFORNIA BE LIABLE TO ANY PARTY FOR\u000a +p1412 +tp1413 +a(g776 +g1243 +tp1414 +a(g776 +V DIRECT, INDIRECT, SPECIAL, INCIDENTAL, OR CONSEQUENTIAL DAMAGES, INCLUDING\u000a +p1415 +tp1416 +a(g776 +g1243 +tp1417 +a(g776 +V LOST PROFITS, ARISING OUT OF THE USE OF THIS SOFTWARE AND ITS DOCUMENTATION,\u000a +p1418 +tp1419 +a(g776 +g1243 +tp1420 +a(g776 +V EVEN IF THE UNIVERSITY OF CALIFORNIA HAS BEEN ADVISED OF THE POSSIBILITY OF\u000a +p1421 +tp1422 +a(g776 +g1243 +tp1423 +a(g776 +V SUCH DAMAGE.\u000a +p1424 +tp1425 +a(g776 +g1243 +tp1426 +a(g776 +V \u000a +p1427 +tp1428 +a(g776 +g1243 +tp1429 +a(g776 +V THE UNIVERSITY OF CALIFORNIA SPECIFICALLY DISCLAIMS ANY WARRANTIES, INCLUDING,\u000a +p1430 +tp1431 +a(g776 +g1243 +tp1432 +a(g776 +V BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR\u000a +p1433 +tp1434 +a(g776 +g1243 +tp1435 +a(g776 +V A PARTICULAR PURPOSE. THE SOFTWARE PROVIDED HEREUNDER IS ON AN "AS-IS" BASIS,\u000a +p1436 +tp1437 +a(g776 +g1243 +tp1438 +a(g776 +V AND THE UNIVERSITY OF CALIFORNIA HAS NO OBLIGATIONS TO PROVIDE MAINTENANCE,\u000a +p1439 +tp1440 +a(g776 +g1243 +tp1441 +a(g776 +V SUPPORT, UPDATES, ENHANCEMENTS, OR MODIFICATIONS.\u000a +p1442 +tp1443 +a(g776 +V*/ +p1444 +tp1445 +a(g826 +V\u000a +p1446 +tp1447 +a(g7 +VDO +p1448 +tp1449 +a(g826 +g958 +tp1450 +a(g76 +g986 +tp1451 +a(g120 +g1063 +tp1452 +a(g76 +g986 +tp1453 +a(g7 +VDECLARE +p1454 +tp1455 +a(g826 +g958 +tp1456 +a(g423 +Vr +p1457 +tp1458 +a(g826 +g958 +tp1459 +a(g669 +Vrecord +p1460 +tp1461 +a(g693 +g1002 +tp1462 +a(g826 +V\u000a +p1463 +tp1464 +a(g7 +VBEGIN +p1465 +tp1466 +a(g826 +V\u000a +p1467 +tp1468 +a(g7 +VFOR +p1469 +tp1470 +a(g826 +g958 +tp1471 +a(g423 +g1457 +tp1472 +a(g826 +g958 +tp1473 +a(g7 +VIN +p1474 +tp1475 +a(g826 +g958 +tp1476 +a(g7 +VSELECT +p1477 +tp1478 +a(g826 +g958 +tp1479 +a(g423 +Vtable_schema +p1480 +tp1481 +a(g693 +g1041 +tp1482 +a(g826 +g958 +tp1483 +a(g423 +Vtable_name +p1484 +tp1485 +a(g826 +g958 +tp1486 +a(g7 +VFROM +p1487 +tp1488 +a(g826 +g958 +tp1489 +a(g423 +Vinformation_schema +p1490 +tp1491 +a(g302 +g1241 +tp1492 +a(g7 +Vtables +p1493 +tp1494 +a(g826 +V\u000a +p1495 +tp1496 +a(g7 +VWHERE +p1497 +tp1498 +a(g826 +g958 +tp1499 +a(g423 +Vtable_type +p1500 +tp1501 +a(g826 +g958 +tp1502 +a(g400 +V= +p1503 +tp1504 +a(g826 +g958 +tp1505 +a(g217 +g1018 +tp1506 +a(g217 +VVIEW +p1507 +tp1508 +a(g217 +g1018 +tp1509 +a(g826 +g958 +tp1510 +a(g7 +VAND +p1511 +tp1512 +a(g826 +g958 +tp1513 +a(g423 +Vtable_schema +p1514 +tp1515 +a(g826 +g958 +tp1516 +a(g400 +g1503 +tp1517 +a(g826 +g958 +tp1518 +a(g217 +g1018 +tp1519 +a(g217 +Vpublic +p1520 +tp1521 +a(g217 +g1018 +tp1522 +a(g826 +V\u000a +p1523 +tp1524 +a(g7 +VLOOP +p1525 +tp1526 +a(g826 +V\u000a +p1527 +tp1528 +a(g7 +VEXECUTE +p1529 +tp1530 +a(g826 +g958 +tp1531 +a(g217 +g1018 +tp1532 +a(g217 +VGRANT ALL ON +p1533 +tp1534 +a(g217 +g1018 +tp1535 +a(g826 +g958 +tp1536 +a(g400 +V|| +p1537 +tp1538 +a(g826 +g958 +tp1539 +a(g423 +Vquote_ident +p1540 +tp1541 +a(g693 +g971 +tp1542 +a(g423 +g1457 +tp1543 +a(g302 +g1241 +tp1544 +a(g423 +Vtable_schema +p1545 +tp1546 +a(g693 +g973 +tp1547 +a(g826 +g958 +tp1548 +a(g400 +V|| +p1549 +tp1550 +a(g826 +g958 +tp1551 +a(g217 +g1018 +tp1552 +a(g217 +g1241 +tp1553 +a(g217 +g1018 +tp1554 +a(g826 +g958 +tp1555 +a(g400 +V|| +p1556 +tp1557 +a(g826 +g958 +tp1558 +a(g423 +Vquote_ident +p1559 +tp1560 +a(g693 +g971 +tp1561 +a(g423 +g1457 +tp1562 +a(g302 +g1241 +tp1563 +a(g423 +Vtable_name +p1564 +tp1565 +a(g693 +g973 +tp1566 +a(g826 +g958 +tp1567 +a(g400 +V|| +p1568 +tp1569 +a(g826 +g958 +tp1570 +a(g217 +g1018 +tp1571 +a(g217 +V TO webuser +p1572 +tp1573 +a(g217 +g1018 +tp1574 +a(g693 +g1002 +tp1575 +a(g826 +V\u000a +p1576 +tp1577 +a(g7 +VEND +p1578 +tp1579 +a(g826 +g958 +tp1580 +a(g7 +VLOOP +p1581 +tp1582 +a(g693 +g1002 +tp1583 +a(g826 +V\u000a +p1584 +tp1585 +a(g7 +VEND +p1586 +tp1587 +a(g76 +g986 +tp1588 +a(g120 +g1063 +tp1589 +a(g76 +g986 +tp1590 +a(g693 +g1002 +tp1591 +a(g826 +V\u000a +p1592 +tp1593 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pppoe.applescript b/tests/examplefiles/output/pppoe.applescript new file mode 100644 index 0000000..763d060 --- /dev/null +++ b/tests/examplefiles/output/pppoe.applescript @@ -0,0 +1,2592 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVtell +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g669 +Vapplication +p960 +tp961 +a(g826 +g958 +tp962 +a(g144 +V"System Events" +p963 +tp964 +a(g826 +V\u000a +p965 +tp966 +a(g7 +Vtell +p967 +tp968 +a(g826 +g958 +tp969 +a(g428 +Vnetwork +p970 +tp971 +a(g826 +g958 +tp972 +a(g428 +Vpreferences +p973 +tp974 +a(g826 +V\u000a +p975 +tp976 +a(g7 +Vtell +p977 +tp978 +a(g826 +g958 +tp979 +a(g428 +Vcurrent +p980 +tp981 +a(g826 +g958 +tp982 +a(g654 +Vlocation +p983 +tp984 +a(g826 +V\u000a +p985 +tp986 +a(g7 +Vset +p987 +tp988 +a(g826 +g958 +tp989 +a(g428 +VaPPPoEService +p990 +tp991 +a(g826 +g958 +tp992 +a(g7 +Vto +p993 +tp994 +a(g826 +g958 +tp995 +a(g428 +Va +p996 +tp997 +a(g826 +g958 +tp998 +a(g414 +Vreference to +p999 +tp1000 +a(g826 +g958 +tp1001 +a(g693 +V( +p1002 +tp1003 +a(g669 +Vfirst +p1004 +tp1005 +a(g826 +g958 +tp1006 +a(g428 +Vservice +p1007 +tp1008 +a(g826 +g958 +tp1009 +a(g669 +Vwhose +p1010 +tp1011 +a(g826 +g958 +tp1012 +a(g428 +Vkind +p1013 +tp1014 +a(g826 +g958 +tp1015 +a(g414 +Vis +p1016 +tp1017 +a(g826 +g958 +tp1018 +a(g22 +V10 +p1019 +tp1020 +a(g693 +V) +p1021 +tp1022 +a(g826 +V\u000a +p1023 +tp1024 +a(g7 +Vif +p1025 +tp1026 +a(g826 +g958 +tp1027 +a(g669 +Vexists +p1028 +tp1029 +a(g826 +g958 +tp1030 +a(g428 +VaPPPoEService +p1031 +tp1032 +a(g826 +g958 +tp1033 +a(g7 +Vthen +p1034 +tp1035 +a(g826 +V\u000a +p1036 +tp1037 +a(g428 +Vconnect +p1038 +tp1039 +a(g826 +g958 +tp1040 +a(g428 +VaPPPoEService +p1041 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g7 +Vend +p1045 +tp1046 +a(g826 +g958 +tp1047 +a(g7 +Vif +p1048 +tp1049 +a(g826 +V\u000a +p1050 +tp1051 +a(g7 +Vend +p1052 +tp1053 +a(g826 +g958 +tp1054 +a(g7 +Vtell +p1055 +tp1056 +a(g826 +V\u000a +p1057 +tp1058 +a(g7 +Vend +p1059 +tp1060 +a(g826 +g958 +tp1061 +a(g7 +Vtell +p1062 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g7 +Vend +p1066 +tp1067 +a(g826 +g958 +tp1068 +a(g7 +Vtell +p1069 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/psql_session.txt b/tests/examplefiles/output/psql_session.txt new file mode 100644 index 0000000..a7e7950 --- /dev/null +++ b/tests/examplefiles/output/psql_session.txt @@ -0,0 +1,4115 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g365 +Vregression=# +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g842 +Vselect +p962 +tp963 +a(g7 +g960 +tp964 +a(g439 +Vfoo +p965 +tp966 +a(g709 +V; +p967 +tp968 +a(g7 +V\u000a +p969 +tp970 +a(g381 +VERROR: +p971 +tp972 +a(g389 +V column "foo" does not exist\u000a +p973 +tp974 +a(g381 +VCONTEXT: +p975 +tp976 +a(g389 +V PL/pgSQL function "test1" while casting return value to function's return type\u000a +p977 +tp978 +a(g381 +VLINE 1: +p979 +tp980 +a(g389 +V select foo;\u000a +p981 +tp982 +a(g389 +V ^\u000a +p983 +tp984 +a(g7 +g956 +tp985 +a(g365 +Vregression=# +p986 +tp987 +a(g7 +g960 +tp988 +a(g883 +V\u005cq +p989 +tp990 +a(g7 +V\u000a +p991 +tp992 +a(g406 +V\u000a +p993 +tp994 +a(g7 +g956 +tp995 +a(g365 +Vpeter@localhost testdb=> +p996 +tp997 +a(g7 +g960 +tp998 +a(g883 +V\u005ca +p999 +tp1000 +a(g7 +g960 +tp1001 +a(g883 +V\u005ct +p1002 +tp1003 +a(g7 +g960 +tp1004 +a(g883 +V\u005cx +p1005 +tp1006 +a(g7 +V\u000a +p1007 +tp1008 +a(g406 +VOutput format is aligned.\u000a +p1009 +tp1010 +a(g406 +VTuples only is off.\u000a +p1011 +tp1012 +a(g406 +VExpanded display is on.\u000a +p1013 +tp1014 +a(g406 +V\u000a +p1015 +tp1016 +a(g7 +g956 +tp1017 +a(g365 +Vregression=# +p1018 +tp1019 +a(g7 +g960 +tp1020 +a(g842 +Vselect +p1021 +tp1022 +a(g7 +g960 +tp1023 +a(g233 +V' +p1024 +tp1025 +a(g233 +V\u005cx +p1026 +tp1027 +a(g233 +g1024 +tp1028 +a(g709 +g967 +tp1029 +a(g7 +V\u000a +p1030 +tp1031 +a(g381 +VWARNING: +p1032 +tp1033 +a(g406 +V nonstandard use of escape in a string literal\u000a +p1034 +tp1035 +a(g381 +VLINE 1: +p1036 +tp1037 +a(g406 +V select '\u005cx';\u000a +p1038 +tp1039 +a(g406 +V ^\u000a +p1040 +tp1041 +a(g381 +VHINT: +p1042 +tp1043 +a(g406 +V Use the escape string syntax for escapes, e.g., E'\u005cr\u005cn'.\u000a +p1044 +tp1045 +a(g406 +V ?column? \u000a +p1046 +tp1047 +a(g406 +V----------\u000a +p1048 +tp1049 +a(g406 +V x\u000a +p1050 +tp1051 +a(g406 +V(1 row)\u000a +p1052 +tp1053 +a(g406 +V\u000a +p1054 +tp1055 +a(g7 +g956 +tp1056 +a(g365 +Vregression=# +p1057 +tp1058 +a(g7 +g960 +tp1059 +a(g842 +Vselect +p1060 +tp1061 +a(g7 +g960 +tp1062 +a(g184 +VE +p1063 +tp1064 +a(g233 +g1024 +tp1065 +a(g233 +V\u005cx +p1066 +tp1067 +a(g233 +g1024 +tp1068 +a(g709 +g967 +tp1069 +a(g7 +V\u000a +p1070 +tp1071 +a(g406 +V\u000a +p1072 +tp1073 +a(g7 +g956 +tp1074 +a(g365 +Vpiro=> +p1075 +tp1076 +a(g7 +g960 +tp1077 +a(g883 +V\u005cset +p1078 +tp1079 +a(g7 +g960 +tp1080 +a(g120 +Vfoo +p1081 +tp1082 +a(g7 +g960 +tp1083 +a(g120 +V30; +p1084 +tp1085 +a(g7 +V\u000a +p1086 +tp1087 +a(g7 +g956 +tp1088 +a(g365 +Vpiro=> +p1089 +tp1090 +a(g7 +g960 +tp1091 +a(g842 +Vselect +p1092 +tp1093 +a(g7 +g960 +tp1094 +a(g416 +V* +p1095 +tp1096 +a(g7 +g960 +tp1097 +a(g842 +Vfrom +p1098 +tp1099 +a(g7 +g960 +tp1100 +a(g439 +Vtest +p1101 +tp1102 +a(g7 +g960 +tp1103 +a(g842 +Vwhere +p1104 +tp1105 +a(g7 +g960 +tp1106 +a(g439 +Vfoo +p1107 +tp1108 +a(g7 +g960 +tp1109 +a(g416 +V<= +p1110 +tp1111 +a(g7 +g960 +tp1112 +a(g444 +V:foo +p1113 +tp1114 +a(g709 +g967 +tp1115 +a(g7 +V\u000a +p1116 +tp1117 +a(g406 +V foo | bar \u000a +p1118 +tp1119 +a(g406 +V-----+-----\u000a +p1120 +tp1121 +a(g406 +V 10 | \u000a +p1122 +tp1123 +a(g406 +V 20 | \u000a +p1124 +tp1125 +a(g406 +V(2 rows)\u000a +p1126 +tp1127 +a(g406 +V\u000a +p1128 +tp1129 +a(g7 +g956 +tp1130 +a(g365 +Vtestdb=> +p1131 +tp1132 +a(g7 +g960 +tp1133 +a(g883 +V\u005cset +p1134 +tp1135 +a(g7 +g960 +tp1136 +a(g120 +Vfoo +p1137 +tp1138 +a(g7 +g960 +tp1139 +a(g233 +V'my_table' +p1140 +tp1141 +a(g7 +V\u000a +p1142 +tp1143 +a(g7 +g956 +tp1144 +a(g365 +Vtestdb=> +p1145 +tp1146 +a(g7 +g960 +tp1147 +a(g842 +VSELECT +p1148 +tp1149 +a(g7 +g960 +tp1150 +a(g416 +g1095 +tp1151 +a(g7 +g960 +tp1152 +a(g842 +VFROM +p1153 +tp1154 +a(g7 +g960 +tp1155 +a(g444 +V:"foo" +p1156 +tp1157 +a(g709 +g967 +tp1158 +a(g7 +V\u000a +p1159 +tp1160 +a(g406 +V\u000a +p1161 +tp1162 +a(g7 +g956 +tp1163 +a(g365 +Vtestdb=> +p1164 +tp1165 +a(g7 +g960 +tp1166 +a(g883 +V\u005cset +p1167 +tp1168 +a(g7 +g960 +tp1169 +a(g120 +Vcontent +p1170 +tp1171 +a(g7 +g960 +tp1172 +a(g104 +V`cat my_file.txt` +p1173 +tp1174 +a(g7 +V\u000a +p1175 +tp1176 +a(g7 +g956 +tp1177 +a(g365 +Vtestdb=> +p1178 +tp1179 +a(g7 +g960 +tp1180 +a(g842 +VINSERT +p1181 +tp1182 +a(g7 +g960 +tp1183 +a(g842 +VINTO +p1184 +tp1185 +a(g7 +g960 +tp1186 +a(g439 +Vmy_table +p1187 +tp1188 +a(g7 +g960 +tp1189 +a(g842 +VVALUES +p1190 +tp1191 +a(g7 +g960 +tp1192 +a(g709 +V( +p1193 +tp1194 +a(g444 +V:'content' +p1195 +tp1196 +a(g709 +V) +p1197 +tp1198 +a(g709 +g967 +tp1199 +a(g7 +V\u000a +p1200 +tp1201 +a(g406 +V\u000a +p1202 +tp1203 +a(g7 +g956 +tp1204 +a(g365 +Vregression=# +p1205 +tp1206 +a(g7 +g960 +tp1207 +a(g842 +Vselect +p1208 +tp1209 +a(g7 +g960 +tp1210 +a(g709 +g1193 +tp1211 +a(g7 +V\u000a +p1212 +tp1213 +a(g365 +Vregression(# +p1214 +tp1215 +a(g7 +g960 +tp1216 +a(g318 +V1 +p1217 +tp1218 +a(g709 +g1197 +tp1219 +a(g709 +g967 +tp1220 +a(g7 +V\u000a +p1221 +tp1222 +a(g406 +V ?column? \u000a +p1223 +tp1224 +a(g406 +V----------\u000a +p1225 +tp1226 +a(g406 +V 1\u000a +p1227 +tp1228 +a(g406 +V(1 row)\u000a +p1229 +tp1230 +a(g406 +V\u000a +p1231 +tp1232 +a(g7 +g956 +tp1233 +a(g365 +Vpiro=> +p1234 +tp1235 +a(g7 +g960 +tp1236 +a(g842 +Vselect +p1237 +tp1238 +a(g7 +g960 +tp1239 +a(g709 +g1193 +tp1240 +a(g7 +V\u000a +p1241 +tp1242 +a(g365 +Vpiro(> +p1243 +tp1244 +a(g7 +g960 +tp1245 +a(g233 +g1024 +tp1246 +a(g233 +V\u000a +p1247 +tp1248 +a(g365 +Vpiro'> +p1249 +tp1250 +a(g233 +g960 +tp1251 +a(g233 +g1024 +tp1252 +a(g7 +g960 +tp1253 +a(g416 +V|| +p1254 +tp1255 +a(g7 +g960 +tp1256 +a(g92 +V$ +p1257 +tp1258 +a(g136 +g956 +tp1259 +a(g92 +g1257 +tp1260 +a(g92 +V\u000a +p1261 +tp1262 +a(g365 +Vpiro$> +p1263 +tp1264 +a(g92 +g960 +tp1265 +a(g92 +g1257 +tp1266 +a(g136 +g956 +tp1267 +a(g92 +g1257 +tp1268 +a(g709 +g1197 +tp1269 +a(g7 +V\u000a +p1270 +tp1271 +a(g365 +Vpiro-> +p1272 +tp1273 +a(g7 +g960 +tp1274 +a(g842 +Vfrom +p1275 +tp1276 +a(g7 +g960 +tp1277 +a(g192 +V" +p1278 +tp1279 +a(g192 +V\u000a +p1280 +tp1281 +a(g365 +Vpiro"> +p1282 +tp1283 +a(g192 +V foo +p1284 +tp1285 +a(g192 +g1278 +tp1286 +a(g709 +g967 +tp1287 +a(g7 +V\u000a +p1288 +tp1289 +a(g381 +VERROR: +p1290 +tp1291 +a(g389 +V relation "\u000a +p1292 +tp1293 +a(g389 +Vfoo" does not exist\u000a +p1294 +tp1295 +a(g381 +VLINE 5: +p1296 +tp1297 +a(g389 +V from "\u000a +p1298 +tp1299 +a(g389 +V ^\u000a +p1300 +tp1301 +a(g389 +V\u000a +p1302 +tp1303 +a(g7 +g956 +tp1304 +a(g365 +Vtestdb=> +p1305 +tp1306 +a(g7 +g960 +tp1307 +a(g842 +VCREATE +p1308 +tp1309 +a(g7 +g960 +tp1310 +a(g842 +VTABLE +p1311 +tp1312 +a(g7 +g960 +tp1313 +a(g439 +Vmy_table +p1314 +tp1315 +a(g7 +g960 +tp1316 +a(g709 +g1193 +tp1317 +a(g7 +V\u000a +p1318 +tp1319 +a(g842 +Vfirst +p1320 +tp1321 +a(g7 +g960 +tp1322 +a(g685 +Vinteger +p1323 +tp1324 +a(g7 +g960 +tp1325 +a(g842 +Vnot +p1326 +tp1327 +a(g7 +g960 +tp1328 +a(g842 +Vnull +p1329 +tp1330 +a(g7 +g960 +tp1331 +a(g842 +Vdefault +p1332 +tp1333 +a(g7 +g960 +tp1334 +a(g318 +V0 +p1335 +tp1336 +a(g709 +V, +p1337 +tp1338 +a(g7 +V\u000a +p1339 +tp1340 +a(g842 +Vsecond +p1341 +tp1342 +a(g7 +g960 +tp1343 +a(g685 +Vtext +p1344 +tp1345 +a(g709 +g1197 +tp1346 +a(g7 +g960 +tp1347 +a(g709 +g967 +tp1348 +a(g7 +g960 +tp1349 +a(g761 +V-- end of command\u000a +p1350 +tp1351 +a(g406 +VCREATE TABLE\u000a +p1352 +tp1353 +a(g406 +V\u000a +p1354 +tp1355 +a(g406 +V-- Table output\u000a +p1356 +tp1357 +a(g7 +g956 +tp1358 +a(g365 +V=# +p1359 +tp1360 +a(g7 +g960 +tp1361 +a(g842 +VSELECT +p1362 +tp1363 +a(g7 +g960 +tp1364 +a(g233 +g1024 +tp1365 +a(g233 +V0x10 +p1366 +tp1367 +a(g233 +g1024 +tp1368 +a(g416 +V:: +p1369 +tp1370 +a(g439 +Vmpz +p1371 +tp1372 +a(g7 +g960 +tp1373 +a(g842 +VAS +p1374 +tp1375 +a(g7 +g960 +tp1376 +a(g192 +g1278 +tp1377 +a(g192 +Vhex +p1378 +tp1379 +a(g192 +g1278 +tp1380 +a(g709 +g1337 +tp1381 +a(g7 +g960 +tp1382 +a(g233 +g1024 +tp1383 +a(g233 +V10 +p1384 +tp1385 +a(g233 +g1024 +tp1386 +a(g416 +V:: +p1387 +tp1388 +a(g439 +Vmpz +p1389 +tp1390 +a(g7 +g960 +tp1391 +a(g842 +VAS +p1392 +tp1393 +a(g7 +g960 +tp1394 +a(g192 +g1278 +tp1395 +a(g192 +Vdec +p1396 +tp1397 +a(g192 +g1278 +tp1398 +a(g709 +g1337 +tp1399 +a(g7 +V\u000a +p1400 +tp1401 +a(g365 +V-# +p1402 +tp1403 +a(g7 +V +p1404 +tp1405 +a(g233 +g1024 +tp1406 +a(g233 +V010 +p1407 +tp1408 +a(g233 +g1024 +tp1409 +a(g416 +V:: +p1410 +tp1411 +a(g439 +Vmpz +p1412 +tp1413 +a(g7 +g960 +tp1414 +a(g842 +VAS +p1415 +tp1416 +a(g7 +g960 +tp1417 +a(g439 +Voct +p1418 +tp1419 +a(g709 +g1337 +tp1420 +a(g7 +g960 +tp1421 +a(g233 +g1024 +tp1422 +a(g233 +V0b10 +p1423 +tp1424 +a(g233 +g1024 +tp1425 +a(g416 +V:: +p1426 +tp1427 +a(g439 +Vmpz +p1428 +tp1429 +a(g7 +g960 +tp1430 +a(g842 +VAS +p1431 +tp1432 +a(g7 +g960 +tp1433 +a(g439 +Vbin +p1434 +tp1435 +a(g709 +g967 +tp1436 +a(g7 +V\u000a +p1437 +tp1438 +a(g406 +V hex | dec | oct | bin\u000a +p1439 +tp1440 +a(g406 +V-----+-----+-----+-----\u000a +p1441 +tp1442 +a(g406 +V 16 | 10 | 8 | 2\u000a +p1443 +tp1444 +a(g406 +V(1 row)\u000a +p1445 +tp1446 +a(g406 +V\u000a +p1447 +tp1448 +a(g406 +V-- One field output\u000a +p1449 +tp1450 +a(g7 +g956 +tp1451 +a(g365 +Vregression=# +p1452 +tp1453 +a(g7 +g960 +tp1454 +a(g842 +Vselect +p1455 +tp1456 +a(g7 +g960 +tp1457 +a(g439 +Vschemaname +p1458 +tp1459 +a(g7 +g960 +tp1460 +a(g842 +Vfrom +p1461 +tp1462 +a(g7 +V +p1463 +tp1464 +a(g439 +Vpg_tables +p1465 +tp1466 +a(g7 +g960 +tp1467 +a(g842 +Vlimit +p1468 +tp1469 +a(g7 +g960 +tp1470 +a(g318 +V3 +p1471 +tp1472 +a(g709 +g967 +tp1473 +a(g7 +V\u000a +p1474 +tp1475 +a(g406 +V schemaname \u000a +p1476 +tp1477 +a(g406 +V------------\u000a +p1478 +tp1479 +a(g406 +V pg_catalog\u000a +p1480 +tp1481 +a(g406 +V pg_catalog\u000a +p1482 +tp1483 +a(g406 +V pg_catalog\u000a +p1484 +tp1485 +a(g406 +V(3 rows)\u000a +p1486 +tp1487 +a(g406 +V\u000a +p1488 +tp1489 +a(g406 +V-- TODO: prompt in multiline comments still not handled correctly\u000a +p1490 +tp1491 +a(g7 +g956 +tp1492 +a(g365 +Vtest=> +p1493 +tp1494 +a(g7 +g960 +tp1495 +a(g842 +Vselect +p1496 +tp1497 +a(g7 +g960 +tp1498 +a(g318 +g1217 +tp1499 +a(g7 +g960 +tp1500 +a(g792 +V/* +p1501 +tp1502 +a(g792 +V multiline\u000atest +p1503 +tp1504 +a(g792 +g1095 +tp1505 +a(g792 +V> and 2 +p1506 +tp1507 +a(g792 +V/* +p1508 +tp1509 +a(g792 +V and 3 +p1510 +tp1511 +a(g792 +V*/ +p1512 +tp1513 +a(g792 +V\u000atest +p1514 +tp1515 +a(g792 +g1095 +tp1516 +a(g792 +V> end comment +p1517 +tp1518 +a(g792 +V*/ +p1519 +tp1520 +a(g709 +g1337 +tp1521 +a(g7 +g960 +tp1522 +a(g318 +V2 +p1523 +tp1524 +a(g709 +g967 +tp1525 +a(g7 +V\u000a +p1526 +tp1527 +a(g406 +V ?column? | ?column? \u000a +p1528 +tp1529 +a(g406 +V----------+----------\u000a +p1530 +tp1531 +a(g406 +V 1 | 2\u000a +p1532 +tp1533 +a(g406 +V\u000a +p1534 +tp1535 +a(g7 +g956 +tp1536 +a(g365 +V=# +p1537 +tp1538 +a(g7 +g960 +tp1539 +a(g842 +Vselect +p1540 +tp1541 +a(g7 +g960 +tp1542 +a(g318 +V10.0 +p1543 +tp1544 +a(g709 +g1337 +tp1545 +a(g7 +g960 +tp1546 +a(g318 +V1e-6 +p1547 +tp1548 +a(g709 +g1337 +tp1549 +a(g7 +g960 +tp1550 +a(g318 +V1E+6 +p1551 +tp1552 +a(g709 +g967 +tp1553 +a(g7 +V\u000a +p1554 +tp1555 +a(g406 +V ?column? | ?column? | ?column? \u000a +p1556 +tp1557 +a(g406 +V----------+----------+----------\u000a +p1558 +tp1559 +a(g406 +V 10.0 | 0.000001 | 1000000\u000a +p1560 +tp1561 +a(g406 +V(1 row)\u000a +p1562 +tp1563 +a(g406 +V\u000a +p1564 +tp1565 +a(g7 +g956 +tp1566 +a(g365 +Vregression=# +p1567 +tp1568 +a(g7 +g960 +tp1569 +a(g842 +Vbegin +p1570 +tp1571 +a(g709 +g967 +tp1572 +a(g7 +V\u000a +p1573 +tp1574 +a(g406 +VBEGIN\u000a +p1575 +tp1576 +a(g7 +g956 +tp1577 +a(g365 +Vregression=# +p1578 +tp1579 +a(g7 +g960 +tp1580 +a(g842 +Vcreate +p1581 +tp1582 +a(g7 +g960 +tp1583 +a(g842 +Vtable +p1584 +tp1585 +a(g7 +g960 +tp1586 +a(g439 +Vasdf +p1587 +tp1588 +a(g7 +g960 +tp1589 +a(g709 +g1193 +tp1590 +a(g439 +Vfoo +p1591 +tp1592 +a(g7 +g960 +tp1593 +a(g685 +Vserial +p1594 +tp1595 +a(g7 +g960 +tp1596 +a(g842 +Vprimary +p1597 +tp1598 +a(g7 +g960 +tp1599 +a(g842 +Vkey +p1600 +tp1601 +a(g709 +g1197 +tp1602 +a(g709 +g967 +tp1603 +a(g7 +V\u000a +p1604 +tp1605 +a(g381 +VNOTICE: +p1606 +tp1607 +a(g406 +V CREATE TABLE will create implicit sequence "asdf_foo_seq" for serial column "asdf.foo"\u000a +p1608 +tp1609 +a(g381 +VNOTICE: +p1610 +tp1611 +a(g406 +V CREATE TABLE / PRIMARY KEY will create implicit index "asdf_pkey" for table "asdf"\u000a +p1612 +tp1613 +a(g406 +VCREATE TABLE\u000a +p1614 +tp1615 +a(g7 +g956 +tp1616 +a(g365 +Vregression=# +p1617 +tp1618 +a(g7 +g960 +tp1619 +a(g842 +Vinsert +p1620 +tp1621 +a(g7 +g960 +tp1622 +a(g842 +Vinto +p1623 +tp1624 +a(g7 +g960 +tp1625 +a(g439 +Vasdf +p1626 +tp1627 +a(g7 +g960 +tp1628 +a(g842 +Vvalues +p1629 +tp1630 +a(g7 +g960 +tp1631 +a(g709 +g1193 +tp1632 +a(g318 +V10 +p1633 +tp1634 +a(g709 +g1197 +tp1635 +a(g7 +g960 +tp1636 +a(g842 +Vreturning +p1637 +tp1638 +a(g7 +g960 +tp1639 +a(g439 +Vfoo +p1640 +tp1641 +a(g709 +g967 +tp1642 +a(g7 +V\u000a +p1643 +tp1644 +a(g406 +V foo \u000a +p1645 +tp1646 +a(g406 +V-----\u000a +p1647 +tp1648 +a(g406 +V 10\u000a +p1649 +tp1650 +a(g406 +V(1 row)\u000a +p1651 +tp1652 +a(g406 +V\u000a +p1653 +tp1654 +a(g406 +VINSERT 0 1\u000a +p1655 +tp1656 +a(g7 +g956 +tp1657 +a(g365 +Vregression=# +p1658 +tp1659 +a(g7 +g960 +tp1660 +a(g842 +VROLLBACK +p1661 +tp1662 +a(g7 +g960 +tp1663 +a(g709 +g967 +tp1664 +a(g7 +V\u000a +p1665 +tp1666 +a(g406 +VROLLBACK\u000a +p1667 +tp1668 +a(g406 +V\u000a +p1669 +tp1670 +a(g7 +g956 +tp1671 +a(g365 +V=> +p1672 +tp1673 +a(g7 +g960 +tp1674 +a(g842 +VEXPLAIN +p1675 +tp1676 +a(g7 +g960 +tp1677 +a(g842 +VSELECT +p1678 +tp1679 +a(g7 +g960 +tp1680 +a(g416 +g1095 +tp1681 +a(g7 +g960 +tp1682 +a(g842 +VFROM +p1683 +tp1684 +a(g7 +g960 +tp1685 +a(g439 +Vtenk1 +p1686 +tp1687 +a(g7 +V\u000a +p1688 +tp1689 +a(g365 +V-> +p1690 +tp1691 +a(g7 +g960 +tp1692 +a(g842 +VWHERE +p1693 +tp1694 +a(g7 +g960 +tp1695 +a(g439 +Vunique1 +p1696 +tp1697 +a(g7 +g960 +tp1698 +a(g416 +V< +p1699 +tp1700 +a(g7 +g960 +tp1701 +a(g318 +V100 +p1702 +tp1703 +a(g709 +g967 +tp1704 +a(g7 +V +p1705 +tp1706 +a(g761 +V-- Don't take -> in the plan as a prompt\u000a +p1707 +tp1708 +a(g406 +V\u000a +p1709 +tp1710 +a(g406 +V QUERY PLAN\u000a +p1711 +tp1712 +a(g406 +V------------------------------------------------------------------------------\u000a +p1713 +tp1714 +a(g406 +V Bitmap Heap Scan on tenk1 (cost=2.37..232.35 rows=106 width=244)\u000a +p1715 +tp1716 +a(g406 +V Recheck Cond: (unique1 < 100)\u000a +p1717 +tp1718 +a(g406 +V -> Bitmap Index Scan on tenk1_unique1 (cost=0.00..2.37 rows=106 width=0)\u000a +p1719 +tp1720 +a(g406 +V Index Cond: (unique1 < 100)\u000a +p1721 +tp1722 +a(g406 +V\u000a +p1723 +tp1724 +a(g406 +V\u000a +p1725 +tp1726 +a(g406 +V-- don't swallow the end of a malformed line\u000a +p1727 +tp1728 +a(g7 +g956 +tp1729 +a(g365 +Vtest=> +p1730 +tp1731 +a(g7 +g960 +tp1732 +a(g842 +Vselect +p1733 +tp1734 +a(g7 +g960 +tp1735 +a(g318 +g1217 +tp1736 +a(g709 +g1337 +tp1737 +a(g7 +V\u000a +p1738 +tp1739 +a(g233 +g1024 +tp1740 +a(g233 +Vthis line must be emitted +p1741 +tp1742 +a(g233 +g1024 +tp1743 +a(g7 +V\u000a +p1744 +tp1745 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/py3_test.txt b/tests/examplefiles/output/py3_test.txt new file mode 100644 index 0000000..1ce2dca --- /dev/null +++ b/tests/examplefiles/output/py3_test.txt @@ -0,0 +1,2367 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVclass +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g616 +VKäse +p960 +tp961 +a(g693 +V: +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g826 +V +p966 +tp967 +a(g7 +Vpass +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/py3tb_test.py3tb b/tests/examplefiles/output/py3tb_test.py3tb new file mode 100644 index 0000000..c574926 --- /dev/null +++ b/tests/examplefiles/output/py3tb_test.py3tb @@ -0,0 +1,2406 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Traceback' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsS'Strong' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg34 +g35 +((lp932 +tp933 +Rp934 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg5 +g8 +sg34 +g35 +((lp942 +g898 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag930 +ag906 +ag937 +ag8 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g826 +V File +p958 +tp959 +a(g586 +V"" +p960 +tp961 +a(g826 +V, line +p962 +tp963 +a(g22 +V1 +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g826 +V +p968 +tp969 +a(g27 +g964 +tp970 +a(g317 +V+ +p971 +tp972 +a(g826 +V\u000a +p973 +tp974 +a(g826 +V +p975 +tp976 +a(g826 +V +p977 +tp978 +a(g317 +V^ +p979 +tp980 +a(g826 +V\u000a +p981 +tp982 +a(g937 +VSyntaxError +p983 +tp984 +a(g826 +V: +p985 +tp986 +a(g340 +Vinvalid syntax +p987 +tp988 +a(g826 +V\u000a +p989 +tp990 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pycon_ctrlc_traceback b/tests/examplefiles/output/pycon_ctrlc_traceback new file mode 100644 index 0000000..f259fb4 --- /dev/null +++ b/tests/examplefiles/output/pycon_ctrlc_traceback @@ -0,0 +1,4142 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Output' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsS'Strong' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg34 +g35 +((lp932 +tp933 +Rp934 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsS'Traceback' +p942 +g1 +(g2 +g3 +(g4 +g942 +tp943 +tp944 +Rp945 +(dp946 +g10 +g13 +sg34 +g35 +((lp947 +tp948 +Rp949 +sbsg34 +g35 +((lp950 +g898 +ag890 +ag8 +ag930 +ag906 +ag937 +ag945 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg5 +g8 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVx = r"""\u000a +p956 +tp957 +a(g770 +V +p958 +tp959 +a(g914 +V>>> +p960 +tp961 +a(g770 +Vimport +p962 +tp963 +a(g826 +V +p964 +tp965 +a(g525 +Vos +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g914 +V>>> +p970 +tp971 +a(g826 +g958 +tp972 +a(g744 +Vprint +p973 +tp974 +a(g826 +g964 +tp975 +a(g340 +Vos +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V\u000a +p980 +tp981 +a(g744 +g958 +tp982 +a(g914 +V>>> +p983 +tp984 +a(g744 +Vfor +p985 +tp986 +a(g826 +g964 +tp987 +a(g340 +Vx +p988 +tp989 +a(g826 +g964 +tp990 +a(g331 +Vin +p991 +tp992 +a(g826 +g964 +tp993 +a(g586 +Vrange +p994 +tp995 +a(g610 +V( +p996 +tp997 +a(g27 +V10 +p998 +tp999 +a(g610 +V) +p1000 +tp1001 +a(g610 +V: +p1002 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g914 +V... +p1006 +tp1007 +a(g826 +g958 +tp1008 +a(g826 +V +p1009 +tp1010 +a(g340 +Vy +p1011 +tp1012 +a(g826 +g964 +tp1013 +a(g317 +V= +p1014 +tp1015 +a(g826 +g964 +tp1016 +a(g340 +g988 +tp1017 +a(g826 +g964 +tp1018 +a(g317 +V+ +p1019 +tp1020 +a(g826 +g964 +tp1021 +a(g27 +V2 +p1022 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g914 +V... +p1026 +tp1027 +a(g826 +g958 +tp1028 +a(g826 +V +p1029 +tp1030 +a(g744 +Vprint +p1031 +tp1032 +a(g610 +g996 +tp1033 +a(g340 +g988 +tp1034 +a(g610 +g1000 +tp1035 +a(g826 +V\u000a +p1036 +tp1037 +a(g914 +V... +p1038 +tp1039 +a(g826 +g958 +tp1040 +a(g826 +V +p1041 +tp1042 +a(g744 +Vif +p1043 +tp1044 +a(g826 +g964 +tp1045 +a(g340 +g988 +tp1046 +a(g826 +g964 +tp1047 +a(g317 +V> +p1048 +tp1049 +a(g826 +g964 +tp1050 +a(g27 +V5 +p1051 +tp1052 +a(g610 +g1002 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g914 +V... +p1056 +tp1057 +a(g826 +g958 +tp1058 +a(g826 +V +p1059 +tp1060 +a(g744 +Vraise +p1061 +tp1062 +a(g826 +g964 +tp1063 +a(g517 +VException +p1064 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g914 +V... +p1068 +tp1069 +a(g826 +g958 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a(g8 +V0\u000a +p1073 +tp1074 +a(g8 +V1\u000a +p1075 +tp1076 +a(g8 +V2\u000a +p1077 +tp1078 +a(g8 +V3\u000a +p1079 +tp1080 +a(g8 +V4\u000a +p1081 +tp1082 +a(g8 +V5\u000a +p1083 +tp1084 +a(g8 +V6\u000a +p1085 +tp1086 +a(g945 +VTraceback (most recent call last):\u000a +p1087 +tp1088 +a(g826 +V File +p1089 +tp1090 +a(g586 +V"" +p1091 +tp1092 +a(g826 +V, line +p1093 +tp1094 +a(g22 +g1051 +tp1095 +a(g826 +V, in +p1096 +tp1097 +a(g340 +V +p1098 +tp1099 +a(g826 +V\u000a +p1100 +tp1101 +a(g937 +VException +p1102 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g8 +V>>>\u000a +p1106 +tp1107 +a(g744 +g958 +tp1108 +a(g914 +V>>> +p1109 +tp1110 +a(g744 +Vwhile +p1111 +tp1112 +a(g826 +g964 +tp1113 +a(g598 +VTrue +p1114 +tp1115 +a(g610 +g1002 +tp1116 +a(g826 +V\u000a +p1117 +tp1118 +a(g914 +V... +p1119 +tp1120 +a(g826 +g958 +tp1121 +a(g826 +V +p1122 +tp1123 +a(g744 +Vpass +p1124 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g914 +g1068 +tp1128 +a(g826 +g958 +tp1129 +a(g826 +V\u000a +p1130 +tp1131 +a(g8 +V^CTraceback (most recent call last):\u000a +p1132 +tp1133 +a(g8 +V File "", line 1, in \u000a +p1134 +tp1135 +a(g533 +VKeyboardInterrupt\u000a +p1136 +tp1137 +a(g8 +V\u000a +p1138 +tp1139 +a(g744 +g958 +tp1140 +a(g914 +V>>> +p1141 +tp1142 +a(g744 +Vclass +p1143 +tp1144 +a(g826 +g964 +tp1145 +a(g533 +VA +p1146 +tp1147 +a(g610 +g996 +tp1148 +a(g517 +VException +p1149 +tp1150 +a(g610 +g1000 +tp1151 +a(g610 +g1002 +tp1152 +a(g744 +Vpass +p1153 +tp1154 +a(g826 +V\u000a +p1155 +tp1156 +a(g914 +g1068 +tp1157 +a(g826 +g958 +tp1158 +a(g826 +V\u000a +p1159 +tp1160 +a(g914 +V>>> +p1161 +tp1162 +a(g826 +g958 +tp1163 +a(g744 +Vclass +p1164 +tp1165 +a(g826 +g964 +tp1166 +a(g533 +VB +p1167 +tp1168 +a(g610 +g996 +tp1169 +a(g517 +VException +p1170 +tp1171 +a(g610 +g1000 +tp1172 +a(g610 +g1002 +tp1173 +a(g744 +Vpass +p1174 +tp1175 +a(g826 +V\u000a +p1176 +tp1177 +a(g914 +g1068 +tp1178 +a(g826 +g958 +tp1179 +a(g826 +V\u000a +p1180 +tp1181 +a(g914 +V>>> +p1182 +tp1183 +a(g826 +g958 +tp1184 +a(g744 +Vtry +p1185 +tp1186 +a(g610 +g1002 +tp1187 +a(g826 +V\u000a +p1188 +tp1189 +a(g914 +V... +p1190 +tp1191 +a(g826 +g958 +tp1192 +a(g826 +V +p1193 +tp1194 +a(g744 +Vtry +p1195 +tp1196 +a(g610 +g1002 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g914 +V... +p1200 +tp1201 +a(g826 +g958 +tp1202 +a(g826 +V +p1203 +tp1204 +a(g744 +Vraise +p1205 +tp1206 +a(g826 +g964 +tp1207 +a(g340 +g1146 +tp1208 +a(g610 +g996 +tp1209 +a(g222 +V' +p1210 +tp1211 +a(g222 +Vfirst +p1212 +tp1213 +a(g222 +g1210 +tp1214 +a(g610 +g1000 +tp1215 +a(g826 +V\u000a +p1216 +tp1217 +a(g914 +V... +p1218 +tp1219 +a(g826 +g958 +tp1220 +a(g826 +V +p1221 +tp1222 +a(g744 +Vfinally +p1223 +tp1224 +a(g610 +g1002 +tp1225 +a(g826 +V\u000a +p1226 +tp1227 +a(g914 +V... +p1228 +tp1229 +a(g826 +g958 +tp1230 +a(g826 +V +p1231 +tp1232 +a(g744 +Vraise +p1233 +tp1234 +a(g826 +g964 +tp1235 +a(g340 +g1167 +tp1236 +a(g610 +g996 +tp1237 +a(g222 +g1210 +tp1238 +a(g222 +Vsecond +p1239 +tp1240 +a(g222 +g1210 +tp1241 +a(g610 +g1000 +tp1242 +a(g826 +V\u000a +p1243 +tp1244 +a(g914 +V... +p1245 +tp1246 +a(g826 +g958 +tp1247 +a(g744 +Vexcept +p1248 +tp1249 +a(g826 +g964 +tp1250 +a(g340 +g1146 +tp1251 +a(g826 +g964 +tp1252 +a(g744 +Vas +p1253 +tp1254 +a(g826 +g964 +tp1255 +a(g340 +Vc +p1256 +tp1257 +a(g610 +g1002 +tp1258 +a(g826 +V\u000a +p1259 +tp1260 +a(g914 +V... +p1261 +tp1262 +a(g826 +g958 +tp1263 +a(g826 +V +p1264 +tp1265 +a(g744 +Vprint +p1266 +tp1267 +a(g610 +g996 +tp1268 +a(g340 +g1256 +tp1269 +a(g610 +g1000 +tp1270 +a(g826 +V\u000a +p1271 +tp1272 +a(g914 +g1068 +tp1273 +a(g826 +g958 +tp1274 +a(g826 +V\u000a +p1275 +tp1276 +a(g945 +VTraceback (most recent call last):\u000a +p1277 +tp1278 +a(g826 +V File +p1279 +tp1280 +a(g586 +V"" +p1281 +tp1282 +a(g826 +V, line +p1283 +tp1284 +a(g22 +V3 +p1285 +tp1286 +a(g826 +V, in +p1287 +tp1288 +a(g340 +V +p1289 +tp1290 +a(g826 +V\u000a +p1291 +tp1292 +a(g937 +V__main__.A +p1293 +tp1294 +a(g826 +V: +p1295 +tp1296 +a(g340 +Vfirst +p1297 +tp1298 +a(g826 +V\u000a +p1299 +tp1300 +a(g8 +V\u000a +p1301 +tp1302 +a(g8 +VDuring handling of the above exception, another exception occurred:\u000a +p1303 +tp1304 +a(g8 +V\u000a +p1305 +tp1306 +a(g945 +VTraceback (most recent call last):\u000a +p1307 +tp1308 +a(g826 +V File +p1309 +tp1310 +a(g586 +V"" +p1311 +tp1312 +a(g826 +V, line +p1313 +tp1314 +a(g22 +g1051 +tp1315 +a(g826 +V, in +p1316 +tp1317 +a(g340 +V +p1318 +tp1319 +a(g826 +V\u000a +p1320 +tp1321 +a(g937 +V__main__.B +p1322 +tp1323 +a(g826 +V: +p1324 +tp1325 +a(g340 +Vsecond +p1326 +tp1327 +a(g826 +V\u000a +p1328 +tp1329 +a(g8 +V\u000a +p1330 +tp1331 +a(g340 +g958 +tp1332 +a(g914 +V>>> +p1333 +tp1334 +a(g340 +g988 +tp1335 +a(g826 +g964 +tp1336 +a(g317 +g1014 +tp1337 +a(g826 +V\u000a +p1338 +tp1339 +a(g945 +g958 +tp1340 +a(g826 +V File +p1341 +tp1342 +a(g586 +V"" +p1343 +tp1344 +a(g826 +V, line +p1345 +tp1346 +a(g22 +V1 +p1347 +tp1348 +a(g826 +V\u000a +p1349 +tp1350 +a(g826 +V +p1351 +tp1352 +a(g340 +g988 +tp1353 +a(g826 +g964 +tp1354 +a(g317 +g1014 +tp1355 +a(g826 +V\u000a +p1356 +tp1357 +a(g826 +V +p1358 +tp1359 +a(g826 +V +p1360 +tp1361 +a(g317 +V^ +p1362 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g937 +VSyntaxError +p1366 +tp1367 +a(g826 +V: +p1368 +tp1369 +a(g340 +Vinvalid syntax +p1370 +tp1371 +a(g826 +V\u000a +p1372 +tp1373 +a(g8 +V>>>\u000a +p1374 +tp1375 +a(g8 +V\u000a +p1376 +tp1377 +a(g340 +g958 +tp1378 +a(g914 +V>>> +p1379 +tp1380 +a(g340 +g988 +tp1381 +a(g826 +g964 +tp1382 +a(g317 +g1014 +tp1383 +a(g826 +g964 +tp1384 +a(g27 +g1285 +tp1385 +a(g826 +V\u000a +p1386 +tp1387 +a(g914 +V>>> +p1388 +tp1389 +a(g826 +g958 +tp1390 +a(g744 +Vwith +p1391 +tp1392 +a(g826 +g964 +tp1393 +a(g27 +g1051 +tp1394 +a(g826 +g964 +tp1395 +a(g744 +Vas +p1396 +tp1397 +a(g826 +g964 +tp1398 +a(g340 +g1011 +tp1399 +a(g610 +g1002 +tp1400 +a(g826 +V\u000a +p1401 +tp1402 +a(g914 +V... +p1403 +tp1404 +a(g826 +g958 +tp1405 +a(g826 +V +p1406 +tp1407 +a(g744 +Vprint +p1408 +tp1409 +a(g610 +g996 +tp1410 +a(g340 +g988 +tp1411 +a(g826 +g964 +tp1412 +a(g317 +g1019 +tp1413 +a(g826 +g964 +tp1414 +a(g340 +g1011 +tp1415 +a(g610 +g1000 +tp1416 +a(g826 +V\u000a +p1417 +tp1418 +a(g914 +g1068 +tp1419 +a(g826 +g958 +tp1420 +a(g826 +V\u000a +p1421 +tp1422 +a(g8 +V8\u000a +p1423 +tp1424 +a(g8 +V\u000a +p1425 +tp1426 +a(g8 +V# TODO\u000a +p1427 +tp1428 +a(g8 +V#>>> raise ValueError('multi\u005cn line\u005cndetail')\u000a +p1429 +tp1430 +a(g8 +V#Traceback (most recent call last):\u000a +p1431 +tp1432 +a(g8 +V#........\u000a +p1433 +tp1434 +a(g8 +V#ValueError: multi\u000a +p1435 +tp1436 +a(g8 +V# line\u000a +p1437 +tp1438 +a(g8 +V#detail\u000a +p1439 +tp1440 +a(g8 +V\u000a +p1441 +tp1442 +a(g744 +g958 +tp1443 +a(g914 +V>>> +p1444 +tp1445 +a(g744 +Vraise +p1446 +tp1447 +a(g826 +g964 +tp1448 +a(g517 +VValueError +p1449 +tp1450 +a(g610 +g996 +tp1451 +a(g222 +g1210 +tp1452 +a(g222 +Vmulti +p1453 +tp1454 +a(g205 +V\u005cn +p1455 +tp1456 +a(g222 +V line +p1457 +tp1458 +a(g205 +V\u005cn +p1459 +tp1460 +a(g222 +Vdetail +p1461 +tp1462 +a(g222 +g1210 +tp1463 +a(g610 +g1000 +tp1464 +a(g826 +V\u000a +p1465 +tp1466 +a(g945 +VTraceback (most recent call last):\u000a +p1467 +tp1468 +a(g937 +V .123\u000aValueError +p1469 +tp1470 +a(g826 +V: +p1471 +tp1472 +a(g340 +Vmulti +p1473 +tp1474 +a(g826 +V\u000a +p1475 +tp1476 +a(g8 +V line\u000a +p1477 +tp1478 +a(g8 +Vdetail\u000a +p1479 +tp1480 +a(g8 +V\u000a +p1481 +tp1482 +a(g744 +g958 +tp1483 +a(g914 +V>>> +p1484 +tp1485 +a(g744 +Vraise +p1486 +tp1487 +a(g826 +g964 +tp1488 +a(g517 +VValueError +p1489 +tp1490 +a(g610 +g996 +tp1491 +a(g222 +g1210 +tp1492 +a(g222 +Vmulti +p1493 +tp1494 +a(g205 +V\u005cn +p1495 +tp1496 +a(g222 +V line +p1497 +tp1498 +a(g205 +V\u005cn +p1499 +tp1500 +a(g222 +Vdetail +p1501 +tp1502 +a(g222 +g1210 +tp1503 +a(g610 +g1000 +tp1504 +a(g826 +V\u000a +p1505 +tp1506 +a(g945 +VTraceback (most recent call last):\u000a +p1507 +tp1508 +a(g826 +V +p1509 +tp1510 +a(g317 +V. +p1511 +tp1512 +a(g317 +g1511 +tp1513 +a(g317 +g1511 +tp1514 +a(g826 +V\u000a +p1515 +tp1516 +a(g937 +VValueError +p1517 +tp1518 +a(g826 +V: +p1519 +tp1520 +a(g340 +Vmulti +p1521 +tp1522 +a(g826 +V\u000a +p1523 +tp1524 +a(g8 +V line\u000a +p1525 +tp1526 +a(g8 +Vdetail\u000a +p1527 +tp1528 +a(g8 +V\u000a +p1529 +tp1530 +a(g744 +g958 +tp1531 +a(g914 +V>>> +p1532 +tp1533 +a(g744 +Vraise +p1534 +tp1535 +a(g826 +g964 +tp1536 +a(g517 +VValueError +p1537 +tp1538 +a(g610 +g996 +tp1539 +a(g222 +g1210 +tp1540 +a(g222 +Vmulti +p1541 +tp1542 +a(g205 +V\u005cn +p1543 +tp1544 +a(g222 +V line +p1545 +tp1546 +a(g205 +V\u005cn +p1547 +tp1548 +a(g222 +Vdetail +p1549 +tp1550 +a(g222 +g1210 +tp1551 +a(g610 +g1000 +tp1552 +a(g826 +V\u000a +p1553 +tp1554 +a(g945 +VTraceback (most recent call last):\u000a +p1555 +tp1556 +a(g826 +V +p1557 +tp1558 +a(g317 +g1511 +tp1559 +a(g317 +g1511 +tp1560 +a(g317 +g1511 +tp1561 +a(g317 +g1511 +tp1562 +a(g826 +V\u000a +p1563 +tp1564 +a(g937 +VValueError +p1565 +tp1566 +a(g826 +V: +p1567 +tp1568 +a(g340 +Vmulti +p1569 +tp1570 +a(g826 +V\u000a +p1571 +tp1572 +a(g8 +V line\u000a +p1573 +tp1574 +a(g8 +Vdetail\u000a +p1575 +tp1576 +a(g8 +V\u000a +p1577 +tp1578 +a(g744 +g958 +tp1579 +a(g914 +V>>> +p1580 +tp1581 +a(g744 +Vraise +p1582 +tp1583 +a(g826 +g964 +tp1584 +a(g517 +VValueError +p1585 +tp1586 +a(g610 +g996 +tp1587 +a(g222 +g1210 +tp1588 +a(g222 +Vmulti +p1589 +tp1590 +a(g205 +V\u005cn +p1591 +tp1592 +a(g222 +V line +p1593 +tp1594 +a(g205 +V\u005cn +p1595 +tp1596 +a(g222 +Vdetail +p1597 +tp1598 +a(g222 +g1210 +tp1599 +a(g610 +g1000 +tp1600 +a(g826 +V\u000a +p1601 +tp1602 +a(g945 +VTraceback (most recent call last):\u000a +p1603 +tp1604 +a(g826 +V +p1605 +tp1606 +a(g826 +g964 +tp1607 +a(g317 +g1511 +tp1608 +a(g317 +g1511 +tp1609 +a(g317 +g1511 +tp1610 +a(g317 +g1511 +tp1611 +a(g826 +V\u000a +p1612 +tp1613 +a(g937 +VValueError +p1614 +tp1615 +a(g826 +V: +p1616 +tp1617 +a(g340 +Vmulti +p1618 +tp1619 +a(g826 +V\u000a +p1620 +tp1621 +a(g8 +V line\u000a +p1622 +tp1623 +a(g8 +Vdetail\u000a +p1624 +tp1625 +a(g8 +V\u000a +p1626 +tp1627 +a(g744 +g958 +tp1628 +a(g914 +V>>> +p1629 +tp1630 +a(g744 +Vraise +p1631 +tp1632 +a(g826 +g964 +tp1633 +a(g517 +VValueError +p1634 +tp1635 +a(g610 +g996 +tp1636 +a(g222 +g1210 +tp1637 +a(g222 +Vmulti +p1638 +tp1639 +a(g205 +V\u005cn +p1640 +tp1641 +a(g222 +V line +p1642 +tp1643 +a(g205 +V\u005cn +p1644 +tp1645 +a(g222 +Vdetail +p1646 +tp1647 +a(g222 +g1210 +tp1648 +a(g610 +g1000 +tp1649 +a(g826 +V\u000a +p1650 +tp1651 +a(g945 +VTraceback (most recent call last):\u000a +p1652 +tp1653 +a(g826 +V +p1654 +tp1655 +a(g626 +V... +p1656 +tp1657 +a(g826 +V\u000a +p1658 +tp1659 +a(g937 +VValueError +p1660 +tp1661 +a(g826 +V: +p1662 +tp1663 +a(g340 +Vmulti +p1664 +tp1665 +a(g826 +V\u000a +p1666 +tp1667 +a(g8 +V line\u000a +p1668 +tp1669 +a(g8 +Vdetail\u000a +p1670 +tp1671 +a(g8 +V\u000a +p1672 +tp1673 +a(g744 +g958 +tp1674 +a(g914 +V>>> +p1675 +tp1676 +a(g744 +Vraise +p1677 +tp1678 +a(g826 +g964 +tp1679 +a(g517 +VException +p1680 +tp1681 +a(g826 +V\u000a +p1682 +tp1683 +a(g945 +VTraceback (most recent call last):\u000a +p1684 +tp1685 +a(g826 +V File +p1686 +tp1687 +a(g586 +V"" +p1688 +tp1689 +a(g826 +V, line +p1690 +tp1691 +a(g22 +g1347 +tp1692 +a(g826 +V, in +p1693 +tp1694 +a(g340 +V +p1695 +tp1696 +a(g826 +V\u000a +p1697 +tp1698 +a(g937 +VException +p1699 +tp1700 +a(g826 +V\u000a +p1701 +tp1702 +a(g770 +g958 +tp1703 +a(g914 +V>>> +p1704 +tp1705 +a(g770 +Vimport +p1706 +tp1707 +a(g826 +g964 +tp1708 +a(g525 +Vsomemodule +p1709 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g914 +V>>> +p1713 +tp1714 +a(g826 +g958 +tp1715 +a(g340 +Vsomemodule +p1716 +tp1717 +a(g317 +g1511 +tp1718 +a(g340 +Vblah +p1719 +tp1720 +a(g610 +g996 +tp1721 +a(g610 +g1000 +tp1722 +a(g826 +V\u000a +p1723 +tp1724 +a(g945 +VTraceback (most recent call last):\u000a +p1725 +tp1726 +a(g826 +V File +p1727 +tp1728 +a(g586 +V"" +p1729 +tp1730 +a(g826 +V, line +p1731 +tp1732 +a(g22 +g1347 +tp1733 +a(g826 +V, in +p1734 +tp1735 +a(g340 +V +p1736 +tp1737 +a(g826 +V\u000a +p1738 +tp1739 +a(g826 +V File +p1740 +tp1741 +a(g586 +V"/path/to/stuff/somemodule/blah.py" +p1742 +tp1743 +a(g826 +V, line +p1744 +tp1745 +a(g22 +V658 +p1746 +tp1747 +a(g826 +V, in +p1748 +tp1749 +a(g340 +Vblah +p1750 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g826 +V +p1754 +tp1755 +a(g744 +Vraise +p1756 +tp1757 +a(g826 +g964 +tp1758 +a(g517 +VException +p1759 +tp1760 +a(g610 +g996 +tp1761 +a(g222 +g1210 +tp1762 +a(g222 +VHi. +p1763 +tp1764 +a(g222 +g1210 +tp1765 +a(g610 +g1000 +tp1766 +a(g826 +V\u000a +p1767 +tp1768 +a(g937 +VException +p1769 +tp1770 +a(g826 +V: +p1771 +tp1772 +a(g340 +VHi. +p1773 +tp1774 +a(g826 +V\u000a +p1775 +tp1776 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pycon_test.pycon b/tests/examplefiles/output/pycon_test.pycon new file mode 100644 index 0000000..879bc06 --- /dev/null +++ b/tests/examplefiles/output/pycon_test.pycon @@ -0,0 +1,2530 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g349 +V>>> +p958 +tp959 +a(g7 +V: +p960 +tp961 +a(g892 +V\u000a +p962 +tp963 +a(g381 +g956 +tp964 +a(g892 +V File +p965 +tp966 +a(g669 +V"" +p967 +tp968 +a(g892 +V, line +p969 +tp970 +a(g17 +V1 +p971 +tp972 +a(g892 +V\u000a +p973 +tp974 +a(g892 +V +p975 +tp976 +a(g7 +g960 +tp977 +a(g892 +V\u000a +p978 +tp979 +a(g892 +V +p980 +tp981 +a(g400 +V^ +p982 +tp983 +a(g892 +V\u000a +p984 +tp985 +a(g373 +VSyntaxError +p986 +tp987 +a(g892 +V: +p988 +tp989 +a(g423 +Vinvalid syntax +p990 +tp991 +a(g892 +V\u000a +p992 +tp993 +a(g892 +g956 +tp994 +a(g349 +V>>> +p995 +tp996 +a(g892 +V\u000a +p997 +tp998 +a(g616 +VKeyboardInterrupt\u000a +p999 +tp1000 +a(g390 +V>>>\u000a +p1001 +tp1002 +a(g390 +V\u000a +p1003 +tp1004 +a(g22 +g956 +tp1005 +a(g349 +V>>> +p1006 +tp1007 +a(g22 +g971 +tp1008 +a(g400 +V/ +p1009 +tp1010 +a(g22 +V0 +p1011 +tp1012 +a(g892 +V\u000a +p1013 +tp1014 +a(g381 +VTraceback (most recent call last):\u000a +p1015 +tp1016 +a(g892 +V +p1017 +tp1018 +a(g693 +V... +p1019 +tp1020 +a(g892 +V\u000a +p1021 +tp1022 +a(g373 +VZeroDivisionError +p1023 +tp1024 +a(g892 +V\u000a +p1025 +tp1026 +a(g390 +V\u000a +p1027 +tp1028 +a(g22 +g956 +tp1029 +a(g349 +V>>> +p1030 +tp1031 +a(g22 +g971 +tp1032 +a(g400 +g1009 +tp1033 +a(g22 +g1011 +tp1034 +a(g892 +V +p1035 +tp1036 +a(g729 +V# this used to swallow the traceback +p1037 +tp1038 +a(g892 +V\u000a +p1039 +tp1040 +a(g381 +VTraceback (most recent call last):\u000a +p1041 +tp1042 +a(g892 +V +p1043 +tp1044 +a(g693 +V... +p1045 +tp1046 +a(g892 +V\u000a +p1047 +tp1048 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pytb_test2.pytb b/tests/examplefiles/output/pytb_test2.pytb new file mode 100644 index 0000000..c29ddea --- /dev/null +++ b/tests/examplefiles/output/pytb_test2.pytb @@ -0,0 +1,2375 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Traceback' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsS'Strong' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg34 +g35 +((lp932 +tp933 +Rp934 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg5 +g8 +sg34 +g35 +((lp942 +g898 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag930 +ag906 +ag937 +ag8 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g826 +V File +p958 +tp959 +a(g586 +V"temp.py" +p960 +tp961 +a(g826 +V, line +p962 +tp963 +a(g22 +V1 +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g937 +VSyntaxError +p968 +tp969 +a(g826 +V: +p970 +tp971 +a(g340 +VNon-ASCII character '\u005cxc3' in file temp.py on line 1, but no encoding declared; see http://www.python.org/peps/pep-0263.html for details +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pytb_test3.pytb b/tests/examplefiles/output/pytb_test3.pytb new file mode 100644 index 0000000..1bab41a --- /dev/null +++ b/tests/examplefiles/output/pytb_test3.pytb @@ -0,0 +1,2387 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Other' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sg4 +g1 +(g2 +g3 +(g14 +g4 +tp57 +tp58 +Rp59 +(dp60 +g9 +g39 +sg29 +g30 +((lp61 +tp62 +Rp63 +sbsS'Char' +p64 +g1 +(g2 +g3 +(g14 +g64 +tp65 +tp66 +Rp67 +(dp68 +g9 +g39 +sg29 +g30 +((lp69 +tp70 +Rp71 +sbsS'String' +p72 +g1 +(g2 +g3 +(g14 +g72 +tp73 +tp74 +Rp75 +(dp76 +g64 +g1 +(g2 +g3 +(g14 +g72 +g64 +tp77 +tp78 +Rp79 +(dp80 +g9 +g75 +sg29 +g30 +((lp81 +tp82 +Rp83 +sbsS'Backtick' +p84 +g1 +(g2 +g3 +(g14 +g72 +g84 +tp85 +tp86 +Rp87 +(dp88 +g9 +g75 +sg29 +g30 +((lp89 +tp90 +Rp91 +sbsS'Heredoc' +p92 +g1 +(g2 +g3 +(g14 +g72 +g92 +tp93 +tp94 +Rp95 +(dp96 +g9 +g75 +sg29 +g30 +((lp97 +tp98 +Rp99 +sbsS'Symbol' +p100 +g1 +(g2 +g3 +(g14 +g72 +g100 +tp101 +tp102 +Rp103 +(dp104 +g9 +g75 +sg29 +g30 +((lp105 +tp106 +Rp107 +sbsS'Interpol' +p108 +g1 +(g2 +g3 +(g14 +g72 +g108 +tp109 +tp110 +Rp111 +(dp112 +g9 +g75 +sg29 +g30 +((lp113 +tp114 +Rp115 +sbsS'Delimiter' +p116 +g1 +(g2 +g3 +(g14 +g72 +g116 +tp117 +tp118 +Rp119 +(dp120 +g9 +g75 +sg29 +g30 +((lp121 +tp122 +Rp123 +sbsS'Boolean' +p124 +g1 +(g2 +g3 +(g14 +g72 +g124 +tp125 +tp126 +Rp127 +(dp128 +g9 +g75 +sg29 +g30 +((lp129 +tp130 +Rp131 +sbsS'Character' +p132 +g1 +(g2 +g3 +(g14 +g72 +g132 +tp133 +tp134 +Rp135 +(dp136 +g9 +g75 +sg29 +g30 +((lp137 +tp138 +Rp139 +sbsS'Double' +p140 +g1 +(g2 +g3 +(g14 +g72 +g140 +tp141 +tp142 +Rp143 +(dp144 +g9 +g75 +sg29 +g30 +((lp145 +tp146 +Rp147 +sbsS'Delimeter' +p148 +g1 +(g2 +g3 +(g14 +g72 +g148 +tp149 +tp150 +Rp151 +(dp152 +g9 +g75 +sg29 +g30 +((lp153 +tp154 +Rp155 +sbsS'Atom' +p156 +g1 +(g2 +g3 +(g14 +g72 +g156 +tp157 +tp158 +Rp159 +(dp160 +g9 +g75 +sg29 +g30 +((lp161 +tp162 +Rp163 +sbsS'Affix' +p164 +g1 +(g2 +g3 +(g14 +g72 +g164 +tp165 +tp166 +Rp167 +(dp168 +g9 +g75 +sg29 +g30 +((lp169 +tp170 +Rp171 +sbsS'Name' +p172 +g1 +(g2 +g3 +(g14 +g72 +g172 +tp173 +tp174 +Rp175 +(dp176 +g9 +g75 +sg29 +g30 +((lp177 +tp178 +Rp179 +sbsS'Regex' +p180 +g1 +(g2 +g3 +(g14 +g72 +g180 +tp181 +tp182 +Rp183 +(dp184 +g9 +g75 +sg29 +g30 +((lp185 +tp186 +Rp187 +sbsS'Interp' +p188 +g1 +(g2 +g3 +(g14 +g72 +g188 +tp189 +tp190 +Rp191 +(dp192 +g9 +g75 +sg29 +g30 +((lp193 +tp194 +Rp195 +sbsS'Escape' +p196 +g1 +(g2 +g3 +(g14 +g72 +g196 +tp197 +tp198 +Rp199 +(dp200 +g9 +g75 +sg29 +g30 +((lp201 +tp202 +Rp203 +sbsg29 +g30 +((lp204 +g119 +ag103 +ag183 +ag1 +(g2 +g3 +(g14 +g72 +S'Doc' +p205 +tp206 +tp207 +Rp208 +(dp209 +g9 +g75 +sg29 +g30 +((lp210 +tp211 +Rp212 +sbag135 +ag127 +ag143 +ag111 +ag159 +ag151 +ag175 +ag199 +ag1 +(g2 +g3 +(g14 +g72 +S'Single' +p213 +tp214 +tp215 +Rp216 +(dp217 +g9 +g75 +sg29 +g30 +((lp218 +tp219 +Rp220 +sbag1 +(g2 +g3 +(g14 +g72 +g4 +tp221 +tp222 +Rp223 +(dp224 +g9 +g75 +sg29 +g30 +((lp225 +tp226 +Rp227 +sbag191 +ag87 +ag167 +ag1 +(g2 +g3 +(g14 +g72 +S'Moment' +p228 +tp229 +tp230 +Rp231 +(dp232 +g9 +g75 +sg29 +g30 +((lp233 +tp234 +Rp235 +sbag79 +ag95 +atp236 +Rp237 +sg213 +g216 +sg228 +g231 +sg9 +g39 +sg4 +g223 +sg205 +g208 +sbsg29 +g30 +((lp238 +g75 +ag67 +ag59 +ag1 +(g2 +g3 +(g14 +S'Date' +p239 +tp240 +tp241 +Rp242 +(dp243 +g9 +g39 +sg29 +g30 +((lp244 +tp245 +Rp246 +sbag44 +ag17 +atp247 +Rp248 +sg239 +g242 +sbsS'Bin' +p249 +g1 +(g2 +g3 +(g14 +g13 +g249 +tp250 +tp251 +Rp252 +(dp253 +g9 +g17 +sg29 +g30 +((lp254 +tp255 +Rp256 +sbsS'Radix' +p257 +g1 +(g2 +g3 +(g14 +g13 +g257 +tp258 +tp259 +Rp260 +(dp261 +g9 +g17 +sg29 +g30 +((lp262 +tp263 +Rp264 +sbsS'Oct' +p265 +g1 +(g2 +g3 +(g14 +g13 +g265 +tp266 +tp267 +Rp268 +(dp269 +g9 +g17 +sg29 +g30 +((lp270 +tp271 +Rp272 +sbsS'Dec' +p273 +g1 +(g2 +g3 +(g14 +g13 +g273 +tp274 +tp275 +Rp276 +(dp277 +g9 +g17 +sg29 +g30 +((lp278 +tp279 +Rp280 +sbsS'Hex' +p281 +g1 +(g2 +g3 +(g14 +g13 +g281 +tp282 +tp283 +Rp284 +(dp285 +g9 +g17 +sg29 +g30 +((lp286 +tp287 +Rp288 +sbsg29 +g30 +((lp289 +g22 +ag260 +ag276 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p290 +tp291 +tp292 +Rp293 +(dp294 +g9 +g17 +sg29 +g30 +((lp295 +tp296 +Rp297 +sbag252 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p298 +tp299 +tp300 +Rp301 +(dp302 +g9 +g17 +sg29 +g30 +((lp303 +tp304 +Rp305 +sbag268 +ag284 +atp306 +Rp307 +sg290 +g293 +sg298 +g301 +sbsS'Generic' +p308 +g1 +(g2 +g3 +(g308 +tp309 +tp310 +Rp311 +(dp312 +g9 +g11 +sS'Deleted' +p313 +g1 +(g2 +g3 +(g308 +g313 +tp314 +tp315 +Rp316 +(dp317 +g9 +g311 +sg29 +g30 +((lp318 +tp319 +Rp320 +sbsS'Subheading' +p321 +g1 +(g2 +g3 +(g308 +g321 +tp322 +tp323 +Rp324 +(dp325 +g9 +g311 +sg29 +g30 +((lp326 +tp327 +Rp328 +sbsS'Heading' +p329 +g1 +(g2 +g3 +(g308 +g329 +tp330 +tp331 +Rp332 +(dp333 +g9 +g311 +sg29 +g30 +((lp334 +tp335 +Rp336 +sbsS'Emph' +p337 +g1 +(g2 +g3 +(g308 +g337 +tp338 +tp339 +Rp340 +(dp341 +g9 +g311 +sg29 +g30 +((lp342 +tp343 +Rp344 +sbsS'Prompt' +p345 +g1 +(g2 +g3 +(g308 +g345 +tp346 +tp347 +Rp348 +(dp349 +g9 +g311 +sg29 +g30 +((lp350 +tp351 +Rp352 +sbsS'Inserted' +p353 +g1 +(g2 +g3 +(g308 +g353 +tp354 +tp355 +Rp356 +(dp357 +g9 +g311 +sg29 +g30 +((lp358 +tp359 +Rp360 +sbsS'Strong' +p361 +g1 +(g2 +g3 +(g308 +g361 +tp362 +tp363 +Rp364 +(dp365 +g9 +g311 +sg29 +g30 +((lp366 +tp367 +Rp368 +sbsS'Error' +p369 +g1 +(g2 +g3 +(g308 +g369 +tp370 +tp371 +Rp372 +(dp373 +g9 +g311 +sg29 +g30 +((lp374 +tp375 +Rp376 +sbsS'Traceback' +p377 +g1 +(g2 +g3 +(g308 +g377 +tp378 +tp379 +Rp380 +(dp381 +g9 +g311 +sg29 +g30 +((lp382 +tp383 +Rp384 +sbsg29 +g30 +((lp385 +g332 +ag324 +ag1 +(g2 +g3 +(g308 +S'Output' +p386 +tp387 +tp388 +Rp389 +(dp390 +g9 +g311 +sg29 +g30 +((lp391 +tp392 +Rp393 +sbag364 +ag340 +ag372 +ag380 +ag356 +ag348 +ag316 +atp394 +Rp395 +sg386 +g389 +sbsS'Operator' +p396 +g1 +(g2 +g3 +(g396 +tp397 +tp398 +Rp399 +(dp400 +g9 +g11 +sS'DBS' +p401 +g1 +(g2 +g3 +(g396 +g401 +tp402 +tp403 +Rp404 +(dp405 +g9 +g399 +sg29 +g30 +((lp406 +tp407 +Rp408 +sbsg29 +g30 +((lp409 +g404 +ag1 +(g2 +g3 +(g396 +S'Word' +p410 +tp411 +tp412 +Rp413 +(dp414 +g9 +g399 +sg29 +g30 +((lp415 +tp416 +Rp417 +sbatp418 +Rp419 +sg410 +g413 +sbsg72 +g75 +sg172 +g1 +(g2 +g3 +(g172 +tp420 +tp421 +Rp422 +(dp423 +S'Variable' +p424 +g1 +(g2 +g3 +(g172 +g424 +tp425 +tp426 +Rp427 +(dp428 +g9 +g422 +sS'Class' +p429 +g1 +(g2 +g3 +(g172 +g424 +g429 +tp430 +tp431 +Rp432 +(dp433 +g9 +g427 +sg29 +g30 +((lp434 +tp435 +Rp436 +sbsS'Anonymous' +p437 +g1 +(g2 +g3 +(g172 +g424 +g437 +tp438 +tp439 +Rp440 +(dp441 +g9 +g427 +sg29 +g30 +((lp442 +tp443 +Rp444 +sbsS'Instance' +p445 +g1 +(g2 +g3 +(g172 +g424 +g445 +tp446 +tp447 +Rp448 +(dp449 +g9 +g427 +sg29 +g30 +((lp450 +tp451 +Rp452 +sbsS'Global' +p453 +g1 +(g2 +g3 +(g172 +g424 +g453 +tp454 +tp455 +Rp456 +(dp457 +g9 +g427 +sg29 +g30 +((lp458 +tp459 +Rp460 +sbsg29 +g30 +((lp461 +g440 +ag448 +ag1 +(g2 +g3 +(g172 +g424 +S'Magic' +p462 +tp463 +tp464 +Rp465 +(dp466 +g9 +g427 +sg29 +g30 +((lp467 +tp468 +Rp469 +sbag456 +ag432 +atp470 +Rp471 +sg462 +g465 +sbsg396 +g1 +(g2 +g3 +(g172 +g396 +tp472 +tp473 +Rp474 +(dp475 +g9 +g422 +sg29 +g30 +((lp476 +tp477 +Rp478 +sbsS'Decorator' +p479 +g1 +(g2 +g3 +(g172 +g479 +tp480 +tp481 +Rp482 +(dp483 +g9 +g422 +sg29 +g30 +((lp484 +tp485 +Rp486 +sbsS'Entity' +p487 +g1 +(g2 +g3 +(g172 +g487 +tp488 +tp489 +Rp490 +(dp491 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g487 +g401 +tp492 +tp493 +Rp494 +(dp495 +g9 +g490 +sg29 +g30 +((lp496 +tp497 +Rp498 +sbsg29 +g30 +((lp499 +g494 +atp500 +Rp501 +sbsg100 +g1 +(g2 +g3 +(g172 +g100 +tp502 +tp503 +Rp504 +(dp505 +g9 +g422 +sg29 +g30 +((lp506 +tp507 +Rp508 +sbsS'Property' +p509 +g1 +(g2 +g3 +(g172 +g509 +tp510 +tp511 +Rp512 +(dp513 +g9 +g422 +sg29 +g30 +((lp514 +tp515 +Rp516 +sbsS'Pseudo' +p517 +g1 +(g2 +g3 +(g172 +g517 +tp518 +tp519 +Rp520 +(dp521 +g9 +g422 +sg29 +g30 +((lp522 +tp523 +Rp524 +sbsS'Type' +p525 +g1 +(g2 +g3 +(g172 +g525 +tp526 +tp527 +Rp528 +(dp529 +g9 +g422 +sg29 +g30 +((lp530 +tp531 +Rp532 +sbsS'Classes' +p533 +g1 +(g2 +g3 +(g172 +g533 +tp534 +tp535 +Rp536 +(dp537 +g9 +g422 +sg29 +g30 +((lp538 +tp539 +Rp540 +sbsS'Tag' +p541 +g1 +(g2 +g3 +(g172 +g541 +tp542 +tp543 +Rp544 +(dp545 +g9 +g422 +sg29 +g30 +((lp546 +tp547 +Rp548 +sbsS'Constant' +p549 +g1 +(g2 +g3 +(g172 +g549 +tp550 +tp551 +Rp552 +(dp553 +g9 +g422 +sg29 +g30 +((lp554 +tp555 +Rp556 +sbsS'Function' +p557 +g1 +(g2 +g3 +(g172 +g557 +tp558 +tp559 +Rp560 +(dp561 +g9 +g422 +sg29 +g30 +((lp562 +g1 +(g2 +g3 +(g172 +g557 +g462 +tp563 +tp564 +Rp565 +(dp566 +g9 +g560 +sg29 +g30 +((lp567 +tp568 +Rp569 +sbatp570 +Rp571 +sg462 +g565 +sbsS'Blubb' +p572 +g1 +(g2 +g3 +(g172 +g572 +tp573 +tp574 +Rp575 +(dp576 +g9 +g422 +sg29 +g30 +((lp577 +tp578 +Rp579 +sbsS'Label' +p580 +g1 +(g2 +g3 +(g172 +g580 +tp581 +tp582 +Rp583 +(dp584 +g9 +g422 +sg29 +g30 +((lp585 +tp586 +Rp587 +sbsS'Field' +p588 +g1 +(g2 +g3 +(g172 +g588 +tp589 +tp590 +Rp591 +(dp592 +g9 +g422 +sg29 +g30 +((lp593 +tp594 +Rp595 +sbsS'Exception' +p596 +g1 +(g2 +g3 +(g172 +g596 +tp597 +tp598 +Rp599 +(dp600 +g9 +g422 +sg29 +g30 +((lp601 +tp602 +Rp603 +sbsS'Namespace' +p604 +g1 +(g2 +g3 +(g172 +g604 +tp605 +tp606 +Rp607 +(dp608 +g9 +g422 +sg29 +g30 +((lp609 +tp610 +Rp611 +sbsg29 +g30 +((lp612 +g482 +ag575 +ag520 +ag490 +ag427 +ag599 +ag512 +ag544 +ag560 +ag536 +ag1 +(g2 +g3 +(g172 +g429 +tp613 +tp614 +Rp615 +(dp616 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g429 +g401 +tp617 +tp618 +Rp619 +(dp620 +g9 +g615 +sg29 +g30 +((lp621 +tp622 +Rp623 +sbsg29 +g30 +((lp624 +g1 +(g2 +g3 +(g172 +g429 +S'Start' +p625 +tp626 +tp627 +Rp628 +(dp629 +g9 +g615 +sg29 +g30 +((lp630 +tp631 +Rp632 +sbag619 +atp633 +Rp634 +sg625 +g628 +sbag1 +(g2 +g3 +(g172 +g4 +tp635 +tp636 +Rp637 +(dp638 +g9 +g422 +sS'Member' +p639 +g1 +(g2 +g3 +(g172 +g4 +g639 +tp640 +tp641 +Rp642 +(dp643 +g9 +g637 +sg29 +g30 +((lp644 +tp645 +Rp646 +sbsg29 +g30 +((lp647 +g642 +atp648 +Rp649 +sbag583 +ag474 +ag607 +ag1 +(g2 +g3 +(g172 +S'Attribute' +p650 +tp651 +tp652 +Rp653 +(dp654 +g9 +g422 +sg424 +g1 +(g2 +g3 +(g172 +g650 +g424 +tp655 +tp656 +Rp657 +(dp658 +g9 +g653 +sg29 +g30 +((lp659 +tp660 +Rp661 +sbsg29 +g30 +((lp662 +g657 +atp663 +Rp664 +sbag552 +ag1 +(g2 +g3 +(g172 +S'Builtin' +p665 +tp666 +tp667 +Rp668 +(dp669 +g9 +g422 +sg525 +g1 +(g2 +g3 +(g172 +g665 +g525 +tp670 +tp671 +Rp672 +(dp673 +g9 +g668 +sg29 +g30 +((lp674 +tp675 +Rp676 +sbsg29 +g30 +((lp677 +g1 +(g2 +g3 +(g172 +g665 +g517 +tp678 +tp679 +Rp680 +(dp681 +g9 +g668 +sg29 +g30 +((lp682 +tp683 +Rp684 +sbag672 +atp685 +Rp686 +sg517 +g680 +sbag591 +ag528 +ag504 +atp687 +Rp688 +sg9 +g11 +sg429 +g615 +sg665 +g668 +sg650 +g653 +sg4 +g637 +sbsS'Punctuation' +p689 +g1 +(g2 +g3 +(g689 +tp690 +tp691 +Rp692 +(dp693 +g9 +g11 +sg29 +g30 +((lp694 +g1 +(g2 +g3 +(g689 +S'Indicator' +p695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g692 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbatp703 +Rp704 +sg695 +g698 +sbsS'Comment' +p705 +g1 +(g2 +g3 +(g705 +tp706 +tp707 +Rp708 +(dp709 +S'Multi' +p710 +g1 +(g2 +g3 +(g705 +g710 +tp711 +tp712 +Rp713 +(dp714 +g9 +g708 +sg29 +g30 +((lp715 +tp716 +Rp717 +sbsg9 +g11 +sS'Special' +p718 +g1 +(g2 +g3 +(g705 +g718 +tp719 +tp720 +Rp721 +(dp722 +g9 +g708 +sg29 +g30 +((lp723 +tp724 +Rp725 +sbsS'Hashbang' +p726 +g1 +(g2 +g3 +(g705 +g726 +tp727 +tp728 +Rp729 +(dp730 +g9 +g708 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Preproc' +p734 +g1 +(g2 +g3 +(g705 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g708 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg213 +g1 +(g2 +g3 +(g705 +g213 +tp742 +tp743 +Rp744 +(dp745 +g9 +g708 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Directive' +p749 +g1 +(g2 +g3 +(g705 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g708 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsg205 +g1 +(g2 +g3 +(g705 +g205 +tp757 +tp758 +Rp759 +(dp760 +g9 +g708 +sg29 +g30 +((lp761 +tp762 +Rp763 +sbsS'Singleline' +p764 +g1 +(g2 +g3 +(g705 +g764 +tp765 +tp766 +Rp767 +(dp768 +g9 +g708 +sg29 +g30 +((lp769 +tp770 +Rp771 +sbsS'Multiline' +p772 +g1 +(g2 +g3 +(g705 +g772 +tp773 +tp774 +Rp775 +(dp776 +g9 +g708 +sg29 +g30 +((lp777 +tp778 +Rp779 +sbsg29 +g30 +((lp780 +g759 +ag752 +ag729 +ag713 +ag767 +ag737 +ag775 +ag744 +ag1 +(g2 +g3 +(g705 +S'PreprocFile' +p781 +tp782 +tp783 +Rp784 +(dp785 +g9 +g708 +sg29 +g30 +((lp786 +tp787 +Rp788 +sbag1 +(g2 +g3 +(g705 +S'SingleLine' +p789 +tp790 +tp791 +Rp792 +(dp793 +g9 +g708 +sg29 +g30 +((lp794 +tp795 +Rp796 +sbag721 +atp797 +Rp798 +sg781 +g784 +sg789 +g792 +sbsg14 +g39 +sg4 +g7 +sg369 +g1 +(g2 +g3 +(g369 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g11 +sg196 +g1 +(g2 +g3 +(g196 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsg29 +g30 +((lp814 +g422 +ag7 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g9 +g11 +sg525 +g1 +(g2 +g3 +(g815 +g525 +tp820 +tp821 +Rp822 +(dp823 +g9 +g818 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g9 +g818 +sg29 +g30 +((lp832 +tp833 +Rp834 +sbsg549 +g1 +(g2 +g3 +(g815 +g549 +tp835 +tp836 +Rp837 +(dp838 +g9 +g818 +sg29 +g30 +((lp839 +tp840 +Rp841 +sbsg604 +g1 +(g2 +g3 +(g815 +g604 +tp842 +tp843 +Rp844 +(dp845 +g9 +g818 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g9 +g818 +sg29 +g30 +((lp854 +tp855 +Rp856 +sbsg517 +g1 +(g2 +g3 +(g815 +g517 +tp857 +tp858 +Rp859 +(dp860 +g9 +g818 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g9 +g818 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbsg29 +g30 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g410 +tp873 +tp874 +Rp875 +(dp876 +g9 +g818 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g9 +g818 +sg29 +g30 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g9 +g818 +sg29 +g30 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg410 +g875 +sbag311 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g900 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g900 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg9 +g11 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g9 +g900 +sg29 +g30 +((lp923 +tp924 +Rp925 +sbsg100 +g1 +(g2 +g3 +(g897 +g100 +tp926 +tp927 +Rp928 +(dp929 +g9 +g900 +sg29 +g30 +((lp930 +tp931 +Rp932 +sbsg689 +g1 +(g2 +g3 +(g897 +g689 +tp933 +tp934 +Rp935 +(dp936 +g9 +g900 +sg29 +g30 +((lp937 +tp938 +Rp939 +sbsg29 +g30 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g9 +g900 +sg29 +g30 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag399 +ag809 +ag692 +ag708 +ag801 +ag39 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg29 +g30 +((lp953 +tp954 +Rp955 +sbV>>> 3/"3"\u000a +p956 +tp957 +a(g380 +VTraceback (most recent call last):\u000a +p958 +tp959 +a(g900 +V File +p960 +tp961 +a(g668 +V"" +p962 +tp963 +a(g900 +V, line +p964 +tp965 +a(g17 +V1 +p966 +tp967 +a(g900 +V, in +p968 +tp969 +a(g422 +V +p970 +tp971 +a(g900 +V\u000a +p972 +tp973 +a(g372 +VTypeError +p974 +tp975 +a(g900 +V: +p976 +tp977 +a(g422 +Vunsupported operand type(s) for /: 'int' and 'str' +p978 +tp979 +a(g900 +V\u000a +p980 +tp981 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/python25-bsd.mak b/tests/examplefiles/output/python25-bsd.mak new file mode 100644 index 0000000..22c516e --- /dev/null +++ b/tests/examplefiles/output/python25-bsd.mak @@ -0,0 +1,7842 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g725 +V# New ports collection makefile for: python25\u000a +p958 +tp959 +a(g7 +g956 +tp960 +a(g725 +V# Date created: 3 July 2003\u000a +p961 +tp962 +a(g7 +g956 +tp963 +a(g725 +V# Whom: Hye-Shik Chang \u000a +p964 +tp965 +a(g7 +g956 +tp966 +a(g725 +V#\u000a +p967 +tp968 +a(g7 +g956 +tp969 +a(g725 +V# $FreeBSD: ports/lang/python25/Makefile,v 1.145 2007/10/03 23:22:04 edwin Exp $\u000a +p970 +tp971 +a(g7 +V\u000a +p972 +tp973 +a(g444 +VPORTNAME +p974 +tp975 +a(g416 +V= +p976 +tp977 +a(g7 +V +p978 +tp979 +a(g7 +Vpython25 +p980 +tp981 +a(g7 +V\u000a +p982 +tp983 +a(g444 +VPORTVERSION +p984 +tp985 +a(g416 +g976 +tp986 +a(g7 +g978 +tp987 +a(g35 +V2 +p988 +tp989 +a(g7 +V.5.1 +p990 +tp991 +a(g7 +V\u000a +p992 +tp993 +a(g444 +VCATEGORIES +p994 +tp995 +a(g416 +g976 +tp996 +a(g7 +g978 +tp997 +a(g7 +Vlang +p998 +tp999 +a(g7 +V +p1000 +tp1001 +a(g7 +Vpython +p1002 +tp1003 +a(g7 +g1000 +tp1004 +a(g7 +Vipv6 +p1005 +tp1006 +a(g7 +V\u000a +p1007 +tp1008 +a(g444 +VMASTER_SITES +p1009 +tp1010 +a(g416 +g976 +tp1011 +a(g7 +g978 +tp1012 +a(g128 +V${ +p1013 +tp1014 +a(g444 +VPYTHON_MASTER_SITES +p1015 +tp1016 +a(g128 +V} +p1017 +tp1018 +a(g7 +V\u000a +p1019 +tp1020 +a(g444 +VMASTER_SITE_SUBDIR +p1021 +tp1022 +a(g416 +g976 +tp1023 +a(g7 +g978 +tp1024 +a(g128 +V${ +p1025 +tp1026 +a(g444 +VPYTHON_MASTER_SITE_SUBDIR +p1027 +tp1028 +a(g128 +g1017 +tp1029 +a(g7 +V\u000a +p1030 +tp1031 +a(g444 +VDISTFILES +p1032 +tp1033 +a(g416 +g976 +tp1034 +a(g7 +g978 +tp1035 +a(g128 +V${ +p1036 +tp1037 +a(g444 +VPYTHON_DISTFILE +p1038 +tp1039 +a(g128 +g1017 +tp1040 +a(g7 +V\u000a +p1041 +tp1042 +a(g7 +V\u000a +p1043 +tp1044 +a(g444 +VMAINTAINER +p1045 +tp1046 +a(g416 +g976 +tp1047 +a(g7 +g978 +tp1048 +a(g7 +Vpython@FreeBSD.org +p1049 +tp1050 +a(g7 +V\u000a +p1051 +tp1052 +a(g444 +VCOMMENT +p1053 +tp1054 +a(g416 +V?= +p1055 +tp1056 +a(g7 +g978 +tp1057 +a(g7 +VAn +p1058 +tp1059 +a(g7 +g1000 +tp1060 +a(g7 +Vinterpreted +p1061 +tp1062 +a(g7 +g1000 +tp1063 +a(g7 +Vobject-oriented +p1064 +tp1065 +a(g7 +g1000 +tp1066 +a(g7 +Vprogramming +p1067 +tp1068 +a(g7 +g1000 +tp1069 +a(g7 +Vlanguage +p1070 +tp1071 +a(g7 +V\u000a +p1072 +tp1073 +a(g7 +V\u000a +p1074 +tp1075 +a(g444 +VDIST_SUBDIR +p1076 +tp1077 +a(g416 +g976 +tp1078 +a(g7 +g978 +tp1079 +a(g7 +Vpython +p1080 +tp1081 +a(g7 +V\u000a +p1082 +tp1083 +a(g444 +VWRKSRC +p1084 +tp1085 +a(g416 +g976 +tp1086 +a(g7 +V +p1087 +tp1088 +a(g128 +V${ +p1089 +tp1090 +a(g444 +VPYTHON_WRKSRC +p1091 +tp1092 +a(g128 +g1017 +tp1093 +a(g7 +V/portbld.static +p1094 +tp1095 +a(g7 +V\u000a +p1096 +tp1097 +a(g444 +VPATCH_WRKSRC +p1098 +tp1099 +a(g416 +g976 +tp1100 +a(g7 +g978 +tp1101 +a(g128 +V${ +p1102 +tp1103 +a(g444 +VPYTHON_WRKSRC +p1104 +tp1105 +a(g128 +g1017 +tp1106 +a(g7 +V\u000a +p1107 +tp1108 +a(g444 +VGNU_CONFIGURE +p1109 +tp1110 +a(g416 +g976 +tp1111 +a(g7 +g978 +tp1112 +a(g7 +Vyes +p1113 +tp1114 +a(g7 +V\u000a +p1115 +tp1116 +a(g444 +VCONFIGURE_TARGET +p1117 +tp1118 +a(g416 +g976 +tp1119 +a(g7 +g978 +tp1120 +a(g7 +V--build +p1121 +tp1122 +a(g416 +g976 +tp1123 +a(g128 +V${ +p1124 +tp1125 +a(g444 +VMACHINE_ARCH +p1126 +tp1127 +a(g128 +g1017 +tp1128 +a(g7 +V-portbld-freebsd +p1129 +tp1130 +a(g128 +V${ +p1131 +tp1132 +a(g444 +VOSREL +p1133 +tp1134 +a(g128 +g1017 +tp1135 +a(g7 +V\u000a +p1136 +tp1137 +a(g444 +VCONFIGURE_SCRIPT +p1138 +tp1139 +a(g416 +g976 +tp1140 +a(g7 +g978 +tp1141 +a(g7 +V../configure +p1142 +tp1143 +a(g7 +g1000 +tp1144 +a(g761 +V# must be relative\u000a +p1145 +tp1146 +a(g444 +VCONFIGURE_ENV +p1147 +tp1148 +a(g416 +g976 +tp1149 +a(g7 +g978 +tp1150 +a(g444 +VOPT +p1151 +tp1152 +a(g416 +g976 +tp1153 +a(g160 +V" +p1154 +tp1155 +a(g128 +V${ +p1156 +tp1157 +a(g444 +VCFLAGS +p1158 +tp1159 +a(g128 +g1017 +tp1160 +a(g160 +g1154 +tp1161 +a(g7 +g1000 +tp1162 +a(g444 +VSVNVERSION +p1163 +tp1164 +a(g416 +g976 +tp1165 +a(g160 +V"echo freebsd" +p1166 +tp1167 +a(g7 +V\u000a +p1168 +tp1169 +a(g444 +VMAKE_ENV +p1170 +tp1171 +a(g416 +g976 +tp1172 +a(g7 +g978 +tp1173 +a(g444 +VVPATH +p1174 +tp1175 +a(g416 +g976 +tp1176 +a(g160 +g1154 +tp1177 +a(g128 +V${ +p1178 +tp1179 +a(g444 +VPYTHON_WRKSRC +p1180 +tp1181 +a(g128 +g1017 +tp1182 +a(g160 +g1154 +tp1183 +a(g7 +V\u000a +p1184 +tp1185 +a(g444 +VINSTALLS_SHLIB +p1186 +tp1187 +a(g416 +g976 +tp1188 +a(g7 +g978 +tp1189 +a(g7 +Vyes +p1190 +tp1191 +a(g7 +V\u000a +p1192 +tp1193 +a(g444 +VINSTALL_TARGET +p1194 +tp1195 +a(g416 +g976 +tp1196 +a(g7 +g978 +tp1197 +a(g7 +Valtinstall +p1198 +tp1199 +a(g7 +V\u000a +p1200 +tp1201 +a(g444 +VMAN1 +p1202 +tp1203 +a(g416 +g976 +tp1204 +a(g7 +V +p1205 +tp1206 +a(g128 +V${ +p1207 +tp1208 +a(g444 +VPYTHON_VERSION +p1209 +tp1210 +a(g128 +g1017 +tp1211 +a(g7 +V.1 +p1212 +tp1213 +a(g7 +V\u000a +p1214 +tp1215 +a(g7 +V\u000a +p1216 +tp1217 +a(g444 +VUSE_PYTHON +p1218 +tp1219 +a(g416 +g976 +tp1220 +a(g7 +g978 +tp1221 +a(g7 +Vyes +p1222 +tp1223 +a(g7 +V\u000a +p1224 +tp1225 +a(g444 +VPYTHON_VERSION +p1226 +tp1227 +a(g416 +g976 +tp1228 +a(g7 +g978 +tp1229 +a(g7 +Vpython2.5 +p1230 +tp1231 +a(g7 +V\u000a +p1232 +tp1233 +a(g444 +VPYTHON_NO_DEPENDS +p1234 +tp1235 +a(g416 +g976 +tp1236 +a(g7 +g978 +tp1237 +a(g7 +Vyes +p1238 +tp1239 +a(g7 +V\u000a +p1240 +tp1241 +a(g7 +V\u000a +p1242 +tp1243 +a(g444 +VSHARED_WRKSRC +p1244 +tp1245 +a(g416 +g976 +tp1246 +a(g7 +g978 +tp1247 +a(g128 +V${ +p1248 +tp1249 +a(g444 +VPYTHON_WRKSRC +p1250 +tp1251 +a(g128 +g1017 +tp1252 +a(g7 +V/portbld.shared +p1253 +tp1254 +a(g7 +V\u000a +p1255 +tp1256 +a(g444 +VPLIST +p1257 +tp1258 +a(g416 +g976 +tp1259 +a(g7 +V +p1260 +tp1261 +a(g128 +V${ +p1262 +tp1263 +a(g444 +VWRKDIR +p1264 +tp1265 +a(g128 +g1017 +tp1266 +a(g7 +V/PLIST +p1267 +tp1268 +a(g7 +V\u000a +p1269 +tp1270 +a(g444 +VPLIST_TEMPLATE +p1271 +tp1272 +a(g416 +V?= +p1273 +tp1274 +a(g128 +V${ +p1275 +tp1276 +a(g444 +VPKGDIR +p1277 +tp1278 +a(g128 +g1017 +tp1279 +a(g7 +V/pkg-plist +p1280 +tp1281 +a(g7 +V\u000a +p1282 +tp1283 +a(g444 +VPLIST_SUB +p1284 +tp1285 +a(g416 +g976 +tp1286 +a(g7 +g978 +tp1287 +a(g444 +VPYVER +p1288 +tp1289 +a(g416 +g976 +tp1290 +a(g128 +V${ +p1291 +tp1292 +a(g444 +VPYTHON_VERSION +p1293 +tp1294 +a(g709 +V: +p1295 +tp1296 +a(g444 +VS +p1297 +tp1298 +a(g709 +V/python// +p1299 +tp1300 +a(g128 +g1017 +tp1301 +a(g7 +g1000 +tp1302 +a(g216 +V\u005c\u000a +p1303 +tp1304 +a(g7 +V +p1305 +tp1306 +a(g444 +VPYVER_WITHPAT +p1307 +tp1308 +a(g416 +g976 +tp1309 +a(g128 +V${ +p1310 +tp1311 +a(g444 +VPORTVERSION +p1312 +tp1313 +a(g709 +g1295 +tp1314 +a(g444 +g1297 +tp1315 +a(g709 +V/.c/c/ +p1316 +tp1317 +a(g128 +g1017 +tp1318 +a(g7 +V\u000a +p1319 +tp1320 +a(g444 +VDEMODIR +p1321 +tp1322 +a(g416 +g976 +tp1323 +a(g7 +g978 +tp1324 +a(g128 +V${ +p1325 +tp1326 +a(g444 +VPREFIX +p1327 +tp1328 +a(g128 +g1017 +tp1329 +a(g7 +V/share/examples/ +p1330 +tp1331 +a(g128 +V${ +p1332 +tp1333 +a(g444 +VPYTHON_VERSION +p1334 +tp1335 +a(g128 +g1017 +tp1336 +a(g7 +V\u000a +p1337 +tp1338 +a(g444 +VTOOLSDIR +p1339 +tp1340 +a(g416 +g976 +tp1341 +a(g7 +g978 +tp1342 +a(g128 +V${ +p1343 +tp1344 +a(g444 +VPREFIX +p1345 +tp1346 +a(g128 +g1017 +tp1347 +a(g7 +V/share/ +p1348 +tp1349 +a(g128 +V${ +p1350 +tp1351 +a(g444 +VPYTHON_VERSION +p1352 +tp1353 +a(g128 +g1017 +tp1354 +a(g7 +V\u000a +p1355 +tp1356 +a(g7 +V\u000a +p1357 +tp1358 +a(g444 +VBIN_SCRIPTS +p1359 +tp1360 +a(g416 +g976 +tp1361 +a(g7 +g978 +tp1362 +a(g7 +Vidle +p1363 +tp1364 +a(g7 +g1000 +tp1365 +a(g7 +Vpydoc +p1366 +tp1367 +a(g7 +g1000 +tp1368 +a(g7 +Vpython +p1369 +tp1370 +a(g7 +g1000 +tp1371 +a(g7 +Vpython-shared +p1372 +tp1373 +a(g7 +g1000 +tp1374 +a(g7 +Vsmtpd.py +p1375 +tp1376 +a(g7 +g1000 +tp1377 +a(g7 +Vpython-config +p1378 +tp1379 +a(g7 +g1000 +tp1380 +a(g216 +V\u005c\u000a +p1381 +tp1382 +a(g7 +V +p1383 +tp1384 +a(g7 +Vpython-shared-config +p1385 +tp1386 +a(g7 +V\u000a +p1387 +tp1388 +a(g444 +VBINLINKS_SUB +p1389 +tp1390 +a(g416 +g976 +tp1391 +a(g7 +g978 +tp1392 +a(g7 +V-e +p1393 +tp1394 +a(g7 +g1000 +tp1395 +a(g233 +V's,smtpd,smtpd${PYTHON_VER},' +p1396 +tp1397 +a(g7 +g1000 +tp1398 +a(g216 +V\u005c\u000a +p1399 +tp1400 +a(g7 +V +p1401 +tp1402 +a(g7 +V-e +p1403 +tp1404 +a(g7 +g1000 +tp1405 +a(g233 +V's,(idle|pydoc|python-shared|python),\u005c1${PYTHON_VER},' +p1406 +tp1407 +a(g7 +V\u000a\u000a +p1408 +tp1409 +a(g444 +VOPTIONS +p1410 +tp1411 +a(g416 +g976 +tp1412 +a(g7 +g978 +tp1413 +a(g7 +VTHREADS +p1414 +tp1415 +a(g7 +g1000 +tp1416 +a(g160 +V"Enable thread support" +p1417 +tp1418 +a(g7 +g1000 +tp1419 +a(g7 +Von +p1420 +tp1421 +a(g7 +g1000 +tp1422 +a(g216 +V\u005c\u000a +p1423 +tp1424 +a(g7 +V +p1425 +tp1426 +a(g7 +VHUGE_STACK_SIZE +p1427 +tp1428 +a(g7 +g1000 +tp1429 +a(g160 +V"Use a larger thread stack" +p1430 +tp1431 +a(g7 +g1000 +tp1432 +a(g7 +Voff +p1433 +tp1434 +a(g7 +g1000 +tp1435 +a(g216 +V\u005c\u000a +p1436 +tp1437 +a(g7 +V +p1438 +tp1439 +a(g7 +VUCS4 +p1440 +tp1441 +a(g7 +g1000 +tp1442 +a(g160 +V"Use UCS4 for unicode support" +p1443 +tp1444 +a(g7 +g1000 +tp1445 +a(g7 +Von +p1446 +tp1447 +a(g7 +g1000 +tp1448 +a(g216 +V\u005c\u000a +p1449 +tp1450 +a(g7 +V +p1451 +tp1452 +a(g7 +VPYMALLOC +p1453 +tp1454 +a(g7 +g1000 +tp1455 +a(g160 +V"Use python's internal malloc" +p1456 +tp1457 +a(g7 +g1000 +tp1458 +a(g7 +Von +p1459 +tp1460 +a(g7 +g1000 +tp1461 +a(g216 +V\u005c\u000a +p1462 +tp1463 +a(g7 +V +p1464 +tp1465 +a(g7 +VIPV6 +p1466 +tp1467 +a(g7 +g1000 +tp1468 +a(g160 +V"Enable IPv6 support" +p1469 +tp1470 +a(g7 +g1000 +tp1471 +a(g7 +Von +p1472 +tp1473 +a(g7 +g1000 +tp1474 +a(g216 +V\u005c\u000a +p1475 +tp1476 +a(g7 +V +p1477 +tp1478 +a(g7 +VFPECTL +p1479 +tp1480 +a(g7 +g1000 +tp1481 +a(g160 +V"Enable floating point exception handling" +p1482 +tp1483 +a(g7 +g1000 +tp1484 +a(g7 +Voff +p1485 +tp1486 +a(g7 +V\u000a\u000a +p1487 +tp1488 +a(g754 +V.include \u000a +p1489 +tp1490 +a(g7 +V\u000a +p1491 +tp1492 +a(g754 +V.if ${PYTHON_VERSION} == ${PYTHON_DEFAULT_VERSION}\u000a +p1493 +tp1494 +a(g7 +g956 +tp1495 +a(g444 +VMLINKS +p1496 +tp1497 +a(g416 +g976 +tp1498 +a(g7 +V +p1499 +tp1500 +a(g128 +V${ +p1501 +tp1502 +a(g444 +VPYTHON_VERSION +p1503 +tp1504 +a(g128 +g1017 +tp1505 +a(g7 +V.1 +p1506 +tp1507 +a(g7 +g1000 +tp1508 +a(g7 +Vpython.1 +p1509 +tp1510 +a(g7 +V\u000a +p1511 +tp1512 +a(g444 +VPLIST_SUB +p1513 +tp1514 +a(g416 +V+= +p1515 +tp1516 +a(g7 +g978 +tp1517 +a(g444 +VIF_DEFAULT +p1518 +tp1519 +a(g416 +g976 +tp1520 +a(g160 +V"" +p1521 +tp1522 +a(g7 +V\u000a +p1523 +tp1524 +a(g754 +V.else\u000a +p1525 +tp1526 +a(g7 +g956 +tp1527 +a(g444 +VPLIST_SUB +p1528 +tp1529 +a(g416 +V+= +p1530 +tp1531 +a(g7 +g978 +tp1532 +a(g444 +VIF_DEFAULT +p1533 +tp1534 +a(g416 +g976 +tp1535 +a(g160 +V"@comment " +p1536 +tp1537 +a(g7 +V\u000a +p1538 +tp1539 +a(g754 +V.endif\u000a +p1540 +tp1541 +a(g7 +g956 +tp1542 +a(g7 +V\u000a +p1543 +tp1544 +a(g725 +V# workaround for a bug in base curses.h.\u000a +p1545 +tp1546 +a(g7 +g956 +tp1547 +a(g444 +VCFLAGS +p1548 +tp1549 +a(g416 +V+= +p1550 +tp1551 +a(g7 +g978 +tp1552 +a(g7 +V-D__wchar_t +p1553 +tp1554 +a(g416 +g976 +tp1555 +a(g7 +Vwchar_t +p1556 +tp1557 +a(g7 +V\u000a +p1558 +tp1559 +a(g7 +V\u000a +p1560 +tp1561 +a(g754 +V.if !defined(WITHOUT_THREADS)\u000a +p1562 +tp1563 +a(g7 +g956 +tp1564 +a(g444 +VCONFIGURE_ARGS +p1565 +tp1566 +a(g416 +V+= +p1567 +tp1568 +a(g7 +g978 +tp1569 +a(g7 +V--with-threads +p1570 +tp1571 +a(g7 +V\u000a +p1572 +tp1573 +a(g444 +VCFLAGS +p1574 +tp1575 +a(g416 +V+= +p1576 +tp1577 +a(g7 +V +p1578 +tp1579 +a(g128 +V${ +p1580 +tp1581 +a(g444 +VPTHREAD_CFLAGS +p1582 +tp1583 +a(g128 +g1017 +tp1584 +a(g7 +V\u000a +p1585 +tp1586 +a(g754 +V.if defined(WITHOUT_HUGE_STACK_SIZE)\u000a +p1587 +tp1588 +a(g7 +g956 +tp1589 +a(g444 +VCFLAGS +p1590 +tp1591 +a(g416 +V+= +p1592 +tp1593 +a(g7 +V +p1594 +tp1595 +a(g7 +V-DTHREAD_STACK_SIZE +p1596 +tp1597 +a(g416 +g976 +tp1598 +a(g7 +V0x20000 +p1599 +tp1600 +a(g7 +V\u000a +p1601 +tp1602 +a(g754 +V.else\u000a +p1603 +tp1604 +a(g7 +g956 +tp1605 +a(g444 +VCFLAGS +p1606 +tp1607 +a(g416 +V+= +p1608 +tp1609 +a(g7 +V +p1610 +tp1611 +a(g7 +V-DTHREAD_STACK_SIZE +p1612 +tp1613 +a(g416 +g976 +tp1614 +a(g7 +V0x100000 +p1615 +tp1616 +a(g7 +V\u000a +p1617 +tp1618 +a(g754 +V.endif # defined(WITHOUT_HUGE_STACK_SIZE)\u000a +p1619 +tp1620 +a(g7 +g956 +tp1621 +a(g444 +VCONFIGURE_ENV +p1622 +tp1623 +a(g416 +V+= +p1624 +tp1625 +a(g7 +V +p1626 +tp1627 +a(g444 +VLDFLAGS +p1628 +tp1629 +a(g416 +g976 +tp1630 +a(g160 +g1154 +tp1631 +a(g128 +V${ +p1632 +tp1633 +a(g444 +VPTHREAD_LIBS +p1634 +tp1635 +a(g128 +g1017 +tp1636 +a(g160 +g1000 +tp1637 +a(g128 +V${ +p1638 +tp1639 +a(g444 +VLDFLAGS +p1640 +tp1641 +a(g128 +g1017 +tp1642 +a(g160 +g1154 +tp1643 +a(g7 +V\u000a +p1644 +tp1645 +a(g754 +V.else\u000a +p1646 +tp1647 +a(g7 +g956 +tp1648 +a(g444 +VCONFIGURE_ARGS +p1649 +tp1650 +a(g416 +V+= +p1651 +tp1652 +a(g7 +g978 +tp1653 +a(g7 +V--without-threads +p1654 +tp1655 +a(g7 +V\u000a +p1656 +tp1657 +a(g754 +V.if defined(LDFLAGS)\u000a +p1658 +tp1659 +a(g7 +g956 +tp1660 +a(g444 +VCONFIGURE_ENV +p1661 +tp1662 +a(g416 +V+= +p1663 +tp1664 +a(g7 +V +p1665 +tp1666 +a(g444 +VLDFLAGS +p1667 +tp1668 +a(g416 +g976 +tp1669 +a(g160 +g1154 +tp1670 +a(g128 +V${ +p1671 +tp1672 +a(g444 +VLDFLAGS +p1673 +tp1674 +a(g128 +g1017 +tp1675 +a(g160 +g1154 +tp1676 +a(g7 +V\u000a +p1677 +tp1678 +a(g754 +V.endif # defined(LDFLAGS)\u000a +p1679 +tp1680 +a(g7 +g956 +tp1681 +a(g754 +V.endif # !defined(WITHOUT_THREADS)\u000a +p1682 +tp1683 +a(g7 +g956 +tp1684 +a(g7 +V\u000a +p1685 +tp1686 +a(g754 +V.if !defined(WITHOUT_UCS4) && !defined(WITH_UCS2)\u000a +p1687 +tp1688 +a(g7 +g956 +tp1689 +a(g444 +VCONFIGURE_ARGS +p1690 +tp1691 +a(g416 +V+= +p1692 +tp1693 +a(g7 +g978 +tp1694 +a(g7 +V--enable-unicode +p1695 +tp1696 +a(g416 +g976 +tp1697 +a(g7 +Vucs4 +p1698 +tp1699 +a(g7 +V\u000a +p1700 +tp1701 +a(g754 +V.endif\u000a +p1702 +tp1703 +a(g7 +g956 +tp1704 +a(g7 +V\u000a +p1705 +tp1706 +a(g754 +V.if defined(WITHOUT_PYMALLOC)\u000a +p1707 +tp1708 +a(g7 +g956 +tp1709 +a(g444 +VCONFIGURE_ARGS +p1710 +tp1711 +a(g416 +V+= +p1712 +tp1713 +a(g7 +V +p1714 +tp1715 +a(g7 +V--without-pymalloc +p1716 +tp1717 +a(g7 +V\u000a +p1718 +tp1719 +a(g754 +V.endif\u000a +p1720 +tp1721 +a(g7 +g956 +tp1722 +a(g7 +V\u000a +p1723 +tp1724 +a(g754 +V.if ${ARCH} == i386\u000a +p1725 +tp1726 +a(g7 +g956 +tp1727 +a(g444 +VPLIST_SUB +p1728 +tp1729 +a(g416 +V+= +p1730 +tp1731 +a(g7 +g978 +tp1732 +a(g444 +VX86_ONLY +p1733 +tp1734 +a(g416 +g976 +tp1735 +a(g160 +V"" +p1736 +tp1737 +a(g7 +V\u000a +p1738 +tp1739 +a(g754 +V.else\u000a +p1740 +tp1741 +a(g7 +g956 +tp1742 +a(g444 +VPLIST_SUB +p1743 +tp1744 +a(g416 +V+= +p1745 +tp1746 +a(g7 +g978 +tp1747 +a(g444 +VX86_ONLY +p1748 +tp1749 +a(g416 +g976 +tp1750 +a(g160 +V"@comment " +p1751 +tp1752 +a(g7 +V\u000a +p1753 +tp1754 +a(g754 +V.endif\u000a +p1755 +tp1756 +a(g7 +g956 +tp1757 +a(g754 +V.if ${ARCH} == amd64 || ${ARCH} == ia64 || ${ARCH} == sparc64 || ${ARCH} == alpha\u000a +p1758 +tp1759 +a(g7 +g956 +tp1760 +a(g444 +VPLIST_SUB +p1761 +tp1762 +a(g416 +V+= +p1763 +tp1764 +a(g7 +V +p1765 +tp1766 +a(g444 +V32BIT_ONLY +p1767 +tp1768 +a(g416 +g976 +tp1769 +a(g160 +V"@comment " +p1770 +tp1771 +a(g7 +V\u000a +p1772 +tp1773 +a(g754 +V.else\u000a +p1774 +tp1775 +a(g7 +g956 +tp1776 +a(g444 +VPLIST_SUB +p1777 +tp1778 +a(g416 +V+= +p1779 +tp1780 +a(g7 +V +p1781 +tp1782 +a(g444 +V32BIT_ONLY +p1783 +tp1784 +a(g416 +g976 +tp1785 +a(g160 +V"" +p1786 +tp1787 +a(g7 +V\u000a +p1788 +tp1789 +a(g754 +V.endif\u000a +p1790 +tp1791 +a(g7 +g956 +tp1792 +a(g754 +V.if ${ARCH} == sparc64\u000a +p1793 +tp1794 +a(g7 +g956 +tp1795 +a(g444 +VCFLAGS +p1796 +tp1797 +a(g416 +V+= +p1798 +tp1799 +a(g7 +V +p1800 +tp1801 +a(g7 +V-DPYTHON_DEFAULT_RECURSION_LIMIT +p1802 +tp1803 +a(g416 +g976 +tp1804 +a(g35 +V900 +p1805 +tp1806 +a(g7 +V\u000a +p1807 +tp1808 +a(g754 +V.endif\u000a +p1809 +tp1810 +a(g7 +g956 +tp1811 +a(g7 +V\u000a +p1812 +tp1813 +a(g754 +V.if !exists(/usr/bin/ypcat) # the world with NO_NIS\u000a +p1814 +tp1815 +a(g7 +g956 +tp1816 +a(g444 +VPLIST_SUB +p1817 +tp1818 +a(g416 +V+= +p1819 +tp1820 +a(g7 +g978 +tp1821 +a(g444 +VNO_NIS +p1822 +tp1823 +a(g416 +g976 +tp1824 +a(g160 +V"@comment " +p1825 +tp1826 +a(g7 +V\u000a +p1827 +tp1828 +a(g754 +V.else\u000a +p1829 +tp1830 +a(g7 +g956 +tp1831 +a(g444 +VPLIST_SUB +p1832 +tp1833 +a(g416 +V+= +p1834 +tp1835 +a(g7 +g978 +tp1836 +a(g444 +VNO_NIS +p1837 +tp1838 +a(g416 +g976 +tp1839 +a(g160 +V"" +p1840 +tp1841 +a(g7 +V\u000a +p1842 +tp1843 +a(g754 +V.endif\u000a +p1844 +tp1845 +a(g7 +g956 +tp1846 +a(g7 +V\u000a +p1847 +tp1848 +a(g754 +V.if !defined(WITHOUT_IPV6)\u000a +p1849 +tp1850 +a(g7 +g956 +tp1851 +a(g444 +VCONFIGURE_ARGS +p1852 +tp1853 +a(g416 +V+= +p1854 +tp1855 +a(g7 +g1000 +tp1856 +a(g7 +V--enable-ipv6 +p1857 +tp1858 +a(g7 +V\u000a +p1859 +tp1860 +a(g754 +V.else\u000a +p1861 +tp1862 +a(g7 +g956 +tp1863 +a(g444 +VCONFIGURE_ARGS +p1864 +tp1865 +a(g416 +V+= +p1866 +tp1867 +a(g7 +g1000 +tp1868 +a(g7 +V--disable-ipv6 +p1869 +tp1870 +a(g7 +V\u000a +p1871 +tp1872 +a(g754 +V.endif\u000a +p1873 +tp1874 +a(g7 +g956 +tp1875 +a(g7 +V\u000a +p1876 +tp1877 +a(g754 +V.if defined(WITH_FPECTL)\u000a +p1878 +tp1879 +a(g7 +g956 +tp1880 +a(g444 +VCONFIGURE_ARGS +p1881 +tp1882 +a(g416 +V+= +p1883 +tp1884 +a(g7 +g1000 +tp1885 +a(g7 +V--with-fpectl +p1886 +tp1887 +a(g7 +V\u000a +p1888 +tp1889 +a(g754 +V.endif\u000a +p1890 +tp1891 +a(g7 +g956 +tp1892 +a(g7 +V\u000a +p1893 +tp1894 +a(g754 +V.if ${OSVERSION} >= 700000\u000a +p1895 +tp1896 +a(g7 +g956 +tp1897 +a(g444 +VPLATFORMS +p1898 +tp1899 +a(g416 +g976 +tp1900 +a(g7 +Vplat-freebsd4 +p1901 +tp1902 +a(g7 +g1000 +tp1903 +a(g7 +Vplat-freebsd5 +p1904 +tp1905 +a(g7 +g1000 +tp1906 +a(g7 +Vplat-freebsd6 +p1907 +tp1908 +a(g7 +V\u000a +p1909 +tp1910 +a(g754 +V.elif ${OSVERSION} >= 600000\u000a +p1911 +tp1912 +a(g7 +g956 +tp1913 +a(g444 +VPLATFORMS +p1914 +tp1915 +a(g416 +g976 +tp1916 +a(g7 +Vplat-freebsd4 +p1917 +tp1918 +a(g7 +g1000 +tp1919 +a(g7 +Vplat-freebsd5 +p1920 +tp1921 +a(g7 +g1000 +tp1922 +a(g7 +Vplat-freebsd7 +p1923 +tp1924 +a(g7 +V\u000a +p1925 +tp1926 +a(g754 +V.else\u000a +p1927 +tp1928 +a(g7 +g956 +tp1929 +a(g444 +VPLATFORMS +p1930 +tp1931 +a(g416 +g976 +tp1932 +a(g7 +Vplat-freebsd4 +p1933 +tp1934 +a(g7 +g1000 +tp1935 +a(g7 +Vplat-freebsd6 +p1936 +tp1937 +a(g7 +g1000 +tp1938 +a(g7 +Vplat-freebsd7 +p1939 +tp1940 +a(g7 +V\u000a +p1941 +tp1942 +a(g754 +V.endif\u000a +p1943 +tp1944 +a(g7 +g956 +tp1945 +a(g7 +V\u000a +p1946 +tp1947 +a(g577 +Vpre-patch +p1948 +tp1949 +a(g416 +g1295 +tp1950 +a(g7 +V\u000a +p1951 +tp1952 +a(g7 +g978 +tp1953 +a(g128 +V${ +p1954 +tp1955 +a(g444 +VMKDIR +p1956 +tp1957 +a(g128 +g1017 +tp1958 +a(g7 +g1000 +tp1959 +a(g128 +V${ +p1960 +tp1961 +a(g444 +VWRKSRC +p1962 +tp1963 +a(g128 +g1017 +tp1964 +a(g7 +g1000 +tp1965 +a(g128 +V${ +p1966 +tp1967 +a(g444 +VSHARED_WRKSRC +p1968 +tp1969 +a(g128 +g1017 +tp1970 +a(g7 +V/Modules +p1971 +tp1972 +a(g7 +V\u000a +p1973 +tp1974 +a(g128 +V${ +p1975 +tp1976 +a(g444 +VSED +p1977 +tp1978 +a(g128 +g1017 +tp1979 +a(g7 +g1000 +tp1980 +a(g7 +V-e +p1981 +tp1982 +a(g7 +g1000 +tp1983 +a(g233 +V'1s,^.*$$,#!${PREFIX}/bin/${PYTHON_VERSION},' +p1984 +tp1985 +a(g7 +g1000 +tp1986 +a(g216 +V\u005c\u000a +p1987 +tp1988 +a(g7 +V +p1989 +tp1990 +a(g128 +V${ +p1991 +tp1992 +a(g444 +VPATCH_WRKSRC +p1993 +tp1994 +a(g128 +g1017 +tp1995 +a(g7 +V/Tools/scripts/pydoc +p1996 +tp1997 +a(g7 +g1000 +tp1998 +a(g7 +V> +p1999 +tp2000 +a(g7 +g1000 +tp2001 +a(g128 +V${ +p2002 +tp2003 +a(g444 +VWRKDIR +p2004 +tp2005 +a(g128 +g1017 +tp2006 +a(g7 +V/pydoc2.5 +p2007 +tp2008 +a(g7 +V\u000a +p2009 +tp2010 +a(g128 +V${ +p2011 +tp2012 +a(g444 +VSED +p2013 +tp2014 +a(g128 +g1017 +tp2015 +a(g7 +g1000 +tp2016 +a(g7 +V-e +p2017 +tp2018 +a(g7 +g1000 +tp2019 +a(g233 +V'1s,^.*$$,#!${PREFIX}/bin/${PYTHON_VERSION},' +p2020 +tp2021 +a(g7 +g1000 +tp2022 +a(g216 +V\u005c\u000a +p2023 +tp2024 +a(g7 +V +p2025 +tp2026 +a(g128 +V${ +p2027 +tp2028 +a(g444 +VPATCH_WRKSRC +p2029 +tp2030 +a(g128 +g1017 +tp2031 +a(g7 +V/Tools/scripts/idle +p2032 +tp2033 +a(g7 +g1000 +tp2034 +a(g7 +g1999 +tp2035 +a(g7 +g1000 +tp2036 +a(g128 +V${ +p2037 +tp2038 +a(g444 +VWRKDIR +p2039 +tp2040 +a(g128 +g1017 +tp2041 +a(g7 +V/idle2.5 +p2042 +tp2043 +a(g7 +V\u000a +p2044 +tp2045 +a(g128 +V${ +p2046 +tp2047 +a(g444 +VSED +p2048 +tp2049 +a(g128 +g1017 +tp2050 +a(g7 +g1000 +tp2051 +a(g7 +V-e +p2052 +tp2053 +a(g7 +g1000 +tp2054 +a(g233 +V'1s,^.*$$,#!${PREFIX}/bin/${PYTHON_VERSION},' +p2055 +tp2056 +a(g7 +g1000 +tp2057 +a(g216 +V\u005c\u000a +p2058 +tp2059 +a(g7 +V +p2060 +tp2061 +a(g128 +V${ +p2062 +tp2063 +a(g444 +VPATCH_WRKSRC +p2064 +tp2065 +a(g128 +g1017 +tp2066 +a(g7 +V/Lib/smtpd.py +p2067 +tp2068 +a(g7 +g1000 +tp2069 +a(g7 +g1999 +tp2070 +a(g7 +g1000 +tp2071 +a(g128 +V${ +p2072 +tp2073 +a(g444 +VWRKDIR +p2074 +tp2075 +a(g128 +g1017 +tp2076 +a(g7 +V/smtpd2.5.py +p2077 +tp2078 +a(g7 +V\u000a +p2079 +tp2080 +a(g128 +V${ +p2081 +tp2082 +a(g444 +VREINPLACE_CMD +p2083 +tp2084 +a(g128 +g1017 +tp2085 +a(g7 +g1000 +tp2086 +a(g7 +V-e +p2087 +tp2088 +a(g7 +g1000 +tp2089 +a(g216 +V\u005c\u000a +p2090 +tp2091 +a(g7 +V +p2092 +tp2093 +a(g233 +V's,/usr/doc/python-docs-,${PREFIX}/share/doc/python,g' +p2094 +tp2095 +a(g7 +g1000 +tp2096 +a(g216 +V\u005c\u000a +p2097 +tp2098 +a(g7 +V +p2099 +tp2100 +a(g128 +V${ +p2101 +tp2102 +a(g444 +VPATCH_WRKSRC +p2103 +tp2104 +a(g128 +g1017 +tp2105 +a(g7 +V/Lib/pydoc.py +p2106 +tp2107 +a(g7 +V\u000a +p2108 +tp2109 +a(g128 +V${ +p2110 +tp2111 +a(g444 +VREINPLACE_CMD +p2112 +tp2113 +a(g128 +g1017 +tp2114 +a(g7 +g1000 +tp2115 +a(g7 +V-e +p2116 +tp2117 +a(g7 +g1000 +tp2118 +a(g216 +V\u005c\u000a +p2119 +tp2120 +a(g7 +V +p2121 +tp2122 +a(g233 +V's|^\u005c( *prefixes = .*\u005c)\u005c]$$|\u005c1, "${X11BASE}"]|g' +p2123 +tp2124 +a(g7 +g1000 +tp2125 +a(g216 +V\u005c\u000a +p2126 +tp2127 +a(g7 +V +p2128 +tp2129 +a(g128 +V${ +p2130 +tp2131 +a(g444 +VPATCH_WRKSRC +p2132 +tp2133 +a(g128 +g1017 +tp2134 +a(g7 +V/Lib/site.py +p2135 +tp2136 +a(g7 +V\u000a +p2137 +tp2138 +a(g128 +V${ +p2139 +tp2140 +a(g444 +VREINPLACE_CMD +p2141 +tp2142 +a(g128 +g1017 +tp2143 +a(g7 +g1000 +tp2144 +a(g7 +V-e +p2145 +tp2146 +a(g7 +g1000 +tp2147 +a(g216 +V\u005c\u000a +p2148 +tp2149 +a(g7 +V +p2150 +tp2151 +a(g233 +V's|^ \u005c(..ASDLGEN.*\u005c)$$| ${TRUE}|g' +p2152 +tp2153 +a(g7 +g1000 +tp2154 +a(g216 +V\u005c\u000a +p2155 +tp2156 +a(g7 +V +p2157 +tp2158 +a(g128 +V${ +p2159 +tp2160 +a(g444 +VPATCH_WRKSRC +p2161 +tp2162 +a(g128 +g1017 +tp2163 +a(g7 +V/Makefile.pre.in +p2164 +tp2165 +a(g7 +V\u000a\u000a +p2166 +tp2167 +a(g128 +V${ +p2168 +tp2169 +a(g444 +VREINPLACE_CMD +p2170 +tp2171 +a(g128 +g1017 +tp2172 +a(g7 +g1000 +tp2173 +a(g7 +V-e +p2174 +tp2175 +a(g7 +g1000 +tp2176 +a(g216 +V\u005c\u000a +p2177 +tp2178 +a(g7 +V +p2179 +tp2180 +a(g233 +V's|*\u005c(..INSTALL_SCRIPT.*\u005c)python-config$$|#port \u005c1|' +p2181 +tp2182 +a(g7 +g1000 +tp2183 +a(g216 +V\u005c\u000a +p2184 +tp2185 +a(g7 +V +p2186 +tp2187 +a(g128 +V${ +p2188 +tp2189 +a(g444 +VPATCH_WRKSRC +p2190 +tp2191 +a(g128 +g1017 +tp2192 +a(g7 +V/Makefile.pre.in +p2193 +tp2194 +a(g7 +V\u000a\u000a +p2195 +tp2196 +a(g128 +V${ +p2197 +tp2198 +a(g444 +VSED +p2199 +tp2200 +a(g128 +g1017 +tp2201 +a(g7 +g1000 +tp2202 +a(g7 +V-e +p2203 +tp2204 +a(g7 +g1000 +tp2205 +a(g233 +V's|^#!.*|#!${PREFIX}/bin/${PYTHON_VERSION}|' +p2206 +tp2207 +a(g7 +g1000 +tp2208 +a(g216 +V\u005c\u000a +p2209 +tp2210 +a(g7 +V +p2211 +tp2212 +a(g128 +V${ +p2213 +tp2214 +a(g444 +VPATCH_WRKSRC +p2215 +tp2216 +a(g128 +g1017 +tp2217 +a(g7 +V/Misc/python-config.in +p2218 +tp2219 +a(g7 +g1000 +tp2220 +a(g7 +g1999 +tp2221 +a(g7 +g1000 +tp2222 +a(g128 +V${ +p2223 +tp2224 +a(g444 +VWRKDIR +p2225 +tp2226 +a(g128 +g1017 +tp2227 +a(g7 +V/ +p2228 +tp2229 +a(g128 +V${ +p2230 +tp2231 +a(g444 +VPYTHON_VERSION +p2232 +tp2233 +a(g128 +g1017 +tp2234 +a(g7 +V-config +p2235 +tp2236 +a(g7 +V\u000a +p2237 +tp2238 +a(g128 +V${ +p2239 +tp2240 +a(g444 +VSED +p2241 +tp2242 +a(g128 +g1017 +tp2243 +a(g7 +g1000 +tp2244 +a(g7 +V-e +p2245 +tp2246 +a(g7 +g1000 +tp2247 +a(g233 +V's|^#!.*|#!${PREFIX}/bin/${PYTHON_VERSION:S/thon/thon-shared/}|' +p2248 +tp2249 +a(g7 +g1000 +tp2250 +a(g216 +V\u005c\u000a +p2251 +tp2252 +a(g7 +V +p2253 +tp2254 +a(g128 +V${ +p2255 +tp2256 +a(g444 +VPATCH_WRKSRC +p2257 +tp2258 +a(g128 +g1017 +tp2259 +a(g7 +V/Misc/python-config.in +p2260 +tp2261 +a(g7 +g1000 +tp2262 +a(g7 +g1999 +tp2263 +a(g7 +g1000 +tp2264 +a(g128 +V${ +p2265 +tp2266 +a(g444 +VWRKDIR +p2267 +tp2268 +a(g128 +g1017 +tp2269 +a(g7 +g2228 +tp2270 +a(g128 +V${ +p2271 +tp2272 +a(g444 +VPYTHON_VERSION +p2273 +tp2274 +a(g709 +g1295 +tp2275 +a(g444 +g1297 +tp2276 +a(g709 +V/thon/thon-shared/ +p2277 +tp2278 +a(g128 +g1017 +tp2279 +a(g7 +V-config +p2280 +tp2281 +a(g7 +V\u000a\u000a +p2282 +tp2283 +a(g754 +V.if defined(WITH_FPECTL) && ${ARCH} == i386\u000a +p2284 +tp2285 +a(g7 +g978 +tp2286 +a(g128 +V${ +p2287 +tp2288 +a(g444 +VMKDIR +p2289 +tp2290 +a(g128 +g1017 +tp2291 +a(g7 +g1000 +tp2292 +a(g128 +V${ +p2293 +tp2294 +a(g444 +VWRKSRC +p2295 +tp2296 +a(g128 +g1017 +tp2297 +a(g7 +V/Modules +p2298 +tp2299 +a(g7 +V\u000a +p2300 +tp2301 +a(g128 +V${ +p2302 +tp2303 +a(g444 +VECHO +p2304 +tp2305 +a(g128 +g1017 +tp2306 +a(g7 +g1000 +tp2307 +a(g160 +V"fpectl fpectlmodule.c" +p2308 +tp2309 +a(g7 +g1000 +tp2310 +a(g7 +V>> +p2311 +tp2312 +a(g7 +g1000 +tp2313 +a(g128 +V${ +p2314 +tp2315 +a(g444 +VWRKSRC +p2316 +tp2317 +a(g128 +g1017 +tp2318 +a(g7 +V/Modules/Setup.dist +p2319 +tp2320 +a(g7 +V\u000a +p2321 +tp2322 +a(g754 +V.endif\u000a +p2323 +tp2324 +a(g7 +V\u000a +p2325 +tp2326 +a(g577 +Vpost-configure +p2327 +tp2328 +a(g416 +g1295 +tp2329 +a(g7 +V\u000a +p2330 +tp2331 +a(g7 +g978 +tp2332 +a(g128 +V${ +p2333 +tp2334 +a(g444 +VTAR +p2335 +tp2336 +a(g128 +g1017 +tp2337 +a(g7 +g1000 +tp2338 +a(g7 +V-C +p2339 +tp2340 +a(g7 +g1000 +tp2341 +a(g128 +V${ +p2342 +tp2343 +a(g444 +VWRKSRC +p2344 +tp2345 +a(g128 +g1017 +tp2346 +a(g7 +g1000 +tp2347 +a(g7 +V-cf +p2348 +tp2349 +a(g7 +g1000 +tp2350 +a(g7 +V- +p2351 +tp2352 +a(g7 +g1000 +tp2353 +a(g7 +V. +p2354 +tp2355 +a(g7 +g1000 +tp2356 +a(g709 +V| +p2357 +tp2358 +a(g7 +g1000 +tp2359 +a(g128 +V${ +p2360 +tp2361 +a(g444 +VTAR +p2362 +tp2363 +a(g128 +g1017 +tp2364 +a(g7 +g1000 +tp2365 +a(g7 +V-C +p2366 +tp2367 +a(g7 +g1000 +tp2368 +a(g128 +V${ +p2369 +tp2370 +a(g444 +VSHARED_WRKSRC +p2371 +tp2372 +a(g128 +g1017 +tp2373 +a(g7 +g1000 +tp2374 +a(g7 +V-xf +p2375 +tp2376 +a(g7 +g1000 +tp2377 +a(g7 +g2351 +tp2378 +a(g7 +V\u000a +p2379 +tp2380 +a(g128 +V${ +p2381 +tp2382 +a(g444 +VLN +p2383 +tp2384 +a(g128 +g1017 +tp2385 +a(g7 +g1000 +tp2386 +a(g7 +V-sf +p2387 +tp2388 +a(g7 +g1000 +tp2389 +a(g128 +V${ +p2390 +tp2391 +a(g444 +VPYTHON_WRKSRC +p2392 +tp2393 +a(g128 +g1017 +tp2394 +a(g7 +V/Lib +p2395 +tp2396 +a(g7 +g1000 +tp2397 +a(g128 +V${ +p2398 +tp2399 +a(g444 +VWRKSRC +p2400 +tp2401 +a(g128 +g1017 +tp2402 +a(g7 +V/Lib +p2403 +tp2404 +a(g7 +V\u000a +p2405 +tp2406 +a(g128 +V${ +p2407 +tp2408 +a(g444 +VSED +p2409 +tp2410 +a(g128 +g1017 +tp2411 +a(g7 +g1000 +tp2412 +a(g7 +V-e +p2413 +tp2414 +a(g7 +g1000 +tp2415 +a(g233 +V's,^\u005c(LDLIBRARY=\u005c).*$$,\u005c1libpython$$(VERSION).so,' +p2416 +tp2417 +a(g7 +g1000 +tp2418 +a(g216 +V\u005c\u000a +p2419 +tp2420 +a(g7 +V +p2421 +tp2422 +a(g7 +V-e +p2423 +tp2424 +a(g7 +g1000 +tp2425 +a(g233 +V's,^\u005c(BLDLIBRARY=\u005c).*$$,\u005c1-L. -lpython$$(VERSION),' +p2426 +tp2427 +a(g7 +g1000 +tp2428 +a(g216 +V\u005c\u000a +p2429 +tp2430 +a(g7 +V +p2431 +tp2432 +a(g7 +V-e +p2433 +tp2434 +a(g7 +g1000 +tp2435 +a(g233 +V's,^\u005c(CFLAGSFORSHARED=\u005c).*$$,\u005c1$$(CCSHARED),' +p2436 +tp2437 +a(g7 +g1000 +tp2438 +a(g216 +V\u005c\u000a +p2439 +tp2440 +a(g7 +V +p2441 +tp2442 +a(g7 +V-e +p2443 +tp2444 +a(g7 +g1000 +tp2445 +a(g233 +V's,^\u005c(Makefile Modules/config.c:.*\u005c)Makefile.pre,\u005c1,' +p2446 +tp2447 +a(g7 +g1000 +tp2448 +a(g216 +V\u005c\u000a +p2449 +tp2450 +a(g7 +V +p2451 +tp2452 +a(g7 +V-e +p2453 +tp2454 +a(g7 +g1000 +tp2455 +a(g233 +V's,^\u005c(.(BUILDPYTHON)\u005c: .*\u005c).(LIBRARY),\u005c1,' +p2456 +tp2457 +a(g7 +g1000 +tp2458 +a(g216 +V\u005c\u000a +p2459 +tp2460 +a(g7 +V +p2461 +tp2462 +a(g7 +V-e +p2463 +tp2464 +a(g7 +g1000 +tp2465 +a(g233 +V's,^\u005c(.(BUILDPYTHON):.*\u005c).(LIBRARY),\u005c1,' +p2466 +tp2467 +a(g7 +g1000 +tp2468 +a(g216 +V\u005c\u000a +p2469 +tp2470 +a(g7 +V +p2471 +tp2472 +a(g128 +V${ +p2473 +tp2474 +a(g444 +VWRKSRC +p2475 +tp2476 +a(g128 +g1017 +tp2477 +a(g7 +V/Makefile +p2478 +tp2479 +a(g7 +g1000 +tp2480 +a(g7 +g1999 +tp2481 +a(g7 +g1000 +tp2482 +a(g128 +V${ +p2483 +tp2484 +a(g444 +VSHARED_WRKSRC +p2485 +tp2486 +a(g128 +g1017 +tp2487 +a(g7 +V/Makefile +p2488 +tp2489 +a(g7 +V\u000a\u000a +p2490 +tp2491 +a(g577 +Vpre-build +p2492 +tp2493 +a(g416 +g1295 +tp2494 +a(g7 +V\u000a +p2495 +tp2496 +a(g7 +g978 +tp2497 +a(g685 +Vcd +p2498 +tp2499 +a(g7 +g1000 +tp2500 +a(g128 +V${ +p2501 +tp2502 +a(g444 +VSHARED_WRKSRC +p2503 +tp2504 +a(g128 +g1017 +tp2505 +a(g709 +V; +p2506 +tp2507 +a(g7 +g1000 +tp2508 +a(g216 +V\u005c\u000a +p2509 +tp2510 +a(g7 +g978 +tp2511 +a(g128 +V${ +p2512 +tp2513 +a(g444 +VSETENV +p2514 +tp2515 +a(g128 +g1017 +tp2516 +a(g7 +g1000 +tp2517 +a(g128 +V${ +p2518 +tp2519 +a(g444 +VMAKE_ENV +p2520 +tp2521 +a(g128 +g1017 +tp2522 +a(g7 +g1000 +tp2523 +a(g128 +V${ +p2524 +tp2525 +a(g444 +VMAKE +p2526 +tp2527 +a(g128 +g1017 +tp2528 +a(g7 +g1000 +tp2529 +a(g7 +Vlib +p2530 +tp2531 +a(g128 +V${ +p2532 +tp2533 +a(g444 +VPYTHON_VERSION +p2534 +tp2535 +a(g128 +g1017 +tp2536 +a(g7 +V.so +p2537 +tp2538 +a(g7 +g1000 +tp2539 +a(g7 +Vpython +p2540 +tp2541 +a(g709 +g2506 +tp2542 +a(g7 +g1000 +tp2543 +a(g216 +V\u005c\u000a +p2544 +tp2545 +a(g7 +g978 +tp2546 +a(g128 +V${ +p2547 +tp2548 +a(g444 +VLN +p2549 +tp2550 +a(g128 +g1017 +tp2551 +a(g7 +g1000 +tp2552 +a(g7 +V-f +p2553 +tp2554 +a(g7 +g1000 +tp2555 +a(g7 +Vlib +p2556 +tp2557 +a(g128 +V${ +p2558 +tp2559 +a(g444 +VPYTHON_VERSION +p2560 +tp2561 +a(g128 +g1017 +tp2562 +a(g7 +V.so +p2563 +tp2564 +a(g7 +g1000 +tp2565 +a(g7 +Vlib +p2566 +tp2567 +a(g128 +V${ +p2568 +tp2569 +a(g444 +VPYTHON_VERSION +p2570 +tp2571 +a(g128 +g1017 +tp2572 +a(g7 +V.so.1 +p2573 +tp2574 +a(g709 +g2506 +tp2575 +a(g7 +g1000 +tp2576 +a(g216 +V\u005c\u000a +p2577 +tp2578 +a(g7 +g978 +tp2579 +a(g128 +V${ +p2580 +tp2581 +a(g444 +VLN +p2582 +tp2583 +a(g128 +g1017 +tp2584 +a(g7 +g1000 +tp2585 +a(g7 +V-f +p2586 +tp2587 +a(g7 +g1000 +tp2588 +a(g7 +Vpython +p2589 +tp2590 +a(g7 +g1000 +tp2591 +a(g128 +V${ +p2592 +tp2593 +a(g444 +VPYTHON_VERSION +p2594 +tp2595 +a(g709 +g1295 +tp2596 +a(g444 +g1297 +tp2597 +a(g709 +V/thon/thon-shared/ +p2598 +tp2599 +a(g128 +g1017 +tp2600 +a(g7 +V\u000a\u000a +p2601 +tp2602 +a(g577 +Vpre-su-install +p2603 +tp2604 +a(g416 +g1295 +tp2605 +a(g7 +V\u000a +p2606 +tp2607 +a(g754 +V.for platform in ${PLATFORMS}\u000a +p2608 +tp2609 +a(g7 +g956 +tp2610 +a(g7 +g978 +tp2611 +a(g128 +V${ +p2612 +tp2613 +a(g444 +VMKDIR +p2614 +tp2615 +a(g128 +g1017 +tp2616 +a(g7 +g1000 +tp2617 +a(g128 +V${ +p2618 +tp2619 +a(g444 +VPYTHONPREFIX_LIBDIR +p2620 +tp2621 +a(g128 +g1017 +tp2622 +a(g7 +g2228 +tp2623 +a(g128 +V${ +p2624 +tp2625 +a(g444 +Vplatform +p2626 +tp2627 +a(g128 +g1017 +tp2628 +a(g7 +V\u000a +p2629 +tp2630 +a(g754 +V.for file in IN.py regen\u000a +p2631 +tp2632 +a(g7 +g978 +tp2633 +a(g128 +V${ +p2634 +tp2635 +a(g444 +VINSTALL_DATA +p2636 +tp2637 +a(g128 +g1017 +tp2638 +a(g7 +g1000 +tp2639 +a(g128 +V${ +p2640 +tp2641 +a(g444 +VWRKSRC +p2642 +tp2643 +a(g128 +g1017 +tp2644 +a(g7 +V/Lib/ +p2645 +tp2646 +a(g128 +V${ +p2647 +tp2648 +a(g444 +Vplatform +p2649 +tp2650 +a(g128 +g1017 +tp2651 +a(g7 +g2228 +tp2652 +a(g128 +V${ +p2653 +tp2654 +a(g444 +Vfile +p2655 +tp2656 +a(g128 +g1017 +tp2657 +a(g7 +g1000 +tp2658 +a(g216 +V\u005c\u000a +p2659 +tp2660 +a(g7 +V +p2661 +tp2662 +a(g128 +V${ +p2663 +tp2664 +a(g444 +VPYTHONPREFIX_LIBDIR +p2665 +tp2666 +a(g128 +g1017 +tp2667 +a(g7 +g2228 +tp2668 +a(g128 +V${ +p2669 +tp2670 +a(g444 +Vplatform +p2671 +tp2672 +a(g128 +g1017 +tp2673 +a(g7 +g2228 +tp2674 +a(g7 +V\u000a +p2675 +tp2676 +a(g754 +V.endfor\u000a +p2677 +tp2678 +a(g7 +g956 +tp2679 +a(g754 +V.endfor\u000a +p2680 +tp2681 +a(g7 +V\u000a +p2682 +tp2683 +a(g577 +Vpre-install +p2684 +tp2685 +a(g416 +g1295 +tp2686 +a(g7 +V\u000a +p2687 +tp2688 +a(g7 +g978 +tp2689 +a(g128 +V${ +p2690 +tp2691 +a(g444 +VCAT +p2692 +tp2693 +a(g128 +g1017 +tp2694 +a(g7 +g1000 +tp2695 +a(g128 +V${ +p2696 +tp2697 +a(g444 +VPLIST_TEMPLATE +p2698 +tp2699 +a(g128 +g1017 +tp2700 +a(g7 +g1000 +tp2701 +a(g709 +g2357 +tp2702 +a(g7 +g1000 +tp2703 +a(g128 +V${ +p2704 +tp2705 +a(g444 +VAWK +p2706 +tp2707 +a(g128 +g1017 +tp2708 +a(g7 +g1000 +tp2709 +a(g233 +V'{ print $$0; } \u005c\u000a /LIBDIR.*\u005c.py$$/ && !/\u005c/bad/ { print $$0 "o"; print $$0 "c"; }' +p2710 +tp2711 +a(g7 +g978 +tp2712 +a(g7 +g1999 +tp2713 +a(g7 +g1000 +tp2714 +a(g128 +V${ +p2715 +tp2716 +a(g444 +VPLIST +p2717 +tp2718 +a(g128 +g1017 +tp2719 +a(g7 +V\u000a\u000a +p2720 +tp2721 +a(g725 +V @# if openssl 0.9.8 is detected, _sha{256,512} module won't be installed\u000a +p2722 +tp2723 +a(g7 +g978 +tp2724 +a(g416 +V( +p2725 +tp2726 +a(g416 +V[ +p2727 +tp2728 +a(g7 +g1000 +tp2729 +a(g7 +V-f +p2730 +tp2731 +a(g7 +g1000 +tp2732 +a(g128 +V${ +p2733 +tp2734 +a(g444 +VWRKSRC +p2735 +tp2736 +a(g128 +g1017 +tp2737 +a(g7 +V/.without_own_sha +p2738 +tp2739 +a(g7 +g1000 +tp2740 +a(g416 +V] +p2741 +tp2742 +a(g7 +g1000 +tp2743 +a(g416 +V&& +p2744 +tp2745 +a(g7 +g1000 +tp2746 +a(g216 +V\u005c\u000a +p2747 +tp2748 +a(g7 +V +p2749 +tp2750 +a(g128 +V${ +p2751 +tp2752 +a(g444 +VGREP +p2753 +tp2754 +a(g128 +g1017 +tp2755 +a(g7 +g1000 +tp2756 +a(g7 +V-v +p2757 +tp2758 +a(g7 +g1000 +tp2759 +a(g233 +V'lib-dynload/_sha' +p2760 +tp2761 +a(g7 +g1000 +tp2762 +a(g128 +V${ +p2763 +tp2764 +a(g444 +VPLIST +p2765 +tp2766 +a(g128 +g1017 +tp2767 +a(g7 +g1000 +tp2768 +a(g7 +g1999 +tp2769 +a(g7 +g1000 +tp2770 +a(g128 +V${ +p2771 +tp2772 +a(g444 +VPLIST +p2773 +tp2774 +a(g128 +g1017 +tp2775 +a(g7 +V.tmp +p2776 +tp2777 +a(g7 +g1000 +tp2778 +a(g416 +V&& +p2779 +tp2780 +a(g7 +g1000 +tp2781 +a(g216 +V\u005c\u000a +p2782 +tp2783 +a(g7 +V +p2784 +tp2785 +a(g128 +V${ +p2786 +tp2787 +a(g444 +VCAT +p2788 +tp2789 +a(g128 +g1017 +tp2790 +a(g7 +g1000 +tp2791 +a(g128 +V${ +p2792 +tp2793 +a(g444 +VPLIST +p2794 +tp2795 +a(g128 +g1017 +tp2796 +a(g7 +V.tmp +p2797 +tp2798 +a(g7 +g1000 +tp2799 +a(g7 +g1999 +tp2800 +a(g7 +g1000 +tp2801 +a(g128 +V${ +p2802 +tp2803 +a(g444 +VPLIST +p2804 +tp2805 +a(g128 +g1017 +tp2806 +a(g416 +V) +p2807 +tp2808 +a(g7 +g1000 +tp2809 +a(g416 +V|| +p2810 +tp2811 +a(g7 +g1000 +tp2812 +a(g128 +V${ +p2813 +tp2814 +a(g444 +VTRUE +p2815 +tp2816 +a(g128 +g1017 +tp2817 +a(g7 +V\u000a\u000a +p2818 +tp2819 +a(g577 +Vpost-install +p2820 +tp2821 +a(g416 +g1295 +tp2822 +a(g7 +V\u000a +p2823 +tp2824 +a(g725 +V @# install config providers\u000a +p2825 +tp2826 +a(g7 +g956 +tp2827 +a(g7 +g978 +tp2828 +a(g128 +V${ +p2829 +tp2830 +a(g444 +VINSTALL_SCRIPT +p2831 +tp2832 +a(g128 +g1017 +tp2833 +a(g7 +g1000 +tp2834 +a(g128 +V${ +p2835 +tp2836 +a(g444 +VWRKDIR +p2837 +tp2838 +a(g128 +g1017 +tp2839 +a(g7 +g2228 +tp2840 +a(g128 +V${ +p2841 +tp2842 +a(g444 +VPYTHON_VERSION +p2843 +tp2844 +a(g128 +g1017 +tp2845 +a(g7 +V-config +p2846 +tp2847 +a(g7 +g1000 +tp2848 +a(g128 +V${ +p2849 +tp2850 +a(g444 +VPREFIX +p2851 +tp2852 +a(g128 +g1017 +tp2853 +a(g7 +V/bin +p2854 +tp2855 +a(g7 +V\u000a +p2856 +tp2857 +a(g128 +V${ +p2858 +tp2859 +a(g444 +VINSTALL_SCRIPT +p2860 +tp2861 +a(g128 +g1017 +tp2862 +a(g7 +g1000 +tp2863 +a(g128 +V${ +p2864 +tp2865 +a(g444 +VWRKDIR +p2866 +tp2867 +a(g128 +g1017 +tp2868 +a(g7 +g2228 +tp2869 +a(g128 +V${ +p2870 +tp2871 +a(g444 +VPYTHON_VERSION +p2872 +tp2873 +a(g709 +g1295 +tp2874 +a(g444 +g1297 +tp2875 +a(g709 +V/thon/thon-shared/ +p2876 +tp2877 +a(g128 +g1017 +tp2878 +a(g7 +V-config +p2879 +tp2880 +a(g7 +g1000 +tp2881 +a(g128 +V${ +p2882 +tp2883 +a(g444 +VPREFIX +p2884 +tp2885 +a(g128 +g1017 +tp2886 +a(g7 +V/bin +p2887 +tp2888 +a(g7 +V\u000a\u000a +p2889 +tp2890 +a(g725 +V @# shared version of executable and library\u000a +p2891 +tp2892 +a(g7 +g978 +tp2893 +a(g128 +V${ +p2894 +tp2895 +a(g444 +VINSTALL_PROGRAM +p2896 +tp2897 +a(g128 +g1017 +tp2898 +a(g7 +g1000 +tp2899 +a(g128 +V${ +p2900 +tp2901 +a(g444 +VSHARED_WRKSRC +p2902 +tp2903 +a(g128 +g1017 +tp2904 +a(g7 +V/lib +p2905 +tp2906 +a(g128 +V${ +p2907 +tp2908 +a(g444 +VPYTHON_VERSION +p2909 +tp2910 +a(g128 +g1017 +tp2911 +a(g7 +V.so.1 +p2912 +tp2913 +a(g7 +g1000 +tp2914 +a(g216 +V\u005c\u000a +p2915 +tp2916 +a(g7 +V +p2917 +tp2918 +a(g128 +V${ +p2919 +tp2920 +a(g444 +VPREFIX +p2921 +tp2922 +a(g128 +g1017 +tp2923 +a(g7 +V/lib +p2924 +tp2925 +a(g7 +V\u000a +p2926 +tp2927 +a(g685 +Vcd +p2928 +tp2929 +a(g7 +g1000 +tp2930 +a(g128 +V${ +p2931 +tp2932 +a(g444 +VPREFIX +p2933 +tp2934 +a(g128 +g1017 +tp2935 +a(g7 +V/lib +p2936 +tp2937 +a(g709 +g2506 +tp2938 +a(g7 +g1000 +tp2939 +a(g128 +V${ +p2940 +tp2941 +a(g444 +VLN +p2942 +tp2943 +a(g128 +g1017 +tp2944 +a(g7 +g1000 +tp2945 +a(g7 +V-sf +p2946 +tp2947 +a(g7 +g1000 +tp2948 +a(g7 +Vlib +p2949 +tp2950 +a(g128 +V${ +p2951 +tp2952 +a(g444 +VPYTHON_VERSION +p2953 +tp2954 +a(g128 +g1017 +tp2955 +a(g7 +V.so.1 +p2956 +tp2957 +a(g7 +g1000 +tp2958 +a(g216 +V\u005c\u000a +p2959 +tp2960 +a(g7 +V +p2961 +tp2962 +a(g7 +Vlib +p2963 +tp2964 +a(g128 +V${ +p2965 +tp2966 +a(g444 +VPYTHON_VERSION +p2967 +tp2968 +a(g128 +g1017 +tp2969 +a(g7 +V.so +p2970 +tp2971 +a(g7 +V\u000a +p2972 +tp2973 +a(g128 +V${ +p2974 +tp2975 +a(g444 +VLN +p2976 +tp2977 +a(g128 +g1017 +tp2978 +a(g7 +g1000 +tp2979 +a(g7 +V-sf +p2980 +tp2981 +a(g7 +g1000 +tp2982 +a(g128 +V${ +p2983 +tp2984 +a(g444 +VPREFIX +p2985 +tp2986 +a(g128 +g1017 +tp2987 +a(g7 +V/lib/lib +p2988 +tp2989 +a(g128 +V${ +p2990 +tp2991 +a(g444 +VPYTHON_VERSION +p2992 +tp2993 +a(g128 +g1017 +tp2994 +a(g7 +V.so +p2995 +tp2996 +a(g7 +g1000 +tp2997 +a(g128 +V${ +p2998 +tp2999 +a(g444 +VPYTHONPREFIX_LIBDIR +p3000 +tp3001 +a(g128 +g1017 +tp3002 +a(g7 +V/config +p3003 +tp3004 +a(g7 +V\u000a +p3005 +tp3006 +a(g128 +V${ +p3007 +tp3008 +a(g444 +VINSTALL_PROGRAM +p3009 +tp3010 +a(g128 +g1017 +tp3011 +a(g7 +g1000 +tp3012 +a(g216 +V\u005c\u000a +p3013 +tp3014 +a(g7 +V +p3015 +tp3016 +a(g128 +V${ +p3017 +tp3018 +a(g444 +VSHARED_WRKSRC +p3019 +tp3020 +a(g128 +g1017 +tp3021 +a(g7 +g2228 +tp3022 +a(g128 +V${ +p3023 +tp3024 +a(g444 +VPYTHON_VERSION +p3025 +tp3026 +a(g709 +g1295 +tp3027 +a(g444 +g1297 +tp3028 +a(g709 +V/thon/thon-shared/ +p3029 +tp3030 +a(g128 +g1017 +tp3031 +a(g7 +g1000 +tp3032 +a(g216 +V\u005c\u000a +p3033 +tp3034 +a(g7 +V +p3035 +tp3036 +a(g128 +V${ +p3037 +tp3038 +a(g444 +VPREFIX +p3039 +tp3040 +a(g128 +g1017 +tp3041 +a(g7 +V/bin +p3042 +tp3043 +a(g7 +V\u000a\u000a +p3044 +tp3045 +a(g725 +V @# additional files installing by ports\u000a +p3046 +tp3047 +a(g7 +g978 +tp3048 +a(g128 +V${ +p3049 +tp3050 +a(g444 +VINSTALL_SCRIPT +p3051 +tp3052 +a(g128 +g1017 +tp3053 +a(g7 +g1000 +tp3054 +a(g128 +V${ +p3055 +tp3056 +a(g444 +VWRKDIR +p3057 +tp3058 +a(g128 +g1017 +tp3059 +a(g7 +V/pydoc2.5 +p3060 +tp3061 +a(g7 +g1000 +tp3062 +a(g128 +V${ +p3063 +tp3064 +a(g444 +VWRKDIR +p3065 +tp3066 +a(g128 +g1017 +tp3067 +a(g7 +V/idle2.5 +p3068 +tp3069 +a(g7 +g1000 +tp3070 +a(g216 +V\u005c\u000a +p3071 +tp3072 +a(g7 +V +p3073 +tp3074 +a(g128 +V${ +p3075 +tp3076 +a(g444 +VWRKDIR +p3077 +tp3078 +a(g128 +g1017 +tp3079 +a(g7 +V/smtpd2.5.py +p3080 +tp3081 +a(g7 +g1000 +tp3082 +a(g128 +V${ +p3083 +tp3084 +a(g444 +VPREFIX +p3085 +tp3086 +a(g128 +g1017 +tp3087 +a(g7 +V/bin +p3088 +tp3089 +a(g7 +V\u000a +p3090 +tp3091 +a(g7 +V@ +p3092 +tp3093 +a(g128 +V${ +p3094 +tp3095 +a(g444 +VMKDIR +p3096 +tp3097 +a(g128 +g1017 +tp3098 +a(g7 +g1000 +tp3099 +a(g128 +V${ +p3100 +tp3101 +a(g444 +VMANPREFIX +p3102 +tp3103 +a(g128 +g1017 +tp3104 +a(g7 +V/man/man1 +p3105 +tp3106 +a(g7 +V\u000a +p3107 +tp3108 +a(g128 +V${ +p3109 +tp3110 +a(g444 +VINSTALL_MAN +p3111 +tp3112 +a(g128 +g1017 +tp3113 +a(g7 +g1000 +tp3114 +a(g128 +V${ +p3115 +tp3116 +a(g444 +VPYTHON_WRKSRC +p3117 +tp3118 +a(g128 +g1017 +tp3119 +a(g7 +V/Misc/python.man +p3120 +tp3121 +a(g7 +g1000 +tp3122 +a(g216 +V\u005c\u000a +p3123 +tp3124 +a(g7 +V +p3125 +tp3126 +a(g128 +V${ +p3127 +tp3128 +a(g444 +VMANPREFIX +p3129 +tp3130 +a(g128 +g1017 +tp3131 +a(g7 +V/man/man1/ +p3132 +tp3133 +a(g128 +V${ +p3134 +tp3135 +a(g444 +VPYTHON_VERSION +p3136 +tp3137 +a(g128 +g1017 +tp3138 +a(g7 +V.1 +p3139 +tp3140 +a(g7 +V\u000a\u000a +p3141 +tp3142 +a(g754 +V.if ${PYTHON_VERSION} == ${PYTHON_DEFAULT_VERSION}\u000a +p3143 +tp3144 +a(g7 +g978 +tp3145 +a(g842 +Vfor +p3146 +tp3147 +a(g7 +g1000 +tp3148 +a(g7 +Vf +p3149 +tp3150 +a(g7 +g1000 +tp3151 +a(g7 +Vin +p3152 +tp3153 +a(g7 +g1000 +tp3154 +a(g128 +V${ +p3155 +tp3156 +a(g444 +VBIN_SCRIPTS +p3157 +tp3158 +a(g128 +g1017 +tp3159 +a(g709 +g2506 +tp3160 +a(g7 +g1000 +tp3161 +a(g842 +Vdo +p3162 +tp3163 +a(g7 +g1000 +tp3164 +a(g216 +V\u005c\u000a +p3165 +tp3166 +a(g7 +V +p3167 +tp3168 +a(g444 +VTARGET +p3169 +tp3170 +a(g416 +g976 +tp3171 +a(g104 +V` +p3172 +tp3173 +a(g128 +V${ +p3174 +tp3175 +a(g444 +VECHO_CMD +p3176 +tp3177 +a(g128 +g1017 +tp3178 +a(g7 +g1000 +tp3179 +a(g444 +V$$ +p3180 +tp3181 +a(g7 +g3149 +tp3182 +a(g7 +g1000 +tp3183 +a(g709 +g2357 +tp3184 +a(g7 +g1000 +tp3185 +a(g128 +V${ +p3186 +tp3187 +a(g444 +VSED +p3188 +tp3189 +a(g128 +g1017 +tp3190 +a(g7 +g1000 +tp3191 +a(g7 +V-E +p3192 +tp3193 +a(g7 +g1000 +tp3194 +a(g128 +V${ +p3195 +tp3196 +a(g444 +VBINLINKS_SUB +p3197 +tp3198 +a(g128 +g1017 +tp3199 +a(g104 +g3172 +tp3200 +a(g709 +g2506 +tp3201 +a(g7 +g1000 +tp3202 +a(g216 +V\u005c\u000a +p3203 +tp3204 +a(g7 +V +p3205 +tp3206 +a(g685 +Vcd +p3207 +tp3208 +a(g7 +g1000 +tp3209 +a(g128 +V${ +p3210 +tp3211 +a(g444 +VPREFIX +p3212 +tp3213 +a(g128 +g1017 +tp3214 +a(g7 +V/bin +p3215 +tp3216 +a(g7 +g1000 +tp3217 +a(g416 +V&& +p3218 +tp3219 +a(g7 +g1000 +tp3220 +a(g128 +V${ +p3221 +tp3222 +a(g444 +VLN +p3223 +tp3224 +a(g128 +g1017 +tp3225 +a(g7 +g1000 +tp3226 +a(g7 +V-f +p3227 +tp3228 +a(g7 +g1000 +tp3229 +a(g444 +V$$ +p3230 +tp3231 +a(g7 +VTARGET +p3232 +tp3233 +a(g7 +g1000 +tp3234 +a(g444 +V$$ +p3235 +tp3236 +a(g7 +g3149 +tp3237 +a(g709 +g2506 +tp3238 +a(g7 +g1000 +tp3239 +a(g216 +V\u005c\u000a +p3240 +tp3241 +a(g7 +g978 +tp3242 +a(g842 +Vdone +p3243 +tp3244 +a(g7 +V\u000a +p3245 +tp3246 +a(g754 +V.endif\u000a +p3247 +tp3248 +a(g7 +V\u000a +p3249 +tp3250 +a(g754 +V.if !defined(NOPORTDOCS)\u000a +p3251 +tp3252 +a(g7 +g978 +tp3253 +a(g7 +g3092 +tp3254 +a(g128 +V${ +p3255 +tp3256 +a(g444 +VMKDIR +p3257 +tp3258 +a(g128 +g1017 +tp3259 +a(g7 +g1000 +tp3260 +a(g128 +V${ +p3261 +tp3262 +a(g444 +VTOOLSDIR +p3263 +tp3264 +a(g128 +g1017 +tp3265 +a(g7 +V\u000a +p3266 +tp3267 +a(g7 +V@cd +p3268 +tp3269 +a(g7 +g1000 +tp3270 +a(g128 +V${ +p3271 +tp3272 +a(g444 +VPYTHON_WRKSRC +p3273 +tp3274 +a(g128 +g1017 +tp3275 +a(g709 +g2506 +tp3276 +a(g7 +g1000 +tp3277 +a(g128 +V${ +p3278 +tp3279 +a(g444 +VTAR +p3280 +tp3281 +a(g128 +g1017 +tp3282 +a(g7 +g1000 +tp3283 +a(g7 +V-cf +p3284 +tp3285 +a(g7 +g1000 +tp3286 +a(g7 +g2351 +tp3287 +a(g7 +g1000 +tp3288 +a(g7 +VTools +p3289 +tp3290 +a(g7 +g1000 +tp3291 +a(g709 +g2357 +tp3292 +a(g7 +g1000 +tp3293 +a(g216 +V\u005c\u000a +p3294 +tp3295 +a(g7 +V +p3296 +tp3297 +a(g416 +g2725 +tp3298 +a(g685 +Vcd +p3299 +tp3300 +a(g7 +g1000 +tp3301 +a(g128 +V${ +p3302 +tp3303 +a(g444 +VTOOLSDIR +p3304 +tp3305 +a(g128 +g1017 +tp3306 +a(g709 +g2506 +tp3307 +a(g7 +g1000 +tp3308 +a(g128 +V${ +p3309 +tp3310 +a(g444 +VTAR +p3311 +tp3312 +a(g128 +g1017 +tp3313 +a(g7 +g1000 +tp3314 +a(g7 +V-xf +p3315 +tp3316 +a(g7 +g1000 +tp3317 +a(g7 +g2351 +tp3318 +a(g416 +g2807 +tp3319 +a(g7 +V\u000a +p3320 +tp3321 +a(g7 +g3092 +tp3322 +a(g128 +V${ +p3323 +tp3324 +a(g444 +VMKDIR +p3325 +tp3326 +a(g128 +g1017 +tp3327 +a(g7 +g1000 +tp3328 +a(g128 +V${ +p3329 +tp3330 +a(g444 +VDEMODIR +p3331 +tp3332 +a(g128 +g1017 +tp3333 +a(g7 +V\u000a +p3334 +tp3335 +a(g7 +V@cd +p3336 +tp3337 +a(g7 +g1000 +tp3338 +a(g128 +V${ +p3339 +tp3340 +a(g444 +VPYTHON_WRKSRC +p3341 +tp3342 +a(g128 +g1017 +tp3343 +a(g7 +V/Demo +p3344 +tp3345 +a(g709 +g2506 +tp3346 +a(g7 +g1000 +tp3347 +a(g128 +V${ +p3348 +tp3349 +a(g444 +VTAR +p3350 +tp3351 +a(g128 +g1017 +tp3352 +a(g7 +g1000 +tp3353 +a(g7 +V-cf +p3354 +tp3355 +a(g7 +g1000 +tp3356 +a(g7 +g2351 +tp3357 +a(g7 +g1000 +tp3358 +a(g7 +V* +p3359 +tp3360 +a(g7 +g1000 +tp3361 +a(g709 +g2357 +tp3362 +a(g7 +g1000 +tp3363 +a(g216 +V\u005c\u000a +p3364 +tp3365 +a(g7 +V +p3366 +tp3367 +a(g416 +g2725 +tp3368 +a(g685 +Vcd +p3369 +tp3370 +a(g7 +g1000 +tp3371 +a(g128 +V${ +p3372 +tp3373 +a(g444 +VDEMODIR +p3374 +tp3375 +a(g128 +g1017 +tp3376 +a(g709 +g2506 +tp3377 +a(g7 +g1000 +tp3378 +a(g128 +V${ +p3379 +tp3380 +a(g444 +VTAR +p3381 +tp3382 +a(g128 +g1017 +tp3383 +a(g7 +g1000 +tp3384 +a(g7 +V-xf +p3385 +tp3386 +a(g7 +g1000 +tp3387 +a(g7 +g2351 +tp3388 +a(g416 +g2807 +tp3389 +a(g7 +V\u000a +p3390 +tp3391 +a(g754 +V.endif\u000a +p3392 +tp3393 +a(g7 +V\u000a +p3394 +tp3395 +a(g7 +g3092 +tp3396 +a(g128 +V${ +p3397 +tp3398 +a(g444 +VCAT +p3399 +tp3400 +a(g128 +g1017 +tp3401 +a(g7 +g1000 +tp3402 +a(g128 +V${ +p3403 +tp3404 +a(g444 +VPKGMESSAGE +p3405 +tp3406 +a(g128 +g1017 +tp3407 +a(g7 +V\u000a\u000a +p3408 +tp3409 +a(g754 +V.include \u000a +p3410 +tp3411 +a(g7 +g956 +tp3412 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/qbasic_example b/tests/examplefiles/output/qbasic_example new file mode 100644 index 0000000..4ba4d5b --- /dev/null +++ b/tests/examplefiles/output/qbasic_example @@ -0,0 +1,2403 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Label' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsg5 +g8 +sS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag171 +ag116 +ag84 +ag18 +ag187 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag8 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg145 +g1 +(g2 +g3 +(g815 +g145 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV10 +p956 +tp957 +a(g913 +V +p958 +tp959 +a(g49 +Vprint +p960 +tp961 +a(g913 +g958 +tp962 +a(g867 +VRIGHT$ +p963 +tp964 +a(g685 +V( +p965 +tp966 +a(g408 +V"hi there" +p967 +tp968 +a(g685 +V, +p969 +tp970 +a(g913 +g958 +tp971 +a(g295 +V5 +p972 +tp973 +a(g685 +V) +p974 +tp975 +a(g900 +V\u000a +p976 +tp977 +a(g8 +V20 +p978 +tp979 +a(g913 +g958 +tp980 +a(g49 +Vgoto +p981 +tp982 +a(g913 +g958 +tp983 +a(g295 +V10 +p984 +tp985 +a(g900 +V\u000a +p986 +tp987 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/qsort.prolog b/tests/examplefiles/output/qsort.prolog new file mode 100644 index 0000000..9d431e1 --- /dev/null +++ b/tests/examplefiles/output/qsort.prolog @@ -0,0 +1,2887 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Function' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsg5 +g8 +sS'Blubb' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +tp159 +Rp160 +sbsS'Label' +p161 +g1 +(g2 +g3 +(g4 +g161 +tp162 +tp163 +Rp164 +(dp165 +g10 +g13 +sg25 +g26 +((lp166 +tp167 +Rp168 +sbsS'Field' +p169 +g1 +(g2 +g3 +(g4 +g169 +tp170 +tp171 +Rp172 +(dp173 +g10 +g13 +sg25 +g26 +((lp174 +tp175 +Rp176 +sbsS'Exception' +p177 +g1 +(g2 +g3 +(g4 +g177 +tp178 +tp179 +Rp180 +(dp181 +g10 +g13 +sg25 +g26 +((lp182 +tp183 +Rp184 +sbsS'Namespace' +p185 +g1 +(g2 +g3 +(g4 +g185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g13 +sg25 +g26 +((lp190 +tp191 +Rp192 +sbsg25 +g26 +((lp193 +g76 +ag156 +ag116 +ag84 +ag18 +ag180 +ag108 +ag140 +ag8 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp194 +tp195 +Rp196 +(dp197 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp198 +tp199 +Rp200 +(dp201 +g10 +g196 +sg25 +g26 +((lp202 +tp203 +Rp204 +sbsg25 +g26 +((lp205 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p206 +tp207 +tp208 +Rp209 +(dp210 +g10 +g196 +sg25 +g26 +((lp211 +tp212 +Rp213 +sbag200 +atp214 +Rp215 +sg206 +g209 +sbag1 +(g2 +g3 +(g4 +S'Other' +p216 +tp217 +tp218 +Rp219 +(dp220 +g10 +g13 +sS'Member' +p221 +g1 +(g2 +g3 +(g4 +g216 +g221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g219 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbsg25 +g26 +((lp229 +g224 +atp230 +Rp231 +sbag164 +ag68 +ag188 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p232 +tp233 +tp234 +Rp235 +(dp236 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g232 +g15 +tp237 +tp238 +Rp239 +(dp240 +g10 +g235 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g247 +g121 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g1 +(g2 +g3 +(g4 +g247 +g113 +tp260 +tp261 +Rp262 +(dp263 +g10 +g250 +sg25 +g26 +((lp264 +tp265 +Rp266 +sbag254 +atp267 +Rp268 +sg113 +g262 +sbag172 +ag124 +ag100 +atp269 +Rp270 +sg10 +g1 +(g2 +g3 +(ttp271 +Rp272 +(dp273 +S'Number' +p274 +g1 +(g2 +g3 +(S'Literal' +p275 +g274 +tp276 +tp277 +Rp278 +(dp279 +S'Integer' +p280 +g1 +(g2 +g3 +(g275 +g274 +g280 +tp281 +tp282 +Rp283 +(dp284 +g10 +g278 +sS'Long' +p285 +g1 +(g2 +g3 +(g275 +g274 +g280 +g285 +tp286 +tp287 +Rp288 +(dp289 +g10 +g283 +sg25 +g26 +((lp290 +tp291 +Rp292 +sbsg25 +g26 +((lp293 +g288 +atp294 +Rp295 +sbsg10 +g1 +(g2 +g3 +(g275 +tp296 +tp297 +Rp298 +(dp299 +S'Scalar' +p300 +g1 +(g2 +g3 +(g275 +g300 +tp301 +tp302 +Rp303 +(dp304 +g10 +g298 +sg25 +g26 +((lp305 +g1 +(g2 +g3 +(g275 +g300 +S'Plain' +p306 +tp307 +tp308 +Rp309 +(dp310 +g10 +g303 +sg25 +g26 +((lp311 +tp312 +Rp313 +sbatp314 +Rp315 +sg306 +g309 +sbsg274 +g278 +sg10 +g272 +sg216 +g1 +(g2 +g3 +(g275 +g216 +tp316 +tp317 +Rp318 +(dp319 +g10 +g298 +sg25 +g26 +((lp320 +tp321 +Rp322 +sbsS'Char' +p323 +g1 +(g2 +g3 +(g275 +g323 +tp324 +tp325 +Rp326 +(dp327 +g10 +g298 +sg25 +g26 +((lp328 +tp329 +Rp330 +sbsS'String' +p331 +g1 +(g2 +g3 +(g275 +g331 +tp332 +tp333 +Rp334 +(dp335 +g323 +g1 +(g2 +g3 +(g275 +g331 +g323 +tp336 +tp337 +Rp338 +(dp339 +g10 +g334 +sg25 +g26 +((lp340 +tp341 +Rp342 +sbsS'Backtick' +p343 +g1 +(g2 +g3 +(g275 +g331 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g334 +sg25 +g26 +((lp348 +tp349 +Rp350 +sbsS'Heredoc' +p351 +g1 +(g2 +g3 +(g275 +g331 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g334 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsg97 +g1 +(g2 +g3 +(g275 +g331 +g97 +tp359 +tp360 +Rp361 +(dp362 +g10 +g334 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsS'Interpol' +p366 +g1 +(g2 +g3 +(g275 +g331 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g334 +sg25 +g26 +((lp371 +tp372 +Rp373 +sbsS'Delimiter' +p374 +g1 +(g2 +g3 +(g275 +g331 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g334 +sg25 +g26 +((lp379 +tp380 +Rp381 +sbsS'Boolean' +p382 +g1 +(g2 +g3 +(g275 +g331 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g334 +sg25 +g26 +((lp387 +tp388 +Rp389 +sbsS'Character' +p390 +g1 +(g2 +g3 +(g275 +g331 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g334 +sg25 +g26 +((lp395 +tp396 +Rp397 +sbsS'Double' +p398 +g1 +(g2 +g3 +(g275 +g331 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g334 +sg25 +g26 +((lp403 +tp404 +Rp405 +sbsS'Delimeter' +p406 +g1 +(g2 +g3 +(g275 +g331 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g334 +sg25 +g26 +((lp411 +tp412 +Rp413 +sbsS'Atom' +p414 +g1 +(g2 +g3 +(g275 +g331 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g334 +sg25 +g26 +((lp419 +tp420 +Rp421 +sbsS'Affix' +p422 +g1 +(g2 +g3 +(g275 +g331 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g334 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsg4 +g1 +(g2 +g3 +(g275 +g331 +g4 +tp430 +tp431 +Rp432 +(dp433 +g10 +g334 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsS'Regex' +p437 +g1 +(g2 +g3 +(g275 +g331 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g334 +sg25 +g26 +((lp442 +tp443 +Rp444 +sbsS'Interp' +p445 +g1 +(g2 +g3 +(g275 +g331 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g334 +sg25 +g26 +((lp450 +tp451 +Rp452 +sbsS'Escape' +p453 +g1 +(g2 +g3 +(g275 +g331 +g453 +tp454 +tp455 +Rp456 +(dp457 +g10 +g334 +sg25 +g26 +((lp458 +tp459 +Rp460 +sbsg25 +g26 +((lp461 +g377 +ag361 +ag440 +ag1 +(g2 +g3 +(g275 +g331 +S'Doc' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g334 +sg25 +g26 +((lp467 +tp468 +Rp469 +sbag393 +ag385 +ag401 +ag369 +ag417 +ag409 +ag432 +ag456 +ag1 +(g2 +g3 +(g275 +g331 +S'Single' +p470 +tp471 +tp472 +Rp473 +(dp474 +g10 +g334 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag1 +(g2 +g3 +(g275 +g331 +g216 +tp478 +tp479 +Rp480 +(dp481 +g10 +g334 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag448 +ag346 +ag425 +ag1 +(g2 +g3 +(g275 +g331 +S'Moment' +p485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g334 +sg25 +g26 +((lp490 +tp491 +Rp492 +sbag338 +ag354 +atp493 +Rp494 +sg470 +g473 +sg485 +g488 +sg10 +g298 +sg216 +g480 +sg462 +g465 +sbsg25 +g26 +((lp495 +g334 +ag326 +ag318 +ag1 +(g2 +g3 +(g275 +S'Date' +p496 +tp497 +tp498 +Rp499 +(dp500 +g10 +g298 +sg25 +g26 +((lp501 +tp502 +Rp503 +sbag303 +ag278 +atp504 +Rp505 +sg496 +g499 +sbsS'Bin' +p506 +g1 +(g2 +g3 +(g275 +g274 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g278 +sg25 +g26 +((lp511 +tp512 +Rp513 +sbsS'Radix' +p514 +g1 +(g2 +g3 +(g275 +g274 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g278 +sg25 +g26 +((lp519 +tp520 +Rp521 +sbsS'Oct' +p522 +g1 +(g2 +g3 +(g275 +g274 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g278 +sg25 +g26 +((lp527 +tp528 +Rp529 +sbsS'Dec' +p530 +g1 +(g2 +g3 +(g275 +g274 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g278 +sg25 +g26 +((lp535 +tp536 +Rp537 +sbsS'Hex' +p538 +g1 +(g2 +g3 +(g275 +g274 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g278 +sg25 +g26 +((lp543 +tp544 +Rp545 +sbsg25 +g26 +((lp546 +g283 +ag517 +ag533 +ag1 +(g2 +g3 +(g275 +g274 +S'Decimal' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g278 +sg25 +g26 +((lp552 +tp553 +Rp554 +sbag509 +ag1 +(g2 +g3 +(g275 +g274 +S'Float' +p555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g278 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbag525 +ag541 +atp563 +Rp564 +sg547 +g550 +sg555 +g558 +sbsS'Generic' +p565 +g1 +(g2 +g3 +(g565 +tp566 +tp567 +Rp568 +(dp569 +g10 +g272 +sS'Deleted' +p570 +g1 +(g2 +g3 +(g565 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g568 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsS'Subheading' +p578 +g1 +(g2 +g3 +(g565 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g568 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbsS'Heading' +p586 +g1 +(g2 +g3 +(g565 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g568 +sg25 +g26 +((lp591 +tp592 +Rp593 +sbsS'Emph' +p594 +g1 +(g2 +g3 +(g565 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g568 +sg25 +g26 +((lp599 +tp600 +Rp601 +sbsS'Prompt' +p602 +g1 +(g2 +g3 +(g565 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g568 +sg25 +g26 +((lp607 +tp608 +Rp609 +sbsS'Inserted' +p610 +g1 +(g2 +g3 +(g565 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g568 +sg25 +g26 +((lp615 +tp616 +Rp617 +sbsS'Strong' +p618 +g1 +(g2 +g3 +(g565 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g568 +sg25 +g26 +((lp623 +tp624 +Rp625 +sbsS'Error' +p626 +g1 +(g2 +g3 +(g565 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g568 +sg25 +g26 +((lp631 +tp632 +Rp633 +sbsS'Traceback' +p634 +g1 +(g2 +g3 +(g565 +g634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g568 +sg25 +g26 +((lp639 +tp640 +Rp641 +sbsg25 +g26 +((lp642 +g589 +ag581 +ag1 +(g2 +g3 +(g565 +S'Output' +p643 +tp644 +tp645 +Rp646 +(dp647 +g10 +g568 +sg25 +g26 +((lp648 +tp649 +Rp650 +sbag621 +ag597 +ag629 +ag637 +ag613 +ag605 +ag573 +atp651 +Rp652 +sg643 +g646 +sbsg65 +g1 +(g2 +g3 +(g65 +tp653 +tp654 +Rp655 +(dp656 +g10 +g272 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp657 +tp658 +Rp659 +(dp660 +g10 +g655 +sg25 +g26 +((lp661 +tp662 +Rp663 +sbsg25 +g26 +((lp664 +g659 +ag1 +(g2 +g3 +(g65 +S'Word' +p665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g655 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbatp673 +Rp674 +sg665 +g668 +sbsg331 +g334 +sg4 +g13 +sS'Punctuation' +p675 +g1 +(g2 +g3 +(g675 +tp676 +tp677 +Rp678 +(dp679 +g10 +g272 +sg25 +g26 +((lp680 +g1 +(g2 +g3 +(g675 +S'Indicator' +p681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g678 +sg25 +g26 +((lp686 +tp687 +Rp688 +sbatp689 +Rp690 +sg681 +g684 +sbsS'Comment' +p691 +g1 +(g2 +g3 +(g691 +tp692 +tp693 +Rp694 +(dp695 +S'Multi' +p696 +g1 +(g2 +g3 +(g691 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g694 +sg25 +g26 +((lp701 +tp702 +Rp703 +sbsg10 +g272 +sS'Special' +p704 +g1 +(g2 +g3 +(g691 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g694 +sg25 +g26 +((lp709 +tp710 +Rp711 +sbsS'Hashbang' +p712 +g1 +(g2 +g3 +(g691 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g694 +sg25 +g26 +((lp717 +tp718 +Rp719 +sbsS'Preproc' +p720 +g1 +(g2 +g3 +(g691 +g720 +tp721 +tp722 +Rp723 +(dp724 +g10 +g694 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsg470 +g1 +(g2 +g3 +(g691 +g470 +tp728 +tp729 +Rp730 +(dp731 +g10 +g694 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Directive' +p735 +g1 +(g2 +g3 +(g691 +g735 +tp736 +tp737 +Rp738 +(dp739 +g10 +g694 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg462 +g1 +(g2 +g3 +(g691 +g462 +tp743 +tp744 +Rp745 +(dp746 +g10 +g694 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsS'Singleline' +p750 +g1 +(g2 +g3 +(g691 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g694 +sg25 +g26 +((lp755 +tp756 +Rp757 +sbsS'Multiline' +p758 +g1 +(g2 +g3 +(g691 +g758 +tp759 +tp760 +Rp761 +(dp762 +g10 +g694 +sg25 +g26 +((lp763 +tp764 +Rp765 +sbsg25 +g26 +((lp766 +g745 +ag738 +ag715 +ag699 +ag753 +ag723 +ag761 +ag730 +ag1 +(g2 +g3 +(g691 +S'PreprocFile' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g694 +sg25 +g26 +((lp772 +tp773 +Rp774 +sbag1 +(g2 +g3 +(g691 +S'SingleLine' +p775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g694 +sg25 +g26 +((lp780 +tp781 +Rp782 +sbag707 +atp783 +Rp784 +sg767 +g770 +sg775 +g778 +sbsg275 +g298 +sg216 +g1 +(g2 +g3 +(g216 +tp785 +tp786 +Rp787 +(dp788 +g10 +g272 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsg626 +g1 +(g2 +g3 +(g626 +tp792 +tp793 +Rp794 +(dp795 +g10 +g272 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsS'Token' +p799 +g272 +sg453 +g1 +(g2 +g3 +(g453 +tp800 +tp801 +Rp802 +(dp803 +g10 +g272 +sg25 +g26 +((lp804 +tp805 +Rp806 +sbsg25 +g26 +((lp807 +g13 +ag787 +ag1 +(g2 +g3 +(S'Keyword' +p808 +tp809 +tp810 +Rp811 +(dp812 +g10 +g272 +sg121 +g1 +(g2 +g3 +(g808 +g121 +tp813 +tp814 +Rp815 +(dp816 +g10 +g811 +sg25 +g26 +((lp817 +tp818 +Rp819 +sbsS'Control' +p820 +g1 +(g2 +g3 +(g808 +g820 +tp821 +tp822 +Rp823 +(dp824 +g10 +g811 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg145 +g1 +(g2 +g3 +(g808 +g145 +tp828 +tp829 +Rp830 +(dp831 +g10 +g811 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg185 +g1 +(g2 +g3 +(g808 +g185 +tp835 +tp836 +Rp837 +(dp838 +g10 +g811 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsS'PreProc' +p842 +g1 +(g2 +g3 +(g808 +g842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g811 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsg113 +g1 +(g2 +g3 +(g808 +g113 +tp850 +tp851 +Rp852 +(dp853 +g10 +g811 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsS'Reserved' +p857 +g1 +(g2 +g3 +(g808 +g857 +tp858 +tp859 +Rp860 +(dp861 +g10 +g811 +sg25 +g26 +((lp862 +tp863 +Rp864 +sbsg25 +g26 +((lp865 +g837 +ag1 +(g2 +g3 +(g808 +g665 +tp866 +tp867 +Rp868 +(dp869 +g10 +g811 +sg25 +g26 +((lp870 +tp871 +Rp872 +sbag823 +ag1 +(g2 +g3 +(g808 +S'Declaration' +p873 +tp874 +tp875 +Rp876 +(dp877 +g10 +g811 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag1 +(g2 +g3 +(g808 +g808 +tp881 +tp882 +Rp883 +(dp884 +g10 +g811 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag852 +ag830 +ag815 +ag860 +ag845 +atp888 +Rp889 +sg808 +g883 +sg873 +g876 +sg665 +g868 +sbag568 +ag1 +(g2 +g3 +(S'Text' +p890 +tp891 +tp892 +Rp893 +(dp894 +S'Beer' +p895 +g1 +(g2 +g3 +(g890 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g893 +sg25 +g26 +((lp900 +tp901 +Rp902 +sbsS'Whitespace' +p903 +g1 +(g2 +g3 +(g890 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g893 +sg25 +g26 +((lp908 +tp909 +Rp910 +sbsg10 +g272 +sS'Root' +p911 +g1 +(g2 +g3 +(g890 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g893 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg97 +g1 +(g2 +g3 +(g890 +g97 +tp919 +tp920 +Rp921 +(dp922 +g10 +g893 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg675 +g1 +(g2 +g3 +(g890 +g675 +tp926 +tp927 +Rp928 +(dp929 +g10 +g893 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg25 +g26 +((lp933 +g914 +ag921 +ag928 +ag906 +ag898 +ag1 +(g2 +g3 +(g890 +S'Rag' +p934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g893 +sg25 +g26 +((lp939 +tp940 +Rp941 +sbatp942 +Rp943 +sg934 +g937 +sbag655 +ag802 +ag678 +ag694 +ag794 +ag298 +atp944 +Rp945 +sg808 +g811 +sg890 +g893 +sbsg20 +g196 +sg247 +g250 +sg232 +g235 +sg216 +g219 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g55 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg55 +g949 +sbVpartition +p956 +tp957 +a(g678 +V( +p958 +tp959 +a(g678 +V[ +p960 +tp961 +a(g678 +V] +p962 +tp963 +a(g678 +V, +p964 +tp965 +a(g893 +V +p966 +tp967 +a(g811 +V_ +p968 +tp969 +a(g678 +g964 +tp970 +a(g893 +g966 +tp971 +a(g678 +g960 +tp972 +a(g678 +g962 +tp973 +a(g678 +g964 +tp974 +a(g893 +g966 +tp975 +a(g678 +g960 +tp976 +a(g678 +g962 +tp977 +a(g678 +V) +p978 +tp979 +a(g678 +V. +p980 +tp981 +a(g893 +V\u000a +p982 +tp983 +a(g8 +Vpartition +p984 +tp985 +a(g678 +g958 +tp986 +a(g678 +g960 +tp987 +a(g18 +VX +p988 +tp989 +a(g678 +V| +p990 +tp991 +a(g18 +VXs +p992 +tp993 +a(g678 +g962 +tp994 +a(g678 +g964 +tp995 +a(g893 +g966 +tp996 +a(g18 +VPivot +p997 +tp998 +a(g678 +g964 +tp999 +a(g893 +g966 +tp1000 +a(g18 +VSmalls +p1001 +tp1002 +a(g678 +g964 +tp1003 +a(g893 +g966 +tp1004 +a(g18 +VBigs +p1005 +tp1006 +a(g678 +g978 +tp1007 +a(g893 +g966 +tp1008 +a(g678 +V:- +p1009 +tp1010 +a(g893 +V\u000a +p1011 +tp1012 +a(g678 +g958 +tp1013 +a(g893 +V +p1014 +tp1015 +a(g18 +g988 +tp1016 +a(g893 +g966 +tp1017 +a(g417 +V@< +p1018 +tp1019 +a(g893 +g966 +tp1020 +a(g18 +VPivot +p1021 +tp1022 +a(g893 +g966 +tp1023 +a(g417 +V-> +p1024 +tp1025 +a(g893 +V\u000a +p1026 +tp1027 +a(g18 +VSmalls +p1028 +tp1029 +a(g893 +g966 +tp1030 +a(g655 +V= +p1031 +tp1032 +a(g893 +g966 +tp1033 +a(g678 +g960 +tp1034 +a(g18 +g988 +tp1035 +a(g678 +g990 +tp1036 +a(g18 +VRest +p1037 +tp1038 +a(g678 +g962 +tp1039 +a(g678 +g964 +tp1040 +a(g893 +V\u000a +p1041 +tp1042 +a(g8 +Vpartition +p1043 +tp1044 +a(g678 +g958 +tp1045 +a(g18 +VXs +p1046 +tp1047 +a(g678 +g964 +tp1048 +a(g893 +g966 +tp1049 +a(g18 +VPivot +p1050 +tp1051 +a(g678 +g964 +tp1052 +a(g893 +g966 +tp1053 +a(g18 +VRest +p1054 +tp1055 +a(g678 +g964 +tp1056 +a(g893 +g966 +tp1057 +a(g18 +VBigs +p1058 +tp1059 +a(g678 +g978 +tp1060 +a(g893 +V\u000a +p1061 +tp1062 +a(g678 +V; +p1063 +tp1064 +a(g893 +V +p1065 +tp1066 +a(g18 +VBigs +p1067 +tp1068 +a(g893 +g966 +tp1069 +a(g655 +g1031 +tp1070 +a(g893 +g966 +tp1071 +a(g678 +g960 +tp1072 +a(g18 +g988 +tp1073 +a(g678 +g990 +tp1074 +a(g18 +VRest +p1075 +tp1076 +a(g678 +g962 +tp1077 +a(g678 +g964 +tp1078 +a(g893 +V\u000a +p1079 +tp1080 +a(g8 +Vpartition +p1081 +tp1082 +a(g678 +g958 +tp1083 +a(g18 +VXs +p1084 +tp1085 +a(g678 +g964 +tp1086 +a(g893 +g966 +tp1087 +a(g18 +VPivot +p1088 +tp1089 +a(g678 +g964 +tp1090 +a(g893 +g966 +tp1091 +a(g18 +VSmalls +p1092 +tp1093 +a(g678 +g964 +tp1094 +a(g893 +g966 +tp1095 +a(g18 +VRest +p1096 +tp1097 +a(g678 +g978 +tp1098 +a(g893 +V\u000a +p1099 +tp1100 +a(g678 +g978 +tp1101 +a(g678 +g980 +tp1102 +a(g893 +V\u000a\u000a +p1103 +tp1104 +a(g8 +Vquicksort +p1105 +tp1106 +a(g678 +g958 +tp1107 +a(g678 +g960 +tp1108 +a(g678 +g962 +tp1109 +a(g678 +g978 +tp1110 +a(g893 +V +p1111 +tp1112 +a(g678 +V--> +p1113 +tp1114 +a(g893 +g966 +tp1115 +a(g678 +g960 +tp1116 +a(g678 +g962 +tp1117 +a(g678 +g980 +tp1118 +a(g893 +V\u000a +p1119 +tp1120 +a(g8 +Vquicksort +p1121 +tp1122 +a(g678 +g958 +tp1123 +a(g678 +g960 +tp1124 +a(g18 +g988 +tp1125 +a(g678 +g990 +tp1126 +a(g18 +VXs +p1127 +tp1128 +a(g678 +g962 +tp1129 +a(g678 +g978 +tp1130 +a(g893 +g966 +tp1131 +a(g678 +V--> +p1132 +tp1133 +a(g893 +V \u000a +p1134 +tp1135 +a(g678 +V{ +p1136 +tp1137 +a(g893 +g966 +tp1138 +a(g8 +Vpartition +p1139 +tp1140 +a(g678 +g958 +tp1141 +a(g18 +VXs +p1142 +tp1143 +a(g678 +g964 +tp1144 +a(g893 +g966 +tp1145 +a(g18 +g988 +tp1146 +a(g678 +g964 +tp1147 +a(g893 +g966 +tp1148 +a(g18 +VSmaller +p1149 +tp1150 +a(g678 +g964 +tp1151 +a(g893 +g966 +tp1152 +a(g18 +VBigger +p1153 +tp1154 +a(g678 +g978 +tp1155 +a(g893 +g966 +tp1156 +a(g678 +V} +p1157 +tp1158 +a(g678 +g964 +tp1159 +a(g893 +V\u000a +p1160 +tp1161 +a(g8 +Vquicksort +p1162 +tp1163 +a(g678 +g958 +tp1164 +a(g18 +VSmaller +p1165 +tp1166 +a(g678 +g978 +tp1167 +a(g678 +g964 +tp1168 +a(g893 +g966 +tp1169 +a(g678 +g960 +tp1170 +a(g18 +g988 +tp1171 +a(g678 +g962 +tp1172 +a(g678 +g964 +tp1173 +a(g893 +g966 +tp1174 +a(g8 +Vquicksort +p1175 +tp1176 +a(g678 +g958 +tp1177 +a(g18 +VBigger +p1178 +tp1179 +a(g678 +g978 +tp1180 +a(g678 +g980 +tp1181 +a(g893 +V\u000a +p1182 +tp1183 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/r-console-transcript.Rout b/tests/examplefiles/output/r-console-transcript.Rout new file mode 100644 index 0000000..0bdd4db --- /dev/null +++ b/tests/examplefiles/output/r-console-transcript.Rout @@ -0,0 +1,2714 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Output' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsS'Strong' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg34 +g35 +((lp932 +tp933 +Rp934 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsS'Traceback' +p942 +g1 +(g2 +g3 +(g4 +g942 +tp943 +tp944 +Rp945 +(dp946 +g10 +g13 +sg34 +g35 +((lp947 +tp948 +Rp949 +sbsg34 +g35 +((lp950 +g898 +ag890 +ag8 +ag930 +ag906 +ag937 +ag945 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg5 +g8 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVR version 2.9.2 (2009-08-24)\u000a +p956 +tp957 +a(g8 +VCopyright (C) 2009 The R Foundation for Statistical Computing\u000a +p958 +tp959 +a(g8 +VISBN 3-900051-07-0\u000a +p960 +tp961 +a(g8 +V\u000a +p962 +tp963 +a(g8 +VR is free software and comes with ABSOLUTELY NO WARRANTY.\u000a +p964 +tp965 +a(g8 +VYou are welcome to redistribute it under certain conditions.\u000a +p966 +tp967 +a(g8 +VType 'license()' or 'licence()' for distribution details.\u000a +p968 +tp969 +a(g8 +V\u000a +p970 +tp971 +a(g8 +V Natural language support but running in an English locale\u000a +p972 +tp973 +a(g8 +V\u000a +p974 +tp975 +a(g8 +VR is a collaborative project with many contributors.\u000a +p976 +tp977 +a(g8 +VType 'contributors()' for more information and\u000a +p978 +tp979 +a(g8 +V'citation()' on how to cite R or R packages in publications.\u000a +p980 +tp981 +a(g8 +V\u000a +p982 +tp983 +a(g8 +VType 'demo()' for some demos, 'help()' for on-line help, or\u000a +p984 +tp985 +a(g8 +V'help.start()' for an HTML browser interface to help.\u000a +p986 +tp987 +a(g8 +VType 'q()' to quit R.\u000a +p988 +tp989 +a(g8 +V\u000a +p990 +tp991 +a(g8 +V[R.app GUI 1.29 (5464) i386-apple-darwin8.11.1]\u000a +p992 +tp993 +a(g8 +V\u000a +p994 +tp995 +a(g340 +V +p996 +tp997 +a(g914 +V> +p998 +tp999 +a(g340 +Vx +p1000 +tp1001 +a(g826 +V +p1002 +tp1003 +a(g317 +V<- +p1004 +tp1005 +a(g826 +g1002 +tp1006 +a(g340 +Vfunction +p1007 +tp1008 +a(g826 +g1002 +tp1009 +a(g610 +V{ +p1010 +tp1011 +a(g610 +V} +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g8 +VError: syntax error\u000a +p1016 +tp1017 +a(g340 +g996 +tp1018 +a(g914 +V> +p1019 +tp1020 +a(g340 +g1000 +tp1021 +a(g826 +g1002 +tp1022 +a(g317 +V<- +p1023 +tp1024 +a(g826 +g1002 +tp1025 +a(g478 +Vfunction +p1026 +tp1027 +a(g610 +V( +p1028 +tp1029 +a(g610 +V) +p1030 +tp1031 +a(g826 +g1002 +tp1032 +a(g610 +g1010 +tp1033 +a(g610 +g1012 +tp1034 +a(g826 +V\u000a +p1035 +tp1036 +a(g914 +V> +p1037 +tp1038 +a(g826 +g996 +tp1039 +a(g340 +g1000 +tp1040 +a(g826 +g1002 +tp1041 +a(g317 +V<- +p1042 +tp1043 +a(g826 +g1002 +tp1044 +a(g478 +Vfunction +p1045 +tp1046 +a(g610 +g1028 +tp1047 +a(g610 +g1030 +tp1048 +a(g826 +g1002 +tp1049 +a(g610 +g1010 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a(g914 +V+ +p1053 +tp1054 +a(g826 +g996 +tp1055 +a(g478 +Vcat +p1056 +tp1057 +a(g610 +g1028 +tp1058 +a(g81 +V" +p1059 +tp1060 +a(g81 +Vhello" +p1061 +tp1062 +a(g610 +g1030 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g914 +V+ +p1066 +tp1067 +a(g826 +g996 +tp1068 +a(g478 +Vcat +p1069 +tp1070 +a(g610 +g1028 +tp1071 +a(g81 +g1059 +tp1072 +a(g81 +Vworld" +p1073 +tp1074 +a(g610 +g1030 +tp1075 +a(g826 +V\u000a +p1076 +tp1077 +a(g914 +V+ +p1078 +tp1079 +a(g826 +g996 +tp1080 +a(g610 +g1012 +tp1081 +a(g826 +V\u000a +p1082 +tp1083 +a(g914 +V> +p1084 +tp1085 +a(g826 +g996 +tp1086 +a(g340 +g1000 +tp1087 +a(g826 +V\u000a +p1088 +tp1089 +a(g8 +Vfunction() {\u000a +p1090 +tp1091 +a(g8 +Vcat("hello")\u000a +p1092 +tp1093 +a(g8 +Vcat("world")\u000a +p1094 +tp1095 +a(g8 +V}\u000a +p1096 +tp1097 +a(g478 +g996 +tp1098 +a(g914 +V> +p1099 +tp1100 +a(g478 +g1000 +tp1101 +a(g610 +g1028 +tp1102 +a(g610 +g1030 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g8 +Vhelloworld\u000a +p1106 +tp1107 +a(g22 +g996 +tp1108 +a(g914 +V> +p1109 +tp1110 +a(g22 +V2 +p1111 +tp1112 +a(g826 +g1002 +tp1113 +a(g317 +V+ +p1114 +tp1115 +a(g826 +g1002 +tp1116 +a(g22 +g1111 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g8 +V[1] 4\u000a +p1120 +tp1121 +a(g826 +g996 +tp1122 +a(g914 +V> +p1123 +tp1124 +a(g826 +V\u000a +p1125 +tp1126 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/r6rs-comments.scm b/tests/examplefiles/output/r6rs-comments.scm new file mode 100644 index 0000000..c241f5f --- /dev/null +++ b/tests/examplefiles/output/r6rs-comments.scm @@ -0,0 +1,2529 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV#!r6rs +p956 +tp957 +a(g822 +V\u000a\u000a +p958 +tp959 +a(g932 +V#| +p960 +tp961 +a(g932 +V\u000a\u000a The FACT procedure computes the factorial\u000a\u000a of a non-negative integer.\u000a\u000a +p962 +tp963 +a(g932 +V|# +p964 +tp965 +a(g822 +V\u000a\u000a +p966 +tp967 +a(g701 +V( +p968 +tp969 +a(g740 +Vdefine +p970 +tp971 +a(g436 +Vfact +p972 +tp973 +a(g822 +V\u000a\u000a +p974 +tp975 +a(g701 +g968 +tp976 +a(g740 +Vlambda +p977 +tp978 +a(g701 +g968 +tp979 +a(g569 +Vn +p980 +tp981 +a(g701 +V) +p982 +tp983 +a(g822 +V\u000a\u000a +p984 +tp985 +a(g901 +V;; base case +p986 +tp987 +a(g822 +V\u000a\u000a +p988 +tp989 +a(g701 +g968 +tp990 +a(g740 +Vif +p991 +tp992 +a(g701 +g968 +tp993 +a(g677 +V= +p994 +tp995 +a(g436 +g980 +tp996 +a(g822 +V +p997 +tp998 +a(g32 +V0 +p999 +tp1000 +a(g701 +g982 +tp1001 +a(g822 +V\u000a\u000a +p1002 +tp1003 +a(g7 +V#;( +p1004 +tp1005 +a(g7 +V= n 1 +p1006 +tp1007 +a(g7 +g982 +tp1008 +a(g822 +V\u000a\u000a +p1009 +tp1010 +a(g32 +V1 +p1011 +tp1012 +a(g822 +V +p1013 +tp1014 +a(g901 +V; identity of * +p1015 +tp1016 +a(g822 +V\u000a\u000a +p1017 +tp1018 +a(g701 +g968 +tp1019 +a(g677 +V* +p1020 +tp1021 +a(g436 +g980 +tp1022 +a(g822 +g997 +tp1023 +a(g701 +g968 +tp1024 +a(g569 +Vfact +p1025 +tp1026 +a(g822 +g997 +tp1027 +a(g701 +g968 +tp1028 +a(g677 +V- +p1029 +tp1030 +a(g436 +g980 +tp1031 +a(g822 +g997 +tp1032 +a(g32 +g1011 +tp1033 +a(g701 +g982 +tp1034 +a(g701 +g982 +tp1035 +a(g701 +g982 +tp1036 +a(g701 +g982 +tp1037 +a(g701 +g982 +tp1038 +a(g701 +g982 +tp1039 +a(g822 +V\u000a +p1040 +tp1041 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ragel-cpp_rlscan b/tests/examplefiles/output/ragel-cpp_rlscan new file mode 100644 index 0000000..3f1ada9 --- /dev/null +++ b/tests/examplefiles/output/ragel-cpp_rlscan @@ -0,0 +1,8650 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/*\u000a * Lexes Ragel input files.\u000a *\u000a * @LANG: c++\u000a *\u000a * Test works with split code gen.\u000a */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g827 +V\u000a +p960 +tp961 +a(g899 +V# +p962 +tp963 +a(g899 +Vinclude +p964 +tp965 +a(g827 +V +p966 +tp967 +a(g938 +V +p968 +tp969 +a(g899 +V\u000a +p970 +tp971 +a(g899 +g962 +tp972 +a(g899 +Vinclude +p973 +tp974 +a(g827 +g966 +tp975 +a(g938 +V +p976 +tp977 +a(g899 +V\u000a +p978 +tp979 +a(g899 +g962 +tp980 +a(g899 +Vinclude +p981 +tp982 +a(g827 +g966 +tp983 +a(g938 +V +p984 +tp985 +a(g899 +V\u000a +p986 +tp987 +a(g899 +g962 +tp988 +a(g899 +Vinclude +p989 +tp990 +a(g827 +g966 +tp991 +a(g938 +V +p992 +tp993 +a(g899 +V\u000a +p994 +tp995 +a(g827 +V\u000a +p996 +tp997 +a(g745 +Vusing +p998 +tp999 +a(g827 +g966 +tp1000 +a(g745 +Vnamespace +p1001 +tp1002 +a(g827 +g966 +tp1003 +a(g436 +Vstd +p1004 +tp1005 +a(g706 +V; +p1006 +tp1007 +a(g827 +V\u000a +p1008 +tp1009 +a(g827 +V\u000a +p1010 +tp1011 +a(g749 +Vvoid +p1012 +tp1013 +a(g827 +g966 +tp1014 +a(g574 +VescapeXML +p1015 +tp1016 +a(g706 +V( +p1017 +tp1018 +a(g827 +g966 +tp1019 +a(g745 +Vconst +p1020 +tp1021 +a(g827 +g966 +tp1022 +a(g749 +Vchar +p1023 +tp1024 +a(g827 +g966 +tp1025 +a(g413 +V* +p1026 +tp1027 +a(g436 +Vdata +p1028 +tp1029 +a(g827 +g966 +tp1030 +a(g706 +V) +p1031 +tp1032 +a(g827 +V\u000a +p1033 +tp1034 +a(g706 +V{ +p1035 +tp1036 +a(g827 +V\u000a +p1037 +tp1038 +a(g827 +V +p1039 +tp1040 +a(g745 +Vwhile +p1041 +tp1042 +a(g827 +g966 +tp1043 +a(g706 +g1017 +tp1044 +a(g827 +g966 +tp1045 +a(g413 +g1026 +tp1046 +a(g436 +Vdata +p1047 +tp1048 +a(g827 +g966 +tp1049 +a(g413 +V! +p1050 +tp1051 +a(g413 +V= +p1052 +tp1053 +a(g827 +g966 +tp1054 +a(g37 +V0 +p1055 +tp1056 +a(g827 +g966 +tp1057 +a(g706 +g1031 +tp1058 +a(g827 +g966 +tp1059 +a(g706 +g1035 +tp1060 +a(g827 +V\u000a +p1061 +tp1062 +a(g827 +V +p1063 +tp1064 +a(g745 +Vswitch +p1065 +tp1066 +a(g827 +g966 +tp1067 +a(g706 +g1017 +tp1068 +a(g827 +g966 +tp1069 +a(g413 +g1026 +tp1070 +a(g436 +Vdata +p1071 +tp1072 +a(g827 +g966 +tp1073 +a(g706 +g1031 +tp1074 +a(g827 +g966 +tp1075 +a(g706 +g1035 +tp1076 +a(g827 +V\u000a +p1077 +tp1078 +a(g827 +V +p1079 +tp1080 +a(g745 +Vcase +p1081 +tp1082 +a(g827 +g966 +tp1083 +a(g93 +V' +p1084 +tp1085 +a(g93 +V< +p1086 +tp1087 +a(g93 +g1084 +tp1088 +a(g413 +V: +p1089 +tp1090 +a(g827 +g966 +tp1091 +a(g436 +Vcout +p1092 +tp1093 +a(g827 +g966 +tp1094 +a(g413 +g1086 +tp1095 +a(g413 +g1086 +tp1096 +a(g827 +g966 +tp1097 +a(g89 +V" +p1098 +tp1099 +a(g89 +V< +p1100 +tp1101 +a(g89 +g1098 +tp1102 +a(g706 +g1006 +tp1103 +a(g827 +g966 +tp1104 +a(g745 +Vbreak +p1105 +tp1106 +a(g706 +g1006 +tp1107 +a(g827 +V\u000a +p1108 +tp1109 +a(g827 +V +p1110 +tp1111 +a(g745 +Vcase +p1112 +tp1113 +a(g827 +g966 +tp1114 +a(g93 +g1084 +tp1115 +a(g93 +V> +p1116 +tp1117 +a(g93 +g1084 +tp1118 +a(g413 +g1089 +tp1119 +a(g827 +g966 +tp1120 +a(g436 +Vcout +p1121 +tp1122 +a(g827 +g966 +tp1123 +a(g413 +g1086 +tp1124 +a(g413 +g1086 +tp1125 +a(g827 +g966 +tp1126 +a(g89 +g1098 +tp1127 +a(g89 +V> +p1128 +tp1129 +a(g89 +g1098 +tp1130 +a(g706 +g1006 +tp1131 +a(g827 +g966 +tp1132 +a(g745 +Vbreak +p1133 +tp1134 +a(g706 +g1006 +tp1135 +a(g827 +V\u000a +p1136 +tp1137 +a(g827 +V +p1138 +tp1139 +a(g745 +Vcase +p1140 +tp1141 +a(g827 +g966 +tp1142 +a(g93 +g1084 +tp1143 +a(g93 +V& +p1144 +tp1145 +a(g93 +g1084 +tp1146 +a(g413 +g1089 +tp1147 +a(g827 +g966 +tp1148 +a(g436 +Vcout +p1149 +tp1150 +a(g827 +g966 +tp1151 +a(g413 +g1086 +tp1152 +a(g413 +g1086 +tp1153 +a(g827 +g966 +tp1154 +a(g89 +g1098 +tp1155 +a(g89 +V& +p1156 +tp1157 +a(g89 +g1098 +tp1158 +a(g706 +g1006 +tp1159 +a(g827 +g966 +tp1160 +a(g745 +Vbreak +p1161 +tp1162 +a(g706 +g1006 +tp1163 +a(g827 +V\u000a +p1164 +tp1165 +a(g827 +V +p1166 +tp1167 +a(g745 +Vdefault +p1168 +tp1169 +a(g413 +g1089 +tp1170 +a(g827 +g966 +tp1171 +a(g436 +Vcout +p1172 +tp1173 +a(g827 +g966 +tp1174 +a(g413 +g1086 +tp1175 +a(g413 +g1086 +tp1176 +a(g827 +g966 +tp1177 +a(g413 +g1026 +tp1178 +a(g436 +Vdata +p1179 +tp1180 +a(g706 +g1006 +tp1181 +a(g827 +g966 +tp1182 +a(g745 +Vbreak +p1183 +tp1184 +a(g706 +g1006 +tp1185 +a(g827 +V\u000a +p1186 +tp1187 +a(g827 +V +p1188 +tp1189 +a(g706 +V} +p1190 +tp1191 +a(g827 +V\u000a +p1192 +tp1193 +a(g827 +V +p1194 +tp1195 +a(g436 +Vdata +p1196 +tp1197 +a(g827 +g966 +tp1198 +a(g413 +V+ +p1199 +tp1200 +a(g413 +g1052 +tp1201 +a(g827 +g966 +tp1202 +a(g37 +V1 +p1203 +tp1204 +a(g706 +g1006 +tp1205 +a(g827 +V\u000a +p1206 +tp1207 +a(g827 +g1039 +tp1208 +a(g706 +g1190 +tp1209 +a(g827 +V\u000a +p1210 +tp1211 +a(g706 +g1190 +tp1212 +a(g827 +V\u000a +p1213 +tp1214 +a(g827 +V\u000a +p1215 +tp1216 +a(g749 +Vvoid +p1217 +tp1218 +a(g827 +g966 +tp1219 +a(g574 +VescapeXML +p1220 +tp1221 +a(g706 +g1017 +tp1222 +a(g827 +g966 +tp1223 +a(g749 +Vchar +p1224 +tp1225 +a(g827 +g966 +tp1226 +a(g436 +Vc +p1227 +tp1228 +a(g827 +g966 +tp1229 +a(g706 +g1031 +tp1230 +a(g827 +V\u000a +p1231 +tp1232 +a(g706 +g1035 +tp1233 +a(g827 +V\u000a +p1234 +tp1235 +a(g827 +g1039 +tp1236 +a(g745 +Vswitch +p1237 +tp1238 +a(g827 +g966 +tp1239 +a(g706 +g1017 +tp1240 +a(g827 +g966 +tp1241 +a(g436 +g1227 +tp1242 +a(g827 +g966 +tp1243 +a(g706 +g1031 +tp1244 +a(g827 +g966 +tp1245 +a(g706 +g1035 +tp1246 +a(g827 +V\u000a +p1247 +tp1248 +a(g827 +V +p1249 +tp1250 +a(g745 +Vcase +p1251 +tp1252 +a(g827 +g966 +tp1253 +a(g93 +g1084 +tp1254 +a(g93 +g1086 +tp1255 +a(g93 +g1084 +tp1256 +a(g413 +g1089 +tp1257 +a(g827 +g966 +tp1258 +a(g436 +Vcout +p1259 +tp1260 +a(g827 +g966 +tp1261 +a(g413 +g1086 +tp1262 +a(g413 +g1086 +tp1263 +a(g827 +g966 +tp1264 +a(g89 +g1098 +tp1265 +a(g89 +V< +p1266 +tp1267 +a(g89 +g1098 +tp1268 +a(g706 +g1006 +tp1269 +a(g827 +g966 +tp1270 +a(g745 +Vbreak +p1271 +tp1272 +a(g706 +g1006 +tp1273 +a(g827 +V\u000a +p1274 +tp1275 +a(g827 +V +p1276 +tp1277 +a(g745 +Vcase +p1278 +tp1279 +a(g827 +g966 +tp1280 +a(g93 +g1084 +tp1281 +a(g93 +g1116 +tp1282 +a(g93 +g1084 +tp1283 +a(g413 +g1089 +tp1284 +a(g827 +g966 +tp1285 +a(g436 +Vcout +p1286 +tp1287 +a(g827 +g966 +tp1288 +a(g413 +g1086 +tp1289 +a(g413 +g1086 +tp1290 +a(g827 +g966 +tp1291 +a(g89 +g1098 +tp1292 +a(g89 +V> +p1293 +tp1294 +a(g89 +g1098 +tp1295 +a(g706 +g1006 +tp1296 +a(g827 +g966 +tp1297 +a(g745 +Vbreak +p1298 +tp1299 +a(g706 +g1006 +tp1300 +a(g827 +V\u000a +p1301 +tp1302 +a(g827 +V +p1303 +tp1304 +a(g745 +Vcase +p1305 +tp1306 +a(g827 +g966 +tp1307 +a(g93 +g1084 +tp1308 +a(g93 +g1144 +tp1309 +a(g93 +g1084 +tp1310 +a(g413 +g1089 +tp1311 +a(g827 +g966 +tp1312 +a(g436 +Vcout +p1313 +tp1314 +a(g827 +g966 +tp1315 +a(g413 +g1086 +tp1316 +a(g413 +g1086 +tp1317 +a(g827 +g966 +tp1318 +a(g89 +g1098 +tp1319 +a(g89 +V& +p1320 +tp1321 +a(g89 +g1098 +tp1322 +a(g706 +g1006 +tp1323 +a(g827 +g966 +tp1324 +a(g745 +Vbreak +p1325 +tp1326 +a(g706 +g1006 +tp1327 +a(g827 +V\u000a +p1328 +tp1329 +a(g827 +V +p1330 +tp1331 +a(g745 +Vdefault +p1332 +tp1333 +a(g413 +g1089 +tp1334 +a(g827 +g966 +tp1335 +a(g436 +Vcout +p1336 +tp1337 +a(g827 +g966 +tp1338 +a(g413 +g1086 +tp1339 +a(g413 +g1086 +tp1340 +a(g827 +g966 +tp1341 +a(g436 +g1227 +tp1342 +a(g706 +g1006 +tp1343 +a(g827 +g966 +tp1344 +a(g745 +Vbreak +p1345 +tp1346 +a(g706 +g1006 +tp1347 +a(g827 +V\u000a +p1348 +tp1349 +a(g827 +g1039 +tp1350 +a(g706 +g1190 +tp1351 +a(g827 +V\u000a +p1352 +tp1353 +a(g706 +g1190 +tp1354 +a(g827 +V\u000a +p1355 +tp1356 +a(g827 +V\u000a +p1357 +tp1358 +a(g749 +Vvoid +p1359 +tp1360 +a(g827 +g966 +tp1361 +a(g574 +VescapeXML +p1362 +tp1363 +a(g706 +g1017 +tp1364 +a(g827 +g966 +tp1365 +a(g745 +Vconst +p1366 +tp1367 +a(g827 +g966 +tp1368 +a(g749 +Vchar +p1369 +tp1370 +a(g827 +g966 +tp1371 +a(g413 +g1026 +tp1372 +a(g436 +Vdata +p1373 +tp1374 +a(g706 +V, +p1375 +tp1376 +a(g827 +g966 +tp1377 +a(g749 +Vint +p1378 +tp1379 +a(g827 +g966 +tp1380 +a(g436 +Vlen +p1381 +tp1382 +a(g827 +g966 +tp1383 +a(g706 +g1031 +tp1384 +a(g827 +V\u000a +p1385 +tp1386 +a(g706 +g1035 +tp1387 +a(g827 +V\u000a +p1388 +tp1389 +a(g827 +g1039 +tp1390 +a(g745 +Vfor +p1391 +tp1392 +a(g827 +g966 +tp1393 +a(g706 +g1017 +tp1394 +a(g827 +g966 +tp1395 +a(g745 +Vconst +p1396 +tp1397 +a(g827 +g966 +tp1398 +a(g749 +Vchar +p1399 +tp1400 +a(g827 +g966 +tp1401 +a(g413 +g1026 +tp1402 +a(g436 +Vend +p1403 +tp1404 +a(g827 +g966 +tp1405 +a(g413 +g1052 +tp1406 +a(g827 +g966 +tp1407 +a(g436 +Vdata +p1408 +tp1409 +a(g827 +g966 +tp1410 +a(g413 +g1199 +tp1411 +a(g827 +g966 +tp1412 +a(g436 +Vlen +p1413 +tp1414 +a(g706 +g1006 +tp1415 +a(g827 +g966 +tp1416 +a(g436 +Vdata +p1417 +tp1418 +a(g827 +g966 +tp1419 +a(g413 +g1050 +tp1420 +a(g413 +g1052 +tp1421 +a(g827 +g966 +tp1422 +a(g436 +Vend +p1423 +tp1424 +a(g706 +g1006 +tp1425 +a(g827 +g966 +tp1426 +a(g436 +Vdata +p1427 +tp1428 +a(g413 +g1199 +tp1429 +a(g413 +g1199 +tp1430 +a(g827 +V +p1431 +tp1432 +a(g706 +g1031 +tp1433 +a(g827 +g966 +tp1434 +a(g706 +g1035 +tp1435 +a(g827 +V\u000a +p1436 +tp1437 +a(g827 +V +p1438 +tp1439 +a(g745 +Vswitch +p1440 +tp1441 +a(g827 +g966 +tp1442 +a(g706 +g1017 +tp1443 +a(g827 +g966 +tp1444 +a(g413 +g1026 +tp1445 +a(g436 +Vdata +p1446 +tp1447 +a(g827 +g966 +tp1448 +a(g706 +g1031 +tp1449 +a(g827 +g966 +tp1450 +a(g706 +g1035 +tp1451 +a(g827 +V\u000a +p1452 +tp1453 +a(g827 +V +p1454 +tp1455 +a(g745 +Vcase +p1456 +tp1457 +a(g827 +g966 +tp1458 +a(g93 +g1084 +tp1459 +a(g93 +g1086 +tp1460 +a(g93 +g1084 +tp1461 +a(g413 +g1089 +tp1462 +a(g827 +g966 +tp1463 +a(g436 +Vcout +p1464 +tp1465 +a(g827 +g966 +tp1466 +a(g413 +g1086 +tp1467 +a(g413 +g1086 +tp1468 +a(g827 +g966 +tp1469 +a(g89 +g1098 +tp1470 +a(g89 +V< +p1471 +tp1472 +a(g89 +g1098 +tp1473 +a(g706 +g1006 +tp1474 +a(g827 +g966 +tp1475 +a(g745 +Vbreak +p1476 +tp1477 +a(g706 +g1006 +tp1478 +a(g827 +V\u000a +p1479 +tp1480 +a(g827 +V +p1481 +tp1482 +a(g745 +Vcase +p1483 +tp1484 +a(g827 +g966 +tp1485 +a(g93 +g1084 +tp1486 +a(g93 +g1116 +tp1487 +a(g93 +g1084 +tp1488 +a(g413 +g1089 +tp1489 +a(g827 +g966 +tp1490 +a(g436 +Vcout +p1491 +tp1492 +a(g827 +g966 +tp1493 +a(g413 +g1086 +tp1494 +a(g413 +g1086 +tp1495 +a(g827 +g966 +tp1496 +a(g89 +g1098 +tp1497 +a(g89 +V> +p1498 +tp1499 +a(g89 +g1098 +tp1500 +a(g706 +g1006 +tp1501 +a(g827 +g966 +tp1502 +a(g745 +Vbreak +p1503 +tp1504 +a(g706 +g1006 +tp1505 +a(g827 +V\u000a +p1506 +tp1507 +a(g827 +V +p1508 +tp1509 +a(g745 +Vcase +p1510 +tp1511 +a(g827 +g966 +tp1512 +a(g93 +g1084 +tp1513 +a(g93 +g1144 +tp1514 +a(g93 +g1084 +tp1515 +a(g413 +g1089 +tp1516 +a(g827 +g966 +tp1517 +a(g436 +Vcout +p1518 +tp1519 +a(g827 +g966 +tp1520 +a(g413 +g1086 +tp1521 +a(g413 +g1086 +tp1522 +a(g827 +g966 +tp1523 +a(g89 +g1098 +tp1524 +a(g89 +V& +p1525 +tp1526 +a(g89 +g1098 +tp1527 +a(g706 +g1006 +tp1528 +a(g827 +g966 +tp1529 +a(g745 +Vbreak +p1530 +tp1531 +a(g706 +g1006 +tp1532 +a(g827 +V\u000a +p1533 +tp1534 +a(g827 +V +p1535 +tp1536 +a(g745 +Vdefault +p1537 +tp1538 +a(g413 +g1089 +tp1539 +a(g827 +g966 +tp1540 +a(g436 +Vcout +p1541 +tp1542 +a(g827 +g966 +tp1543 +a(g413 +g1086 +tp1544 +a(g413 +g1086 +tp1545 +a(g827 +g966 +tp1546 +a(g413 +g1026 +tp1547 +a(g436 +Vdata +p1548 +tp1549 +a(g706 +g1006 +tp1550 +a(g827 +g966 +tp1551 +a(g745 +Vbreak +p1552 +tp1553 +a(g706 +g1006 +tp1554 +a(g827 +V\u000a +p1555 +tp1556 +a(g827 +V +p1557 +tp1558 +a(g706 +g1190 +tp1559 +a(g827 +V\u000a +p1560 +tp1561 +a(g827 +g1039 +tp1562 +a(g706 +g1190 +tp1563 +a(g827 +V\u000a +p1564 +tp1565 +a(g706 +g1190 +tp1566 +a(g827 +V\u000a +p1567 +tp1568 +a(g827 +V\u000a +p1569 +tp1570 +a(g794 +Vinline +p1571 +tp1572 +a(g827 +g966 +tp1573 +a(g749 +Vvoid +p1574 +tp1575 +a(g827 +g966 +tp1576 +a(g574 +Vwrite +p1577 +tp1578 +a(g706 +g1017 +tp1579 +a(g827 +g966 +tp1580 +a(g745 +Vconst +p1581 +tp1582 +a(g827 +g966 +tp1583 +a(g749 +Vchar +p1584 +tp1585 +a(g827 +g966 +tp1586 +a(g413 +g1026 +tp1587 +a(g436 +Vdata +p1588 +tp1589 +a(g827 +g966 +tp1590 +a(g706 +g1031 +tp1591 +a(g827 +V\u000a +p1592 +tp1593 +a(g706 +g1035 +tp1594 +a(g827 +V\u000a +p1595 +tp1596 +a(g827 +g1039 +tp1597 +a(g436 +Vcout +p1598 +tp1599 +a(g827 +g966 +tp1600 +a(g413 +g1086 +tp1601 +a(g413 +g1086 +tp1602 +a(g827 +g966 +tp1603 +a(g436 +Vdata +p1604 +tp1605 +a(g706 +g1006 +tp1606 +a(g827 +V\u000a +p1607 +tp1608 +a(g706 +g1190 +tp1609 +a(g827 +V\u000a +p1610 +tp1611 +a(g827 +V\u000a +p1612 +tp1613 +a(g794 +Vinline +p1614 +tp1615 +a(g827 +g966 +tp1616 +a(g749 +Vvoid +p1617 +tp1618 +a(g827 +g966 +tp1619 +a(g574 +Vwrite +p1620 +tp1621 +a(g706 +g1017 +tp1622 +a(g827 +g966 +tp1623 +a(g749 +Vchar +p1624 +tp1625 +a(g827 +g966 +tp1626 +a(g436 +g1227 +tp1627 +a(g827 +g966 +tp1628 +a(g706 +g1031 +tp1629 +a(g827 +V\u000a +p1630 +tp1631 +a(g706 +g1035 +tp1632 +a(g827 +V\u000a +p1633 +tp1634 +a(g827 +g1039 +tp1635 +a(g436 +Vcout +p1636 +tp1637 +a(g827 +g966 +tp1638 +a(g413 +g1086 +tp1639 +a(g413 +g1086 +tp1640 +a(g827 +g966 +tp1641 +a(g436 +g1227 +tp1642 +a(g706 +g1006 +tp1643 +a(g827 +V\u000a +p1644 +tp1645 +a(g706 +g1190 +tp1646 +a(g827 +V\u000a +p1647 +tp1648 +a(g827 +V\u000a +p1649 +tp1650 +a(g794 +Vinline +p1651 +tp1652 +a(g827 +g966 +tp1653 +a(g749 +Vvoid +p1654 +tp1655 +a(g827 +g966 +tp1656 +a(g574 +Vwrite +p1657 +tp1658 +a(g706 +g1017 +tp1659 +a(g827 +g966 +tp1660 +a(g745 +Vconst +p1661 +tp1662 +a(g827 +g966 +tp1663 +a(g749 +Vchar +p1664 +tp1665 +a(g827 +g966 +tp1666 +a(g413 +g1026 +tp1667 +a(g436 +Vdata +p1668 +tp1669 +a(g706 +g1375 +tp1670 +a(g827 +g966 +tp1671 +a(g749 +Vint +p1672 +tp1673 +a(g827 +g966 +tp1674 +a(g436 +Vlen +p1675 +tp1676 +a(g827 +g966 +tp1677 +a(g706 +g1031 +tp1678 +a(g827 +V\u000a +p1679 +tp1680 +a(g706 +g1035 +tp1681 +a(g827 +V\u000a +p1682 +tp1683 +a(g827 +g1039 +tp1684 +a(g436 +Vcout +p1685 +tp1686 +a(g706 +V. +p1687 +tp1688 +a(g436 +Vwrite +p1689 +tp1690 +a(g706 +g1017 +tp1691 +a(g827 +g966 +tp1692 +a(g436 +Vdata +p1693 +tp1694 +a(g706 +g1375 +tp1695 +a(g827 +g966 +tp1696 +a(g436 +Vlen +p1697 +tp1698 +a(g827 +g966 +tp1699 +a(g706 +g1031 +tp1700 +a(g706 +g1006 +tp1701 +a(g827 +V\u000a +p1702 +tp1703 +a(g706 +g1190 +tp1704 +a(g827 +V\u000a +p1705 +tp1706 +a(g827 +V\u000a +p1707 +tp1708 +a(g827 +V\u000a +p1709 +tp1710 +a(g706 +V%%{ +p1711 +tp1712 +a(g840 +V\u000a +p1713 +tp1714 +a(g745 +Vmachine +p1715 +tp1716 +a(g840 +g966 +tp1717 +a(g441 +VRagelScan +p1718 +tp1719 +a(g706 +g1006 +tp1720 +a(g840 +V\u000a\u000a +p1721 +tp1722 +a(g441 +Vword +p1723 +tp1724 +a(g840 +g966 +tp1725 +a(g413 +g1052 +tp1726 +a(g840 +g966 +tp1727 +a(g89 +V[a-zA-Z_] +p1728 +tp1729 +a(g89 +V[a-zA-Z_0-9] +p1730 +tp1731 +a(g413 +g1026 +tp1732 +a(g706 +g1006 +tp1733 +a(g840 +V\u000a +p1734 +tp1735 +a(g441 +Vinteger +p1736 +tp1737 +a(g840 +g966 +tp1738 +a(g413 +g1052 +tp1739 +a(g840 +g966 +tp1740 +a(g89 +V[0-9] +p1741 +tp1742 +a(g413 +g1199 +tp1743 +a(g706 +g1006 +tp1744 +a(g840 +V\u000a +p1745 +tp1746 +a(g441 +Vhex +p1747 +tp1748 +a(g840 +g966 +tp1749 +a(g413 +g1052 +tp1750 +a(g840 +g966 +tp1751 +a(g89 +V'0x' +p1752 +tp1753 +a(g840 +g966 +tp1754 +a(g89 +V[0-9a-fA-F] +p1755 +tp1756 +a(g840 +g966 +tp1757 +a(g89 +V[0-9a-fA-F] +p1758 +tp1759 +a(g413 +g1026 +tp1760 +a(g706 +g1006 +tp1761 +a(g840 +V\u000a\u000a +p1762 +tp1763 +a(g441 +Vdefault +p1764 +tp1765 +a(g840 +g966 +tp1766 +a(g413 +g1052 +tp1767 +a(g840 +g966 +tp1768 +a(g413 +V^ +p1769 +tp1770 +a(g37 +g1055 +tp1771 +a(g706 +g1006 +tp1772 +a(g840 +V\u000a +p1773 +tp1774 +a(g441 +VEOF +p1775 +tp1776 +a(g840 +g966 +tp1777 +a(g413 +g1052 +tp1778 +a(g840 +g966 +tp1779 +a(g37 +g1055 +tp1780 +a(g706 +g1006 +tp1781 +a(g840 +V\u000a\u000a +p1782 +tp1783 +a(g13 +V# Handles comments in outside code and inline blocks. +p1784 +tp1785 +a(g840 +V\u000a +p1786 +tp1787 +a(g441 +Vc_comment +p1788 +tp1789 +a(g840 +g966 +tp1790 +a(g413 +g1089 +tp1791 +a(g413 +g1052 +tp1792 +a(g840 +V \u000a +p1793 +tp1794 +a(g413 +g1017 +tp1795 +a(g840 +g966 +tp1796 +a(g441 +Vdefault +p1797 +tp1798 +a(g413 +g1026 +tp1799 +a(g840 +g966 +tp1800 +a(g413 +V:>> +p1801 +tp1802 +a(g840 +g966 +tp1803 +a(g89 +V'*/' +p1804 +tp1805 +a(g840 +g966 +tp1806 +a(g413 +g1031 +tp1807 +a(g840 +V\u000a +p1808 +tp1809 +a(g413 +V$ +p1810 +tp1811 +a(g706 +g1035 +tp1812 +a(g827 +V +p1813 +tp1814 +a(g827 +g966 +tp1815 +a(g436 +VescapeXML +p1816 +tp1817 +a(g706 +g1017 +tp1818 +a(g827 +g966 +tp1819 +a(g436 +Vfc +p1820 +tp1821 +a(g827 +g966 +tp1822 +a(g706 +g1031 +tp1823 +a(g706 +g1006 +tp1824 +a(g827 +g966 +tp1825 +a(g706 +g1190 +tp1826 +a(g840 +V\u000a +p1827 +tp1828 +a(g413 +V@ +p1829 +tp1830 +a(g706 +g1035 +tp1831 +a(g827 +g966 +tp1832 +a(g436 +Vfret +p1833 +tp1834 +a(g706 +g1006 +tp1835 +a(g827 +g966 +tp1836 +a(g706 +g1190 +tp1837 +a(g706 +g1006 +tp1838 +a(g840 +V\u000a\u000a +p1839 +tp1840 +a(g745 +Vaction +p1841 +tp1842 +a(g840 +g966 +tp1843 +a(g441 +Vemit +p1844 +tp1845 +a(g840 +g966 +tp1846 +a(g706 +g1035 +tp1847 +a(g827 +V\u000a +p1848 +tp1849 +a(g436 +VescapeXML +p1850 +tp1851 +a(g706 +g1017 +tp1852 +a(g827 +g966 +tp1853 +a(g436 +Vts +p1854 +tp1855 +a(g706 +g1375 +tp1856 +a(g827 +g966 +tp1857 +a(g436 +Vte +p1858 +tp1859 +a(g413 +V- +p1860 +tp1861 +a(g436 +Vts +p1862 +tp1863 +a(g827 +g966 +tp1864 +a(g706 +g1031 +tp1865 +a(g706 +g1006 +tp1866 +a(g827 +V\u000a +p1867 +tp1868 +a(g827 +g1039 +tp1869 +a(g706 +g1190 +tp1870 +a(g840 +V\u000a\u000a +p1871 +tp1872 +a(g13 +g962 +tp1873 +a(g840 +V\u000a +p1874 +tp1875 +a(g13 +V# Inline action code +p1876 +tp1877 +a(g840 +V\u000a +p1878 +tp1879 +a(g13 +g962 +tp1880 +a(g840 +V\u000a\u000a +p1881 +tp1882 +a(g441 +Vilscan +p1883 +tp1884 +a(g840 +g966 +tp1885 +a(g413 +g1089 +tp1886 +a(g413 +g1052 +tp1887 +a(g840 +g966 +tp1888 +a(g413 +V| +p1889 +tp1890 +a(g413 +g1026 +tp1891 +a(g840 +V\u000a\u000a +p1892 +tp1893 +a(g89 +V"'" +p1894 +tp1895 +a(g840 +g966 +tp1896 +a(g413 +g1017 +tp1897 +a(g840 +g966 +tp1898 +a(g89 +V[^'\u005c\u005c] +p1899 +tp1900 +a(g840 +g966 +tp1901 +a(g413 +g1889 +tp1902 +a(g840 +g966 +tp1903 +a(g197 +V/\u005c\u005c./ +p1904 +tp1905 +a(g840 +g966 +tp1906 +a(g413 +g1031 +tp1907 +a(g413 +g1026 +tp1908 +a(g840 +g966 +tp1909 +a(g89 +V"'" +p1910 +tp1911 +a(g840 +g966 +tp1912 +a(g413 +g1052 +tp1913 +a(g413 +g1116 +tp1914 +a(g840 +g966 +tp1915 +a(g441 +Vemit +p1916 +tp1917 +a(g706 +g1006 +tp1918 +a(g840 +V\u000a +p1919 +tp1920 +a(g89 +V'"' +p1921 +tp1922 +a(g840 +g966 +tp1923 +a(g413 +g1017 +tp1924 +a(g840 +g966 +tp1925 +a(g89 +V[^"\u005c\u005c] +p1926 +tp1927 +a(g840 +g966 +tp1928 +a(g413 +g1889 +tp1929 +a(g840 +g966 +tp1930 +a(g197 +V/\u005c\u005c./ +p1931 +tp1932 +a(g840 +g966 +tp1933 +a(g413 +g1031 +tp1934 +a(g413 +g1026 +tp1935 +a(g840 +g966 +tp1936 +a(g89 +V'"' +p1937 +tp1938 +a(g840 +g966 +tp1939 +a(g413 +g1052 +tp1940 +a(g413 +g1116 +tp1941 +a(g840 +g966 +tp1942 +a(g441 +Vemit +p1943 +tp1944 +a(g706 +g1006 +tp1945 +a(g840 +V\u000a +p1946 +tp1947 +a(g89 +V'/*' +p1948 +tp1949 +a(g840 +g966 +tp1950 +a(g706 +g1035 +tp1951 +a(g827 +V\u000a +p1952 +tp1953 +a(g436 +Vwrite +p1954 +tp1955 +a(g706 +g1017 +tp1956 +a(g827 +g966 +tp1957 +a(g89 +g1098 +tp1958 +a(g89 +V/* +p1959 +tp1960 +a(g89 +g1098 +tp1961 +a(g827 +g966 +tp1962 +a(g706 +g1031 +tp1963 +a(g706 +g1006 +tp1964 +a(g827 +V\u000a +p1965 +tp1966 +a(g827 +V +p1967 +tp1968 +a(g436 +Vfcall +p1969 +tp1970 +a(g827 +g966 +tp1971 +a(g436 +Vc_comment +p1972 +tp1973 +a(g706 +g1006 +tp1974 +a(g827 +V\u000a +p1975 +tp1976 +a(g827 +V +p1977 +tp1978 +a(g706 +g1190 +tp1979 +a(g706 +g1006 +tp1980 +a(g840 +V\u000a +p1981 +tp1982 +a(g89 +V'//' +p1983 +tp1984 +a(g840 +g966 +tp1985 +a(g89 +V[^\u005cn] +p1986 +tp1987 +a(g413 +g1026 +tp1988 +a(g840 +g966 +tp1989 +a(g89 +V'\u005cn' +p1990 +tp1991 +a(g840 +g966 +tp1992 +a(g413 +g1052 +tp1993 +a(g413 +g1116 +tp1994 +a(g840 +g966 +tp1995 +a(g441 +Vemit +p1996 +tp1997 +a(g706 +g1006 +tp1998 +a(g840 +V\u000a\u000a +p1999 +tp2000 +a(g89 +V'{' +p2001 +tp2002 +a(g840 +g966 +tp2003 +a(g706 +g1035 +tp2004 +a(g827 +V\u000a +p2005 +tp2006 +a(g436 +Vwrite +p2007 +tp2008 +a(g706 +g1017 +tp2009 +a(g827 +g966 +tp2010 +a(g93 +g1084 +tp2011 +a(g93 +g1035 +tp2012 +a(g93 +g1084 +tp2013 +a(g827 +g966 +tp2014 +a(g706 +g1031 +tp2015 +a(g706 +g1006 +tp2016 +a(g827 +V\u000a +p2017 +tp2018 +a(g827 +V +p2019 +tp2020 +a(g436 +Vinline_depth +p2021 +tp2022 +a(g827 +g966 +tp2023 +a(g413 +g1199 +tp2024 +a(g413 +g1052 +tp2025 +a(g827 +g966 +tp2026 +a(g37 +g1203 +tp2027 +a(g706 +g1006 +tp2028 +a(g827 +V \u000a +p2029 +tp2030 +a(g706 +g1190 +tp2031 +a(g706 +g1006 +tp2032 +a(g840 +V\u000a\u000a +p2033 +tp2034 +a(g89 +V'}' +p2035 +tp2036 +a(g840 +g966 +tp2037 +a(g706 +g1035 +tp2038 +a(g827 +V\u000a +p2039 +tp2040 +a(g436 +Vwrite +p2041 +tp2042 +a(g706 +g1017 +tp2043 +a(g827 +g966 +tp2044 +a(g93 +g1084 +tp2045 +a(g93 +g1190 +tp2046 +a(g93 +g1084 +tp2047 +a(g827 +g966 +tp2048 +a(g706 +g1031 +tp2049 +a(g706 +g1006 +tp2050 +a(g827 +V\u000a +p2051 +tp2052 +a(g827 +V +p2053 +tp2054 +a(g8 +V/* If dropping down to the last } then return \u000a * to ragel code. */ +p2055 +tp2056 +a(g827 +V\u000a +p2057 +tp2058 +a(g827 +V +p2059 +tp2060 +a(g745 +Vif +p2061 +tp2062 +a(g827 +g966 +tp2063 +a(g706 +g1017 +tp2064 +a(g827 +g966 +tp2065 +a(g413 +g1860 +tp2066 +a(g413 +g1860 +tp2067 +a(g436 +Vinline_depth +p2068 +tp2069 +a(g827 +g966 +tp2070 +a(g413 +g1052 +tp2071 +a(g413 +g1052 +tp2072 +a(g827 +g966 +tp2073 +a(g37 +g1055 +tp2074 +a(g827 +g966 +tp2075 +a(g706 +g1031 +tp2076 +a(g827 +g966 +tp2077 +a(g706 +g1035 +tp2078 +a(g827 +V\u000a +p2079 +tp2080 +a(g436 +Vwrite +p2081 +tp2082 +a(g706 +g1017 +tp2083 +a(g827 +g966 +tp2084 +a(g89 +g1098 +tp2085 +a(g89 +V +p2086 +tp2087 +a(g213 +V\u005cn +p2088 +tp2089 +a(g89 +g1098 +tp2090 +a(g827 +g966 +tp2091 +a(g706 +g1031 +tp2092 +a(g706 +g1006 +tp2093 +a(g827 +V\u000a +p2094 +tp2095 +a(g827 +V +p2096 +tp2097 +a(g436 +Vfgoto +p2098 +tp2099 +a(g827 +g966 +tp2100 +a(g436 +Vrlscan +p2101 +tp2102 +a(g706 +g1006 +tp2103 +a(g827 +V\u000a +p2104 +tp2105 +a(g827 +V +p2106 +tp2107 +a(g706 +g1190 +tp2108 +a(g827 +V\u000a +p2109 +tp2110 +a(g706 +g1190 +tp2111 +a(g706 +g1006 +tp2112 +a(g840 +V\u000a\u000a +p2113 +tp2114 +a(g441 +Vdefault +p2115 +tp2116 +a(g840 +g966 +tp2117 +a(g413 +g1052 +tp2118 +a(g413 +g1116 +tp2119 +a(g840 +g966 +tp2120 +a(g706 +g1035 +tp2121 +a(g827 +g966 +tp2122 +a(g436 +VescapeXML +p2123 +tp2124 +a(g706 +g1017 +tp2125 +a(g827 +g966 +tp2126 +a(g413 +g1026 +tp2127 +a(g436 +Vts +p2128 +tp2129 +a(g827 +g966 +tp2130 +a(g706 +g1031 +tp2131 +a(g706 +g1006 +tp2132 +a(g827 +g966 +tp2133 +a(g706 +g1190 +tp2134 +a(g706 +g1006 +tp2135 +a(g840 +V\u000a +p2136 +tp2137 +a(g413 +g1026 +tp2138 +a(g413 +g1889 +tp2139 +a(g706 +g1006 +tp2140 +a(g840 +V\u000a\u000a +p2141 +tp2142 +a(g13 +g962 +tp2143 +a(g840 +V\u000a +p2144 +tp2145 +a(g13 +V# Ragel Tokens +p2146 +tp2147 +a(g840 +V\u000a +p2148 +tp2149 +a(g13 +g962 +tp2150 +a(g840 +V\u000a\u000a +p2151 +tp2152 +a(g441 +Vrlscan +p2153 +tp2154 +a(g840 +g966 +tp2155 +a(g413 +g1089 +tp2156 +a(g413 +g1052 +tp2157 +a(g840 +g966 +tp2158 +a(g413 +g1889 +tp2159 +a(g413 +g1026 +tp2160 +a(g840 +V\u000a +p2161 +tp2162 +a(g89 +V'}%%' +p2163 +tp2164 +a(g840 +g966 +tp2165 +a(g706 +g1035 +tp2166 +a(g827 +V\u000a +p2167 +tp2168 +a(g745 +Vif +p2169 +tp2170 +a(g827 +g966 +tp2171 +a(g706 +g1017 +tp2172 +a(g827 +g966 +tp2173 +a(g413 +g1050 +tp2174 +a(g436 +Vsingle_line +p2175 +tp2176 +a(g827 +g966 +tp2177 +a(g706 +g1031 +tp2178 +a(g827 +g966 +tp2179 +a(g706 +g1035 +tp2180 +a(g827 +V\u000a +p2181 +tp2182 +a(g436 +Vwrite +p2183 +tp2184 +a(g706 +g1017 +tp2185 +a(g827 +g966 +tp2186 +a(g89 +g1098 +tp2187 +a(g89 +V +p2188 +tp2189 +a(g213 +V\u005cn +p2190 +tp2191 +a(g89 +g1098 +tp2192 +a(g827 +g966 +tp2193 +a(g706 +g1031 +tp2194 +a(g706 +g1006 +tp2195 +a(g827 +V\u000a +p2196 +tp2197 +a(g827 +V +p2198 +tp2199 +a(g436 +Vfgoto +p2200 +tp2201 +a(g827 +g966 +tp2202 +a(g436 +Vmain +p2203 +tp2204 +a(g706 +g1006 +tp2205 +a(g827 +V\u000a +p2206 +tp2207 +a(g827 +V +p2208 +tp2209 +a(g706 +g1190 +tp2210 +a(g827 +V\u000a +p2211 +tp2212 +a(g706 +g1190 +tp2213 +a(g706 +g1006 +tp2214 +a(g840 +V\u000a\u000a +p2215 +tp2216 +a(g89 +V'\u005cn' +p2217 +tp2218 +a(g840 +g966 +tp2219 +a(g706 +g1035 +tp2220 +a(g827 +V\u000a +p2221 +tp2222 +a(g745 +Vif +p2223 +tp2224 +a(g827 +g966 +tp2225 +a(g706 +g1017 +tp2226 +a(g827 +g966 +tp2227 +a(g436 +Vsingle_line +p2228 +tp2229 +a(g827 +g966 +tp2230 +a(g706 +g1031 +tp2231 +a(g827 +g966 +tp2232 +a(g706 +g1035 +tp2233 +a(g827 +V\u000a +p2234 +tp2235 +a(g436 +Vwrite +p2236 +tp2237 +a(g706 +g1017 +tp2238 +a(g827 +g966 +tp2239 +a(g89 +g1098 +tp2240 +a(g89 +V +p2241 +tp2242 +a(g213 +V\u005cn +p2243 +tp2244 +a(g89 +g1098 +tp2245 +a(g827 +g966 +tp2246 +a(g706 +g1031 +tp2247 +a(g706 +g1006 +tp2248 +a(g827 +V\u000a +p2249 +tp2250 +a(g827 +V +p2251 +tp2252 +a(g436 +Vfgoto +p2253 +tp2254 +a(g827 +g966 +tp2255 +a(g436 +Vmain +p2256 +tp2257 +a(g706 +g1006 +tp2258 +a(g827 +V\u000a +p2259 +tp2260 +a(g827 +V +p2261 +tp2262 +a(g706 +g1190 +tp2263 +a(g827 +V\u000a +p2264 +tp2265 +a(g706 +g1190 +tp2266 +a(g706 +g1006 +tp2267 +a(g840 +V\u000a\u000a +p2268 +tp2269 +a(g13 +V# Word +p2270 +tp2271 +a(g840 +V\u000a +p2272 +tp2273 +a(g441 +Vword +p2274 +tp2275 +a(g840 +g966 +tp2276 +a(g706 +g1035 +tp2277 +a(g827 +V\u000a +p2278 +tp2279 +a(g436 +Vwrite +p2280 +tp2281 +a(g706 +g1017 +tp2282 +a(g827 +g966 +tp2283 +a(g89 +g1098 +tp2284 +a(g89 +V +p2285 +tp2286 +a(g89 +g1098 +tp2287 +a(g827 +g966 +tp2288 +a(g706 +g1031 +tp2289 +a(g706 +g1006 +tp2290 +a(g827 +V\u000a +p2291 +tp2292 +a(g827 +V +p2293 +tp2294 +a(g436 +Vwrite +p2295 +tp2296 +a(g706 +g1017 +tp2297 +a(g827 +g966 +tp2298 +a(g436 +Vts +p2299 +tp2300 +a(g706 +g1375 +tp2301 +a(g827 +g966 +tp2302 +a(g436 +Vte +p2303 +tp2304 +a(g413 +g1860 +tp2305 +a(g436 +Vts +p2306 +tp2307 +a(g827 +g966 +tp2308 +a(g706 +g1031 +tp2309 +a(g706 +g1006 +tp2310 +a(g827 +V\u000a +p2311 +tp2312 +a(g827 +V +p2313 +tp2314 +a(g436 +Vwrite +p2315 +tp2316 +a(g706 +g1017 +tp2317 +a(g827 +g966 +tp2318 +a(g89 +g1098 +tp2319 +a(g89 +V +p2320 +tp2321 +a(g213 +V\u005cn +p2322 +tp2323 +a(g89 +g1098 +tp2324 +a(g827 +g966 +tp2325 +a(g706 +g1031 +tp2326 +a(g706 +g1006 +tp2327 +a(g827 +V\u000a +p2328 +tp2329 +a(g827 +V +p2330 +tp2331 +a(g706 +g1190 +tp2332 +a(g706 +g1006 +tp2333 +a(g840 +V\u000a\u000a +p2334 +tp2335 +a(g13 +V# Decimal integer. +p2336 +tp2337 +a(g840 +V\u000a +p2338 +tp2339 +a(g441 +Vinteger +p2340 +tp2341 +a(g840 +g966 +tp2342 +a(g706 +g1035 +tp2343 +a(g827 +V\u000a +p2344 +tp2345 +a(g436 +Vwrite +p2346 +tp2347 +a(g706 +g1017 +tp2348 +a(g827 +g966 +tp2349 +a(g89 +g1098 +tp2350 +a(g89 +V +p2351 +tp2352 +a(g89 +g1098 +tp2353 +a(g827 +g966 +tp2354 +a(g706 +g1031 +tp2355 +a(g706 +g1006 +tp2356 +a(g827 +V\u000a +p2357 +tp2358 +a(g827 +V +p2359 +tp2360 +a(g436 +Vwrite +p2361 +tp2362 +a(g706 +g1017 +tp2363 +a(g827 +g966 +tp2364 +a(g436 +Vts +p2365 +tp2366 +a(g706 +g1375 +tp2367 +a(g827 +g966 +tp2368 +a(g436 +Vte +p2369 +tp2370 +a(g413 +g1860 +tp2371 +a(g436 +Vts +p2372 +tp2373 +a(g827 +g966 +tp2374 +a(g706 +g1031 +tp2375 +a(g706 +g1006 +tp2376 +a(g827 +V\u000a +p2377 +tp2378 +a(g827 +V +p2379 +tp2380 +a(g436 +Vwrite +p2381 +tp2382 +a(g706 +g1017 +tp2383 +a(g827 +g966 +tp2384 +a(g89 +g1098 +tp2385 +a(g89 +V +p2386 +tp2387 +a(g213 +V\u005cn +p2388 +tp2389 +a(g89 +g1098 +tp2390 +a(g827 +g966 +tp2391 +a(g706 +g1031 +tp2392 +a(g706 +g1006 +tp2393 +a(g827 +V\u000a +p2394 +tp2395 +a(g827 +V +p2396 +tp2397 +a(g706 +g1190 +tp2398 +a(g706 +g1006 +tp2399 +a(g840 +V\u000a\u000a +p2400 +tp2401 +a(g13 +V# Hexidecimal integer. +p2402 +tp2403 +a(g840 +V\u000a +p2404 +tp2405 +a(g441 +Vhex +p2406 +tp2407 +a(g840 +g966 +tp2408 +a(g706 +g1035 +tp2409 +a(g827 +V\u000a +p2410 +tp2411 +a(g436 +Vwrite +p2412 +tp2413 +a(g706 +g1017 +tp2414 +a(g827 +g966 +tp2415 +a(g89 +g1098 +tp2416 +a(g89 +V +p2417 +tp2418 +a(g89 +g1098 +tp2419 +a(g827 +g966 +tp2420 +a(g706 +g1031 +tp2421 +a(g706 +g1006 +tp2422 +a(g827 +V\u000a +p2423 +tp2424 +a(g827 +V +p2425 +tp2426 +a(g436 +Vwrite +p2427 +tp2428 +a(g706 +g1017 +tp2429 +a(g827 +g966 +tp2430 +a(g436 +Vts +p2431 +tp2432 +a(g706 +g1375 +tp2433 +a(g827 +g966 +tp2434 +a(g436 +Vte +p2435 +tp2436 +a(g413 +g1860 +tp2437 +a(g436 +Vts +p2438 +tp2439 +a(g827 +g966 +tp2440 +a(g706 +g1031 +tp2441 +a(g706 +g1006 +tp2442 +a(g827 +V\u000a +p2443 +tp2444 +a(g827 +V +p2445 +tp2446 +a(g436 +Vwrite +p2447 +tp2448 +a(g706 +g1017 +tp2449 +a(g827 +g966 +tp2450 +a(g89 +g1098 +tp2451 +a(g89 +V +p2452 +tp2453 +a(g213 +V\u005cn +p2454 +tp2455 +a(g89 +g1098 +tp2456 +a(g827 +g966 +tp2457 +a(g706 +g1031 +tp2458 +a(g706 +g1006 +tp2459 +a(g827 +V\u000a +p2460 +tp2461 +a(g827 +V +p2462 +tp2463 +a(g706 +g1190 +tp2464 +a(g706 +g1006 +tp2465 +a(g840 +V\u000a\u000a +p2466 +tp2467 +a(g13 +V# Consume comments. +p2468 +tp2469 +a(g840 +V\u000a +p2470 +tp2471 +a(g89 +V'#' +p2472 +tp2473 +a(g840 +g966 +tp2474 +a(g89 +V[^\u005cn] +p2475 +tp2476 +a(g413 +g1026 +tp2477 +a(g840 +g966 +tp2478 +a(g89 +V'\u005cn' +p2479 +tp2480 +a(g706 +g1006 +tp2481 +a(g840 +V\u000a\u000a +p2482 +tp2483 +a(g13 +V# Single literal string. +p2484 +tp2485 +a(g840 +V\u000a +p2486 +tp2487 +a(g89 +V"'" +p2488 +tp2489 +a(g840 +g966 +tp2490 +a(g413 +g1017 +tp2491 +a(g840 +g966 +tp2492 +a(g89 +V[^'\u005c\u005c] +p2493 +tp2494 +a(g840 +g966 +tp2495 +a(g413 +g1889 +tp2496 +a(g840 +g966 +tp2497 +a(g197 +V/\u005c\u005c./ +p2498 +tp2499 +a(g840 +g966 +tp2500 +a(g413 +g1031 +tp2501 +a(g413 +g1026 +tp2502 +a(g840 +g966 +tp2503 +a(g89 +V"'" +p2504 +tp2505 +a(g840 +g966 +tp2506 +a(g706 +g1035 +tp2507 +a(g827 +V\u000a +p2508 +tp2509 +a(g436 +Vwrite +p2510 +tp2511 +a(g706 +g1017 +tp2512 +a(g827 +g966 +tp2513 +a(g89 +g1098 +tp2514 +a(g89 +V +p2515 +tp2516 +a(g89 +g1098 +tp2517 +a(g827 +g966 +tp2518 +a(g706 +g1031 +tp2519 +a(g706 +g1006 +tp2520 +a(g827 +V\u000a +p2521 +tp2522 +a(g827 +V +p2523 +tp2524 +a(g436 +VescapeXML +p2525 +tp2526 +a(g706 +g1017 +tp2527 +a(g827 +g966 +tp2528 +a(g436 +Vts +p2529 +tp2530 +a(g706 +g1375 +tp2531 +a(g827 +g966 +tp2532 +a(g436 +Vte +p2533 +tp2534 +a(g413 +g1860 +tp2535 +a(g436 +Vts +p2536 +tp2537 +a(g827 +g966 +tp2538 +a(g706 +g1031 +tp2539 +a(g706 +g1006 +tp2540 +a(g827 +V\u000a +p2541 +tp2542 +a(g827 +V +p2543 +tp2544 +a(g436 +Vwrite +p2545 +tp2546 +a(g706 +g1017 +tp2547 +a(g827 +g966 +tp2548 +a(g89 +g1098 +tp2549 +a(g89 +V +p2550 +tp2551 +a(g213 +V\u005cn +p2552 +tp2553 +a(g89 +g1098 +tp2554 +a(g827 +g966 +tp2555 +a(g706 +g1031 +tp2556 +a(g706 +g1006 +tp2557 +a(g827 +V\u000a +p2558 +tp2559 +a(g827 +V +p2560 +tp2561 +a(g706 +g1190 +tp2562 +a(g706 +g1006 +tp2563 +a(g840 +V\u000a\u000a +p2564 +tp2565 +a(g13 +V# Double literal string. +p2566 +tp2567 +a(g840 +V\u000a +p2568 +tp2569 +a(g89 +V'"' +p2570 +tp2571 +a(g840 +g966 +tp2572 +a(g413 +g1017 +tp2573 +a(g840 +g966 +tp2574 +a(g89 +V[^"\u005c\u005c] +p2575 +tp2576 +a(g840 +g966 +tp2577 +a(g413 +g1889 +tp2578 +a(g840 +g966 +tp2579 +a(g197 +V/\u005c\u005c./ +p2580 +tp2581 +a(g840 +g966 +tp2582 +a(g413 +g1031 +tp2583 +a(g413 +g1026 +tp2584 +a(g840 +g966 +tp2585 +a(g89 +V'"' +p2586 +tp2587 +a(g840 +g966 +tp2588 +a(g706 +g1035 +tp2589 +a(g827 +V\u000a +p2590 +tp2591 +a(g436 +Vwrite +p2592 +tp2593 +a(g706 +g1017 +tp2594 +a(g827 +g966 +tp2595 +a(g89 +g1098 +tp2596 +a(g89 +V +p2597 +tp2598 +a(g89 +g1098 +tp2599 +a(g827 +g966 +tp2600 +a(g706 +g1031 +tp2601 +a(g706 +g1006 +tp2602 +a(g827 +V\u000a +p2603 +tp2604 +a(g827 +V +p2605 +tp2606 +a(g436 +VescapeXML +p2607 +tp2608 +a(g706 +g1017 +tp2609 +a(g827 +g966 +tp2610 +a(g436 +Vts +p2611 +tp2612 +a(g706 +g1375 +tp2613 +a(g827 +g966 +tp2614 +a(g436 +Vte +p2615 +tp2616 +a(g413 +g1860 +tp2617 +a(g436 +Vts +p2618 +tp2619 +a(g827 +g966 +tp2620 +a(g706 +g1031 +tp2621 +a(g706 +g1006 +tp2622 +a(g827 +V\u000a +p2623 +tp2624 +a(g827 +V +p2625 +tp2626 +a(g436 +Vwrite +p2627 +tp2628 +a(g706 +g1017 +tp2629 +a(g827 +g966 +tp2630 +a(g89 +g1098 +tp2631 +a(g89 +V +p2632 +tp2633 +a(g213 +V\u005cn +p2634 +tp2635 +a(g89 +g1098 +tp2636 +a(g827 +g966 +tp2637 +a(g706 +g1031 +tp2638 +a(g706 +g1006 +tp2639 +a(g827 +V\u000a +p2640 +tp2641 +a(g827 +V +p2642 +tp2643 +a(g706 +g1190 +tp2644 +a(g706 +g1006 +tp2645 +a(g840 +V\u000a\u000a +p2646 +tp2647 +a(g13 +V# Or literal. +p2648 +tp2649 +a(g840 +V\u000a +p2650 +tp2651 +a(g89 +V'[' +p2652 +tp2653 +a(g840 +g966 +tp2654 +a(g413 +g1017 +tp2655 +a(g840 +g966 +tp2656 +a(g89 +V[^\u005c]\u005c\u005c] +p2657 +tp2658 +a(g840 +g966 +tp2659 +a(g413 +g1889 +tp2660 +a(g840 +g966 +tp2661 +a(g197 +V/\u005c\u005c./ +p2662 +tp2663 +a(g840 +g966 +tp2664 +a(g413 +g1031 +tp2665 +a(g413 +g1026 +tp2666 +a(g840 +g966 +tp2667 +a(g89 +V']' +p2668 +tp2669 +a(g840 +g966 +tp2670 +a(g706 +g1035 +tp2671 +a(g827 +V\u000a +p2672 +tp2673 +a(g436 +Vwrite +p2674 +tp2675 +a(g706 +g1017 +tp2676 +a(g827 +g966 +tp2677 +a(g89 +g1098 +tp2678 +a(g89 +V +p2679 +tp2680 +a(g89 +g1098 +tp2681 +a(g827 +g966 +tp2682 +a(g706 +g1031 +tp2683 +a(g706 +g1006 +tp2684 +a(g827 +V\u000a +p2685 +tp2686 +a(g827 +V +p2687 +tp2688 +a(g436 +VescapeXML +p2689 +tp2690 +a(g706 +g1017 +tp2691 +a(g827 +g966 +tp2692 +a(g436 +Vts +p2693 +tp2694 +a(g706 +g1375 +tp2695 +a(g827 +g966 +tp2696 +a(g436 +Vte +p2697 +tp2698 +a(g413 +g1860 +tp2699 +a(g436 +Vts +p2700 +tp2701 +a(g827 +g966 +tp2702 +a(g706 +g1031 +tp2703 +a(g706 +g1006 +tp2704 +a(g827 +V\u000a +p2705 +tp2706 +a(g827 +V +p2707 +tp2708 +a(g436 +Vwrite +p2709 +tp2710 +a(g706 +g1017 +tp2711 +a(g827 +g966 +tp2712 +a(g89 +g1098 +tp2713 +a(g89 +V +p2714 +tp2715 +a(g213 +V\u005cn +p2716 +tp2717 +a(g89 +g1098 +tp2718 +a(g827 +g966 +tp2719 +a(g706 +g1031 +tp2720 +a(g706 +g1006 +tp2721 +a(g827 +V\u000a +p2722 +tp2723 +a(g827 +V +p2724 +tp2725 +a(g706 +g1190 +tp2726 +a(g706 +g1006 +tp2727 +a(g840 +V\u000a\u000a +p2728 +tp2729 +a(g13 +V# Regex Literal. +p2730 +tp2731 +a(g840 +V\u000a +p2732 +tp2733 +a(g89 +V'/' +p2734 +tp2735 +a(g840 +g966 +tp2736 +a(g413 +g1017 +tp2737 +a(g840 +g966 +tp2738 +a(g89 +V[^/\u005c\u005c] +p2739 +tp2740 +a(g840 +g966 +tp2741 +a(g413 +g1889 +tp2742 +a(g840 +g966 +tp2743 +a(g197 +V/\u005c\u005c./ +p2744 +tp2745 +a(g840 +g966 +tp2746 +a(g413 +g1031 +tp2747 +a(g840 +g966 +tp2748 +a(g413 +g1026 +tp2749 +a(g840 +g966 +tp2750 +a(g89 +V'/' +p2751 +tp2752 +a(g840 +g966 +tp2753 +a(g706 +g1035 +tp2754 +a(g827 +V\u000a +p2755 +tp2756 +a(g436 +Vwrite +p2757 +tp2758 +a(g706 +g1017 +tp2759 +a(g827 +g966 +tp2760 +a(g89 +g1098 +tp2761 +a(g89 +V +p2762 +tp2763 +a(g89 +g1098 +tp2764 +a(g827 +g966 +tp2765 +a(g706 +g1031 +tp2766 +a(g706 +g1006 +tp2767 +a(g827 +V\u000a +p2768 +tp2769 +a(g827 +V +p2770 +tp2771 +a(g436 +VescapeXML +p2772 +tp2773 +a(g706 +g1017 +tp2774 +a(g827 +g966 +tp2775 +a(g436 +Vts +p2776 +tp2777 +a(g706 +g1375 +tp2778 +a(g827 +g966 +tp2779 +a(g436 +Vte +p2780 +tp2781 +a(g413 +g1860 +tp2782 +a(g436 +Vts +p2783 +tp2784 +a(g827 +g966 +tp2785 +a(g706 +g1031 +tp2786 +a(g706 +g1006 +tp2787 +a(g827 +V\u000a +p2788 +tp2789 +a(g827 +V +p2790 +tp2791 +a(g436 +Vwrite +p2792 +tp2793 +a(g706 +g1017 +tp2794 +a(g827 +g966 +tp2795 +a(g89 +g1098 +tp2796 +a(g89 +V +p2797 +tp2798 +a(g213 +V\u005cn +p2799 +tp2800 +a(g89 +g1098 +tp2801 +a(g827 +g966 +tp2802 +a(g706 +g1031 +tp2803 +a(g706 +g1006 +tp2804 +a(g827 +V\u000a +p2805 +tp2806 +a(g827 +V +p2807 +tp2808 +a(g706 +g1190 +tp2809 +a(g706 +g1006 +tp2810 +a(g840 +V\u000a\u000a +p2811 +tp2812 +a(g13 +V# Open an inline block +p2813 +tp2814 +a(g840 +V\u000a +p2815 +tp2816 +a(g89 +V'{' +p2817 +tp2818 +a(g840 +g966 +tp2819 +a(g706 +g1035 +tp2820 +a(g827 +V\u000a +p2821 +tp2822 +a(g436 +Vinline_depth +p2823 +tp2824 +a(g827 +g966 +tp2825 +a(g413 +g1052 +tp2826 +a(g827 +g966 +tp2827 +a(g37 +g1203 +tp2828 +a(g706 +g1006 +tp2829 +a(g827 +V\u000a +p2830 +tp2831 +a(g827 +V +p2832 +tp2833 +a(g436 +Vwrite +p2834 +tp2835 +a(g706 +g1017 +tp2836 +a(g827 +g966 +tp2837 +a(g89 +g1098 +tp2838 +a(g89 +V{ +p2839 +tp2840 +a(g89 +g1098 +tp2841 +a(g827 +g966 +tp2842 +a(g706 +g1031 +tp2843 +a(g706 +g1006 +tp2844 +a(g827 +V\u000a +p2845 +tp2846 +a(g827 +V +p2847 +tp2848 +a(g436 +Vfgoto +p2849 +tp2850 +a(g827 +g966 +tp2851 +a(g436 +Vilscan +p2852 +tp2853 +a(g706 +g1006 +tp2854 +a(g827 +V\u000a +p2855 +tp2856 +a(g827 +V +p2857 +tp2858 +a(g706 +g1190 +tp2859 +a(g706 +g1006 +tp2860 +a(g840 +V\u000a\u000a +p2861 +tp2862 +a(g745 +Vpunct +p2863 +tp2864 +a(g840 +g966 +tp2865 +a(g706 +g1035 +tp2866 +a(g827 +V\u000a +p2867 +tp2868 +a(g436 +Vwrite +p2869 +tp2870 +a(g706 +g1017 +tp2871 +a(g827 +g966 +tp2872 +a(g89 +g1098 +tp2873 +a(g89 +V +p2874 +tp2875 +a(g89 +g1098 +tp2876 +a(g827 +g966 +tp2877 +a(g706 +g1031 +tp2878 +a(g706 +g1006 +tp2879 +a(g827 +V\u000a +p2880 +tp2881 +a(g827 +V +p2882 +tp2883 +a(g436 +VescapeXML +p2884 +tp2885 +a(g706 +g1017 +tp2886 +a(g827 +g966 +tp2887 +a(g436 +Vfc +p2888 +tp2889 +a(g827 +g966 +tp2890 +a(g706 +g1031 +tp2891 +a(g706 +g1006 +tp2892 +a(g827 +V\u000a +p2893 +tp2894 +a(g827 +V +p2895 +tp2896 +a(g436 +Vwrite +p2897 +tp2898 +a(g706 +g1017 +tp2899 +a(g827 +g966 +tp2900 +a(g89 +g1098 +tp2901 +a(g89 +V +p2902 +tp2903 +a(g213 +V\u005cn +p2904 +tp2905 +a(g89 +g1098 +tp2906 +a(g827 +g966 +tp2907 +a(g706 +g1031 +tp2908 +a(g706 +g1006 +tp2909 +a(g827 +V\u000a +p2910 +tp2911 +a(g827 +V +p2912 +tp2913 +a(g706 +g1190 +tp2914 +a(g706 +g1006 +tp2915 +a(g840 +V\u000a \u000a +p2916 +tp2917 +a(g441 +Vdefault +p2918 +tp2919 +a(g706 +g1006 +tp2920 +a(g840 +V\u000a +p2921 +tp2922 +a(g413 +g1026 +tp2923 +a(g413 +g1889 +tp2924 +a(g706 +g1006 +tp2925 +a(g840 +V\u000a\u000a +p2926 +tp2927 +a(g13 +g962 +tp2928 +a(g840 +V\u000a +p2929 +tp2930 +a(g13 +V# Outside code. +p2931 +tp2932 +a(g840 +V\u000a +p2933 +tp2934 +a(g13 +g962 +tp2935 +a(g840 +V\u000a\u000a +p2936 +tp2937 +a(g441 +Vmain +p2938 +tp2939 +a(g840 +g966 +tp2940 +a(g413 +g1089 +tp2941 +a(g413 +g1052 +tp2942 +a(g840 +g966 +tp2943 +a(g413 +g1889 +tp2944 +a(g413 +g1026 +tp2945 +a(g840 +V\u000a\u000a +p2946 +tp2947 +a(g89 +V"'" +p2948 +tp2949 +a(g840 +g966 +tp2950 +a(g413 +g1017 +tp2951 +a(g840 +g966 +tp2952 +a(g89 +V[^'\u005c\u005c] +p2953 +tp2954 +a(g840 +g966 +tp2955 +a(g413 +g1889 +tp2956 +a(g840 +g966 +tp2957 +a(g197 +V/\u005c\u005c./ +p2958 +tp2959 +a(g840 +g966 +tp2960 +a(g413 +g1031 +tp2961 +a(g413 +g1026 +tp2962 +a(g840 +g966 +tp2963 +a(g89 +V"'" +p2964 +tp2965 +a(g840 +g966 +tp2966 +a(g413 +g1052 +tp2967 +a(g413 +g1116 +tp2968 +a(g840 +g966 +tp2969 +a(g441 +Vemit +p2970 +tp2971 +a(g706 +g1006 +tp2972 +a(g840 +V\u000a +p2973 +tp2974 +a(g89 +V'"' +p2975 +tp2976 +a(g840 +g966 +tp2977 +a(g413 +g1017 +tp2978 +a(g840 +g966 +tp2979 +a(g89 +V[^"\u005c\u005c] +p2980 +tp2981 +a(g840 +g966 +tp2982 +a(g413 +g1889 +tp2983 +a(g840 +g966 +tp2984 +a(g197 +V/\u005c\u005c./ +p2985 +tp2986 +a(g840 +g966 +tp2987 +a(g413 +g1031 +tp2988 +a(g413 +g1026 +tp2989 +a(g840 +g966 +tp2990 +a(g89 +V'"' +p2991 +tp2992 +a(g840 +g966 +tp2993 +a(g413 +g1052 +tp2994 +a(g413 +g1116 +tp2995 +a(g840 +g966 +tp2996 +a(g441 +Vemit +p2997 +tp2998 +a(g706 +g1006 +tp2999 +a(g840 +V\u000a\u000a +p3000 +tp3001 +a(g89 +V'/*' +p3002 +tp3003 +a(g840 +g966 +tp3004 +a(g706 +g1035 +tp3005 +a(g827 +V\u000a +p3006 +tp3007 +a(g436 +VescapeXML +p3008 +tp3009 +a(g706 +g1017 +tp3010 +a(g827 +g966 +tp3011 +a(g436 +Vts +p3012 +tp3013 +a(g706 +g1375 +tp3014 +a(g827 +g966 +tp3015 +a(g436 +Vte +p3016 +tp3017 +a(g413 +g1860 +tp3018 +a(g436 +Vts +p3019 +tp3020 +a(g827 +g966 +tp3021 +a(g706 +g1031 +tp3022 +a(g706 +g1006 +tp3023 +a(g827 +V\u000a +p3024 +tp3025 +a(g827 +V +p3026 +tp3027 +a(g436 +Vfcall +p3028 +tp3029 +a(g827 +g966 +tp3030 +a(g436 +Vc_comment +p3031 +tp3032 +a(g706 +g1006 +tp3033 +a(g827 +V\u000a +p3034 +tp3035 +a(g827 +V +p3036 +tp3037 +a(g706 +g1190 +tp3038 +a(g706 +g1006 +tp3039 +a(g840 +V\u000a\u000a +p3040 +tp3041 +a(g89 +V'//' +p3042 +tp3043 +a(g840 +g966 +tp3044 +a(g89 +V[^\u005cn] +p3045 +tp3046 +a(g413 +g1026 +tp3047 +a(g840 +g966 +tp3048 +a(g89 +V'\u005cn' +p3049 +tp3050 +a(g840 +g966 +tp3051 +a(g413 +g1052 +tp3052 +a(g413 +g1116 +tp3053 +a(g840 +g966 +tp3054 +a(g441 +Vemit +p3055 +tp3056 +a(g706 +g1006 +tp3057 +a(g840 +V\u000a\u000a +p3058 +tp3059 +a(g89 +V'%%{' +p3060 +tp3061 +a(g840 +g966 +tp3062 +a(g706 +g1035 +tp3063 +a(g827 +V \u000a +p3064 +tp3065 +a(g436 +Vwrite +p3066 +tp3067 +a(g706 +g1017 +tp3068 +a(g827 +g966 +tp3069 +a(g89 +g1098 +tp3070 +a(g89 +V
    +p3071 +tp3072 +a(g213 +V\u005cn +p3073 +tp3074 +a(g89 +g1098 +tp3075 +a(g827 +g966 +tp3076 +a(g706 +g1031 +tp3077 +a(g706 +g1006 +tp3078 +a(g827 +V\u000a +p3079 +tp3080 +a(g827 +V +p3081 +tp3082 +a(g436 +Vsingle_line +p3083 +tp3084 +a(g827 +g966 +tp3085 +a(g413 +g1052 +tp3086 +a(g827 +g966 +tp3087 +a(g682 +Vfalse +p3088 +tp3089 +a(g706 +g1006 +tp3090 +a(g827 +V\u000a +p3091 +tp3092 +a(g827 +V +p3093 +tp3094 +a(g436 +Vfgoto +p3095 +tp3096 +a(g827 +g966 +tp3097 +a(g436 +Vrlscan +p3098 +tp3099 +a(g706 +g1006 +tp3100 +a(g827 +V\u000a +p3101 +tp3102 +a(g827 +V +p3103 +tp3104 +a(g706 +g1190 +tp3105 +a(g706 +g1006 +tp3106 +a(g840 +V\u000a\u000a +p3107 +tp3108 +a(g89 +V'%%' +p3109 +tp3110 +a(g840 +g966 +tp3111 +a(g706 +g1035 +tp3112 +a(g827 +V\u000a +p3113 +tp3114 +a(g436 +Vwrite +p3115 +tp3116 +a(g706 +g1017 +tp3117 +a(g827 +g966 +tp3118 +a(g89 +g1098 +tp3119 +a(g89 +V
    +p3120 +tp3121 +a(g213 +V\u005cn +p3122 +tp3123 +a(g89 +g1098 +tp3124 +a(g827 +g966 +tp3125 +a(g706 +g1031 +tp3126 +a(g706 +g1006 +tp3127 +a(g827 +V \u000a +p3128 +tp3129 +a(g436 +Vsingle_line +p3130 +tp3131 +a(g827 +g966 +tp3132 +a(g413 +g1052 +tp3133 +a(g827 +g966 +tp3134 +a(g682 +Vtrue +p3135 +tp3136 +a(g706 +g1006 +tp3137 +a(g827 +V \u000a +p3138 +tp3139 +a(g436 +Vfgoto +p3140 +tp3141 +a(g827 +g966 +tp3142 +a(g436 +Vrlscan +p3143 +tp3144 +a(g706 +g1006 +tp3145 +a(g827 +V\u000a +p3146 +tp3147 +a(g827 +V +p3148 +tp3149 +a(g706 +g1190 +tp3150 +a(g706 +g1006 +tp3151 +a(g840 +V\u000a\u000a +p3152 +tp3153 +a(g441 +Vdefault +p3154 +tp3155 +a(g840 +g966 +tp3156 +a(g706 +g1035 +tp3157 +a(g827 +V \u000a +p3158 +tp3159 +a(g436 +VescapeXML +p3160 +tp3161 +a(g706 +g1017 +tp3162 +a(g827 +g966 +tp3163 +a(g413 +g1026 +tp3164 +a(g436 +Vts +p3165 +tp3166 +a(g827 +g966 +tp3167 +a(g706 +g1031 +tp3168 +a(g706 +g1006 +tp3169 +a(g827 +V\u000a +p3170 +tp3171 +a(g827 +V +p3172 +tp3173 +a(g706 +g1190 +tp3174 +a(g706 +g1006 +tp3175 +a(g840 +V\u000a\u000a +p3176 +tp3177 +a(g13 +V# EOF. +p3178 +tp3179 +a(g840 +V\u000a +p3180 +tp3181 +a(g441 +VEOF +p3182 +tp3183 +a(g706 +g1006 +tp3184 +a(g840 +V\u000a +p3185 +tp3186 +a(g413 +g1026 +tp3187 +a(g413 +g1889 +tp3188 +a(g706 +g1006 +tp3189 +a(g840 +V\u000a +p3190 +tp3191 +a(g706 +V}%% +p3192 +tp3193 +a(g827 +V\u000a\u000a +p3194 +tp3195 +a(g706 +V%% +p3196 +tp3197 +a(g840 +g966 +tp3198 +a(g745 +Vwrite +p3199 +tp3200 +a(g840 +g966 +tp3201 +a(g441 +Vdata +p3202 +tp3203 +a(g840 +g966 +tp3204 +a(g441 +Vnofinal +p3205 +tp3206 +a(g706 +g1006 +tp3207 +a(g827 +V\u000a +p3208 +tp3209 +a(g827 +V\u000a +p3210 +tp3211 +a(g749 +Vvoid +p3212 +tp3213 +a(g827 +g966 +tp3214 +a(g574 +Vtest +p3215 +tp3216 +a(g706 +g1017 +tp3217 +a(g827 +g966 +tp3218 +a(g745 +Vconst +p3219 +tp3220 +a(g827 +g966 +tp3221 +a(g749 +Vchar +p3222 +tp3223 +a(g827 +g966 +tp3224 +a(g413 +g1026 +tp3225 +a(g436 +Vdata +p3226 +tp3227 +a(g827 +g966 +tp3228 +a(g706 +g1031 +tp3229 +a(g827 +V\u000a +p3230 +tp3231 +a(g706 +g1035 +tp3232 +a(g827 +V\u000a +p3233 +tp3234 +a(g827 +g1039 +tp3235 +a(g436 +Vstd +p3236 +tp3237 +a(g413 +g1089 +tp3238 +a(g413 +g1089 +tp3239 +a(g436 +Vios +p3240 +tp3241 +a(g413 +g1089 +tp3242 +a(g413 +g1089 +tp3243 +a(g436 +Vsync_with_stdio +p3244 +tp3245 +a(g706 +g1017 +tp3246 +a(g682 +Vfalse +p3247 +tp3248 +a(g706 +g1031 +tp3249 +a(g706 +g1006 +tp3250 +a(g827 +V\u000a +p3251 +tp3252 +a(g827 +V\u000a +p3253 +tp3254 +a(g827 +g1039 +tp3255 +a(g749 +Vint +p3256 +tp3257 +a(g827 +g966 +tp3258 +a(g436 +Vcs +p3259 +tp3260 +a(g706 +g1375 +tp3261 +a(g827 +g966 +tp3262 +a(g436 +Vact +p3263 +tp3264 +a(g706 +g1006 +tp3265 +a(g827 +V\u000a +p3266 +tp3267 +a(g827 +g1039 +tp3268 +a(g745 +Vconst +p3269 +tp3270 +a(g827 +g966 +tp3271 +a(g749 +Vchar +p3272 +tp3273 +a(g827 +g966 +tp3274 +a(g413 +g1026 +tp3275 +a(g436 +Vts +p3276 +tp3277 +a(g706 +g1375 +tp3278 +a(g827 +g966 +tp3279 +a(g413 +g1026 +tp3280 +a(g436 +Vte +p3281 +tp3282 +a(g706 +g1006 +tp3283 +a(g827 +V\u000a +p3284 +tp3285 +a(g827 +g1039 +tp3286 +a(g749 +Vint +p3287 +tp3288 +a(g827 +g966 +tp3289 +a(g436 +Vstack +p3290 +tp3291 +a(g706 +V[ +p3292 +tp3293 +a(g37 +g1203 +tp3294 +a(g706 +V] +p3295 +tp3296 +a(g706 +g1375 +tp3297 +a(g827 +g966 +tp3298 +a(g436 +Vtop +p3299 +tp3300 +a(g706 +g1006 +tp3301 +a(g827 +V\u000a +p3302 +tp3303 +a(g827 +V\u000a +p3304 +tp3305 +a(g827 +g1039 +tp3306 +a(g749 +Vbool +p3307 +tp3308 +a(g827 +g966 +tp3309 +a(g436 +Vsingle_line +p3310 +tp3311 +a(g827 +g966 +tp3312 +a(g413 +g1052 +tp3313 +a(g827 +g966 +tp3314 +a(g682 +Vfalse +p3315 +tp3316 +a(g706 +g1006 +tp3317 +a(g827 +V\u000a +p3318 +tp3319 +a(g827 +g1039 +tp3320 +a(g749 +Vint +p3321 +tp3322 +a(g827 +g966 +tp3323 +a(g436 +Vinline_depth +p3324 +tp3325 +a(g827 +g966 +tp3326 +a(g413 +g1052 +tp3327 +a(g827 +g966 +tp3328 +a(g37 +g1055 +tp3329 +a(g706 +g1006 +tp3330 +a(g827 +V\u000a +p3331 +tp3332 +a(g827 +V\u000a +p3333 +tp3334 +a(g827 +g1039 +tp3335 +a(g706 +V%% +p3336 +tp3337 +a(g840 +g966 +tp3338 +a(g745 +Vwrite +p3339 +tp3340 +a(g840 +g966 +tp3341 +a(g441 +Vinit +p3342 +tp3343 +a(g706 +g1006 +tp3344 +a(g827 +V\u000a +p3345 +tp3346 +a(g827 +V\u000a +p3347 +tp3348 +a(g8 +V/* Read in a block. */ +p3349 +tp3350 +a(g827 +V\u000a +p3351 +tp3352 +a(g827 +g1039 +tp3353 +a(g745 +Vconst +p3354 +tp3355 +a(g827 +g966 +tp3356 +a(g749 +Vchar +p3357 +tp3358 +a(g827 +g966 +tp3359 +a(g413 +g1026 +tp3360 +a(g436 +Vp +p3361 +tp3362 +a(g827 +g966 +tp3363 +a(g413 +g1052 +tp3364 +a(g827 +g966 +tp3365 +a(g436 +Vdata +p3366 +tp3367 +a(g706 +g1006 +tp3368 +a(g827 +V\u000a +p3369 +tp3370 +a(g827 +g1039 +tp3371 +a(g745 +Vconst +p3372 +tp3373 +a(g827 +g966 +tp3374 +a(g749 +Vchar +p3375 +tp3376 +a(g827 +g966 +tp3377 +a(g413 +g1026 +tp3378 +a(g436 +Vpe +p3379 +tp3380 +a(g827 +g966 +tp3381 +a(g413 +g1052 +tp3382 +a(g827 +g966 +tp3383 +a(g436 +Vdata +p3384 +tp3385 +a(g827 +g966 +tp3386 +a(g413 +g1199 +tp3387 +a(g827 +g966 +tp3388 +a(g436 +Vstrlen +p3389 +tp3390 +a(g706 +g1017 +tp3391 +a(g827 +g966 +tp3392 +a(g436 +Vdata +p3393 +tp3394 +a(g827 +g966 +tp3395 +a(g706 +g1031 +tp3396 +a(g706 +g1006 +tp3397 +a(g827 +V\u000a +p3398 +tp3399 +a(g827 +g1039 +tp3400 +a(g745 +Vconst +p3401 +tp3402 +a(g827 +g966 +tp3403 +a(g749 +Vchar +p3404 +tp3405 +a(g827 +g966 +tp3406 +a(g413 +g1026 +tp3407 +a(g436 +Veof +p3408 +tp3409 +a(g827 +g966 +tp3410 +a(g413 +g1052 +tp3411 +a(g827 +g966 +tp3412 +a(g436 +Vpe +p3413 +tp3414 +a(g706 +g1006 +tp3415 +a(g827 +V\u000a +p3416 +tp3417 +a(g827 +g1039 +tp3418 +a(g706 +V%% +p3419 +tp3420 +a(g840 +g966 +tp3421 +a(g745 +Vwrite +p3422 +tp3423 +a(g840 +g966 +tp3424 +a(g441 +Vexec +p3425 +tp3426 +a(g706 +g1006 +tp3427 +a(g827 +V\u000a +p3428 +tp3429 +a(g827 +V\u000a +p3430 +tp3431 +a(g745 +Vif +p3432 +tp3433 +a(g827 +g966 +tp3434 +a(g706 +g1017 +tp3435 +a(g827 +g966 +tp3436 +a(g436 +Vcs +p3437 +tp3438 +a(g827 +g966 +tp3439 +a(g413 +g1052 +tp3440 +a(g413 +g1052 +tp3441 +a(g827 +g966 +tp3442 +a(g436 +VRagelScan_error +p3443 +tp3444 +a(g827 +g966 +tp3445 +a(g706 +g1031 +tp3446 +a(g827 +g966 +tp3447 +a(g706 +g1035 +tp3448 +a(g827 +V\u000a +p3449 +tp3450 +a(g827 +V +p3451 +tp3452 +a(g8 +V/* Machine failed before finding a token. */ +p3453 +tp3454 +a(g827 +V\u000a +p3455 +tp3456 +a(g827 +V +p3457 +tp3458 +a(g436 +Vcerr +p3459 +tp3460 +a(g827 +g966 +tp3461 +a(g413 +g1086 +tp3462 +a(g413 +g1086 +tp3463 +a(g827 +g966 +tp3464 +a(g89 +g1098 +tp3465 +a(g89 +VPARSE ERROR +p3466 +tp3467 +a(g89 +g1098 +tp3468 +a(g827 +g966 +tp3469 +a(g413 +g1086 +tp3470 +a(g413 +g1086 +tp3471 +a(g827 +g966 +tp3472 +a(g436 +Vendl +p3473 +tp3474 +a(g706 +g1006 +tp3475 +a(g827 +V\u000a +p3476 +tp3477 +a(g827 +V +p3478 +tp3479 +a(g436 +Vexit +p3480 +tp3481 +a(g706 +g1017 +tp3482 +a(g37 +g1203 +tp3483 +a(g706 +g1031 +tp3484 +a(g706 +g1006 +tp3485 +a(g827 +V\u000a +p3486 +tp3487 +a(g827 +g1039 +tp3488 +a(g706 +g1190 +tp3489 +a(g827 +V\u000a +p3490 +tp3491 +a(g706 +g1190 +tp3492 +a(g827 +V\u000a +p3493 +tp3494 +a(g827 +V\u000a +p3495 +tp3496 +a(g899 +g962 +tp3497 +a(g899 +Vdefine BUFSIZE 2048 +p3498 +tp3499 +a(g899 +V\u000a +p3500 +tp3501 +a(g827 +V\u000a +p3502 +tp3503 +a(g749 +Vint +p3504 +tp3505 +a(g827 +g966 +tp3506 +a(g574 +Vmain +p3507 +tp3508 +a(g706 +g1017 +tp3509 +a(g706 +g1031 +tp3510 +a(g827 +V\u000a +p3511 +tp3512 +a(g706 +g1035 +tp3513 +a(g827 +V\u000a +p3514 +tp3515 +a(g827 +g1039 +tp3516 +a(g436 +Vstd +p3517 +tp3518 +a(g413 +g1089 +tp3519 +a(g413 +g1089 +tp3520 +a(g436 +Vios +p3521 +tp3522 +a(g413 +g1089 +tp3523 +a(g413 +g1089 +tp3524 +a(g436 +Vsync_with_stdio +p3525 +tp3526 +a(g706 +g1017 +tp3527 +a(g682 +Vfalse +p3528 +tp3529 +a(g706 +g1031 +tp3530 +a(g706 +g1006 +tp3531 +a(g827 +V\u000a +p3532 +tp3533 +a(g827 +V\u000a +p3534 +tp3535 +a(g827 +g1039 +tp3536 +a(g436 +Vtest +p3537 +tp3538 +a(g706 +g1017 +tp3539 +a(g89 +g1098 +tp3540 +a(g89 +Vhi %%{ /'}%%'/ { /*{*/ {} } + ' +p3541 +tp3542 +a(g213 +V\u005c\u005c +p3543 +tp3544 +a(g89 +V'' }%%there +p3545 +tp3546 +a(g213 +V\u005cn +p3547 +tp3548 +a(g89 +g1098 +tp3549 +a(g706 +g1031 +tp3550 +a(g706 +g1006 +tp3551 +a(g827 +V\u000a +p3552 +tp3553 +a(g827 +V\u000a +p3554 +tp3555 +a(g827 +g1039 +tp3556 +a(g745 +Vreturn +p3557 +tp3558 +a(g827 +g966 +tp3559 +a(g37 +g1055 +tp3560 +a(g706 +g1006 +tp3561 +a(g827 +V\u000a +p3562 +tp3563 +a(g706 +g1190 +tp3564 +a(g827 +V\u000a +p3565 +tp3566 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ragel-cpp_snippet b/tests/examplefiles/output/ragel-cpp_snippet new file mode 100644 index 0000000..c4bd383 --- /dev/null +++ b/tests/examplefiles/output/ragel-cpp_snippet @@ -0,0 +1,2377 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g709 +V%% +p958 +tp959 +a(g23 +V +p960 +tp961 +a(g842 +Vwrite +p962 +tp963 +a(g23 +g960 +tp964 +a(g444 +Vinit +p965 +tp966 +a(g709 +V; +p967 +tp968 +a(g7 +V\u000a +p969 +tp970 +a(g7 +g956 +tp971 +a(g792 +V/* Read in a block. */ +p972 +tp973 +a(g7 +V\u000a +p974 +tp975 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/regex.js b/tests/examplefiles/output/regex.js new file mode 100644 index 0000000..58c2369 --- /dev/null +++ b/tests/examplefiles/output/regex.js @@ -0,0 +1,2785 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g761 +V// regex\u000a +p958 +tp959 +a(g7 +V\u000a +p960 +tp961 +a(g654 +Vblah +p962 +tp963 +a(g709 +V( +p964 +tp965 +a(g200 +V/abc/ +p966 +tp967 +a(g709 +V) +p968 +tp969 +a(g709 +V; +p970 +tp971 +a(g7 +V\u000a +p972 +tp973 +a(g654 +Vx +p974 +tp975 +a(g7 +V +p976 +tp977 +a(g416 +V= +p978 +tp979 +a(g7 +g976 +tp980 +a(g200 +V/abc/ +p981 +tp982 +a(g709 +g970 +tp983 +a(g7 +V\u000a +p984 +tp985 +a(g654 +g974 +tp986 +a(g7 +g976 +tp987 +a(g416 +g978 +tp988 +a(g7 +g976 +tp989 +a(g200 +V/abc/ +p990 +tp991 +a(g709 +V. +p992 +tp993 +a(g654 +Vmatch +p994 +tp995 +a(g709 +g970 +tp996 +a(g7 +V\u000a\u000a +p997 +tp998 +a(g761 +V// math\u000a +p999 +tp1000 +a(g7 +V\u000a +p1001 +tp1002 +a(g654 +Vblah +p1003 +tp1004 +a(g709 +g964 +tp1005 +a(g40 +V1 +p1006 +tp1007 +a(g416 +V/ +p1008 +tp1009 +a(g40 +V2 +p1010 +tp1011 +a(g709 +g968 +tp1012 +a(g709 +g970 +tp1013 +a(g7 +g976 +tp1014 +a(g761 +V//comment\u000a +p1015 +tp1016 +a(g654 +g974 +tp1017 +a(g7 +g976 +tp1018 +a(g416 +g978 +tp1019 +a(g7 +g976 +tp1020 +a(g40 +g1006 +tp1021 +a(g7 +g976 +tp1022 +a(g416 +g1008 +tp1023 +a(g7 +g976 +tp1024 +a(g40 +g1010 +tp1025 +a(g7 +g976 +tp1026 +a(g416 +g1008 +tp1027 +a(g7 +g976 +tp1028 +a(g40 +V3 +p1029 +tp1030 +a(g709 +g970 +tp1031 +a(g7 +V\u000a +p1032 +tp1033 +a(g654 +g974 +tp1034 +a(g7 +g976 +tp1035 +a(g416 +g978 +tp1036 +a(g7 +g976 +tp1037 +a(g40 +g1006 +tp1038 +a(g416 +g1008 +tp1039 +a(g40 +g1006 +tp1040 +a(g416 +g1008 +tp1041 +a(g318 +V.1 +p1042 +tp1043 +a(g709 +g970 +tp1044 +a(g7 +V\u000a\u000a +p1045 +tp1046 +a(g761 +V// broken\u000a +p1047 +tp1048 +a(g7 +V\u000a +p1049 +tp1050 +a(g654 +g974 +tp1051 +a(g416 +g978 +tp1052 +a(g200 +V/1/ +p1053 +tp1054 +a(g709 +g970 +tp1055 +a(g7 +V\u000a +p1056 +tp1057 +a(g654 +g974 +tp1058 +a(g416 +g978 +tp1059 +a(g40 +g1006 +tp1060 +a(g416 +g1008 +tp1061 +a(g654 +Va +p1062 +tp1063 +a(g416 +g1008 +tp1064 +a(g654 +Vg +p1065 +tp1066 +a(g709 +g970 +tp1067 +a(g7 +V\u000a +p1068 +tp1069 +a(g654 +g974 +tp1070 +a(g416 +g978 +tp1071 +a(g654 +g1062 +tp1072 +a(g416 +g1008 +tp1073 +a(g654 +g1062 +tp1074 +a(g416 +g1008 +tp1075 +a(g654 +g1065 +tp1076 +a(g709 +g970 +tp1077 +a(g7 +V\u000a\u000a +p1078 +tp1079 +a(g761 +V// real-world\u000a +p1080 +tp1081 +a(g7 +V\u000a +p1082 +tp1083 +a(g907 +Vvar +p1084 +tp1085 +a(g7 +g976 +tp1086 +a(g654 +g974 +tp1087 +a(g7 +g976 +tp1088 +a(g416 +g978 +tp1089 +a(g7 +g976 +tp1090 +a(g40 +g1006 +tp1091 +a(g416 +g1008 +tp1092 +a(g709 +g964 +tp1093 +a(g40 +g1006 +tp1094 +a(g416 +V+ +p1095 +tp1096 +a(g685 +VMath +p1097 +tp1098 +a(g709 +g992 +tp1099 +a(g654 +Vsqrt +p1100 +tp1101 +a(g709 +g964 +tp1102 +a(g654 +Vsum +p1103 +tp1104 +a(g709 +g968 +tp1105 +a(g709 +g968 +tp1106 +a(g709 +g970 +tp1107 +a(g7 +g976 +tp1108 +a(g761 +V// convert to number between 1-0\u000a +p1109 +tp1110 +a(g842 +Vreturn +p1111 +tp1112 +a(g7 +g976 +tp1113 +a(g685 +VMath +p1114 +tp1115 +a(g709 +g992 +tp1116 +a(g654 +Vround +p1117 +tp1118 +a(g709 +g964 +tp1119 +a(g709 +g964 +tp1120 +a(g654 +Vnum +p1121 +tp1122 +a(g7 +g976 +tp1123 +a(g416 +g1008 +tp1124 +a(g7 +g976 +tp1125 +a(g654 +Vden +p1126 +tp1127 +a(g709 +g968 +tp1128 +a(g7 +g976 +tp1129 +a(g416 +V* +p1130 +tp1131 +a(g7 +g976 +tp1132 +a(g40 +V100 +p1133 +tp1134 +a(g709 +g968 +tp1135 +a(g416 +g1008 +tp1136 +a(g40 +V100 +p1137 +tp1138 +a(g709 +g970 +tp1139 +a(g7 +V\u000a +p1140 +tp1141 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/resourcebundle_demo b/tests/examplefiles/output/resourcebundle_demo new file mode 100644 index 0000000..1364560 --- /dev/null +++ b/tests/examplefiles/output/resourcebundle_demo @@ -0,0 +1,2556 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Variable' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'Class' +p15 +g1 +(g2 +g3 +(g4 +g9 +g15 +tp16 +tp17 +Rp18 +(dp19 +g14 +g12 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Anonymous' +p25 +g1 +(g2 +g3 +(g4 +g9 +g25 +tp26 +tp27 +Rp28 +(dp29 +g14 +g12 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Instance' +p33 +g1 +(g2 +g3 +(g4 +g9 +g33 +tp34 +tp35 +Rp36 +(dp37 +g14 +g12 +sg20 +g21 +((lp38 +tp39 +Rp40 +sbsS'Global' +p41 +g1 +(g2 +g3 +(g4 +g9 +g41 +tp42 +tp43 +Rp44 +(dp45 +g14 +g12 +sg20 +g21 +((lp46 +tp47 +Rp48 +sbsg20 +g21 +((lp49 +g28 +ag36 +ag1 +(g2 +g3 +(g4 +g9 +S'Magic' +p50 +tp51 +tp52 +Rp53 +(dp54 +g14 +g12 +sg20 +g21 +((lp55 +tp56 +Rp57 +sbag44 +ag18 +atp58 +Rp59 +sg50 +g53 +sbsS'Operator' +p60 +g1 +(g2 +g3 +(g4 +g60 +tp61 +tp62 +Rp63 +(dp64 +g14 +g7 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbsS'Decorator' +p68 +g1 +(g2 +g3 +(g4 +g68 +tp69 +tp70 +Rp71 +(dp72 +g14 +g7 +sg20 +g21 +((lp73 +tp74 +Rp75 +sbsS'Entity' +p76 +g1 +(g2 +g3 +(g4 +g76 +tp77 +tp78 +Rp79 +(dp80 +g14 +g7 +sS'DBS' +p81 +g1 +(g2 +g3 +(g4 +g76 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g79 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsg20 +g21 +((lp89 +g84 +atp90 +Rp91 +sbsS'Symbol' +p92 +g1 +(g2 +g3 +(g4 +g92 +tp93 +tp94 +Rp95 +(dp96 +g14 +g7 +sg20 +g21 +((lp97 +tp98 +Rp99 +sbsS'Property' +p100 +g1 +(g2 +g3 +(g4 +g100 +tp101 +tp102 +Rp103 +(dp104 +g14 +g7 +sg20 +g21 +((lp105 +tp106 +Rp107 +sbsS'Pseudo' +p108 +g1 +(g2 +g3 +(g4 +g108 +tp109 +tp110 +Rp111 +(dp112 +g14 +g7 +sg20 +g21 +((lp113 +tp114 +Rp115 +sbsS'Type' +p116 +g1 +(g2 +g3 +(g4 +g116 +tp117 +tp118 +Rp119 +(dp120 +g14 +g7 +sg20 +g21 +((lp121 +tp122 +Rp123 +sbsS'Classes' +p124 +g1 +(g2 +g3 +(g4 +g124 +tp125 +tp126 +Rp127 +(dp128 +g14 +g7 +sg20 +g21 +((lp129 +tp130 +Rp131 +sbsS'Tag' +p132 +g1 +(g2 +g3 +(g4 +g132 +tp133 +tp134 +Rp135 +(dp136 +g14 +g7 +sg20 +g21 +((lp137 +tp138 +Rp139 +sbsS'Constant' +p140 +g1 +(g2 +g3 +(g4 +g140 +tp141 +tp142 +Rp143 +(dp144 +g14 +g7 +sg20 +g21 +((lp145 +tp146 +Rp147 +sbsS'Function' +p148 +g1 +(g2 +g3 +(g4 +g148 +tp149 +tp150 +Rp151 +(dp152 +g14 +g7 +sg20 +g21 +((lp153 +g1 +(g2 +g3 +(g4 +g148 +g50 +tp154 +tp155 +Rp156 +(dp157 +g14 +g151 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbatp161 +Rp162 +sg50 +g156 +sbsS'Blubb' +p163 +g1 +(g2 +g3 +(g4 +g163 +tp164 +tp165 +Rp166 +(dp167 +g14 +g7 +sg20 +g21 +((lp168 +tp169 +Rp170 +sbsS'Label' +p171 +g1 +(g2 +g3 +(g4 +g171 +tp172 +tp173 +Rp174 +(dp175 +g14 +g7 +sg20 +g21 +((lp176 +tp177 +Rp178 +sbsS'Field' +p179 +g1 +(g2 +g3 +(g4 +g179 +tp180 +tp181 +Rp182 +(dp183 +g14 +g7 +sg20 +g21 +((lp184 +tp185 +Rp186 +sbsS'Exception' +p187 +g1 +(g2 +g3 +(g4 +g187 +tp188 +tp189 +Rp190 +(dp191 +g14 +g7 +sg20 +g21 +((lp192 +tp193 +Rp194 +sbsS'Namespace' +p195 +g1 +(g2 +g3 +(g4 +g195 +tp196 +tp197 +Rp198 +(dp199 +g14 +g7 +sg20 +g21 +((lp200 +tp201 +Rp202 +sbsg20 +g21 +((lp203 +g71 +ag166 +ag111 +ag79 +ag12 +ag190 +ag103 +ag135 +ag151 +ag127 +ag1 +(g2 +g3 +(g4 +g15 +tp204 +tp205 +Rp206 +(dp207 +g14 +g7 +sg81 +g1 +(g2 +g3 +(g4 +g15 +g81 +tp208 +tp209 +Rp210 +(dp211 +g14 +g206 +sg20 +g21 +((lp212 +tp213 +Rp214 +sbsg20 +g21 +((lp215 +g1 +(g2 +g3 +(g4 +g15 +S'Start' +p216 +tp217 +tp218 +Rp219 +(dp220 +g14 +g206 +sg20 +g21 +((lp221 +tp222 +Rp223 +sbag210 +atp224 +Rp225 +sg216 +g219 +sbag1 +(g2 +g3 +(g4 +S'Other' +p226 +tp227 +tp228 +Rp229 +(dp230 +g14 +g7 +sS'Member' +p231 +g1 +(g2 +g3 +(g4 +g226 +g231 +tp232 +tp233 +Rp234 +(dp235 +g14 +g229 +sg20 +g21 +((lp236 +tp237 +Rp238 +sbsg20 +g21 +((lp239 +g234 +atp240 +Rp241 +sbag174 +ag63 +ag198 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p242 +tp243 +tp244 +Rp245 +(dp246 +g14 +g7 +sg9 +g1 +(g2 +g3 +(g4 +g242 +g9 +tp247 +tp248 +Rp249 +(dp250 +g14 +g245 +sg20 +g21 +((lp251 +tp252 +Rp253 +sbsg20 +g21 +((lp254 +g249 +atp255 +Rp256 +sbag143 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p257 +tp258 +tp259 +Rp260 +(dp261 +g14 +g7 +sg116 +g1 +(g2 +g3 +(g4 +g257 +g116 +tp262 +tp263 +Rp264 +(dp265 +g14 +g260 +sg20 +g21 +((lp266 +tp267 +Rp268 +sbsg20 +g21 +((lp269 +g1 +(g2 +g3 +(g4 +g257 +g108 +tp270 +tp271 +Rp272 +(dp273 +g14 +g260 +sg20 +g21 +((lp274 +tp275 +Rp276 +sbag264 +atp277 +Rp278 +sg108 +g272 +sbag182 +ag119 +ag95 +atp279 +Rp280 +sg14 +g1 +(g2 +g3 +(ttp281 +Rp282 +(dp283 +S'Number' +p284 +g1 +(g2 +g3 +(S'Literal' +p285 +g284 +tp286 +tp287 +Rp288 +(dp289 +S'Integer' +p290 +g1 +(g2 +g3 +(g285 +g284 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g288 +sS'Long' +p295 +g1 +(g2 +g3 +(g285 +g284 +g290 +g295 +tp296 +tp297 +Rp298 +(dp299 +g14 +g293 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g298 +atp304 +Rp305 +sbsg14 +g1 +(g2 +g3 +(g285 +tp306 +tp307 +Rp308 +(dp309 +S'Scalar' +p310 +g1 +(g2 +g3 +(g285 +g310 +tp311 +tp312 +Rp313 +(dp314 +g14 +g308 +sg20 +g21 +((lp315 +g1 +(g2 +g3 +(g285 +g310 +S'Plain' +p316 +tp317 +tp318 +Rp319 +(dp320 +g14 +g313 +sg20 +g21 +((lp321 +tp322 +Rp323 +sbatp324 +Rp325 +sg316 +g319 +sbsg284 +g288 +sg14 +g282 +sg226 +g1 +(g2 +g3 +(g285 +g226 +tp326 +tp327 +Rp328 +(dp329 +g14 +g308 +sg20 +g21 +((lp330 +tp331 +Rp332 +sbsS'Char' +p333 +g1 +(g2 +g3 +(g285 +g333 +tp334 +tp335 +Rp336 +(dp337 +g14 +g308 +sg20 +g21 +((lp338 +tp339 +Rp340 +sbsS'String' +p341 +g1 +(g2 +g3 +(g285 +g341 +tp342 +tp343 +Rp344 +(dp345 +g333 +g1 +(g2 +g3 +(g285 +g341 +g333 +tp346 +tp347 +Rp348 +(dp349 +g14 +g344 +sg20 +g21 +((lp350 +tp351 +Rp352 +sbsS'Backtick' +p353 +g1 +(g2 +g3 +(g285 +g341 +g353 +tp354 +tp355 +Rp356 +(dp357 +g14 +g344 +sg20 +g21 +((lp358 +tp359 +Rp360 +sbsS'Heredoc' +p361 +g1 +(g2 +g3 +(g285 +g341 +g361 +tp362 +tp363 +Rp364 +(dp365 +g14 +g344 +sg20 +g21 +((lp366 +tp367 +Rp368 +sbsg92 +g1 +(g2 +g3 +(g285 +g341 +g92 +tp369 +tp370 +Rp371 +(dp372 +g14 +g344 +sg20 +g21 +((lp373 +tp374 +Rp375 +sbsS'Interpol' +p376 +g1 +(g2 +g3 +(g285 +g341 +g376 +tp377 +tp378 +Rp379 +(dp380 +g14 +g344 +sg20 +g21 +((lp381 +tp382 +Rp383 +sbsS'Delimiter' +p384 +g1 +(g2 +g3 +(g285 +g341 +g384 +tp385 +tp386 +Rp387 +(dp388 +g14 +g344 +sg20 +g21 +((lp389 +tp390 +Rp391 +sbsS'Boolean' +p392 +g1 +(g2 +g3 +(g285 +g341 +g392 +tp393 +tp394 +Rp395 +(dp396 +g14 +g344 +sg20 +g21 +((lp397 +tp398 +Rp399 +sbsS'Character' +p400 +g1 +(g2 +g3 +(g285 +g341 +g400 +tp401 +tp402 +Rp403 +(dp404 +g14 +g344 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbsS'Double' +p408 +g1 +(g2 +g3 +(g285 +g341 +g408 +tp409 +tp410 +Rp411 +(dp412 +g14 +g344 +sg20 +g21 +((lp413 +tp414 +Rp415 +sbsS'Delimeter' +p416 +g1 +(g2 +g3 +(g285 +g341 +g416 +tp417 +tp418 +Rp419 +(dp420 +g14 +g344 +sg20 +g21 +((lp421 +tp422 +Rp423 +sbsS'Atom' +p424 +g1 +(g2 +g3 +(g285 +g341 +g424 +tp425 +tp426 +Rp427 +(dp428 +g14 +g344 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbsS'Affix' +p432 +g1 +(g2 +g3 +(g285 +g341 +g432 +tp433 +tp434 +Rp435 +(dp436 +g14 +g344 +sg20 +g21 +((lp437 +tp438 +Rp439 +sbsg4 +g1 +(g2 +g3 +(g285 +g341 +g4 +tp440 +tp441 +Rp442 +(dp443 +g14 +g344 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbsS'Regex' +p447 +g1 +(g2 +g3 +(g285 +g341 +g447 +tp448 +tp449 +Rp450 +(dp451 +g14 +g344 +sg20 +g21 +((lp452 +tp453 +Rp454 +sbsS'Interp' +p455 +g1 +(g2 +g3 +(g285 +g341 +g455 +tp456 +tp457 +Rp458 +(dp459 +g14 +g344 +sg20 +g21 +((lp460 +tp461 +Rp462 +sbsS'Escape' +p463 +g1 +(g2 +g3 +(g285 +g341 +g463 +tp464 +tp465 +Rp466 +(dp467 +g14 +g344 +sg20 +g21 +((lp468 +tp469 +Rp470 +sbsg20 +g21 +((lp471 +g387 +ag371 +ag450 +ag1 +(g2 +g3 +(g285 +g341 +S'Doc' +p472 +tp473 +tp474 +Rp475 +(dp476 +g14 +g344 +sg20 +g21 +((lp477 +tp478 +Rp479 +sbag403 +ag395 +ag411 +ag379 +ag427 +ag419 +ag442 +ag466 +ag1 +(g2 +g3 +(g285 +g341 +S'Single' +p480 +tp481 +tp482 +Rp483 +(dp484 +g14 +g344 +sg20 +g21 +((lp485 +tp486 +Rp487 +sbag1 +(g2 +g3 +(g285 +g341 +g226 +tp488 +tp489 +Rp490 +(dp491 +g14 +g344 +sg20 +g21 +((lp492 +tp493 +Rp494 +sbag458 +ag356 +ag435 +ag1 +(g2 +g3 +(g285 +g341 +S'Moment' +p495 +tp496 +tp497 +Rp498 +(dp499 +g14 +g344 +sg20 +g21 +((lp500 +tp501 +Rp502 +sbag348 +ag364 +atp503 +Rp504 +sg480 +g483 +sg495 +g498 +sg14 +g308 +sg226 +g490 +sg472 +g475 +sbsg20 +g21 +((lp505 +g344 +ag336 +ag328 +ag1 +(g2 +g3 +(g285 +S'Date' +p506 +tp507 +tp508 +Rp509 +(dp510 +g14 +g308 +sg20 +g21 +((lp511 +tp512 +Rp513 +sbag313 +ag288 +atp514 +Rp515 +sg506 +g509 +sbsS'Bin' +p516 +g1 +(g2 +g3 +(g285 +g284 +g516 +tp517 +tp518 +Rp519 +(dp520 +g14 +g288 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbsS'Radix' +p524 +g1 +(g2 +g3 +(g285 +g284 +g524 +tp525 +tp526 +Rp527 +(dp528 +g14 +g288 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbsS'Oct' +p532 +g1 +(g2 +g3 +(g285 +g284 +g532 +tp533 +tp534 +Rp535 +(dp536 +g14 +g288 +sg20 +g21 +((lp537 +tp538 +Rp539 +sbsS'Dec' +p540 +g1 +(g2 +g3 +(g285 +g284 +g540 +tp541 +tp542 +Rp543 +(dp544 +g14 +g288 +sg20 +g21 +((lp545 +tp546 +Rp547 +sbsS'Hex' +p548 +g1 +(g2 +g3 +(g285 +g284 +g548 +tp549 +tp550 +Rp551 +(dp552 +g14 +g288 +sg20 +g21 +((lp553 +tp554 +Rp555 +sbsg20 +g21 +((lp556 +g293 +ag527 +ag543 +ag1 +(g2 +g3 +(g285 +g284 +S'Decimal' +p557 +tp558 +tp559 +Rp560 +(dp561 +g14 +g288 +sg20 +g21 +((lp562 +tp563 +Rp564 +sbag519 +ag1 +(g2 +g3 +(g285 +g284 +S'Float' +p565 +tp566 +tp567 +Rp568 +(dp569 +g14 +g288 +sg20 +g21 +((lp570 +tp571 +Rp572 +sbag535 +ag551 +atp573 +Rp574 +sg557 +g560 +sg565 +g568 +sbsS'Generic' +p575 +g1 +(g2 +g3 +(g575 +tp576 +tp577 +Rp578 +(dp579 +g14 +g282 +sS'Deleted' +p580 +g1 +(g2 +g3 +(g575 +g580 +tp581 +tp582 +Rp583 +(dp584 +g14 +g578 +sg20 +g21 +((lp585 +tp586 +Rp587 +sbsS'Subheading' +p588 +g1 +(g2 +g3 +(g575 +g588 +tp589 +tp590 +Rp591 +(dp592 +g14 +g578 +sg20 +g21 +((lp593 +tp594 +Rp595 +sbsS'Heading' +p596 +g1 +(g2 +g3 +(g575 +g596 +tp597 +tp598 +Rp599 +(dp600 +g14 +g578 +sg20 +g21 +((lp601 +tp602 +Rp603 +sbsS'Emph' +p604 +g1 +(g2 +g3 +(g575 +g604 +tp605 +tp606 +Rp607 +(dp608 +g14 +g578 +sg20 +g21 +((lp609 +tp610 +Rp611 +sbsS'Prompt' +p612 +g1 +(g2 +g3 +(g575 +g612 +tp613 +tp614 +Rp615 +(dp616 +g14 +g578 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbsS'Inserted' +p620 +g1 +(g2 +g3 +(g575 +g620 +tp621 +tp622 +Rp623 +(dp624 +g14 +g578 +sg20 +g21 +((lp625 +tp626 +Rp627 +sbsS'Strong' +p628 +g1 +(g2 +g3 +(g575 +g628 +tp629 +tp630 +Rp631 +(dp632 +g14 +g578 +sg20 +g21 +((lp633 +tp634 +Rp635 +sbsS'Error' +p636 +g1 +(g2 +g3 +(g575 +g636 +tp637 +tp638 +Rp639 +(dp640 +g14 +g578 +sg20 +g21 +((lp641 +tp642 +Rp643 +sbsS'Traceback' +p644 +g1 +(g2 +g3 +(g575 +g644 +tp645 +tp646 +Rp647 +(dp648 +g14 +g578 +sg20 +g21 +((lp649 +tp650 +Rp651 +sbsg20 +g21 +((lp652 +g599 +ag591 +ag1 +(g2 +g3 +(g575 +S'Output' +p653 +tp654 +tp655 +Rp656 +(dp657 +g14 +g578 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbag631 +ag607 +ag639 +ag647 +ag623 +ag615 +ag583 +atp661 +Rp662 +sg653 +g656 +sbsg60 +g1 +(g2 +g3 +(g60 +tp663 +tp664 +Rp665 +(dp666 +g14 +g282 +sg81 +g1 +(g2 +g3 +(g60 +g81 +tp667 +tp668 +Rp669 +(dp670 +g14 +g665 +sg20 +g21 +((lp671 +tp672 +Rp673 +sbsg20 +g21 +((lp674 +g669 +ag1 +(g2 +g3 +(g60 +S'Word' +p675 +tp676 +tp677 +Rp678 +(dp679 +g14 +g665 +sg20 +g21 +((lp680 +tp681 +Rp682 +sbatp683 +Rp684 +sg675 +g678 +sbsg341 +g344 +sg4 +g7 +sS'Punctuation' +p685 +g1 +(g2 +g3 +(g685 +tp686 +tp687 +Rp688 +(dp689 +g14 +g282 +sg20 +g21 +((lp690 +g1 +(g2 +g3 +(g685 +S'Indicator' +p691 +tp692 +tp693 +Rp694 +(dp695 +g14 +g688 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbatp699 +Rp700 +sg691 +g694 +sbsS'Comment' +p701 +g1 +(g2 +g3 +(g701 +tp702 +tp703 +Rp704 +(dp705 +S'Multi' +p706 +g1 +(g2 +g3 +(g701 +g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g704 +sg20 +g21 +((lp711 +tp712 +Rp713 +sbsg14 +g282 +sS'Special' +p714 +g1 +(g2 +g3 +(g701 +g714 +tp715 +tp716 +Rp717 +(dp718 +g14 +g704 +sg20 +g21 +((lp719 +tp720 +Rp721 +sbsS'Hashbang' +p722 +g1 +(g2 +g3 +(g701 +g722 +tp723 +tp724 +Rp725 +(dp726 +g14 +g704 +sg20 +g21 +((lp727 +tp728 +Rp729 +sbsS'Preproc' +p730 +g1 +(g2 +g3 +(g701 +g730 +tp731 +tp732 +Rp733 +(dp734 +g14 +g704 +sg20 +g21 +((lp735 +tp736 +Rp737 +sbsg480 +g1 +(g2 +g3 +(g701 +g480 +tp738 +tp739 +Rp740 +(dp741 +g14 +g704 +sg20 +g21 +((lp742 +tp743 +Rp744 +sbsS'Directive' +p745 +g1 +(g2 +g3 +(g701 +g745 +tp746 +tp747 +Rp748 +(dp749 +g14 +g704 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsg472 +g1 +(g2 +g3 +(g701 +g472 +tp753 +tp754 +Rp755 +(dp756 +g14 +g704 +sg20 +g21 +((lp757 +tp758 +Rp759 +sbsS'Singleline' +p760 +g1 +(g2 +g3 +(g701 +g760 +tp761 +tp762 +Rp763 +(dp764 +g14 +g704 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Multiline' +p768 +g1 +(g2 +g3 +(g701 +g768 +tp769 +tp770 +Rp771 +(dp772 +g14 +g704 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g755 +ag748 +ag725 +ag709 +ag763 +ag733 +ag771 +ag740 +ag1 +(g2 +g3 +(g701 +S'PreprocFile' +p777 +tp778 +tp779 +Rp780 +(dp781 +g14 +g704 +sg20 +g21 +((lp782 +tp783 +Rp784 +sbag1 +(g2 +g3 +(g701 +S'SingleLine' +p785 +tp786 +tp787 +Rp788 +(dp789 +g14 +g704 +sg20 +g21 +((lp790 +tp791 +Rp792 +sbag717 +atp793 +Rp794 +sg777 +g780 +sg785 +g788 +sbsg285 +g308 +sg226 +g1 +(g2 +g3 +(g226 +tp795 +tp796 +Rp797 +(dp798 +g14 +g282 +sg20 +g21 +((lp799 +tp800 +Rp801 +sbsg636 +g1 +(g2 +g3 +(g636 +tp802 +tp803 +Rp804 +(dp805 +g14 +g282 +sg20 +g21 +((lp806 +tp807 +Rp808 +sbsS'Token' +p809 +g282 +sg463 +g1 +(g2 +g3 +(g463 +tp810 +tp811 +Rp812 +(dp813 +g14 +g282 +sg20 +g21 +((lp814 +tp815 +Rp816 +sbsg20 +g21 +((lp817 +g7 +ag797 +ag1 +(g2 +g3 +(S'Keyword' +p818 +tp819 +tp820 +Rp821 +(dp822 +g14 +g282 +sg116 +g1 +(g2 +g3 +(g818 +g116 +tp823 +tp824 +Rp825 +(dp826 +g14 +g821 +sg20 +g21 +((lp827 +tp828 +Rp829 +sbsS'Control' +p830 +g1 +(g2 +g3 +(g818 +g830 +tp831 +tp832 +Rp833 +(dp834 +g14 +g821 +sg20 +g21 +((lp835 +tp836 +Rp837 +sbsg140 +g1 +(g2 +g3 +(g818 +g140 +tp838 +tp839 +Rp840 +(dp841 +g14 +g821 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg195 +g1 +(g2 +g3 +(g818 +g195 +tp845 +tp846 +Rp847 +(dp848 +g14 +g821 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsS'PreProc' +p852 +g1 +(g2 +g3 +(g818 +g852 +tp853 +tp854 +Rp855 +(dp856 +g14 +g821 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg108 +g1 +(g2 +g3 +(g818 +g108 +tp860 +tp861 +Rp862 +(dp863 +g14 +g821 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsS'Reserved' +p867 +g1 +(g2 +g3 +(g818 +g867 +tp868 +tp869 +Rp870 +(dp871 +g14 +g821 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbsg20 +g21 +((lp875 +g847 +ag1 +(g2 +g3 +(g818 +g675 +tp876 +tp877 +Rp878 +(dp879 +g14 +g821 +sg20 +g21 +((lp880 +tp881 +Rp882 +sbag833 +ag1 +(g2 +g3 +(g818 +S'Declaration' +p883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g821 +sg20 +g21 +((lp888 +tp889 +Rp890 +sbag1 +(g2 +g3 +(g818 +g818 +tp891 +tp892 +Rp893 +(dp894 +g14 +g821 +sg20 +g21 +((lp895 +tp896 +Rp897 +sbag862 +ag840 +ag825 +ag870 +ag855 +atp898 +Rp899 +sg818 +g893 +sg883 +g886 +sg675 +g878 +sbag578 +ag1 +(g2 +g3 +(S'Text' +p900 +tp901 +tp902 +Rp903 +(dp904 +S'Beer' +p905 +g1 +(g2 +g3 +(g900 +g905 +tp906 +tp907 +Rp908 +(dp909 +g14 +g903 +sg20 +g21 +((lp910 +tp911 +Rp912 +sbsS'Whitespace' +p913 +g1 +(g2 +g3 +(g900 +g913 +tp914 +tp915 +Rp916 +(dp917 +g14 +g903 +sg20 +g21 +((lp918 +tp919 +Rp920 +sbsg14 +g282 +sS'Root' +p921 +g1 +(g2 +g3 +(g900 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g903 +sg20 +g21 +((lp926 +tp927 +Rp928 +sbsg92 +g1 +(g2 +g3 +(g900 +g92 +tp929 +tp930 +Rp931 +(dp932 +g14 +g903 +sg20 +g21 +((lp933 +tp934 +Rp935 +sbsg685 +g1 +(g2 +g3 +(g900 +g685 +tp936 +tp937 +Rp938 +(dp939 +g14 +g903 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbsg20 +g21 +((lp943 +g924 +ag931 +ag938 +ag916 +ag908 +ag1 +(g2 +g3 +(g900 +S'Rag' +p944 +tp945 +tp946 +Rp947 +(dp948 +g14 +g903 +sg20 +g21 +((lp949 +tp950 +Rp951 +sbatp952 +Rp953 +sg944 +g947 +sbag665 +ag812 +ag688 +ag704 +ag804 +ag308 +atp954 +Rp955 +sg818 +g821 +sg900 +g903 +sbsg15 +g206 +sg257 +g260 +sg242 +g245 +sg226 +g229 +sbVroot +p956 +tp957 +a(g821 +V:table +p958 +tp959 +a(g903 +V +p960 +tp961 +a(g665 +V{ +p962 +tp963 +a(g903 +V\u000a +p964 +tp965 +a(g7 +Vusage +p966 +tp967 +a(g821 +V:string +p968 +tp969 +a(g903 +g960 +tp970 +a(g665 +g962 +tp971 +a(g903 +g960 +tp972 +a(g344 +V" +p973 +tp974 +a(g344 +VUsage: genrb [Options] files +p975 +tp976 +a(g344 +g973 +tp977 +a(g903 +g960 +tp978 +a(g665 +V} +p979 +tp980 +a(g903 +V\u000a +p981 +tp982 +a(g7 +Vversion +p983 +tp984 +a(g821 +V:int +p985 +tp986 +a(g903 +g960 +tp987 +a(g665 +g962 +tp988 +a(g903 +g960 +tp989 +a(g293 +V122 +p990 +tp991 +a(g903 +g960 +tp992 +a(g665 +g979 +tp993 +a(g903 +V\u000a +p994 +tp995 +a(g7 +Verrorcodes +p996 +tp997 +a(g821 +V:array +p998 +tp999 +a(g903 +g960 +tp1000 +a(g665 +g962 +tp1001 +a(g903 +V\u000a +p1002 +tp1003 +a(g821 +V:string +p1004 +tp1005 +a(g903 +g960 +tp1006 +a(g665 +g962 +tp1007 +a(g903 +g960 +tp1008 +a(g344 +g973 +tp1009 +a(g344 +VInvalid argument +p1010 +tp1011 +a(g344 +g973 +tp1012 +a(g903 +g960 +tp1013 +a(g665 +g979 +tp1014 +a(g903 +V\u000a +p1015 +tp1016 +a(g821 +V:string +p1017 +tp1018 +a(g903 +g960 +tp1019 +a(g665 +g962 +tp1020 +a(g903 +g960 +tp1021 +a(g344 +g973 +tp1022 +a(g344 +VFile not found +p1023 +tp1024 +a(g344 +g973 +tp1025 +a(g903 +g960 +tp1026 +a(g665 +g979 +tp1027 +a(g903 +V\u000a +p1028 +tp1029 +a(g821 +V:string +p1030 +tp1031 +a(g903 +g960 +tp1032 +a(g665 +g962 +tp1033 +a(g903 +g960 +tp1034 +a(g344 +g973 +tp1035 +a(g344 +V\u005cx00 \u005cr \u005ct \u005cn \u005cu1234 +p1036 +tp1037 +a(g344 +g973 +tp1038 +a(g903 +g960 +tp1039 +a(g665 +g979 +tp1040 +a(g903 +V\u000a +p1041 +tp1042 +a(g665 +g979 +tp1043 +a(g903 +V\u000a +p1044 +tp1045 +a(g665 +g979 +tp1046 +a(g903 +V\u000a +p1047 +tp1048 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/reversi.lsp b/tests/examplefiles/output/reversi.lsp new file mode 100644 index 0000000..63b448d --- /dev/null +++ b/tests/examplefiles/output/reversi.lsp @@ -0,0 +1,12980 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/usr/bin/env newlisp +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g898 +V;; @module reversi.lsp +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g898 +V;; @description a simple version of Reversi: you as white against newLISP as black +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g898 +V;; @version 0.1 alpha August 2007 +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g898 +V;; @author cormullion +p972 +tp973 +a(g827 +V\u000a +p974 +tp975 +a(g898 +V;; +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g898 +V;; 2008-10-08 21:46:54 +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g898 +V;; updated for newLISP version 10. (changed nth-set to setf) +p984 +tp985 +a(g827 +V\u000a +p986 +tp987 +a(g898 +V;; this now does not work with newLISP version 9! +p988 +tp989 +a(g827 +V\u000a +p990 +tp991 +a(g898 +V;; +p992 +tp993 +a(g827 +V\u000a +p994 +tp995 +a(g898 +V;; This is my first attempt at writing a simple application using newLISP-GS. +p996 +tp997 +a(g827 +V\u000a +p998 +tp999 +a(g898 +V;; The game algorithms are basically by +p1000 +tp1001 +a(g827 +V\u000a +p1002 +tp1003 +a(g898 +V;; Peter Norvig http://norvig.com/paip/othello.lisp +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g898 +V;; and all I've done is translate to newLISP and add the interface... +p1008 +tp1009 +a(g827 +V\u000a +p1010 +tp1011 +a(g898 +V;; +p1012 +tp1013 +a(g827 +V\u000a +p1014 +tp1015 +a(g898 +V;; To-Do: work out how to handle the end of the game properly... +p1016 +tp1017 +a(g827 +V\u000a +p1018 +tp1019 +a(g898 +V;; To-Do: complete newlispdoc for the functions +p1020 +tp1021 +a(g827 +V\u000a\u000a +p1022 +tp1023 +a(g706 +V( +p1024 +tp1025 +a(g745 +Vconstant +p1026 +tp1027 +a(g827 +V +p1028 +tp1029 +a(g413 +V' +p1030 +tp1031 +a(g117 +Vempty +p1032 +tp1033 +a(g827 +g1028 +tp1034 +a(g117 +V0 +p1035 +tp1036 +a(g706 +V) +p1037 +tp1038 +a(g827 +V \u000a +p1039 +tp1040 +a(g706 +g1024 +tp1041 +a(g745 +Vconstant +p1042 +tp1043 +a(g827 +g1028 +tp1044 +a(g413 +g1030 +tp1045 +a(g117 +Vblack +p1046 +tp1047 +a(g827 +g1028 +tp1048 +a(g117 +V1 +p1049 +tp1050 +a(g706 +g1037 +tp1051 +a(g827 +V \u000a +p1052 +tp1053 +a(g706 +g1024 +tp1054 +a(g745 +Vconstant +p1055 +tp1056 +a(g827 +g1028 +tp1057 +a(g413 +g1030 +tp1058 +a(g117 +Vwhite +p1059 +tp1060 +a(g827 +g1028 +tp1061 +a(g117 +V2 +p1062 +tp1063 +a(g706 +g1037 +tp1064 +a(g827 +V\u000a +p1065 +tp1066 +a(g706 +g1024 +tp1067 +a(g745 +Vconstant +p1068 +tp1069 +a(g827 +g1028 +tp1070 +a(g413 +g1030 +tp1071 +a(g117 +Vouter +p1072 +tp1073 +a(g827 +g1028 +tp1074 +a(g117 +V3 +p1075 +tp1076 +a(g706 +g1037 +tp1077 +a(g827 +g1028 +tp1078 +a(g898 +V; squares outside the 8x8 board +p1079 +tp1080 +a(g827 +V\u000a\u000a +p1081 +tp1082 +a(g706 +g1024 +tp1083 +a(g745 +Vset +p1084 +tp1085 +a(g827 +g1028 +tp1086 +a(g413 +g1030 +tp1087 +a(g745 +V* +p1088 +tp1089 +a(g117 +Vboard* +p1090 +tp1091 +a(g827 +g1028 +tp1092 +a(g413 +g1030 +tp1093 +a(g706 +g1024 +tp1094 +a(g706 +g1037 +tp1095 +a(g706 +g1037 +tp1096 +a(g827 +g1028 +tp1097 +a(g898 +V; the master board is a 100 element list +p1098 +tp1099 +a(g827 +V\u000a +p1100 +tp1101 +a(g706 +g1024 +tp1102 +a(g745 +Vset +p1103 +tp1104 +a(g827 +g1028 +tp1105 +a(g413 +g1030 +tp1106 +a(g745 +g1088 +tp1107 +a(g117 +Vmoves* +p1108 +tp1109 +a(g827 +g1028 +tp1110 +a(g413 +g1030 +tp1111 +a(g706 +g1024 +tp1112 +a(g706 +g1037 +tp1113 +a(g706 +g1037 +tp1114 +a(g827 +g1028 +tp1115 +a(g898 +V; list of moves made +p1116 +tp1117 +a(g827 +V\u000a\u000a +p1118 +tp1119 +a(g898 +V; these are the 8 different directions from a square on the board +p1120 +tp1121 +a(g827 +V\u000a\u000a +p1122 +tp1123 +a(g706 +g1024 +tp1124 +a(g745 +Vset +p1125 +tp1126 +a(g827 +g1028 +tp1127 +a(g413 +g1030 +tp1128 +a(g117 +Vall-directions +p1129 +tp1130 +a(g827 +g1028 +tp1131 +a(g413 +g1030 +tp1132 +a(g706 +g1024 +tp1133 +a(g745 +V- +p1134 +tp1135 +a(g117 +V11 +p1136 +tp1137 +a(g827 +g1028 +tp1138 +a(g745 +g1134 +tp1139 +a(g117 +V10 +p1140 +tp1141 +a(g827 +g1028 +tp1142 +a(g745 +g1134 +tp1143 +a(g117 +V9 +p1144 +tp1145 +a(g827 +g1028 +tp1146 +a(g745 +g1134 +tp1147 +a(g117 +g1049 +tp1148 +a(g827 +g1028 +tp1149 +a(g117 +g1049 +tp1150 +a(g827 +g1028 +tp1151 +a(g117 +g1144 +tp1152 +a(g827 +g1028 +tp1153 +a(g117 +V10 +p1154 +tp1155 +a(g827 +g1028 +tp1156 +a(g117 +V11 +p1157 +tp1158 +a(g706 +g1037 +tp1159 +a(g706 +g1037 +tp1160 +a(g827 +V\u000a\u000a +p1161 +tp1162 +a(g898 +V; return a list of all the playable squares (the 8 by 8 grid inside the 10by10 +p1163 +tp1164 +a(g827 +V\u000a\u000a +p1165 +tp1166 +a(g706 +g1024 +tp1167 +a(g745 +Vdefine +p1168 +tp1169 +a(g827 +g1028 +tp1170 +a(g706 +g1024 +tp1171 +a(g441 +Vall-squares +p1172 +tp1173 +a(g706 +g1037 +tp1174 +a(g827 +V\u000a +p1175 +tp1176 +a(g706 +g1024 +tp1177 +a(g745 +Vlocal +p1178 +tp1179 +a(g827 +g1028 +tp1180 +a(g706 +g1024 +tp1181 +a(g441 +Vresult +p1182 +tp1183 +a(g706 +g1037 +tp1184 +a(g827 +V\u000a +p1185 +tp1186 +a(g706 +g1024 +tp1187 +a(g745 +Vfor +p1188 +tp1189 +a(g827 +g1028 +tp1190 +a(g706 +g1024 +tp1191 +a(g441 +Vsquare +p1192 +tp1193 +a(g827 +g1028 +tp1194 +a(g117 +V11 +p1195 +tp1196 +a(g827 +g1028 +tp1197 +a(g117 +V88 +p1198 +tp1199 +a(g706 +g1037 +tp1200 +a(g827 +V\u000a +p1201 +tp1202 +a(g706 +g1024 +tp1203 +a(g745 +Vif +p1204 +tp1205 +a(g827 +g1028 +tp1206 +a(g706 +g1024 +tp1207 +a(g441 +V<= +p1208 +tp1209 +a(g827 +g1028 +tp1210 +a(g117 +g1049 +tp1211 +a(g827 +g1028 +tp1212 +a(g706 +g1024 +tp1213 +a(g745 +Vmod +p1214 +tp1215 +a(g827 +g1028 +tp1216 +a(g117 +Vsquare +p1217 +tp1218 +a(g827 +g1028 +tp1219 +a(g117 +V10 +p1220 +tp1221 +a(g706 +g1037 +tp1222 +a(g827 +g1028 +tp1223 +a(g117 +V8 +p1224 +tp1225 +a(g706 +g1037 +tp1226 +a(g827 +V\u000a +p1227 +tp1228 +a(g706 +g1024 +tp1229 +a(g745 +Vpush +p1230 +tp1231 +a(g827 +g1028 +tp1232 +a(g117 +Vsquare +p1233 +tp1234 +a(g827 +g1028 +tp1235 +a(g117 +Vresult +p1236 +tp1237 +a(g827 +g1028 +tp1238 +a(g745 +g1134 +tp1239 +a(g117 +g1049 +tp1240 +a(g706 +g1037 +tp1241 +a(g706 +g1037 +tp1242 +a(g706 +g1037 +tp1243 +a(g827 +V\u000a +p1244 +tp1245 +a(g117 +Vresult +p1246 +tp1247 +a(g706 +g1037 +tp1248 +a(g706 +g1037 +tp1249 +a(g827 +V\u000a\u000a +p1250 +tp1251 +a(g898 +V; make a board +p1252 +tp1253 +a(g827 +V\u000a\u000a +p1254 +tp1255 +a(g706 +g1024 +tp1256 +a(g745 +Vdefine +p1257 +tp1258 +a(g827 +g1028 +tp1259 +a(g706 +g1024 +tp1260 +a(g441 +Vmake-board +p1261 +tp1262 +a(g706 +g1037 +tp1263 +a(g827 +V\u000a +p1264 +tp1265 +a(g706 +g1024 +tp1266 +a(g745 +Vset +p1267 +tp1268 +a(g827 +g1028 +tp1269 +a(g413 +g1030 +tp1270 +a(g745 +g1088 +tp1271 +a(g117 +Vboard* +p1272 +tp1273 +a(g827 +g1028 +tp1274 +a(g706 +g1024 +tp1275 +a(g745 +Vdup +p1276 +tp1277 +a(g827 +g1028 +tp1278 +a(g117 +Vouter +p1279 +tp1280 +a(g827 +g1028 +tp1281 +a(g117 +V100 +p1282 +tp1283 +a(g706 +g1037 +tp1284 +a(g706 +g1037 +tp1285 +a(g827 +V\u000a +p1286 +tp1287 +a(g706 +g1024 +tp1288 +a(g745 +Vdolist +p1289 +tp1290 +a(g827 +g1028 +tp1291 +a(g706 +g1024 +tp1292 +a(g441 +Vs +p1293 +tp1294 +a(g827 +g1028 +tp1295 +a(g706 +g1024 +tp1296 +a(g441 +Vall-squares +p1297 +tp1298 +a(g706 +g1037 +tp1299 +a(g706 +g1037 +tp1300 +a(g827 +V\u000a +p1301 +tp1302 +a(g706 +g1024 +tp1303 +a(g745 +Vsetf +p1304 +tp1305 +a(g827 +g1028 +tp1306 +a(g706 +g1024 +tp1307 +a(g745 +g1088 +tp1308 +a(g117 +Vboard* +p1309 +tp1310 +a(g827 +g1028 +tp1311 +a(g117 +g1293 +tp1312 +a(g706 +g1037 +tp1313 +a(g827 +g1028 +tp1314 +a(g117 +Vempty +p1315 +tp1316 +a(g706 +g1037 +tp1317 +a(g706 +g1037 +tp1318 +a(g706 +g1037 +tp1319 +a(g827 +V\u000a\u000a +p1320 +tp1321 +a(g898 +V; for testing and working at a terminal +p1322 +tp1323 +a(g827 +V\u000a\u000a +p1324 +tp1325 +a(g706 +g1024 +tp1326 +a(g745 +Vdefine +p1327 +tp1328 +a(g827 +g1028 +tp1329 +a(g706 +g1024 +tp1330 +a(g745 +Vprint +p1331 +tp1332 +a(g745 +g1134 +tp1333 +a(g117 +Vboard +p1334 +tp1335 +a(g706 +g1037 +tp1336 +a(g827 +V\u000a +p1337 +tp1338 +a(g706 +g1024 +tp1339 +a(g745 +Vprint +p1340 +tp1341 +a(g827 +g1028 +tp1342 +a(g89 +V{ +p1343 +tp1344 +a(g89 +g1028 +tp1345 +a(g89 +V} +p1346 +tp1347 +a(g706 +g1037 +tp1348 +a(g827 +V\u000a +p1349 +tp1350 +a(g706 +g1024 +tp1351 +a(g745 +Vfor +p1352 +tp1353 +a(g827 +g1028 +tp1354 +a(g706 +g1024 +tp1355 +a(g441 +Vc +p1356 +tp1357 +a(g827 +g1028 +tp1358 +a(g117 +g1049 +tp1359 +a(g827 +g1028 +tp1360 +a(g117 +g1224 +tp1361 +a(g706 +g1037 +tp1362 +a(g827 +V\u000a +p1363 +tp1364 +a(g706 +g1024 +tp1365 +a(g745 +Vprint +p1366 +tp1367 +a(g827 +g1028 +tp1368 +a(g117 +g1356 +tp1369 +a(g706 +g1037 +tp1370 +a(g706 +g1037 +tp1371 +a(g827 +V\u000a +p1372 +tp1373 +a(g706 +g1024 +tp1374 +a(g745 +Vset +p1375 +tp1376 +a(g827 +g1028 +tp1377 +a(g413 +g1030 +tp1378 +a(g117 +g1356 +tp1379 +a(g827 +g1028 +tp1380 +a(g117 +g1035 +tp1381 +a(g706 +g1037 +tp1382 +a(g827 +V\u000a +p1383 +tp1384 +a(g706 +g1024 +tp1385 +a(g745 +Vfor +p1386 +tp1387 +a(g827 +g1028 +tp1388 +a(g706 +g1024 +tp1389 +a(g441 +Vi +p1390 +tp1391 +a(g827 +g1028 +tp1392 +a(g117 +g1035 +tp1393 +a(g827 +g1028 +tp1394 +a(g117 +V99 +p1395 +tp1396 +a(g706 +g1037 +tp1397 +a(g827 +V\u000a +p1398 +tp1399 +a(g706 +g1024 +tp1400 +a(g745 +Vcond +p1401 +tp1402 +a(g827 +V\u000a +p1403 +tp1404 +a(g706 +g1024 +tp1405 +a(g706 +g1024 +tp1406 +a(g441 +V= +p1407 +tp1408 +a(g827 +g1028 +tp1409 +a(g706 +g1024 +tp1410 +a(g745 +g1088 +tp1411 +a(g117 +Vboard* +p1412 +tp1413 +a(g827 +g1028 +tp1414 +a(g117 +g1390 +tp1415 +a(g706 +g1037 +tp1416 +a(g827 +g1028 +tp1417 +a(g117 +g1035 +tp1418 +a(g706 +g1037 +tp1419 +a(g827 +g1028 +tp1420 +a(g706 +g1024 +tp1421 +a(g745 +Vprint +p1422 +tp1423 +a(g827 +g1028 +tp1424 +a(g89 +g1343 +tp1425 +a(g89 +V. +p1426 +tp1427 +a(g89 +g1346 +tp1428 +a(g706 +g1037 +tp1429 +a(g706 +g1037 +tp1430 +a(g827 +V\u000a +p1431 +tp1432 +a(g706 +g1024 +tp1433 +a(g706 +g1024 +tp1434 +a(g441 +g1407 +tp1435 +a(g827 +g1028 +tp1436 +a(g706 +g1024 +tp1437 +a(g745 +g1088 +tp1438 +a(g117 +Vboard* +p1439 +tp1440 +a(g827 +g1028 +tp1441 +a(g117 +g1390 +tp1442 +a(g706 +g1037 +tp1443 +a(g827 +g1028 +tp1444 +a(g117 +g1049 +tp1445 +a(g706 +g1037 +tp1446 +a(g827 +g1028 +tp1447 +a(g706 +g1024 +tp1448 +a(g745 +Vprint +p1449 +tp1450 +a(g827 +g1028 +tp1451 +a(g89 +g1343 +tp1452 +a(g89 +Vb +p1453 +tp1454 +a(g89 +g1346 +tp1455 +a(g706 +g1037 +tp1456 +a(g706 +g1037 +tp1457 +a(g827 +V\u000a +p1458 +tp1459 +a(g706 +g1024 +tp1460 +a(g706 +g1024 +tp1461 +a(g441 +g1407 +tp1462 +a(g827 +g1028 +tp1463 +a(g706 +g1024 +tp1464 +a(g745 +g1088 +tp1465 +a(g117 +Vboard* +p1466 +tp1467 +a(g827 +g1028 +tp1468 +a(g117 +g1390 +tp1469 +a(g706 +g1037 +tp1470 +a(g827 +g1028 +tp1471 +a(g117 +g1062 +tp1472 +a(g706 +g1037 +tp1473 +a(g827 +g1028 +tp1474 +a(g706 +g1024 +tp1475 +a(g745 +Vprint +p1476 +tp1477 +a(g827 +g1028 +tp1478 +a(g89 +g1343 +tp1479 +a(g89 +Vw +p1480 +tp1481 +a(g89 +g1346 +tp1482 +a(g706 +g1037 +tp1483 +a(g706 +g1037 +tp1484 +a(g706 +g1037 +tp1485 +a(g827 +V\u000a +p1486 +tp1487 +a(g706 +g1024 +tp1488 +a(g745 +Vif +p1489 +tp1490 +a(g827 +g1028 +tp1491 +a(g706 +g1024 +tp1492 +a(g745 +Vand +p1493 +tp1494 +a(g827 +g1028 +tp1495 +a(g706 +g1024 +tp1496 +a(g441 +V<= +p1497 +tp1498 +a(g827 +g1028 +tp1499 +a(g117 +g1390 +tp1500 +a(g827 +g1028 +tp1501 +a(g117 +V88 +p1502 +tp1503 +a(g706 +g1037 +tp1504 +a(g827 +g1028 +tp1505 +a(g706 +g1024 +tp1506 +a(g441 +g1407 +tp1507 +a(g827 +g1028 +tp1508 +a(g706 +g1024 +tp1509 +a(g745 +Vmod +p1510 +tp1511 +a(g827 +g1028 +tp1512 +a(g706 +g1024 +tp1513 +a(g441 +V+ +p1514 +tp1515 +a(g827 +g1028 +tp1516 +a(g117 +g1390 +tp1517 +a(g827 +g1028 +tp1518 +a(g117 +g1049 +tp1519 +a(g706 +g1037 +tp1520 +a(g827 +g1028 +tp1521 +a(g117 +V10 +p1522 +tp1523 +a(g706 +g1037 +tp1524 +a(g827 +g1028 +tp1525 +a(g117 +g1035 +tp1526 +a(g706 +g1037 +tp1527 +a(g706 +g1037 +tp1528 +a(g827 +g1028 +tp1529 +a(g898 +V; newline +p1530 +tp1531 +a(g827 +V\u000a +p1532 +tp1533 +a(g706 +g1024 +tp1534 +a(g745 +Vprint +p1535 +tp1536 +a(g827 +g1028 +tp1537 +a(g89 +V"\u005cn" +p1538 +tp1539 +a(g827 +g1028 +tp1540 +a(g706 +g1024 +tp1541 +a(g745 +Vinc +p1542 +tp1543 +a(g827 +g1028 +tp1544 +a(g117 +g1356 +tp1545 +a(g706 +g1037 +tp1546 +a(g706 +g1037 +tp1547 +a(g706 +g1037 +tp1548 +a(g706 +g1037 +tp1549 +a(g827 +V\u000a +p1550 +tp1551 +a(g706 +g1024 +tp1552 +a(g745 +Vprintln +p1553 +tp1554 +a(g827 +g1028 +tp1555 +a(g89 +V"\u005cn" +p1556 +tp1557 +a(g706 +g1037 +tp1558 +a(g706 +g1037 +tp1559 +a(g827 +V\u000a\u000a +p1560 +tp1561 +a(g898 +V; the initial starting pattern +p1562 +tp1563 +a(g827 +V\u000a\u000a +p1564 +tp1565 +a(g706 +g1024 +tp1566 +a(g745 +Vdefine +p1567 +tp1568 +a(g827 +g1028 +tp1569 +a(g706 +g1024 +tp1570 +a(g441 +Vinitial-board +p1571 +tp1572 +a(g706 +g1037 +tp1573 +a(g827 +V\u000a +p1574 +tp1575 +a(g706 +g1024 +tp1576 +a(g441 +Vmake-board +p1577 +tp1578 +a(g706 +g1037 +tp1579 +a(g827 +V\u000a +p1580 +tp1581 +a(g706 +g1024 +tp1582 +a(g745 +Vsetf +p1583 +tp1584 +a(g827 +g1028 +tp1585 +a(g706 +g1024 +tp1586 +a(g745 +g1088 +tp1587 +a(g117 +Vboard* +p1588 +tp1589 +a(g827 +g1028 +tp1590 +a(g117 +V44 +p1591 +tp1592 +a(g706 +g1037 +tp1593 +a(g827 +g1028 +tp1594 +a(g117 +Vwhite +p1595 +tp1596 +a(g706 +g1037 +tp1597 +a(g827 +V\u000a +p1598 +tp1599 +a(g706 +g1024 +tp1600 +a(g745 +Vsetf +p1601 +tp1602 +a(g827 +g1028 +tp1603 +a(g706 +g1024 +tp1604 +a(g745 +g1088 +tp1605 +a(g117 +Vboard* +p1606 +tp1607 +a(g827 +g1028 +tp1608 +a(g117 +V55 +p1609 +tp1610 +a(g706 +g1037 +tp1611 +a(g827 +g1028 +tp1612 +a(g117 +Vwhite +p1613 +tp1614 +a(g706 +g1037 +tp1615 +a(g827 +V\u000a +p1616 +tp1617 +a(g706 +g1024 +tp1618 +a(g745 +Vsetf +p1619 +tp1620 +a(g827 +g1028 +tp1621 +a(g706 +g1024 +tp1622 +a(g745 +g1088 +tp1623 +a(g117 +Vboard* +p1624 +tp1625 +a(g827 +g1028 +tp1626 +a(g117 +V45 +p1627 +tp1628 +a(g706 +g1037 +tp1629 +a(g827 +g1028 +tp1630 +a(g117 +Vblack +p1631 +tp1632 +a(g706 +g1037 +tp1633 +a(g827 +V\u000a +p1634 +tp1635 +a(g706 +g1024 +tp1636 +a(g745 +Vsetf +p1637 +tp1638 +a(g827 +g1028 +tp1639 +a(g706 +g1024 +tp1640 +a(g745 +g1088 +tp1641 +a(g117 +Vboard* +p1642 +tp1643 +a(g827 +g1028 +tp1644 +a(g117 +V54 +p1645 +tp1646 +a(g706 +g1037 +tp1647 +a(g827 +g1028 +tp1648 +a(g117 +Vblack +p1649 +tp1650 +a(g706 +g1037 +tp1651 +a(g706 +g1037 +tp1652 +a(g827 +V\u000a\u000a +p1653 +tp1654 +a(g706 +g1024 +tp1655 +a(g745 +Vdefine +p1656 +tp1657 +a(g827 +g1028 +tp1658 +a(g706 +g1024 +tp1659 +a(g441 +Vopponent +p1660 +tp1661 +a(g827 +g1028 +tp1662 +a(g117 +Vplayer +p1663 +tp1664 +a(g706 +g1037 +tp1665 +a(g827 +V\u000a +p1666 +tp1667 +a(g706 +g1024 +tp1668 +a(g745 +Vif +p1669 +tp1670 +a(g827 +g1028 +tp1671 +a(g706 +g1024 +tp1672 +a(g441 +g1407 +tp1673 +a(g827 +g1028 +tp1674 +a(g117 +Vplayer +p1675 +tp1676 +a(g827 +g1028 +tp1677 +a(g117 +Vblack +p1678 +tp1679 +a(g706 +g1037 +tp1680 +a(g827 +g1028 +tp1681 +a(g117 +Vwhite +p1682 +tp1683 +a(g827 +g1028 +tp1684 +a(g117 +Vblack +p1685 +tp1686 +a(g706 +g1037 +tp1687 +a(g706 +g1037 +tp1688 +a(g827 +V\u000a\u000a +p1689 +tp1690 +a(g706 +g1024 +tp1691 +a(g745 +Vdefine +p1692 +tp1693 +a(g827 +g1028 +tp1694 +a(g706 +g1024 +tp1695 +a(g441 +Vplayer-name +p1696 +tp1697 +a(g827 +g1028 +tp1698 +a(g117 +Vplayer +p1699 +tp1700 +a(g706 +g1037 +tp1701 +a(g827 +V\u000a +p1702 +tp1703 +a(g706 +g1024 +tp1704 +a(g745 +Vif +p1705 +tp1706 +a(g827 +g1028 +tp1707 +a(g706 +g1024 +tp1708 +a(g441 +g1407 +tp1709 +a(g827 +g1028 +tp1710 +a(g117 +Vplayer +p1711 +tp1712 +a(g827 +g1028 +tp1713 +a(g117 +Vwhite +p1714 +tp1715 +a(g706 +g1037 +tp1716 +a(g827 +g1028 +tp1717 +a(g89 +V"white" +p1718 +tp1719 +a(g827 +g1028 +tp1720 +a(g89 +V"black" +p1721 +tp1722 +a(g706 +g1037 +tp1723 +a(g706 +g1037 +tp1724 +a(g827 +V\u000a \u000a +p1725 +tp1726 +a(g706 +g1024 +tp1727 +a(g745 +Vdefine +p1728 +tp1729 +a(g827 +g1028 +tp1730 +a(g706 +g1024 +tp1731 +a(g441 +Vvalid-move? +p1732 +tp1733 +a(g827 +g1028 +tp1734 +a(g117 +Vmove +p1735 +tp1736 +a(g706 +g1037 +tp1737 +a(g827 +V\u000a +p1738 +tp1739 +a(g706 +g1024 +tp1740 +a(g745 +Vand +p1741 +tp1742 +a(g827 +V \u000a +p1743 +tp1744 +a(g706 +g1024 +tp1745 +a(g745 +Vinteger +p1746 +tp1747 +a(g117 +V? +p1748 +tp1749 +a(g827 +g1028 +tp1750 +a(g117 +Vmove +p1751 +tp1752 +a(g706 +g1037 +tp1753 +a(g827 +V\u000a +p1754 +tp1755 +a(g706 +g1024 +tp1756 +a(g441 +V<= +p1757 +tp1758 +a(g827 +g1028 +tp1759 +a(g117 +V11 +p1760 +tp1761 +a(g827 +g1028 +tp1762 +a(g117 +Vmove +p1763 +tp1764 +a(g827 +g1028 +tp1765 +a(g117 +V88 +p1766 +tp1767 +a(g706 +g1037 +tp1768 +a(g827 +V\u000a +p1769 +tp1770 +a(g706 +g1024 +tp1771 +a(g441 +V<= +p1772 +tp1773 +a(g827 +g1028 +tp1774 +a(g117 +g1049 +tp1775 +a(g827 +g1028 +tp1776 +a(g706 +g1024 +tp1777 +a(g745 +Vmod +p1778 +tp1779 +a(g827 +g1028 +tp1780 +a(g117 +Vmove +p1781 +tp1782 +a(g827 +g1028 +tp1783 +a(g117 +V10 +p1784 +tp1785 +a(g706 +g1037 +tp1786 +a(g827 +g1028 +tp1787 +a(g117 +g1224 +tp1788 +a(g706 +g1037 +tp1789 +a(g706 +g1037 +tp1790 +a(g706 +g1037 +tp1791 +a(g827 +V\u000a\u000a +p1792 +tp1793 +a(g706 +g1024 +tp1794 +a(g745 +Vdefine +p1795 +tp1796 +a(g827 +g1028 +tp1797 +a(g706 +g1024 +tp1798 +a(g441 +Vempty-square? +p1799 +tp1800 +a(g827 +g1028 +tp1801 +a(g117 +Vsquare +p1802 +tp1803 +a(g706 +g1037 +tp1804 +a(g827 +V\u000a +p1805 +tp1806 +a(g706 +g1024 +tp1807 +a(g745 +Vand +p1808 +tp1809 +a(g827 +V\u000a +p1810 +tp1811 +a(g706 +g1024 +tp1812 +a(g441 +Vvalid-move? +p1813 +tp1814 +a(g827 +g1028 +tp1815 +a(g117 +Vsquare +p1816 +tp1817 +a(g706 +g1037 +tp1818 +a(g827 +V\u000a +p1819 +tp1820 +a(g706 +g1024 +tp1821 +a(g441 +g1407 +tp1822 +a(g827 +g1028 +tp1823 +a(g706 +g1024 +tp1824 +a(g745 +g1088 +tp1825 +a(g117 +Vboard* +p1826 +tp1827 +a(g827 +g1028 +tp1828 +a(g117 +Vsquare +p1829 +tp1830 +a(g706 +g1037 +tp1831 +a(g827 +g1028 +tp1832 +a(g117 +Vempty +p1833 +tp1834 +a(g706 +g1037 +tp1835 +a(g706 +g1037 +tp1836 +a(g706 +g1037 +tp1837 +a(g827 +V\u000a \u000a +p1838 +tp1839 +a(g898 +V; test whether a move is legal. The square must be empty +p1840 +tp1841 +a(g827 +V\u000a +p1842 +tp1843 +a(g898 +V; and it must flip at least one of the opponent's piece +p1844 +tp1845 +a(g827 +V\u000a\u000a +p1846 +tp1847 +a(g706 +g1024 +tp1848 +a(g745 +Vdefine +p1849 +tp1850 +a(g827 +g1028 +tp1851 +a(g706 +g1024 +tp1852 +a(g441 +Vlegal-move? +p1853 +tp1854 +a(g827 +g1028 +tp1855 +a(g117 +Vmove +p1856 +tp1857 +a(g827 +g1028 +tp1858 +a(g117 +Vplayer +p1859 +tp1860 +a(g706 +g1037 +tp1861 +a(g827 +V\u000a +p1862 +tp1863 +a(g706 +g1024 +tp1864 +a(g745 +Vand +p1865 +tp1866 +a(g827 +V \u000a +p1867 +tp1868 +a(g706 +g1024 +tp1869 +a(g441 +Vempty-square? +p1870 +tp1871 +a(g827 +g1028 +tp1872 +a(g117 +Vmove +p1873 +tp1874 +a(g706 +g1037 +tp1875 +a(g827 +V\u000a +p1876 +tp1877 +a(g706 +g1024 +tp1878 +a(g745 +Vexists +p1879 +tp1880 +a(g827 +g1028 +tp1881 +a(g706 +g1024 +tp1882 +a(g745 +Vfn +p1883 +tp1884 +a(g827 +g1028 +tp1885 +a(g706 +g1024 +tp1886 +a(g441 +Vdir +p1887 +tp1888 +a(g706 +g1037 +tp1889 +a(g827 +g1028 +tp1890 +a(g706 +g1024 +tp1891 +a(g441 +Vwould-flip? +p1892 +tp1893 +a(g827 +g1028 +tp1894 +a(g117 +Vmove +p1895 +tp1896 +a(g827 +g1028 +tp1897 +a(g117 +Vplayer +p1898 +tp1899 +a(g827 +g1028 +tp1900 +a(g117 +Vdir +p1901 +tp1902 +a(g706 +g1037 +tp1903 +a(g706 +g1037 +tp1904 +a(g827 +g1028 +tp1905 +a(g117 +Vall-directions +p1906 +tp1907 +a(g706 +g1037 +tp1908 +a(g706 +g1037 +tp1909 +a(g706 +g1037 +tp1910 +a(g827 +V\u000a\u000a +p1911 +tp1912 +a(g898 +V; would this move by player result in any flips in the given direction? +p1913 +tp1914 +a(g827 +V\u000a +p1915 +tp1916 +a(g898 +V; if so, return the number of the 'opposite' (bracketing) piece's square +p1917 +tp1918 +a(g827 +V\u000a\u000a +p1919 +tp1920 +a(g706 +g1024 +tp1921 +a(g745 +Vdefine +p1922 +tp1923 +a(g827 +g1028 +tp1924 +a(g706 +g1024 +tp1925 +a(g441 +Vwould-flip? +p1926 +tp1927 +a(g827 +g1028 +tp1928 +a(g117 +Vmove +p1929 +tp1930 +a(g827 +g1028 +tp1931 +a(g117 +Vplayer +p1932 +tp1933 +a(g827 +g1028 +tp1934 +a(g117 +Vdir +p1935 +tp1936 +a(g706 +g1037 +tp1937 +a(g827 +V \u000a +p1938 +tp1939 +a(g706 +g1024 +tp1940 +a(g745 +Vlet +p1941 +tp1942 +a(g827 +V \u000a +p1943 +tp1944 +a(g706 +g1024 +tp1945 +a(g706 +g1024 +tp1946 +a(g441 +g1356 +tp1947 +a(g827 +g1028 +tp1948 +a(g706 +g1024 +tp1949 +a(g441 +g1514 +tp1950 +a(g827 +g1028 +tp1951 +a(g117 +Vmove +p1952 +tp1953 +a(g827 +g1028 +tp1954 +a(g117 +Vdir +p1955 +tp1956 +a(g706 +g1037 +tp1957 +a(g706 +g1037 +tp1958 +a(g706 +g1037 +tp1959 +a(g827 +V\u000a +p1960 +tp1961 +a(g706 +g1024 +tp1962 +a(g745 +Vand +p1963 +tp1964 +a(g827 +V \u000a +p1965 +tp1966 +a(g706 +g1024 +tp1967 +a(g441 +g1407 +tp1968 +a(g827 +g1028 +tp1969 +a(g706 +g1024 +tp1970 +a(g745 +g1088 +tp1971 +a(g117 +Vboard* +p1972 +tp1973 +a(g827 +g1028 +tp1974 +a(g117 +g1356 +tp1975 +a(g706 +g1037 +tp1976 +a(g827 +g1028 +tp1977 +a(g706 +g1024 +tp1978 +a(g441 +Vopponent +p1979 +tp1980 +a(g827 +g1028 +tp1981 +a(g117 +Vplayer +p1982 +tp1983 +a(g706 +g1037 +tp1984 +a(g706 +g1037 +tp1985 +a(g827 +V\u000a +p1986 +tp1987 +a(g706 +g1024 +tp1988 +a(g745 +Vfind +p1989 +tp1990 +a(g745 +g1134 +tp1991 +a(g117 +Vbracketing-piece +p1992 +tp1993 +a(g827 +g1028 +tp1994 +a(g706 +g1024 +tp1995 +a(g441 +g1514 +tp1996 +a(g827 +g1028 +tp1997 +a(g117 +g1356 +tp1998 +a(g827 +g1028 +tp1999 +a(g117 +Vdir +p2000 +tp2001 +a(g706 +g1037 +tp2002 +a(g827 +g1028 +tp2003 +a(g117 +Vplayer +p2004 +tp2005 +a(g827 +g1028 +tp2006 +a(g117 +Vdir +p2007 +tp2008 +a(g706 +g1037 +tp2009 +a(g706 +g1037 +tp2010 +a(g706 +g1037 +tp2011 +a(g706 +g1037 +tp2012 +a(g827 +V\u000a \u000a +p2013 +tp2014 +a(g706 +g1024 +tp2015 +a(g745 +Vdefine +p2016 +tp2017 +a(g827 +g1028 +tp2018 +a(g706 +g1024 +tp2019 +a(g745 +Vfind +p2020 +tp2021 +a(g745 +g1134 +tp2022 +a(g117 +Vbracketing-piece +p2023 +tp2024 +a(g827 +g1028 +tp2025 +a(g117 +Vsquare +p2026 +tp2027 +a(g827 +g1028 +tp2028 +a(g117 +Vplayer +p2029 +tp2030 +a(g827 +g1028 +tp2031 +a(g117 +Vdir +p2032 +tp2033 +a(g706 +g1037 +tp2034 +a(g827 +V\u000a +p2035 +tp2036 +a(g898 +V; return the square of the bracketing piece, if any +p2037 +tp2038 +a(g827 +V\u000a +p2039 +tp2040 +a(g706 +g1024 +tp2041 +a(g745 +Vcond +p2042 +tp2043 +a(g827 +V\u000a +p2044 +tp2045 +a(g706 +g1024 +tp2046 +a(g706 +g1024 +tp2047 +a(g441 +g1407 +tp2048 +a(g827 +g1028 +tp2049 +a(g706 +g1024 +tp2050 +a(g745 +g1088 +tp2051 +a(g117 +Vboard* +p2052 +tp2053 +a(g827 +g1028 +tp2054 +a(g117 +Vsquare +p2055 +tp2056 +a(g706 +g1037 +tp2057 +a(g827 +g1028 +tp2058 +a(g117 +Vplayer +p2059 +tp2060 +a(g706 +g1037 +tp2061 +a(g827 +g1028 +tp2062 +a(g117 +Vsquare +p2063 +tp2064 +a(g706 +g1037 +tp2065 +a(g827 +V\u000a +p2066 +tp2067 +a(g706 +g1024 +tp2068 +a(g706 +g1024 +tp2069 +a(g441 +g1407 +tp2070 +a(g827 +g1028 +tp2071 +a(g706 +g1024 +tp2072 +a(g745 +g1088 +tp2073 +a(g117 +Vboard* +p2074 +tp2075 +a(g827 +g1028 +tp2076 +a(g117 +Vsquare +p2077 +tp2078 +a(g706 +g1037 +tp2079 +a(g827 +g1028 +tp2080 +a(g706 +g1024 +tp2081 +a(g441 +Vopponent +p2082 +tp2083 +a(g827 +g1028 +tp2084 +a(g117 +Vplayer +p2085 +tp2086 +a(g706 +g1037 +tp2087 +a(g706 +g1037 +tp2088 +a(g827 +V\u000a +p2089 +tp2090 +a(g706 +g1024 +tp2091 +a(g745 +Vfind +p2092 +tp2093 +a(g745 +g1134 +tp2094 +a(g117 +Vbracketing-piece +p2095 +tp2096 +a(g827 +g1028 +tp2097 +a(g706 +g1024 +tp2098 +a(g441 +g1514 +tp2099 +a(g827 +g1028 +tp2100 +a(g117 +Vsquare +p2101 +tp2102 +a(g827 +g1028 +tp2103 +a(g117 +Vdir +p2104 +tp2105 +a(g706 +g1037 +tp2106 +a(g827 +g1028 +tp2107 +a(g117 +Vplayer +p2108 +tp2109 +a(g827 +g1028 +tp2110 +a(g117 +Vdir +p2111 +tp2112 +a(g706 +g1037 +tp2113 +a(g706 +g1037 +tp2114 +a(g827 +V\u000a +p2115 +tp2116 +a(g706 +g1024 +tp2117 +a(g745 +Vtrue +p2118 +tp2119 +a(g827 +g1028 +tp2120 +a(g745 +Vnil +p2121 +tp2122 +a(g706 +g1037 +tp2123 +a(g706 +g1037 +tp2124 +a(g706 +g1037 +tp2125 +a(g827 +V\u000a\u000a +p2126 +tp2127 +a(g706 +g1024 +tp2128 +a(g745 +Vdefine +p2129 +tp2130 +a(g827 +g1028 +tp2131 +a(g706 +g1024 +tp2132 +a(g441 +Vmake-flips +p2133 +tp2134 +a(g827 +g1028 +tp2135 +a(g117 +Vmove +p2136 +tp2137 +a(g827 +g1028 +tp2138 +a(g117 +Vplayer +p2139 +tp2140 +a(g827 +g1028 +tp2141 +a(g117 +Vdir +p2142 +tp2143 +a(g706 +g1037 +tp2144 +a(g827 +V\u000a +p2145 +tp2146 +a(g706 +g1024 +tp2147 +a(g745 +Vlet +p2148 +tp2149 +a(g827 +V \u000a +p2150 +tp2151 +a(g706 +g1024 +tp2152 +a(g706 +g1024 +tp2153 +a(g441 +Vbracketer +p2154 +tp2155 +a(g827 +g1028 +tp2156 +a(g706 +g1024 +tp2157 +a(g441 +Vwould-flip? +p2158 +tp2159 +a(g827 +g1028 +tp2160 +a(g117 +Vmove +p2161 +tp2162 +a(g827 +g1028 +tp2163 +a(g117 +Vplayer +p2164 +tp2165 +a(g827 +g1028 +tp2166 +a(g117 +Vdir +p2167 +tp2168 +a(g706 +g1037 +tp2169 +a(g706 +g1037 +tp2170 +a(g827 +V\u000a +p2171 +tp2172 +a(g706 +g1024 +tp2173 +a(g441 +g1356 +tp2174 +a(g827 +g1028 +tp2175 +a(g706 +g1024 +tp2176 +a(g441 +g1514 +tp2177 +a(g827 +g1028 +tp2178 +a(g117 +Vmove +p2179 +tp2180 +a(g827 +g1028 +tp2181 +a(g117 +Vdir +p2182 +tp2183 +a(g706 +g1037 +tp2184 +a(g706 +g1037 +tp2185 +a(g706 +g1037 +tp2186 +a(g827 +V\u000a +p2187 +tp2188 +a(g706 +g1024 +tp2189 +a(g745 +Vif +p2190 +tp2191 +a(g827 +g1028 +tp2192 +a(g117 +Vbracketer +p2193 +tp2194 +a(g827 +V\u000a +p2195 +tp2196 +a(g706 +g1024 +tp2197 +a(g745 +Vdo-until +p2198 +tp2199 +a(g827 +g1028 +tp2200 +a(g706 +g1024 +tp2201 +a(g441 +g1407 +tp2202 +a(g827 +g1028 +tp2203 +a(g117 +g1356 +tp2204 +a(g827 +g1028 +tp2205 +a(g117 +Vbracketer +p2206 +tp2207 +a(g706 +g1037 +tp2208 +a(g827 +V\u000a +p2209 +tp2210 +a(g706 +g1024 +tp2211 +a(g745 +Vsetf +p2212 +tp2213 +a(g827 +g1028 +tp2214 +a(g706 +g1024 +tp2215 +a(g745 +g1088 +tp2216 +a(g117 +Vboard* +p2217 +tp2218 +a(g827 +g1028 +tp2219 +a(g117 +g1356 +tp2220 +a(g706 +g1037 +tp2221 +a(g827 +g1028 +tp2222 +a(g117 +Vplayer +p2223 +tp2224 +a(g706 +g1037 +tp2225 +a(g827 +V\u000a +p2226 +tp2227 +a(g706 +g1024 +tp2228 +a(g745 +Vpush +p2229 +tp2230 +a(g827 +g1028 +tp2231 +a(g117 +g1356 +tp2232 +a(g827 +g1028 +tp2233 +a(g745 +g1088 +tp2234 +a(g117 +Vflips* +p2235 +tp2236 +a(g827 +g1028 +tp2237 +a(g745 +g1134 +tp2238 +a(g117 +g1049 +tp2239 +a(g706 +g1037 +tp2240 +a(g827 +V\u000a +p2241 +tp2242 +a(g706 +g1024 +tp2243 +a(g745 +Vinc +p2244 +tp2245 +a(g827 +g1028 +tp2246 +a(g117 +g1356 +tp2247 +a(g827 +g1028 +tp2248 +a(g117 +Vdir +p2249 +tp2250 +a(g706 +g1037 +tp2251 +a(g706 +g1037 +tp2252 +a(g706 +g1037 +tp2253 +a(g706 +g1037 +tp2254 +a(g706 +g1037 +tp2255 +a(g827 +V\u000a\u000a +p2256 +tp2257 +a(g898 +V; make the move on the master game board, not yet visually +p2258 +tp2259 +a(g827 +V\u000a\u000a +p2260 +tp2261 +a(g706 +g1024 +tp2262 +a(g745 +Vdefine +p2263 +tp2264 +a(g827 +g1028 +tp2265 +a(g706 +g1024 +tp2266 +a(g441 +Vmake-move +p2267 +tp2268 +a(g827 +g1028 +tp2269 +a(g117 +Vmove +p2270 +tp2271 +a(g827 +g1028 +tp2272 +a(g117 +Vplayer +p2273 +tp2274 +a(g706 +g1037 +tp2275 +a(g827 +V\u000a +p2276 +tp2277 +a(g706 +g1024 +tp2278 +a(g745 +Vsetf +p2279 +tp2280 +a(g827 +g1028 +tp2281 +a(g706 +g1024 +tp2282 +a(g745 +g1088 +tp2283 +a(g117 +Vboard* +p2284 +tp2285 +a(g827 +g1028 +tp2286 +a(g117 +Vmove +p2287 +tp2288 +a(g706 +g1037 +tp2289 +a(g827 +g1028 +tp2290 +a(g117 +Vplayer +p2291 +tp2292 +a(g706 +g1037 +tp2293 +a(g827 +V\u000a +p2294 +tp2295 +a(g706 +g1024 +tp2296 +a(g745 +Vpush +p2297 +tp2298 +a(g827 +g1028 +tp2299 +a(g117 +Vmove +p2300 +tp2301 +a(g827 +g1028 +tp2302 +a(g745 +g1088 +tp2303 +a(g117 +Vmoves* +p2304 +tp2305 +a(g827 +g1028 +tp2306 +a(g745 +g1134 +tp2307 +a(g117 +g1049 +tp2308 +a(g706 +g1037 +tp2309 +a(g827 +V\u000a +p2310 +tp2311 +a(g706 +g1024 +tp2312 +a(g745 +Vset +p2313 +tp2314 +a(g827 +g1028 +tp2315 +a(g413 +g1030 +tp2316 +a(g745 +g1088 +tp2317 +a(g117 +Vflips* +p2318 +tp2319 +a(g827 +g1028 +tp2320 +a(g413 +g1030 +tp2321 +a(g706 +g1024 +tp2322 +a(g706 +g1037 +tp2323 +a(g706 +g1037 +tp2324 +a(g827 +g1028 +tp2325 +a(g898 +V; we're going to keep a record of the flips made +p2326 +tp2327 +a(g827 +V\u000a +p2328 +tp2329 +a(g706 +g1024 +tp2330 +a(g745 +Vdolist +p2331 +tp2332 +a(g827 +g1028 +tp2333 +a(g706 +g1024 +tp2334 +a(g441 +Vdir +p2335 +tp2336 +a(g827 +g1028 +tp2337 +a(g117 +Vall-directions +p2338 +tp2339 +a(g706 +g1037 +tp2340 +a(g827 +V\u000a +p2341 +tp2342 +a(g706 +g1024 +tp2343 +a(g441 +Vmake-flips +p2344 +tp2345 +a(g827 +g1028 +tp2346 +a(g117 +Vmove +p2347 +tp2348 +a(g827 +g1028 +tp2349 +a(g117 +Vplayer +p2350 +tp2351 +a(g827 +g1028 +tp2352 +a(g117 +Vdir +p2353 +tp2354 +a(g706 +g1037 +tp2355 +a(g706 +g1037 +tp2356 +a(g706 +g1037 +tp2357 +a(g827 +V\u000a\u000a +p2358 +tp2359 +a(g706 +g1024 +tp2360 +a(g745 +Vdefine +p2361 +tp2362 +a(g827 +g1028 +tp2363 +a(g706 +g1024 +tp2364 +a(g441 +Vnext-to-play +p2365 +tp2366 +a(g827 +g1028 +tp2367 +a(g117 +Vprevious-player +p2368 +tp2369 +a(g706 +g1037 +tp2370 +a(g827 +V\u000a +p2371 +tp2372 +a(g706 +g1024 +tp2373 +a(g745 +Vlet +p2374 +tp2375 +a(g827 +g1028 +tp2376 +a(g706 +g1024 +tp2377 +a(g706 +g1024 +tp2378 +a(g441 +Vopp +p2379 +tp2380 +a(g827 +g1028 +tp2381 +a(g706 +g1024 +tp2382 +a(g441 +Vopponent +p2383 +tp2384 +a(g827 +g1028 +tp2385 +a(g117 +Vprevious-player +p2386 +tp2387 +a(g706 +g1037 +tp2388 +a(g706 +g1037 +tp2389 +a(g706 +g1037 +tp2390 +a(g827 +V\u000a +p2391 +tp2392 +a(g706 +g1024 +tp2393 +a(g745 +Vcond +p2394 +tp2395 +a(g827 +V\u000a +p2396 +tp2397 +a(g706 +g1024 +tp2398 +a(g706 +g1024 +tp2399 +a(g441 +Vany-legal-move? +p2400 +tp2401 +a(g827 +g1028 +tp2402 +a(g117 +Vopp +p2403 +tp2404 +a(g706 +g1037 +tp2405 +a(g827 +g1028 +tp2406 +a(g117 +Vopp +p2407 +tp2408 +a(g706 +g1037 +tp2409 +a(g827 +V\u000a +p2410 +tp2411 +a(g706 +g1024 +tp2412 +a(g706 +g1024 +tp2413 +a(g441 +Vany-legal-move? +p2414 +tp2415 +a(g827 +g1028 +tp2416 +a(g117 +Vprevious-player +p2417 +tp2418 +a(g706 +g1037 +tp2419 +a(g827 +V\u000a +p2420 +tp2421 +a(g706 +g1024 +tp2422 +a(g745 +Vprintln +p2423 +tp2424 +a(g827 +g1028 +tp2425 +a(g706 +g1024 +tp2426 +a(g441 +Vplayer-name +p2427 +tp2428 +a(g827 +g1028 +tp2429 +a(g117 +Vopp +p2430 +tp2431 +a(g706 +g1037 +tp2432 +a(g827 +g1028 +tp2433 +a(g89 +V" has no moves" +p2434 +tp2435 +a(g706 +g1037 +tp2436 +a(g827 +V\u000a +p2437 +tp2438 +a(g117 +Vprevious-player +p2439 +tp2440 +a(g706 +g1037 +tp2441 +a(g827 +V\u000a +p2442 +tp2443 +a(g706 +g1024 +tp2444 +a(g745 +Vtrue +p2445 +tp2446 +a(g827 +g1028 +tp2447 +a(g745 +Vnil +p2448 +tp2449 +a(g706 +g1037 +tp2450 +a(g706 +g1037 +tp2451 +a(g706 +g1037 +tp2452 +a(g706 +g1037 +tp2453 +a(g827 +V\u000a \u000a +p2454 +tp2455 +a(g898 +V; are there any legal moves (returns first) for this player? +p2456 +tp2457 +a(g827 +V\u000a +p2458 +tp2459 +a(g706 +g1024 +tp2460 +a(g745 +Vdefine +p2461 +tp2462 +a(g827 +g1028 +tp2463 +a(g706 +g1024 +tp2464 +a(g441 +Vany-legal-move? +p2465 +tp2466 +a(g827 +g1028 +tp2467 +a(g117 +Vplayer +p2468 +tp2469 +a(g706 +g1037 +tp2470 +a(g827 +V\u000a +p2471 +tp2472 +a(g706 +g1024 +tp2473 +a(g745 +Vexists +p2474 +tp2475 +a(g827 +g1028 +tp2476 +a(g706 +g1024 +tp2477 +a(g745 +Vfn +p2478 +tp2479 +a(g827 +g1028 +tp2480 +a(g706 +g1024 +tp2481 +a(g441 +Vmove +p2482 +tp2483 +a(g706 +g1037 +tp2484 +a(g827 +g1028 +tp2485 +a(g706 +g1024 +tp2486 +a(g441 +Vlegal-move? +p2487 +tp2488 +a(g827 +g1028 +tp2489 +a(g117 +Vmove +p2490 +tp2491 +a(g827 +g1028 +tp2492 +a(g117 +Vplayer +p2493 +tp2494 +a(g706 +g1037 +tp2495 +a(g706 +g1037 +tp2496 +a(g827 +V \u000a +p2497 +tp2498 +a(g706 +g1024 +tp2499 +a(g441 +Vall-squares +p2500 +tp2501 +a(g706 +g1037 +tp2502 +a(g706 +g1037 +tp2503 +a(g706 +g1037 +tp2504 +a(g827 +V\u000a\u000a +p2505 +tp2506 +a(g898 +V; a list of all legal moves might be useful +p2507 +tp2508 +a(g827 +V\u000a +p2509 +tp2510 +a(g706 +g1024 +tp2511 +a(g745 +Vdefine +p2512 +tp2513 +a(g827 +g1028 +tp2514 +a(g706 +g1024 +tp2515 +a(g441 +Vlegal-moves +p2516 +tp2517 +a(g827 +g1028 +tp2518 +a(g117 +Vplayer +p2519 +tp2520 +a(g706 +g1037 +tp2521 +a(g827 +V\u000a +p2522 +tp2523 +a(g706 +g1024 +tp2524 +a(g745 +Vlet +p2525 +tp2526 +a(g827 +g1028 +tp2527 +a(g706 +g1024 +tp2528 +a(g706 +g1024 +tp2529 +a(g441 +Vresult +p2530 +tp2531 +a(g827 +g1028 +tp2532 +a(g413 +g1030 +tp2533 +a(g706 +g1024 +tp2534 +a(g706 +g1037 +tp2535 +a(g706 +g1037 +tp2536 +a(g706 +g1037 +tp2537 +a(g827 +V\u000a +p2538 +tp2539 +a(g706 +g1024 +tp2540 +a(g745 +Vdolist +p2541 +tp2542 +a(g827 +g1028 +tp2543 +a(g706 +g1024 +tp2544 +a(g441 +Vmove +p2545 +tp2546 +a(g827 +g1028 +tp2547 +a(g706 +g1024 +tp2548 +a(g441 +Vall-squares +p2549 +tp2550 +a(g706 +g1037 +tp2551 +a(g706 +g1037 +tp2552 +a(g827 +V\u000a +p2553 +tp2554 +a(g706 +g1024 +tp2555 +a(g745 +Vif +p2556 +tp2557 +a(g827 +g1028 +tp2558 +a(g706 +g1024 +tp2559 +a(g441 +Vlegal-move? +p2560 +tp2561 +a(g827 +g1028 +tp2562 +a(g117 +Vmove +p2563 +tp2564 +a(g827 +g1028 +tp2565 +a(g117 +Vplayer +p2566 +tp2567 +a(g706 +g1037 +tp2568 +a(g827 +V\u000a +p2569 +tp2570 +a(g706 +g1024 +tp2571 +a(g745 +Vpush +p2572 +tp2573 +a(g827 +g1028 +tp2574 +a(g117 +Vmove +p2575 +tp2576 +a(g827 +g1028 +tp2577 +a(g117 +Vresult +p2578 +tp2579 +a(g706 +g1037 +tp2580 +a(g706 +g1037 +tp2581 +a(g706 +g1037 +tp2582 +a(g827 +V\u000a +p2583 +tp2584 +a(g706 +g1024 +tp2585 +a(g745 +Vunique +p2586 +tp2587 +a(g827 +g1028 +tp2588 +a(g117 +Vresult +p2589 +tp2590 +a(g706 +g1037 +tp2591 +a(g706 +g1037 +tp2592 +a(g706 +g1037 +tp2593 +a(g827 +V\u000a\u000a +p2594 +tp2595 +a(g898 +V; define any number of strategies that can be called on to calculate +p2596 +tp2597 +a(g827 +V\u000a +p2598 +tp2599 +a(g898 +V; the next computer move. This is the only one I've done... - make +p2600 +tp2601 +a(g827 +V\u000a +p2602 +tp2603 +a(g898 +V; any legal move at random! +p2604 +tp2605 +a(g827 +V\u000a\u000a +p2606 +tp2607 +a(g706 +g1024 +tp2608 +a(g745 +Vdefine +p2609 +tp2610 +a(g827 +g1028 +tp2611 +a(g706 +g1024 +tp2612 +a(g745 +Vrandom +p2613 +tp2614 +a(g745 +g1134 +tp2615 +a(g117 +Vstrategy +p2616 +tp2617 +a(g827 +g1028 +tp2618 +a(g117 +Vplayer +p2619 +tp2620 +a(g706 +g1037 +tp2621 +a(g827 +V\u000a +p2622 +tp2623 +a(g706 +g1024 +tp2624 +a(g745 +Vseed +p2625 +tp2626 +a(g827 +g1028 +tp2627 +a(g706 +g1024 +tp2628 +a(g745 +Vdate-value +p2629 +tp2630 +a(g706 +g1037 +tp2631 +a(g706 +g1037 +tp2632 +a(g827 +V\u000a +p2633 +tp2634 +a(g706 +g1024 +tp2635 +a(g745 +Vapply +p2636 +tp2637 +a(g827 +g1028 +tp2638 +a(g745 +Vamb +p2639 +tp2640 +a(g827 +g1028 +tp2641 +a(g706 +g1024 +tp2642 +a(g441 +Vlegal-moves +p2643 +tp2644 +a(g827 +g1028 +tp2645 +a(g117 +Vplayer +p2646 +tp2647 +a(g706 +g1037 +tp2648 +a(g706 +g1037 +tp2649 +a(g706 +g1037 +tp2650 +a(g827 +V\u000a\u000a +p2651 +tp2652 +a(g898 +V; get the next move using a particular strategy +p2653 +tp2654 +a(g827 +V\u000a\u000a +p2655 +tp2656 +a(g706 +g1024 +tp2657 +a(g745 +Vdefine +p2658 +tp2659 +a(g827 +g1028 +tp2660 +a(g706 +g1024 +tp2661 +a(g441 +Vget-move +p2662 +tp2663 +a(g827 +g1028 +tp2664 +a(g117 +Vstrategy +p2665 +tp2666 +a(g827 +g1028 +tp2667 +a(g117 +Vplayer +p2668 +tp2669 +a(g706 +g1037 +tp2670 +a(g827 +V\u000a +p2671 +tp2672 +a(g706 +g1024 +tp2673 +a(g745 +Vlet +p2674 +tp2675 +a(g827 +g1028 +tp2676 +a(g706 +g1024 +tp2677 +a(g706 +g1024 +tp2678 +a(g441 +Vmove +p2679 +tp2680 +a(g827 +g1028 +tp2681 +a(g706 +g1024 +tp2682 +a(g745 +Vapply +p2683 +tp2684 +a(g827 +g1028 +tp2685 +a(g117 +Vstrategy +p2686 +tp2687 +a(g827 +g1028 +tp2688 +a(g706 +g1024 +tp2689 +a(g745 +Vlist +p2690 +tp2691 +a(g827 +g1028 +tp2692 +a(g117 +Vplayer +p2693 +tp2694 +a(g706 +g1037 +tp2695 +a(g706 +g1037 +tp2696 +a(g706 +g1037 +tp2697 +a(g706 +g1037 +tp2698 +a(g827 +V\u000a +p2699 +tp2700 +a(g706 +g1024 +tp2701 +a(g745 +Vcond +p2702 +tp2703 +a(g827 +V\u000a +p2704 +tp2705 +a(g706 +g1024 +tp2706 +a(g706 +g1024 +tp2707 +a(g745 +Vand +p2708 +tp2709 +a(g827 +V\u000a +p2710 +tp2711 +a(g706 +g1024 +tp2712 +a(g441 +Vvalid-move? +p2713 +tp2714 +a(g827 +g1028 +tp2715 +a(g117 +Vmove +p2716 +tp2717 +a(g706 +g1037 +tp2718 +a(g827 +V\u000a +p2719 +tp2720 +a(g706 +g1024 +tp2721 +a(g441 +Vlegal-move? +p2722 +tp2723 +a(g827 +g1028 +tp2724 +a(g117 +Vmove +p2725 +tp2726 +a(g827 +g1028 +tp2727 +a(g117 +Vplayer +p2728 +tp2729 +a(g706 +g1037 +tp2730 +a(g706 +g1037 +tp2731 +a(g827 +V\u000a +p2732 +tp2733 +a(g706 +g1024 +tp2734 +a(g441 +Vmake-move +p2735 +tp2736 +a(g827 +g1028 +tp2737 +a(g117 +Vmove +p2738 +tp2739 +a(g827 +g1028 +tp2740 +a(g117 +Vplayer +p2741 +tp2742 +a(g706 +g1037 +tp2743 +a(g706 +g1037 +tp2744 +a(g827 +V\u000a +p2745 +tp2746 +a(g706 +g1024 +tp2747 +a(g745 +Vtrue +p2748 +tp2749 +a(g827 +V \u000a +p2750 +tp2751 +a(g706 +g1024 +tp2752 +a(g745 +Vprintln +p2753 +tp2754 +a(g827 +g1028 +tp2755 +a(g89 +V"no valid or legal move for " +p2756 +tp2757 +a(g827 +g1028 +tp2758 +a(g706 +g1024 +tp2759 +a(g441 +Vplayer-name +p2760 +tp2761 +a(g827 +g1028 +tp2762 +a(g117 +Vplayer +p2763 +tp2764 +a(g706 +g1037 +tp2765 +a(g827 +g1028 +tp2766 +a(g706 +g1037 +tp2767 +a(g827 +V\u000a +p2768 +tp2769 +a(g745 +Vnil +p2770 +tp2771 +a(g706 +g1037 +tp2772 +a(g706 +g1037 +tp2773 +a(g827 +V\u000a +p2774 +tp2775 +a(g117 +Vmove +p2776 +tp2777 +a(g706 +g1037 +tp2778 +a(g706 +g1037 +tp2779 +a(g827 +V\u000a\u000a +p2780 +tp2781 +a(g898 +V; that's about all the game algorithms for now +p2782 +tp2783 +a(g827 +V\u000a +p2784 +tp2785 +a(g898 +V; now for the interface +p2786 +tp2787 +a(g827 +V\u000a\u000a +p2788 +tp2789 +a(g706 +g1024 +tp2790 +a(g745 +Vif +p2791 +tp2792 +a(g827 +g1028 +tp2793 +a(g706 +g1024 +tp2794 +a(g441 +g1407 +tp2795 +a(g827 +g1028 +tp2796 +a(g745 +Vostype +p2797 +tp2798 +a(g827 +g1028 +tp2799 +a(g89 +V"Win32" +p2800 +tp2801 +a(g706 +g1037 +tp2802 +a(g827 +V\u000a +p2803 +tp2804 +a(g706 +g1024 +tp2805 +a(g745 +Vload +p2806 +tp2807 +a(g827 +g1028 +tp2808 +a(g706 +g1024 +tp2809 +a(g745 +Vstring +p2810 +tp2811 +a(g827 +g1028 +tp2812 +a(g706 +g1024 +tp2813 +a(g745 +Venv +p2814 +tp2815 +a(g827 +g1028 +tp2816 +a(g89 +V"PROGRAMFILES" +p2817 +tp2818 +a(g706 +g1037 +tp2819 +a(g827 +g1028 +tp2820 +a(g89 +V"/newlisp/guiserver.lsp" +p2821 +tp2822 +a(g706 +g1037 +tp2823 +a(g706 +g1037 +tp2824 +a(g827 +V\u000a +p2825 +tp2826 +a(g706 +g1024 +tp2827 +a(g745 +Vload +p2828 +tp2829 +a(g827 +g1028 +tp2830 +a(g89 +V"/usr/share/newlisp/guiserver.lsp" +p2831 +tp2832 +a(g706 +g1037 +tp2833 +a(g827 +V\u000a +p2834 +tp2835 +a(g706 +g1037 +tp2836 +a(g827 +V\u000a\u000a +p2837 +tp2838 +a(g706 +g1024 +tp2839 +a(g441 +Vgs +p2840 +tp2841 +a(g413 +V: +p2842 +tp2843 +a(g117 +Vinit +p2844 +tp2845 +a(g706 +g1037 +tp2846 +a(g827 +V\u000a +p2847 +tp2848 +a(g706 +g1024 +tp2849 +a(g745 +Vmap +p2850 +tp2851 +a(g827 +g1028 +tp2852 +a(g745 +Vset +p2853 +tp2854 +a(g827 +g1028 +tp2855 +a(g413 +g1030 +tp2856 +a(g706 +g1024 +tp2857 +a(g441 +Vscreen-width +p2858 +tp2859 +a(g827 +g1028 +tp2860 +a(g117 +Vscreen-height +p2861 +tp2862 +a(g706 +g1037 +tp2863 +a(g827 +g1028 +tp2864 +a(g706 +g1024 +tp2865 +a(g441 +Vgs +p2866 +tp2867 +a(g413 +g2842 +tp2868 +a(g117 +Vget-screen +p2869 +tp2870 +a(g706 +g1037 +tp2871 +a(g706 +g1037 +tp2872 +a(g827 +V\u000a +p2873 +tp2874 +a(g706 +g1024 +tp2875 +a(g745 +Vset +p2876 +tp2877 +a(g827 +g1028 +tp2878 +a(g413 +g1030 +tp2879 +a(g117 +Vboard-width +p2880 +tp2881 +a(g827 +g1028 +tp2882 +a(g117 +V540 +p2883 +tp2884 +a(g706 +g1037 +tp2885 +a(g827 +V\u000a +p2886 +tp2887 +a(g898 +V; center on screen +p2888 +tp2889 +a(g827 +V\u000a +p2890 +tp2891 +a(g706 +g1024 +tp2892 +a(g441 +Vgs +p2893 +tp2894 +a(g413 +g2842 +tp2895 +a(g117 +Vframe +p2896 +tp2897 +a(g827 +g1028 +tp2898 +a(g413 +g1030 +tp2899 +a(g117 +VReversi +p2900 +tp2901 +a(g827 +g1028 +tp2902 +a(g706 +g1024 +tp2903 +a(g441 +g1134 +tp2904 +a(g827 +g1028 +tp2905 +a(g706 +g1024 +tp2906 +a(g441 +V/ +p2907 +tp2908 +a(g827 +g1028 +tp2909 +a(g117 +Vscreen-width +p2910 +tp2911 +a(g827 +g1028 +tp2912 +a(g117 +g1062 +tp2913 +a(g706 +g1037 +tp2914 +a(g827 +g1028 +tp2915 +a(g706 +g1024 +tp2916 +a(g441 +g2907 +tp2917 +a(g827 +g1028 +tp2918 +a(g117 +Vboard-width +p2919 +tp2920 +a(g827 +g1028 +tp2921 +a(g117 +g1062 +tp2922 +a(g706 +g1037 +tp2923 +a(g706 +g1037 +tp2924 +a(g827 +g1028 +tp2925 +a(g117 +V60 +p2926 +tp2927 +a(g827 +g1028 +tp2928 +a(g117 +Vboard-width +p2929 +tp2930 +a(g827 +g1028 +tp2931 +a(g117 +V660 +p2932 +tp2933 +a(g827 +g1028 +tp2934 +a(g89 +V"Reversi" +p2935 +tp2936 +a(g706 +g1037 +tp2937 +a(g827 +V\u000a +p2938 +tp2939 +a(g706 +g1024 +tp2940 +a(g441 +Vgs +p2941 +tp2942 +a(g413 +g2842 +tp2943 +a(g745 +Vset +p2944 +tp2945 +a(g745 +g1134 +tp2946 +a(g117 +Vborder-layout +p2947 +tp2948 +a(g827 +g1028 +tp2949 +a(g413 +g1030 +tp2950 +a(g117 +VReversi +p2951 +tp2952 +a(g706 +g1037 +tp2953 +a(g827 +V\u000a\u000a +p2954 +tp2955 +a(g706 +g1024 +tp2956 +a(g441 +Vgs +p2957 +tp2958 +a(g413 +g2842 +tp2959 +a(g117 +Vcanvas +p2960 +tp2961 +a(g827 +g1028 +tp2962 +a(g413 +g1030 +tp2963 +a(g117 +VMyCanvas +p2964 +tp2965 +a(g827 +g1028 +tp2966 +a(g413 +g1030 +tp2967 +a(g117 +VReversi +p2968 +tp2969 +a(g706 +g1037 +tp2970 +a(g827 +V\u000a +p2971 +tp2972 +a(g706 +g1024 +tp2973 +a(g441 +Vgs +p2974 +tp2975 +a(g413 +g2842 +tp2976 +a(g745 +Vset +p2977 +tp2978 +a(g745 +g1134 +tp2979 +a(g117 +Vbackground +p2980 +tp2981 +a(g827 +g1028 +tp2982 +a(g413 +g1030 +tp2983 +a(g117 +VMyCanvas +p2984 +tp2985 +a(g827 +g1028 +tp2986 +a(g413 +g1030 +tp2987 +a(g706 +g1024 +tp2988 +a(g441 +V.8 +p2989 +tp2990 +a(g827 +g1028 +tp2991 +a(g117 +V.9 +p2992 +tp2993 +a(g827 +g1028 +tp2994 +a(g117 +V.7 +p2995 +tp2996 +a(g827 +g1028 +tp2997 +a(g117 +V.8 +p2998 +tp2999 +a(g706 +g1037 +tp3000 +a(g706 +g1037 +tp3001 +a(g827 +V\u000a +p3002 +tp3003 +a(g706 +g1024 +tp3004 +a(g441 +Vgs +p3005 +tp3006 +a(g413 +g2842 +tp3007 +a(g117 +Vmouse-released +p3008 +tp3009 +a(g827 +g1028 +tp3010 +a(g413 +g1030 +tp3011 +a(g117 +VMyCanvas +p3012 +tp3013 +a(g827 +g1028 +tp3014 +a(g413 +g1030 +tp3015 +a(g117 +Vmouse-released-action +p3016 +tp3017 +a(g827 +g1028 +tp3018 +a(g745 +Vtrue +p3019 +tp3020 +a(g706 +g1037 +tp3021 +a(g827 +V\u000a\u000a +p3022 +tp3023 +a(g706 +g1024 +tp3024 +a(g441 +Vgs +p3025 +tp3026 +a(g413 +g2842 +tp3027 +a(g117 +Vpanel +p3028 +tp3029 +a(g827 +g1028 +tp3030 +a(g413 +g1030 +tp3031 +a(g117 +VControls +p3032 +tp3033 +a(g706 +g1037 +tp3034 +a(g827 +V\u000a +p3035 +tp3036 +a(g706 +g1024 +tp3037 +a(g441 +Vgs +p3038 +tp3039 +a(g413 +g2842 +tp3040 +a(g117 +Vbutton +p3041 +tp3042 +a(g827 +g1028 +tp3043 +a(g413 +g1030 +tp3044 +a(g117 +VStart +p3045 +tp3046 +a(g827 +g1028 +tp3047 +a(g413 +g1030 +tp3048 +a(g117 +Vstart-game +p3049 +tp3050 +a(g827 +g1028 +tp3051 +a(g89 +V"Start" +p3052 +tp3053 +a(g706 +g1037 +tp3054 +a(g827 +V\u000a\u000a +p3055 +tp3056 +a(g706 +g1024 +tp3057 +a(g441 +Vgs +p3058 +tp3059 +a(g413 +g2842 +tp3060 +a(g117 +Vpanel +p3061 +tp3062 +a(g827 +g1028 +tp3063 +a(g413 +g1030 +tp3064 +a(g117 +VLower +p3065 +tp3066 +a(g706 +g1037 +tp3067 +a(g827 +V\u000a +p3068 +tp3069 +a(g706 +g1024 +tp3070 +a(g441 +Vgs +p3071 +tp3072 +a(g413 +g2842 +tp3073 +a(g117 +Vlabel +p3074 +tp3075 +a(g827 +g1028 +tp3076 +a(g413 +g1030 +tp3077 +a(g117 +VWhiteScore +p3078 +tp3079 +a(g827 +g1028 +tp3080 +a(g89 +V"" +p3081 +tp3082 +a(g706 +g1037 +tp3083 +a(g827 +V\u000a +p3084 +tp3085 +a(g706 +g1024 +tp3086 +a(g441 +Vgs +p3087 +tp3088 +a(g413 +g2842 +tp3089 +a(g117 +Vlabel +p3090 +tp3091 +a(g827 +g1028 +tp3092 +a(g413 +g1030 +tp3093 +a(g117 +VBlackScore +p3094 +tp3095 +a(g827 +g1028 +tp3096 +a(g89 +V"" +p3097 +tp3098 +a(g706 +g1037 +tp3099 +a(g827 +V\u000a\u000a +p3100 +tp3101 +a(g706 +g1024 +tp3102 +a(g441 +Vgs +p3103 +tp3104 +a(g413 +g2842 +tp3105 +a(g745 +Vadd +p3106 +tp3107 +a(g745 +g1134 +tp3108 +a(g117 +Vto +p3109 +tp3110 +a(g827 +g1028 +tp3111 +a(g413 +g1030 +tp3112 +a(g117 +VControls +p3113 +tp3114 +a(g827 +g1028 +tp3115 +a(g413 +g1030 +tp3116 +a(g117 +VStart +p3117 +tp3118 +a(g827 +g1028 +tp3119 +a(g706 +g1037 +tp3120 +a(g827 +V\u000a +p3121 +tp3122 +a(g706 +g1024 +tp3123 +a(g441 +Vgs +p3124 +tp3125 +a(g413 +g2842 +tp3126 +a(g745 +Vadd +p3127 +tp3128 +a(g745 +g1134 +tp3129 +a(g117 +Vto +p3130 +tp3131 +a(g827 +g1028 +tp3132 +a(g413 +g1030 +tp3133 +a(g117 +VLower +p3134 +tp3135 +a(g827 +g1028 +tp3136 +a(g413 +g1030 +tp3137 +a(g117 +VWhiteScore +p3138 +tp3139 +a(g827 +g1028 +tp3140 +a(g413 +g1030 +tp3141 +a(g117 +VBlackScore +p3142 +tp3143 +a(g706 +g1037 +tp3144 +a(g827 +V\u000a +p3145 +tp3146 +a(g706 +g1024 +tp3147 +a(g441 +Vgs +p3148 +tp3149 +a(g413 +g2842 +tp3150 +a(g745 +Vadd +p3151 +tp3152 +a(g745 +g1134 +tp3153 +a(g117 +Vto +p3154 +tp3155 +a(g827 +g1028 +tp3156 +a(g413 +g1030 +tp3157 +a(g117 +VReversi +p3158 +tp3159 +a(g827 +g1028 +tp3160 +a(g413 +g1030 +tp3161 +a(g117 +VMyCanvas +p3162 +tp3163 +a(g827 +g1028 +tp3164 +a(g89 +V"center" +p3165 +tp3166 +a(g827 +g1028 +tp3167 +a(g413 +g1030 +tp3168 +a(g117 +VControls +p3169 +tp3170 +a(g827 +g1028 +tp3171 +a(g89 +V"north" +p3172 +tp3173 +a(g827 +g1028 +tp3174 +a(g413 +g1030 +tp3175 +a(g117 +VLower +p3176 +tp3177 +a(g827 +g1028 +tp3178 +a(g89 +V"south" +p3179 +tp3180 +a(g706 +g1037 +tp3181 +a(g827 +V\u000a\u000a +p3182 +tp3183 +a(g706 +g1024 +tp3184 +a(g441 +Vgs +p3185 +tp3186 +a(g413 +g2842 +tp3187 +a(g745 +Vset +p3188 +tp3189 +a(g745 +g1134 +tp3190 +a(g117 +Vanti-aliasing +p3191 +tp3192 +a(g827 +g1028 +tp3193 +a(g745 +Vtrue +p3194 +tp3195 +a(g706 +g1037 +tp3196 +a(g827 +V\u000a +p3197 +tp3198 +a(g706 +g1024 +tp3199 +a(g441 +Vgs +p3200 +tp3201 +a(g413 +g2842 +tp3202 +a(g745 +Vset +p3203 +tp3204 +a(g745 +g1134 +tp3205 +a(g117 +Vvisible +p3206 +tp3207 +a(g827 +g1028 +tp3208 +a(g413 +g1030 +tp3209 +a(g117 +VReversi +p3210 +tp3211 +a(g827 +g1028 +tp3212 +a(g745 +Vtrue +p3213 +tp3214 +a(g706 +g1037 +tp3215 +a(g827 +V\u000a\u000a +p3216 +tp3217 +a(g898 +V; size of board square, and radius/width of counter +p3218 +tp3219 +a(g827 +V\u000a +p3220 +tp3221 +a(g706 +g1024 +tp3222 +a(g745 +Vset +p3223 +tp3224 +a(g827 +g1028 +tp3225 +a(g413 +g1030 +tp3226 +a(g117 +Vsize +p3227 +tp3228 +a(g827 +g1028 +tp3229 +a(g117 +V60 +p3230 +tp3231 +a(g827 +g1028 +tp3232 +a(g413 +g1030 +tp3233 +a(g117 +Vwidth +p3234 +tp3235 +a(g827 +g1028 +tp3236 +a(g117 +V30 +p3237 +tp3238 +a(g706 +g1037 +tp3239 +a(g827 +V\u000a\u000a +p3240 +tp3241 +a(g898 +V; initialize the master board +p3242 +tp3243 +a(g827 +V\u000a\u000a +p3244 +tp3245 +a(g706 +g1024 +tp3246 +a(g745 +Vdefine +p3247 +tp3248 +a(g827 +g1028 +tp3249 +a(g706 +g1024 +tp3250 +a(g441 +Vinitial-board +p3251 +tp3252 +a(g706 +g1037 +tp3253 +a(g827 +V\u000a +p3254 +tp3255 +a(g706 +g1024 +tp3256 +a(g441 +Vmake-board +p3257 +tp3258 +a(g706 +g1037 +tp3259 +a(g827 +V\u000a +p3260 +tp3261 +a(g706 +g1024 +tp3262 +a(g745 +Vsetf +p3263 +tp3264 +a(g827 +g1028 +tp3265 +a(g706 +g1024 +tp3266 +a(g745 +g1088 +tp3267 +a(g117 +Vboard* +p3268 +tp3269 +a(g827 +g1028 +tp3270 +a(g117 +V44 +p3271 +tp3272 +a(g706 +g1037 +tp3273 +a(g827 +g1028 +tp3274 +a(g117 +Vwhite +p3275 +tp3276 +a(g706 +g1037 +tp3277 +a(g827 +V\u000a +p3278 +tp3279 +a(g706 +g1024 +tp3280 +a(g745 +Vsetf +p3281 +tp3282 +a(g827 +g1028 +tp3283 +a(g706 +g1024 +tp3284 +a(g745 +g1088 +tp3285 +a(g117 +Vboard* +p3286 +tp3287 +a(g827 +g1028 +tp3288 +a(g117 +V55 +p3289 +tp3290 +a(g706 +g1037 +tp3291 +a(g827 +g1028 +tp3292 +a(g117 +Vwhite +p3293 +tp3294 +a(g706 +g1037 +tp3295 +a(g827 +V\u000a +p3296 +tp3297 +a(g706 +g1024 +tp3298 +a(g745 +Vsetf +p3299 +tp3300 +a(g827 +g1028 +tp3301 +a(g706 +g1024 +tp3302 +a(g745 +g1088 +tp3303 +a(g117 +Vboard* +p3304 +tp3305 +a(g827 +g1028 +tp3306 +a(g117 +V45 +p3307 +tp3308 +a(g706 +g1037 +tp3309 +a(g827 +g1028 +tp3310 +a(g117 +Vblack +p3311 +tp3312 +a(g706 +g1037 +tp3313 +a(g827 +V\u000a +p3314 +tp3315 +a(g706 +g1024 +tp3316 +a(g745 +Vsetf +p3317 +tp3318 +a(g827 +g1028 +tp3319 +a(g706 +g1024 +tp3320 +a(g745 +g1088 +tp3321 +a(g117 +Vboard* +p3322 +tp3323 +a(g827 +g1028 +tp3324 +a(g117 +V54 +p3325 +tp3326 +a(g706 +g1037 +tp3327 +a(g827 +g1028 +tp3328 +a(g117 +Vblack +p3329 +tp3330 +a(g706 +g1037 +tp3331 +a(g827 +V \u000a +p3332 +tp3333 +a(g706 +g1037 +tp3334 +a(g827 +V\u000a\u000a +p3335 +tp3336 +a(g898 +V; draw a graphical repesentation of the board +p3337 +tp3338 +a(g827 +V\u000a\u000a +p3339 +tp3340 +a(g706 +g1024 +tp3341 +a(g745 +Vdefine +p3342 +tp3343 +a(g827 +g1028 +tp3344 +a(g706 +g1024 +tp3345 +a(g441 +Vdraw-board +p3346 +tp3347 +a(g706 +g1037 +tp3348 +a(g827 +V\u000a +p3349 +tp3350 +a(g706 +g1024 +tp3351 +a(g745 +Vlocal +p3352 +tp3353 +a(g827 +g1028 +tp3354 +a(g706 +g1024 +tp3355 +a(g441 +Vx +p3356 +tp3357 +a(g827 +g1028 +tp3358 +a(g117 +Vy +p3359 +tp3360 +a(g706 +g1037 +tp3361 +a(g827 +V\u000a +p3362 +tp3363 +a(g706 +g1024 +tp3364 +a(g745 +Vdolist +p3365 +tp3366 +a(g827 +g1028 +tp3367 +a(g706 +g1024 +tp3368 +a(g441 +g1390 +tp3369 +a(g827 +g1028 +tp3370 +a(g706 +g1024 +tp3371 +a(g441 +Vall-squares +p3372 +tp3373 +a(g706 +g1037 +tp3374 +a(g706 +g1037 +tp3375 +a(g827 +V\u000a +p3376 +tp3377 +a(g706 +g1024 +tp3378 +a(g745 +Vmap +p3379 +tp3380 +a(g827 +g1028 +tp3381 +a(g745 +Vset +p3382 +tp3383 +a(g827 +g1028 +tp3384 +a(g413 +g1030 +tp3385 +a(g706 +g1024 +tp3386 +a(g441 +g3356 +tp3387 +a(g827 +g1028 +tp3388 +a(g117 +g3359 +tp3389 +a(g706 +g1037 +tp3390 +a(g827 +g1028 +tp3391 +a(g706 +g1024 +tp3392 +a(g441 +Vsquare-to-xy +p3393 +tp3394 +a(g827 +g1028 +tp3395 +a(g117 +g1390 +tp3396 +a(g706 +g1037 +tp3397 +a(g706 +g1037 +tp3398 +a(g827 +V\u000a +p3399 +tp3400 +a(g706 +g1024 +tp3401 +a(g441 +Vgs +p3402 +tp3403 +a(g413 +g2842 +tp3404 +a(g117 +Vdraw-rect +p3405 +tp3406 +a(g827 +V \u000a +p3407 +tp3408 +a(g706 +g1024 +tp3409 +a(g745 +Vstring +p3410 +tp3411 +a(g827 +g1028 +tp3412 +a(g117 +g3356 +tp3413 +a(g827 +g1028 +tp3414 +a(g117 +g3359 +tp3415 +a(g706 +g1037 +tp3416 +a(g827 +V \u000a +p3417 +tp3418 +a(g706 +g1024 +tp3419 +a(g441 +g1134 +tp3420 +a(g827 +g1028 +tp3421 +a(g706 +g1024 +tp3422 +a(g441 +g1088 +tp3423 +a(g827 +g1028 +tp3424 +a(g117 +g3359 +tp3425 +a(g827 +g1028 +tp3426 +a(g117 +Vsize +p3427 +tp3428 +a(g706 +g1037 +tp3429 +a(g827 +g1028 +tp3430 +a(g117 +Vwidth +p3431 +tp3432 +a(g827 +g1028 +tp3433 +a(g706 +g1037 +tp3434 +a(g827 +g1028 +tp3435 +a(g898 +V; !!!!!! +p3436 +tp3437 +a(g827 +V\u000a +p3438 +tp3439 +a(g706 +g1024 +tp3440 +a(g441 +g1134 +tp3441 +a(g827 +g1028 +tp3442 +a(g706 +g1024 +tp3443 +a(g441 +g1088 +tp3444 +a(g827 +g1028 +tp3445 +a(g117 +g3356 +tp3446 +a(g827 +g1028 +tp3447 +a(g117 +Vsize +p3448 +tp3449 +a(g706 +g1037 +tp3450 +a(g827 +g1028 +tp3451 +a(g117 +Vwidth +p3452 +tp3453 +a(g827 +g1028 +tp3454 +a(g706 +g1037 +tp3455 +a(g827 +V\u000a +p3456 +tp3457 +a(g706 +g1024 +tp3458 +a(g441 +g1088 +tp3459 +a(g827 +g1028 +tp3460 +a(g117 +Vwidth +p3461 +tp3462 +a(g827 +g1028 +tp3463 +a(g117 +g1062 +tp3464 +a(g706 +g1037 +tp3465 +a(g827 +V\u000a +p3466 +tp3467 +a(g706 +g1024 +tp3468 +a(g441 +g1088 +tp3469 +a(g827 +g1028 +tp3470 +a(g117 +Vwidth +p3471 +tp3472 +a(g827 +g1028 +tp3473 +a(g117 +g1062 +tp3474 +a(g706 +g1037 +tp3475 +a(g827 +V\u000a +p3476 +tp3477 +a(g117 +Vgs +p3478 +tp3479 +a(g413 +g2842 +tp3480 +a(g117 +Vwhite +p3481 +tp3482 +a(g706 +g1037 +tp3483 +a(g706 +g1037 +tp3484 +a(g706 +g1037 +tp3485 +a(g706 +g1037 +tp3486 +a(g827 +V\u000a\u000a +p3487 +tp3488 +a(g706 +g1024 +tp3489 +a(g745 +Vdefine +p3490 +tp3491 +a(g827 +g1028 +tp3492 +a(g706 +g1024 +tp3493 +a(g441 +Vdraw-first-four-pieces +p3494 +tp3495 +a(g706 +g1037 +tp3496 +a(g827 +V\u000a +p3497 +tp3498 +a(g706 +g1024 +tp3499 +a(g441 +Vdraw-piece +p3500 +tp3501 +a(g827 +g1028 +tp3502 +a(g117 +V44 +p3503 +tp3504 +a(g827 +g1028 +tp3505 +a(g89 +V"white" +p3506 +tp3507 +a(g706 +g1037 +tp3508 +a(g827 +V\u000a +p3509 +tp3510 +a(g706 +g1024 +tp3511 +a(g441 +Vdraw-piece +p3512 +tp3513 +a(g827 +g1028 +tp3514 +a(g117 +V55 +p3515 +tp3516 +a(g827 +g1028 +tp3517 +a(g89 +V"white" +p3518 +tp3519 +a(g706 +g1037 +tp3520 +a(g827 +V\u000a +p3521 +tp3522 +a(g706 +g1024 +tp3523 +a(g441 +Vdraw-piece +p3524 +tp3525 +a(g827 +g1028 +tp3526 +a(g117 +V45 +p3527 +tp3528 +a(g827 +g1028 +tp3529 +a(g89 +V"black" +p3530 +tp3531 +a(g706 +g1037 +tp3532 +a(g827 +V\u000a +p3533 +tp3534 +a(g706 +g1024 +tp3535 +a(g441 +Vdraw-piece +p3536 +tp3537 +a(g827 +g1028 +tp3538 +a(g117 +V54 +p3539 +tp3540 +a(g827 +g1028 +tp3541 +a(g89 +V"black" +p3542 +tp3543 +a(g706 +g1037 +tp3544 +a(g706 +g1037 +tp3545 +a(g827 +V\u000a\u000a +p3546 +tp3547 +a(g898 +V; this next function can mark the legal moves available to a player +p3548 +tp3549 +a(g827 +V\u000a\u000a +p3550 +tp3551 +a(g706 +g1024 +tp3552 +a(g745 +Vdefine +p3553 +tp3554 +a(g827 +g1028 +tp3555 +a(g706 +g1024 +tp3556 +a(g441 +Vshow-legal-moves +p3557 +tp3558 +a(g827 +g1028 +tp3559 +a(g117 +Vplayer +p3560 +tp3561 +a(g706 +g1037 +tp3562 +a(g827 +V\u000a +p3563 +tp3564 +a(g706 +g1024 +tp3565 +a(g745 +Vlocal +p3566 +tp3567 +a(g827 +g1028 +tp3568 +a(g706 +g1024 +tp3569 +a(g441 +Vlegal-move-list +p3570 +tp3571 +a(g827 +g1028 +tp3572 +a(g117 +g3356 +tp3573 +a(g827 +g1028 +tp3574 +a(g117 +g3359 +tp3575 +a(g706 +g1037 +tp3576 +a(g827 +V\u000a +p3577 +tp3578 +a(g706 +g1024 +tp3579 +a(g745 +Vset +p3580 +tp3581 +a(g827 +g1028 +tp3582 +a(g413 +g1030 +tp3583 +a(g117 +Vlegal-move-list +p3584 +tp3585 +a(g827 +g1028 +tp3586 +a(g706 +g1024 +tp3587 +a(g441 +Vlegal-moves +p3588 +tp3589 +a(g827 +g1028 +tp3590 +a(g117 +Vplayer +p3591 +tp3592 +a(g706 +g1037 +tp3593 +a(g706 +g1037 +tp3594 +a(g827 +V\u000a +p3595 +tp3596 +a(g706 +g1024 +tp3597 +a(g745 +Vdolist +p3598 +tp3599 +a(g827 +g1028 +tp3600 +a(g706 +g1024 +tp3601 +a(g441 +Vm +p3602 +tp3603 +a(g827 +g1028 +tp3604 +a(g706 +g1024 +tp3605 +a(g441 +Vall-squares +p3606 +tp3607 +a(g706 +g1037 +tp3608 +a(g706 +g1037 +tp3609 +a(g827 +V\u000a +p3610 +tp3611 +a(g706 +g1024 +tp3612 +a(g745 +Vmap +p3613 +tp3614 +a(g827 +g1028 +tp3615 +a(g745 +Vset +p3616 +tp3617 +a(g827 +g1028 +tp3618 +a(g413 +g1030 +tp3619 +a(g706 +g1024 +tp3620 +a(g441 +g3356 +tp3621 +a(g827 +g1028 +tp3622 +a(g117 +g3359 +tp3623 +a(g706 +g1037 +tp3624 +a(g827 +g1028 +tp3625 +a(g706 +g1024 +tp3626 +a(g441 +Vsquare-to-xy +p3627 +tp3628 +a(g827 +g1028 +tp3629 +a(g117 +g3602 +tp3630 +a(g706 +g1037 +tp3631 +a(g706 +g1037 +tp3632 +a(g827 +V\u000a +p3633 +tp3634 +a(g706 +g1024 +tp3635 +a(g441 +Vgs +p3636 +tp3637 +a(g413 +g2842 +tp3638 +a(g117 +Vdraw-rect +p3639 +tp3640 +a(g827 +V \u000a +p3641 +tp3642 +a(g706 +g1024 +tp3643 +a(g745 +Vstring +p3644 +tp3645 +a(g827 +g1028 +tp3646 +a(g117 +g3356 +tp3647 +a(g827 +g1028 +tp3648 +a(g117 +g3359 +tp3649 +a(g706 +g1037 +tp3650 +a(g827 +V \u000a +p3651 +tp3652 +a(g706 +g1024 +tp3653 +a(g441 +g1134 +tp3654 +a(g827 +g1028 +tp3655 +a(g706 +g1024 +tp3656 +a(g441 +g1088 +tp3657 +a(g827 +g1028 +tp3658 +a(g117 +g3359 +tp3659 +a(g827 +g1028 +tp3660 +a(g117 +Vsize +p3661 +tp3662 +a(g706 +g1037 +tp3663 +a(g827 +g1028 +tp3664 +a(g117 +Vwidth +p3665 +tp3666 +a(g827 +g1028 +tp3667 +a(g706 +g1037 +tp3668 +a(g827 +g1028 +tp3669 +a(g898 +V; !!!!!! +p3670 +tp3671 +a(g827 +V\u000a +p3672 +tp3673 +a(g706 +g1024 +tp3674 +a(g441 +g1134 +tp3675 +a(g827 +g1028 +tp3676 +a(g706 +g1024 +tp3677 +a(g441 +g1088 +tp3678 +a(g827 +g1028 +tp3679 +a(g117 +g3356 +tp3680 +a(g827 +g1028 +tp3681 +a(g117 +Vsize +p3682 +tp3683 +a(g706 +g1037 +tp3684 +a(g827 +g1028 +tp3685 +a(g117 +Vwidth +p3686 +tp3687 +a(g827 +g1028 +tp3688 +a(g706 +g1037 +tp3689 +a(g827 +V\u000a +p3690 +tp3691 +a(g706 +g1024 +tp3692 +a(g441 +g1088 +tp3693 +a(g827 +g1028 +tp3694 +a(g117 +Vwidth +p3695 +tp3696 +a(g827 +g1028 +tp3697 +a(g117 +g1062 +tp3698 +a(g706 +g1037 +tp3699 +a(g827 +V\u000a +p3700 +tp3701 +a(g706 +g1024 +tp3702 +a(g441 +g1088 +tp3703 +a(g827 +g1028 +tp3704 +a(g117 +Vwidth +p3705 +tp3706 +a(g827 +g1028 +tp3707 +a(g117 +g1062 +tp3708 +a(g706 +g1037 +tp3709 +a(g827 +V\u000a +p3710 +tp3711 +a(g706 +g1024 +tp3712 +a(g745 +Vif +p3713 +tp3714 +a(g827 +g1028 +tp3715 +a(g706 +g1024 +tp3716 +a(g745 +Vfind +p3717 +tp3718 +a(g827 +g1028 +tp3719 +a(g117 +g3602 +tp3720 +a(g827 +g1028 +tp3721 +a(g117 +Vlegal-move-list +p3722 +tp3723 +a(g706 +g1037 +tp3724 +a(g827 +g1028 +tp3725 +a(g117 +Vgs +p3726 +tp3727 +a(g413 +g2842 +tp3728 +a(g117 +Vblue +p3729 +tp3730 +a(g827 +g1028 +tp3731 +a(g117 +Vgs +p3732 +tp3733 +a(g413 +g2842 +tp3734 +a(g117 +Vwhite +p3735 +tp3736 +a(g706 +g1037 +tp3737 +a(g827 +V\u000a +p3738 +tp3739 +a(g706 +g1037 +tp3740 +a(g827 +V\u000a +p3741 +tp3742 +a(g706 +g1037 +tp3743 +a(g827 +V\u000a +p3744 +tp3745 +a(g706 +g1037 +tp3746 +a(g827 +V\u000a +p3747 +tp3748 +a(g706 +g1037 +tp3749 +a(g827 +V\u000a\u000a +p3750 +tp3751 +a(g898 +V; convert the number of a square on the master board to coordinates +p3752 +tp3753 +a(g827 +V\u000a\u000a +p3754 +tp3755 +a(g706 +g1024 +tp3756 +a(g745 +Vdefine +p3757 +tp3758 +a(g827 +g1028 +tp3759 +a(g706 +g1024 +tp3760 +a(g441 +Vsquare-to-xy +p3761 +tp3762 +a(g827 +g1028 +tp3763 +a(g117 +Vsquare +p3764 +tp3765 +a(g706 +g1037 +tp3766 +a(g827 +V \u000a +p3767 +tp3768 +a(g706 +g1024 +tp3769 +a(g745 +Vlist +p3770 +tp3771 +a(g827 +g1028 +tp3772 +a(g706 +g1024 +tp3773 +a(g441 +g2907 +tp3774 +a(g827 +g1028 +tp3775 +a(g117 +Vsquare +p3776 +tp3777 +a(g827 +g1028 +tp3778 +a(g117 +V10 +p3779 +tp3780 +a(g706 +g1037 +tp3781 +a(g827 +g1028 +tp3782 +a(g706 +g1024 +tp3783 +a(g745 +Vmod +p3784 +tp3785 +a(g827 +g1028 +tp3786 +a(g117 +Vsquare +p3787 +tp3788 +a(g827 +g1028 +tp3789 +a(g117 +V10 +p3790 +tp3791 +a(g706 +g1037 +tp3792 +a(g706 +g1037 +tp3793 +a(g706 +g1037 +tp3794 +a(g827 +V\u000a\u000a +p3795 +tp3796 +a(g898 +V; draw one of the pieces +p3797 +tp3798 +a(g827 +V\u000a\u000a +p3799 +tp3800 +a(g706 +g1024 +tp3801 +a(g745 +Vdefine +p3802 +tp3803 +a(g827 +g1028 +tp3804 +a(g706 +g1024 +tp3805 +a(g441 +Vdraw-piece +p3806 +tp3807 +a(g827 +g1028 +tp3808 +a(g117 +Vsquare +p3809 +tp3810 +a(g827 +g1028 +tp3811 +a(g117 +Vcolour +p3812 +tp3813 +a(g706 +g1037 +tp3814 +a(g827 +V\u000a +p3815 +tp3816 +a(g706 +g1024 +tp3817 +a(g745 +Vlocal +p3818 +tp3819 +a(g827 +g1028 +tp3820 +a(g706 +g1024 +tp3821 +a(g441 +g3356 +tp3822 +a(g827 +g1028 +tp3823 +a(g117 +g3359 +tp3824 +a(g706 +g1037 +tp3825 +a(g827 +V\u000a +p3826 +tp3827 +a(g706 +g1024 +tp3828 +a(g745 +Vmap +p3829 +tp3830 +a(g827 +g1028 +tp3831 +a(g745 +Vset +p3832 +tp3833 +a(g827 +g1028 +tp3834 +a(g413 +g1030 +tp3835 +a(g706 +g1024 +tp3836 +a(g441 +g3356 +tp3837 +a(g827 +g1028 +tp3838 +a(g117 +g3359 +tp3839 +a(g706 +g1037 +tp3840 +a(g827 +g1028 +tp3841 +a(g706 +g1024 +tp3842 +a(g441 +Vsquare-to-xy +p3843 +tp3844 +a(g827 +g1028 +tp3845 +a(g117 +Vsquare +p3846 +tp3847 +a(g706 +g1037 +tp3848 +a(g706 +g1037 +tp3849 +a(g827 +V\u000a +p3850 +tp3851 +a(g706 +g1024 +tp3852 +a(g745 +Vcond +p3853 +tp3854 +a(g827 +V \u000a +p3855 +tp3856 +a(g706 +g1024 +tp3857 +a(g706 +g1024 +tp3858 +a(g441 +g1407 +tp3859 +a(g827 +g1028 +tp3860 +a(g117 +Vcolour +p3861 +tp3862 +a(g827 +g1028 +tp3863 +a(g89 +V"white" +p3864 +tp3865 +a(g706 +g1037 +tp3866 +a(g827 +V \u000a +p3867 +tp3868 +a(g706 +g1024 +tp3869 +a(g441 +Vgs +p3870 +tp3871 +a(g413 +g2842 +tp3872 +a(g117 +Vfill-circle +p3873 +tp3874 +a(g827 +V \u000a +p3875 +tp3876 +a(g706 +g1024 +tp3877 +a(g745 +Vstring +p3878 +tp3879 +a(g827 +g1028 +tp3880 +a(g117 +g3356 +tp3881 +a(g827 +g1028 +tp3882 +a(g117 +g3359 +tp3883 +a(g706 +g1037 +tp3884 +a(g827 +V \u000a +p3885 +tp3886 +a(g706 +g1024 +tp3887 +a(g441 +g1088 +tp3888 +a(g827 +g1028 +tp3889 +a(g117 +g3359 +tp3890 +a(g827 +g1028 +tp3891 +a(g117 +Vsize +p3892 +tp3893 +a(g706 +g1037 +tp3894 +a(g827 +V +p3895 +tp3896 +a(g898 +V; !!!!!!! y first, cos y is x ;-) +p3897 +tp3898 +a(g827 +V\u000a +p3899 +tp3900 +a(g706 +g1024 +tp3901 +a(g441 +g1088 +tp3902 +a(g827 +g1028 +tp3903 +a(g117 +g3356 +tp3904 +a(g827 +g1028 +tp3905 +a(g117 +Vsize +p3906 +tp3907 +a(g706 +g1037 +tp3908 +a(g827 +V \u000a +p3909 +tp3910 +a(g117 +Vwidth +p3911 +tp3912 +a(g827 +V\u000a +p3913 +tp3914 +a(g117 +Vgs +p3915 +tp3916 +a(g413 +g2842 +tp3917 +a(g117 +Vwhite +p3918 +tp3919 +a(g706 +g1037 +tp3920 +a(g706 +g1037 +tp3921 +a(g827 +V\u000a \u000a +p3922 +tp3923 +a(g706 +g1024 +tp3924 +a(g706 +g1024 +tp3925 +a(g441 +g1407 +tp3926 +a(g827 +g1028 +tp3927 +a(g117 +Vcolour +p3928 +tp3929 +a(g827 +g1028 +tp3930 +a(g89 +V"black" +p3931 +tp3932 +a(g706 +g1037 +tp3933 +a(g827 +V \u000a +p3934 +tp3935 +a(g706 +g1024 +tp3936 +a(g441 +Vgs +p3937 +tp3938 +a(g413 +g2842 +tp3939 +a(g117 +Vfill-circle +p3940 +tp3941 +a(g827 +V \u000a +p3942 +tp3943 +a(g706 +g1024 +tp3944 +a(g745 +Vstring +p3945 +tp3946 +a(g827 +g1028 +tp3947 +a(g117 +g3356 +tp3948 +a(g827 +g1028 +tp3949 +a(g117 +g3359 +tp3950 +a(g706 +g1037 +tp3951 +a(g827 +V \u000a +p3952 +tp3953 +a(g706 +g1024 +tp3954 +a(g441 +g1088 +tp3955 +a(g827 +g1028 +tp3956 +a(g117 +g3359 +tp3957 +a(g827 +g1028 +tp3958 +a(g117 +Vsize +p3959 +tp3960 +a(g706 +g1037 +tp3961 +a(g827 +V \u000a +p3962 +tp3963 +a(g706 +g1024 +tp3964 +a(g441 +g1088 +tp3965 +a(g827 +g1028 +tp3966 +a(g117 +g3356 +tp3967 +a(g827 +g1028 +tp3968 +a(g117 +Vsize +p3969 +tp3970 +a(g706 +g1037 +tp3971 +a(g827 +V \u000a +p3972 +tp3973 +a(g117 +Vwidth +p3974 +tp3975 +a(g827 +V\u000a +p3976 +tp3977 +a(g117 +Vgs +p3978 +tp3979 +a(g413 +g2842 +tp3980 +a(g117 +Vblack +p3981 +tp3982 +a(g706 +g1037 +tp3983 +a(g706 +g1037 +tp3984 +a(g827 +V\u000a \u000a +p3985 +tp3986 +a(g706 +g1024 +tp3987 +a(g706 +g1024 +tp3988 +a(g441 +g1407 +tp3989 +a(g827 +g1028 +tp3990 +a(g117 +Vcolour +p3991 +tp3992 +a(g827 +g1028 +tp3993 +a(g89 +V"empty" +p3994 +tp3995 +a(g706 +g1037 +tp3996 +a(g827 +V \u000a +p3997 +tp3998 +a(g706 +g1024 +tp3999 +a(g441 +Vgs +p4000 +tp4001 +a(g413 +g2842 +tp4002 +a(g117 +Vdraw-rect +p4003 +tp4004 +a(g827 +V \u000a +p4005 +tp4006 +a(g706 +g1024 +tp4007 +a(g745 +Vstring +p4008 +tp4009 +a(g827 +g1028 +tp4010 +a(g117 +g3356 +tp4011 +a(g827 +g1028 +tp4012 +a(g117 +g3359 +tp4013 +a(g706 +g1037 +tp4014 +a(g827 +V \u000a +p4015 +tp4016 +a(g706 +g1024 +tp4017 +a(g441 +g1134 +tp4018 +a(g827 +g1028 +tp4019 +a(g706 +g1024 +tp4020 +a(g441 +g1088 +tp4021 +a(g827 +g1028 +tp4022 +a(g117 +g3359 +tp4023 +a(g827 +g1028 +tp4024 +a(g117 +Vsize +p4025 +tp4026 +a(g706 +g1037 +tp4027 +a(g827 +g1028 +tp4028 +a(g117 +Vwidth +p4029 +tp4030 +a(g827 +g1028 +tp4031 +a(g706 +g1037 +tp4032 +a(g827 +V \u000a +p4033 +tp4034 +a(g706 +g1024 +tp4035 +a(g441 +g1134 +tp4036 +a(g827 +g1028 +tp4037 +a(g706 +g1024 +tp4038 +a(g441 +g1088 +tp4039 +a(g827 +g1028 +tp4040 +a(g117 +g3356 +tp4041 +a(g827 +g1028 +tp4042 +a(g117 +Vsize +p4043 +tp4044 +a(g706 +g1037 +tp4045 +a(g827 +g1028 +tp4046 +a(g117 +Vwidth +p4047 +tp4048 +a(g827 +g1028 +tp4049 +a(g706 +g1037 +tp4050 +a(g827 +V\u000a +p4051 +tp4052 +a(g706 +g1024 +tp4053 +a(g441 +g1088 +tp4054 +a(g827 +g1028 +tp4055 +a(g117 +Vwidth +p4056 +tp4057 +a(g827 +g1028 +tp4058 +a(g117 +g1062 +tp4059 +a(g706 +g1037 +tp4060 +a(g827 +V\u000a +p4061 +tp4062 +a(g706 +g1024 +tp4063 +a(g441 +g1088 +tp4064 +a(g827 +g1028 +tp4065 +a(g117 +Vwidth +p4066 +tp4067 +a(g827 +g1028 +tp4068 +a(g117 +g1062 +tp4069 +a(g706 +g1037 +tp4070 +a(g827 +V\u000a +p4071 +tp4072 +a(g117 +Vgs +p4073 +tp4074 +a(g413 +g2842 +tp4075 +a(g117 +Vwhite +p4076 +tp4077 +a(g706 +g1037 +tp4078 +a(g706 +g1037 +tp4079 +a(g827 +V\u000a +p4080 +tp4081 +a(g706 +g1037 +tp4082 +a(g706 +g1037 +tp4083 +a(g706 +g1037 +tp4084 +a(g827 +V\u000a\u000a +p4085 +tp4086 +a(g898 +V; animate the pieces flipping +p4087 +tp4088 +a(g827 +V\u000a\u000a +p4089 +tp4090 +a(g706 +g1024 +tp4091 +a(g745 +Vdefine +p4092 +tp4093 +a(g827 +g1028 +tp4094 +a(g706 +g1024 +tp4095 +a(g441 +Vflip-piece +p4096 +tp4097 +a(g827 +g1028 +tp4098 +a(g117 +Vsquare +p4099 +tp4100 +a(g827 +g1028 +tp4101 +a(g117 +Vplayer +p4102 +tp4103 +a(g706 +g1037 +tp4104 +a(g827 +V\u000a +p4105 +tp4106 +a(g898 +V; flip by drawing thinner and fatter ellipses +p4107 +tp4108 +a(g827 +V\u000a +p4109 +tp4110 +a(g898 +V; go from full disk in opposite colour to invisible +p4111 +tp4112 +a(g827 +V\u000a +p4113 +tp4114 +a(g898 +V; then from invisible to full disk in true colour +p4115 +tp4116 +a(g827 +V\u000a +p4117 +tp4118 +a(g706 +g1024 +tp4119 +a(g745 +Vlocal +p4120 +tp4121 +a(g827 +g1028 +tp4122 +a(g706 +g1024 +tp4123 +a(g441 +g3356 +tp4124 +a(g827 +g1028 +tp4125 +a(g117 +g3359 +tp4126 +a(g827 +g1028 +tp4127 +a(g117 +Vcolour +p4128 +tp4129 +a(g706 +g1037 +tp4130 +a(g827 +V\u000a +p4131 +tp4132 +a(g706 +g1024 +tp4133 +a(g745 +Vmap +p4134 +tp4135 +a(g827 +g1028 +tp4136 +a(g745 +Vset +p4137 +tp4138 +a(g827 +g1028 +tp4139 +a(g413 +g1030 +tp4140 +a(g706 +g1024 +tp4141 +a(g441 +g3356 +tp4142 +a(g827 +g1028 +tp4143 +a(g117 +g3359 +tp4144 +a(g706 +g1037 +tp4145 +a(g827 +g1028 +tp4146 +a(g706 +g1024 +tp4147 +a(g441 +Vsquare-to-xy +p4148 +tp4149 +a(g827 +g1028 +tp4150 +a(g117 +Vsquare +p4151 +tp4152 +a(g706 +g1037 +tp4153 +a(g706 +g1037 +tp4154 +a(g827 +V\u000a +p4155 +tp4156 +a(g898 +V; delete original piece +p4157 +tp4158 +a(g827 +V\u000a +p4159 +tp4160 +a(g706 +g1024 +tp4161 +a(g441 +Vgs +p4162 +tp4163 +a(g413 +g2842 +tp4164 +a(g745 +Vdelete +p4165 +tp4166 +a(g745 +g1134 +tp4167 +a(g117 +Vtag +p4168 +tp4169 +a(g827 +g1028 +tp4170 +a(g706 +g1024 +tp4171 +a(g745 +Vstring +p4172 +tp4173 +a(g827 +g1028 +tp4174 +a(g117 +g3356 +tp4175 +a(g827 +g1028 +tp4176 +a(g117 +g3359 +tp4177 +a(g706 +g1037 +tp4178 +a(g706 +g1037 +tp4179 +a(g827 +V\u000a +p4180 +tp4181 +a(g706 +g1024 +tp4182 +a(g745 +Vset +p4183 +tp4184 +a(g827 +g1028 +tp4185 +a(g413 +g1030 +tp4186 +a(g117 +Vcolour +p4187 +tp4188 +a(g827 +g1028 +tp4189 +a(g706 +g1024 +tp4190 +a(g745 +Vif +p4191 +tp4192 +a(g827 +g1028 +tp4193 +a(g706 +g1024 +tp4194 +a(g441 +g1407 +tp4195 +a(g827 +g1028 +tp4196 +a(g117 +Vplayer +p4197 +tp4198 +a(g827 +g1028 +tp4199 +a(g117 +g1062 +tp4200 +a(g706 +g1037 +tp4201 +a(g827 +g1028 +tp4202 +a(g117 +Vgs +p4203 +tp4204 +a(g413 +g2842 +tp4205 +a(g117 +Vblack +p4206 +tp4207 +a(g827 +g1028 +tp4208 +a(g117 +Vgs +p4209 +tp4210 +a(g413 +g2842 +tp4211 +a(g117 +Vwhite +p4212 +tp4213 +a(g827 +g1028 +tp4214 +a(g706 +g1037 +tp4215 +a(g706 +g1037 +tp4216 +a(g827 +V \u000a +p4217 +tp4218 +a(g706 +g1024 +tp4219 +a(g745 +Vfor +p4220 +tp4221 +a(g827 +g1028 +tp4222 +a(g706 +g1024 +tp4223 +a(g441 +g1390 +tp4224 +a(g827 +g1028 +tp4225 +a(g117 +Vwidth +p4226 +tp4227 +a(g827 +V +p4228 +tp4229 +a(g117 +g1049 +tp4230 +a(g827 +g1028 +tp4231 +a(g745 +g1134 +tp4232 +a(g117 +g1075 +tp4233 +a(g706 +g1037 +tp4234 +a(g827 +V\u000a +p4235 +tp4236 +a(g706 +g1024 +tp4237 +a(g441 +Vgs +p4238 +tp4239 +a(g413 +g2842 +tp4240 +a(g117 +Vfill-ellipse +p4241 +tp4242 +a(g827 +V \u000a +p4243 +tp4244 +a(g706 +g1024 +tp4245 +a(g745 +Vstring +p4246 +tp4247 +a(g827 +g1028 +tp4248 +a(g117 +g3356 +tp4249 +a(g827 +g1028 +tp4250 +a(g117 +g3359 +tp4251 +a(g827 +g1028 +tp4252 +a(g89 +g1343 +tp4253 +a(g89 +Vflip +p4254 +tp4255 +a(g89 +g1346 +tp4256 +a(g827 +g1028 +tp4257 +a(g117 +g1390 +tp4258 +a(g706 +g1037 +tp4259 +a(g827 +V \u000a +p4260 +tp4261 +a(g706 +g1024 +tp4262 +a(g441 +g1088 +tp4263 +a(g827 +g1028 +tp4264 +a(g117 +g3359 +tp4265 +a(g827 +g1028 +tp4266 +a(g117 +Vsize +p4267 +tp4268 +a(g706 +g1037 +tp4269 +a(g827 +g1028 +tp4270 +a(g898 +V; y first :-) !!! +p4271 +tp4272 +a(g827 +V\u000a +p4273 +tp4274 +a(g706 +g1024 +tp4275 +a(g441 +g1088 +tp4276 +a(g827 +g1028 +tp4277 +a(g117 +g3356 +tp4278 +a(g827 +g1028 +tp4279 +a(g117 +Vsize +p4280 +tp4281 +a(g706 +g1037 +tp4282 +a(g827 +V \u000a +p4283 +tp4284 +a(g117 +g1390 +tp4285 +a(g827 +V \u000a +p4286 +tp4287 +a(g117 +Vwidth +p4288 +tp4289 +a(g827 +V\u000a +p4290 +tp4291 +a(g117 +Vcolour +p4292 +tp4293 +a(g706 +g1037 +tp4294 +a(g827 +V\u000a +p4295 +tp4296 +a(g706 +g1024 +tp4297 +a(g745 +Vsleep +p4298 +tp4299 +a(g827 +g1028 +tp4300 +a(g117 +V20 +p4301 +tp4302 +a(g706 +g1037 +tp4303 +a(g827 +V +p4304 +tp4305 +a(g898 +V; this might need adjusting... +p4306 +tp4307 +a(g827 +V\u000a +p4308 +tp4309 +a(g706 +g1024 +tp4310 +a(g441 +Vgs +p4311 +tp4312 +a(g413 +g2842 +tp4313 +a(g745 +Vdelete +p4314 +tp4315 +a(g745 +g1134 +tp4316 +a(g117 +Vtag +p4317 +tp4318 +a(g827 +g1028 +tp4319 +a(g706 +g1024 +tp4320 +a(g745 +Vstring +p4321 +tp4322 +a(g827 +g1028 +tp4323 +a(g117 +g3356 +tp4324 +a(g827 +g1028 +tp4325 +a(g117 +g3359 +tp4326 +a(g827 +g1028 +tp4327 +a(g89 +g1343 +tp4328 +a(g89 +Vflip +p4329 +tp4330 +a(g89 +g1346 +tp4331 +a(g827 +g1028 +tp4332 +a(g117 +g1390 +tp4333 +a(g706 +g1037 +tp4334 +a(g706 +g1037 +tp4335 +a(g827 +V\u000a +p4336 +tp4337 +a(g706 +g1037 +tp4338 +a(g827 +V\u000a +p4339 +tp4340 +a(g706 +g1024 +tp4341 +a(g745 +Vset +p4342 +tp4343 +a(g827 +g1028 +tp4344 +a(g413 +g1030 +tp4345 +a(g117 +Vcolour +p4346 +tp4347 +a(g827 +g1028 +tp4348 +a(g706 +g1024 +tp4349 +a(g745 +Vif +p4350 +tp4351 +a(g827 +g1028 +tp4352 +a(g706 +g1024 +tp4353 +a(g441 +g1407 +tp4354 +a(g827 +g1028 +tp4355 +a(g117 +Vplayer +p4356 +tp4357 +a(g827 +g1028 +tp4358 +a(g117 +g1062 +tp4359 +a(g706 +g1037 +tp4360 +a(g827 +g1028 +tp4361 +a(g117 +Vgs +p4362 +tp4363 +a(g413 +g2842 +tp4364 +a(g117 +Vwhite +p4365 +tp4366 +a(g827 +g1028 +tp4367 +a(g117 +Vgs +p4368 +tp4369 +a(g413 +g2842 +tp4370 +a(g117 +Vblack +p4371 +tp4372 +a(g706 +g1037 +tp4373 +a(g706 +g1037 +tp4374 +a(g827 +V\u000a +p4375 +tp4376 +a(g706 +g1024 +tp4377 +a(g745 +Vfor +p4378 +tp4379 +a(g827 +g1028 +tp4380 +a(g706 +g1024 +tp4381 +a(g441 +g1390 +tp4382 +a(g827 +g1028 +tp4383 +a(g117 +g1049 +tp4384 +a(g827 +g1028 +tp4385 +a(g117 +Vwidth +p4386 +tp4387 +a(g827 +g1028 +tp4388 +a(g117 +g1075 +tp4389 +a(g706 +g1037 +tp4390 +a(g827 +V\u000a +p4391 +tp4392 +a(g706 +g1024 +tp4393 +a(g441 +Vgs +p4394 +tp4395 +a(g413 +g2842 +tp4396 +a(g117 +Vfill-ellipse +p4397 +tp4398 +a(g827 +V \u000a +p4399 +tp4400 +a(g706 +g1024 +tp4401 +a(g745 +Vstring +p4402 +tp4403 +a(g827 +g1028 +tp4404 +a(g117 +g3356 +tp4405 +a(g827 +g1028 +tp4406 +a(g117 +g3359 +tp4407 +a(g827 +g1028 +tp4408 +a(g89 +g1343 +tp4409 +a(g89 +Vflip +p4410 +tp4411 +a(g89 +g1346 +tp4412 +a(g827 +g1028 +tp4413 +a(g117 +g1390 +tp4414 +a(g706 +g1037 +tp4415 +a(g827 +V \u000a +p4416 +tp4417 +a(g706 +g1024 +tp4418 +a(g441 +g1088 +tp4419 +a(g827 +g1028 +tp4420 +a(g117 +g3359 +tp4421 +a(g827 +g1028 +tp4422 +a(g117 +Vsize +p4423 +tp4424 +a(g706 +g1037 +tp4425 +a(g827 +g1028 +tp4426 +a(g898 +V; :-) !!! +p4427 +tp4428 +a(g827 +V\u000a +p4429 +tp4430 +a(g706 +g1024 +tp4431 +a(g441 +g1088 +tp4432 +a(g827 +g1028 +tp4433 +a(g117 +g3356 +tp4434 +a(g827 +g1028 +tp4435 +a(g117 +Vsize +p4436 +tp4437 +a(g706 +g1037 +tp4438 +a(g827 +V \u000a +p4439 +tp4440 +a(g117 +g1390 +tp4441 +a(g827 +V \u000a +p4442 +tp4443 +a(g117 +Vwidth +p4444 +tp4445 +a(g827 +V\u000a +p4446 +tp4447 +a(g117 +Vcolour +p4448 +tp4449 +a(g706 +g1037 +tp4450 +a(g827 +V\u000a +p4451 +tp4452 +a(g706 +g1024 +tp4453 +a(g745 +Vsleep +p4454 +tp4455 +a(g827 +g1028 +tp4456 +a(g117 +V20 +p4457 +tp4458 +a(g706 +g1037 +tp4459 +a(g827 +V \u000a +p4460 +tp4461 +a(g706 +g1024 +tp4462 +a(g441 +Vgs +p4463 +tp4464 +a(g413 +g2842 +tp4465 +a(g745 +Vdelete +p4466 +tp4467 +a(g745 +g1134 +tp4468 +a(g117 +Vtag +p4469 +tp4470 +a(g827 +g1028 +tp4471 +a(g706 +g1024 +tp4472 +a(g745 +Vstring +p4473 +tp4474 +a(g827 +g1028 +tp4475 +a(g117 +g3356 +tp4476 +a(g827 +g1028 +tp4477 +a(g117 +g3359 +tp4478 +a(g827 +g1028 +tp4479 +a(g89 +g1343 +tp4480 +a(g89 +Vflip +p4481 +tp4482 +a(g89 +g1346 +tp4483 +a(g827 +g1028 +tp4484 +a(g117 +g1390 +tp4485 +a(g706 +g1037 +tp4486 +a(g706 +g1037 +tp4487 +a(g827 +V\u000a +p4488 +tp4489 +a(g706 +g1037 +tp4490 +a(g827 +V\u000a +p4491 +tp4492 +a(g898 +V; draw the piece again +p4493 +tp4494 +a(g827 +V\u000a +p4495 +tp4496 +a(g706 +g1024 +tp4497 +a(g441 +Vgs +p4498 +tp4499 +a(g413 +g2842 +tp4500 +a(g117 +Vfill-circle +p4501 +tp4502 +a(g827 +V \u000a +p4503 +tp4504 +a(g706 +g1024 +tp4505 +a(g745 +Vstring +p4506 +tp4507 +a(g827 +g1028 +tp4508 +a(g117 +g3356 +tp4509 +a(g827 +g1028 +tp4510 +a(g117 +g3359 +tp4511 +a(g706 +g1037 +tp4512 +a(g827 +V \u000a +p4513 +tp4514 +a(g706 +g1024 +tp4515 +a(g441 +g1088 +tp4516 +a(g827 +g1028 +tp4517 +a(g117 +g3359 +tp4518 +a(g827 +g1028 +tp4519 +a(g117 +Vsize +p4520 +tp4521 +a(g706 +g1037 +tp4522 +a(g827 +V\u000a +p4523 +tp4524 +a(g706 +g1024 +tp4525 +a(g441 +g1088 +tp4526 +a(g827 +g1028 +tp4527 +a(g117 +g3356 +tp4528 +a(g827 +g1028 +tp4529 +a(g117 +Vsize +p4530 +tp4531 +a(g706 +g1037 +tp4532 +a(g827 +V \u000a +p4533 +tp4534 +a(g117 +Vwidth +p4535 +tp4536 +a(g827 +V\u000a +p4537 +tp4538 +a(g117 +Vcolour +p4539 +tp4540 +a(g706 +g1037 +tp4541 +a(g827 +V\u000a +p4542 +tp4543 +a(g706 +g1037 +tp4544 +a(g827 +V\u000a +p4545 +tp4546 +a(g706 +g1037 +tp4547 +a(g827 +V\u000a\u000a +p4548 +tp4549 +a(g706 +g1024 +tp4550 +a(g745 +Vdefine +p4551 +tp4552 +a(g827 +g1028 +tp4553 +a(g706 +g1024 +tp4554 +a(g441 +Vdo-move +p4555 +tp4556 +a(g827 +g1028 +tp4557 +a(g117 +Vmove +p4558 +tp4559 +a(g827 +g1028 +tp4560 +a(g117 +Vplayer +p4561 +tp4562 +a(g706 +g1037 +tp4563 +a(g827 +V\u000a +p4564 +tp4565 +a(g706 +g1024 +tp4566 +a(g745 +Vcond +p4567 +tp4568 +a(g827 +V \u000a +p4569 +tp4570 +a(g898 +V; check if the move is good ... +p4571 +tp4572 +a(g827 +V\u000a +p4573 +tp4574 +a(g706 +g1024 +tp4575 +a(g706 +g1024 +tp4576 +a(g745 +Vand +p4577 +tp4578 +a(g827 +g1028 +tp4579 +a(g706 +g1024 +tp4580 +a(g441 +V!= +p4581 +tp4582 +a(g827 +g1028 +tp4583 +a(g117 +Vplayer +p4584 +tp4585 +a(g827 +g1028 +tp4586 +a(g745 +Vnil +p4587 +tp4588 +a(g706 +g1037 +tp4589 +a(g827 +V\u000a +p4590 +tp4591 +a(g706 +g1024 +tp4592 +a(g441 +Vvalid-move? +p4593 +tp4594 +a(g827 +g1028 +tp4595 +a(g117 +Vmove +p4596 +tp4597 +a(g706 +g1037 +tp4598 +a(g827 +V\u000a +p4599 +tp4600 +a(g706 +g1024 +tp4601 +a(g441 +Vlegal-move? +p4602 +tp4603 +a(g827 +g1028 +tp4604 +a(g117 +Vmove +p4605 +tp4606 +a(g827 +g1028 +tp4607 +a(g117 +Vplayer +p4608 +tp4609 +a(g706 +g1037 +tp4610 +a(g706 +g1037 +tp4611 +a(g827 +V\u000a \u000a +p4612 +tp4613 +a(g898 +V; ... play it +p4614 +tp4615 +a(g827 +V\u000a +p4616 +tp4617 +a(g898 +V; make move on board +p4618 +tp4619 +a(g827 +V\u000a +p4620 +tp4621 +a(g706 +g1024 +tp4622 +a(g441 +Vmake-move +p4623 +tp4624 +a(g827 +g1028 +tp4625 +a(g117 +Vmove +p4626 +tp4627 +a(g827 +g1028 +tp4628 +a(g117 +Vplayer +p4629 +tp4630 +a(g706 +g1037 +tp4631 +a(g827 +V\u000a +p4632 +tp4633 +a(g898 +V; and on screen +p4634 +tp4635 +a(g827 +V\u000a +p4636 +tp4637 +a(g706 +g1024 +tp4638 +a(g441 +Vdraw-piece +p4639 +tp4640 +a(g827 +g1028 +tp4641 +a(g117 +Vmove +p4642 +tp4643 +a(g827 +g1028 +tp4644 +a(g706 +g1024 +tp4645 +a(g441 +Vplayer-name +p4646 +tp4647 +a(g827 +g1028 +tp4648 +a(g117 +Vplayer +p4649 +tp4650 +a(g706 +g1037 +tp4651 +a(g706 +g1037 +tp4652 +a(g827 +V\u000a +p4653 +tp4654 +a(g706 +g1024 +tp4655 +a(g441 +Vgs +p4656 +tp4657 +a(g413 +g2842 +tp4658 +a(g117 +Vupdate +p4659 +tp4660 +a(g706 +g1037 +tp4661 +a(g827 +V\u000a +p4662 +tp4663 +a(g898 +V; do flipping stuff +p4664 +tp4665 +a(g827 +V\u000a \u000a +p4666 +tp4667 +a(g898 +V; wait for a while +p4668 +tp4669 +a(g827 +V\u000a +p4670 +tp4671 +a(g706 +g1024 +tp4672 +a(g745 +Vsleep +p4673 +tp4674 +a(g827 +g1028 +tp4675 +a(g117 +V1000 +p4676 +tp4677 +a(g706 +g1037 +tp4678 +a(g827 +V\u000a \u000a +p4679 +tp4680 +a(g898 +V; then do flipping +p4681 +tp4682 +a(g827 +V\u000a +p4683 +tp4684 +a(g706 +g1024 +tp4685 +a(g745 +Vdolist +p4686 +tp4687 +a(g827 +g1028 +tp4688 +a(g706 +g1024 +tp4689 +a(g441 +Vf +p4690 +tp4691 +a(g827 +g1028 +tp4692 +a(g745 +g1088 +tp4693 +a(g117 +Vflips* +p4694 +tp4695 +a(g706 +g1037 +tp4696 +a(g827 +V\u000a +p4697 +tp4698 +a(g706 +g1024 +tp4699 +a(g441 +Vflip-piece +p4700 +tp4701 +a(g827 +g1028 +tp4702 +a(g117 +g4690 +tp4703 +a(g827 +g1028 +tp4704 +a(g117 +Vplayer +p4705 +tp4706 +a(g706 +g1037 +tp4707 +a(g706 +g1037 +tp4708 +a(g827 +V\u000a \u000a +p4709 +tp4710 +a(g706 +g1024 +tp4711 +a(g745 +Vinc +p4712 +tp4713 +a(g827 +g1028 +tp4714 +a(g745 +g1088 +tp4715 +a(g117 +Vmove-number* +p4716 +tp4717 +a(g706 +g1037 +tp4718 +a(g827 +V\u000a +p4719 +tp4720 +a(g706 +g1024 +tp4721 +a(g441 +Vdraw-piece +p4722 +tp4723 +a(g827 +g1028 +tp4724 +a(g117 +Vmove +p4725 +tp4726 +a(g827 +g1028 +tp4727 +a(g706 +g1024 +tp4728 +a(g441 +Vplayer-name +p4729 +tp4730 +a(g827 +g1028 +tp4731 +a(g117 +Vplayer +p4732 +tp4733 +a(g706 +g1037 +tp4734 +a(g706 +g1037 +tp4735 +a(g827 +V\u000a +p4736 +tp4737 +a(g706 +g1024 +tp4738 +a(g441 +Vgs +p4739 +tp4740 +a(g413 +g2842 +tp4741 +a(g117 +Vupdate +p4742 +tp4743 +a(g706 +g1037 +tp4744 +a(g827 +V\u000a\u000a +p4745 +tp4746 +a(g898 +V; update scores +p4747 +tp4748 +a(g827 +V\u000a +p4749 +tp4750 +a(g706 +g1024 +tp4751 +a(g441 +Vgs +p4752 +tp4753 +a(g413 +g2842 +tp4754 +a(g745 +Vset +p4755 +tp4756 +a(g745 +g1134 +tp4757 +a(g117 +Vtext +p4758 +tp4759 +a(g827 +g1028 +tp4760 +a(g413 +g1030 +tp4761 +a(g117 +VWhiteScore +p4762 +tp4763 +a(g827 +V \u000a +p4764 +tp4765 +a(g706 +g1024 +tp4766 +a(g745 +Vstring +p4767 +tp4768 +a(g827 +g1028 +tp4769 +a(g89 +V"White: " +p4770 +tp4771 +a(g827 +g1028 +tp4772 +a(g706 +g1024 +tp4773 +a(g745 +Vfirst +p4774 +tp4775 +a(g827 +g1028 +tp4776 +a(g706 +g1024 +tp4777 +a(g745 +Vcount +p4778 +tp4779 +a(g827 +g1028 +tp4780 +a(g706 +g1024 +tp4781 +a(g745 +Vlist +p4782 +tp4783 +a(g827 +g1028 +tp4784 +a(g117 +Vwhite +p4785 +tp4786 +a(g706 +g1037 +tp4787 +a(g827 +g1028 +tp4788 +a(g745 +g1088 +tp4789 +a(g117 +Vboard* +p4790 +tp4791 +a(g706 +g1037 +tp4792 +a(g706 +g1037 +tp4793 +a(g706 +g1037 +tp4794 +a(g706 +g1037 +tp4795 +a(g827 +V\u000a +p4796 +tp4797 +a(g706 +g1024 +tp4798 +a(g441 +Vgs +p4799 +tp4800 +a(g413 +g2842 +tp4801 +a(g745 +Vset +p4802 +tp4803 +a(g745 +g1134 +tp4804 +a(g117 +Vtext +p4805 +tp4806 +a(g827 +g1028 +tp4807 +a(g413 +g1030 +tp4808 +a(g117 +VBlackScore +p4809 +tp4810 +a(g827 +V\u000a +p4811 +tp4812 +a(g706 +g1024 +tp4813 +a(g745 +Vstring +p4814 +tp4815 +a(g827 +g1028 +tp4816 +a(g89 +V"Black: " +p4817 +tp4818 +a(g827 +g1028 +tp4819 +a(g706 +g1024 +tp4820 +a(g745 +Vfirst +p4821 +tp4822 +a(g827 +g1028 +tp4823 +a(g706 +g1024 +tp4824 +a(g745 +Vcount +p4825 +tp4826 +a(g827 +g1028 +tp4827 +a(g706 +g1024 +tp4828 +a(g745 +Vlist +p4829 +tp4830 +a(g827 +g1028 +tp4831 +a(g117 +Vblack +p4832 +tp4833 +a(g706 +g1037 +tp4834 +a(g827 +g1028 +tp4835 +a(g745 +g1088 +tp4836 +a(g117 +Vboard* +p4837 +tp4838 +a(g706 +g1037 +tp4839 +a(g706 +g1037 +tp4840 +a(g706 +g1037 +tp4841 +a(g706 +g1037 +tp4842 +a(g827 +V\u000a +p4843 +tp4844 +a(g706 +g1037 +tp4845 +a(g827 +V\u000a +p4846 +tp4847 +a(g898 +V; or return nil +p4848 +tp4849 +a(g827 +V\u000a +p4850 +tp4851 +a(g706 +g1024 +tp4852 +a(g745 +Vtrue +p4853 +tp4854 +a(g827 +V \u000a +p4855 +tp4856 +a(g745 +Vnil +p4857 +tp4858 +a(g706 +g1037 +tp4859 +a(g706 +g1037 +tp4860 +a(g706 +g1037 +tp4861 +a(g827 +V\u000a\u000a +p4862 +tp4863 +a(g898 +V; the game is driven by the mouse clicks of the user +p4864 +tp4865 +a(g827 +V\u000a +p4866 +tp4867 +a(g898 +V; in reply, the computer plays a black piece +p4868 +tp4869 +a(g827 +V\u000a +p4870 +tp4871 +a(g898 +V; premature clicking is possible and possibly a bad thing... +p4872 +tp4873 +a(g827 +V\u000a\u000a +p4874 +tp4875 +a(g706 +g1024 +tp4876 +a(g745 +Vdefine +p4877 +tp4878 +a(g827 +g1028 +tp4879 +a(g706 +g1024 +tp4880 +a(g441 +Vmouse-released-action +p4881 +tp4882 +a(g827 +g1028 +tp4883 +a(g117 +g3356 +tp4884 +a(g827 +g1028 +tp4885 +a(g117 +g3359 +tp4886 +a(g827 +g1028 +tp4887 +a(g117 +Vbutton +p4888 +tp4889 +a(g827 +g1028 +tp4890 +a(g117 +Vmodifiers +p4891 +tp4892 +a(g827 +g1028 +tp4893 +a(g117 +Vtags +p4894 +tp4895 +a(g706 +g1037 +tp4896 +a(g827 +V\u000a +p4897 +tp4898 +a(g898 +V; extract the tag of the clicked square +p4899 +tp4900 +a(g827 +V\u000a +p4901 +tp4902 +a(g706 +g1024 +tp4903 +a(g745 +Vset +p4904 +tp4905 +a(g827 +g1028 +tp4906 +a(g413 +g1030 +tp4907 +a(g117 +Vmove +p4908 +tp4909 +a(g827 +g1028 +tp4910 +a(g706 +g1024 +tp4911 +a(g745 +Vint +p4912 +tp4913 +a(g827 +g1028 +tp4914 +a(g706 +g1024 +tp4915 +a(g745 +Vstring +p4916 +tp4917 +a(g827 +g1028 +tp4918 +a(g706 +g1024 +tp4919 +a(g745 +Vfirst +p4920 +tp4921 +a(g827 +g1028 +tp4922 +a(g117 +Vtags +p4923 +tp4924 +a(g706 +g1037 +tp4925 +a(g706 +g1037 +tp4926 +a(g827 +g1028 +tp4927 +a(g117 +g1035 +tp4928 +a(g827 +g1028 +tp4929 +a(g117 +V10 +p4930 +tp4931 +a(g706 +g1037 +tp4932 +a(g706 +g1037 +tp4933 +a(g827 +V\u000a +p4934 +tp4935 +a(g706 +g1024 +tp4936 +a(g745 +Vif +p4937 +tp4938 +a(g827 +g1028 +tp4939 +a(g706 +g1024 +tp4940 +a(g441 +Vdo-move +p4941 +tp4942 +a(g827 +g1028 +tp4943 +a(g117 +Vmove +p4944 +tp4945 +a(g827 +g1028 +tp4946 +a(g117 +Vplayer +p4947 +tp4948 +a(g706 +g1037 +tp4949 +a(g827 +V\u000a +p4950 +tp4951 +a(g706 +g1024 +tp4952 +a(g745 +Vbegin +p4953 +tp4954 +a(g827 +V\u000a +p4955 +tp4956 +a(g706 +g1024 +tp4957 +a(g745 +Vset +p4958 +tp4959 +a(g827 +g1028 +tp4960 +a(g413 +g1030 +tp4961 +a(g117 +Vplayer +p4962 +tp4963 +a(g827 +g1028 +tp4964 +a(g706 +g1024 +tp4965 +a(g441 +Vnext-to-play +p4966 +tp4967 +a(g827 +g1028 +tp4968 +a(g117 +Vplayer +p4969 +tp4970 +a(g706 +g1037 +tp4971 +a(g706 +g1037 +tp4972 +a(g827 +V\u000a +p4973 +tp4974 +a(g898 +V; there is a training mode - legal squares are highlighted +p4975 +tp4976 +a(g827 +V\u000a +p4977 +tp4978 +a(g898 +V; you can uncomment the next line... +p4979 +tp4980 +a(g827 +V\u000a +p4981 +tp4982 +a(g898 +V; (show-legal-moves player) +p4983 +tp4984 +a(g827 +V\u000a +p4985 +tp4986 +a(g706 +g1024 +tp4987 +a(g441 +Vgs +p4988 +tp4989 +a(g413 +g2842 +tp4990 +a(g117 +Vupdate +p4991 +tp4992 +a(g706 +g1037 +tp4993 +a(g827 +V\u000a \u000a +p4994 +tp4995 +a(g898 +V; wait for black's reply +p4996 +tp4997 +a(g827 +V\u000a +p4998 +tp4999 +a(g706 +g1024 +tp5000 +a(g441 +Vgs +p5001 +tp5002 +a(g413 +g2842 +tp5003 +a(g745 +Vset +p5004 +tp5005 +a(g745 +g1134 +tp5006 +a(g117 +Vcursor +p5007 +tp5008 +a(g827 +g1028 +tp5009 +a(g413 +g1030 +tp5010 +a(g117 +VReversi +p5011 +tp5012 +a(g827 +g1028 +tp5013 +a(g89 +V"wait" +p5014 +tp5015 +a(g706 +g1037 +tp5016 +a(g827 +V\u000a +p5017 +tp5018 +a(g706 +g1024 +tp5019 +a(g441 +Vgs +p5020 +tp5021 +a(g413 +g2842 +tp5022 +a(g745 +Vset +p5023 +tp5024 +a(g745 +g1134 +tp5025 +a(g117 +Vtext +p5026 +tp5027 +a(g827 +g1028 +tp5028 +a(g413 +g1030 +tp5029 +a(g117 +VStart +p5030 +tp5031 +a(g827 +g1028 +tp5032 +a(g89 +V"black's move - thinking..." +p5033 +tp5034 +a(g706 +g1037 +tp5035 +a(g827 +V\u000a +p5036 +tp5037 +a(g898 +V; give the illusion of Deep Thought... +p5038 +tp5039 +a(g827 +V\u000a +p5040 +tp5041 +a(g706 +g1024 +tp5042 +a(g745 +Vsleep +p5043 +tp5044 +a(g827 +g1028 +tp5045 +a(g117 +V2000 +p5046 +tp5047 +a(g706 +g1037 +tp5048 +a(g827 +V\u000a +p5049 +tp5050 +a(g898 +V; black's reply +p5051 +tp5052 +a(g827 +V\u000a +p5053 +tp5054 +a(g898 +V; currently only the random strategy has been defined... +p5055 +tp5056 +a(g827 +V\u000a +p5057 +tp5058 +a(g706 +g1024 +tp5059 +a(g745 +Vset +p5060 +tp5061 +a(g827 +g1028 +tp5062 +a(g413 +g1030 +tp5063 +a(g117 +Vstrategy +p5064 +tp5065 +a(g827 +g1028 +tp5066 +a(g745 +Vrandom +p5067 +tp5068 +a(g745 +g1134 +tp5069 +a(g117 +Vstrategy +p5070 +tp5071 +a(g706 +g1037 +tp5072 +a(g827 +V\u000a +p5073 +tp5074 +a(g706 +g1024 +tp5075 +a(g745 +Vset +p5076 +tp5077 +a(g827 +g1028 +tp5078 +a(g413 +g1030 +tp5079 +a(g117 +Vmove +p5080 +tp5081 +a(g827 +g1028 +tp5082 +a(g706 +g1024 +tp5083 +a(g745 +Vapply +p5084 +tp5085 +a(g827 +g1028 +tp5086 +a(g117 +Vstrategy +p5087 +tp5088 +a(g827 +g1028 +tp5089 +a(g706 +g1024 +tp5090 +a(g745 +Vlist +p5091 +tp5092 +a(g827 +g1028 +tp5093 +a(g117 +Vplayer +p5094 +tp5095 +a(g706 +g1037 +tp5096 +a(g706 +g1037 +tp5097 +a(g706 +g1037 +tp5098 +a(g827 +V\u000a +p5099 +tp5100 +a(g706 +g1024 +tp5101 +a(g441 +Vdo-move +p5102 +tp5103 +a(g827 +g1028 +tp5104 +a(g117 +Vmove +p5105 +tp5106 +a(g827 +g1028 +tp5107 +a(g117 +Vplayer +p5108 +tp5109 +a(g706 +g1037 +tp5110 +a(g827 +V\u000a +p5111 +tp5112 +a(g706 +g1024 +tp5113 +a(g745 +Vset +p5114 +tp5115 +a(g827 +g1028 +tp5116 +a(g413 +g1030 +tp5117 +a(g117 +Vplayer +p5118 +tp5119 +a(g827 +g1028 +tp5120 +a(g706 +g1024 +tp5121 +a(g441 +Vnext-to-play +p5122 +tp5123 +a(g827 +g1028 +tp5124 +a(g117 +Vplayer +p5125 +tp5126 +a(g706 +g1037 +tp5127 +a(g706 +g1037 +tp5128 +a(g827 +V\u000a +p5129 +tp5130 +a(g898 +V; (show-legal-moves player) ; to see black's moves +p5131 +tp5132 +a(g827 +V\u000a +p5133 +tp5134 +a(g706 +g1024 +tp5135 +a(g441 +Vgs +p5136 +tp5137 +a(g413 +g2842 +tp5138 +a(g745 +Vset +p5139 +tp5140 +a(g745 +g1134 +tp5141 +a(g117 +Vtext +p5142 +tp5143 +a(g827 +g1028 +tp5144 +a(g413 +g1030 +tp5145 +a(g117 +VStart +p5146 +tp5147 +a(g827 +g1028 +tp5148 +a(g89 +V"your move" +p5149 +tp5150 +a(g706 +g1037 +tp5151 +a(g827 +V\u000a +p5152 +tp5153 +a(g706 +g1024 +tp5154 +a(g441 +Vgs +p5155 +tp5156 +a(g413 +g2842 +tp5157 +a(g745 +Vset +p5158 +tp5159 +a(g745 +g1134 +tp5160 +a(g117 +Vcursor +p5161 +tp5162 +a(g827 +g1028 +tp5163 +a(g413 +g1030 +tp5164 +a(g117 +VReversi +p5165 +tp5166 +a(g827 +g1028 +tp5167 +a(g89 +V"default" +p5168 +tp5169 +a(g706 +g1037 +tp5170 +a(g827 +V\u000a +p5171 +tp5172 +a(g706 +g1024 +tp5173 +a(g441 +Vgs +p5174 +tp5175 +a(g413 +g2842 +tp5176 +a(g117 +Vupdate +p5177 +tp5178 +a(g706 +g1037 +tp5179 +a(g706 +g1037 +tp5180 +a(g706 +g1037 +tp5181 +a(g706 +g1037 +tp5182 +a(g827 +V\u000a\u000a +p5183 +tp5184 +a(g706 +g1024 +tp5185 +a(g745 +Vdefine +p5186 +tp5187 +a(g827 +g1028 +tp5188 +a(g706 +g1024 +tp5189 +a(g441 +Vstart-game +p5190 +tp5191 +a(g706 +g1037 +tp5192 +a(g827 +V\u000a +p5193 +tp5194 +a(g706 +g1024 +tp5195 +a(g441 +Vgs +p5196 +tp5197 +a(g413 +g2842 +tp5198 +a(g745 +Vset +p5199 +tp5200 +a(g745 +g1134 +tp5201 +a(g117 +Vtext +p5202 +tp5203 +a(g827 +g1028 +tp5204 +a(g413 +g1030 +tp5205 +a(g117 +VStart +p5206 +tp5207 +a(g827 +g1028 +tp5208 +a(g89 +V"Click a square to place a piece!" +p5209 +tp5210 +a(g706 +g1037 +tp5211 +a(g827 +V\u000a +p5212 +tp5213 +a(g706 +g1024 +tp5214 +a(g441 +Vgs +p5215 +tp5216 +a(g413 +g2842 +tp5217 +a(g117 +Vdisable +p5218 +tp5219 +a(g827 +g1028 +tp5220 +a(g413 +g1030 +tp5221 +a(g117 +VStart +p5222 +tp5223 +a(g706 +g1037 +tp5224 +a(g827 +V\u000a +p5225 +tp5226 +a(g706 +g1024 +tp5227 +a(g745 +Vset +p5228 +tp5229 +a(g827 +g1028 +tp5230 +a(g413 +g1030 +tp5231 +a(g117 +Vplayer +p5232 +tp5233 +a(g827 +g1028 +tp5234 +a(g117 +Vwhite +p5235 +tp5236 +a(g706 +g1037 +tp5237 +a(g706 +g1037 +tp5238 +a(g827 +V\u000a\u000a +p5239 +tp5240 +a(g706 +g1024 +tp5241 +a(g745 +Vdefine +p5242 +tp5243 +a(g827 +g1028 +tp5244 +a(g706 +g1024 +tp5245 +a(g441 +Vstart +p5246 +tp5247 +a(g706 +g1037 +tp5248 +a(g827 +V\u000a +p5249 +tp5250 +a(g706 +g1024 +tp5251 +a(g441 +Vgs +p5252 +tp5253 +a(g413 +g2842 +tp5254 +a(g745 +Vset +p5255 +tp5256 +a(g745 +g1134 +tp5257 +a(g117 +Vtext +p5258 +tp5259 +a(g827 +g1028 +tp5260 +a(g413 +g1030 +tp5261 +a(g117 +VStart +p5262 +tp5263 +a(g827 +g1028 +tp5264 +a(g89 +V"Start" +p5265 +tp5266 +a(g706 +g1037 +tp5267 +a(g827 +V\u000a +p5268 +tp5269 +a(g706 +g1024 +tp5270 +a(g441 +Vgs +p5271 +tp5272 +a(g413 +g2842 +tp5273 +a(g117 +Venable +p5274 +tp5275 +a(g827 +g1028 +tp5276 +a(g413 +g1030 +tp5277 +a(g117 +VStart +p5278 +tp5279 +a(g706 +g1037 +tp5280 +a(g827 +V\u000a +p5281 +tp5282 +a(g706 +g1024 +tp5283 +a(g745 +Vset +p5284 +tp5285 +a(g827 +V +p5286 +tp5287 +a(g413 +g1030 +tp5288 +a(g745 +g1088 +tp5289 +a(g117 +Vmove-number* +p5290 +tp5291 +a(g827 +g1028 +tp5292 +a(g117 +g1049 +tp5293 +a(g827 +V\u000a +p5294 +tp5295 +a(g413 +g1030 +tp5296 +a(g745 +g1088 +tp5297 +a(g117 +Vflips* +p5298 +tp5299 +a(g827 +g1028 +tp5300 +a(g413 +g1030 +tp5301 +a(g706 +g1024 +tp5302 +a(g706 +g1037 +tp5303 +a(g706 +g1037 +tp5304 +a(g827 +V\u000a +p5305 +tp5306 +a(g706 +g1024 +tp5307 +a(g441 +Vinitial-board +p5308 +tp5309 +a(g706 +g1037 +tp5310 +a(g827 +V\u000a +p5311 +tp5312 +a(g706 +g1024 +tp5313 +a(g441 +Vdraw-board +p5314 +tp5315 +a(g706 +g1037 +tp5316 +a(g827 +V\u000a +p5317 +tp5318 +a(g706 +g1024 +tp5319 +a(g441 +Vdraw-first-four-pieces +p5320 +tp5321 +a(g706 +g1037 +tp5322 +a(g706 +g1037 +tp5323 +a(g827 +V\u000a\u000a +p5324 +tp5325 +a(g706 +g1024 +tp5326 +a(g441 +Vstart +p5327 +tp5328 +a(g706 +g1037 +tp5329 +a(g827 +V\u000a\u000a +p5330 +tp5331 +a(g706 +g1024 +tp5332 +a(g441 +Vgs +p5333 +tp5334 +a(g413 +g2842 +tp5335 +a(g117 +Vlisten +p5336 +tp5337 +a(g706 +g1037 +tp5338 +a(g827 +V\u000a +p5339 +tp5340 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/rnc_example.rnc b/tests/examplefiles/output/rnc_example.rnc new file mode 100644 index 0000000..8dc1f9c --- /dev/null +++ b/tests/examplefiles/output/rnc_example.rnc @@ -0,0 +1,3532 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# This is a sample RNC file from the tutorial for the 2003 Working Draft +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V# http://relaxng.org/compact-tutorial-20030326.html +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g809 +Velement +p966 +tp967 +a(g440 +V html +p968 +tp969 +a(g705 +V{ +p970 +tp971 +a(g826 +V\u000a +p972 +tp973 +a(g826 +V +p974 +tp975 +a(g826 +g974 +tp976 +a(g809 +Velement +p977 +tp978 +a(g440 +V head +p979 +tp980 +a(g705 +g970 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g826 +g974 +tp984 +a(g826 +g974 +tp985 +a(g826 +g974 +tp986 +a(g826 +g974 +tp987 +a(g809 +Velement +p988 +tp989 +a(g440 +V title +p990 +tp991 +a(g705 +g970 +tp992 +a(g826 +g974 +tp993 +a(g748 +Vtext +p994 +tp995 +a(g826 +g974 +tp996 +a(g705 +V} +p997 +tp998 +a(g826 +V\u000a +p999 +tp1000 +a(g826 +g974 +tp1001 +a(g826 +g974 +tp1002 +a(g705 +g997 +tp1003 +a(g412 +V, +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g826 +g974 +tp1008 +a(g826 +g974 +tp1009 +a(g809 +Velement +p1010 +tp1011 +a(g440 +V body +p1012 +tp1013 +a(g705 +g970 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g826 +g974 +tp1017 +a(g826 +g974 +tp1018 +a(g826 +g974 +tp1019 +a(g826 +g974 +tp1020 +a(g809 +Velement +p1021 +tp1022 +a(g440 +V table +p1023 +tp1024 +a(g705 +g970 +tp1025 +a(g826 +V\u000a +p1026 +tp1027 +a(g826 +g974 +tp1028 +a(g826 +g974 +tp1029 +a(g826 +g974 +tp1030 +a(g826 +g974 +tp1031 +a(g826 +g974 +tp1032 +a(g826 +g974 +tp1033 +a(g809 +Vattribute +p1034 +tp1035 +a(g440 +V class +p1036 +tp1037 +a(g705 +g970 +tp1038 +a(g826 +g974 +tp1039 +a(g157 +V"addressBook" +p1040 +tp1041 +a(g826 +g974 +tp1042 +a(g705 +g997 +tp1043 +a(g412 +g1004 +tp1044 +a(g826 +V\u000a +p1045 +tp1046 +a(g826 +g974 +tp1047 +a(g826 +g974 +tp1048 +a(g826 +g974 +tp1049 +a(g826 +g974 +tp1050 +a(g826 +g974 +tp1051 +a(g826 +g974 +tp1052 +a(g809 +Velement +p1053 +tp1054 +a(g440 +V tr +p1055 +tp1056 +a(g705 +g970 +tp1057 +a(g826 +V\u000a +p1058 +tp1059 +a(g826 +g974 +tp1060 +a(g826 +g974 +tp1061 +a(g826 +g974 +tp1062 +a(g826 +g974 +tp1063 +a(g826 +g974 +tp1064 +a(g826 +g974 +tp1065 +a(g826 +g974 +tp1066 +a(g826 +g974 +tp1067 +a(g809 +Vattribute +p1068 +tp1069 +a(g440 +V class +p1070 +tp1071 +a(g705 +g970 +tp1072 +a(g826 +g974 +tp1073 +a(g157 +V"card" +p1074 +tp1075 +a(g826 +g974 +tp1076 +a(g705 +g997 +tp1077 +a(g412 +g1004 +tp1078 +a(g826 +V\u000a +p1079 +tp1080 +a(g826 +g974 +tp1081 +a(g826 +g974 +tp1082 +a(g826 +g974 +tp1083 +a(g826 +g974 +tp1084 +a(g826 +g974 +tp1085 +a(g826 +g974 +tp1086 +a(g826 +g974 +tp1087 +a(g826 +g974 +tp1088 +a(g809 +Velement +p1089 +tp1090 +a(g440 +V td +p1091 +tp1092 +a(g705 +g970 +tp1093 +a(g826 +V\u000a +p1094 +tp1095 +a(g826 +g974 +tp1096 +a(g826 +g974 +tp1097 +a(g826 +g974 +tp1098 +a(g826 +g974 +tp1099 +a(g826 +g974 +tp1100 +a(g826 +g974 +tp1101 +a(g826 +g974 +tp1102 +a(g826 +g974 +tp1103 +a(g826 +g974 +tp1104 +a(g826 +g974 +tp1105 +a(g809 +Vattribute +p1106 +tp1107 +a(g440 +V class +p1108 +tp1109 +a(g705 +g970 +tp1110 +a(g826 +g974 +tp1111 +a(g157 +V"name" +p1112 +tp1113 +a(g826 +g974 +tp1114 +a(g705 +g997 +tp1115 +a(g412 +g1004 +tp1116 +a(g826 +V\u000a +p1117 +tp1118 +a(g826 +g974 +tp1119 +a(g826 +g974 +tp1120 +a(g826 +g974 +tp1121 +a(g826 +g974 +tp1122 +a(g826 +g974 +tp1123 +a(g826 +g974 +tp1124 +a(g826 +g974 +tp1125 +a(g826 +g974 +tp1126 +a(g826 +g974 +tp1127 +a(g826 +g974 +tp1128 +a(g809 +Vmixed +p1129 +tp1130 +a(g440 +g974 +tp1131 +a(g705 +g970 +tp1132 +a(g826 +V\u000a +p1133 +tp1134 +a(g826 +g974 +tp1135 +a(g826 +g974 +tp1136 +a(g826 +g974 +tp1137 +a(g826 +g974 +tp1138 +a(g826 +g974 +tp1139 +a(g826 +g974 +tp1140 +a(g826 +g974 +tp1141 +a(g826 +g974 +tp1142 +a(g826 +g974 +tp1143 +a(g826 +g974 +tp1144 +a(g826 +g974 +tp1145 +a(g826 +g974 +tp1146 +a(g809 +Velement +p1147 +tp1148 +a(g440 +V span +p1149 +tp1150 +a(g705 +g970 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g826 +g974 +tp1154 +a(g826 +g974 +tp1155 +a(g826 +g974 +tp1156 +a(g826 +g974 +tp1157 +a(g826 +g974 +tp1158 +a(g826 +g974 +tp1159 +a(g826 +g974 +tp1160 +a(g826 +g974 +tp1161 +a(g826 +g974 +tp1162 +a(g826 +g974 +tp1163 +a(g826 +g974 +tp1164 +a(g826 +g974 +tp1165 +a(g826 +g974 +tp1166 +a(g826 +g974 +tp1167 +a(g809 +Vattribute +p1168 +tp1169 +a(g440 +V class +p1170 +tp1171 +a(g705 +g970 +tp1172 +a(g826 +g974 +tp1173 +a(g157 +V"givenName" +p1174 +tp1175 +a(g826 +g974 +tp1176 +a(g705 +g997 +tp1177 +a(g412 +g1004 +tp1178 +a(g826 +V\u000a +p1179 +tp1180 +a(g826 +g974 +tp1181 +a(g826 +g974 +tp1182 +a(g826 +g974 +tp1183 +a(g826 +g974 +tp1184 +a(g826 +g974 +tp1185 +a(g826 +g974 +tp1186 +a(g826 +g974 +tp1187 +a(g826 +g974 +tp1188 +a(g826 +g974 +tp1189 +a(g826 +g974 +tp1190 +a(g826 +g974 +tp1191 +a(g826 +g974 +tp1192 +a(g826 +g974 +tp1193 +a(g826 +g974 +tp1194 +a(g748 +Vtext +p1195 +tp1196 +a(g826 +V\u000a +p1197 +tp1198 +a(g826 +g974 +tp1199 +a(g826 +g974 +tp1200 +a(g826 +g974 +tp1201 +a(g826 +g974 +tp1202 +a(g826 +g974 +tp1203 +a(g826 +g974 +tp1204 +a(g826 +g974 +tp1205 +a(g826 +g974 +tp1206 +a(g826 +g974 +tp1207 +a(g826 +g974 +tp1208 +a(g826 +g974 +tp1209 +a(g826 +g974 +tp1210 +a(g705 +g997 +tp1211 +a(g412 +V? +p1212 +tp1213 +a(g412 +g1004 +tp1214 +a(g826 +V\u000a +p1215 +tp1216 +a(g826 +g974 +tp1217 +a(g826 +g974 +tp1218 +a(g826 +g974 +tp1219 +a(g826 +g974 +tp1220 +a(g826 +g974 +tp1221 +a(g826 +g974 +tp1222 +a(g826 +g974 +tp1223 +a(g826 +g974 +tp1224 +a(g826 +g974 +tp1225 +a(g826 +g974 +tp1226 +a(g826 +g974 +tp1227 +a(g826 +g974 +tp1228 +a(g809 +Velement +p1229 +tp1230 +a(g440 +V span +p1231 +tp1232 +a(g705 +g970 +tp1233 +a(g826 +V\u000a +p1234 +tp1235 +a(g826 +g974 +tp1236 +a(g826 +g974 +tp1237 +a(g826 +g974 +tp1238 +a(g826 +g974 +tp1239 +a(g826 +g974 +tp1240 +a(g826 +g974 +tp1241 +a(g826 +g974 +tp1242 +a(g826 +g974 +tp1243 +a(g826 +g974 +tp1244 +a(g826 +g974 +tp1245 +a(g826 +g974 +tp1246 +a(g826 +g974 +tp1247 +a(g826 +g974 +tp1248 +a(g826 +g974 +tp1249 +a(g809 +Vattribute +p1250 +tp1251 +a(g440 +V class +p1252 +tp1253 +a(g705 +g970 +tp1254 +a(g826 +g974 +tp1255 +a(g157 +V"familyName" +p1256 +tp1257 +a(g826 +g974 +tp1258 +a(g705 +g997 +tp1259 +a(g412 +g1004 +tp1260 +a(g826 +V\u000a +p1261 +tp1262 +a(g826 +g974 +tp1263 +a(g826 +g974 +tp1264 +a(g826 +g974 +tp1265 +a(g826 +g974 +tp1266 +a(g826 +g974 +tp1267 +a(g826 +g974 +tp1268 +a(g826 +g974 +tp1269 +a(g826 +g974 +tp1270 +a(g826 +g974 +tp1271 +a(g826 +g974 +tp1272 +a(g826 +g974 +tp1273 +a(g826 +g974 +tp1274 +a(g826 +g974 +tp1275 +a(g826 +g974 +tp1276 +a(g748 +Vtext +p1277 +tp1278 +a(g826 +V\u000a +p1279 +tp1280 +a(g826 +g974 +tp1281 +a(g826 +g974 +tp1282 +a(g826 +g974 +tp1283 +a(g826 +g974 +tp1284 +a(g826 +g974 +tp1285 +a(g826 +g974 +tp1286 +a(g826 +g974 +tp1287 +a(g826 +g974 +tp1288 +a(g826 +g974 +tp1289 +a(g826 +g974 +tp1290 +a(g826 +g974 +tp1291 +a(g826 +g974 +tp1292 +a(g705 +g997 +tp1293 +a(g412 +g1212 +tp1294 +a(g826 +V\u000a +p1295 +tp1296 +a(g826 +g974 +tp1297 +a(g826 +g974 +tp1298 +a(g826 +g974 +tp1299 +a(g826 +g974 +tp1300 +a(g826 +g974 +tp1301 +a(g826 +g974 +tp1302 +a(g826 +g974 +tp1303 +a(g826 +g974 +tp1304 +a(g826 +g974 +tp1305 +a(g826 +g974 +tp1306 +a(g705 +g997 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g826 +g974 +tp1310 +a(g826 +g974 +tp1311 +a(g826 +g974 +tp1312 +a(g826 +g974 +tp1313 +a(g826 +g974 +tp1314 +a(g826 +g974 +tp1315 +a(g826 +g974 +tp1316 +a(g826 +g974 +tp1317 +a(g705 +g997 +tp1318 +a(g412 +g1004 +tp1319 +a(g826 +V\u000a +p1320 +tp1321 +a(g826 +g974 +tp1322 +a(g826 +g974 +tp1323 +a(g826 +g974 +tp1324 +a(g826 +g974 +tp1325 +a(g826 +g974 +tp1326 +a(g826 +g974 +tp1327 +a(g826 +g974 +tp1328 +a(g826 +g974 +tp1329 +a(g809 +Velement +p1330 +tp1331 +a(g440 +V td +p1332 +tp1333 +a(g705 +g970 +tp1334 +a(g826 +V\u000a +p1335 +tp1336 +a(g826 +g974 +tp1337 +a(g826 +g974 +tp1338 +a(g826 +g974 +tp1339 +a(g826 +g974 +tp1340 +a(g826 +g974 +tp1341 +a(g826 +g974 +tp1342 +a(g826 +g974 +tp1343 +a(g826 +g974 +tp1344 +a(g826 +g974 +tp1345 +a(g826 +g974 +tp1346 +a(g809 +Vattribute +p1347 +tp1348 +a(g440 +V class +p1349 +tp1350 +a(g705 +g970 +tp1351 +a(g826 +g974 +tp1352 +a(g157 +V"email" +p1353 +tp1354 +a(g826 +g974 +tp1355 +a(g705 +g997 +tp1356 +a(g412 +g1004 +tp1357 +a(g826 +V\u000a +p1358 +tp1359 +a(g826 +g974 +tp1360 +a(g826 +g974 +tp1361 +a(g826 +g974 +tp1362 +a(g826 +g974 +tp1363 +a(g826 +g974 +tp1364 +a(g826 +g974 +tp1365 +a(g826 +g974 +tp1366 +a(g826 +g974 +tp1367 +a(g826 +g974 +tp1368 +a(g826 +g974 +tp1369 +a(g748 +Vtext +p1370 +tp1371 +a(g826 +V\u000a +p1372 +tp1373 +a(g826 +g974 +tp1374 +a(g826 +g974 +tp1375 +a(g826 +g974 +tp1376 +a(g826 +g974 +tp1377 +a(g826 +g974 +tp1378 +a(g826 +g974 +tp1379 +a(g826 +g974 +tp1380 +a(g826 +g974 +tp1381 +a(g705 +g997 +tp1382 +a(g826 +V\u000a +p1383 +tp1384 +a(g826 +g974 +tp1385 +a(g826 +g974 +tp1386 +a(g826 +g974 +tp1387 +a(g826 +g974 +tp1388 +a(g826 +g974 +tp1389 +a(g826 +g974 +tp1390 +a(g705 +g997 +tp1391 +a(g826 +V+ +p1392 +tp1393 +a(g826 +V\u000a +p1394 +tp1395 +a(g826 +g974 +tp1396 +a(g826 +g974 +tp1397 +a(g826 +g974 +tp1398 +a(g826 +g974 +tp1399 +a(g705 +g997 +tp1400 +a(g826 +V\u000a +p1401 +tp1402 +a(g826 +g974 +tp1403 +a(g826 +g974 +tp1404 +a(g705 +g997 +tp1405 +a(g826 +V\u000a +p1406 +tp1407 +a(g705 +g997 +tp1408 +a(g826 +V\u000a +p1409 +tp1410 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/roboconf.graph b/tests/examplefiles/output/roboconf.graph new file mode 100644 index 0000000..74e372c --- /dev/null +++ b/tests/examplefiles/output/roboconf.graph @@ -0,0 +1,3454 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV##################\u000a +p956 +tp957 +a(g7 +V# A sample graph\u000a +p958 +tp959 +a(g7 +V##################\u000a +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g740 +Vimport +p964 +tp965 +a(g822 +Vs +p966 +tp967 +a(g822 +Vo +p968 +tp969 +a(g822 +Vm +p970 +tp971 +a(g822 +Ve +p972 +tp973 +a(g822 +V- +p974 +tp975 +a(g822 +Vd +p976 +tp977 +a(g822 +g972 +tp978 +a(g822 +Vf +p979 +tp980 +a(g822 +Vi +p981 +tp982 +a(g822 +Vn +p983 +tp984 +a(g822 +g981 +tp985 +a(g822 +Vt +p986 +tp987 +a(g822 +g981 +tp988 +a(g822 +g968 +tp989 +a(g822 +g983 +tp990 +a(g822 +V. +p991 +tp992 +a(g822 +Vg +p993 +tp994 +a(g822 +Vr +p995 +tp996 +a(g822 +Va +p997 +tp998 +a(g822 +Vp +p999 +tp1000 +a(g822 +Vh +p1001 +tp1002 +a(g822 +V; +p1003 +tp1004 +a(g822 +V\u000a +p1005 +tp1006 +a(g740 +Vimport +p1007 +tp1008 +a(g822 +g997 +tp1009 +a(g822 +g983 +tp1010 +a(g822 +g968 +tp1011 +a(g822 +g986 +tp1012 +a(g822 +g1001 +tp1013 +a(g822 +g972 +tp1014 +a(g822 +g995 +tp1015 +a(g822 +g974 +tp1016 +a(g822 +g976 +tp1017 +a(g822 +g972 +tp1018 +a(g822 +g979 +tp1019 +a(g822 +g981 +tp1020 +a(g822 +g983 +tp1021 +a(g822 +g981 +tp1022 +a(g822 +g986 +tp1023 +a(g822 +g981 +tp1024 +a(g822 +g968 +tp1025 +a(g822 +g983 +tp1026 +a(g822 +g991 +tp1027 +a(g822 +g993 +tp1028 +a(g822 +g995 +tp1029 +a(g822 +g997 +tp1030 +a(g822 +g999 +tp1031 +a(g822 +g1001 +tp1032 +a(g822 +g1003 +tp1033 +a(g822 +V\u000a\u000a +p1034 +tp1035 +a(g822 +VV +p1036 +tp1037 +a(g822 +VM +p1038 +tp1039 +a(g822 +V +p1040 +tp1041 +a(g822 +V{ +p1042 +tp1043 +a(g822 +V\u000a +p1044 +tp1045 +a(g431 +Vinstaller : +p1046 +tp1047 +a(g822 +g1040 +tp1048 +a(g822 +g986 +tp1049 +a(g822 +g997 +tp1050 +a(g822 +g995 +tp1051 +a(g822 +g993 +tp1052 +a(g822 +g972 +tp1053 +a(g822 +g986 +tp1054 +a(g822 +g1003 +tp1055 +a(g822 +V\u000a +p1056 +tp1057 +a(g431 +Vchildren: +p1058 +tp1059 +a(g822 +g1040 +tp1060 +a(g822 +g976 +tp1061 +a(g822 +g972 +tp1062 +a(g822 +g999 +tp1063 +a(g822 +Vl +p1064 +tp1065 +a(g822 +g968 +tp1066 +a(g822 +Vy +p1067 +tp1068 +a(g822 +g997 +tp1069 +a(g822 +Vb +p1070 +tp1071 +a(g822 +g1064 +tp1072 +a(g822 +g972 +tp1073 +a(g822 +g1003 +tp1074 +a(g822 +V\u000a +p1075 +tp1076 +a(g822 +V} +p1077 +tp1078 +a(g822 +V\u000a\u000a +p1079 +tp1080 +a(g740 +Vfacet +p1081 +tp1082 +a(g822 +g976 +tp1083 +a(g822 +g972 +tp1084 +a(g822 +g999 +tp1085 +a(g822 +g1064 +tp1086 +a(g822 +g968 +tp1087 +a(g822 +g1067 +tp1088 +a(g822 +g997 +tp1089 +a(g822 +g1070 +tp1090 +a(g822 +g1064 +tp1091 +a(g822 +g972 +tp1092 +a(g822 +g1040 +tp1093 +a(g822 +g1042 +tp1094 +a(g822 +V\u000a +p1095 +tp1096 +a(g7 +V# nothing\u000a +p1097 +tp1098 +a(g822 +g1077 +tp1099 +a(g822 +V\u000a\u000a +p1100 +tp1101 +a(g7 +V# Sample deployables\u000a +p1102 +tp1103 +a(g822 +g970 +tp1104 +a(g822 +g1067 +tp1105 +a(g822 +g966 +tp1106 +a(g822 +Vq +p1107 +tp1108 +a(g822 +g1064 +tp1109 +a(g822 +g1040 +tp1110 +a(g822 +g1042 +tp1111 +a(g822 +V\u000a +p1112 +tp1113 +a(g431 +VinsTaller: +p1114 +tp1115 +a(g822 +g1040 +tp1116 +a(g822 +g999 +tp1117 +a(g822 +Vu +p1118 +tp1119 +a(g822 +g999 +tp1120 +a(g822 +g999 +tp1121 +a(g822 +g972 +tp1122 +a(g822 +g986 +tp1123 +a(g822 +g1003 +tp1124 +a(g822 +V\u000a +p1125 +tp1126 +a(g431 +Vfacets: +p1127 +tp1128 +a(g822 +g1040 +tp1129 +a(g822 +g976 +tp1130 +a(g822 +g972 +tp1131 +a(g822 +g999 +tp1132 +a(g822 +g1064 +tp1133 +a(g822 +g968 +tp1134 +a(g822 +g1067 +tp1135 +a(g822 +g997 +tp1136 +a(g822 +g1070 +tp1137 +a(g822 +g1064 +tp1138 +a(g822 +g972 +tp1139 +a(g822 +g1003 +tp1140 +a(g822 +V\u000a +p1141 +tp1142 +a(g431 +Vexports: +p1143 +tp1144 +a(g822 +g1040 +tp1145 +a(g822 +g981 +tp1146 +a(g822 +g999 +tp1147 +a(g822 +V, +p1148 +tp1149 +a(g822 +g1040 +tp1150 +a(g822 +g999 +tp1151 +a(g822 +g968 +tp1152 +a(g822 +g995 +tp1153 +a(g822 +g986 +tp1154 +a(g822 +g1040 +tp1155 +a(g408 +V= +p1156 +tp1157 +a(g822 +g1040 +tp1158 +a(g822 +V3 +p1159 +tp1160 +a(g822 +g1159 +tp1161 +a(g822 +V0 +p1162 +tp1163 +a(g822 +V6 +p1164 +tp1165 +a(g822 +g1003 +tp1166 +a(g822 +V\u000a +p1167 +tp1168 +a(g822 +g1077 +tp1169 +a(g822 +V\u000a\u000a +p1170 +tp1171 +a(g822 +g986 +tp1172 +a(g822 +g968 +tp1173 +a(g822 +g970 +tp1174 +a(g822 +Vc +p1175 +tp1176 +a(g822 +g997 +tp1177 +a(g822 +g986 +tp1178 +a(g822 +g1040 +tp1179 +a(g822 +g1042 +tp1180 +a(g822 +V\u000a +p1181 +tp1182 +a(g431 +Vinstaller: +p1183 +tp1184 +a(g822 +g1040 +tp1185 +a(g822 +g1070 +tp1186 +a(g822 +g997 +tp1187 +a(g822 +g966 +tp1188 +a(g822 +g1001 +tp1189 +a(g822 +g1003 +tp1190 +a(g822 +V\u000a +p1191 +tp1192 +a(g431 +Vfacets: +p1193 +tp1194 +a(g822 +g1040 +tp1195 +a(g822 +g976 +tp1196 +a(g822 +g972 +tp1197 +a(g822 +g999 +tp1198 +a(g822 +g1064 +tp1199 +a(g822 +g968 +tp1200 +a(g822 +g1067 +tp1201 +a(g822 +g997 +tp1202 +a(g822 +g1070 +tp1203 +a(g822 +g1064 +tp1204 +a(g822 +g972 +tp1205 +a(g822 +g1003 +tp1206 +a(g822 +V\u000a +p1207 +tp1208 +a(g431 +Vexports: +p1209 +tp1210 +a(g822 +g1040 +tp1211 +a(g822 +g981 +tp1212 +a(g822 +g999 +tp1213 +a(g822 +g1003 +tp1214 +a(g822 +V\u000a +p1215 +tp1216 +a(g431 +Vchildren: +p1217 +tp1218 +a(g822 +g1040 +tp1219 +a(g822 +Vw +p1220 +tp1221 +a(g822 +g972 +tp1222 +a(g822 +g1070 +tp1223 +a(g822 +g974 +tp1224 +a(g822 +g997 +tp1225 +a(g822 +g999 +tp1226 +a(g822 +g999 +tp1227 +a(g822 +g1064 +tp1228 +a(g822 +g981 +tp1229 +a(g822 +g1175 +tp1230 +a(g822 +g997 +tp1231 +a(g822 +g986 +tp1232 +a(g822 +g981 +tp1233 +a(g822 +g968 +tp1234 +a(g822 +g983 +tp1235 +a(g822 +g1003 +tp1236 +a(g822 +V\u000a +p1237 +tp1238 +a(g822 +g1077 +tp1239 +a(g822 +V\u000a\u000a +p1240 +tp1241 +a(g740 +Vfacet +p1242 +tp1243 +a(g822 +g1220 +tp1244 +a(g822 +g972 +tp1245 +a(g822 +g1070 +tp1246 +a(g822 +g974 +tp1247 +a(g822 +g997 +tp1248 +a(g822 +g999 +tp1249 +a(g822 +g999 +tp1250 +a(g822 +g1064 +tp1251 +a(g822 +g981 +tp1252 +a(g822 +g1175 +tp1253 +a(g822 +g997 +tp1254 +a(g822 +g986 +tp1255 +a(g822 +g981 +tp1256 +a(g822 +g968 +tp1257 +a(g822 +g983 +tp1258 +a(g822 +g1040 +tp1259 +a(g822 +g1042 +tp1260 +a(g822 +V\u000a +p1261 +tp1262 +a(g431 +Vexports: +p1263 +tp1264 +a(g822 +g1040 +tp1265 +a(g822 +g979 +tp1266 +a(g822 +g1118 +tp1267 +a(g822 +g1064 +tp1268 +a(g822 +g1064 +tp1269 +a(g822 +g974 +tp1270 +a(g822 +g999 +tp1271 +a(g822 +g997 +tp1272 +a(g822 +g986 +tp1273 +a(g822 +g1001 +tp1274 +a(g822 +g1040 +tp1275 +a(g408 +g1156 +tp1276 +a(g822 +g1040 +tp1277 +a(g822 +g1118 +tp1278 +a(g822 +g983 +tp1279 +a(g822 +g976 +tp1280 +a(g822 +g972 +tp1281 +a(g822 +g979 +tp1282 +a(g822 +g981 +tp1283 +a(g822 +g983 +tp1284 +a(g822 +g972 +tp1285 +a(g822 +g976 +tp1286 +a(g822 +g1003 +tp1287 +a(g822 +V\u000a +p1288 +tp1289 +a(g822 +g1077 +tp1290 +a(g822 +V\u000a\u000a +p1291 +tp1292 +a(g822 +g970 +tp1293 +a(g822 +g1067 +tp1294 +a(g822 +g974 +tp1295 +a(g822 +g1220 +tp1296 +a(g822 +g997 +tp1297 +a(g822 +g995 +tp1298 +a(g822 +g974 +tp1299 +a(g822 +V1 +p1300 +tp1301 +a(g822 +g1040 +tp1302 +a(g822 +g1042 +tp1303 +a(g822 +V\u000a +p1304 +tp1305 +a(g431 +Vfacets: +p1306 +tp1307 +a(g822 +g1040 +tp1308 +a(g822 +g1220 +tp1309 +a(g822 +g972 +tp1310 +a(g822 +g1070 +tp1311 +a(g822 +g974 +tp1312 +a(g822 +g997 +tp1313 +a(g822 +g999 +tp1314 +a(g822 +g999 +tp1315 +a(g822 +g1064 +tp1316 +a(g822 +g981 +tp1317 +a(g822 +g1175 +tp1318 +a(g822 +g997 +tp1319 +a(g822 +g986 +tp1320 +a(g822 +g981 +tp1321 +a(g822 +g968 +tp1322 +a(g822 +g983 +tp1323 +a(g822 +g1003 +tp1324 +a(g822 +V\u000a +p1325 +tp1326 +a(g431 +Vinstaller: +p1327 +tp1328 +a(g822 +g1040 +tp1329 +a(g822 +g979 +tp1330 +a(g822 +g981 +tp1331 +a(g822 +g1064 +tp1332 +a(g822 +g972 +tp1333 +a(g822 +g1003 +tp1334 +a(g822 +V\u000a +p1335 +tp1336 +a(g431 +Vexports: +p1337 +tp1338 +a(g822 +g1040 +tp1339 +a(g822 +g979 +tp1340 +a(g822 +g1118 +tp1341 +a(g822 +g1064 +tp1342 +a(g822 +g1064 +tp1343 +a(g822 +g974 +tp1344 +a(g822 +g999 +tp1345 +a(g822 +g997 +tp1346 +a(g822 +g986 +tp1347 +a(g822 +g1001 +tp1348 +a(g822 +g1040 +tp1349 +a(g408 +g1156 +tp1350 +a(g822 +g1040 +tp1351 +a(g822 +g997 +tp1352 +a(g822 +g999 +tp1353 +a(g822 +g999 +tp1354 +a(g822 +g966 +tp1355 +a(g822 +V/ +p1356 +tp1357 +a(g822 +g970 +tp1358 +a(g822 +g1067 +tp1359 +a(g822 +g974 +tp1360 +a(g822 +g1220 +tp1361 +a(g822 +g997 +tp1362 +a(g822 +g995 +tp1363 +a(g822 +g974 +tp1364 +a(g822 +g1300 +tp1365 +a(g822 +g1003 +tp1366 +a(g822 +V +p1367 +tp1368 +a(g7 +V# the relative path\u000a +p1369 +tp1370 +a(g822 +g1367 +tp1371 +a(g431 +Vimports: +p1372 +tp1373 +a(g822 +g1040 +tp1374 +a(g822 +g970 +tp1375 +a(g822 +g1067 +tp1376 +a(g822 +g966 +tp1377 +a(g822 +g1107 +tp1378 +a(g822 +g1064 +tp1379 +a(g822 +g991 +tp1380 +a(g822 +V* +p1381 +tp1382 +a(g822 +g1003 +tp1383 +a(g822 +V\u000a +p1384 +tp1385 +a(g822 +g1077 +tp1386 +a(g822 +V\u000a +p1387 +tp1388 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/roboconf.instances b/tests/examplefiles/output/roboconf.instances new file mode 100644 index 0000000..7512543 --- /dev/null +++ b/tests/examplefiles/output/roboconf.instances @@ -0,0 +1,2827 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# Deal with imports\u000a +p956 +tp957 +a(g740 +Vimport +p958 +tp959 +a(g822 +Vo +p960 +tp961 +a(g822 +Vt +p962 +tp963 +a(g822 +Vh +p964 +tp965 +a(g822 +Ve +p966 +tp967 +a(g822 +Vr +p968 +tp969 +a(g822 +Vs +p970 +tp971 +a(g822 +V. +p972 +tp973 +a(g822 +Vi +p974 +tp975 +a(g822 +Vn +p976 +tp977 +a(g822 +g970 +tp978 +a(g822 +g962 +tp979 +a(g822 +Va +p980 +tp981 +a(g822 +g976 +tp982 +a(g822 +Vc +p983 +tp984 +a(g822 +g966 +tp985 +a(g822 +g970 +tp986 +a(g822 +V; +p987 +tp988 +a(g822 +V\u000a\u000a +p989 +tp990 +a(g740 +Vinstance of +p991 +tp992 +a(g822 +VV +p993 +tp994 +a(g822 +VM +p995 +tp996 +a(g822 +V +p997 +tp998 +a(g822 +V{ +p999 +tp1000 +a(g822 +V\u000a +p1001 +tp1002 +a(g431 +Vname: +p1003 +tp1004 +a(g822 +g997 +tp1005 +a(g822 +g993 +tp1006 +a(g822 +g995 +tp1007 +a(g822 +V- +p1008 +tp1009 +a(g822 +Vm +p1010 +tp1011 +a(g822 +Vy +p1012 +tp1013 +a(g822 +g970 +tp1014 +a(g822 +Vq +p1015 +tp1016 +a(g822 +Vl +p1017 +tp1018 +a(g822 +g987 +tp1019 +a(g822 +V\u000a +p1020 +tp1021 +a(g740 +Vinstance of +p1022 +tp1023 +a(g822 +g1010 +tp1024 +a(g822 +g1012 +tp1025 +a(g822 +g970 +tp1026 +a(g822 +g1015 +tp1027 +a(g822 +g1017 +tp1028 +a(g822 +g997 +tp1029 +a(g822 +g999 +tp1030 +a(g822 +V\u000a +p1031 +tp1032 +a(g431 +Vname: +p1033 +tp1034 +a(g822 +g997 +tp1035 +a(g822 +g995 +tp1036 +a(g822 +g1012 +tp1037 +a(g822 +VS +p1038 +tp1039 +a(g822 +VQ +p1040 +tp1041 +a(g822 +VL +p1042 +tp1043 +a(g822 +g987 +tp1044 +a(g822 +V\u000a +p1045 +tp1046 +a(g822 +V} +p1047 +tp1048 +a(g822 +V\u000a +p1049 +tp1050 +a(g822 +g1047 +tp1051 +a(g822 +V\u000a\u000a +p1052 +tp1053 +a(g740 +Vinstance of +p1054 +tp1055 +a(g822 +g993 +tp1056 +a(g822 +g995 +tp1057 +a(g822 +g997 +tp1058 +a(g822 +g999 +tp1059 +a(g822 +V\u000a +p1060 +tp1061 +a(g431 +Vname: +p1062 +tp1063 +a(g822 +g997 +tp1064 +a(g822 +g993 +tp1065 +a(g822 +g995 +tp1066 +a(g822 +g997 +tp1067 +a(g822 +g987 +tp1068 +a(g822 +V\u000a +p1069 +tp1070 +a(g431 +Vcount: +p1071 +tp1072 +a(g822 +g997 +tp1073 +a(g822 +V5 +p1074 +tp1075 +a(g822 +g987 +tp1076 +a(g822 +V\u000a \u000a +p1077 +tp1078 +a(g740 +VINSTANCE of +p1079 +tp1080 +a(g822 +g962 +tp1081 +a(g822 +g960 +tp1082 +a(g822 +g1010 +tp1083 +a(g822 +g983 +tp1084 +a(g822 +g980 +tp1085 +a(g822 +g962 +tp1086 +a(g822 +g997 +tp1087 +a(g822 +g999 +tp1088 +a(g822 +V\u000a +p1089 +tp1090 +a(g431 +Vname: +p1091 +tp1092 +a(g822 +g997 +tp1093 +a(g822 +VT +p1094 +tp1095 +a(g822 +g960 +tp1096 +a(g822 +g1010 +tp1097 +a(g822 +g983 +tp1098 +a(g822 +g980 +tp1099 +a(g822 +g962 +tp1100 +a(g822 +g987 +tp1101 +a(g822 +V\u000a \u000a +p1102 +tp1103 +a(g740 +Vinstance of +p1104 +tp1105 +a(g822 +g1010 +tp1106 +a(g822 +g1012 +tp1107 +a(g822 +g1008 +tp1108 +a(g822 +Vw +p1109 +tp1110 +a(g822 +g980 +tp1111 +a(g822 +g968 +tp1112 +a(g822 +g1008 +tp1113 +a(g822 +V1 +p1114 +tp1115 +a(g822 +g997 +tp1116 +a(g822 +g999 +tp1117 +a(g822 +V\u000a +p1118 +tp1119 +a(g431 +Vname: +p1120 +tp1121 +a(g822 +g997 +tp1122 +a(g822 +g1010 +tp1123 +a(g822 +g1012 +tp1124 +a(g822 +g1008 +tp1125 +a(g822 +g1109 +tp1126 +a(g822 +g980 +tp1127 +a(g822 +g968 +tp1128 +a(g822 +g1008 +tp1129 +a(g822 +g1114 +tp1130 +a(g822 +g987 +tp1131 +a(g822 +V\u000a +p1132 +tp1133 +a(g431 +Vfull-path: +p1134 +tp1135 +a(g822 +g997 +tp1136 +a(g822 +g980 +tp1137 +a(g822 +Vp +p1138 +tp1139 +a(g822 +g1138 +tp1140 +a(g822 +g970 +tp1141 +a(g822 +V/ +p1142 +tp1143 +a(g822 +g1010 +tp1144 +a(g822 +g1012 +tp1145 +a(g822 +g1008 +tp1146 +a(g822 +g1109 +tp1147 +a(g822 +g980 +tp1148 +a(g822 +g968 +tp1149 +a(g822 +g987 +tp1150 +a(g822 +V\u000a +p1151 +tp1152 +a(g822 +g1047 +tp1153 +a(g822 +V\u000a +p1154 +tp1155 +a(g822 +g1047 +tp1156 +a(g822 +V\u000a +p1157 +tp1158 +a(g822 +g1047 +tp1159 +a(g822 +V\u000a +p1160 +tp1161 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/robotframework_test.txt b/tests/examplefiles/output/robotframework_test.txt new file mode 100644 index 0000000..08cd588 --- /dev/null +++ b/tests/examplefiles/output/robotframework_test.txt @@ -0,0 +1,3046 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Heading' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsg5 +g8 +sS'Emph' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Prompt' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Inserted' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Strong' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg34 +g35 +((lp931 +tp932 +Rp933 +sbsS'Traceback' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg34 +g35 +((lp942 +g8 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag922 +ag898 +ag929 +ag937 +ag914 +ag906 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV*** Settings *** +p956 +tp957 +a(g610 +V\u000a +p958 +tp959 +a(g770 +VDocumentation +p960 +tp961 +a(g610 +V +p962 +tp963 +a(g81 +VSimple example demonstrating syntax highlighting. +p964 +tp965 +a(g610 +V\u000a +p966 +tp967 +a(g770 +VLibrary +p968 +tp969 +a(g610 +V +p970 +tp971 +a(g525 +VExampleLibrary +p972 +tp973 +a(g610 +V\u000a +p974 +tp975 +a(g770 +VTest Setup +p976 +tp977 +a(g610 +V +p978 +tp979 +a(g478 +VKeyword +p980 +tp981 +a(g610 +V +p982 +tp983 +a(g81 +Vargument +p984 +tp985 +a(g610 +V +p986 +tp987 +a(g81 +Vargument with +p988 +tp989 +a(g610 +V${ +p990 +tp991 +a(g345 +VVARIABLE +p992 +tp993 +a(g610 +V} +p994 +tp995 +a(g610 +V\u000a +p996 +tp997 +a(g610 +V\u000a +p998 +tp999 +a(g8 +V*** Variables *** +p1000 +tp1001 +a(g610 +V\u000a +p1002 +tp1003 +a(g610 +V${ +p1004 +tp1005 +a(g345 +VVARIABLE +p1006 +tp1007 +a(g610 +g994 +tp1008 +a(g610 +V +p1009 +tp1010 +a(g81 +VVariable value +p1011 +tp1012 +a(g610 +V\u000a +p1013 +tp1014 +a(g610 +V@{ +p1015 +tp1016 +a(g345 +VLIST +p1017 +tp1018 +a(g610 +g994 +tp1019 +a(g610 +V +p1020 +tp1021 +a(g81 +VList +p1022 +tp1023 +a(g610 +V +p1024 +tp1025 +a(g81 +Vvariable +p1026 +tp1027 +a(g610 +V +p1028 +tp1029 +a(g81 +Vhere +p1030 +tp1031 +a(g610 +V\u000a +p1032 +tp1033 +a(g610 +V&{ +p1034 +tp1035 +a(g345 +VDICT +p1036 +tp1037 +a(g610 +g994 +tp1038 +a(g610 +V +p1039 +tp1040 +a(g81 +VKey1=Value1 +p1041 +tp1042 +a(g610 +V +p1043 +tp1044 +a(g81 +VKey2=Value2 +p1045 +tp1046 +a(g610 +V\u000a +p1047 +tp1048 +a(g610 +V\u000a +p1049 +tp1050 +a(g8 +V*** Test Cases *** +p1051 +tp1052 +a(g610 +V\u000a +p1053 +tp1054 +a(g890 +VKeyword-driven example +p1055 +tp1056 +a(g610 +V\u000a +p1057 +tp1058 +a(g610 +V +p1059 +tp1060 +a(g478 +VInitialize System +p1061 +tp1062 +a(g610 +V\u000a +p1063 +tp1064 +a(g610 +V +p1065 +tp1066 +a(g478 +VDo Something +p1067 +tp1068 +a(g610 +V\u000a +p1069 +tp1070 +a(g610 +V +p1071 +tp1072 +a(g478 +VResult Should Be +p1073 +tp1074 +a(g610 +V +p1075 +tp1076 +a(g81 +V42 +p1077 +tp1078 +a(g610 +V\u000a +p1079 +tp1080 +a(g610 +V +p1081 +tp1082 +a(g610 +V[ +p1083 +tp1084 +a(g770 +VTeardown +p1085 +tp1086 +a(g610 +V] +p1087 +tp1088 +a(g610 +V +p1089 +tp1090 +a(g478 +VCleanup System +p1091 +tp1092 +a(g610 +V\u000a +p1093 +tp1094 +a(g610 +V\u000a +p1095 +tp1096 +a(g890 +VData-driven example +p1097 +tp1098 +a(g610 +V\u000a +p1099 +tp1100 +a(g610 +V +p1101 +tp1102 +a(g610 +g1083 +tp1103 +a(g770 +VTemplate +p1104 +tp1105 +a(g610 +g1087 +tp1106 +a(g610 +V +p1107 +tp1108 +a(g478 +VKeyword +p1109 +tp1110 +a(g610 +V\u000a +p1111 +tp1112 +a(g610 +V +p1113 +tp1114 +a(g81 +Vargument1 +p1115 +tp1116 +a(g610 +V +p1117 +tp1118 +a(g81 +Vargument2 +p1119 +tp1120 +a(g610 +V\u000a +p1121 +tp1122 +a(g610 +V +p1123 +tp1124 +a(g81 +Vargument +p1125 +tp1126 +a(g610 +V +p1127 +tp1128 +a(g610 +V${ +p1129 +tp1130 +a(g345 +VVARIABLE +p1131 +tp1132 +a(g610 +g994 +tp1133 +a(g610 +V\u000a +p1134 +tp1135 +a(g610 +V +p1136 +tp1137 +a(g610 +V@{ +p1138 +tp1139 +a(g345 +VLIST +p1140 +tp1141 +a(g610 +g994 +tp1142 +a(g610 +V\u000a +p1143 +tp1144 +a(g610 +V\u000a +p1145 +tp1146 +a(g890 +VGherkin +p1147 +tp1148 +a(g610 +V\u000a +p1149 +tp1150 +a(g610 +V +p1151 +tp1152 +a(g898 +VGiven +p1153 +tp1154 +a(g478 +Vsystem is initialized +p1155 +tp1156 +a(g610 +V\u000a +p1157 +tp1158 +a(g610 +V +p1159 +tp1160 +a(g898 +VWhen +p1161 +tp1162 +a(g478 +Vsomething is done +p1163 +tp1164 +a(g610 +V\u000a +p1165 +tp1166 +a(g610 +V +p1167 +tp1168 +a(g898 +VThen +p1169 +tp1170 +a(g478 +Vresult should be "42" +p1171 +tp1172 +a(g610 +V\u000a +p1173 +tp1174 +a(g610 +V\u000a +p1175 +tp1176 +a(g610 +V| +p1177 +tp1178 +a(g890 +VPipes +p1179 +tp1180 +a(g610 +V | +p1181 +tp1182 +a(g610 +V\u000a +p1183 +tp1184 +a(g610 +V| +p1185 +tp1186 +a(g610 +V| +p1187 +tp1188 +a(g610 +g1083 +tp1189 +a(g770 +VDocumentation +p1190 +tp1191 +a(g610 +g1087 +tp1192 +a(g610 +V | +p1193 +tp1194 +a(g81 +VAlso pipe separated format is supported. +p1195 +tp1196 +a(g610 +V | +p1197 +tp1198 +a(g610 +V\u000a +p1199 +tp1200 +a(g610 +V| +p1201 +tp1202 +a(g610 +V| +p1203 +tp1204 +a(g478 +VLog +p1205 +tp1206 +a(g610 +V | +p1207 +tp1208 +a(g81 +VAs this example demonstrates. +p1209 +tp1210 +a(g610 +V | +p1211 +tp1212 +a(g610 +V\u000a +p1213 +tp1214 +a(g610 +V\u000a +p1215 +tp1216 +a(g8 +V*** Keywords *** +p1217 +tp1218 +a(g610 +V\u000a +p1219 +tp1220 +a(g890 +VResult Should Be +p1221 +tp1222 +a(g610 +V\u000a +p1223 +tp1224 +a(g610 +V +p1225 +tp1226 +a(g610 +g1083 +tp1227 +a(g770 +VArguments +p1228 +tp1229 +a(g610 +g1087 +tp1230 +a(g610 +V +p1231 +tp1232 +a(g610 +V${ +p1233 +tp1234 +a(g345 +Vexpected +p1235 +tp1236 +a(g610 +g994 +tp1237 +a(g610 +V\u000a +p1238 +tp1239 +a(g610 +V +p1240 +tp1241 +a(g610 +V${ +p1242 +tp1243 +a(g345 +Vactual +p1244 +tp1245 +a(g610 +g994 +tp1246 +a(g610 +V = +p1247 +tp1248 +a(g610 +V +p1249 +tp1250 +a(g478 +VGet Value +p1251 +tp1252 +a(g610 +V\u000a +p1253 +tp1254 +a(g610 +V +p1255 +tp1256 +a(g478 +VShould be Equal +p1257 +tp1258 +a(g610 +V +p1259 +tp1260 +a(g610 +V${ +p1261 +tp1262 +a(g345 +Vactual +p1263 +tp1264 +a(g610 +g994 +tp1265 +a(g610 +V +p1266 +tp1267 +a(g610 +V${ +p1268 +tp1269 +a(g345 +Vexpected +p1270 +tp1271 +a(g610 +g994 +tp1272 +a(g610 +V\u000a +p1273 +tp1274 +a(g610 +V\u000a +p1275 +tp1276 +a(g898 +VThen +p1277 +tp1278 +a(g890 +Vresult should be " +p1279 +tp1280 +a(g610 +V${ +p1281 +tp1282 +a(g345 +Vexpected +p1283 +tp1284 +a(g610 +g994 +tp1285 +a(g890 +V" +p1286 +tp1287 +a(g610 +V\u000a +p1288 +tp1289 +a(g610 +V +p1290 +tp1291 +a(g478 +VResult Should Be +p1292 +tp1293 +a(g610 +V +p1294 +tp1295 +a(g610 +V${ +p1296 +tp1297 +a(g345 +Vexpected +p1298 +tp1299 +a(g610 +g994 +tp1300 +a(g610 +V\u000a +p1301 +tp1302 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/rql-queries.rql b/tests/examplefiles/output/rql-queries.rql new file mode 100644 index 0000000..b553c97 --- /dev/null +++ b/tests/examplefiles/output/rql-queries.rql @@ -0,0 +1,6197 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Builtin' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g247 +g15 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g254 +atp260 +Rp261 +sbag148 +ag8 +ag187 +ag124 +ag100 +atp262 +Rp263 +sg10 +g1 +(g2 +g3 +(ttp264 +Rp265 +(dp266 +S'Number' +p267 +g1 +(g2 +g3 +(S'Literal' +p268 +g267 +tp269 +tp270 +Rp271 +(dp272 +S'Integer' +p273 +g1 +(g2 +g3 +(g268 +g267 +g273 +tp274 +tp275 +Rp276 +(dp277 +g10 +g271 +sS'Long' +p278 +g1 +(g2 +g3 +(g268 +g267 +g273 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g276 +sg25 +g26 +((lp283 +tp284 +Rp285 +sbsg25 +g26 +((lp286 +g281 +atp287 +Rp288 +sbsg10 +g1 +(g2 +g3 +(g268 +tp289 +tp290 +Rp291 +(dp292 +S'Scalar' +p293 +g1 +(g2 +g3 +(g268 +g293 +tp294 +tp295 +Rp296 +(dp297 +g10 +g291 +sg25 +g26 +((lp298 +g1 +(g2 +g3 +(g268 +g293 +S'Plain' +p299 +tp300 +tp301 +Rp302 +(dp303 +g10 +g296 +sg25 +g26 +((lp304 +tp305 +Rp306 +sbatp307 +Rp308 +sg299 +g302 +sbsg267 +g271 +sg10 +g265 +sg231 +g1 +(g2 +g3 +(g268 +g231 +tp309 +tp310 +Rp311 +(dp312 +g10 +g291 +sg25 +g26 +((lp313 +tp314 +Rp315 +sbsS'Char' +p316 +g1 +(g2 +g3 +(g268 +g316 +tp317 +tp318 +Rp319 +(dp320 +g10 +g291 +sg25 +g26 +((lp321 +tp322 +Rp323 +sbsS'String' +p324 +g1 +(g2 +g3 +(g268 +g324 +tp325 +tp326 +Rp327 +(dp328 +g316 +g1 +(g2 +g3 +(g268 +g324 +g316 +tp329 +tp330 +Rp331 +(dp332 +g10 +g327 +sg25 +g26 +((lp333 +tp334 +Rp335 +sbsS'Backtick' +p336 +g1 +(g2 +g3 +(g268 +g324 +g336 +tp337 +tp338 +Rp339 +(dp340 +g10 +g327 +sg25 +g26 +((lp341 +tp342 +Rp343 +sbsS'Heredoc' +p344 +g1 +(g2 +g3 +(g268 +g324 +g344 +tp345 +tp346 +Rp347 +(dp348 +g10 +g327 +sg25 +g26 +((lp349 +tp350 +Rp351 +sbsg97 +g1 +(g2 +g3 +(g268 +g324 +g97 +tp352 +tp353 +Rp354 +(dp355 +g10 +g327 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsS'Interpol' +p359 +g1 +(g2 +g3 +(g268 +g324 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g327 +sg25 +g26 +((lp364 +tp365 +Rp366 +sbsS'Delimiter' +p367 +g1 +(g2 +g3 +(g268 +g324 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g327 +sg25 +g26 +((lp372 +tp373 +Rp374 +sbsS'Boolean' +p375 +g1 +(g2 +g3 +(g268 +g324 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g327 +sg25 +g26 +((lp380 +tp381 +Rp382 +sbsS'Character' +p383 +g1 +(g2 +g3 +(g268 +g324 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g327 +sg25 +g26 +((lp388 +tp389 +Rp390 +sbsS'Double' +p391 +g1 +(g2 +g3 +(g268 +g324 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g327 +sg25 +g26 +((lp396 +tp397 +Rp398 +sbsS'Delimeter' +p399 +g1 +(g2 +g3 +(g268 +g324 +g399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g327 +sg25 +g26 +((lp404 +tp405 +Rp406 +sbsS'Atom' +p407 +g1 +(g2 +g3 +(g268 +g324 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g327 +sg25 +g26 +((lp412 +tp413 +Rp414 +sbsS'Affix' +p415 +g1 +(g2 +g3 +(g268 +g324 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g327 +sg25 +g26 +((lp420 +tp421 +Rp422 +sbsg4 +g1 +(g2 +g3 +(g268 +g324 +g4 +tp423 +tp424 +Rp425 +(dp426 +g10 +g327 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsS'Regex' +p430 +g1 +(g2 +g3 +(g268 +g324 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g327 +sg25 +g26 +((lp435 +tp436 +Rp437 +sbsS'Interp' +p438 +g1 +(g2 +g3 +(g268 +g324 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g327 +sg25 +g26 +((lp443 +tp444 +Rp445 +sbsS'Escape' +p446 +g1 +(g2 +g3 +(g268 +g324 +g446 +tp447 +tp448 +Rp449 +(dp450 +g10 +g327 +sg25 +g26 +((lp451 +tp452 +Rp453 +sbsg25 +g26 +((lp454 +g370 +ag354 +ag433 +ag1 +(g2 +g3 +(g268 +g324 +S'Doc' +p455 +tp456 +tp457 +Rp458 +(dp459 +g10 +g327 +sg25 +g26 +((lp460 +tp461 +Rp462 +sbag386 +ag378 +ag394 +ag362 +ag410 +ag402 +ag425 +ag449 +ag1 +(g2 +g3 +(g268 +g324 +S'Single' +p463 +tp464 +tp465 +Rp466 +(dp467 +g10 +g327 +sg25 +g26 +((lp468 +tp469 +Rp470 +sbag1 +(g2 +g3 +(g268 +g324 +g231 +tp471 +tp472 +Rp473 +(dp474 +g10 +g327 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag441 +ag339 +ag418 +ag1 +(g2 +g3 +(g268 +g324 +S'Moment' +p478 +tp479 +tp480 +Rp481 +(dp482 +g10 +g327 +sg25 +g26 +((lp483 +tp484 +Rp485 +sbag331 +ag347 +atp486 +Rp487 +sg463 +g466 +sg478 +g481 +sg10 +g291 +sg231 +g473 +sg455 +g458 +sbsg25 +g26 +((lp488 +g327 +ag319 +ag311 +ag1 +(g2 +g3 +(g268 +S'Date' +p489 +tp490 +tp491 +Rp492 +(dp493 +g10 +g291 +sg25 +g26 +((lp494 +tp495 +Rp496 +sbag296 +ag271 +atp497 +Rp498 +sg489 +g492 +sbsS'Bin' +p499 +g1 +(g2 +g3 +(g268 +g267 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g271 +sg25 +g26 +((lp504 +tp505 +Rp506 +sbsS'Radix' +p507 +g1 +(g2 +g3 +(g268 +g267 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g271 +sg25 +g26 +((lp512 +tp513 +Rp514 +sbsS'Oct' +p515 +g1 +(g2 +g3 +(g268 +g267 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g271 +sg25 +g26 +((lp520 +tp521 +Rp522 +sbsS'Dec' +p523 +g1 +(g2 +g3 +(g268 +g267 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g271 +sg25 +g26 +((lp528 +tp529 +Rp530 +sbsS'Hex' +p531 +g1 +(g2 +g3 +(g268 +g267 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g271 +sg25 +g26 +((lp536 +tp537 +Rp538 +sbsg25 +g26 +((lp539 +g276 +ag510 +ag526 +ag1 +(g2 +g3 +(g268 +g267 +S'Decimal' +p540 +tp541 +tp542 +Rp543 +(dp544 +g10 +g271 +sg25 +g26 +((lp545 +tp546 +Rp547 +sbag502 +ag1 +(g2 +g3 +(g268 +g267 +S'Float' +p548 +tp549 +tp550 +Rp551 +(dp552 +g10 +g271 +sg25 +g26 +((lp553 +tp554 +Rp555 +sbag518 +ag534 +atp556 +Rp557 +sg540 +g543 +sg548 +g551 +sbsS'Generic' +p558 +g1 +(g2 +g3 +(g558 +tp559 +tp560 +Rp561 +(dp562 +g10 +g265 +sS'Deleted' +p563 +g1 +(g2 +g3 +(g558 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g561 +sg25 +g26 +((lp568 +tp569 +Rp570 +sbsS'Subheading' +p571 +g1 +(g2 +g3 +(g558 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g561 +sg25 +g26 +((lp576 +tp577 +Rp578 +sbsS'Heading' +p579 +g1 +(g2 +g3 +(g558 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g561 +sg25 +g26 +((lp584 +tp585 +Rp586 +sbsS'Emph' +p587 +g1 +(g2 +g3 +(g558 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g561 +sg25 +g26 +((lp592 +tp593 +Rp594 +sbsS'Prompt' +p595 +g1 +(g2 +g3 +(g558 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g561 +sg25 +g26 +((lp600 +tp601 +Rp602 +sbsS'Inserted' +p603 +g1 +(g2 +g3 +(g558 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g561 +sg25 +g26 +((lp608 +tp609 +Rp610 +sbsS'Strong' +p611 +g1 +(g2 +g3 +(g558 +g611 +tp612 +tp613 +Rp614 +(dp615 +g10 +g561 +sg25 +g26 +((lp616 +tp617 +Rp618 +sbsS'Error' +p619 +g1 +(g2 +g3 +(g558 +g619 +tp620 +tp621 +Rp622 +(dp623 +g10 +g561 +sg25 +g26 +((lp624 +tp625 +Rp626 +sbsS'Traceback' +p627 +g1 +(g2 +g3 +(g558 +g627 +tp628 +tp629 +Rp630 +(dp631 +g10 +g561 +sg25 +g26 +((lp632 +tp633 +Rp634 +sbsg25 +g26 +((lp635 +g582 +ag574 +ag1 +(g2 +g3 +(g558 +S'Output' +p636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g561 +sg25 +g26 +((lp641 +tp642 +Rp643 +sbag614 +ag590 +ag622 +ag630 +ag606 +ag598 +ag566 +atp644 +Rp645 +sg636 +g639 +sbsg65 +g1 +(g2 +g3 +(g65 +tp646 +tp647 +Rp648 +(dp649 +g10 +g265 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp650 +tp651 +Rp652 +(dp653 +g10 +g648 +sg25 +g26 +((lp654 +tp655 +Rp656 +sbsg25 +g26 +((lp657 +g652 +ag1 +(g2 +g3 +(g65 +S'Word' +p658 +tp659 +tp660 +Rp661 +(dp662 +g10 +g648 +sg25 +g26 +((lp663 +tp664 +Rp665 +sbatp666 +Rp667 +sg658 +g661 +sbsg324 +g327 +sg4 +g13 +sS'Punctuation' +p668 +g1 +(g2 +g3 +(g668 +tp669 +tp670 +Rp671 +(dp672 +g10 +g265 +sg25 +g26 +((lp673 +g1 +(g2 +g3 +(g668 +S'Indicator' +p674 +tp675 +tp676 +Rp677 +(dp678 +g10 +g671 +sg25 +g26 +((lp679 +tp680 +Rp681 +sbatp682 +Rp683 +sg674 +g677 +sbsS'Comment' +p684 +g1 +(g2 +g3 +(g684 +tp685 +tp686 +Rp687 +(dp688 +S'Multi' +p689 +g1 +(g2 +g3 +(g684 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g687 +sg25 +g26 +((lp694 +tp695 +Rp696 +sbsg10 +g265 +sS'Special' +p697 +g1 +(g2 +g3 +(g684 +g697 +tp698 +tp699 +Rp700 +(dp701 +g10 +g687 +sg25 +g26 +((lp702 +tp703 +Rp704 +sbsS'Hashbang' +p705 +g1 +(g2 +g3 +(g684 +g705 +tp706 +tp707 +Rp708 +(dp709 +g10 +g687 +sg25 +g26 +((lp710 +tp711 +Rp712 +sbsS'Preproc' +p713 +g1 +(g2 +g3 +(g684 +g713 +tp714 +tp715 +Rp716 +(dp717 +g10 +g687 +sg25 +g26 +((lp718 +tp719 +Rp720 +sbsg463 +g1 +(g2 +g3 +(g684 +g463 +tp721 +tp722 +Rp723 +(dp724 +g10 +g687 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsS'Directive' +p728 +g1 +(g2 +g3 +(g684 +g728 +tp729 +tp730 +Rp731 +(dp732 +g10 +g687 +sg25 +g26 +((lp733 +tp734 +Rp735 +sbsg455 +g1 +(g2 +g3 +(g684 +g455 +tp736 +tp737 +Rp738 +(dp739 +g10 +g687 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsS'Singleline' +p743 +g1 +(g2 +g3 +(g684 +g743 +tp744 +tp745 +Rp746 +(dp747 +g10 +g687 +sg25 +g26 +((lp748 +tp749 +Rp750 +sbsS'Multiline' +p751 +g1 +(g2 +g3 +(g684 +g751 +tp752 +tp753 +Rp754 +(dp755 +g10 +g687 +sg25 +g26 +((lp756 +tp757 +Rp758 +sbsg25 +g26 +((lp759 +g738 +ag731 +ag708 +ag692 +ag746 +ag716 +ag754 +ag723 +ag1 +(g2 +g3 +(g684 +S'PreprocFile' +p760 +tp761 +tp762 +Rp763 +(dp764 +g10 +g687 +sg25 +g26 +((lp765 +tp766 +Rp767 +sbag1 +(g2 +g3 +(g684 +S'SingleLine' +p768 +tp769 +tp770 +Rp771 +(dp772 +g10 +g687 +sg25 +g26 +((lp773 +tp774 +Rp775 +sbag700 +atp776 +Rp777 +sg760 +g763 +sg768 +g771 +sbsg268 +g291 +sg231 +g1 +(g2 +g3 +(g231 +tp778 +tp779 +Rp780 +(dp781 +g10 +g265 +sg25 +g26 +((lp782 +tp783 +Rp784 +sbsg619 +g1 +(g2 +g3 +(g619 +tp785 +tp786 +Rp787 +(dp788 +g10 +g265 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsS'Token' +p792 +g265 +sg446 +g1 +(g2 +g3 +(g446 +tp793 +tp794 +Rp795 +(dp796 +g10 +g265 +sg25 +g26 +((lp797 +tp798 +Rp799 +sbsg25 +g26 +((lp800 +g13 +ag780 +ag1 +(g2 +g3 +(S'Keyword' +p801 +tp802 +tp803 +Rp804 +(dp805 +g10 +g265 +sg121 +g1 +(g2 +g3 +(g801 +g121 +tp806 +tp807 +Rp808 +(dp809 +g10 +g804 +sg25 +g26 +((lp810 +tp811 +Rp812 +sbsS'Control' +p813 +g1 +(g2 +g3 +(g801 +g813 +tp814 +tp815 +Rp816 +(dp817 +g10 +g804 +sg25 +g26 +((lp818 +tp819 +Rp820 +sbsg145 +g1 +(g2 +g3 +(g801 +g145 +tp821 +tp822 +Rp823 +(dp824 +g10 +g804 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg200 +g1 +(g2 +g3 +(g801 +g200 +tp828 +tp829 +Rp830 +(dp831 +g10 +g804 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsS'PreProc' +p835 +g1 +(g2 +g3 +(g801 +g835 +tp836 +tp837 +Rp838 +(dp839 +g10 +g804 +sg25 +g26 +((lp840 +tp841 +Rp842 +sbsg113 +g1 +(g2 +g3 +(g801 +g113 +tp843 +tp844 +Rp845 +(dp846 +g10 +g804 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsS'Reserved' +p850 +g1 +(g2 +g3 +(g801 +g850 +tp851 +tp852 +Rp853 +(dp854 +g10 +g804 +sg25 +g26 +((lp855 +tp856 +Rp857 +sbsg25 +g26 +((lp858 +g830 +ag1 +(g2 +g3 +(g801 +g658 +tp859 +tp860 +Rp861 +(dp862 +g10 +g804 +sg25 +g26 +((lp863 +tp864 +Rp865 +sbag816 +ag1 +(g2 +g3 +(g801 +S'Declaration' +p866 +tp867 +tp868 +Rp869 +(dp870 +g10 +g804 +sg25 +g26 +((lp871 +tp872 +Rp873 +sbag1 +(g2 +g3 +(g801 +g801 +tp874 +tp875 +Rp876 +(dp877 +g10 +g804 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag845 +ag823 +ag808 +ag853 +ag838 +atp881 +Rp882 +sg801 +g876 +sg866 +g869 +sg658 +g861 +sbag561 +ag1 +(g2 +g3 +(S'Text' +p883 +tp884 +tp885 +Rp886 +(dp887 +S'Beer' +p888 +g1 +(g2 +g3 +(g883 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g886 +sg25 +g26 +((lp893 +tp894 +Rp895 +sbsS'Whitespace' +p896 +g1 +(g2 +g3 +(g883 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g886 +sg25 +g26 +((lp901 +tp902 +Rp903 +sbsg10 +g265 +sS'Root' +p904 +g1 +(g2 +g3 +(g883 +g904 +tp905 +tp906 +Rp907 +(dp908 +g10 +g886 +sg25 +g26 +((lp909 +tp910 +Rp911 +sbsg97 +g1 +(g2 +g3 +(g883 +g97 +tp912 +tp913 +Rp914 +(dp915 +g10 +g886 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg668 +g1 +(g2 +g3 +(g883 +g668 +tp919 +tp920 +Rp921 +(dp922 +g10 +g886 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg25 +g26 +((lp926 +g907 +ag914 +ag921 +ag899 +ag891 +ag1 +(g2 +g3 +(g883 +S'Rag' +p927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g886 +sg25 +g26 +((lp932 +tp933 +Rp934 +sbatp935 +Rp936 +sg927 +g930 +sbag648 +ag795 +ag671 +ag687 +ag787 +ag291 +atp937 +Rp938 +sg801 +g804 +sg883 +g886 +sbsg20 +g211 +sg5 +g8 +sg247 +g250 +sg231 +g234 +sbsg121 +g1 +(g2 +g3 +(g4 +g5 +g121 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg25 +g26 +((lp943 +tp944 +Rp945 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g113 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbag941 +atp954 +Rp955 +sg113 +g949 +sbVAny +p956 +tp957 +a(g886 +V +p958 +tp959 +a(g13 +VN +p960 +tp961 +a(g671 +V, +p962 +tp963 +a(g886 +g958 +tp964 +a(g13 +VN2 +p965 +tp966 +a(g886 +g958 +tp967 +a(g804 +Vwhere +p968 +tp969 +a(g886 +g958 +tp970 +a(g13 +g960 +tp971 +a(g886 +g958 +tp972 +a(g8 +Vis +p973 +tp974 +a(g886 +g958 +tp975 +a(g13 +VNote +p976 +tp977 +a(g671 +g962 +tp978 +a(g886 +g958 +tp979 +a(g13 +VN2 +p980 +tp981 +a(g886 +g958 +tp982 +a(g8 +Vis +p983 +tp984 +a(g886 +g958 +tp985 +a(g13 +VNote +p986 +tp987 +a(g671 +g962 +tp988 +a(g886 +g958 +tp989 +a(g13 +g960 +tp990 +a(g886 +g958 +tp991 +a(g13 +Va_faire_par +p992 +tp993 +a(g886 +g958 +tp994 +a(g13 +VP1 +p995 +tp996 +a(g671 +g962 +tp997 +a(g886 +g958 +tp998 +a(g13 +VP1 +p999 +tp1000 +a(g886 +g958 +tp1001 +a(g13 +Vnom +p1002 +tp1003 +a(g886 +g958 +tp1004 +a(g466 +V'john' +p1005 +tp1006 +a(g671 +g962 +tp1007 +a(g886 +g958 +tp1008 +a(g13 +VN2 +p1009 +tp1010 +a(g886 +g958 +tp1011 +a(g13 +Va_faire_par +p1012 +tp1013 +a(g886 +g958 +tp1014 +a(g13 +VP2 +p1015 +tp1016 +a(g671 +g962 +tp1017 +a(g886 +g958 +tp1018 +a(g13 +VP2 +p1019 +tp1020 +a(g886 +g958 +tp1021 +a(g13 +Vnom +p1022 +tp1023 +a(g886 +g958 +tp1024 +a(g466 +V'jane' +p1025 +tp1026 +a(g886 +g958 +tp1027 +a(g671 +V; +p1028 +tp1029 +a(g886 +V\u000a +p1030 +tp1031 +a(g804 +VDISTINCT +p1032 +tp1033 +a(g886 +g958 +tp1034 +a(g8 +VAny +p1035 +tp1036 +a(g886 +g958 +tp1037 +a(g13 +g960 +tp1038 +a(g671 +g962 +tp1039 +a(g886 +g958 +tp1040 +a(g13 +VD +p1041 +tp1042 +a(g671 +g962 +tp1043 +a(g886 +g958 +tp1044 +a(g13 +VC +p1045 +tp1046 +a(g671 +g962 +tp1047 +a(g886 +g958 +tp1048 +a(g13 +VT +p1049 +tp1050 +a(g671 +g962 +tp1051 +a(g886 +g958 +tp1052 +a(g13 +VA +p1053 +tp1054 +a(g886 +g958 +tp1055 +a(g804 +VORDERBY +p1056 +tp1057 +a(g886 +g958 +tp1058 +a(g13 +g1041 +tp1059 +a(g886 +g958 +tp1060 +a(g804 +VDESC +p1061 +tp1062 +a(g886 +g958 +tp1063 +a(g804 +VLIMIT +p1064 +tp1065 +a(g886 +g958 +tp1066 +a(g276 +V40 +p1067 +tp1068 +a(g886 +g958 +tp1069 +a(g804 +Vwhere +p1070 +tp1071 +a(g886 +g958 +tp1072 +a(g13 +g960 +tp1073 +a(g886 +g958 +tp1074 +a(g8 +Vis +p1075 +tp1076 +a(g886 +g958 +tp1077 +a(g13 +VNote +p1078 +tp1079 +a(g671 +g962 +tp1080 +a(g886 +g958 +tp1081 +a(g13 +g960 +tp1082 +a(g886 +g958 +tp1083 +a(g13 +Vdiem +p1084 +tp1085 +a(g886 +g958 +tp1086 +a(g13 +g1041 +tp1087 +a(g671 +g962 +tp1088 +a(g886 +g958 +tp1089 +a(g13 +VW +p1090 +tp1091 +a(g886 +g958 +tp1092 +a(g8 +Vis +p1093 +tp1094 +a(g886 +g958 +tp1095 +a(g13 +VWorkcase +p1096 +tp1097 +a(g671 +g962 +tp1098 +a(g886 +g958 +tp1099 +a(g13 +g1090 +tp1100 +a(g886 +g958 +tp1101 +a(g13 +Vconcerned_by +p1102 +tp1103 +a(g886 +g958 +tp1104 +a(g13 +g960 +tp1105 +a(g671 +g962 +tp1106 +a(g886 +g958 +tp1107 +a(g13 +g960 +tp1108 +a(g886 +g958 +tp1109 +a(g13 +Vcost +p1110 +tp1111 +a(g886 +g958 +tp1112 +a(g13 +g1045 +tp1113 +a(g671 +g962 +tp1114 +a(g886 +g958 +tp1115 +a(g13 +g960 +tp1116 +a(g886 +g958 +tp1117 +a(g13 +Vtext +p1118 +tp1119 +a(g886 +g958 +tp1120 +a(g13 +g1049 +tp1121 +a(g671 +g962 +tp1122 +a(g886 +g958 +tp1123 +a(g13 +g960 +tp1124 +a(g886 +g958 +tp1125 +a(g13 +Vauthor +p1126 +tp1127 +a(g886 +g958 +tp1128 +a(g13 +g1053 +tp1129 +a(g671 +g962 +tp1130 +a(g886 +g958 +tp1131 +a(g13 +g960 +tp1132 +a(g886 +g958 +tp1133 +a(g13 +Vdiem +p1134 +tp1135 +a(g886 +g958 +tp1136 +a(g648 +V< +p1137 +tp1138 +a(g648 +V= +p1139 +tp1140 +a(g886 +g958 +tp1141 +a(g804 +Vtoday +p1142 +tp1143 +a(g886 +V\u000a +p1144 +tp1145 +a(g13 +VBookmark +p1146 +tp1147 +a(g886 +g958 +tp1148 +a(g13 +VB +p1149 +tp1150 +a(g886 +g958 +tp1151 +a(g804 +VWHERE +p1152 +tp1153 +a(g886 +g958 +tp1154 +a(g13 +g1149 +tp1155 +a(g886 +g958 +tp1156 +a(g13 +Vowned_by +p1157 +tp1158 +a(g886 +g958 +tp1159 +a(g13 +VG +p1160 +tp1161 +a(g671 +g962 +tp1162 +a(g886 +g958 +tp1163 +a(g13 +g1160 +tp1164 +a(g886 +g958 +tp1165 +a(g13 +Veid +p1166 +tp1167 +a(g886 +g958 +tp1168 +a(g276 +V5 +p1169 +tp1170 +a(g671 +g1028 +tp1171 +a(g886 +V\u000a +p1172 +tp1173 +a(g8 +VAny +p1174 +tp1175 +a(g886 +g958 +tp1176 +a(g13 +VX +p1177 +tp1178 +a(g886 +g958 +tp1179 +a(g804 +VWHERE +p1180 +tp1181 +a(g886 +g958 +tp1182 +a(g13 +VE +p1183 +tp1184 +a(g886 +g958 +tp1185 +a(g13 +Veid +p1186 +tp1187 +a(g886 +g958 +tp1188 +a(g276 +V22762 +p1189 +tp1190 +a(g671 +g962 +tp1191 +a(g886 +g958 +tp1192 +a(g804 +VNOT +p1193 +tp1194 +a(g886 +g958 +tp1195 +a(g13 +g1183 +tp1196 +a(g886 +g958 +tp1197 +a(g13 +Vis_in +p1198 +tp1199 +a(g886 +g958 +tp1200 +a(g13 +g1177 +tp1201 +a(g671 +g962 +tp1202 +a(g886 +g958 +tp1203 +a(g13 +g1177 +tp1204 +a(g886 +g958 +tp1205 +a(g13 +Vmodification_date +p1206 +tp1207 +a(g886 +g958 +tp1208 +a(g13 +g1041 +tp1209 +a(g886 +g958 +tp1210 +a(g804 +VORDERBY +p1211 +tp1212 +a(g886 +g958 +tp1213 +a(g13 +g1041 +tp1214 +a(g886 +g958 +tp1215 +a(g804 +VDESC +p1216 +tp1217 +a(g886 +g958 +tp1218 +a(g804 +VLIMIT +p1219 +tp1220 +a(g886 +g958 +tp1221 +a(g276 +V41 +p1222 +tp1223 +a(g671 +g1028 +tp1224 +a(g886 +V\u000a +p1225 +tp1226 +a(g8 +VAny +p1227 +tp1228 +a(g886 +g958 +tp1229 +a(g13 +g1053 +tp1230 +a(g671 +g962 +tp1231 +a(g886 +g958 +tp1232 +a(g13 +VR +p1233 +tp1234 +a(g671 +g962 +tp1235 +a(g886 +g958 +tp1236 +a(g13 +VSUB +p1237 +tp1238 +a(g886 +g958 +tp1239 +a(g804 +VORDERBY +p1240 +tp1241 +a(g886 +g958 +tp1242 +a(g13 +g1233 +tp1243 +a(g886 +g958 +tp1244 +a(g804 +VWHERE +p1245 +tp1246 +a(g886 +g958 +tp1247 +a(g13 +g1053 +tp1248 +a(g886 +g958 +tp1249 +a(g8 +Vis +p1250 +tp1251 +a(g886 +g958 +tp1252 +a(g466 +V"Workcase" +p1253 +tp1254 +a(g671 +g962 +tp1255 +a(g886 +g958 +tp1256 +a(g13 +VS +p1257 +tp1258 +a(g886 +g958 +tp1259 +a(g8 +Vis +p1260 +tp1261 +a(g886 +g958 +tp1262 +a(g13 +VDivision +p1263 +tp1264 +a(g671 +g962 +tp1265 +a(g886 +g958 +tp1266 +a(g13 +g1257 +tp1267 +a(g886 +g958 +tp1268 +a(g13 +Vconcerned_by +p1269 +tp1270 +a(g886 +g958 +tp1271 +a(g13 +g1053 +tp1272 +a(g671 +g962 +tp1273 +a(g886 +g958 +tp1274 +a(g13 +g1053 +tp1275 +a(g886 +g958 +tp1276 +a(g13 +Vsubject +p1277 +tp1278 +a(g886 +g958 +tp1279 +a(g13 +VSUB +p1280 +tp1281 +a(g671 +g962 +tp1282 +a(g886 +g958 +tp1283 +a(g13 +g1257 +tp1284 +a(g886 +g958 +tp1285 +a(g13 +Veid +p1286 +tp1287 +a(g886 +g958 +tp1288 +a(g276 +V85 +p1289 +tp1290 +a(g671 +g962 +tp1291 +a(g886 +g958 +tp1292 +a(g13 +g1053 +tp1293 +a(g886 +g958 +tp1294 +a(g13 +Vref +p1295 +tp1296 +a(g886 +g958 +tp1297 +a(g13 +g1233 +tp1298 +a(g671 +g1028 +tp1299 +a(g886 +V\u000a +p1300 +tp1301 +a(g8 +VAny +p1302 +tp1303 +a(g886 +g958 +tp1304 +a(g13 +g1041 +tp1305 +a(g671 +g962 +tp1306 +a(g886 +g958 +tp1307 +a(g13 +g1049 +tp1308 +a(g671 +g962 +tp1309 +a(g886 +g958 +tp1310 +a(g13 +VL +p1311 +tp1312 +a(g886 +g958 +tp1313 +a(g804 +VWHERE +p1314 +tp1315 +a(g886 +g958 +tp1316 +a(g13 +g1041 +tp1317 +a(g886 +g958 +tp1318 +a(g8 +Vis +p1319 +tp1320 +a(g886 +g958 +tp1321 +a(g13 +VDocument +p1322 +tp1323 +a(g671 +g962 +tp1324 +a(g886 +g958 +tp1325 +a(g13 +g1053 +tp1326 +a(g886 +g958 +tp1327 +a(g13 +Vconcerned_by +p1328 +tp1329 +a(g886 +g958 +tp1330 +a(g13 +g1041 +tp1331 +a(g671 +g962 +tp1332 +a(g13 +g1053 +tp1333 +a(g886 +g958 +tp1334 +a(g13 +Veid +p1335 +tp1336 +a(g886 +g958 +tp1337 +a(g276 +V14533 +p1338 +tp1339 +a(g671 +g962 +tp1340 +a(g886 +g958 +tp1341 +a(g13 +g1041 +tp1342 +a(g886 +g958 +tp1343 +a(g13 +Vtitle +p1344 +tp1345 +a(g886 +g958 +tp1346 +a(g13 +g1049 +tp1347 +a(g671 +g962 +tp1348 +a(g886 +g958 +tp1349 +a(g13 +g1041 +tp1350 +a(g886 +g958 +tp1351 +a(g13 +Vlocation +p1352 +tp1353 +a(g886 +g958 +tp1354 +a(g13 +g1311 +tp1355 +a(g671 +g1028 +tp1356 +a(g886 +V\u000a +p1357 +tp1358 +a(g8 +VAny +p1359 +tp1360 +a(g886 +g958 +tp1361 +a(g13 +g960 +tp1362 +a(g671 +g962 +tp1363 +a(g13 +g1053 +tp1364 +a(g671 +g962 +tp1365 +a(g13 +g1149 +tp1366 +a(g671 +g962 +tp1367 +a(g13 +g1045 +tp1368 +a(g671 +g962 +tp1369 +a(g13 +g1041 +tp1370 +a(g886 +g958 +tp1371 +a(g804 +VORDERBY +p1372 +tp1373 +a(g886 +g958 +tp1374 +a(g13 +g1053 +tp1375 +a(g886 +g958 +tp1376 +a(g804 +VDESC +p1377 +tp1378 +a(g886 +g958 +tp1379 +a(g804 +VWHERE +p1380 +tp1381 +a(g886 +g958 +tp1382 +a(g13 +g960 +tp1383 +a(g886 +g958 +tp1384 +a(g8 +Vis +p1385 +tp1386 +a(g886 +g958 +tp1387 +a(g13 +VNote +p1388 +tp1389 +a(g671 +g962 +tp1390 +a(g886 +g958 +tp1391 +a(g13 +g1090 +tp1392 +a(g886 +g958 +tp1393 +a(g13 +Vconcerned_by +p1394 +tp1395 +a(g886 +g958 +tp1396 +a(g13 +g960 +tp1397 +a(g671 +g962 +tp1398 +a(g886 +g958 +tp1399 +a(g13 +g1090 +tp1400 +a(g886 +g958 +tp1401 +a(g13 +Veid +p1402 +tp1403 +a(g886 +g958 +tp1404 +a(g276 +V14533 +p1405 +tp1406 +a(g671 +g962 +tp1407 +a(g886 +g958 +tp1408 +a(g13 +g960 +tp1409 +a(g886 +g958 +tp1410 +a(g13 +Vdiem +p1411 +tp1412 +a(g886 +g958 +tp1413 +a(g13 +g1053 +tp1414 +a(g671 +g962 +tp1415 +a(g13 +g960 +tp1416 +a(g886 +g958 +tp1417 +a(g13 +Vauthor +p1418 +tp1419 +a(g886 +g958 +tp1420 +a(g13 +g1149 +tp1421 +a(g671 +g962 +tp1422 +a(g13 +g960 +tp1423 +a(g886 +g958 +tp1424 +a(g13 +Vtext +p1425 +tp1426 +a(g886 +g958 +tp1427 +a(g13 +g1045 +tp1428 +a(g671 +g962 +tp1429 +a(g13 +g960 +tp1430 +a(g886 +g958 +tp1431 +a(g13 +Vcost +p1432 +tp1433 +a(g886 +g958 +tp1434 +a(g13 +g1041 +tp1435 +a(g671 +g1028 +tp1436 +a(g886 +V\u000a +p1437 +tp1438 +a(g8 +VAny +p1439 +tp1440 +a(g886 +g958 +tp1441 +a(g13 +g1177 +tp1442 +a(g886 +g958 +tp1443 +a(g804 +VORDERBY +p1444 +tp1445 +a(g886 +g958 +tp1446 +a(g13 +g1041 +tp1447 +a(g886 +g958 +tp1448 +a(g804 +VDESC +p1449 +tp1450 +a(g886 +g958 +tp1451 +a(g804 +VLIMIT +p1452 +tp1453 +a(g886 +g958 +tp1454 +a(g276 +V41 +p1455 +tp1456 +a(g886 +g958 +tp1457 +a(g804 +VWHERE +p1458 +tp1459 +a(g886 +g958 +tp1460 +a(g13 +g1183 +tp1461 +a(g886 +g958 +tp1462 +a(g13 +Veid +p1463 +tp1464 +a(g886 +g958 +tp1465 +a(g276 +V18134 +p1466 +tp1467 +a(g671 +g962 +tp1468 +a(g886 +g958 +tp1469 +a(g804 +VNOT +p1470 +tp1471 +a(g886 +g958 +tp1472 +a(g13 +g1183 +tp1473 +a(g886 +g958 +tp1474 +a(g13 +Vconcerned_by +p1475 +tp1476 +a(g886 +g958 +tp1477 +a(g13 +g1177 +tp1478 +a(g671 +g962 +tp1479 +a(g886 +g958 +tp1480 +a(g13 +g1177 +tp1481 +a(g886 +g958 +tp1482 +a(g13 +Vmodification_date +p1483 +tp1484 +a(g886 +g958 +tp1485 +a(g13 +g1041 +tp1486 +a(g886 +V\u000a +p1487 +tp1488 +a(g804 +VDISTINCT +p1489 +tp1490 +a(g886 +g958 +tp1491 +a(g8 +VAny +p1492 +tp1493 +a(g886 +g958 +tp1494 +a(g13 +g960 +tp1495 +a(g671 +g962 +tp1496 +a(g886 +g958 +tp1497 +a(g13 +g1041 +tp1498 +a(g671 +g962 +tp1499 +a(g886 +g958 +tp1500 +a(g13 +g1045 +tp1501 +a(g671 +g962 +tp1502 +a(g886 +g958 +tp1503 +a(g13 +g1049 +tp1504 +a(g671 +g962 +tp1505 +a(g886 +g958 +tp1506 +a(g13 +g1053 +tp1507 +a(g886 +g958 +tp1508 +a(g804 +VORDERBY +p1509 +tp1510 +a(g886 +g958 +tp1511 +a(g13 +g1041 +tp1512 +a(g886 +g958 +tp1513 +a(g804 +VASC +p1514 +tp1515 +a(g886 +g958 +tp1516 +a(g804 +VLIMIT +p1517 +tp1518 +a(g886 +g958 +tp1519 +a(g276 +V40 +p1520 +tp1521 +a(g886 +g958 +tp1522 +a(g804 +VWHERE +p1523 +tp1524 +a(g886 +g958 +tp1525 +a(g13 +g960 +tp1526 +a(g886 +g958 +tp1527 +a(g8 +Vis +p1528 +tp1529 +a(g886 +g958 +tp1530 +a(g13 +VNote +p1531 +tp1532 +a(g671 +g962 +tp1533 +a(g886 +g958 +tp1534 +a(g13 +g960 +tp1535 +a(g886 +g958 +tp1536 +a(g13 +Vdiem +p1537 +tp1538 +a(g886 +g958 +tp1539 +a(g13 +g1041 +tp1540 +a(g671 +g962 +tp1541 +a(g886 +g958 +tp1542 +a(g13 +VP +p1543 +tp1544 +a(g886 +g958 +tp1545 +a(g8 +Vis +p1546 +tp1547 +a(g886 +g958 +tp1548 +a(g13 +VPerson +p1549 +tp1550 +a(g671 +g962 +tp1551 +a(g886 +g958 +tp1552 +a(g13 +g960 +tp1553 +a(g886 +g958 +tp1554 +a(g13 +Vto_be_contacted_by +p1555 +tp1556 +a(g886 +g958 +tp1557 +a(g13 +g1160 +tp1558 +a(g671 +g962 +tp1559 +a(g886 +g958 +tp1560 +a(g13 +g960 +tp1561 +a(g886 +g958 +tp1562 +a(g13 +Vcost +p1563 +tp1564 +a(g886 +g958 +tp1565 +a(g13 +g1045 +tp1566 +a(g671 +g962 +tp1567 +a(g886 +g958 +tp1568 +a(g13 +g960 +tp1569 +a(g886 +g958 +tp1570 +a(g13 +Vtext +p1571 +tp1572 +a(g886 +g958 +tp1573 +a(g13 +g1049 +tp1574 +a(g671 +g962 +tp1575 +a(g886 +g958 +tp1576 +a(g13 +g960 +tp1577 +a(g886 +g958 +tp1578 +a(g13 +Vauthor +p1579 +tp1580 +a(g886 +g958 +tp1581 +a(g13 +g1053 +tp1582 +a(g671 +g962 +tp1583 +a(g886 +g958 +tp1584 +a(g13 +g1160 +tp1585 +a(g886 +g958 +tp1586 +a(g13 +Vlogin +p1587 +tp1588 +a(g886 +g958 +tp1589 +a(g466 +V"john" +p1590 +tp1591 +a(g671 +g1028 +tp1592 +a(g886 +V\u000a +p1593 +tp1594 +a(g804 +VINSERT +p1595 +tp1596 +a(g886 +g958 +tp1597 +a(g13 +VPerson +p1598 +tp1599 +a(g886 +g958 +tp1600 +a(g13 +g1177 +tp1601 +a(g671 +V: +p1602 +tp1603 +a(g886 +g958 +tp1604 +a(g13 +g1177 +tp1605 +a(g886 +g958 +tp1606 +a(g13 +Vsurname +p1607 +tp1608 +a(g886 +g958 +tp1609 +a(g466 +V"Doe" +p1610 +tp1611 +a(g671 +g962 +tp1612 +a(g886 +g958 +tp1613 +a(g13 +g1177 +tp1614 +a(g886 +g958 +tp1615 +a(g13 +Vfirstname +p1616 +tp1617 +a(g886 +g958 +tp1618 +a(g466 +V"John" +p1619 +tp1620 +a(g671 +g1028 +tp1621 +a(g886 +V\u000a +p1622 +tp1623 +a(g13 +VWorkcase +p1624 +tp1625 +a(g886 +g958 +tp1626 +a(g13 +g1090 +tp1627 +a(g886 +g958 +tp1628 +a(g804 +Vwhere +p1629 +tp1630 +a(g886 +g958 +tp1631 +a(g13 +g1090 +tp1632 +a(g886 +g958 +tp1633 +a(g13 +Vref +p1634 +tp1635 +a(g886 +g958 +tp1636 +a(g466 +V"ABCD12" +p1637 +tp1638 +a(g671 +g1028 +tp1639 +a(g886 +V\u000a +p1640 +tp1641 +a(g13 +VWorkcase +p1642 +tp1643 +a(g886 +g958 +tp1644 +a(g13 +g1090 +tp1645 +a(g886 +g958 +tp1646 +a(g804 +Vwhere +p1647 +tp1648 +a(g886 +g958 +tp1649 +a(g13 +g1090 +tp1650 +a(g886 +g958 +tp1651 +a(g13 +Vref +p1652 +tp1653 +a(g886 +g958 +tp1654 +a(g13 +VLIKE +p1655 +tp1656 +a(g886 +g958 +tp1657 +a(g466 +V"AB%" +p1658 +tp1659 +a(g671 +g1028 +tp1660 +a(g886 +V\u000a +p1661 +tp1662 +a(g8 +VAny +p1663 +tp1664 +a(g886 +g958 +tp1665 +a(g13 +g1177 +tp1666 +a(g886 +g958 +tp1667 +a(g804 +VWHERE +p1668 +tp1669 +a(g886 +g958 +tp1670 +a(g13 +g1177 +tp1671 +a(g886 +g958 +tp1672 +a(g13 +g1177 +tp1673 +a(g886 +g958 +tp1674 +a(g13 +Veid +p1675 +tp1676 +a(g886 +g958 +tp1677 +a(g276 +V53 +p1678 +tp1679 +a(g886 +V\u000a +p1680 +tp1681 +a(g8 +VAny +p1682 +tp1683 +a(g886 +g958 +tp1684 +a(g13 +g1177 +tp1685 +a(g886 +g958 +tp1686 +a(g804 +VWHERE +p1687 +tp1688 +a(g886 +g958 +tp1689 +a(g13 +g1177 +tp1690 +a(g886 +g958 +tp1691 +a(g13 +VDocument +p1692 +tp1693 +a(g886 +g958 +tp1694 +a(g13 +g1177 +tp1695 +a(g886 +g958 +tp1696 +a(g13 +Voccurence_of +p1697 +tp1698 +a(g886 +g958 +tp1699 +a(g13 +VF +p1700 +tp1701 +a(g671 +g962 +tp1702 +a(g886 +g958 +tp1703 +a(g13 +g1700 +tp1704 +a(g886 +g958 +tp1705 +a(g13 +Vclass +p1706 +tp1707 +a(g886 +g958 +tp1708 +a(g13 +g1045 +tp1709 +a(g671 +g962 +tp1710 +a(g886 +g958 +tp1711 +a(g13 +g1045 +tp1712 +a(g886 +g958 +tp1713 +a(g13 +Vname +p1714 +tp1715 +a(g886 +g958 +tp1716 +a(g466 +V'Comics' +p1717 +tp1718 +a(g886 +g958 +tp1719 +a(g13 +g1177 +tp1720 +a(g886 +g958 +tp1721 +a(g13 +Vowned_by +p1722 +tp1723 +a(g886 +g958 +tp1724 +a(g13 +VU +p1725 +tp1726 +a(g671 +g962 +tp1727 +a(g886 +g958 +tp1728 +a(g13 +g1725 +tp1729 +a(g886 +g958 +tp1730 +a(g13 +Vlogin +p1731 +tp1732 +a(g886 +g958 +tp1733 +a(g466 +V'syt' +p1734 +tp1735 +a(g886 +g958 +tp1736 +a(g13 +g1177 +tp1737 +a(g886 +g958 +tp1738 +a(g13 +Vavailable +p1739 +tp1740 +a(g886 +g958 +tp1741 +a(g804 +Vtrue +p1742 +tp1743 +a(g886 +V\u000a +p1744 +tp1745 +a(g13 +VPerson +p1746 +tp1747 +a(g886 +g958 +tp1748 +a(g13 +g1543 +tp1749 +a(g886 +g958 +tp1750 +a(g804 +VWHERE +p1751 +tp1752 +a(g886 +g958 +tp1753 +a(g13 +g1543 +tp1754 +a(g886 +g958 +tp1755 +a(g13 +Vwork_for +p1756 +tp1757 +a(g886 +g958 +tp1758 +a(g13 +g1543 +tp1759 +a(g671 +g962 +tp1760 +a(g886 +g958 +tp1761 +a(g13 +g1257 +tp1762 +a(g886 +g958 +tp1763 +a(g13 +Vname +p1764 +tp1765 +a(g886 +g958 +tp1766 +a(g466 +V'Acme' +p1767 +tp1768 +a(g671 +g962 +tp1769 +a(g886 +g958 +tp1770 +a(g13 +g1543 +tp1771 +a(g886 +g958 +tp1772 +a(g13 +Vinterested_by +p1773 +tp1774 +a(g886 +g958 +tp1775 +a(g13 +g1049 +tp1776 +a(g671 +g962 +tp1777 +a(g886 +g958 +tp1778 +a(g13 +g1049 +tp1779 +a(g886 +g958 +tp1780 +a(g13 +Vname +p1781 +tp1782 +a(g886 +g958 +tp1783 +a(g466 +V'training' +p1784 +tp1785 +a(g886 +V\u000a +p1786 +tp1787 +a(g13 +VNote +p1788 +tp1789 +a(g886 +g958 +tp1790 +a(g13 +g960 +tp1791 +a(g886 +g958 +tp1792 +a(g804 +VWHERE +p1793 +tp1794 +a(g886 +g958 +tp1795 +a(g13 +g960 +tp1796 +a(g886 +g958 +tp1797 +a(g13 +Vwritten_on +p1798 +tp1799 +a(g886 +g958 +tp1800 +a(g13 +g1041 +tp1801 +a(g671 +g962 +tp1802 +a(g886 +g958 +tp1803 +a(g13 +g1041 +tp1804 +a(g886 +g958 +tp1805 +a(g13 +Vday +p1806 +tp1807 +a(g648 +V> +p1808 +tp1809 +a(g886 +g958 +tp1810 +a(g671 +V( +p1811 +tp1812 +a(g804 +Vtoday +p1813 +tp1814 +a(g886 +g958 +tp1815 +a(g648 +V- +p1816 +tp1817 +a(g276 +V10 +p1818 +tp1819 +a(g671 +V) +p1820 +tp1821 +a(g671 +g962 +tp1822 +a(g886 +g958 +tp1823 +a(g13 +g960 +tp1824 +a(g886 +g958 +tp1825 +a(g13 +Vwritten_by +p1826 +tp1827 +a(g886 +g958 +tp1828 +a(g13 +g1543 +tp1829 +a(g671 +g962 +tp1830 +a(g886 +g958 +tp1831 +a(g13 +g1543 +tp1832 +a(g886 +g958 +tp1833 +a(g13 +Vname +p1834 +tp1835 +a(g886 +g958 +tp1836 +a(g466 +V'joe' +p1837 +tp1838 +a(g886 +g958 +tp1839 +a(g804 +Vor +p1840 +tp1841 +a(g886 +g958 +tp1842 +a(g13 +g1543 +tp1843 +a(g886 +g958 +tp1844 +a(g13 +Vname +p1845 +tp1846 +a(g886 +g958 +tp1847 +a(g466 +V'jack' +p1848 +tp1849 +a(g886 +V\u000a +p1850 +tp1851 +a(g13 +VPerson +p1852 +tp1853 +a(g886 +g958 +tp1854 +a(g13 +g1543 +tp1855 +a(g886 +g958 +tp1856 +a(g804 +VWHERE +p1857 +tp1858 +a(g886 +g958 +tp1859 +a(g671 +g1811 +tp1860 +a(g13 +g1543 +tp1861 +a(g886 +g958 +tp1862 +a(g13 +Vinterested_by +p1863 +tp1864 +a(g886 +g958 +tp1865 +a(g13 +g1049 +tp1866 +a(g671 +g962 +tp1867 +a(g886 +g958 +tp1868 +a(g13 +g1049 +tp1869 +a(g886 +g958 +tp1870 +a(g13 +Vname +p1871 +tp1872 +a(g886 +g958 +tp1873 +a(g466 +V'training' +p1874 +tp1875 +a(g671 +g1820 +tp1876 +a(g886 +g958 +tp1877 +a(g804 +Vor +p1878 +tp1879 +a(g886 +g958 +tp1880 +a(g671 +g1811 +tp1881 +a(g13 +g1543 +tp1882 +a(g886 +g958 +tp1883 +a(g13 +Vcity +p1884 +tp1885 +a(g886 +g958 +tp1886 +a(g466 +V'Paris' +p1887 +tp1888 +a(g671 +g1820 +tp1889 +a(g886 +V\u000a +p1890 +tp1891 +a(g8 +VAny +p1892 +tp1893 +a(g886 +g958 +tp1894 +a(g13 +g960 +tp1895 +a(g671 +g962 +tp1896 +a(g886 +g958 +tp1897 +a(g13 +g1543 +tp1898 +a(g886 +g958 +tp1899 +a(g804 +VWHERE +p1900 +tp1901 +a(g886 +g958 +tp1902 +a(g13 +g1177 +tp1903 +a(g886 +g958 +tp1904 +a(g8 +Vis +p1905 +tp1906 +a(g886 +g958 +tp1907 +a(g13 +VPerson +p1908 +tp1909 +a(g671 +g962 +tp1910 +a(g886 +g958 +tp1911 +a(g13 +g1177 +tp1912 +a(g886 +g958 +tp1913 +a(g13 +Vname +p1914 +tp1915 +a(g886 +g958 +tp1916 +a(g13 +g960 +tp1917 +a(g671 +g962 +tp1918 +a(g886 +g958 +tp1919 +a(g13 +g1177 +tp1920 +a(g886 +g958 +tp1921 +a(g13 +Vfirst_name +p1922 +tp1923 +a(g886 +g958 +tp1924 +a(g13 +g1543 +tp1925 +a(g886 +V\u000a +p1926 +tp1927 +a(g13 +VString +p1928 +tp1929 +a(g886 +g958 +tp1930 +a(g13 +g960 +tp1931 +a(g671 +g962 +tp1932 +a(g886 +g958 +tp1933 +a(g13 +g1543 +tp1934 +a(g886 +g958 +tp1935 +a(g804 +VWHERE +p1936 +tp1937 +a(g886 +g958 +tp1938 +a(g13 +g1177 +tp1939 +a(g886 +g958 +tp1940 +a(g8 +Vis +p1941 +tp1942 +a(g886 +g958 +tp1943 +a(g13 +VPerson +p1944 +tp1945 +a(g671 +g962 +tp1946 +a(g886 +g958 +tp1947 +a(g13 +g1177 +tp1948 +a(g886 +g958 +tp1949 +a(g13 +Vname +p1950 +tp1951 +a(g886 +g958 +tp1952 +a(g13 +g960 +tp1953 +a(g671 +g962 +tp1954 +a(g886 +g958 +tp1955 +a(g13 +g1177 +tp1956 +a(g886 +g958 +tp1957 +a(g13 +Vfirst_name +p1958 +tp1959 +a(g886 +g958 +tp1960 +a(g13 +g1543 +tp1961 +a(g886 +V\u000a +p1962 +tp1963 +a(g804 +VINSERT +p1964 +tp1965 +a(g886 +g958 +tp1966 +a(g13 +VPerson +p1967 +tp1968 +a(g886 +g958 +tp1969 +a(g13 +g1177 +tp1970 +a(g671 +g1602 +tp1971 +a(g886 +g958 +tp1972 +a(g13 +g1177 +tp1973 +a(g886 +g958 +tp1974 +a(g13 +Vname +p1975 +tp1976 +a(g886 +g958 +tp1977 +a(g466 +V'widget' +p1978 +tp1979 +a(g886 +V\u000a +p1980 +tp1981 +a(g804 +VINSERT +p1982 +tp1983 +a(g886 +g958 +tp1984 +a(g13 +VPerson +p1985 +tp1986 +a(g886 +g958 +tp1987 +a(g13 +g1177 +tp1988 +a(g671 +g962 +tp1989 +a(g886 +g958 +tp1990 +a(g13 +VPerson +p1991 +tp1992 +a(g886 +g958 +tp1993 +a(g13 +VY +p1994 +tp1995 +a(g671 +g1602 +tp1996 +a(g886 +g958 +tp1997 +a(g13 +g1177 +tp1998 +a(g886 +g958 +tp1999 +a(g13 +Vname +p2000 +tp2001 +a(g886 +g958 +tp2002 +a(g466 +V'foo' +p2003 +tp2004 +a(g671 +g962 +tp2005 +a(g886 +g958 +tp2006 +a(g13 +g1994 +tp2007 +a(g886 +g958 +tp2008 +a(g13 +Vname +p2009 +tp2010 +a(g886 +g958 +tp2011 +a(g466 +V'nice' +p2012 +tp2013 +a(g671 +g962 +tp2014 +a(g886 +g958 +tp2015 +a(g13 +g1177 +tp2016 +a(g886 +g958 +tp2017 +a(g13 +Vfriend +p2018 +tp2019 +a(g886 +g958 +tp2020 +a(g13 +g1994 +tp2021 +a(g886 +V\u000a +p2022 +tp2023 +a(g804 +VINSERT +p2024 +tp2025 +a(g886 +g958 +tp2026 +a(g13 +VPerson +p2027 +tp2028 +a(g886 +g958 +tp2029 +a(g13 +g1177 +tp2030 +a(g671 +g1602 +tp2031 +a(g886 +g958 +tp2032 +a(g13 +g1177 +tp2033 +a(g886 +g958 +tp2034 +a(g13 +Vname +p2035 +tp2036 +a(g886 +g958 +tp2037 +a(g466 +V'foo' +p2038 +tp2039 +a(g671 +g962 +tp2040 +a(g886 +g958 +tp2041 +a(g13 +g1177 +tp2042 +a(g886 +g958 +tp2043 +a(g13 +Vfriend +p2044 +tp2045 +a(g886 +V +p2046 +tp2047 +a(g13 +g1994 +tp2048 +a(g886 +g958 +tp2049 +a(g804 +VWHERE +p2050 +tp2051 +a(g886 +g958 +tp2052 +a(g13 +Vname +p2053 +tp2054 +a(g886 +g958 +tp2055 +a(g466 +V'nice' +p2056 +tp2057 +a(g886 +V\u000a +p2058 +tp2059 +a(g804 +VSET +p2060 +tp2061 +a(g886 +g958 +tp2062 +a(g13 +g1177 +tp2063 +a(g886 +g958 +tp2064 +a(g13 +Vname +p2065 +tp2066 +a(g886 +g958 +tp2067 +a(g466 +V'bar' +p2068 +tp2069 +a(g671 +g962 +tp2070 +a(g886 +g958 +tp2071 +a(g13 +g1177 +tp2072 +a(g886 +g958 +tp2073 +a(g13 +Vfirst_name +p2074 +tp2075 +a(g886 +g958 +tp2076 +a(g466 +V'original' +p2077 +tp2078 +a(g886 +g958 +tp2079 +a(g804 +Vwhere +p2080 +tp2081 +a(g886 +g958 +tp2082 +a(g13 +g1177 +tp2083 +a(g886 +g958 +tp2084 +a(g8 +Vis +p2085 +tp2086 +a(g886 +g958 +tp2087 +a(g13 +VPerson +p2088 +tp2089 +a(g886 +g958 +tp2090 +a(g13 +g1177 +tp2091 +a(g886 +g958 +tp2092 +a(g13 +Vname +p2093 +tp2094 +a(g886 +g958 +tp2095 +a(g466 +V'foo' +p2096 +tp2097 +a(g886 +V\u000a +p2098 +tp2099 +a(g804 +VSET +p2100 +tp2101 +a(g886 +g958 +tp2102 +a(g13 +g1177 +tp2103 +a(g886 +g958 +tp2104 +a(g13 +Vknow +p2105 +tp2106 +a(g886 +g958 +tp2107 +a(g13 +g1994 +tp2108 +a(g886 +V +p2109 +tp2110 +a(g804 +VWHERE +p2111 +tp2112 +a(g886 +g958 +tp2113 +a(g13 +g1177 +tp2114 +a(g886 +g958 +tp2115 +a(g13 +Vfriend +p2116 +tp2117 +a(g886 +g958 +tp2118 +a(g13 +g1994 +tp2119 +a(g886 +V\u000a +p2120 +tp2121 +a(g804 +VDELETE +p2122 +tp2123 +a(g886 +g958 +tp2124 +a(g13 +VPerson +p2125 +tp2126 +a(g886 +g958 +tp2127 +a(g13 +g1177 +tp2128 +a(g886 +g958 +tp2129 +a(g804 +VWHERE +p2130 +tp2131 +a(g886 +g958 +tp2132 +a(g13 +g1177 +tp2133 +a(g886 +g958 +tp2134 +a(g13 +Vname +p2135 +tp2136 +a(g886 +g958 +tp2137 +a(g466 +V'foo' +p2138 +tp2139 +a(g886 +V\u000a +p2140 +tp2141 +a(g804 +VDELETE +p2142 +tp2143 +a(g886 +g958 +tp2144 +a(g13 +g1177 +tp2145 +a(g886 +g958 +tp2146 +a(g13 +Vfriend +p2147 +tp2148 +a(g886 +g958 +tp2149 +a(g13 +g1994 +tp2150 +a(g886 +g958 +tp2151 +a(g804 +VWHERE +p2152 +tp2153 +a(g886 +g958 +tp2154 +a(g13 +g1177 +tp2155 +a(g886 +g958 +tp2156 +a(g8 +Vis +p2157 +tp2158 +a(g886 +g958 +tp2159 +a(g13 +VPerson +p2160 +tp2161 +a(g671 +g962 +tp2162 +a(g886 +g958 +tp2163 +a(g13 +g1177 +tp2164 +a(g886 +g958 +tp2165 +a(g13 +Vname +p2166 +tp2167 +a(g886 +g958 +tp2168 +a(g466 +V'foo' +p2169 +tp2170 +a(g886 +V\u000a +p2171 +tp2172 +a(g8 +VAny +p2173 +tp2174 +a(g886 +g958 +tp2175 +a(g13 +g1177 +tp2176 +a(g886 +g958 +tp2177 +a(g804 +VWHERE +p2178 +tp2179 +a(g886 +g958 +tp2180 +a(g13 +g1177 +tp2181 +a(g886 +g958 +tp2182 +a(g13 +Vname +p2183 +tp2184 +a(g886 +g958 +tp2185 +a(g13 +VLIKE +p2186 +tp2187 +a(g886 +g958 +tp2188 +a(g466 +V'%lt' +p2189 +tp2190 +a(g886 +V\u000a +p2191 +tp2192 +a(g8 +VAny +p2193 +tp2194 +a(g886 +g958 +tp2195 +a(g13 +g1177 +tp2196 +a(g886 +g958 +tp2197 +a(g804 +VWHERE +p2198 +tp2199 +a(g886 +g958 +tp2200 +a(g13 +g1177 +tp2201 +a(g886 +g958 +tp2202 +a(g13 +Vname +p2203 +tp2204 +a(g886 +g958 +tp2205 +a(g13 +VIN +p2206 +tp2207 +a(g886 +g958 +tp2208 +a(g671 +g1811 +tp2209 +a(g886 +g958 +tp2210 +a(g466 +V'joe' +p2211 +tp2212 +a(g671 +g962 +tp2213 +a(g886 +g958 +tp2214 +a(g466 +V'jack' +p2215 +tp2216 +a(g671 +g962 +tp2217 +a(g886 +g958 +tp2218 +a(g466 +V'william' +p2219 +tp2220 +a(g671 +g962 +tp2221 +a(g886 +g958 +tp2222 +a(g466 +V'averell' +p2223 +tp2224 +a(g671 +g1820 +tp2225 +a(g886 +V\u000a +p2226 +tp2227 +a(g8 +VAny +p2228 +tp2229 +a(g886 +g958 +tp2230 +a(g13 +g1177 +tp2231 +a(g671 +g962 +tp2232 +a(g886 +g958 +tp2233 +a(g13 +VV +p2234 +tp2235 +a(g886 +g958 +tp2236 +a(g804 +VWHERE +p2237 +tp2238 +a(g886 +g958 +tp2239 +a(g13 +g1177 +tp2240 +a(g886 +g958 +tp2241 +a(g13 +Vconcerns +p2242 +tp2243 +a(g886 +g958 +tp2244 +a(g13 +g1543 +tp2245 +a(g671 +g962 +tp2246 +a(g886 +g958 +tp2247 +a(g13 +g1543 +tp2248 +a(g886 +g958 +tp2249 +a(g13 +Veid +p2250 +tp2251 +a(g886 +g958 +tp2252 +a(g276 +V42 +p2253 +tp2254 +a(g671 +g962 +tp2255 +a(g886 +g958 +tp2256 +a(g13 +g1177 +tp2257 +a(g886 +g958 +tp2258 +a(g13 +Vcorrected_in +p2259 +tp2260 +a(g886 +g958 +tp2261 +a(g13 +VV? +p2262 +tp2263 +a(g886 +V\u000a +p2264 +tp2265 +a(g8 +VAny +p2266 +tp2267 +a(g886 +g958 +tp2268 +a(g13 +g1045 +tp2269 +a(g671 +g962 +tp2270 +a(g886 +g958 +tp2271 +a(g13 +g1543 +tp2272 +a(g886 +g958 +tp2273 +a(g804 +VWHERE +p2274 +tp2275 +a(g886 +g958 +tp2276 +a(g13 +g1045 +tp2277 +a(g886 +g958 +tp2278 +a(g8 +Vis +p2279 +tp2280 +a(g886 +g958 +tp2281 +a(g13 +VCard +p2282 +tp2283 +a(g671 +g962 +tp2284 +a(g886 +g958 +tp2285 +a(g13 +VP? +p2286 +tp2287 +a(g886 +g958 +tp2288 +a(g13 +Vdocumented_by +p2289 +tp2290 +a(g886 +g958 +tp2291 +a(g13 +g1045 +tp2292 +a(g886 +V\u000a +p2293 +tp2294 +a(g13 +VPoint +p2295 +tp2296 +a(g886 +g958 +tp2297 +a(g13 +g1543 +tp2298 +a(g886 +g958 +tp2299 +a(g804 +Vwhere +p2300 +tp2301 +a(g886 +g958 +tp2302 +a(g13 +g1543 +tp2303 +a(g886 +g958 +tp2304 +a(g13 +Vabs +p2305 +tp2306 +a(g886 +g958 +tp2307 +a(g13 +g1177 +tp2308 +a(g671 +g962 +tp2309 +a(g886 +g958 +tp2310 +a(g13 +g1543 +tp2311 +a(g886 +g958 +tp2312 +a(g13 +Vord +p2313 +tp2314 +a(g886 +g958 +tp2315 +a(g13 +g1994 +tp2316 +a(g671 +g962 +tp2317 +a(g886 +g958 +tp2318 +a(g13 +g1543 +tp2319 +a(g886 +g958 +tp2320 +a(g13 +Vvalue +p2321 +tp2322 +a(g886 +g958 +tp2323 +a(g13 +g1177 +tp2324 +a(g648 +V+ +p2325 +tp2326 +a(g13 +g1994 +tp2327 +a(g886 +V\u000a +p2328 +tp2329 +a(g13 +VDocument +p2330 +tp2331 +a(g886 +g958 +tp2332 +a(g13 +g1177 +tp2333 +a(g886 +g958 +tp2334 +a(g804 +Vwhere +p2335 +tp2336 +a(g886 +g958 +tp2337 +a(g13 +g1177 +tp2338 +a(g886 +g958 +tp2339 +a(g13 +Vclass +p2340 +tp2341 +a(g886 +g958 +tp2342 +a(g13 +g1045 +tp2343 +a(g671 +g962 +tp2344 +a(g886 +g958 +tp2345 +a(g13 +g1045 +tp2346 +a(g886 +g958 +tp2347 +a(g13 +Vname +p2348 +tp2349 +a(g886 +g958 +tp2350 +a(g466 +V'Cartoon' +p2351 +tp2352 +a(g671 +g962 +tp2353 +a(g886 +g958 +tp2354 +a(g13 +g1177 +tp2355 +a(g886 +g958 +tp2356 +a(g13 +Vowned_by +p2357 +tp2358 +a(g886 +g958 +tp2359 +a(g13 +g1725 +tp2360 +a(g671 +g962 +tp2361 +a(g886 +g958 +tp2362 +a(g13 +g1725 +tp2363 +a(g886 +g958 +tp2364 +a(g13 +Vlogin +p2365 +tp2366 +a(g886 +g958 +tp2367 +a(g466 +V'joe' +p2368 +tp2369 +a(g671 +g962 +tp2370 +a(g886 +g958 +tp2371 +a(g13 +g1177 +tp2372 +a(g886 +g958 +tp2373 +a(g13 +Vavailable +p2374 +tp2375 +a(g886 +g958 +tp2376 +a(g804 +Vtrue +p2377 +tp2378 +a(g886 +V\u000a +p2379 +tp2380 +a(g671 +g1811 +tp2381 +a(g8 +VAny +p2382 +tp2383 +a(g886 +g958 +tp2384 +a(g13 +g1177 +tp2385 +a(g886 +g958 +tp2386 +a(g804 +VWHERE +p2387 +tp2388 +a(g886 +g958 +tp2389 +a(g13 +g1177 +tp2390 +a(g886 +g958 +tp2391 +a(g8 +Vis +p2392 +tp2393 +a(g886 +g958 +tp2394 +a(g13 +VDocument +p2395 +tp2396 +a(g671 +g1820 +tp2397 +a(g886 +g958 +tp2398 +a(g804 +VUNION +p2399 +tp2400 +a(g886 +g958 +tp2401 +a(g671 +g1811 +tp2402 +a(g8 +VAny +p2403 +tp2404 +a(g886 +g958 +tp2405 +a(g13 +g1177 +tp2406 +a(g886 +g958 +tp2407 +a(g804 +VWHERE +p2408 +tp2409 +a(g886 +g958 +tp2410 +a(g13 +g1177 +tp2411 +a(g886 +g958 +tp2412 +a(g8 +Vis +p2413 +tp2414 +a(g886 +g958 +tp2415 +a(g13 +VFile +p2416 +tp2417 +a(g671 +g1820 +tp2418 +a(g886 +V\u000a +p2419 +tp2420 +a(g8 +VAny +p2421 +tp2422 +a(g886 +g958 +tp2423 +a(g13 +g1053 +tp2424 +a(g671 +g962 +tp2425 +a(g13 +g1149 +tp2426 +a(g886 +g958 +tp2427 +a(g804 +VWHERE +p2428 +tp2429 +a(g886 +g958 +tp2430 +a(g13 +g1053 +tp2431 +a(g886 +g958 +tp2432 +a(g13 +Vcreation_date +p2433 +tp2434 +a(g886 +g958 +tp2435 +a(g13 +g1149 +tp2436 +a(g886 +g958 +tp2437 +a(g804 +VWITH +p2438 +tp2439 +a(g886 +g958 +tp2440 +a(g13 +g1053 +tp2441 +a(g886 +g958 +tp2442 +a(g804 +VBEING +p2443 +tp2444 +a(g886 +g958 +tp2445 +a(g671 +g1811 +tp2446 +a(g8 +VAny +p2447 +tp2448 +a(g886 +g958 +tp2449 +a(g13 +g1177 +tp2450 +a(g886 +g958 +tp2451 +a(g804 +VWHERE +p2452 +tp2453 +a(g886 +g958 +tp2454 +a(g13 +g1177 +tp2455 +a(g886 +g958 +tp2456 +a(g8 +Vis +p2457 +tp2458 +a(g886 +g958 +tp2459 +a(g13 +VDocument +p2460 +tp2461 +a(g671 +g1820 +tp2462 +a(g886 +g958 +tp2463 +a(g804 +VUNION +p2464 +tp2465 +a(g886 +g958 +tp2466 +a(g671 +g1811 +tp2467 +a(g8 +VAny +p2468 +tp2469 +a(g886 +g958 +tp2470 +a(g13 +g1177 +tp2471 +a(g886 +g958 +tp2472 +a(g804 +VWHERE +p2473 +tp2474 +a(g886 +g958 +tp2475 +a(g13 +g1177 +tp2476 +a(g886 +g958 +tp2477 +a(g8 +Vis +p2478 +tp2479 +a(g886 +g958 +tp2480 +a(g13 +VFile +p2481 +tp2482 +a(g671 +g1820 +tp2483 +a(g886 +V\u000a +p2484 +tp2485 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ruby_func_def.rb b/tests/examplefiles/output/ruby_func_def.rb new file mode 100644 index 0000000..8f91a03 --- /dev/null +++ b/tests/examplefiles/output/ruby_func_def.rb @@ -0,0 +1,2587 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVclass +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g693 +V( +p960 +tp961 +a(g423 +Vget_foo +p962 +tp963 +a(g400 +g960 +tp964 +a(g144 +V" +p965 +tp966 +a(g144 +Vblub +p967 +tp968 +a(g144 +g965 +tp969 +a(g693 +V) +p970 +tp971 +a(g693 +g970 +tp972 +a(g400 +V:: +p973 +tp974 +a(g616 +VFoo +p975 +tp976 +a(g826 +V\u000a +p977 +tp978 +a(g7 +Vdef +p979 +tp980 +a(g826 +g958 +tp981 +a(g693 +g960 +tp982 +a(g423 +Vfoo +p983 +tp984 +a(g400 +g960 +tp985 +a(g144 +g965 +tp986 +a(g144 +Vbar +p987 +tp988 +a(g144 +g965 +tp989 +a(g693 +g970 +tp990 +a(g826 +g958 +tp991 +a(g400 +V+ +p992 +tp993 +a(g826 +g958 +tp994 +a(g423 +Vbar +p995 +tp996 +a(g400 +g960 +tp997 +a(g144 +g965 +tp998 +a(g144 +Vbaz +p999 +tp1000 +a(g144 +g965 +tp1001 +a(g693 +g970 +tp1002 +a(g693 +g970 +tp1003 +a(g400 +V. +p1004 +tp1005 +a(g561 +Vsomething +p1006 +tp1007 +a(g826 +g958 +tp1008 +a(g423 +Vargh +p1009 +tp1010 +a(g693 +V, +p1011 +tp1012 +a(g826 +g958 +tp1013 +a(g423 +Vaaahaa +p1014 +tp1015 +a(g826 +V\u000a +p1016 +tp1017 +a(g22 +V42 +p1018 +tp1019 +a(g826 +V\u000a +p1020 +tp1021 +a(g7 +Vend +p1022 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g7 +Vend +p1026 +tp1027 +a(g826 +V\u000a\u000a +p1028 +tp1029 +a(g7 +Vclass +p1030 +tp1031 +a(g826 +g958 +tp1032 +a(g423 +Vget_the_fuck +p1033 +tp1034 +a(g693 +g960 +tp1035 +a(g144 +g965 +tp1036 +a(g144 +Vout +p1037 +tp1038 +a(g144 +g965 +tp1039 +a(g693 +g970 +tp1040 +a(g400 +V:: +p1041 +tp1042 +a(g553 +VOf +p1043 +tp1044 +a(g400 +V:: +p1045 +tp1046 +a(g553 +VMy +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g7 +Vdef +p1051 +tp1052 +a(g826 +g958 +tp1053 +a(g561 +Vparser_definition +p1054 +tp1055 +a(g826 +V\u000a +p1056 +tp1057 +a(g423 +Vruby! +p1058 +tp1059 +a(g826 +V\u000a +p1060 +tp1061 +a(g7 +Vend +p1062 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g7 +Vend +p1066 +tp1067 +a(g826 +V\u000a +p1068 +tp1069 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sample.qvto b/tests/examplefiles/output/sample.qvto new file mode 100644 index 0000000..9d03863 --- /dev/null +++ b/tests/examplefiles/output/sample.qvto @@ -0,0 +1,2426 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Word' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +g5 +tp416 +tp417 +Rp418 +(dp419 +g10 +g405 +sg34 +g35 +((lp420 +tp421 +Rp422 +sbatp423 +Rp424 +sg5 +g418 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp425 +tp426 +Rp427 +(dp428 +S'Variable' +p429 +g1 +(g2 +g3 +(g178 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g427 +sS'Class' +p434 +g1 +(g2 +g3 +(g178 +g429 +g434 +tp435 +tp436 +Rp437 +(dp438 +g10 +g432 +sg34 +g35 +((lp439 +tp440 +Rp441 +sbsS'Anonymous' +p442 +g1 +(g2 +g3 +(g178 +g429 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g432 +sg34 +g35 +((lp447 +tp448 +Rp449 +sbsS'Instance' +p450 +g1 +(g2 +g3 +(g178 +g429 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g432 +sg34 +g35 +((lp455 +tp456 +Rp457 +sbsS'Global' +p458 +g1 +(g2 +g3 +(g178 +g429 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g432 +sg34 +g35 +((lp463 +tp464 +Rp465 +sbsg34 +g35 +((lp466 +g445 +ag453 +ag1 +(g2 +g3 +(g178 +g429 +S'Magic' +p467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g432 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbag461 +ag437 +atp475 +Rp476 +sg467 +g470 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp477 +tp478 +Rp479 +(dp480 +g10 +g427 +sg34 +g35 +((lp481 +tp482 +Rp483 +sbsS'Decorator' +p484 +g1 +(g2 +g3 +(g178 +g484 +tp485 +tp486 +Rp487 +(dp488 +g10 +g427 +sg34 +g35 +((lp489 +tp490 +Rp491 +sbsS'Entity' +p492 +g1 +(g2 +g3 +(g178 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g427 +sg407 +g1 +(g2 +g3 +(g178 +g492 +g407 +tp497 +tp498 +Rp499 +(dp500 +g10 +g495 +sg34 +g35 +((lp501 +tp502 +Rp503 +sbsg34 +g35 +((lp504 +g499 +atp505 +Rp506 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp507 +tp508 +Rp509 +(dp510 +g10 +g427 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Property' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g427 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Pseudo' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g427 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsS'Type' +p530 +g1 +(g2 +g3 +(g178 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g427 +sg34 +g35 +((lp535 +tp536 +Rp537 +sbsS'Classes' +p538 +g1 +(g2 +g3 +(g178 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g427 +sg34 +g35 +((lp543 +tp544 +Rp545 +sbsS'Tag' +p546 +g1 +(g2 +g3 +(g178 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g427 +sg34 +g35 +((lp551 +tp552 +Rp553 +sbsS'Constant' +p554 +g1 +(g2 +g3 +(g178 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g427 +sg34 +g35 +((lp559 +tp560 +Rp561 +sbsS'Function' +p562 +g1 +(g2 +g3 +(g178 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g427 +sg34 +g35 +((lp567 +g1 +(g2 +g3 +(g178 +g562 +g467 +tp568 +tp569 +Rp570 +(dp571 +g10 +g565 +sg34 +g35 +((lp572 +tp573 +Rp574 +sbatp575 +Rp576 +sg467 +g570 +sbsS'Blubb' +p577 +g1 +(g2 +g3 +(g178 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g427 +sg34 +g35 +((lp582 +tp583 +Rp584 +sbsS'Label' +p585 +g1 +(g2 +g3 +(g178 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g427 +sg34 +g35 +((lp590 +tp591 +Rp592 +sbsS'Field' +p593 +g1 +(g2 +g3 +(g178 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g427 +sg34 +g35 +((lp598 +tp599 +Rp600 +sbsS'Exception' +p601 +g1 +(g2 +g3 +(g178 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g427 +sg34 +g35 +((lp606 +tp607 +Rp608 +sbsS'Namespace' +p609 +g1 +(g2 +g3 +(g178 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g427 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g487 +ag580 +ag525 +ag495 +ag432 +ag604 +ag517 +ag549 +ag565 +ag541 +ag1 +(g2 +g3 +(g178 +g434 +tp618 +tp619 +Rp620 +(dp621 +g10 +g427 +sg407 +g1 +(g2 +g3 +(g178 +g434 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g434 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g427 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag588 +ag479 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g427 +sg429 +g1 +(g2 +g3 +(g178 +g655 +g429 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag557 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g427 +sg530 +g1 +(g2 +g3 +(g178 +g670 +g530 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g522 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg522 +g685 +sbag596 +ag533 +ag509 +atp692 +Rp693 +sg10 +g16 +sg434 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g427 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg530 +g1 +(g2 +g3 +(g4 +g530 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg554 +g1 +(g2 +g3 +(g4 +g554 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg609 +g1 +(g2 +g3 +(g4 +g609 +tp905 +tp906 +Rp907 +(dp908 +g10 +g13 +sg34 +g35 +((lp909 +tp910 +Rp911 +sbsS'PreProc' +p912 +g1 +(g2 +g3 +(g4 +g912 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsg522 +g1 +(g2 +g3 +(g4 +g522 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsS'Reserved' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg34 +g35 +((lp932 +tp933 +Rp934 +sbsg34 +g35 +((lp935 +g907 +ag8 +ag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag922 +ag900 +ag885 +ag930 +ag915 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg5 +g8 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVtransformation +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g620 +VFoo +p960 +tp961 +a(g697 +V( +p962 +tp963 +a(g427 +Vuml +p964 +tp965 +a(g697 +V: +p966 +tp967 +a(g830 +g958 +tp968 +a(g427 +VSimpleUML +p969 +tp970 +a(g697 +V, +p971 +tp972 +a(g830 +V\u000a +p973 +tp974 +a(g830 +V +p975 +tp976 +a(g427 +Vrdbms +p977 +tp978 +a(g830 +g958 +tp979 +a(g697 +g966 +tp980 +a(g830 +g958 +tp981 +a(g427 +VSimpleRDBMS +p982 +tp983 +a(g697 +V) +p984 +tp985 +a(g830 +g958 +tp986 +a(g697 +V{ +p987 +tp988 +a(g830 +V\u000a +p989 +tp990 +a(g697 +V} +p991 +tp992 +a(g830 +V\u000a +p993 +tp994 +a(g780 +V/* comment */ +p995 +tp996 +a(g830 +V\u000a +p997 +tp998 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/scilab.sci b/tests/examplefiles/output/scilab.sci new file mode 100644 index 0000000..bc6ea56 --- /dev/null +++ b/tests/examplefiles/output/scilab.sci @@ -0,0 +1,3045 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// Scilab ( http://www.scilab.org/ ) +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V// Copyright (C) INRIA - Serge STEER +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V// +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g744 +V\u000afunction +p968 +tp969 +a(g839 +V +p970 +tp971 +a(g826 +VI +p972 +tp973 +a(g705 +V= +p974 +tp975 +a(g573 +Vsub2ind +p976 +tp977 +a(g705 +V( +p978 +tp979 +a(g826 +Vdims,varargin +p980 +tp981 +a(g705 +V) +p982 +tp983 +a(g839 +V\u000a +p984 +tp985 +a(g8 +V//sub2ind is used to determine the equivalent single index +p986 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g8 +V//corresponding to a given set of subscript values. +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g826 +g970 +tp994 +a(g826 +V\u000a +p995 +tp996 +a(g8 +V//I = sub2ind(dims,i1,i2,..) returns the linear index equivalent to the +p997 +tp998 +a(g826 +V\u000a +p999 +tp1000 +a(g8 +V//row, column, ... subscripts in the arrays i1,i2,.. for an matrix of +p1001 +tp1002 +a(g826 +V\u000a +p1003 +tp1004 +a(g8 +V//size dims. +p1005 +tp1006 +a(g826 +V\u000a +p1007 +tp1008 +a(g826 +g970 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g8 +V//I = sub2ind(dims,Mi) returns the linear index +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g8 +V//equivalent to the n subscripts in the columns of the matrix Mi for a matrix +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g8 +V//of size dims. +p1020 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g826 +g970 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g826 +g970 +tp1027 +a(g826 +g970 +tp1028 +a(g435 +Vd +p1029 +tp1030 +a(g705 +g974 +tp1031 +a(g705 +V[ +p1032 +tp1033 +a(g37 +V1 +p1034 +tp1035 +a(g705 +V; +p1036 +tp1037 +a(g681 +Vcumprod +p1038 +tp1039 +a(g705 +g978 +tp1040 +a(g681 +Vmatrix +p1041 +tp1042 +a(g705 +g978 +tp1043 +a(g435 +Vdims +p1044 +tp1045 +a(g705 +g978 +tp1046 +a(g37 +g1034 +tp1047 +a(g705 +V: +p1048 +tp1049 +a(g826 +V$ +p1050 +tp1051 +a(g412 +V- +p1052 +tp1053 +a(g37 +g1034 +tp1054 +a(g705 +g982 +tp1055 +a(g705 +V, +p1056 +tp1057 +a(g412 +g1052 +tp1058 +a(g37 +g1034 +tp1059 +a(g705 +g1056 +tp1060 +a(g37 +g1034 +tp1061 +a(g705 +g982 +tp1062 +a(g705 +g982 +tp1063 +a(g705 +V] +p1064 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g826 +g970 +tp1068 +a(g826 +g970 +tp1069 +a(g744 +Vfor +p1070 +tp1071 +a(g826 +g970 +tp1072 +a(g435 +Vi +p1073 +tp1074 +a(g705 +g974 +tp1075 +a(g37 +g1034 +tp1076 +a(g705 +g1048 +tp1077 +a(g681 +Vsize +p1078 +tp1079 +a(g705 +g978 +tp1080 +a(g435 +Vvarargin +p1081 +tp1082 +a(g705 +g982 +tp1083 +a(g826 +V\u000a +p1084 +tp1085 +a(g826 +g970 +tp1086 +a(g826 +g970 +tp1087 +a(g826 +g970 +tp1088 +a(g826 +g970 +tp1089 +a(g744 +Vif +p1090 +tp1091 +a(g826 +g970 +tp1092 +a(g435 +Vvarargin +p1093 +tp1094 +a(g705 +g978 +tp1095 +a(g435 +g1073 +tp1096 +a(g705 +g982 +tp1097 +a(g412 +V== +p1098 +tp1099 +a(g705 +g1032 +tp1100 +a(g705 +g1064 +tp1101 +a(g826 +g970 +tp1102 +a(g681 +Vthen +p1103 +tp1104 +a(g826 +g970 +tp1105 +a(g435 +g972 +tp1106 +a(g705 +g974 +tp1107 +a(g705 +g1032 +tp1108 +a(g705 +g1064 +tp1109 +a(g705 +g1056 +tp1110 +a(g744 +Vreturn +p1111 +tp1112 +a(g705 +g1056 +tp1113 +a(g744 +Vend +p1114 +tp1115 +a(g826 +V\u000a +p1116 +tp1117 +a(g826 +g970 +tp1118 +a(g826 +g970 +tp1119 +a(g744 +Vend +p1120 +tp1121 +a(g826 +V\u000a +p1122 +tp1123 +a(g826 +V\u000a +p1124 +tp1125 +a(g826 +g970 +tp1126 +a(g826 +g970 +tp1127 +a(g744 +Vif +p1128 +tp1129 +a(g826 +g970 +tp1130 +a(g681 +Vsize +p1131 +tp1132 +a(g705 +g978 +tp1133 +a(g435 +Vvarargin +p1134 +tp1135 +a(g705 +g982 +tp1136 +a(g412 +V== +p1137 +tp1138 +a(g37 +g1034 +tp1139 +a(g826 +g970 +tp1140 +a(g681 +Vthen +p1141 +tp1142 +a(g826 +g970 +tp1143 +a(g8 +V//subindices are the columns of the argument +p1144 +tp1145 +a(g826 +V\u000a +p1146 +tp1147 +a(g826 +g970 +tp1148 +a(g826 +g970 +tp1149 +a(g826 +g970 +tp1150 +a(g826 +g970 +tp1151 +a(g435 +g972 +tp1152 +a(g705 +g974 +tp1153 +a(g705 +g978 +tp1154 +a(g435 +Vvarargin +p1155 +tp1156 +a(g705 +g978 +tp1157 +a(g37 +g1034 +tp1158 +a(g705 +g982 +tp1159 +a(g412 +g1052 +tp1160 +a(g37 +g1034 +tp1161 +a(g705 +g982 +tp1162 +a(g412 +V* +p1163 +tp1164 +a(g435 +g1029 +tp1165 +a(g412 +V+ +p1166 +tp1167 +a(g37 +g1034 +tp1168 +a(g826 +V\u000a +p1169 +tp1170 +a(g826 +g970 +tp1171 +a(g826 +g970 +tp1172 +a(g744 +Velse +p1173 +tp1174 +a(g826 +g970 +tp1175 +a(g8 +V//subindices are given as separated arguments +p1176 +tp1177 +a(g826 +V\u000a +p1178 +tp1179 +a(g826 +g970 +tp1180 +a(g826 +g970 +tp1181 +a(g826 +g970 +tp1182 +a(g826 +g970 +tp1183 +a(g435 +g972 +tp1184 +a(g705 +g974 +tp1185 +a(g37 +g1034 +tp1186 +a(g826 +V\u000a +p1187 +tp1188 +a(g826 +g970 +tp1189 +a(g826 +g970 +tp1190 +a(g826 +g970 +tp1191 +a(g826 +g970 +tp1192 +a(g744 +Vfor +p1193 +tp1194 +a(g826 +g970 +tp1195 +a(g435 +g1073 +tp1196 +a(g705 +g974 +tp1197 +a(g37 +g1034 +tp1198 +a(g705 +g1048 +tp1199 +a(g681 +Vsize +p1200 +tp1201 +a(g705 +g978 +tp1202 +a(g435 +Vvarargin +p1203 +tp1204 +a(g705 +g982 +tp1205 +a(g826 +V\u000a +p1206 +tp1207 +a(g826 +g970 +tp1208 +a(g826 +g970 +tp1209 +a(g826 +g970 +tp1210 +a(g826 +g970 +tp1211 +a(g826 +g970 +tp1212 +a(g826 +g970 +tp1213 +a(g435 +g972 +tp1214 +a(g705 +g974 +tp1215 +a(g435 +g972 +tp1216 +a(g412 +g1166 +tp1217 +a(g705 +g978 +tp1218 +a(g435 +Vvarargin +p1219 +tp1220 +a(g705 +g978 +tp1221 +a(g435 +g1073 +tp1222 +a(g705 +g982 +tp1223 +a(g412 +g1052 +tp1224 +a(g37 +g1034 +tp1225 +a(g705 +g982 +tp1226 +a(g412 +g1163 +tp1227 +a(g435 +g1029 +tp1228 +a(g705 +g978 +tp1229 +a(g435 +g1073 +tp1230 +a(g705 +g982 +tp1231 +a(g826 +V\u000a +p1232 +tp1233 +a(g826 +g970 +tp1234 +a(g826 +g970 +tp1235 +a(g826 +g970 +tp1236 +a(g826 +g970 +tp1237 +a(g744 +Vend +p1238 +tp1239 +a(g826 +V\u000a +p1240 +tp1241 +a(g826 +g970 +tp1242 +a(g826 +g970 +tp1243 +a(g744 +Vend +p1244 +tp1245 +a(g826 +V\u000a +p1246 +tp1247 +a(g744 +Vendfunction +p1248 +tp1249 +a(g826 +V\u000a +p1250 +tp1251 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/scope.cirru b/tests/examplefiles/output/scope.cirru new file mode 100644 index 0000000..1963e7f --- /dev/null +++ b/tests/examplefiles/output/scope.cirru @@ -0,0 +1,8066 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Function' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsg5 +g8 +sS'Blubb' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +tp159 +Rp160 +sbsS'Label' +p161 +g1 +(g2 +g3 +(g4 +g161 +tp162 +tp163 +Rp164 +(dp165 +g10 +g13 +sg25 +g26 +((lp166 +tp167 +Rp168 +sbsS'Field' +p169 +g1 +(g2 +g3 +(g4 +g169 +tp170 +tp171 +Rp172 +(dp173 +g10 +g13 +sg25 +g26 +((lp174 +tp175 +Rp176 +sbsS'Exception' +p177 +g1 +(g2 +g3 +(g4 +g177 +tp178 +tp179 +Rp180 +(dp181 +g10 +g13 +sg25 +g26 +((lp182 +tp183 +Rp184 +sbsS'Namespace' +p185 +g1 +(g2 +g3 +(g4 +g185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g13 +sg25 +g26 +((lp190 +tp191 +Rp192 +sbsg25 +g26 +((lp193 +g76 +ag156 +ag116 +ag84 +ag18 +ag180 +ag108 +ag140 +ag8 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp194 +tp195 +Rp196 +(dp197 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp198 +tp199 +Rp200 +(dp201 +g10 +g196 +sg25 +g26 +((lp202 +tp203 +Rp204 +sbsg25 +g26 +((lp205 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p206 +tp207 +tp208 +Rp209 +(dp210 +g10 +g196 +sg25 +g26 +((lp211 +tp212 +Rp213 +sbag200 +atp214 +Rp215 +sg206 +g209 +sbag1 +(g2 +g3 +(g4 +S'Other' +p216 +tp217 +tp218 +Rp219 +(dp220 +g10 +g13 +sS'Member' +p221 +g1 +(g2 +g3 +(g4 +g216 +g221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g219 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbsg25 +g26 +((lp229 +g224 +atp230 +Rp231 +sbag164 +ag68 +ag188 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p232 +tp233 +tp234 +Rp235 +(dp236 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g232 +g15 +tp237 +tp238 +Rp239 +(dp240 +g10 +g235 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g247 +g121 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g1 +(g2 +g3 +(g4 +g247 +g113 +tp260 +tp261 +Rp262 +(dp263 +g10 +g250 +sg25 +g26 +((lp264 +tp265 +Rp266 +sbag254 +atp267 +Rp268 +sg113 +g262 +sbag172 +ag124 +ag100 +atp269 +Rp270 +sg10 +g1 +(g2 +g3 +(ttp271 +Rp272 +(dp273 +S'Number' +p274 +g1 +(g2 +g3 +(S'Literal' +p275 +g274 +tp276 +tp277 +Rp278 +(dp279 +S'Integer' +p280 +g1 +(g2 +g3 +(g275 +g274 +g280 +tp281 +tp282 +Rp283 +(dp284 +g10 +g278 +sS'Long' +p285 +g1 +(g2 +g3 +(g275 +g274 +g280 +g285 +tp286 +tp287 +Rp288 +(dp289 +g10 +g283 +sg25 +g26 +((lp290 +tp291 +Rp292 +sbsg25 +g26 +((lp293 +g288 +atp294 +Rp295 +sbsg10 +g1 +(g2 +g3 +(g275 +tp296 +tp297 +Rp298 +(dp299 +S'Scalar' +p300 +g1 +(g2 +g3 +(g275 +g300 +tp301 +tp302 +Rp303 +(dp304 +g10 +g298 +sg25 +g26 +((lp305 +g1 +(g2 +g3 +(g275 +g300 +S'Plain' +p306 +tp307 +tp308 +Rp309 +(dp310 +g10 +g303 +sg25 +g26 +((lp311 +tp312 +Rp313 +sbatp314 +Rp315 +sg306 +g309 +sbsg274 +g278 +sg10 +g272 +sg216 +g1 +(g2 +g3 +(g275 +g216 +tp316 +tp317 +Rp318 +(dp319 +g10 +g298 +sg25 +g26 +((lp320 +tp321 +Rp322 +sbsS'Char' +p323 +g1 +(g2 +g3 +(g275 +g323 +tp324 +tp325 +Rp326 +(dp327 +g10 +g298 +sg25 +g26 +((lp328 +tp329 +Rp330 +sbsS'String' +p331 +g1 +(g2 +g3 +(g275 +g331 +tp332 +tp333 +Rp334 +(dp335 +g323 +g1 +(g2 +g3 +(g275 +g331 +g323 +tp336 +tp337 +Rp338 +(dp339 +g10 +g334 +sg25 +g26 +((lp340 +tp341 +Rp342 +sbsS'Backtick' +p343 +g1 +(g2 +g3 +(g275 +g331 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g334 +sg25 +g26 +((lp348 +tp349 +Rp350 +sbsS'Heredoc' +p351 +g1 +(g2 +g3 +(g275 +g331 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g334 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsg97 +g1 +(g2 +g3 +(g275 +g331 +g97 +tp359 +tp360 +Rp361 +(dp362 +g10 +g334 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsS'Interpol' +p366 +g1 +(g2 +g3 +(g275 +g331 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g334 +sg25 +g26 +((lp371 +tp372 +Rp373 +sbsS'Delimiter' +p374 +g1 +(g2 +g3 +(g275 +g331 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g334 +sg25 +g26 +((lp379 +tp380 +Rp381 +sbsS'Boolean' +p382 +g1 +(g2 +g3 +(g275 +g331 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g334 +sg25 +g26 +((lp387 +tp388 +Rp389 +sbsS'Character' +p390 +g1 +(g2 +g3 +(g275 +g331 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g334 +sg25 +g26 +((lp395 +tp396 +Rp397 +sbsS'Double' +p398 +g1 +(g2 +g3 +(g275 +g331 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g334 +sg25 +g26 +((lp403 +tp404 +Rp405 +sbsS'Delimeter' +p406 +g1 +(g2 +g3 +(g275 +g331 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g334 +sg25 +g26 +((lp411 +tp412 +Rp413 +sbsS'Atom' +p414 +g1 +(g2 +g3 +(g275 +g331 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g334 +sg25 +g26 +((lp419 +tp420 +Rp421 +sbsS'Affix' +p422 +g1 +(g2 +g3 +(g275 +g331 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g334 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsg4 +g1 +(g2 +g3 +(g275 +g331 +g4 +tp430 +tp431 +Rp432 +(dp433 +g10 +g334 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsS'Regex' +p437 +g1 +(g2 +g3 +(g275 +g331 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g334 +sg25 +g26 +((lp442 +tp443 +Rp444 +sbsS'Interp' +p445 +g1 +(g2 +g3 +(g275 +g331 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g334 +sg25 +g26 +((lp450 +tp451 +Rp452 +sbsS'Escape' +p453 +g1 +(g2 +g3 +(g275 +g331 +g453 +tp454 +tp455 +Rp456 +(dp457 +g10 +g334 +sg25 +g26 +((lp458 +tp459 +Rp460 +sbsg25 +g26 +((lp461 +g377 +ag361 +ag440 +ag1 +(g2 +g3 +(g275 +g331 +S'Doc' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g334 +sg25 +g26 +((lp467 +tp468 +Rp469 +sbag393 +ag385 +ag401 +ag369 +ag417 +ag409 +ag432 +ag456 +ag1 +(g2 +g3 +(g275 +g331 +S'Single' +p470 +tp471 +tp472 +Rp473 +(dp474 +g10 +g334 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag1 +(g2 +g3 +(g275 +g331 +g216 +tp478 +tp479 +Rp480 +(dp481 +g10 +g334 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag448 +ag346 +ag425 +ag1 +(g2 +g3 +(g275 +g331 +S'Moment' +p485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g334 +sg25 +g26 +((lp490 +tp491 +Rp492 +sbag338 +ag354 +atp493 +Rp494 +sg470 +g473 +sg485 +g488 +sg10 +g298 +sg216 +g480 +sg462 +g465 +sbsg25 +g26 +((lp495 +g334 +ag326 +ag318 +ag1 +(g2 +g3 +(g275 +S'Date' +p496 +tp497 +tp498 +Rp499 +(dp500 +g10 +g298 +sg25 +g26 +((lp501 +tp502 +Rp503 +sbag303 +ag278 +atp504 +Rp505 +sg496 +g499 +sbsS'Bin' +p506 +g1 +(g2 +g3 +(g275 +g274 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g278 +sg25 +g26 +((lp511 +tp512 +Rp513 +sbsS'Radix' +p514 +g1 +(g2 +g3 +(g275 +g274 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g278 +sg25 +g26 +((lp519 +tp520 +Rp521 +sbsS'Oct' +p522 +g1 +(g2 +g3 +(g275 +g274 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g278 +sg25 +g26 +((lp527 +tp528 +Rp529 +sbsS'Dec' +p530 +g1 +(g2 +g3 +(g275 +g274 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g278 +sg25 +g26 +((lp535 +tp536 +Rp537 +sbsS'Hex' +p538 +g1 +(g2 +g3 +(g275 +g274 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g278 +sg25 +g26 +((lp543 +tp544 +Rp545 +sbsg25 +g26 +((lp546 +g283 +ag517 +ag533 +ag1 +(g2 +g3 +(g275 +g274 +S'Decimal' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g278 +sg25 +g26 +((lp552 +tp553 +Rp554 +sbag509 +ag1 +(g2 +g3 +(g275 +g274 +S'Float' +p555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g278 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbag525 +ag541 +atp563 +Rp564 +sg547 +g550 +sg555 +g558 +sbsS'Generic' +p565 +g1 +(g2 +g3 +(g565 +tp566 +tp567 +Rp568 +(dp569 +g10 +g272 +sS'Deleted' +p570 +g1 +(g2 +g3 +(g565 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g568 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsS'Subheading' +p578 +g1 +(g2 +g3 +(g565 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g568 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbsS'Heading' +p586 +g1 +(g2 +g3 +(g565 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g568 +sg25 +g26 +((lp591 +tp592 +Rp593 +sbsS'Emph' +p594 +g1 +(g2 +g3 +(g565 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g568 +sg25 +g26 +((lp599 +tp600 +Rp601 +sbsS'Prompt' +p602 +g1 +(g2 +g3 +(g565 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g568 +sg25 +g26 +((lp607 +tp608 +Rp609 +sbsS'Inserted' +p610 +g1 +(g2 +g3 +(g565 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g568 +sg25 +g26 +((lp615 +tp616 +Rp617 +sbsS'Strong' +p618 +g1 +(g2 +g3 +(g565 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g568 +sg25 +g26 +((lp623 +tp624 +Rp625 +sbsS'Error' +p626 +g1 +(g2 +g3 +(g565 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g568 +sg25 +g26 +((lp631 +tp632 +Rp633 +sbsS'Traceback' +p634 +g1 +(g2 +g3 +(g565 +g634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g568 +sg25 +g26 +((lp639 +tp640 +Rp641 +sbsg25 +g26 +((lp642 +g589 +ag581 +ag1 +(g2 +g3 +(g565 +S'Output' +p643 +tp644 +tp645 +Rp646 +(dp647 +g10 +g568 +sg25 +g26 +((lp648 +tp649 +Rp650 +sbag621 +ag597 +ag629 +ag637 +ag613 +ag605 +ag573 +atp651 +Rp652 +sg643 +g646 +sbsg65 +g1 +(g2 +g3 +(g65 +tp653 +tp654 +Rp655 +(dp656 +g10 +g272 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp657 +tp658 +Rp659 +(dp660 +g10 +g655 +sg25 +g26 +((lp661 +tp662 +Rp663 +sbsg25 +g26 +((lp664 +g659 +ag1 +(g2 +g3 +(g65 +S'Word' +p665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g655 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbatp673 +Rp674 +sg665 +g668 +sbsg331 +g334 +sg4 +g13 +sS'Punctuation' +p675 +g1 +(g2 +g3 +(g675 +tp676 +tp677 +Rp678 +(dp679 +g10 +g272 +sg25 +g26 +((lp680 +g1 +(g2 +g3 +(g675 +S'Indicator' +p681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g678 +sg25 +g26 +((lp686 +tp687 +Rp688 +sbatp689 +Rp690 +sg681 +g684 +sbsS'Comment' +p691 +g1 +(g2 +g3 +(g691 +tp692 +tp693 +Rp694 +(dp695 +S'Multi' +p696 +g1 +(g2 +g3 +(g691 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g694 +sg25 +g26 +((lp701 +tp702 +Rp703 +sbsg10 +g272 +sS'Special' +p704 +g1 +(g2 +g3 +(g691 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g694 +sg25 +g26 +((lp709 +tp710 +Rp711 +sbsS'Hashbang' +p712 +g1 +(g2 +g3 +(g691 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g694 +sg25 +g26 +((lp717 +tp718 +Rp719 +sbsS'Preproc' +p720 +g1 +(g2 +g3 +(g691 +g720 +tp721 +tp722 +Rp723 +(dp724 +g10 +g694 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsg470 +g1 +(g2 +g3 +(g691 +g470 +tp728 +tp729 +Rp730 +(dp731 +g10 +g694 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Directive' +p735 +g1 +(g2 +g3 +(g691 +g735 +tp736 +tp737 +Rp738 +(dp739 +g10 +g694 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg462 +g1 +(g2 +g3 +(g691 +g462 +tp743 +tp744 +Rp745 +(dp746 +g10 +g694 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsS'Singleline' +p750 +g1 +(g2 +g3 +(g691 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g694 +sg25 +g26 +((lp755 +tp756 +Rp757 +sbsS'Multiline' +p758 +g1 +(g2 +g3 +(g691 +g758 +tp759 +tp760 +Rp761 +(dp762 +g10 +g694 +sg25 +g26 +((lp763 +tp764 +Rp765 +sbsg25 +g26 +((lp766 +g745 +ag738 +ag715 +ag699 +ag753 +ag723 +ag761 +ag730 +ag1 +(g2 +g3 +(g691 +S'PreprocFile' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g694 +sg25 +g26 +((lp772 +tp773 +Rp774 +sbag1 +(g2 +g3 +(g691 +S'SingleLine' +p775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g694 +sg25 +g26 +((lp780 +tp781 +Rp782 +sbag707 +atp783 +Rp784 +sg767 +g770 +sg775 +g778 +sbsg275 +g298 +sg216 +g1 +(g2 +g3 +(g216 +tp785 +tp786 +Rp787 +(dp788 +g10 +g272 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsg626 +g1 +(g2 +g3 +(g626 +tp792 +tp793 +Rp794 +(dp795 +g10 +g272 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsS'Token' +p799 +g272 +sg453 +g1 +(g2 +g3 +(g453 +tp800 +tp801 +Rp802 +(dp803 +g10 +g272 +sg25 +g26 +((lp804 +tp805 +Rp806 +sbsg25 +g26 +((lp807 +g13 +ag787 +ag1 +(g2 +g3 +(S'Keyword' +p808 +tp809 +tp810 +Rp811 +(dp812 +g10 +g272 +sg121 +g1 +(g2 +g3 +(g808 +g121 +tp813 +tp814 +Rp815 +(dp816 +g10 +g811 +sg25 +g26 +((lp817 +tp818 +Rp819 +sbsS'Control' +p820 +g1 +(g2 +g3 +(g808 +g820 +tp821 +tp822 +Rp823 +(dp824 +g10 +g811 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg145 +g1 +(g2 +g3 +(g808 +g145 +tp828 +tp829 +Rp830 +(dp831 +g10 +g811 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg185 +g1 +(g2 +g3 +(g808 +g185 +tp835 +tp836 +Rp837 +(dp838 +g10 +g811 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsS'PreProc' +p842 +g1 +(g2 +g3 +(g808 +g842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g811 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsg113 +g1 +(g2 +g3 +(g808 +g113 +tp850 +tp851 +Rp852 +(dp853 +g10 +g811 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsS'Reserved' +p857 +g1 +(g2 +g3 +(g808 +g857 +tp858 +tp859 +Rp860 +(dp861 +g10 +g811 +sg25 +g26 +((lp862 +tp863 +Rp864 +sbsg25 +g26 +((lp865 +g837 +ag1 +(g2 +g3 +(g808 +g665 +tp866 +tp867 +Rp868 +(dp869 +g10 +g811 +sg25 +g26 +((lp870 +tp871 +Rp872 +sbag823 +ag1 +(g2 +g3 +(g808 +S'Declaration' +p873 +tp874 +tp875 +Rp876 +(dp877 +g10 +g811 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag1 +(g2 +g3 +(g808 +g808 +tp881 +tp882 +Rp883 +(dp884 +g10 +g811 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag852 +ag830 +ag815 +ag860 +ag845 +atp888 +Rp889 +sg808 +g883 +sg873 +g876 +sg665 +g868 +sbag568 +ag1 +(g2 +g3 +(S'Text' +p890 +tp891 +tp892 +Rp893 +(dp894 +S'Beer' +p895 +g1 +(g2 +g3 +(g890 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g893 +sg25 +g26 +((lp900 +tp901 +Rp902 +sbsS'Whitespace' +p903 +g1 +(g2 +g3 +(g890 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g893 +sg25 +g26 +((lp908 +tp909 +Rp910 +sbsg10 +g272 +sS'Root' +p911 +g1 +(g2 +g3 +(g890 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g893 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg97 +g1 +(g2 +g3 +(g890 +g97 +tp919 +tp920 +Rp921 +(dp922 +g10 +g893 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg675 +g1 +(g2 +g3 +(g890 +g675 +tp926 +tp927 +Rp928 +(dp929 +g10 +g893 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg25 +g26 +((lp933 +g914 +ag921 +ag928 +ag906 +ag898 +ag1 +(g2 +g3 +(g890 +S'Rag' +p934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g893 +sg25 +g26 +((lp939 +tp940 +Rp941 +sbatp942 +Rp943 +sg934 +g937 +sbag655 +ag802 +ag678 +ag694 +ag794 +ag298 +atp944 +Rp945 +sg808 +g811 +sg890 +g893 +sbsg20 +g196 +sg247 +g250 +sg232 +g235 +sg216 +g219 +sbsg25 +g26 +((lp946 +g1 +(g2 +g3 +(g4 +g5 +g55 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg25 +g26 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg55 +g949 +sbV-- +p956 +tp957 +a(g906 +V +p958 +tp959 +a(g18 +Vdemo +p960 +tp961 +a(g893 +V\u000a +p962 +tp963 +a(g906 +V\u000a +p964 +tp965 +a(g8 +Vdefine +p966 +tp967 +a(g906 +g958 +tp968 +a(g18 +Va +p969 +tp970 +a(g906 +g958 +tp971 +a(g655 +V( +p972 +tp973 +a(g8 +Vread +p974 +tp975 +a(g906 +g958 +tp976 +a(g18 +Vcd +p977 +tp978 +a(g655 +V) +p979 +tp980 +a(g906 +g958 +tp981 +a(g655 +V$ +p982 +tp983 +a(g906 +g958 +tp984 +a(g8 +Vif +p985 +tp986 +a(g906 +g958 +tp987 +a(g655 +g972 +tp988 +a(g8 +V> +p989 +tp990 +a(g906 +g958 +tp991 +a(g18 +g969 +tp992 +a(g906 +g958 +tp993 +a(g18 +Vcd +p994 +tp995 +a(g655 +g979 +tp996 +a(g893 +V\u000a +p997 +tp998 +a(g906 +V +p999 +tp1000 +a(g8 +Vprint +p1001 +tp1002 +a(g906 +g958 +tp1003 +a(g18 +Vdemo +p1004 +tp1005 +a(g893 +V\u000a +p1006 +tp1007 +a(g906 +V +p1008 +tp1009 +a(g8 +Vprint +p1010 +tp1011 +a(g906 +g958 +tp1012 +a(g334 +V" +p1013 +tp1014 +a(g334 +Vn +p1015 +tp1016 +a(g334 +Vo +p1017 +tp1018 +a(g334 +Vt +p1019 +tp1020 +a(g334 +g958 +tp1021 +a(g334 +Vd +p1022 +tp1023 +a(g334 +Ve +p1024 +tp1025 +a(g334 +Vm +p1026 +tp1027 +a(g334 +g1017 +tp1028 +a(g334 +g1013 +tp1029 +a(g893 +V\u000a +p1030 +tp1031 +a(g906 +V\u000a +p1032 +tp1033 +a(g8 +Vsay +p1034 +tp1035 +a(g906 +g958 +tp1036 +a(g655 +g982 +tp1037 +a(g906 +g958 +tp1038 +a(g8 +Vprint +p1039 +tp1040 +a(g906 +g958 +tp1041 +a(g18 +g969 +tp1042 +a(g906 +g958 +tp1043 +a(g655 +g982 +tp1044 +a(g906 +g958 +tp1045 +a(g8 +Vsave +p1046 +tp1047 +a(g906 +g958 +tp1048 +a(g655 +g982 +tp1049 +a(g906 +g958 +tp1050 +a(g8 +Vb +p1051 +tp1052 +a(g906 +g958 +tp1053 +a(g655 +g982 +tp1054 +a(g906 +g958 +tp1055 +a(g8 +Vx +p1056 +tp1057 +a(g906 +g958 +tp1058 +a(g655 +g982 +tp1059 +a(g906 +g958 +tp1060 +a(g8 +Vc +p1061 +tp1062 +a(g906 +g958 +tp1063 +a(g278 +V8 +p1064 +tp1065 +a(g893 +V\u000a +p1066 +tp1067 +a(g906 +V\u000a +p1068 +tp1069 +a(g8 +Vprint +p1070 +tp1071 +a(g906 +g958 +tp1072 +a(g18 +Vfun +p1073 +tp1074 +a(g893 +V\u000a +p1075 +tp1076 +a(g906 +V\u000a +p1077 +tp1078 +a(g8 +V-- +p1079 +tp1080 +a(g906 +g958 +tp1081 +a(g18 +Vtest +p1082 +tp1083 +a(g906 +g958 +tp1084 +a(g18 +Von +p1085 +tp1086 +a(g906 +g958 +tp1087 +a(g18 +Vfolding +p1088 +tp1089 +a(g893 +V\u000a +p1090 +tp1091 +a(g906 +V\u000a +p1092 +tp1093 +a(g8 +g969 +tp1094 +a(g906 +g958 +tp1095 +a(g655 +g982 +tp1096 +a(g893 +V +p1097 +tp1098 +a(g893 +V\u000a +p1099 +tp1100 +a(g906 +V\u000a +p1101 +tp1102 +a(g8 +g1051 +tp1103 +a(g906 +g958 +tp1104 +a(g655 +g982 +tp1105 +a(g906 +g958 +tp1106 +a(g8 +g1061 +tp1107 +a(g893 +V\u000a +p1108 +tp1109 +a(g906 +V\u000a +p1110 +tp1111 +a(g8 +g1022 +tp1112 +a(g906 +g958 +tp1113 +a(g655 +g982 +tp1114 +a(g906 +g958 +tp1115 +a(g8 +g1024 +tp1116 +a(g906 +g958 +tp1117 +a(g655 +g982 +tp1118 +a(g906 +g958 +tp1119 +a(g8 +Vf +p1120 +tp1121 +a(g893 +V\u000a +p1122 +tp1123 +a(g906 +V\u000a +p1124 +tp1125 +a(g8 +Vg +p1126 +tp1127 +a(g906 +g958 +tp1128 +a(g655 +g982 +tp1129 +a(g906 +g958 +tp1130 +a(g8 +Vh +p1131 +tp1132 +a(g906 +g958 +tp1133 +a(g655 +g982 +tp1134 +a(g906 +g958 +tp1135 +a(g8 +Vi +p1136 +tp1137 +a(g906 +g958 +tp1138 +a(g18 +Vj +p1139 +tp1140 +a(g906 +g958 +tp1141 +a(g655 +g982 +tp1142 +a(g906 +g958 +tp1143 +a(g8 +Vk +p1144 +tp1145 +a(g906 +g958 +tp1146 +a(g655 +g982 +tp1147 +a(g893 +g1097 +tp1148 +a(g893 +V\u000a +p1149 +tp1150 +a(g906 +V\u000a +p1151 +tp1152 +a(g8 +V-- +p1153 +tp1154 +a(g906 +g958 +tp1155 +a(g18 +Vtest +p1156 +tp1157 +a(g906 +g958 +tp1158 +a(g18 +Von +p1159 +tp1160 +a(g906 +g958 +tp1161 +a(g18 +Vcomma +p1162 +tp1163 +a(g893 +V\u000a +p1164 +tp1165 +a(g906 +V\u000a +p1166 +tp1167 +a(g8 +Vprint +p1168 +tp1169 +a(g906 +g958 +tp1170 +a(g655 +g972 +tp1171 +a(g655 +V, +p1172 +tp1173 +a(g906 +g958 +tp1174 +a(g18 +g969 +tp1175 +a(g655 +g979 +tp1176 +a(g893 +V\u000a +p1177 +tp1178 +a(g906 +V +p1179 +tp1180 +a(g8 +g969 +tp1181 +a(g893 +V\u000a +p1182 +tp1183 +a(g906 +V +p1184 +tp1185 +a(g655 +g1172 +tp1186 +a(g906 +g958 +tp1187 +a(g18 +g1051 +tp1188 +a(g893 +V\u000a +p1189 +tp1190 +a(g906 +V +p1191 +tp1192 +a(g655 +g1172 +tp1193 +a(g906 +g958 +tp1194 +a(g18 +g1061 +tp1195 +a(g906 +g958 +tp1196 +a(g655 +g972 +tp1197 +a(g655 +g1172 +tp1198 +a(g906 +g958 +tp1199 +a(g18 +g1022 +tp1200 +a(g655 +g979 +tp1201 +a(g893 +V\u000a +p1202 +tp1203 +a(g906 +V\u000a +p1204 +tp1205 +a(g8 +V-- +p1206 +tp1207 +a(g906 +g958 +tp1208 +a(g18 +Vtest +p1209 +tp1210 +a(g906 +g958 +tp1211 +a(g18 +Von +p1212 +tp1213 +a(g906 +g958 +tp1214 +a(g18 +VHTML +p1215 +tp1216 +a(g893 +V\u000a +p1217 +tp1218 +a(g906 +V\u000a +p1219 +tp1220 +a(g8 +Vdoctype +p1221 +tp1222 +a(g893 +V\u000a +p1223 +tp1224 +a(g906 +V\u000a +p1225 +tp1226 +a(g8 +Vhtml +p1227 +tp1228 +a(g893 +V\u000a +p1229 +tp1230 +a(g906 +V +p1231 +tp1232 +a(g8 +Vhead +p1233 +tp1234 +a(g893 +V\u000a +p1235 +tp1236 +a(g906 +V +p1237 +tp1238 +a(g8 +Vtitle +p1239 +tp1240 +a(g906 +g958 +tp1241 +a(g655 +g982 +tp1242 +a(g906 +g958 +tp1243 +a(g8 +V= +p1244 +tp1245 +a(g906 +g958 +tp1246 +a(g18 +VCirru +p1247 +tp1248 +a(g893 +V\u000a +p1249 +tp1250 +a(g906 +V +p1251 +tp1252 +a(g8 +Vscript +p1253 +tp1254 +a(g906 +g958 +tp1255 +a(g655 +g972 +tp1256 +a(g8 +V:defer +p1257 +tp1258 +a(g655 +g979 +tp1259 +a(g906 +g958 +tp1260 +a(g655 +g982 +tp1261 +a(g906 +g958 +tp1262 +a(g8 +V:src +p1263 +tp1264 +a(g906 +g958 +tp1265 +a(g18 +Vbuild/build.js +p1266 +tp1267 +a(g893 +V\u000a +p1268 +tp1269 +a(g906 +V +p1270 +tp1271 +a(g8 +Vlink +p1272 +tp1273 +a(g906 +g958 +tp1274 +a(g655 +g972 +tp1275 +a(g8 +V:rel +p1276 +tp1277 +a(g906 +g958 +tp1278 +a(g18 +Vstylesheet +p1279 +tp1280 +a(g655 +g979 +tp1281 +a(g906 +g958 +tp1282 +a(g655 +g982 +tp1283 +a(g906 +g958 +tp1284 +a(g8 +V:href +p1285 +tp1286 +a(g906 +g958 +tp1287 +a(g18 +Vcss/page.css +p1288 +tp1289 +a(g893 +V\u000a +p1290 +tp1291 +a(g906 +V +p1292 +tp1293 +a(g8 +Vlink +p1294 +tp1295 +a(g906 +g958 +tp1296 +a(g655 +g972 +tp1297 +a(g8 +V:rel +p1298 +tp1299 +a(g906 +g958 +tp1300 +a(g18 +Vicon +p1301 +tp1302 +a(g655 +g979 +tp1303 +a(g893 +V\u000a +p1304 +tp1305 +a(g906 +V +p1306 +tp1307 +a(g8 +V:href +p1308 +tp1309 +a(g906 +g958 +tp1310 +a(g18 +Vhttp://logo.cirru.org/cirru-32x32.png?v=3 +p1311 +tp1312 +a(g893 +V\u000a +p1313 +tp1314 +a(g906 +V +p1315 +tp1316 +a(g8 +Vbody +p1317 +tp1318 +a(g893 +V\u000a +p1319 +tp1320 +a(g906 +V +p1321 +tp1322 +a(g8 +Vtextarea.demo.source +p1323 +tp1324 +a(g906 +g958 +tp1325 +a(g655 +g982 +tp1326 +a(g906 +g958 +tp1327 +a(g8 +V:placeholder +p1328 +tp1329 +a(g906 +g958 +tp1330 +a(g334 +g1013 +tp1331 +a(g334 +VS +p1332 +tp1333 +a(g334 +g1017 +tp1334 +a(g334 +Vu +p1335 +tp1336 +a(g334 +Vr +p1337 +tp1338 +a(g334 +g1061 +tp1339 +a(g334 +g1024 +tp1340 +a(g334 +g958 +tp1341 +a(g334 +VC +p1342 +tp1343 +a(g334 +g1017 +tp1344 +a(g334 +g1022 +tp1345 +a(g334 +g1024 +tp1346 +a(g334 +g1013 +tp1347 +a(g893 +V\u000a +p1348 +tp1349 +a(g906 +V +p1350 +tp1351 +a(g8 +Vtextarea.demo.target +p1352 +tp1353 +a(g906 +g958 +tp1354 +a(g655 +g982 +tp1355 +a(g906 +g958 +tp1356 +a(g8 +V:placeholder +p1357 +tp1358 +a(g906 +g958 +tp1359 +a(g334 +g1013 +tp1360 +a(g334 +g1342 +tp1361 +a(g334 +g1017 +tp1362 +a(g334 +g1026 +tp1363 +a(g334 +Vp +p1364 +tp1365 +a(g334 +g1136 +tp1366 +a(g334 +Vl +p1367 +tp1368 +a(g334 +g1024 +tp1369 +a(g334 +g1022 +tp1370 +a(g334 +g958 +tp1371 +a(g334 +VD +p1372 +tp1373 +a(g334 +g969 +tp1374 +a(g334 +g1019 +tp1375 +a(g334 +g969 +tp1376 +a(g334 +g1013 +tp1377 +a(g893 +V\u000a +p1378 +tp1379 +a(g906 +V +p1380 +tp1381 +a(g8 +V@insert +p1382 +tp1383 +a(g906 +g958 +tp1384 +a(g18 +V../html/ga.html +p1385 +tp1386 +a(g893 +V\u000a +p1387 +tp1388 +a(g906 +V\u000a +p1389 +tp1390 +a(g8 +V-- +p1391 +tp1392 +a(g906 +g958 +tp1393 +a(g18 +Vtest +p1394 +tp1395 +a(g906 +g958 +tp1396 +a(g18 +Von +p1397 +tp1398 +a(g906 +g958 +tp1399 +a(g18 +Vindentation +p1400 +tp1401 +a(g893 +V\u000a +p1402 +tp1403 +a(g906 +V\u000a +p1404 +tp1405 +a(g8 +g969 +tp1406 +a(g906 +g958 +tp1407 +a(g655 +g982 +tp1408 +a(g906 +g958 +tp1409 +a(g8 +g1051 +tp1410 +a(g906 +g958 +tp1411 +a(g655 +g982 +tp1412 +a(g906 +g958 +tp1413 +a(g8 +g1061 +tp1414 +a(g893 +V\u000a +p1415 +tp1416 +a(g906 +V\u000a +p1417 +tp1418 +a(g8 +g1024 +tp1419 +a(g906 +g958 +tp1420 +a(g18 +g1120 +tp1421 +a(g893 +V\u000a +p1422 +tp1423 +a(g906 +V +p1424 +tp1425 +a(g655 +g972 +tp1426 +a(g8 +g1126 +tp1427 +a(g655 +g979 +tp1428 +a(g893 +V\u000a +p1429 +tp1430 +a(g906 +V +p1431 +tp1432 +a(g8 +g1131 +tp1433 +a(g893 +V\u000a +p1434 +tp1435 +a(g906 +V\u000a +p1436 +tp1437 +a(g8 +V-- +p1438 +tp1439 +a(g906 +g958 +tp1440 +a(g18 +Vtest +p1441 +tp1442 +a(g906 +g958 +tp1443 +a(g18 +Von +p1444 +tp1445 +a(g906 +g958 +tp1446 +a(g18 +Vparentheses +p1447 +tp1448 +a(g893 +V\u000a +p1449 +tp1450 +a(g906 +V\u000a +p1451 +tp1452 +a(g8 +V3 +p1453 +tp1454 +a(g906 +g958 +tp1455 +a(g278 +V4 +p1456 +tp1457 +a(g906 +g958 +tp1458 +a(g655 +g972 +tp1459 +a(g8 +V1 +p1460 +tp1461 +a(g655 +g979 +tp1462 +a(g906 +g958 +tp1463 +a(g278 +g1456 +tp1464 +a(g893 +V\u000a +p1465 +tp1466 +a(g906 +V\u000a +p1467 +tp1468 +a(g655 +g972 +tp1469 +a(g655 +g972 +tp1470 +a(g655 +g972 +tp1471 +a(g655 +g972 +tp1472 +a(g8 +g1460 +tp1473 +a(g655 +g979 +tp1474 +a(g655 +g979 +tp1475 +a(g655 +g979 +tp1476 +a(g655 +g979 +tp1477 +a(g893 +V\u000a +p1478 +tp1479 +a(g906 +V\u000a +p1480 +tp1481 +a(g8 +g1056 +tp1482 +a(g893 +V\u000a +p1483 +tp1484 +a(g906 +V\u000a +p1485 +tp1486 +a(g8 +V-- +p1487 +tp1488 +a(g906 +g958 +tp1489 +a(g18 +Vtest +p1490 +tp1491 +a(g906 +g958 +tp1492 +a(g18 +Von +p1493 +tp1494 +a(g906 +g958 +tp1495 +a(g18 +Vquotes +p1496 +tp1497 +a(g893 +V\u000a +p1498 +tp1499 +a(g906 +V\u000a +p1500 +tp1501 +a(g8 +g969 +tp1502 +a(g906 +g958 +tp1503 +a(g18 +g1051 +tp1504 +a(g906 +g958 +tp1505 +a(g18 +g1061 +tp1506 +a(g906 +g958 +tp1507 +a(g18 +g1022 +tp1508 +a(g893 +V\u000a +p1509 +tp1510 +a(g906 +V\u000a +p1511 +tp1512 +a(g334 +g1013 +tp1513 +a(g334 +g969 +tp1514 +a(g334 +g958 +tp1515 +a(g334 +g1051 +tp1516 +a(g334 +g958 +tp1517 +a(g334 +g1061 +tp1518 +a(g334 +g958 +tp1519 +a(g334 +g1022 +tp1520 +a(g334 +g1013 +tp1521 +a(g893 +V\u000a +p1522 +tp1523 +a(g906 +V\u000a +p1524 +tp1525 +a(g334 +g1013 +tp1526 +a(g334 +g969 +tp1527 +a(g334 +g958 +tp1528 +a(g334 +g1051 +tp1529 +a(g334 +g958 +tp1530 +a(g456 +V\u005c +p1531 +tp1532 +a(g456 +g1013 +tp1533 +a(g334 +g958 +tp1534 +a(g334 +g1061 +tp1535 +a(g334 +g958 +tp1536 +a(g334 +g1022 +tp1537 +a(g334 +g1013 +tp1538 +a(g893 +V\u000a +p1539 +tp1540 +a(g906 +V\u000a +p1541 +tp1542 +a(g334 +g1013 +tp1543 +a(g334 +g969 +tp1544 +a(g334 +g958 +tp1545 +a(g334 +g1051 +tp1546 +a(g334 +g1013 +tp1547 +a(g906 +g958 +tp1548 +a(g334 +g1013 +tp1549 +a(g334 +g1061 +tp1550 +a(g334 +g958 +tp1551 +a(g334 +g1022 +tp1552 +a(g334 +g1013 +tp1553 +a(g893 +V\u000a +p1554 +tp1555 +a(g906 +V\u000a +p1556 +tp1557 +a(g8 +V-- +p1558 +tp1559 +a(g906 +g958 +tp1560 +a(g18 +Vtest +p1561 +tp1562 +a(g906 +g958 +tp1563 +a(g18 +Von +p1564 +tp1565 +a(g906 +g958 +tp1566 +a(g18 +Vunfolding +p1567 +tp1568 +a(g893 +V\u000a +p1569 +tp1570 +a(g906 +V\u000a +p1571 +tp1572 +a(g8 +Vset +p1573 +tp1574 +a(g893 +V\u000a +p1575 +tp1576 +a(g906 +V +p1577 +tp1578 +a(g8 +Vadd +p1579 +tp1580 +a(g906 +g958 +tp1581 +a(g278 +g1460 +tp1582 +a(g906 +g958 +tp1583 +a(g655 +g982 +tp1584 +a(g893 +g1097 +tp1585 +a(g893 +V\u000a +p1586 +tp1587 +a(g906 +V +p1588 +tp1589 +a(g655 +g1172 +tp1590 +a(g906 +g958 +tp1591 +a(g18 +g1056 +tp1592 +a(g906 +g958 +tp1593 +a(g18 +Vy +p1594 +tp1595 +a(g893 +V\u000a +p1596 +tp1597 +a(g906 +V +p1598 +tp1599 +a(g8 +Vadd +p1600 +tp1601 +a(g906 +g958 +tp1602 +a(g278 +V5 +p1603 +tp1604 +a(g906 +g958 +tp1605 +a(g655 +g982 +tp1606 +a(g893 +g1097 +tp1607 +a(g893 +V\u000a +p1608 +tp1609 +a(g906 +V +p1610 +tp1611 +a(g8 +Vadd +p1612 +tp1613 +a(g906 +g958 +tp1614 +a(g278 +V2 +p1615 +tp1616 +a(g893 +V\u000a +p1617 +tp1618 +a(g906 +V\u000a +p1619 +tp1620 +a(g8 +V-- +p1621 +tp1622 +a(g906 +g958 +tp1623 +a(g18 +Vtest +p1624 +tp1625 +a(g906 +g958 +tp1626 +a(g18 +Von +p1627 +tp1628 +a(g906 +g958 +tp1629 +a(g18 +VHTML +p1630 +tp1631 +a(g906 +g958 +tp1632 +a(g18 +Vattributes +p1633 +tp1634 +a(g893 +V\u000a +p1635 +tp1636 +a(g906 +V\u000a +p1637 +tp1638 +a(g8 +Vdiv +p1639 +tp1640 +a(g893 +V\u000a +p1641 +tp1642 +a(g906 +V +p1643 +tp1644 +a(g8 +Vdiv +p1645 +tp1646 +a(g893 +V\u000a +p1647 +tp1648 +a(g906 +V +p1649 +tp1650 +a(g8 +V:class +p1651 +tp1652 +a(g906 +g958 +tp1653 +a(g18 +g969 +tp1654 +a(g893 +V\u000a +p1655 +tp1656 +a(g906 +V +p1657 +tp1658 +a(g8 +Vdiv +p1659 +tp1660 +a(g893 +V\u000a +p1661 +tp1662 +a(g906 +V +p1663 +tp1664 +a(g8 +V:class +p1665 +tp1666 +a(g906 +g958 +tp1667 +a(g18 +g969 +tp1668 +a(g906 +g958 +tp1669 +a(g18 +g1051 +tp1670 +a(g906 +g958 +tp1671 +a(g18 +g1061 +tp1672 +a(g906 +g958 +tp1673 +a(g18 +g1022 +tp1674 +a(g893 +V\u000a +p1675 +tp1676 +a(g906 +V\u000a +p1677 +tp1678 +a(g906 +V +p1679 +tp1680 +a(g8 +Vdiv +p1681 +tp1682 +a(g893 +V\u000a +p1683 +tp1684 +a(g906 +V +p1685 +tp1686 +a(g8 +V:class +p1687 +tp1688 +a(g906 +g958 +tp1689 +a(g18 +g969 +tp1690 +a(g906 +g958 +tp1691 +a(g655 +g972 +tp1692 +a(g8 +V@ +p1693 +tp1694 +a(g906 +g958 +tp1695 +a(g18 +g1051 +tp1696 +a(g655 +g979 +tp1697 +a(g906 +g958 +tp1698 +a(g655 +g972 +tp1699 +a(g8 +g1693 +tp1700 +a(g906 +g958 +tp1701 +a(g18 +g1061 +tp1702 +a(g655 +g979 +tp1703 +a(g906 +g958 +tp1704 +a(g18 +g1022 +tp1705 +a(g893 +V\u000a +p1706 +tp1707 +a(g906 +V\u000a +p1708 +tp1709 +a(g906 +V +p1710 +tp1711 +a(g8 +Vdiv +p1712 +tp1713 +a(g893 +V\u000a +p1714 +tp1715 +a(g906 +V +p1716 +tp1717 +a(g8 +V:class +p1718 +tp1719 +a(g906 +g958 +tp1720 +a(g18 +g969 +tp1721 +a(g893 +V\u000a +p1722 +tp1723 +a(g906 +V +p1724 +tp1725 +a(g8 +V@if +p1726 +tp1727 +a(g906 +g958 +tp1728 +a(g655 +g972 +tp1729 +a(g8 +g1693 +tp1730 +a(g906 +g958 +tp1731 +a(g18 +g1051 +tp1732 +a(g655 +g979 +tp1733 +a(g893 +V\u000a +p1734 +tp1735 +a(g906 +V +p1736 +tp1737 +a(g8 +Vdiv +p1738 +tp1739 +a(g906 +g958 +tp1740 +a(g18 +g1051 +tp1741 +a(g893 +V\u000a +p1742 +tp1743 +a(g906 +V +p1744 +tp1745 +a(g8 +Vdiv +p1746 +tp1747 +a(g906 +g958 +tp1748 +a(g18 +g1061 +tp1749 +a(g893 +V\u000a +p1750 +tp1751 +a(g906 +V +p1752 +tp1753 +a(g8 +Vdiv +p1754 +tp1755 +a(g893 +V\u000a +p1756 +tp1757 +a(g906 +V +p1758 +tp1759 +a(g8 +V:class +p1760 +tp1761 +a(g906 +g958 +tp1762 +a(g18 +g969 +tp1763 +a(g893 +V\u000a +p1764 +tp1765 +a(g906 +V +p1766 +tp1767 +a(g8 +V@if +p1768 +tp1769 +a(g906 +g958 +tp1770 +a(g655 +g972 +tp1771 +a(g8 +g1693 +tp1772 +a(g906 +g958 +tp1773 +a(g18 +g1051 +tp1774 +a(g655 +g979 +tp1775 +a(g906 +g958 +tp1776 +a(g18 +g1051 +tp1777 +a(g906 +g958 +tp1778 +a(g18 +g1061 +tp1779 +a(g893 +V\u000a +p1780 +tp1781 +a(g906 +V\u000a +p1782 +tp1783 +a(g8 +V-- +p1784 +tp1785 +a(g906 +g958 +tp1786 +a(g18 +Vtest +p1787 +tp1788 +a(g906 +g958 +tp1789 +a(g18 +Von +p1790 +tp1791 +a(g906 +g958 +tp1792 +a(g18 +Vhelpers +p1793 +tp1794 +a(g893 +V\u000a +p1795 +tp1796 +a(g906 +V\u000a +p1797 +tp1798 +a(g8 +V@if +p1799 +tp1800 +a(g906 +g958 +tp1801 +a(g655 +g972 +tp1802 +a(g8 +V@call +p1803 +tp1804 +a(g906 +g958 +tp1805 +a(g18 +g969 +tp1806 +a(g906 +g958 +tp1807 +a(g18 +g1051 +tp1808 +a(g655 +g979 +tp1809 +a(g906 +g958 +tp1810 +a(g655 +g972 +tp1811 +a(g8 +Vdiv +p1812 +tp1813 +a(g655 +g979 +tp1814 +a(g906 +g958 +tp1815 +a(g655 +g972 +tp1816 +a(g8 +Vspan +p1817 +tp1818 +a(g655 +g979 +tp1819 +a(g893 +V\u000a +p1820 +tp1821 +a(g906 +V\u000a +p1822 +tp1823 +a(g8 +V@each +p1824 +tp1825 +a(g906 +g958 +tp1826 +a(g18 +Vmembers +p1827 +tp1828 +a(g893 +V\u000a +p1829 +tp1830 +a(g906 +V +p1831 +tp1832 +a(g8 +Vdiv +p1833 +tp1834 +a(g906 +g958 +tp1835 +a(g655 +g972 +tp1836 +a(g8 +g1693 +tp1837 +a(g906 +g958 +tp1838 +a(g18 +Vname +p1839 +tp1840 +a(g655 +g979 +tp1841 +a(g893 +V\u000a +p1842 +tp1843 +a(g906 +V\u000a +p1844 +tp1845 +a(g8 +V@each +p1846 +tp1847 +a(g906 +g958 +tp1848 +a(g18 +g969 +tp1849 +a(g893 +V\u000a +p1850 +tp1851 +a(g906 +V +p1852 +tp1853 +a(g8 +Vdiv +p1854 +tp1855 +a(g906 +g958 +tp1856 +a(g655 +g972 +tp1857 +a(g8 +g1693 +tp1858 +a(g906 +g958 +tp1859 +a(g18 +g1051 +tp1860 +a(g655 +g979 +tp1861 +a(g893 +V\u000a +p1862 +tp1863 +a(g906 +V +p1864 +tp1865 +a(g8 +V@each +p1866 +tp1867 +a(g906 +g958 +tp1868 +a(g18 +g1061 +tp1869 +a(g893 +V\u000a +p1870 +tp1871 +a(g906 +V +p1872 +tp1873 +a(g8 +Vdiv +p1874 +tp1875 +a(g906 +g958 +tp1876 +a(g655 +g972 +tp1877 +a(g8 +g1693 +tp1878 +a(g906 +g958 +tp1879 +a(g18 +g1022 +tp1880 +a(g655 +g979 +tp1881 +a(g893 +V\u000a +p1882 +tp1883 +a(g906 +V\u000a +p1884 +tp1885 +a(g8 +V-- +p1886 +tp1887 +a(g906 +g958 +tp1888 +a(g18 +Vtest +p1889 +tp1890 +a(g906 +g958 +tp1891 +a(g18 +Von +p1892 +tp1893 +a(g906 +g958 +tp1894 +a(g18 +VHTML +p1895 +tp1896 +a(g906 +g958 +tp1897 +a(g18 +Vstructure +p1898 +tp1899 +a(g893 +V\u000a +p1900 +tp1901 +a(g906 +V\u000a +p1902 +tp1903 +a(g8 +V@rich +p1904 +tp1905 +a(g906 +g958 +tp1906 +a(g18 +Vmore +p1907 +tp1908 +a(g893 +V\u000a +p1909 +tp1910 +a(g906 +V +p1911 +tp1912 +a(g8 +V#demo-more-box +p1913 +tp1914 +a(g893 +V\u000a +p1915 +tp1916 +a(g906 +V +p1917 +tp1918 +a(g8 +V#demo-more +p1919 +tp1920 +a(g893 +V\u000a +p1921 +tp1922 +a(g906 +V +p1923 +tp1924 +a(g8 +V:data-lang-text +p1925 +tp1926 +a(g906 +g958 +tp1927 +a(g18 +Vdemo-more +p1928 +tp1929 +a(g893 +V\u000a +p1930 +tp1931 +a(g906 +V +p1932 +tp1933 +a(g8 +V#demo-more-list +p1934 +tp1935 +a(g893 +V\u000a +p1936 +tp1937 +a(g906 +V +p1938 +tp1939 +a(g8 +V@each +p1940 +tp1941 +a(g906 +g958 +tp1942 +a(g18 +Vroom +p1943 +tp1944 +a(g893 +V\u000a +p1945 +tp1946 +a(g906 +V +p1947 +tp1948 +a(g8 +V.demo-more-room +p1949 +tp1950 +a(g893 +V\u000a +p1951 +tp1952 +a(g906 +V +p1953 +tp1954 +a(g8 +Vspan.demo-name +p1955 +tp1956 +a(g893 +V\u000a +p1957 +tp1958 +a(g906 +V +p1959 +tp1960 +a(g8 +g1693 +tp1961 +a(g906 +g958 +tp1962 +a(g18 +Vtopic +p1963 +tp1964 +a(g893 +V\u000a +p1965 +tp1966 +a(g906 +V +p1967 +tp1968 +a(g8 +Vspan.demo-join +p1969 +tp1970 +a(g893 +V\u000a +p1971 +tp1972 +a(g906 +V +p1973 +tp1974 +a(g8 +V:data-lang-text +p1975 +tp1976 +a(g906 +g958 +tp1977 +a(g18 +Vdemo-join +p1978 +tp1979 +a(g893 +V\u000a +p1980 +tp1981 +a(g906 +V +p1982 +tp1983 +a(g8 +V:data-id +p1984 +tp1985 +a(g906 +g958 +tp1986 +a(g655 +g972 +tp1987 +a(g8 +g1693 +tp1988 +a(g906 +g958 +tp1989 +a(g18 +Vid +p1990 +tp1991 +a(g655 +g979 +tp1992 +a(g893 +V\u000a +p1993 +tp1994 +a(g906 +V\u000a +p1995 +tp1996 +a(g8 +V-- +p1997 +tp1998 +a(g906 +g958 +tp1999 +a(g18 +Vtext +p2000 +tp2001 +a(g906 +g958 +tp2002 +a(g18 +Von +p2003 +tp2004 +a(g906 +g958 +tp2005 +a(g18 +Vbool +p2006 +tp2007 +a(g893 +V\u000a +p2008 +tp2009 +a(g906 +V\u000a +p2010 +tp2011 +a(g8 +Vprint +p2012 +tp2013 +a(g906 +g958 +tp2014 +a(g18 +V#true +p2015 +tp2016 +a(g893 +V\u000a +p2017 +tp2018 +a(g8 +Vprint +p2019 +tp2020 +a(g906 +g958 +tp2021 +a(g18 +V#false +p2022 +tp2023 +a(g893 +V\u000a +p2024 +tp2025 +a(g8 +Vprint +p2026 +tp2027 +a(g906 +g958 +tp2028 +a(g18 +V#yes +p2029 +tp2030 +a(g893 +V\u000a +p2031 +tp2032 +a(g8 +Vprint +p2033 +tp2034 +a(g906 +g958 +tp2035 +a(g18 +V#no +p2036 +tp2037 +a(g893 +V\u000a +p2038 +tp2039 +a(g8 +Vprint +p2040 +tp2041 +a(g906 +g958 +tp2042 +a(g18 +V#t +p2043 +tp2044 +a(g893 +V\u000a +p2045 +tp2046 +a(g8 +Vprint +p2047 +tp2048 +a(g906 +g958 +tp2049 +a(g18 +V#f +p2050 +tp2051 +a(g893 +V\u000a +p2052 +tp2053 +a(g906 +V\u000a +p2054 +tp2055 +a(g8 +V-- +p2056 +tp2057 +a(g906 +g958 +tp2058 +a(g18 +Vtest +p2059 +tp2060 +a(g906 +g958 +tp2061 +a(g18 +Von +p2062 +tp2063 +a(g906 +g958 +tp2064 +a(g18 +VCirru +p2065 +tp2066 +a(g906 +g958 +tp2067 +a(g18 +Vjs +p2068 +tp2069 +a(g893 +V\u000a +p2070 +tp2071 +a(g906 +V\u000a +p2072 +tp2073 +a(g8 +Vset +p2074 +tp2075 +a(g906 +g958 +tp2076 +a(g18 +g969 +tp2077 +a(g906 +g958 +tp2078 +a(g278 +g1460 +tp2079 +a(g893 +V\u000a +p2080 +tp2081 +a(g8 +Vset +p2082 +tp2083 +a(g906 +g958 +tp2084 +a(g18 +g969 +tp2085 +a(g906 +g958 +tp2086 +a(g655 +g972 +tp2087 +a(g8 +g1244 +tp2088 +a(g906 +g958 +tp2089 +a(g334 +g1013 +tp2090 +a(g334 +VT +p2091 +tp2092 +a(g334 +g1131 +tp2093 +a(g334 +g1136 +tp2094 +a(g334 +Vs +p2095 +tp2096 +a(g334 +g958 +tp2097 +a(g334 +g1136 +tp2098 +a(g334 +g2095 +tp2099 +a(g334 +g958 +tp2100 +a(g334 +g969 +tp2101 +a(g334 +g958 +tp2102 +a(g334 +g2095 +tp2103 +a(g334 +g1019 +tp2104 +a(g334 +g1337 +tp2105 +a(g334 +g1136 +tp2106 +a(g334 +g1015 +tp2107 +a(g334 +g1126 +tp2108 +a(g334 +g1013 +tp2109 +a(g655 +g979 +tp2110 +a(g893 +V\u000a +p2111 +tp2112 +a(g8 +Vset +p2113 +tp2114 +a(g906 +g958 +tp2115 +a(g18 +g1051 +tp2116 +a(g906 +g958 +tp2117 +a(g18 +V#t +p2118 +tp2119 +a(g893 +V\u000a +p2120 +tp2121 +a(g906 +V\u000a +p2122 +tp2123 +a(g8 +V-- +p2124 +tp2125 +a(g906 +g958 +tp2126 +a(g18 +Vthis +p2127 +tp2128 +a(g906 +g958 +tp2129 +a(g18 +Vis +p2130 +tp2131 +a(g906 +g958 +tp2132 +a(g18 +Vcomment +p2133 +tp2134 +a(g893 +V\u000a +p2135 +tp2136 +a(g906 +V\u000a +p2137 +tp2138 +a(g8 +Vnumber +p2139 +tp2140 +a(g906 +g958 +tp2141 +a(g278 +V1.4 +p2142 +tp2143 +a(g893 +V\u000a +p2144 +tp2145 +a(g8 +Vstring +p2146 +tp2147 +a(g906 +g958 +tp2148 +a(g18 +g1056 +tp2149 +a(g893 +V\u000a +p2150 +tp2151 +a(g8 +Vregex +p2152 +tp2153 +a(g906 +g958 +tp2154 +a(g18 +V^\u005cs$ +p2155 +tp2156 +a(g893 +V\u000a +p2157 +tp2158 +a(g8 +Vregex +p2159 +tp2160 +a(g906 +g958 +tp2161 +a(g334 +g1013 +tp2162 +a(g334 +V^ +p2163 +tp2164 +a(g456 +V\u005c +p2165 +tp2166 +a(g456 +V\u005c +p2167 +tp2168 +a(g334 +g2095 +tp2169 +a(g334 +V- +p2170 +tp2171 +a(g456 +V\u005c +p2172 +tp2173 +a(g456 +g1013 +tp2174 +a(g334 +g982 +tp2175 +a(g334 +g1013 +tp2176 +a(g893 +V\u000a +p2177 +tp2178 +a(g8 +Vsentence +p2179 +tp2180 +a(g906 +g958 +tp2181 +a(g18 +Vthis +p2182 +tp2183 +a(g906 +g958 +tp2184 +a(g18 +Vis +p2185 +tp2186 +a(g906 +g958 +tp2187 +a(g18 +g969 +tp2188 +a(g906 +g958 +tp2189 +a(g18 +Vstring +p2190 +tp2191 +a(g893 +V\u000a +p2192 +tp2193 +a(g906 +V\u000a +p2194 +tp2195 +a(g8 +Varray +p2196 +tp2197 +a(g906 +g958 +tp2198 +a(g278 +g1460 +tp2199 +a(g906 +g958 +tp2200 +a(g278 +g1615 +tp2201 +a(g906 +g958 +tp2202 +a(g278 +g1453 +tp2203 +a(g906 +g958 +tp2204 +a(g655 +g972 +tp2205 +a(g8 +g1244 +tp2206 +a(g906 +g958 +tp2207 +a(g18 +Vnothing +p2208 +tp2209 +a(g655 +g979 +tp2210 +a(g906 +g958 +tp2211 +a(g18 +V#t +p2212 +tp2213 +a(g906 +g958 +tp2214 +a(g655 +g972 +tp2215 +a(g8 +g1244 +tp2216 +a(g906 +g958 +tp2217 +a(g18 +V#t +p2218 +tp2219 +a(g655 +g979 +tp2220 +a(g893 +V\u000a +p2221 +tp2222 +a(g906 +V\u000a +p2223 +tp2224 +a(g8 +Vset +p2225 +tp2226 +a(g906 +g958 +tp2227 +a(g18 +g1061 +tp2228 +a(g906 +g958 +tp2229 +a(g655 +g972 +tp2230 +a(g8 +Varray +p2231 +tp2232 +a(g906 +g958 +tp2233 +a(g278 +g1460 +tp2234 +a(g906 +g958 +tp2235 +a(g655 +g972 +tp2236 +a(g8 +g1244 +tp2237 +a(g906 +g958 +tp2238 +a(g18 +Vnothing +p2239 +tp2240 +a(g655 +g979 +tp2241 +a(g655 +g979 +tp2242 +a(g893 +V\u000a +p2243 +tp2244 +a(g906 +V\u000a +p2245 +tp2246 +a(g8 +Vset +p2247 +tp2248 +a(g906 +g958 +tp2249 +a(g18 +g1022 +tp2250 +a(g906 +g958 +tp2251 +a(g655 +g982 +tp2252 +a(g906 +g958 +tp2253 +a(g8 +Vobject +p2254 +tp2255 +a(g906 +g958 +tp2256 +a(g655 +g972 +tp2257 +a(g8 +g969 +tp2258 +a(g906 +g958 +tp2259 +a(g655 +g972 +tp2260 +a(g8 +g1244 +tp2261 +a(g906 +g958 +tp2262 +a(g18 +Vgoogle +p2263 +tp2264 +a(g655 +g979 +tp2265 +a(g655 +g979 +tp2266 +a(g893 +V\u000a +p2267 +tp2268 +a(g906 +V +p2269 +tp2270 +a(g8 +g1051 +tp2271 +a(g906 +g958 +tp2272 +a(g655 +g972 +tp2273 +a(g8 +g1244 +tp2274 +a(g906 +g958 +tp2275 +a(g18 +Vreader +p2276 +tp2277 +a(g655 +g979 +tp2278 +a(g893 +V\u000a +p2279 +tp2280 +a(g906 +V +p2281 +tp2282 +a(g8 +g1061 +tp2283 +a(g906 +g958 +tp2284 +a(g278 +g1460 +tp2285 +a(g893 +V\u000a +p2286 +tp2287 +a(g906 +V +p2288 +tp2289 +a(g8 +g1022 +tp2290 +a(g906 +g958 +tp2291 +a(g655 +g982 +tp2292 +a(g906 +g958 +tp2293 +a(g8 +Varray +p2294 +tp2295 +a(g906 +g958 +tp2296 +a(g278 +g1460 +tp2297 +a(g906 +g958 +tp2298 +a(g278 +g1615 +tp2299 +a(g906 +g958 +tp2300 +a(g655 +g972 +tp2301 +a(g8 +g1244 +tp2302 +a(g906 +g958 +tp2303 +a(g18 +Vstring +p2304 +tp2305 +a(g655 +g979 +tp2306 +a(g893 +V\u000a +p2307 +tp2308 +a(g906 +V\u000a +p2309 +tp2310 +a(g8 +g1460 +tp2311 +a(g906 +g958 +tp2312 +a(g18 +g1061 +tp2313 +a(g893 +V\u000a +p2314 +tp2315 +a(g8 +V-1 +p2316 +tp2317 +a(g906 +g958 +tp2318 +a(g18 +g1061 +tp2319 +a(g893 +V\u000a +p2320 +tp2321 +a(g906 +V\u000a +p2322 +tp2323 +a(g8 +V:b +p2324 +tp2325 +a(g906 +g958 +tp2326 +a(g18 +g1022 +tp2327 +a(g893 +V\u000a +p2328 +tp2329 +a(g8 +V.log +p2330 +tp2331 +a(g906 +g958 +tp2332 +a(g18 +Vconsole +p2333 +tp2334 +a(g906 +g958 +tp2335 +a(g18 +g969 +tp2336 +a(g906 +g958 +tp2337 +a(g278 +g1615 +tp2338 +a(g893 +V\u000a +p2339 +tp2340 +a(g8 +V.log +p2341 +tp2342 +a(g906 +g958 +tp2343 +a(g18 +Vconsole +p2344 +tp2345 +a(g893 +V\u000a +p2346 +tp2347 +a(g906 +V\u000a +p2348 +tp2349 +a(g8 +Vset +p2350 +tp2351 +a(g906 +g958 +tp2352 +a(g18 +Vdemo +p2353 +tp2354 +a(g906 +g958 +tp2355 +a(g655 +g982 +tp2356 +a(g906 +g958 +tp2357 +a(g8 +Vobject +p2358 +tp2359 +a(g893 +V\u000a +p2360 +tp2361 +a(g906 +V +p2362 +tp2363 +a(g8 +Vcall +p2364 +tp2365 +a(g906 +g958 +tp2366 +a(g655 +g982 +tp2367 +a(g906 +g958 +tp2368 +a(g8 +V\u005c +p2369 +tp2370 +a(g906 +g958 +tp2371 +a(g18 +g1056 +tp2372 +a(g906 +g958 +tp2373 +a(g655 +g972 +tp2374 +a(g8 +V.log +p2375 +tp2376 +a(g906 +g958 +tp2377 +a(g18 +Vconsole +p2378 +tp2379 +a(g906 +g958 +tp2380 +a(g18 +g1056 +tp2381 +a(g655 +g979 +tp2382 +a(g906 +g958 +tp2383 +a(g655 +g972 +tp2384 +a(g8 +V. +p2385 +tp2386 +a(g906 +g958 +tp2387 +a(g18 +Vthis +p2388 +tp2389 +a(g906 +g958 +tp2390 +a(g18 +Vcall +p2391 +tp2392 +a(g655 +g979 +tp2393 +a(g893 +V\u000a +p2394 +tp2395 +a(g8 +g2385 +tp2396 +a(g906 +g958 +tp2397 +a(g18 +Vdemo +p2398 +tp2399 +a(g906 +g958 +tp2400 +a(g655 +g972 +tp2401 +a(g8 +V.call +p2402 +tp2403 +a(g906 +g958 +tp2404 +a(g278 +g1460 +tp2405 +a(g655 +g979 +tp2406 +a(g906 +g958 +tp2407 +a(g655 +g972 +tp2408 +a(g8 +V.call +p2409 +tp2410 +a(g906 +g958 +tp2411 +a(g278 +g1456 +tp2412 +a(g655 +g979 +tp2413 +a(g893 +V\u000a +p2414 +tp2415 +a(g906 +V\u000a +p2416 +tp2417 +a(g8 +V=.x +p2418 +tp2419 +a(g906 +g958 +tp2420 +a(g18 +g1022 +tp2421 +a(g906 +g958 +tp2422 +a(g278 +g1453 +tp2423 +a(g893 +V\u000a +p2424 +tp2425 +a(g906 +V\u000a +p2426 +tp2427 +a(g8 +Vset +p2428 +tp2429 +a(g906 +g958 +tp2430 +a(g18 +g1022 +tp2431 +a(g906 +g958 +tp2432 +a(g18 +Vnull +p2433 +tp2434 +a(g893 +V\u000a +p2435 +tp2436 +a(g906 +V\u000a +p2437 +tp2438 +a(g8 +Vnew +p2439 +tp2440 +a(g906 +g958 +tp2441 +a(g18 +VArray +p2442 +tp2443 +a(g906 +g958 +tp2444 +a(g278 +g1460 +tp2445 +a(g906 +g958 +tp2446 +a(g278 +g1615 +tp2447 +a(g906 +g958 +tp2448 +a(g278 +g1453 +tp2449 +a(g893 +V\u000a +p2450 +tp2451 +a(g906 +V\u000a +p2452 +tp2453 +a(g8 +Vset +p2454 +tp2455 +a(g906 +g958 +tp2456 +a(g18 +g1056 +tp2457 +a(g906 +g958 +tp2458 +a(g655 +g972 +tp2459 +a(g8 +V:length +p2460 +tp2461 +a(g906 +g958 +tp2462 +a(g18 +g1061 +tp2463 +a(g655 +g979 +tp2464 +a(g893 +V\u000a +p2465 +tp2466 +a(g8 +Vset +p2467 +tp2468 +a(g906 +g958 +tp2469 +a(g18 +Vstr +p2470 +tp2471 +a(g906 +g958 +tp2472 +a(g655 +g972 +tp2473 +a(g8 +g1244 +tp2474 +a(g906 +g958 +tp2475 +a(g18 +Vstr +p2476 +tp2477 +a(g655 +g979 +tp2478 +a(g893 +V\u000a +p2479 +tp2480 +a(g8 +Vset +p2481 +tp2482 +a(g906 +g958 +tp2483 +a(g18 +g1061 +tp2484 +a(g906 +g958 +tp2485 +a(g655 +g972 +tp2486 +a(g8 +V.toUpperCase +p2487 +tp2488 +a(g906 +g958 +tp2489 +a(g18 +Vstr +p2490 +tp2491 +a(g655 +g979 +tp2492 +a(g893 +V\u000a +p2493 +tp2494 +a(g906 +V\u000a +p2495 +tp2496 +a(g8 +V\u005c +p2497 +tp2498 +a(g906 +g958 +tp2499 +a(g18 +g1056 +tp2500 +a(g906 +g958 +tp2501 +a(g655 +g972 +tp2502 +a(g8 +V+ +p2503 +tp2504 +a(g906 +g958 +tp2505 +a(g18 +g1056 +tp2506 +a(g906 +g958 +tp2507 +a(g278 +g1460 +tp2508 +a(g655 +g979 +tp2509 +a(g893 +V\u000a +p2510 +tp2511 +a(g8 +V\u005c +p2512 +tp2513 +a(g906 +g958 +tp2514 +a(g655 +g972 +tp2515 +a(g8 +g1056 +tp2516 +a(g906 +g958 +tp2517 +a(g18 +g1594 +tp2518 +a(g655 +g979 +tp2519 +a(g906 +g958 +tp2520 +a(g655 +g972 +tp2521 +a(g8 +g2503 +tp2522 +a(g906 +g958 +tp2523 +a(g18 +g1056 +tp2524 +a(g906 +g958 +tp2525 +a(g18 +g1594 +tp2526 +a(g655 +g979 +tp2527 +a(g893 +V\u000a +p2528 +tp2529 +a(g8 +V\u005c +p2530 +tp2531 +a(g906 +g958 +tp2532 +a(g18 +g1056 +tp2533 +a(g906 +g958 +tp2534 +a(g655 +g972 +tp2535 +a(g8 +Vset +p2536 +tp2537 +a(g906 +g958 +tp2538 +a(g18 +Vaa +p2539 +tp2540 +a(g906 +g958 +tp2541 +a(g278 +g1460 +tp2542 +a(g655 +g979 +tp2543 +a(g906 +g958 +tp2544 +a(g655 +g972 +tp2545 +a(g8 +g2503 +tp2546 +a(g906 +g958 +tp2547 +a(g18 +Vaa +p2548 +tp2549 +a(g906 +g958 +tp2550 +a(g18 +g1056 +tp2551 +a(g655 +g979 +tp2552 +a(g893 +V\u000a +p2553 +tp2554 +a(g906 +V\u000a +p2555 +tp2556 +a(g8 +Vset +p2557 +tp2558 +a(g906 +g958 +tp2559 +a(g18 +g1120 +tp2560 +a(g906 +g958 +tp2561 +a(g655 +g972 +tp2562 +a(g8 +V\u005c +p2563 +tp2564 +a(g906 +g958 +tp2565 +a(g18 +g1056 +tp2566 +a(g906 +g958 +tp2567 +a(g655 +g972 +tp2568 +a(g8 +g2503 +tp2569 +a(g906 +g958 +tp2570 +a(g18 +g1056 +tp2571 +a(g906 +g958 +tp2572 +a(g278 +g1460 +tp2573 +a(g655 +g979 +tp2574 +a(g655 +g979 +tp2575 +a(g893 +V\u000a +p2576 +tp2577 +a(g906 +V\u000a +p2578 +tp2579 +a(g8 +g2503 +tp2580 +a(g906 +g958 +tp2581 +a(g18 +g969 +tp2582 +a(g906 +g958 +tp2583 +a(g278 +g1460 +tp2584 +a(g906 +g958 +tp2585 +a(g278 +g1615 +tp2586 +a(g893 +V\u000a +p2587 +tp2588 +a(g8 +V+= +p2589 +tp2590 +a(g906 +g958 +tp2591 +a(g18 +g969 +tp2592 +a(g906 +g958 +tp2593 +a(g278 +g1460 +tp2594 +a(g893 +V\u000a +p2595 +tp2596 +a(g906 +V\u000a +p2597 +tp2598 +a(g8 +g989 +tp2599 +a(g906 +g958 +tp2600 +a(g278 +g1460 +tp2601 +a(g906 +g958 +tp2602 +a(g278 +g1615 +tp2603 +a(g906 +g958 +tp2604 +a(g278 +g1453 +tp2605 +a(g893 +V\u000a +p2606 +tp2607 +a(g906 +V\u000a +p2608 +tp2609 +a(g8 +Vif +p2610 +tp2611 +a(g906 +g958 +tp2612 +a(g655 +g972 +tp2613 +a(g8 +g989 +tp2614 +a(g906 +g958 +tp2615 +a(g278 +g1615 +tp2616 +a(g906 +g958 +tp2617 +a(g278 +g1460 +tp2618 +a(g655 +g979 +tp2619 +a(g906 +g958 +tp2620 +a(g655 +g972 +tp2621 +a(g8 +g2503 +tp2622 +a(g906 +g958 +tp2623 +a(g18 +g969 +tp2624 +a(g906 +g958 +tp2625 +a(g278 +g1460 +tp2626 +a(g655 +g979 +tp2627 +a(g893 +V\u000a +p2628 +tp2629 +a(g8 +Velse +p2630 +tp2631 +a(g906 +g958 +tp2632 +a(g278 +g1615 +tp2633 +a(g893 +V\u000a +p2634 +tp2635 +a(g906 +V\u000a +p2636 +tp2637 +a(g8 +Vif +p2638 +tp2639 +a(g906 +g958 +tp2640 +a(g655 +g972 +tp2641 +a(g8 +g989 +tp2642 +a(g906 +g958 +tp2643 +a(g18 +g969 +tp2644 +a(g906 +g958 +tp2645 +a(g278 +g1615 +tp2646 +a(g655 +g979 +tp2647 +a(g893 +V\u000a +p2648 +tp2649 +a(g906 +V +p2650 +tp2651 +a(g8 +V.log +p2652 +tp2653 +a(g906 +g958 +tp2654 +a(g18 +Vconsole +p2655 +tp2656 +a(g906 +g958 +tp2657 +a(g655 +g972 +tp2658 +a(g8 +g1244 +tp2659 +a(g906 +g958 +tp2660 +a(g334 +g1013 +tp2661 +a(g334 +g1367 +tp2662 +a(g334 +g969 +tp2663 +a(g334 +g1337 +tp2664 +a(g334 +g1126 +tp2665 +a(g334 +g1024 +tp2666 +a(g334 +g1013 +tp2667 +a(g655 +g979 +tp2668 +a(g893 +V\u000a +p2669 +tp2670 +a(g8 +Velseif +p2671 +tp2672 +a(g906 +g958 +tp2673 +a(g655 +g972 +tp2674 +a(g8 +g989 +tp2675 +a(g906 +g958 +tp2676 +a(g18 +g969 +tp2677 +a(g906 +g958 +tp2678 +a(g278 +g1460 +tp2679 +a(g655 +g979 +tp2680 +a(g893 +V\u000a +p2681 +tp2682 +a(g906 +V +p2683 +tp2684 +a(g8 +V.log +p2685 +tp2686 +a(g906 +g958 +tp2687 +a(g18 +Vconsole +p2688 +tp2689 +a(g906 +g958 +tp2690 +a(g655 +g972 +tp2691 +a(g8 +g1244 +tp2692 +a(g906 +g958 +tp2693 +a(g334 +g1013 +tp2694 +a(g334 +g2095 +tp2695 +a(g334 +g1019 +tp2696 +a(g334 +g1136 +tp2697 +a(g334 +g1367 +tp2698 +a(g334 +g1367 +tp2699 +a(g334 +g958 +tp2700 +a(g334 +g1126 +tp2701 +a(g334 +g1017 +tp2702 +a(g334 +g1017 +tp2703 +a(g334 +g1022 +tp2704 +a(g334 +g1013 +tp2705 +a(g655 +g979 +tp2706 +a(g893 +V\u000a +p2707 +tp2708 +a(g8 +Velse +p2709 +tp2710 +a(g893 +V\u000a +p2711 +tp2712 +a(g906 +V +p2713 +tp2714 +a(g8 +V.log +p2715 +tp2716 +a(g906 +g958 +tp2717 +a(g18 +Vconsole +p2718 +tp2719 +a(g906 +g958 +tp2720 +a(g655 +g972 +tp2721 +a(g8 +g1244 +tp2722 +a(g906 +g958 +tp2723 +a(g334 +g1013 +tp2724 +a(g334 +g2095 +tp2725 +a(g334 +g1017 +tp2726 +a(g334 +g958 +tp2727 +a(g334 +g2095 +tp2728 +a(g334 +g1017 +tp2729 +a(g334 +g1013 +tp2730 +a(g655 +g979 +tp2731 +a(g893 +V\u000a +p2732 +tp2733 +a(g906 +V\u000a +p2734 +tp2735 +a(g8 +Vset +p2736 +tp2737 +a(g906 +g958 +tp2738 +a(g18 +g969 +tp2739 +a(g906 +g958 +tp2740 +a(g655 +g982 +tp2741 +a(g906 +g958 +tp2742 +a(g8 +Vif +p2743 +tp2744 +a(g906 +g958 +tp2745 +a(g655 +g972 +tp2746 +a(g8 +g989 +tp2747 +a(g906 +g958 +tp2748 +a(g278 +g1615 +tp2749 +a(g906 +g958 +tp2750 +a(g278 +g1460 +tp2751 +a(g655 +g979 +tp2752 +a(g906 +g958 +tp2753 +a(g18 +V#t +p2754 +tp2755 +a(g906 +g958 +tp2756 +a(g18 +V#f +p2757 +tp2758 +a(g893 +V\u000a +p2759 +tp2760 +a(g906 +V\u000a +p2761 +tp2762 +a(g8 +Vswitch +p2763 +tp2764 +a(g906 +g958 +tp2765 +a(g18 +g969 +tp2766 +a(g893 +V\u000a +p2767 +tp2768 +a(g906 +V +p2769 +tp2770 +a(g8 +g1460 +tp2771 +a(g906 +g958 +tp2772 +a(g655 +g972 +tp2773 +a(g8 +V.log +p2774 +tp2775 +a(g906 +g958 +tp2776 +a(g18 +Vconsole +p2777 +tp2778 +a(g906 +g958 +tp2779 +a(g278 +g1460 +tp2780 +a(g655 +g979 +tp2781 +a(g893 +V\u000a +p2782 +tp2783 +a(g906 +V +p2784 +tp2785 +a(g8 +g1615 +tp2786 +a(g906 +g958 +tp2787 +a(g655 +g972 +tp2788 +a(g8 +V.log +p2789 +tp2790 +a(g906 +g958 +tp2791 +a(g18 +Vconsole +p2792 +tp2793 +a(g906 +g958 +tp2794 +a(g278 +g1615 +tp2795 +a(g655 +g979 +tp2796 +a(g893 +V\u000a +p2797 +tp2798 +a(g906 +V +p2799 +tp2800 +a(g8 +Velse +p2801 +tp2802 +a(g906 +g958 +tp2803 +a(g655 +g972 +tp2804 +a(g8 +V.log +p2805 +tp2806 +a(g906 +g958 +tp2807 +a(g18 +Vconsole +p2808 +tp2809 +a(g906 +g958 +tp2810 +a(g655 +g972 +tp2811 +a(g8 +g1244 +tp2812 +a(g906 +g958 +tp2813 +a(g334 +g1013 +tp2814 +a(g334 +g2095 +tp2815 +a(g334 +g1017 +tp2816 +a(g334 +g1026 +tp2817 +a(g334 +g1024 +tp2818 +a(g334 +g1019 +tp2819 +a(g334 +g1131 +tp2820 +a(g334 +g1136 +tp2821 +a(g334 +g1015 +tp2822 +a(g334 +g1126 +tp2823 +a(g334 +g958 +tp2824 +a(g334 +g1024 +tp2825 +a(g334 +g1367 +tp2826 +a(g334 +g2095 +tp2827 +a(g334 +g1024 +tp2828 +a(g334 +g1013 +tp2829 +a(g655 +g979 +tp2830 +a(g655 +g979 +tp2831 +a(g893 +V\u000a +p2832 +tp2833 +a(g906 +V\u000a +p2834 +tp2835 +a(g8 +Vset +p2836 +tp2837 +a(g906 +g958 +tp2838 +a(g18 +g969 +tp2839 +a(g906 +g958 +tp2840 +a(g655 +g982 +tp2841 +a(g906 +g958 +tp2842 +a(g8 +Varray +p2843 +tp2844 +a(g906 +g958 +tp2845 +a(g278 +g1615 +tp2846 +a(g906 +g958 +tp2847 +a(g278 +V+3 +p2848 +tp2849 +a(g906 +g958 +tp2850 +a(g278 +V-4 +p2851 +tp2852 +a(g893 +V\u000a +p2853 +tp2854 +a(g8 +Vfor +p2855 +tp2856 +a(g906 +g958 +tp2857 +a(g655 +g972 +tp2858 +a(g8 +g969 +tp2859 +a(g906 +g958 +tp2860 +a(g18 +g1056 +tp2861 +a(g906 +g958 +tp2862 +a(g18 +g1136 +tp2863 +a(g655 +g979 +tp2864 +a(g906 +g958 +tp2865 +a(g655 +g972 +tp2866 +a(g8 +V.log +p2867 +tp2868 +a(g906 +g958 +tp2869 +a(g18 +Vconsole +p2870 +tp2871 +a(g906 +g958 +tp2872 +a(g18 +g1056 +tp2873 +a(g906 +g958 +tp2874 +a(g18 +g1136 +tp2875 +a(g655 +g979 +tp2876 +a(g893 +V\u000a +p2877 +tp2878 +a(g906 +V\u000a +p2879 +tp2880 +a(g8 +Vset +p2881 +tp2882 +a(g906 +g958 +tp2883 +a(g18 +g969 +tp2884 +a(g906 +g958 +tp2885 +a(g278 +V0 +p2886 +tp2887 +a(g893 +V\u000a +p2888 +tp2889 +a(g8 +Vwhile +p2890 +tp2891 +a(g906 +g958 +tp2892 +a(g655 +g972 +tp2893 +a(g8 +V< +p2894 +tp2895 +a(g906 +g958 +tp2896 +a(g18 +g969 +tp2897 +a(g906 +g958 +tp2898 +a(g278 +V10 +p2899 +tp2900 +a(g655 +g979 +tp2901 +a(g906 +g958 +tp2902 +a(g655 +g972 +tp2903 +a(g8 +V+= +p2904 +tp2905 +a(g906 +g958 +tp2906 +a(g18 +g969 +tp2907 +a(g906 +g958 +tp2908 +a(g278 +g1460 +tp2909 +a(g655 +g979 +tp2910 +a(g906 +g958 +tp2911 +a(g655 +g972 +tp2912 +a(g8 +V.log +p2913 +tp2914 +a(g906 +g958 +tp2915 +a(g18 +Vconsole +p2916 +tp2917 +a(g906 +g958 +tp2918 +a(g18 +g969 +tp2919 +a(g655 +g979 +tp2920 +a(g893 +V\u000a +p2921 +tp2922 +a(g906 +V\u000a +p2923 +tp2924 +a(g8 +V-- +p2925 +tp2926 +a(g906 +g958 +tp2927 +a(g18 +VWebAssembly +p2928 +tp2929 +a(g906 +g958 +tp2930 +a(g18 +Vvariable +p2931 +tp2932 +a(g906 +g958 +tp2933 +a(g18 +Vnames +p2934 +tp2935 +a(g893 +V\u000a +p2936 +tp2937 +a(g906 +V\u000a +p2938 +tp2939 +a(g8 +V-- +p2940 +tp2941 +a(g906 +g958 +tp2942 +a(g334 +g1013 +tp2943 +a(g334 +V: +p2944 +tp2945 +a(g334 +g972 +tp2946 +a(g334 +g1061 +tp2947 +a(g334 +g979 +tp2948 +a(g334 +g958 +tp2949 +a(g334 +g1615 +tp2950 +a(g334 +g2886 +tp2951 +a(g334 +g1460 +tp2952 +a(g334 +g1603 +tp2953 +a(g334 +g958 +tp2954 +a(g334 +VA +p2955 +tp2956 +a(g334 +g1015 +tp2957 +a(g334 +g1022 +tp2958 +a(g334 +g1337 +tp2959 +a(g334 +g1024 +tp2960 +a(g334 +g969 +tp2961 +a(g334 +g2095 +tp2962 +a(g334 +g958 +tp2963 +a(g334 +VR +p2964 +tp2965 +a(g334 +g1017 +tp2966 +a(g334 +g2095 +tp2967 +a(g334 +g2095 +tp2968 +a(g334 +g1051 +tp2969 +a(g334 +g1024 +tp2970 +a(g334 +g1337 +tp2971 +a(g334 +g1126 +tp2972 +a(g334 +g1013 +tp2973 +a(g893 +V\u000a +p2974 +tp2975 +a(g906 +V\u000a +p2976 +tp2977 +a(g8 +Vmodule +p2978 +tp2979 +a(g893 +V\u000a +p2980 +tp2981 +a(g906 +V +p2982 +tp2983 +a(g8 +Vexport +p2984 +tp2985 +a(g906 +g958 +tp2986 +a(g18 +V:even +p2987 +tp2988 +a(g906 +g958 +tp2989 +a(g18 +V$even +p2990 +tp2991 +a(g893 +V\u000a +p2992 +tp2993 +a(g906 +V +p2994 +tp2995 +a(g8 +Vexport +p2996 +tp2997 +a(g906 +g958 +tp2998 +a(g334 +g1013 +tp2999 +a(g334 +g1017 +tp3000 +a(g334 +g1022 +tp3001 +a(g334 +g1022 +tp3002 +a(g334 +g1013 +tp3003 +a(g906 +g958 +tp3004 +a(g18 +V$odd +p3005 +tp3006 +a(g893 +V\u000a +p3007 +tp3008 +a(g906 +V\u000a +p3009 +tp3010 +a(g906 +V +p3011 +tp3012 +a(g8 +Vfunc +p3013 +tp3014 +a(g906 +g958 +tp3015 +a(g18 +V$even +p3016 +tp3017 +a(g906 +g958 +tp3018 +a(g655 +g972 +tp3019 +a(g8 +Vparam +p3020 +tp3021 +a(g906 +g958 +tp3022 +a(g18 +V$n +p3023 +tp3024 +a(g906 +g958 +tp3025 +a(g18 +Vi32 +p3026 +tp3027 +a(g655 +g979 +tp3028 +a(g906 +g958 +tp3029 +a(g655 +g972 +tp3030 +a(g8 +Vresult +p3031 +tp3032 +a(g906 +g958 +tp3033 +a(g18 +Vi32 +p3034 +tp3035 +a(g655 +g979 +tp3036 +a(g893 +V\u000a +p3037 +tp3038 +a(g906 +V +p3039 +tp3040 +a(g8 +Vif +p3041 +tp3042 +a(g906 +g958 +tp3043 +a(g655 +g972 +tp3044 +a(g8 +Vi32.eq +p3045 +tp3046 +a(g906 +g958 +tp3047 +a(g655 +g972 +tp3048 +a(g8 +Vget_local +p3049 +tp3050 +a(g906 +g958 +tp3051 +a(g18 +V$n +p3052 +tp3053 +a(g655 +g979 +tp3054 +a(g906 +g958 +tp3055 +a(g655 +g972 +tp3056 +a(g8 +Vi32.const +p3057 +tp3058 +a(g906 +g958 +tp3059 +a(g278 +g2886 +tp3060 +a(g655 +g979 +tp3061 +a(g655 +g979 +tp3062 +a(g893 +V\u000a +p3063 +tp3064 +a(g906 +V +p3065 +tp3066 +a(g8 +Vi32.const +p3067 +tp3068 +a(g906 +g958 +tp3069 +a(g278 +g1460 +tp3070 +a(g893 +V\u000a +p3071 +tp3072 +a(g906 +V +p3073 +tp3074 +a(g8 +Vcall +p3075 +tp3076 +a(g906 +g958 +tp3077 +a(g18 +V$odd +p3078 +tp3079 +a(g906 +g958 +tp3080 +a(g655 +g972 +tp3081 +a(g8 +Vi32.sub +p3082 +tp3083 +a(g906 +g958 +tp3084 +a(g655 +g972 +tp3085 +a(g8 +Vget_local +p3086 +tp3087 +a(g906 +g958 +tp3088 +a(g18 +V$n +p3089 +tp3090 +a(g655 +g979 +tp3091 +a(g906 +g958 +tp3092 +a(g655 +g972 +tp3093 +a(g8 +Vi32.const +p3094 +tp3095 +a(g906 +g958 +tp3096 +a(g278 +g1460 +tp3097 +a(g655 +g979 +tp3098 +a(g655 +g979 +tp3099 +a(g893 +V\u000a +p3100 +tp3101 +a(g906 +V\u000a +p3102 +tp3103 +a(g906 +V +p3104 +tp3105 +a(g8 +Vfunc +p3106 +tp3107 +a(g906 +g958 +tp3108 +a(g18 +V$odd +p3109 +tp3110 +a(g906 +g958 +tp3111 +a(g655 +g972 +tp3112 +a(g8 +Vparam +p3113 +tp3114 +a(g906 +g958 +tp3115 +a(g18 +V$n +p3116 +tp3117 +a(g906 +g958 +tp3118 +a(g18 +Vi32 +p3119 +tp3120 +a(g655 +g979 +tp3121 +a(g906 +g958 +tp3122 +a(g655 +g972 +tp3123 +a(g8 +Vresult +p3124 +tp3125 +a(g906 +g958 +tp3126 +a(g18 +Vi32 +p3127 +tp3128 +a(g655 +g979 +tp3129 +a(g893 +V\u000a +p3130 +tp3131 +a(g906 +V +p3132 +tp3133 +a(g8 +Vstore_global +p3134 +tp3135 +a(g906 +g958 +tp3136 +a(g18 +V$scratch +p3137 +tp3138 +a(g906 +g958 +tp3139 +a(g655 +g972 +tp3140 +a(g8 +Vget_local +p3141 +tp3142 +a(g906 +g958 +tp3143 +a(g18 +V$n +p3144 +tp3145 +a(g655 +g979 +tp3146 +a(g893 +V\u000a +p3147 +tp3148 +a(g906 +V +p3149 +tp3150 +a(g8 +Vif +p3151 +tp3152 +a(g906 +g958 +tp3153 +a(g655 +g972 +tp3154 +a(g8 +Vi32.eq +p3155 +tp3156 +a(g906 +g958 +tp3157 +a(g655 +g972 +tp3158 +a(g8 +Vget_local +p3159 +tp3160 +a(g906 +g958 +tp3161 +a(g18 +V$n +p3162 +tp3163 +a(g655 +g979 +tp3164 +a(g906 +g958 +tp3165 +a(g655 +g972 +tp3166 +a(g8 +Vi32.const +p3167 +tp3168 +a(g906 +g958 +tp3169 +a(g278 +g2886 +tp3170 +a(g655 +g979 +tp3171 +a(g893 +V\u000a +p3172 +tp3173 +a(g906 +V +p3174 +tp3175 +a(g8 +Vi32.const +p3176 +tp3177 +a(g906 +g958 +tp3178 +a(g278 +g2886 +tp3179 +a(g893 +V\u000a +p3180 +tp3181 +a(g906 +V +p3182 +tp3183 +a(g8 +Vcall +p3184 +tp3185 +a(g906 +g958 +tp3186 +a(g18 +V$even +p3187 +tp3188 +a(g906 +g958 +tp3189 +a(g655 +g972 +tp3190 +a(g8 +Vi32.sub +p3191 +tp3192 +a(g906 +g958 +tp3193 +a(g655 +g972 +tp3194 +a(g8 +Vget_local +p3195 +tp3196 +a(g906 +g958 +tp3197 +a(g18 +V$n +p3198 +tp3199 +a(g655 +g979 +tp3200 +a(g906 +g958 +tp3201 +a(g655 +g972 +tp3202 +a(g8 +Vi32.const +p3203 +tp3204 +a(g906 +g958 +tp3205 +a(g278 +g1460 +tp3206 +a(g655 +g979 +tp3207 +a(g655 +g979 +tp3208 +a(g893 +V\u000a +p3209 +tp3210 +a(g906 +V\u000a +p3211 +tp3212 +a(g906 +V +p3213 +tp3214 +a(g8 +Vglobal +p3215 +tp3216 +a(g906 +g958 +tp3217 +a(g18 +V$scratch +p3218 +tp3219 +a(g906 +g958 +tp3220 +a(g18 +Vi32 +p3221 +tp3222 +a(g893 +V\u000a +p3223 +tp3224 +a(g906 +V\u000a +p3225 +tp3226 +a(g8 +Vassert_eq +p3227 +tp3228 +a(g906 +g958 +tp3229 +a(g655 +g972 +tp3230 +a(g8 +Vinvoke +p3231 +tp3232 +a(g906 +g958 +tp3233 +a(g18 +V:even +p3234 +tp3235 +a(g906 +g958 +tp3236 +a(g655 +g972 +tp3237 +a(g8 +Vi32.const +p3238 +tp3239 +a(g906 +g958 +tp3240 +a(g278 +V13 +p3241 +tp3242 +a(g655 +g979 +tp3243 +a(g655 +g979 +tp3244 +a(g906 +g958 +tp3245 +a(g655 +g972 +tp3246 +a(g8 +Vi32.const +p3247 +tp3248 +a(g906 +g958 +tp3249 +a(g278 +g2886 +tp3250 +a(g655 +g979 +tp3251 +a(g893 +V\u000a +p3252 +tp3253 +a(g8 +Vassert_eq +p3254 +tp3255 +a(g906 +g958 +tp3256 +a(g655 +g972 +tp3257 +a(g8 +Vinvoke +p3258 +tp3259 +a(g906 +g958 +tp3260 +a(g18 +V:even +p3261 +tp3262 +a(g906 +g958 +tp3263 +a(g655 +g972 +tp3264 +a(g8 +Vi32.const +p3265 +tp3266 +a(g906 +g958 +tp3267 +a(g278 +V20 +p3268 +tp3269 +a(g655 +g979 +tp3270 +a(g655 +g979 +tp3271 +a(g906 +g958 +tp3272 +a(g655 +g972 +tp3273 +a(g8 +Vi32.const +p3274 +tp3275 +a(g906 +g958 +tp3276 +a(g278 +g1460 +tp3277 +a(g655 +g979 +tp3278 +a(g893 +V\u000a +p3279 +tp3280 +a(g8 +Vassert_eq +p3281 +tp3282 +a(g906 +g958 +tp3283 +a(g655 +g972 +tp3284 +a(g8 +Vinvoke +p3285 +tp3286 +a(g906 +g958 +tp3287 +a(g18 +V:odd +p3288 +tp3289 +a(g906 +g958 +tp3290 +a(g655 +g972 +tp3291 +a(g8 +Vi32.const +p3292 +tp3293 +a(g906 +g958 +tp3294 +a(g278 +V13 +p3295 +tp3296 +a(g655 +g979 +tp3297 +a(g655 +g979 +tp3298 +a(g906 +g958 +tp3299 +a(g655 +g972 +tp3300 +a(g8 +Vi32.const +p3301 +tp3302 +a(g906 +g958 +tp3303 +a(g278 +g1460 +tp3304 +a(g655 +g979 +tp3305 +a(g893 +V\u000a +p3306 +tp3307 +a(g8 +Vassert_eq +p3308 +tp3309 +a(g906 +g958 +tp3310 +a(g655 +g972 +tp3311 +a(g8 +Vinvoke +p3312 +tp3313 +a(g906 +g958 +tp3314 +a(g18 +V:odd +p3315 +tp3316 +a(g906 +g958 +tp3317 +a(g655 +g972 +tp3318 +a(g8 +Vi32.const +p3319 +tp3320 +a(g906 +g958 +tp3321 +a(g278 +V20 +p3322 +tp3323 +a(g655 +g979 +tp3324 +a(g655 +g979 +tp3325 +a(g906 +g958 +tp3326 +a(g655 +g972 +tp3327 +a(g8 +Vi32.const +p3328 +tp3329 +a(g906 +g958 +tp3330 +a(g278 +g2886 +tp3331 +a(g655 +g979 +tp3332 +a(g893 +V\u000a +p3333 +tp3334 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/session.dylan-console b/tests/examplefiles/output/session.dylan-console new file mode 100644 index 0000000..a6a873d --- /dev/null +++ b/tests/examplefiles/output/session.dylan-console @@ -0,0 +1,2575 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g365 +V? +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g40 +V7 +p962 +tp963 +a(g7 +g960 +tp964 +a(g416 +V* +p965 +tp966 +a(g7 +g960 +tp967 +a(g40 +V52 +p968 +tp969 +a(g709 +V; +p970 +tp971 +a(g7 +V\u000a +p972 +tp973 +a(g406 +V=> 364\u000a +p974 +tp975 +a(g7 +g956 +tp976 +a(g365 +g958 +tp977 +a(g7 +g960 +tp978 +a(g842 +Vdefine +p979 +tp980 +a(g7 +g960 +tp981 +a(g685 +Vvariable +p982 +tp983 +a(g7 +g960 +tp984 +a(g473 +V*your-variable* +p985 +tp986 +a(g7 +g960 +tp987 +a(g416 +V= +p988 +tp989 +a(g7 +g960 +tp990 +a(g569 +V$foo +p991 +tp992 +a(g709 +g970 +tp993 +a(g7 +V\u000a +p994 +tp995 +a(g365 +g958 +tp996 +a(g7 +g960 +tp997 +a(g842 +Vbegin +p998 +tp999 +a(g7 +V\u000a +p1000 +tp1001 +a(g365 +g960 +tp1002 +a(g7 +V +p1003 +tp1004 +a(g842 +Vlet +p1005 +tp1006 +a(g7 +g960 +tp1007 +a(g439 +Vyours +p1008 +tp1009 +a(g7 +g960 +tp1010 +a(g416 +g988 +tp1011 +a(g7 +g960 +tp1012 +a(g92 +V" +p1013 +tp1014 +a(g92 +Vapple +p1015 +tp1016 +a(g92 +g1013 +tp1017 +a(g709 +g970 +tp1018 +a(g7 +V\u000a +p1019 +tp1020 +a(g365 +g960 +tp1021 +a(g7 +V +p1022 +tp1023 +a(g842 +Vlet +p1024 +tp1025 +a(g7 +g960 +tp1026 +a(g439 +Vmine +p1027 +tp1028 +a(g7 +g960 +tp1029 +a(g416 +g988 +tp1030 +a(g7 +g960 +tp1031 +a(g439 +Vyours +p1032 +tp1033 +a(g709 +g970 +tp1034 +a(g7 +V\u000a +p1035 +tp1036 +a(g365 +g960 +tp1037 +a(g7 +V +p1038 +tp1039 +a(g439 +Vmine +p1040 +tp1041 +a(g7 +g960 +tp1042 +a(g416 +V== +p1043 +tp1044 +a(g7 +g960 +tp1045 +a(g439 +Vyours +p1046 +tp1047 +a(g709 +g970 +tp1048 +a(g7 +V\u000a +p1049 +tp1050 +a(g365 +g960 +tp1051 +a(g7 +g956 +tp1052 +a(g842 +Vend +p1053 +tp1054 +a(g709 +g970 +tp1055 +a(g7 +V\u000a +p1056 +tp1057 +a(g406 +V=> #t\u000a +p1058 +tp1059 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sibling.prolog b/tests/examplefiles/output/sibling.prolog new file mode 100644 index 0000000..f5819ac --- /dev/null +++ b/tests/examplefiles/output/sibling.prolog @@ -0,0 +1,2790 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* +p956 +tp957 +a(g8 +V Comments +p958 +tp959 +a(g8 +V/* +p960 +tp961 +a(g8 +V can nest +p962 +tp963 +a(g8 +V*/ +p964 +tp965 +a(g8 +V\u000astill a comment\u000a +p966 +tp967 +a(g8 +V*/ +p968 +tp969 +a(g827 +V\u000a\u000a +p970 +tp971 +a(g706 +V:- +p972 +tp973 +a(g827 +V +p974 +tp975 +a(g574 +Vmodule +p976 +tp977 +a(g706 +V( +p978 +tp979 +a(g173 +Vmaplist +p980 +tp981 +a(g706 +V, +p982 +tp983 +a(g827 +g974 +tp984 +a(g173 +Vmaplist +p985 +tp986 +a(g413 +V/ +p987 +tp988 +a(g37 +V3 +p989 +tp990 +a(g706 +V) +p991 +tp992 +a(g827 +V\u000a\u000a +p993 +tp994 +a(g574 +Vassert +p995 +tp996 +a(g706 +g978 +tp997 +a(g621 +Vworld +p998 +tp999 +a(g706 +V: +p1000 +tp1001 +a(g173 +Vdone +p1002 +tp1003 +a(g706 +g991 +tp1004 +a(g706 +V. +p1005 +tp1006 +a(g827 +g974 +tp1007 +a(g906 +V% asserts +p1008 +tp1009 +a(g827 +V\u000a\u000a +p1010 +tp1011 +a(g574 +Vsibling +p1012 +tp1013 +a(g706 +g978 +tp1014 +a(g441 +VX +p1015 +tp1016 +a(g706 +g982 +tp1017 +a(g827 +g974 +tp1018 +a(g441 +VY +p1019 +tp1020 +a(g706 +g991 +tp1021 +a(g827 +V +p1022 +tp1023 +a(g706 +V:- +p1024 +tp1025 +a(g827 +g974 +tp1026 +a(g574 +Vparent_child +p1027 +tp1028 +a(g706 +g978 +tp1029 +a(g441 +VZ +p1030 +tp1031 +a(g706 +g982 +tp1032 +a(g827 +g974 +tp1033 +a(g441 +g1015 +tp1034 +a(g706 +g991 +tp1035 +a(g706 +g982 +tp1036 +a(g827 +g974 +tp1037 +a(g574 +Vparent_child +p1038 +tp1039 +a(g706 +g978 +tp1040 +a(g441 +g1030 +tp1041 +a(g706 +g982 +tp1042 +a(g827 +g974 +tp1043 +a(g441 +g1019 +tp1044 +a(g706 +g991 +tp1045 +a(g706 +g1005 +tp1046 +a(g827 +V\u000a\u000a +p1047 +tp1048 +a(g574 +Vparent_child +p1049 +tp1050 +a(g706 +g978 +tp1051 +a(g441 +g1015 +tp1052 +a(g706 +g982 +tp1053 +a(g827 +g974 +tp1054 +a(g441 +g1019 +tp1055 +a(g706 +g991 +tp1056 +a(g827 +g974 +tp1057 +a(g706 +V:- +p1058 +tp1059 +a(g827 +g974 +tp1060 +a(g574 +Vfather_child +p1061 +tp1062 +a(g706 +g978 +tp1063 +a(g441 +g1015 +tp1064 +a(g706 +g982 +tp1065 +a(g827 +g974 +tp1066 +a(g441 +g1019 +tp1067 +a(g706 +g991 +tp1068 +a(g706 +g1005 +tp1069 +a(g827 +V\u000a +p1070 +tp1071 +a(g574 +Vparent_child +p1072 +tp1073 +a(g706 +g978 +tp1074 +a(g441 +g1015 +tp1075 +a(g706 +g982 +tp1076 +a(g827 +g974 +tp1077 +a(g441 +g1019 +tp1078 +a(g706 +g991 +tp1079 +a(g827 +g974 +tp1080 +a(g706 +V:- +p1081 +tp1082 +a(g827 +g974 +tp1083 +a(g574 +Vmother_child +p1084 +tp1085 +a(g706 +g978 +tp1086 +a(g441 +g1015 +tp1087 +a(g706 +g982 +tp1088 +a(g827 +g974 +tp1089 +a(g441 +g1019 +tp1090 +a(g706 +g991 +tp1091 +a(g706 +g1005 +tp1092 +a(g827 +V\u000a\u000a +p1093 +tp1094 +a(g574 +Vmother_child +p1095 +tp1096 +a(g706 +g978 +tp1097 +a(g173 +Vtrude +p1098 +tp1099 +a(g706 +g982 +tp1100 +a(g827 +g974 +tp1101 +a(g173 +Vsally +p1102 +tp1103 +a(g706 +g991 +tp1104 +a(g706 +g1005 +tp1105 +a(g827 +V\u000a\u000a +p1106 +tp1107 +a(g574 +Vfather_child +p1108 +tp1109 +a(g706 +g978 +tp1110 +a(g173 +Vtom +p1111 +tp1112 +a(g706 +g982 +tp1113 +a(g827 +g974 +tp1114 +a(g173 +Vsally +p1115 +tp1116 +a(g706 +g991 +tp1117 +a(g706 +g1005 +tp1118 +a(g827 +V\u000a +p1119 +tp1120 +a(g574 +Vfather_child +p1121 +tp1122 +a(g706 +g978 +tp1123 +a(g173 +Vtom +p1124 +tp1125 +a(g706 +g982 +tp1126 +a(g827 +g974 +tp1127 +a(g173 +Verica +p1128 +tp1129 +a(g706 +g991 +tp1130 +a(g706 +g1005 +tp1131 +a(g827 +V\u000a +p1132 +tp1133 +a(g574 +Vfather_child +p1134 +tp1135 +a(g706 +g978 +tp1136 +a(g173 +Vmike +p1137 +tp1138 +a(g706 +g982 +tp1139 +a(g827 +g974 +tp1140 +a(g173 +Vtom +p1141 +tp1142 +a(g706 +g991 +tp1143 +a(g706 +g1005 +tp1144 +a(g827 +V\u000a +p1145 +tp1146 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/simple.camkes b/tests/examplefiles/output/simple.camkes new file mode 100644 index 0000000..fc06cec --- /dev/null +++ b/tests/examplefiles/output/simple.camkes @@ -0,0 +1,2752 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV/*\u000a * Example input for CAmkES lexer.\u000a */ +p956 +tp957 +a(g822 +V\u000a\u000a +p958 +tp959 +a(g894 +Vimport ; +p960 +tp961 +a(g822 +V\u000a\u000a +p962 +tp963 +a(g7 +V// A single-line comment.\u000a +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g894 +Vimport "components/Client/Client.camkes"; +p968 +tp969 +a(g822 +V\u000a +p970 +tp971 +a(g894 +Vimport "components/Echo/Echo.camkes"; +p972 +tp973 +a(g822 +V\u000a\u000a +p974 +tp975 +a(g740 +Vcomponent +p976 +tp977 +a(g822 +V +p978 +tp979 +a(g431 +VFoo +p980 +tp981 +a(g822 +g978 +tp982 +a(g701 +V{ +p983 +tp984 +a(g822 +V\u000a +p985 +tp986 +a(g894 +Vinclude "proc_defn.h"; +p987 +tp988 +a(g822 +V\u000a +p989 +tp990 +a(g740 +Vcontrol +p991 +tp992 +a(g701 +V; +p993 +tp994 +a(g822 +V\u000a +p995 +tp996 +a(g740 +Vdataport +p997 +tp998 +a(g822 +g978 +tp999 +a(g744 +VBuf +p1000 +tp1001 +a(g822 +g978 +tp1002 +a(g431 +Vmy_port +p1003 +tp1004 +a(g701 +g993 +tp1005 +a(g822 +V\u000a +p1006 +tp1007 +a(g701 +V} +p1008 +tp1009 +a(g822 +V\u000a\u000a +p1010 +tp1011 +a(g894 +V#ifdef BAR_AVAILABLE\u000a +p1012 +tp1013 +a(g822 +V +p1014 +tp1015 +a(g740 +Vcomponent +p1016 +tp1017 +a(g822 +g978 +tp1018 +a(g431 +VBar +p1019 +tp1020 +a(g822 +g978 +tp1021 +a(g701 +g983 +tp1022 +a(g822 +V\u000a +p1023 +tp1024 +a(g740 +Vprovides +p1025 +tp1026 +a(g822 +g978 +tp1027 +a(g431 +VCharAccess +p1028 +tp1029 +a(g822 +g978 +tp1030 +a(g431 +Vca +p1031 +tp1032 +a(g701 +g993 +tp1033 +a(g822 +V\u000a +p1034 +tp1035 +a(g701 +g1008 +tp1036 +a(g822 +V\u000a +p1037 +tp1038 +a(g894 +V#endif\u000a +p1039 +tp1040 +a(g894 +V\u000a #define HASH_DEF_WITH_LEADING_SPACE\u000a +p1041 +tp1042 +a(g822 +V\u000a +p1043 +tp1044 +a(g740 +Vassembly +p1045 +tp1046 +a(g822 +g978 +tp1047 +a(g701 +g983 +tp1048 +a(g822 +g978 +tp1049 +a(g7 +V/* Another multiline comment. */ +p1050 +tp1051 +a(g822 +V\u000a +p1052 +tp1053 +a(g740 +Vcomposition +p1054 +tp1055 +a(g822 +g978 +tp1056 +a(g701 +g983 +tp1057 +a(g822 +V\u000a +p1058 +tp1059 +a(g740 +Vcomponent +p1060 +tp1061 +a(g822 +g978 +tp1062 +a(g431 +VEcho +p1063 +tp1064 +a(g822 +g978 +tp1065 +a(g431 +Vecho +p1066 +tp1067 +a(g701 +g993 +tp1068 +a(g822 +V\u000a +p1069 +tp1070 +a(g740 +Vcomponent +p1071 +tp1072 +a(g822 +g978 +tp1073 +a(g431 +VClient +p1074 +tp1075 +a(g822 +g978 +tp1076 +a(g431 +Vclient +p1077 +tp1078 +a(g701 +g993 +tp1079 +a(g822 +V\u000a\u000a +p1080 +tp1081 +a(g740 +Vconnection +p1082 +tp1083 +a(g822 +g978 +tp1084 +a(g431 +VseL4RPC +p1085 +tp1086 +a(g822 +g978 +tp1087 +a(g431 +Vsimple +p1088 +tp1089 +a(g701 +V( +p1090 +tp1091 +a(g740 +Vfrom +p1092 +tp1093 +a(g822 +g978 +tp1094 +a(g431 +Vclient +p1095 +tp1096 +a(g701 +V. +p1097 +tp1098 +a(g431 +Vs +p1099 +tp1100 +a(g701 +V, +p1101 +tp1102 +a(g822 +g978 +tp1103 +a(g740 +Vto +p1104 +tp1105 +a(g822 +g978 +tp1106 +a(g431 +Vecho +p1107 +tp1108 +a(g701 +g1097 +tp1109 +a(g431 +g1099 +tp1110 +a(g701 +V) +p1111 +tp1112 +a(g701 +g993 +tp1113 +a(g822 +V\u000a +p1114 +tp1115 +a(g701 +g1008 +tp1116 +a(g822 +V\u000a\u000a +p1117 +tp1118 +a(g740 +Vconfiguration +p1119 +tp1120 +a(g822 +g978 +tp1121 +a(g701 +g983 +tp1122 +a(g822 +V\u000a +p1123 +tp1124 +a(g431 +Vecho +p1125 +tp1126 +a(g701 +g1097 +tp1127 +a(g789 +Vdma_pool +p1128 +tp1129 +a(g822 +g978 +tp1130 +a(g408 +V= +p1131 +tp1132 +a(g822 +g978 +tp1133 +a(g27 +V4096 +p1134 +tp1135 +a(g701 +g993 +tp1136 +a(g822 +V\u000a +p1137 +tp1138 +a(g701 +g1008 +tp1139 +a(g822 +V\u000a +p1140 +tp1141 +a(g701 +g1008 +tp1142 +a(g822 +V\u000a +p1143 +tp1144 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/simple.croc b/tests/examplefiles/output/simple.croc new file mode 100644 index 0000000..76f7da8 --- /dev/null +++ b/tests/examplefiles/output/simple.croc @@ -0,0 +1,17044 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVmodule +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g423 +Vsimple +p960 +tp961 +a(g693 +V; +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g745 +V// Importing stuff.\u000a +p968 +tp969 +a(g693 +V{ +p970 +tp971 +a(g826 +V\u000a +p972 +tp973 +a(g826 +V +p974 +tp975 +a(g7 +Vfunction +p976 +tp977 +a(g826 +g958 +tp978 +a(g423 +VloadMod +p979 +tp980 +a(g693 +V( +p981 +tp982 +a(g423 +Vname +p983 +tp984 +a(g693 +V, +p985 +tp986 +a(g826 +g958 +tp987 +a(g423 +Vns +p988 +tp989 +a(g693 +V) +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g826 +g974 +tp994 +a(g693 +g970 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g826 +V +p998 +tp999 +a(g7 +Vassert +p1000 +tp1001 +a(g693 +g981 +tp1002 +a(g423 +Vname +p1003 +tp1004 +a(g826 +g958 +tp1005 +a(g693 +V== +p1006 +tp1007 +a(g826 +g958 +tp1008 +a(g76 +V"mod" +p1009 +tp1010 +a(g693 +g990 +tp1011 +a(g693 +g962 +tp1012 +a(g826 +V\u000a +p1013 +tp1014 +a(g826 +V \u000a +p1015 +tp1016 +a(g423 +Vns +p1017 +tp1018 +a(g693 +V. +p1019 +tp1020 +a(g423 +Vx +p1021 +tp1022 +a(g826 +g958 +tp1023 +a(g693 +V= +p1024 +tp1025 +a(g826 +g958 +tp1026 +a(g76 +V"I'm x" +p1027 +tp1028 +a(g693 +g962 +tp1029 +a(g826 +V\u000a +p1030 +tp1031 +a(g826 +V \u000a +p1032 +tp1033 +a(g423 +Vns +p1034 +tp1035 +a(g693 +g1019 +tp1036 +a(g423 +Vfoo +p1037 +tp1038 +a(g826 +g958 +tp1039 +a(g693 +g1024 +tp1040 +a(g826 +g958 +tp1041 +a(g7 +Vfunction +p1042 +tp1043 +a(g826 +g958 +tp1044 +a(g423 +Vfoo +p1045 +tp1046 +a(g693 +g981 +tp1047 +a(g693 +g990 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g826 +V +p1051 +tp1052 +a(g693 +g970 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g826 +V +p1056 +tp1057 +a(g423 +Vwritefln +p1058 +tp1059 +a(g693 +g981 +tp1060 +a(g76 +V"foo" +p1061 +tp1062 +a(g693 +g990 +tp1063 +a(g693 +g962 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g826 +V +p1067 +tp1068 +a(g693 +V} +p1069 +tp1070 +a(g693 +g962 +tp1071 +a(g826 +V\u000a +p1072 +tp1073 +a(g826 +V \u000a +p1074 +tp1075 +a(g423 +Vns +p1076 +tp1077 +a(g693 +g1019 +tp1078 +a(g423 +Vbar +p1079 +tp1080 +a(g826 +g958 +tp1081 +a(g693 +g1024 +tp1082 +a(g826 +g958 +tp1083 +a(g7 +Vfunction +p1084 +tp1085 +a(g826 +g958 +tp1086 +a(g423 +Vbar +p1087 +tp1088 +a(g693 +g981 +tp1089 +a(g423 +g1021 +tp1090 +a(g693 +g990 +tp1091 +a(g826 +V\u000a +p1092 +tp1093 +a(g826 +V +p1094 +tp1095 +a(g693 +g970 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g826 +V +p1099 +tp1100 +a(g7 +Vreturn +p1101 +tp1102 +a(g826 +g958 +tp1103 +a(g423 +g1021 +tp1104 +a(g693 +V[ +p1105 +tp1106 +a(g22 +V0 +p1107 +tp1108 +a(g693 +V] +p1109 +tp1110 +a(g693 +g962 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g826 +V +p1114 +tp1115 +a(g693 +g1069 +tp1116 +a(g693 +g962 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g826 +V \u000a +p1120 +tp1121 +a(g423 +Vns +p1122 +tp1123 +a(g693 +g1019 +tp1124 +a(g423 +Vbaz +p1125 +tp1126 +a(g826 +g958 +tp1127 +a(g693 +g1024 +tp1128 +a(g826 +g958 +tp1129 +a(g7 +Vfunction +p1130 +tp1131 +a(g826 +g958 +tp1132 +a(g423 +Vbaz +p1133 +tp1134 +a(g693 +g981 +tp1135 +a(g693 +g990 +tp1136 +a(g826 +V\u000a +p1137 +tp1138 +a(g826 +V +p1139 +tp1140 +a(g693 +g970 +tp1141 +a(g826 +V\u000a +p1142 +tp1143 +a(g826 +V +p1144 +tp1145 +a(g423 +Vwritefln +p1146 +tp1147 +a(g693 +g981 +tp1148 +a(g423 +g1021 +tp1149 +a(g693 +g990 +tp1150 +a(g693 +g962 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g826 +V +p1154 +tp1155 +a(g693 +g1069 +tp1156 +a(g693 +g962 +tp1157 +a(g826 +V\u000a +p1158 +tp1159 +a(g826 +V \u000a +p1160 +tp1161 +a(g7 +Vforeach +p1162 +tp1163 +a(g693 +g981 +tp1164 +a(g423 +Vk +p1165 +tp1166 +a(g693 +g985 +tp1167 +a(g826 +g958 +tp1168 +a(g423 +Vv +p1169 +tp1170 +a(g693 +g962 +tp1171 +a(g826 +g958 +tp1172 +a(g423 +Vns +p1173 +tp1174 +a(g693 +g990 +tp1175 +a(g826 +V\u000a +p1176 +tp1177 +a(g826 +V +p1178 +tp1179 +a(g7 +Vif +p1180 +tp1181 +a(g693 +g981 +tp1182 +a(g423 +VisFunction +p1183 +tp1184 +a(g693 +g981 +tp1185 +a(g423 +g1169 +tp1186 +a(g693 +g990 +tp1187 +a(g693 +g990 +tp1188 +a(g826 +V\u000a +p1189 +tp1190 +a(g826 +V +p1191 +tp1192 +a(g423 +g1169 +tp1193 +a(g693 +g1019 +tp1194 +a(g423 +Venvironment +p1195 +tp1196 +a(g693 +g981 +tp1197 +a(g423 +Vns +p1198 +tp1199 +a(g693 +g990 +tp1200 +a(g693 +g962 +tp1201 +a(g826 +V\u000a +p1202 +tp1203 +a(g826 +g974 +tp1204 +a(g693 +g1069 +tp1205 +a(g826 +V\u000a +p1206 +tp1207 +a(g826 +V \u000a +p1208 +tp1209 +a(g423 +VsetModuleLoader +p1210 +tp1211 +a(g693 +g981 +tp1212 +a(g76 +V"mod" +p1213 +tp1214 +a(g693 +g985 +tp1215 +a(g826 +g958 +tp1216 +a(g423 +VloadMod +p1217 +tp1218 +a(g693 +g990 +tp1219 +a(g693 +g962 +tp1220 +a(g826 +V\u000a +p1221 +tp1222 +a(g826 +V \u000a +p1223 +tp1224 +a(g7 +Vimport +p1225 +tp1226 +a(g826 +g958 +tp1227 +a(g423 +Vmod +p1228 +tp1229 +a(g826 +g958 +tp1230 +a(g693 +V: +p1231 +tp1232 +a(g826 +g958 +tp1233 +a(g423 +Vfoo +p1234 +tp1235 +a(g693 +g985 +tp1236 +a(g826 +g958 +tp1237 +a(g423 +Vbar +p1238 +tp1239 +a(g693 +g962 +tp1240 +a(g826 +V\u000a +p1241 +tp1242 +a(g826 +g974 +tp1243 +a(g423 +Vfoo +p1244 +tp1245 +a(g693 +g981 +tp1246 +a(g693 +g990 +tp1247 +a(g693 +g962 +tp1248 +a(g826 +V\u000a +p1249 +tp1250 +a(g826 +g974 +tp1251 +a(g423 +Vwritefln +p1252 +tp1253 +a(g693 +g981 +tp1254 +a(g423 +Vbar +p1255 +tp1256 +a(g693 +g981 +tp1257 +a(g693 +g1105 +tp1258 +a(g22 +V5 +p1259 +tp1260 +a(g693 +g1109 +tp1261 +a(g693 +g990 +tp1262 +a(g693 +g990 +tp1263 +a(g693 +g962 +tp1264 +a(g826 +V\u000a +p1265 +tp1266 +a(g826 +g974 +tp1267 +a(g423 +Vmod +p1268 +tp1269 +a(g693 +g1019 +tp1270 +a(g423 +Vbaz +p1271 +tp1272 +a(g693 +g981 +tp1273 +a(g693 +g990 +tp1274 +a(g693 +g962 +tp1275 +a(g826 +V\u000a +p1276 +tp1277 +a(g826 +V\u000a +p1278 +tp1279 +a(g826 +g974 +tp1280 +a(g423 +Vwritefln +p1281 +tp1282 +a(g693 +g981 +tp1283 +a(g693 +g990 +tp1284 +a(g693 +g962 +tp1285 +a(g826 +V\u000a +p1286 +tp1287 +a(g693 +g1069 +tp1288 +a(g826 +V\u000a +p1289 +tp1290 +a(g826 +V\u000a +p1291 +tp1292 +a(g745 +V// Super calls.\u000a +p1293 +tp1294 +a(g693 +g970 +tp1295 +a(g826 +V\u000a +p1296 +tp1297 +a(g826 +g974 +tp1298 +a(g7 +Vclass +p1299 +tp1300 +a(g826 +g958 +tp1301 +a(g423 +VBase +p1302 +tp1303 +a(g826 +V\u000a +p1304 +tp1305 +a(g826 +g974 +tp1306 +a(g693 +g970 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g826 +V +p1310 +tp1311 +a(g7 +Vfunction +p1312 +tp1313 +a(g826 +g958 +tp1314 +a(g423 +Vfork +p1315 +tp1316 +a(g693 +g981 +tp1317 +a(g693 +g990 +tp1318 +a(g826 +V\u000a +p1319 +tp1320 +a(g826 +V +p1321 +tp1322 +a(g693 +g970 +tp1323 +a(g826 +V\u000a +p1324 +tp1325 +a(g826 +V +p1326 +tp1327 +a(g423 +Vwritefln +p1328 +tp1329 +a(g693 +g981 +tp1330 +a(g76 +V"Base fork." +p1331 +tp1332 +a(g693 +g990 +tp1333 +a(g693 +g962 +tp1334 +a(g826 +V\u000a +p1335 +tp1336 +a(g826 +V +p1337 +tp1338 +a(g693 +g1069 +tp1339 +a(g826 +V\u000a +p1340 +tp1341 +a(g826 +g974 +tp1342 +a(g693 +g1069 +tp1343 +a(g826 +V\u000a +p1344 +tp1345 +a(g826 +V\u000a +p1346 +tp1347 +a(g826 +g974 +tp1348 +a(g7 +Vclass +p1349 +tp1350 +a(g826 +g958 +tp1351 +a(g423 +VDerived +p1352 +tp1353 +a(g826 +g958 +tp1354 +a(g693 +g1231 +tp1355 +a(g826 +g958 +tp1356 +a(g423 +VBase +p1357 +tp1358 +a(g826 +V\u000a +p1359 +tp1360 +a(g826 +g974 +tp1361 +a(g693 +g970 +tp1362 +a(g826 +V\u000a +p1363 +tp1364 +a(g826 +V +p1365 +tp1366 +a(g7 +Vfunction +p1367 +tp1368 +a(g826 +g958 +tp1369 +a(g423 +Vfork +p1370 +tp1371 +a(g693 +g981 +tp1372 +a(g693 +g990 +tp1373 +a(g826 +V\u000a +p1374 +tp1375 +a(g826 +V +p1376 +tp1377 +a(g693 +g970 +tp1378 +a(g826 +V\u000a +p1379 +tp1380 +a(g826 +V +p1381 +tp1382 +a(g423 +Vwritefln +p1383 +tp1384 +a(g693 +g981 +tp1385 +a(g76 +V"Derived fork!" +p1386 +tp1387 +a(g693 +g990 +tp1388 +a(g693 +g962 +tp1389 +a(g826 +V\u000a +p1390 +tp1391 +a(g826 +V +p1392 +tp1393 +a(g7 +Vsuper +p1394 +tp1395 +a(g693 +g1019 +tp1396 +a(g423 +Vfork +p1397 +tp1398 +a(g693 +g981 +tp1399 +a(g693 +g990 +tp1400 +a(g693 +g962 +tp1401 +a(g826 +V\u000a +p1402 +tp1403 +a(g826 +V +p1404 +tp1405 +a(g693 +g1069 +tp1406 +a(g826 +V\u000a +p1407 +tp1408 +a(g826 +g974 +tp1409 +a(g693 +g1069 +tp1410 +a(g826 +V\u000a +p1411 +tp1412 +a(g826 +V \u000a +p1413 +tp1414 +a(g7 +Vlocal +p1415 +tp1416 +a(g826 +g958 +tp1417 +a(g423 +Vd +p1418 +tp1419 +a(g826 +g958 +tp1420 +a(g693 +g1024 +tp1421 +a(g826 +g958 +tp1422 +a(g423 +VDerived +p1423 +tp1424 +a(g693 +g981 +tp1425 +a(g693 +g990 +tp1426 +a(g693 +g962 +tp1427 +a(g826 +V\u000a +p1428 +tp1429 +a(g826 +g974 +tp1430 +a(g423 +g1418 +tp1431 +a(g693 +g1019 +tp1432 +a(g423 +Vfork +p1433 +tp1434 +a(g693 +g981 +tp1435 +a(g693 +g990 +tp1436 +a(g693 +g962 +tp1437 +a(g826 +V\u000a +p1438 +tp1439 +a(g826 +V \u000a +p1440 +tp1441 +a(g423 +Vwritefln +p1442 +tp1443 +a(g693 +g981 +tp1444 +a(g693 +g990 +tp1445 +a(g693 +g962 +tp1446 +a(g826 +V\u000a +p1447 +tp1448 +a(g693 +g1069 +tp1449 +a(g826 +V\u000a +p1450 +tp1451 +a(g826 +V\u000a +p1452 +tp1453 +a(g745 +V// Coroutines and coroutine iteration.\u000a +p1454 +tp1455 +a(g693 +g970 +tp1456 +a(g826 +V\u000a +p1457 +tp1458 +a(g826 +g974 +tp1459 +a(g7 +Vlocal +p1460 +tp1461 +a(g826 +g958 +tp1462 +a(g423 +VcountDown +p1463 +tp1464 +a(g826 +g958 +tp1465 +a(g693 +g1024 +tp1466 +a(g826 +g958 +tp1467 +a(g423 +Vcoroutine +p1468 +tp1469 +a(g826 +g958 +tp1470 +a(g7 +Vfunction +p1471 +tp1472 +a(g826 +g958 +tp1473 +a(g423 +VcountDown +p1474 +tp1475 +a(g693 +g981 +tp1476 +a(g423 +g1021 +tp1477 +a(g693 +g990 +tp1478 +a(g826 +V\u000a +p1479 +tp1480 +a(g826 +g974 +tp1481 +a(g693 +g970 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g826 +V +p1485 +tp1486 +a(g7 +Vyield +p1487 +tp1488 +a(g693 +g981 +tp1489 +a(g693 +g990 +tp1490 +a(g693 +g962 +tp1491 +a(g826 +V\u000a +p1492 +tp1493 +a(g826 +V \u000a +p1494 +tp1495 +a(g7 +Vwhile +p1496 +tp1497 +a(g693 +g981 +tp1498 +a(g423 +g1021 +tp1499 +a(g826 +g958 +tp1500 +a(g693 +V> +p1501 +tp1502 +a(g826 +g958 +tp1503 +a(g22 +g1107 +tp1504 +a(g693 +g990 +tp1505 +a(g826 +V\u000a +p1506 +tp1507 +a(g826 +V +p1508 +tp1509 +a(g693 +g970 +tp1510 +a(g826 +V\u000a +p1511 +tp1512 +a(g826 +V +p1513 +tp1514 +a(g7 +Vyield +p1515 +tp1516 +a(g693 +g981 +tp1517 +a(g423 +g1021 +tp1518 +a(g693 +g990 +tp1519 +a(g693 +g962 +tp1520 +a(g826 +V\u000a +p1521 +tp1522 +a(g826 +V +p1523 +tp1524 +a(g423 +g1021 +tp1525 +a(g693 +V-- +p1526 +tp1527 +a(g693 +g962 +tp1528 +a(g826 +V\u000a +p1529 +tp1530 +a(g826 +V +p1531 +tp1532 +a(g693 +g1069 +tp1533 +a(g826 +V\u000a +p1534 +tp1535 +a(g826 +g974 +tp1536 +a(g693 +g1069 +tp1537 +a(g693 +g962 +tp1538 +a(g826 +V\u000a +p1539 +tp1540 +a(g826 +V \u000a +p1541 +tp1542 +a(g7 +Vforeach +p1543 +tp1544 +a(g693 +g981 +tp1545 +a(g423 +g1169 +tp1546 +a(g693 +g962 +tp1547 +a(g826 +g958 +tp1548 +a(g423 +VcountDown +p1549 +tp1550 +a(g693 +g985 +tp1551 +a(g826 +g958 +tp1552 +a(g22 +g1259 +tp1553 +a(g693 +g990 +tp1554 +a(g826 +V\u000a +p1555 +tp1556 +a(g826 +V +p1557 +tp1558 +a(g423 +Vwritefln +p1559 +tp1560 +a(g693 +g981 +tp1561 +a(g423 +g1169 +tp1562 +a(g693 +g990 +tp1563 +a(g693 +g962 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +V \u000a +p1567 +tp1568 +a(g423 +Vwritefln +p1569 +tp1570 +a(g693 +g981 +tp1571 +a(g693 +g990 +tp1572 +a(g693 +g962 +tp1573 +a(g826 +V\u000a +p1574 +tp1575 +a(g826 +V \u000a +p1576 +tp1577 +a(g7 +Vlocal +p1578 +tp1579 +a(g826 +g958 +tp1580 +a(g423 +VforEach +p1581 +tp1582 +a(g826 +g958 +tp1583 +a(g693 +g1024 +tp1584 +a(g826 +g958 +tp1585 +a(g423 +Vcoroutine +p1586 +tp1587 +a(g826 +g958 +tp1588 +a(g7 +Vfunction +p1589 +tp1590 +a(g826 +g958 +tp1591 +a(g423 +VforEach +p1592 +tp1593 +a(g693 +g981 +tp1594 +a(g423 +Vt +p1595 +tp1596 +a(g693 +g990 +tp1597 +a(g826 +V\u000a +p1598 +tp1599 +a(g826 +g974 +tp1600 +a(g693 +g970 +tp1601 +a(g826 +V\u000a +p1602 +tp1603 +a(g826 +V +p1604 +tp1605 +a(g7 +Vyield +p1606 +tp1607 +a(g693 +g981 +tp1608 +a(g693 +g990 +tp1609 +a(g693 +g962 +tp1610 +a(g826 +V\u000a +p1611 +tp1612 +a(g826 +V \u000a +p1613 +tp1614 +a(g7 +Vforeach +p1615 +tp1616 +a(g693 +g981 +tp1617 +a(g423 +g1165 +tp1618 +a(g693 +g985 +tp1619 +a(g826 +g958 +tp1620 +a(g423 +g1169 +tp1621 +a(g693 +g962 +tp1622 +a(g826 +g958 +tp1623 +a(g423 +g1595 +tp1624 +a(g693 +g990 +tp1625 +a(g826 +V\u000a +p1626 +tp1627 +a(g826 +V +p1628 +tp1629 +a(g7 +Vyield +p1630 +tp1631 +a(g693 +g981 +tp1632 +a(g423 +g1165 +tp1633 +a(g693 +g985 +tp1634 +a(g826 +g958 +tp1635 +a(g423 +g1169 +tp1636 +a(g693 +g990 +tp1637 +a(g693 +g962 +tp1638 +a(g826 +V\u000a +p1639 +tp1640 +a(g826 +g974 +tp1641 +a(g693 +g1069 +tp1642 +a(g693 +g962 +tp1643 +a(g826 +V\u000a +p1644 +tp1645 +a(g826 +V \u000a +p1646 +tp1647 +a(g7 +Vforeach +p1648 +tp1649 +a(g693 +g981 +tp1650 +a(g423 +V_ +p1651 +tp1652 +a(g693 +g985 +tp1653 +a(g826 +g958 +tp1654 +a(g423 +g1165 +tp1655 +a(g693 +g985 +tp1656 +a(g826 +g958 +tp1657 +a(g423 +g1169 +tp1658 +a(g693 +g962 +tp1659 +a(g826 +g958 +tp1660 +a(g423 +VforEach +p1661 +tp1662 +a(g693 +g985 +tp1663 +a(g826 +g958 +tp1664 +a(g693 +g970 +tp1665 +a(g423 +Vhi +p1666 +tp1667 +a(g826 +g958 +tp1668 +a(g693 +g1024 +tp1669 +a(g826 +g958 +tp1670 +a(g22 +V1 +p1671 +tp1672 +a(g693 +g985 +tp1673 +a(g826 +g958 +tp1674 +a(g423 +Vbye +p1675 +tp1676 +a(g826 +g958 +tp1677 +a(g693 +g1024 +tp1678 +a(g826 +g958 +tp1679 +a(g22 +V2 +p1680 +tp1681 +a(g693 +g1069 +tp1682 +a(g693 +g990 +tp1683 +a(g826 +V\u000a +p1684 +tp1685 +a(g826 +V +p1686 +tp1687 +a(g423 +Vwritefln +p1688 +tp1689 +a(g693 +g981 +tp1690 +a(g76 +V"key: " +p1691 +tp1692 +a(g693 +g985 +tp1693 +a(g826 +g958 +tp1694 +a(g423 +g1165 +tp1695 +a(g693 +g985 +tp1696 +a(g826 +g958 +tp1697 +a(g76 +V", value: " +p1698 +tp1699 +a(g693 +g985 +tp1700 +a(g826 +g958 +tp1701 +a(g423 +g1169 +tp1702 +a(g693 +g990 +tp1703 +a(g693 +g962 +tp1704 +a(g826 +V\u000a +p1705 +tp1706 +a(g826 +V \u000a +p1707 +tp1708 +a(g423 +Vwritefln +p1709 +tp1710 +a(g693 +g981 +tp1711 +a(g693 +g990 +tp1712 +a(g693 +g962 +tp1713 +a(g826 +V\u000a +p1714 +tp1715 +a(g693 +g1069 +tp1716 +a(g826 +V\u000a +p1717 +tp1718 +a(g826 +V\u000a +p1719 +tp1720 +a(g745 +V// Testing tailcalls.\u000a +p1721 +tp1722 +a(g693 +g970 +tp1723 +a(g826 +V\u000a +p1724 +tp1725 +a(g826 +g974 +tp1726 +a(g7 +Vfunction +p1727 +tp1728 +a(g826 +g958 +tp1729 +a(g423 +Vrecurse +p1730 +tp1731 +a(g693 +g981 +tp1732 +a(g423 +g1021 +tp1733 +a(g693 +g990 +tp1734 +a(g826 +V\u000a +p1735 +tp1736 +a(g826 +g974 +tp1737 +a(g693 +g970 +tp1738 +a(g826 +V\u000a +p1739 +tp1740 +a(g826 +V +p1741 +tp1742 +a(g423 +Vwritefln +p1743 +tp1744 +a(g693 +g981 +tp1745 +a(g76 +V"recurse: " +p1746 +tp1747 +a(g693 +g985 +tp1748 +a(g826 +g958 +tp1749 +a(g423 +g1021 +tp1750 +a(g693 +g990 +tp1751 +a(g693 +g962 +tp1752 +a(g826 +V\u000a +p1753 +tp1754 +a(g826 +V \u000a +p1755 +tp1756 +a(g7 +Vif +p1757 +tp1758 +a(g693 +g981 +tp1759 +a(g423 +g1021 +tp1760 +a(g826 +g958 +tp1761 +a(g693 +V== +p1762 +tp1763 +a(g826 +g958 +tp1764 +a(g22 +g1107 +tp1765 +a(g693 +g990 +tp1766 +a(g826 +V\u000a +p1767 +tp1768 +a(g826 +V +p1769 +tp1770 +a(g7 +Vreturn +p1771 +tp1772 +a(g826 +g958 +tp1773 +a(g423 +VtoString +p1774 +tp1775 +a(g693 +g981 +tp1776 +a(g423 +g1021 +tp1777 +a(g693 +g990 +tp1778 +a(g693 +g962 +tp1779 +a(g826 +V\u000a +p1780 +tp1781 +a(g826 +V +p1782 +tp1783 +a(g7 +Velse +p1784 +tp1785 +a(g826 +V\u000a +p1786 +tp1787 +a(g826 +V +p1788 +tp1789 +a(g7 +Vreturn +p1790 +tp1791 +a(g826 +g958 +tp1792 +a(g423 +Vrecurse +p1793 +tp1794 +a(g693 +g981 +tp1795 +a(g423 +g1021 +tp1796 +a(g826 +g958 +tp1797 +a(g693 +V- +p1798 +tp1799 +a(g826 +g958 +tp1800 +a(g22 +g1671 +tp1801 +a(g693 +g990 +tp1802 +a(g693 +g962 +tp1803 +a(g826 +V\u000a +p1804 +tp1805 +a(g826 +g974 +tp1806 +a(g693 +g1069 +tp1807 +a(g826 +V\u000a +p1808 +tp1809 +a(g826 +V \u000a +p1810 +tp1811 +a(g423 +Vwritefln +p1812 +tp1813 +a(g693 +g981 +tp1814 +a(g423 +Vrecurse +p1815 +tp1816 +a(g693 +g981 +tp1817 +a(g22 +g1259 +tp1818 +a(g693 +g990 +tp1819 +a(g693 +g990 +tp1820 +a(g693 +g962 +tp1821 +a(g826 +V\u000a +p1822 +tp1823 +a(g826 +g974 +tp1824 +a(g423 +Vwritefln +p1825 +tp1826 +a(g693 +g981 +tp1827 +a(g693 +g990 +tp1828 +a(g693 +g962 +tp1829 +a(g826 +V\u000a +p1830 +tp1831 +a(g826 +V \u000a +p1832 +tp1833 +a(g7 +Vclass +p1834 +tp1835 +a(g826 +g958 +tp1836 +a(g423 +VA +p1837 +tp1838 +a(g826 +V\u000a +p1839 +tp1840 +a(g826 +g974 +tp1841 +a(g693 +g970 +tp1842 +a(g826 +V\u000a +p1843 +tp1844 +a(g826 +V +p1845 +tp1846 +a(g7 +Vfunction +p1847 +tp1848 +a(g826 +g958 +tp1849 +a(g423 +Vf +p1850 +tp1851 +a(g693 +g981 +tp1852 +a(g423 +g1021 +tp1853 +a(g693 +g990 +tp1854 +a(g826 +V\u000a +p1855 +tp1856 +a(g826 +V +p1857 +tp1858 +a(g693 +g970 +tp1859 +a(g826 +V\u000a +p1860 +tp1861 +a(g826 +V +p1862 +tp1863 +a(g423 +Vwritefln +p1864 +tp1865 +a(g693 +g981 +tp1866 +a(g76 +V"A.f: " +p1867 +tp1868 +a(g693 +g985 +tp1869 +a(g826 +g958 +tp1870 +a(g423 +g1021 +tp1871 +a(g693 +g990 +tp1872 +a(g693 +g962 +tp1873 +a(g826 +V\u000a +p1874 +tp1875 +a(g826 +V\u000a +p1876 +tp1877 +a(g826 +V +p1878 +tp1879 +a(g7 +Vif +p1880 +tp1881 +a(g693 +g981 +tp1882 +a(g423 +g1021 +tp1883 +a(g826 +g958 +tp1884 +a(g693 +V== +p1885 +tp1886 +a(g826 +g958 +tp1887 +a(g22 +g1107 +tp1888 +a(g693 +g990 +tp1889 +a(g826 +V\u000a +p1890 +tp1891 +a(g826 +V +p1892 +tp1893 +a(g7 +Vreturn +p1894 +tp1895 +a(g826 +g958 +tp1896 +a(g423 +VtoString +p1897 +tp1898 +a(g693 +g981 +tp1899 +a(g423 +g1021 +tp1900 +a(g693 +g990 +tp1901 +a(g693 +g962 +tp1902 +a(g826 +V\u000a +p1903 +tp1904 +a(g826 +V +p1905 +tp1906 +a(g7 +Velse +p1907 +tp1908 +a(g826 +V\u000a +p1909 +tp1910 +a(g826 +V +p1911 +tp1912 +a(g7 +Vreturn +p1913 +tp1914 +a(g826 +g958 +tp1915 +a(g7 +Vthis +p1916 +tp1917 +a(g693 +g1019 +tp1918 +a(g423 +g1850 +tp1919 +a(g693 +g981 +tp1920 +a(g423 +g1021 +tp1921 +a(g826 +g958 +tp1922 +a(g693 +g1798 +tp1923 +a(g826 +g958 +tp1924 +a(g22 +g1671 +tp1925 +a(g693 +g990 +tp1926 +a(g693 +g962 +tp1927 +a(g826 +g958 +tp1928 +a(g745 +V// call it as this.f to force a 'method' instruction to be generated\u000a +p1929 +tp1930 +a(g826 +V +p1931 +tp1932 +a(g693 +g1069 +tp1933 +a(g826 +V\u000a +p1934 +tp1935 +a(g826 +g974 +tp1936 +a(g693 +g1069 +tp1937 +a(g826 +V\u000a +p1938 +tp1939 +a(g826 +V \u000a +p1940 +tp1941 +a(g7 +Vlocal +p1942 +tp1943 +a(g826 +g958 +tp1944 +a(g423 +Va +p1945 +tp1946 +a(g826 +g958 +tp1947 +a(g693 +g1024 +tp1948 +a(g826 +g958 +tp1949 +a(g423 +g1837 +tp1950 +a(g693 +g981 +tp1951 +a(g693 +g990 +tp1952 +a(g693 +g962 +tp1953 +a(g826 +V\u000a +p1954 +tp1955 +a(g826 +g974 +tp1956 +a(g423 +Vwritefln +p1957 +tp1958 +a(g693 +g981 +tp1959 +a(g423 +g1945 +tp1960 +a(g693 +g1019 +tp1961 +a(g423 +g1850 +tp1962 +a(g693 +g981 +tp1963 +a(g22 +g1259 +tp1964 +a(g693 +g990 +tp1965 +a(g693 +g990 +tp1966 +a(g693 +g962 +tp1967 +a(g826 +V\u000a +p1968 +tp1969 +a(g826 +g974 +tp1970 +a(g423 +Vwritefln +p1971 +tp1972 +a(g693 +g981 +tp1973 +a(g693 +g990 +tp1974 +a(g693 +g962 +tp1975 +a(g826 +V\u000a +p1976 +tp1977 +a(g693 +g1069 +tp1978 +a(g826 +V\u000a +p1979 +tp1980 +a(g826 +V\u000a +p1981 +tp1982 +a(g693 +g970 +tp1983 +a(g826 +V\u000a +p1984 +tp1985 +a(g826 +g974 +tp1986 +a(g745 +V// A function which lets us define properties for a class.\u000a +p1987 +tp1988 +a(g826 +g974 +tp1989 +a(g745 +V// The varargs should be a bunch of tables, each with a 'name' field, and 'getter' and/or 'setter' fields.\u000a +p1990 +tp1991 +a(g826 +g974 +tp1992 +a(g7 +Vfunction +p1993 +tp1994 +a(g826 +g958 +tp1995 +a(g423 +VmixinProperties +p1996 +tp1997 +a(g693 +g981 +tp1998 +a(g423 +VclassType +p1999 +tp2000 +a(g693 +g985 +tp2001 +a(g826 +g958 +tp2002 +a(g7 +Vvararg +p2003 +tp2004 +a(g693 +g990 +tp2005 +a(g826 +V\u000a +p2006 +tp2007 +a(g826 +g974 +tp2008 +a(g693 +g970 +tp2009 +a(g826 +V\u000a +p2010 +tp2011 +a(g826 +V +p2012 +tp2013 +a(g423 +VclassType +p2014 +tp2015 +a(g693 +g1019 +tp2016 +a(g423 +VmProps +p2017 +tp2018 +a(g826 +g958 +tp2019 +a(g693 +g1024 +tp2020 +a(g826 +g958 +tp2021 +a(g693 +g970 +tp2022 +a(g826 +g958 +tp2023 +a(g693 +g1069 +tp2024 +a(g693 +g962 +tp2025 +a(g826 +V\u000a +p2026 +tp2027 +a(g826 +V \u000a +p2028 +tp2029 +a(g423 +VclassType +p2030 +tp2031 +a(g693 +g1019 +tp2032 +a(g423 +VopIndex +p2033 +tp2034 +a(g826 +g958 +tp2035 +a(g693 +g1024 +tp2036 +a(g826 +g958 +tp2037 +a(g7 +Vfunction +p2038 +tp2039 +a(g826 +g958 +tp2040 +a(g423 +VopIndex +p2041 +tp2042 +a(g693 +g981 +tp2043 +a(g423 +Vkey +p2044 +tp2045 +a(g693 +g990 +tp2046 +a(g826 +V\u000a +p2047 +tp2048 +a(g826 +V +p2049 +tp2050 +a(g693 +g970 +tp2051 +a(g826 +V\u000a +p2052 +tp2053 +a(g826 +V +p2054 +tp2055 +a(g7 +Vlocal +p2056 +tp2057 +a(g826 +g958 +tp2058 +a(g423 +Vprop +p2059 +tp2060 +a(g826 +g958 +tp2061 +a(g693 +g1024 +tp2062 +a(g826 +g958 +tp2063 +a(g423 +VmProps +p2064 +tp2065 +a(g693 +g1105 +tp2066 +a(g423 +Vkey +p2067 +tp2068 +a(g693 +g1109 +tp2069 +a(g693 +g962 +tp2070 +a(g826 +V\u000a +p2071 +tp2072 +a(g826 +V \u000a +p2073 +tp2074 +a(g7 +Vif +p2075 +tp2076 +a(g693 +g981 +tp2077 +a(g423 +Vprop +p2078 +tp2079 +a(g826 +g958 +tp2080 +a(g7 +Vis +p2081 +tp2082 +a(g826 +g958 +tp2083 +a(g896 +Vnull +p2084 +tp2085 +a(g693 +g990 +tp2086 +a(g826 +V\u000a +p2087 +tp2088 +a(g826 +V +p2089 +tp2090 +a(g7 +Vthrow +p2091 +tp2092 +a(g826 +g958 +tp2093 +a(g423 +Vformat +p2094 +tp2095 +a(g693 +g981 +tp2096 +a(g423 +VclassType +p2097 +tp2098 +a(g693 +g985 +tp2099 +a(g826 +g958 +tp2100 +a(g76 +V".opIndex() - Property '%s' does not exist" +p2101 +tp2102 +a(g693 +g985 +tp2103 +a(g826 +g958 +tp2104 +a(g423 +Vkey +p2105 +tp2106 +a(g693 +g990 +tp2107 +a(g693 +g962 +tp2108 +a(g826 +V\u000a +p2109 +tp2110 +a(g826 +V \u000a +p2111 +tp2112 +a(g7 +Vlocal +p2113 +tp2114 +a(g826 +g958 +tp2115 +a(g423 +Vgetter +p2116 +tp2117 +a(g826 +g958 +tp2118 +a(g693 +g1024 +tp2119 +a(g826 +g958 +tp2120 +a(g423 +Vprop +p2121 +tp2122 +a(g693 +g1019 +tp2123 +a(g423 +Vgetter +p2124 +tp2125 +a(g693 +g962 +tp2126 +a(g826 +V\u000a +p2127 +tp2128 +a(g826 +V \u000a +p2129 +tp2130 +a(g7 +Vif +p2131 +tp2132 +a(g693 +g981 +tp2133 +a(g423 +Vgetter +p2134 +tp2135 +a(g826 +g958 +tp2136 +a(g7 +Vis +p2137 +tp2138 +a(g826 +g958 +tp2139 +a(g896 +Vnull +p2140 +tp2141 +a(g693 +g990 +tp2142 +a(g826 +V\u000a +p2143 +tp2144 +a(g826 +V +p2145 +tp2146 +a(g7 +Vthrow +p2147 +tp2148 +a(g826 +g958 +tp2149 +a(g423 +Vformat +p2150 +tp2151 +a(g693 +g981 +tp2152 +a(g423 +VclassType +p2153 +tp2154 +a(g693 +g985 +tp2155 +a(g826 +g958 +tp2156 +a(g76 +V".opIndex() - Property '%s' has no getter" +p2157 +tp2158 +a(g693 +g985 +tp2159 +a(g826 +g958 +tp2160 +a(g423 +Vkey +p2161 +tp2162 +a(g693 +g990 +tp2163 +a(g693 +g962 +tp2164 +a(g826 +V\u000a +p2165 +tp2166 +a(g826 +V \u000a +p2167 +tp2168 +a(g7 +Vreturn +p2169 +tp2170 +a(g826 +g958 +tp2171 +a(g423 +Vgetter +p2172 +tp2173 +a(g693 +g981 +tp2174 +a(g7 +Vwith +p2175 +tp2176 +a(g826 +g958 +tp2177 +a(g7 +Vthis +p2178 +tp2179 +a(g693 +g990 +tp2180 +a(g693 +g962 +tp2181 +a(g826 +V\u000a +p2182 +tp2183 +a(g826 +V +p2184 +tp2185 +a(g693 +g1069 +tp2186 +a(g693 +g962 +tp2187 +a(g826 +V\u000a +p2188 +tp2189 +a(g826 +V \u000a +p2190 +tp2191 +a(g423 +VclassType +p2192 +tp2193 +a(g693 +g1019 +tp2194 +a(g423 +VopIndexAssign +p2195 +tp2196 +a(g826 +g958 +tp2197 +a(g693 +g1024 +tp2198 +a(g826 +g958 +tp2199 +a(g7 +Vfunction +p2200 +tp2201 +a(g826 +g958 +tp2202 +a(g423 +VopIndexAssign +p2203 +tp2204 +a(g693 +g981 +tp2205 +a(g423 +Vkey +p2206 +tp2207 +a(g693 +g985 +tp2208 +a(g826 +g958 +tp2209 +a(g423 +Vvalue +p2210 +tp2211 +a(g693 +g990 +tp2212 +a(g826 +V\u000a +p2213 +tp2214 +a(g826 +V +p2215 +tp2216 +a(g693 +g970 +tp2217 +a(g826 +V\u000a +p2218 +tp2219 +a(g826 +V +p2220 +tp2221 +a(g7 +Vlocal +p2222 +tp2223 +a(g826 +g958 +tp2224 +a(g423 +Vprop +p2225 +tp2226 +a(g826 +g958 +tp2227 +a(g693 +g1024 +tp2228 +a(g826 +g958 +tp2229 +a(g423 +VmProps +p2230 +tp2231 +a(g693 +g1105 +tp2232 +a(g423 +Vkey +p2233 +tp2234 +a(g693 +g1109 +tp2235 +a(g693 +g962 +tp2236 +a(g826 +V\u000a +p2237 +tp2238 +a(g826 +V \u000a +p2239 +tp2240 +a(g7 +Vif +p2241 +tp2242 +a(g693 +g981 +tp2243 +a(g423 +Vprop +p2244 +tp2245 +a(g826 +g958 +tp2246 +a(g7 +Vis +p2247 +tp2248 +a(g826 +g958 +tp2249 +a(g896 +Vnull +p2250 +tp2251 +a(g693 +g990 +tp2252 +a(g826 +V\u000a +p2253 +tp2254 +a(g826 +V +p2255 +tp2256 +a(g7 +Vthrow +p2257 +tp2258 +a(g826 +g958 +tp2259 +a(g423 +Vformat +p2260 +tp2261 +a(g693 +g981 +tp2262 +a(g423 +VclassType +p2263 +tp2264 +a(g693 +g985 +tp2265 +a(g826 +g958 +tp2266 +a(g76 +V".opIndexAssign() - Property '%s' does not exist" +p2267 +tp2268 +a(g693 +g985 +tp2269 +a(g826 +g958 +tp2270 +a(g423 +Vkey +p2271 +tp2272 +a(g693 +g990 +tp2273 +a(g693 +g962 +tp2274 +a(g826 +V\u000a +p2275 +tp2276 +a(g826 +V \u000a +p2277 +tp2278 +a(g7 +Vlocal +p2279 +tp2280 +a(g826 +g958 +tp2281 +a(g423 +Vsetter +p2282 +tp2283 +a(g826 +g958 +tp2284 +a(g693 +g1024 +tp2285 +a(g826 +g958 +tp2286 +a(g423 +Vprop +p2287 +tp2288 +a(g693 +g1019 +tp2289 +a(g423 +Vsetter +p2290 +tp2291 +a(g693 +g962 +tp2292 +a(g826 +V\u000a +p2293 +tp2294 +a(g826 +V \u000a +p2295 +tp2296 +a(g7 +Vif +p2297 +tp2298 +a(g693 +g981 +tp2299 +a(g423 +Vsetter +p2300 +tp2301 +a(g826 +g958 +tp2302 +a(g7 +Vis +p2303 +tp2304 +a(g826 +g958 +tp2305 +a(g896 +Vnull +p2306 +tp2307 +a(g693 +g990 +tp2308 +a(g826 +V\u000a +p2309 +tp2310 +a(g826 +V +p2311 +tp2312 +a(g7 +Vthrow +p2313 +tp2314 +a(g826 +g958 +tp2315 +a(g423 +Vformat +p2316 +tp2317 +a(g693 +g981 +tp2318 +a(g423 +VclassType +p2319 +tp2320 +a(g693 +g985 +tp2321 +a(g826 +g958 +tp2322 +a(g76 +V".opIndexAssign() - Property '%s' has no setter" +p2323 +tp2324 +a(g693 +g985 +tp2325 +a(g826 +g958 +tp2326 +a(g423 +Vkey +p2327 +tp2328 +a(g693 +g990 +tp2329 +a(g693 +g962 +tp2330 +a(g826 +V\u000a +p2331 +tp2332 +a(g826 +V \u000a +p2333 +tp2334 +a(g423 +Vsetter +p2335 +tp2336 +a(g693 +g981 +tp2337 +a(g7 +Vwith +p2338 +tp2339 +a(g826 +g958 +tp2340 +a(g7 +Vthis +p2341 +tp2342 +a(g693 +g985 +tp2343 +a(g826 +g958 +tp2344 +a(g423 +Vvalue +p2345 +tp2346 +a(g693 +g990 +tp2347 +a(g693 +g962 +tp2348 +a(g826 +V\u000a +p2349 +tp2350 +a(g826 +V +p2351 +tp2352 +a(g693 +g1069 +tp2353 +a(g693 +g962 +tp2354 +a(g826 +V\u000a +p2355 +tp2356 +a(g826 +V \u000a +p2357 +tp2358 +a(g7 +Vforeach +p2359 +tp2360 +a(g693 +g981 +tp2361 +a(g423 +Vi +p2362 +tp2363 +a(g693 +g985 +tp2364 +a(g826 +g958 +tp2365 +a(g423 +Vprop +p2366 +tp2367 +a(g693 +g962 +tp2368 +a(g826 +g958 +tp2369 +a(g693 +g1105 +tp2370 +a(g7 +Vvararg +p2371 +tp2372 +a(g693 +g1109 +tp2373 +a(g693 +g990 +tp2374 +a(g826 +V\u000a +p2375 +tp2376 +a(g826 +V +p2377 +tp2378 +a(g693 +g970 +tp2379 +a(g826 +V\u000a +p2380 +tp2381 +a(g826 +V +p2382 +tp2383 +a(g7 +Vif +p2384 +tp2385 +a(g693 +g981 +tp2386 +a(g693 +V! +p2387 +tp2388 +a(g423 +VisTable +p2389 +tp2390 +a(g693 +g981 +tp2391 +a(g423 +Vprop +p2392 +tp2393 +a(g693 +g990 +tp2394 +a(g693 +g990 +tp2395 +a(g826 +V\u000a +p2396 +tp2397 +a(g826 +V +p2398 +tp2399 +a(g7 +Vthrow +p2400 +tp2401 +a(g826 +g958 +tp2402 +a(g423 +Vformat +p2403 +tp2404 +a(g693 +g981 +tp2405 +a(g76 +V"mixinProperties() - property " +p2406 +tp2407 +a(g693 +g985 +tp2408 +a(g826 +g958 +tp2409 +a(g423 +g2362 +tp2410 +a(g693 +g985 +tp2411 +a(g826 +g958 +tp2412 +a(g76 +V" is not a table" +p2413 +tp2414 +a(g693 +g990 +tp2415 +a(g693 +g962 +tp2416 +a(g826 +V\u000a +p2417 +tp2418 +a(g826 +V \u000a +p2419 +tp2420 +a(g7 +Vif +p2421 +tp2422 +a(g693 +g981 +tp2423 +a(g423 +Vprop +p2424 +tp2425 +a(g693 +g1019 +tp2426 +a(g423 +Vname +p2427 +tp2428 +a(g826 +g958 +tp2429 +a(g7 +Vis +p2430 +tp2431 +a(g826 +g958 +tp2432 +a(g896 +Vnull +p2433 +tp2434 +a(g693 +g990 +tp2435 +a(g826 +V\u000a +p2436 +tp2437 +a(g826 +V +p2438 +tp2439 +a(g7 +Vthrow +p2440 +tp2441 +a(g826 +g958 +tp2442 +a(g423 +Vformat +p2443 +tp2444 +a(g693 +g981 +tp2445 +a(g76 +V"mixinProperties() - property " +p2446 +tp2447 +a(g693 +g985 +tp2448 +a(g826 +g958 +tp2449 +a(g423 +g2362 +tp2450 +a(g693 +g985 +tp2451 +a(g826 +g958 +tp2452 +a(g76 +V" has no name" +p2453 +tp2454 +a(g693 +g990 +tp2455 +a(g693 +g962 +tp2456 +a(g826 +V\u000a +p2457 +tp2458 +a(g826 +V \u000a +p2459 +tp2460 +a(g7 +Vif +p2461 +tp2462 +a(g693 +g981 +tp2463 +a(g423 +Vprop +p2464 +tp2465 +a(g693 +g1019 +tp2466 +a(g423 +Vsetter +p2467 +tp2468 +a(g826 +g958 +tp2469 +a(g7 +Vis +p2470 +tp2471 +a(g826 +g958 +tp2472 +a(g896 +Vnull +p2473 +tp2474 +a(g826 +g958 +tp2475 +a(g693 +V&& +p2476 +tp2477 +a(g826 +g958 +tp2478 +a(g423 +Vprop +p2479 +tp2480 +a(g693 +g1019 +tp2481 +a(g423 +Vgetter +p2482 +tp2483 +a(g826 +g958 +tp2484 +a(g7 +Vis +p2485 +tp2486 +a(g826 +g958 +tp2487 +a(g896 +Vnull +p2488 +tp2489 +a(g693 +g990 +tp2490 +a(g826 +V\u000a +p2491 +tp2492 +a(g826 +V +p2493 +tp2494 +a(g7 +Vthrow +p2495 +tp2496 +a(g826 +g958 +tp2497 +a(g423 +Vformat +p2498 +tp2499 +a(g693 +g981 +tp2500 +a(g76 +V"mixinProperties() - property '%s' has no getter or setter" +p2501 +tp2502 +a(g693 +g985 +tp2503 +a(g826 +g958 +tp2504 +a(g423 +Vprop +p2505 +tp2506 +a(g693 +g1019 +tp2507 +a(g423 +Vname +p2508 +tp2509 +a(g693 +g990 +tp2510 +a(g693 +g962 +tp2511 +a(g826 +V\u000a +p2512 +tp2513 +a(g826 +V \u000a +p2514 +tp2515 +a(g423 +VclassType +p2516 +tp2517 +a(g693 +g1019 +tp2518 +a(g423 +VmProps +p2519 +tp2520 +a(g693 +g1105 +tp2521 +a(g423 +Vprop +p2522 +tp2523 +a(g693 +g1019 +tp2524 +a(g423 +Vname +p2525 +tp2526 +a(g693 +g1109 +tp2527 +a(g826 +g958 +tp2528 +a(g693 +g1024 +tp2529 +a(g826 +g958 +tp2530 +a(g423 +Vprop +p2531 +tp2532 +a(g693 +g962 +tp2533 +a(g826 +V\u000a +p2534 +tp2535 +a(g826 +V +p2536 +tp2537 +a(g693 +g1069 +tp2538 +a(g826 +V\u000a +p2539 +tp2540 +a(g826 +g974 +tp2541 +a(g693 +g1069 +tp2542 +a(g826 +V\u000a +p2543 +tp2544 +a(g826 +V \u000a +p2545 +tp2546 +a(g745 +V// Create a class to test out.\u000a +p2547 +tp2548 +a(g826 +g974 +tp2549 +a(g7 +Vclass +p2550 +tp2551 +a(g826 +g958 +tp2552 +a(g423 +VPropTest +p2553 +tp2554 +a(g826 +V\u000a +p2555 +tp2556 +a(g826 +g974 +tp2557 +a(g693 +g970 +tp2558 +a(g826 +V\u000a +p2559 +tp2560 +a(g826 +V +p2561 +tp2562 +a(g423 +VmX +p2563 +tp2564 +a(g826 +g958 +tp2565 +a(g693 +g1024 +tp2566 +a(g826 +g958 +tp2567 +a(g22 +g1107 +tp2568 +a(g693 +g962 +tp2569 +a(g826 +V\u000a +p2570 +tp2571 +a(g826 +V +p2572 +tp2573 +a(g423 +VmY +p2574 +tp2575 +a(g826 +g958 +tp2576 +a(g693 +g1024 +tp2577 +a(g826 +g958 +tp2578 +a(g22 +g1107 +tp2579 +a(g693 +g962 +tp2580 +a(g826 +V\u000a +p2581 +tp2582 +a(g826 +V +p2583 +tp2584 +a(g423 +VmName +p2585 +tp2586 +a(g826 +g958 +tp2587 +a(g693 +g1024 +tp2588 +a(g826 +g958 +tp2589 +a(g76 +V"" +p2590 +tp2591 +a(g693 +g962 +tp2592 +a(g826 +V\u000a +p2593 +tp2594 +a(g826 +V \u000a +p2595 +tp2596 +a(g7 +Vfunction +p2597 +tp2598 +a(g826 +g958 +tp2599 +a(g423 +Vconstructor +p2600 +tp2601 +a(g693 +g981 +tp2602 +a(g423 +Vname +p2603 +tp2604 +a(g693 +g990 +tp2605 +a(g826 +V\u000a +p2606 +tp2607 +a(g826 +V +p2608 +tp2609 +a(g693 +g970 +tp2610 +a(g826 +V\u000a +p2611 +tp2612 +a(g826 +V +p2613 +tp2614 +a(g423 +VmName +p2615 +tp2616 +a(g826 +g958 +tp2617 +a(g693 +g1024 +tp2618 +a(g826 +g958 +tp2619 +a(g423 +Vname +p2620 +tp2621 +a(g693 +g962 +tp2622 +a(g826 +V\u000a +p2623 +tp2624 +a(g826 +V +p2625 +tp2626 +a(g693 +g1069 +tp2627 +a(g826 +V\u000a +p2628 +tp2629 +a(g826 +V \u000a +p2630 +tp2631 +a(g7 +Vfunction +p2632 +tp2633 +a(g826 +g958 +tp2634 +a(g423 +VtoString +p2635 +tp2636 +a(g693 +g981 +tp2637 +a(g693 +g990 +tp2638 +a(g826 +V\u000a +p2639 +tp2640 +a(g826 +V +p2641 +tp2642 +a(g693 +g970 +tp2643 +a(g826 +V\u000a +p2644 +tp2645 +a(g826 +V +p2646 +tp2647 +a(g7 +Vreturn +p2648 +tp2649 +a(g826 +g958 +tp2650 +a(g423 +Vformat +p2651 +tp2652 +a(g693 +g981 +tp2653 +a(g76 +V"name = '" +p2654 +tp2655 +a(g693 +g985 +tp2656 +a(g826 +g958 +tp2657 +a(g423 +VmName +p2658 +tp2659 +a(g693 +g985 +tp2660 +a(g826 +g958 +tp2661 +a(g76 +V"' x = " +p2662 +tp2663 +a(g693 +g985 +tp2664 +a(g826 +g958 +tp2665 +a(g423 +VmX +p2666 +tp2667 +a(g693 +g985 +tp2668 +a(g826 +g958 +tp2669 +a(g76 +V" y = " +p2670 +tp2671 +a(g693 +g985 +tp2672 +a(g826 +g958 +tp2673 +a(g423 +VmY +p2674 +tp2675 +a(g693 +g990 +tp2676 +a(g693 +g962 +tp2677 +a(g826 +V\u000a +p2678 +tp2679 +a(g826 +V +p2680 +tp2681 +a(g693 +g1069 +tp2682 +a(g826 +V\u000a +p2683 +tp2684 +a(g826 +g974 +tp2685 +a(g693 +g1069 +tp2686 +a(g826 +V\u000a +p2687 +tp2688 +a(g826 +V \u000a +p2689 +tp2690 +a(g745 +V// Mix in the properties.\u000a +p2691 +tp2692 +a(g826 +g974 +tp2693 +a(g423 +VmixinProperties +p2694 +tp2695 +a(g826 +V\u000a +p2696 +tp2697 +a(g826 +g974 +tp2698 +a(g693 +g981 +tp2699 +a(g826 +V\u000a +p2700 +tp2701 +a(g826 +V +p2702 +tp2703 +a(g423 +VPropTest +p2704 +tp2705 +a(g693 +g985 +tp2706 +a(g826 +V\u000a +p2707 +tp2708 +a(g826 +V \u000a +p2709 +tp2710 +a(g693 +g970 +tp2711 +a(g826 +V\u000a +p2712 +tp2713 +a(g826 +V +p2714 +tp2715 +a(g423 +Vname +p2716 +tp2717 +a(g826 +g958 +tp2718 +a(g693 +g1024 +tp2719 +a(g826 +g958 +tp2720 +a(g76 +V"x" +p2721 +tp2722 +a(g693 +g985 +tp2723 +a(g826 +V\u000a +p2724 +tp2725 +a(g826 +V \u000a +p2726 +tp2727 +a(g7 +Vfunction +p2728 +tp2729 +a(g826 +g958 +tp2730 +a(g423 +Vsetter +p2731 +tp2732 +a(g693 +g981 +tp2733 +a(g423 +Vvalue +p2734 +tp2735 +a(g693 +g990 +tp2736 +a(g826 +V\u000a +p2737 +tp2738 +a(g826 +V +p2739 +tp2740 +a(g693 +g970 +tp2741 +a(g826 +V\u000a +p2742 +tp2743 +a(g826 +V +p2744 +tp2745 +a(g423 +VmX +p2746 +tp2747 +a(g826 +g958 +tp2748 +a(g693 +g1024 +tp2749 +a(g826 +g958 +tp2750 +a(g423 +Vvalue +p2751 +tp2752 +a(g693 +g962 +tp2753 +a(g826 +V\u000a +p2754 +tp2755 +a(g826 +V +p2756 +tp2757 +a(g693 +g1069 +tp2758 +a(g826 +V\u000a +p2759 +tp2760 +a(g826 +V \u000a +p2761 +tp2762 +a(g7 +Vfunction +p2763 +tp2764 +a(g826 +g958 +tp2765 +a(g423 +Vgetter +p2766 +tp2767 +a(g693 +g981 +tp2768 +a(g693 +g990 +tp2769 +a(g826 +V\u000a +p2770 +tp2771 +a(g826 +V +p2772 +tp2773 +a(g693 +g970 +tp2774 +a(g826 +V\u000a +p2775 +tp2776 +a(g826 +V +p2777 +tp2778 +a(g7 +Vreturn +p2779 +tp2780 +a(g826 +g958 +tp2781 +a(g423 +VmX +p2782 +tp2783 +a(g693 +g962 +tp2784 +a(g826 +V\u000a +p2785 +tp2786 +a(g826 +V +p2787 +tp2788 +a(g693 +g1069 +tp2789 +a(g826 +V\u000a +p2790 +tp2791 +a(g826 +V +p2792 +tp2793 +a(g693 +g1069 +tp2794 +a(g693 +g985 +tp2795 +a(g826 +V\u000a +p2796 +tp2797 +a(g826 +V \u000a +p2798 +tp2799 +a(g693 +g970 +tp2800 +a(g826 +V\u000a +p2801 +tp2802 +a(g826 +V +p2803 +tp2804 +a(g423 +Vname +p2805 +tp2806 +a(g826 +g958 +tp2807 +a(g693 +g1024 +tp2808 +a(g826 +g958 +tp2809 +a(g76 +V"y" +p2810 +tp2811 +a(g693 +g985 +tp2812 +a(g826 +V\u000a +p2813 +tp2814 +a(g826 +V \u000a +p2815 +tp2816 +a(g7 +Vfunction +p2817 +tp2818 +a(g826 +g958 +tp2819 +a(g423 +Vsetter +p2820 +tp2821 +a(g693 +g981 +tp2822 +a(g423 +Vvalue +p2823 +tp2824 +a(g693 +g990 +tp2825 +a(g826 +V\u000a +p2826 +tp2827 +a(g826 +V +p2828 +tp2829 +a(g693 +g970 +tp2830 +a(g826 +V\u000a +p2831 +tp2832 +a(g826 +V +p2833 +tp2834 +a(g423 +VmY +p2835 +tp2836 +a(g826 +g958 +tp2837 +a(g693 +g1024 +tp2838 +a(g826 +g958 +tp2839 +a(g423 +Vvalue +p2840 +tp2841 +a(g693 +g962 +tp2842 +a(g826 +V\u000a +p2843 +tp2844 +a(g826 +V +p2845 +tp2846 +a(g693 +g1069 +tp2847 +a(g826 +V\u000a +p2848 +tp2849 +a(g826 +V \u000a +p2850 +tp2851 +a(g7 +Vfunction +p2852 +tp2853 +a(g826 +g958 +tp2854 +a(g423 +Vgetter +p2855 +tp2856 +a(g693 +g981 +tp2857 +a(g693 +g990 +tp2858 +a(g826 +V\u000a +p2859 +tp2860 +a(g826 +V +p2861 +tp2862 +a(g693 +g970 +tp2863 +a(g826 +V\u000a +p2864 +tp2865 +a(g826 +V +p2866 +tp2867 +a(g7 +Vreturn +p2868 +tp2869 +a(g826 +g958 +tp2870 +a(g423 +VmY +p2871 +tp2872 +a(g693 +g962 +tp2873 +a(g826 +V\u000a +p2874 +tp2875 +a(g826 +V +p2876 +tp2877 +a(g693 +g1069 +tp2878 +a(g826 +V\u000a +p2879 +tp2880 +a(g826 +V +p2881 +tp2882 +a(g693 +g1069 +tp2883 +a(g693 +g985 +tp2884 +a(g826 +V\u000a +p2885 +tp2886 +a(g826 +V \u000a +p2887 +tp2888 +a(g693 +g970 +tp2889 +a(g826 +V\u000a +p2890 +tp2891 +a(g826 +V +p2892 +tp2893 +a(g423 +Vname +p2894 +tp2895 +a(g826 +g958 +tp2896 +a(g693 +g1024 +tp2897 +a(g826 +g958 +tp2898 +a(g76 +V"name" +p2899 +tp2900 +a(g693 +g985 +tp2901 +a(g826 +V\u000a +p2902 +tp2903 +a(g826 +V \u000a +p2904 +tp2905 +a(g7 +Vfunction +p2906 +tp2907 +a(g826 +g958 +tp2908 +a(g423 +Vgetter +p2909 +tp2910 +a(g693 +g981 +tp2911 +a(g693 +g990 +tp2912 +a(g826 +V\u000a +p2913 +tp2914 +a(g826 +V +p2915 +tp2916 +a(g693 +g970 +tp2917 +a(g826 +V\u000a +p2918 +tp2919 +a(g826 +V +p2920 +tp2921 +a(g7 +Vreturn +p2922 +tp2923 +a(g826 +g958 +tp2924 +a(g423 +VmName +p2925 +tp2926 +a(g693 +g962 +tp2927 +a(g826 +V\u000a +p2928 +tp2929 +a(g826 +V +p2930 +tp2931 +a(g693 +g1069 +tp2932 +a(g826 +V\u000a +p2933 +tp2934 +a(g826 +V +p2935 +tp2936 +a(g693 +g1069 +tp2937 +a(g826 +V\u000a +p2938 +tp2939 +a(g826 +g974 +tp2940 +a(g693 +g990 +tp2941 +a(g693 +g962 +tp2942 +a(g826 +V\u000a +p2943 +tp2944 +a(g826 +V \u000a +p2945 +tp2946 +a(g745 +V// Create an instance and try it out.\u000a +p2947 +tp2948 +a(g826 +g974 +tp2949 +a(g7 +Vlocal +p2950 +tp2951 +a(g826 +g958 +tp2952 +a(g423 +Vp +p2953 +tp2954 +a(g826 +g958 +tp2955 +a(g693 +g1024 +tp2956 +a(g826 +g958 +tp2957 +a(g423 +VPropTest +p2958 +tp2959 +a(g693 +g981 +tp2960 +a(g76 +V"hello" +p2961 +tp2962 +a(g693 +g990 +tp2963 +a(g693 +g962 +tp2964 +a(g826 +V\u000a +p2965 +tp2966 +a(g826 +V \u000a +p2967 +tp2968 +a(g423 +Vwritefln +p2969 +tp2970 +a(g693 +g981 +tp2971 +a(g423 +g2953 +tp2972 +a(g693 +g990 +tp2973 +a(g693 +g962 +tp2974 +a(g826 +V\u000a +p2975 +tp2976 +a(g826 +g974 +tp2977 +a(g423 +g2953 +tp2978 +a(g693 +g1019 +tp2979 +a(g423 +g1021 +tp2980 +a(g826 +g958 +tp2981 +a(g693 +g1024 +tp2982 +a(g826 +g958 +tp2983 +a(g22 +V46 +p2984 +tp2985 +a(g693 +g962 +tp2986 +a(g826 +V\u000a +p2987 +tp2988 +a(g826 +g974 +tp2989 +a(g423 +g2953 +tp2990 +a(g693 +g1019 +tp2991 +a(g423 +Vy +p2992 +tp2993 +a(g826 +g958 +tp2994 +a(g693 +g1024 +tp2995 +a(g826 +g958 +tp2996 +a(g22 +V123 +p2997 +tp2998 +a(g693 +g962 +tp2999 +a(g826 +V\u000a +p3000 +tp3001 +a(g826 +g974 +tp3002 +a(g423 +g2953 +tp3003 +a(g693 +g1019 +tp3004 +a(g423 +g1021 +tp3005 +a(g826 +g958 +tp3006 +a(g693 +g1024 +tp3007 +a(g826 +g958 +tp3008 +a(g423 +g2953 +tp3009 +a(g693 +g1019 +tp3010 +a(g423 +g1021 +tp3011 +a(g826 +g958 +tp3012 +a(g693 +V+ +p3013 +tp3014 +a(g826 +g958 +tp3015 +a(g423 +g2953 +tp3016 +a(g693 +g1019 +tp3017 +a(g423 +g2992 +tp3018 +a(g693 +g962 +tp3019 +a(g826 +V\u000a +p3020 +tp3021 +a(g826 +g974 +tp3022 +a(g423 +Vwritefln +p3023 +tp3024 +a(g693 +g981 +tp3025 +a(g423 +g2953 +tp3026 +a(g693 +g990 +tp3027 +a(g693 +g962 +tp3028 +a(g826 +V\u000a +p3029 +tp3030 +a(g826 +V \u000a +p3031 +tp3032 +a(g745 +V// Try to access a nonexistent property.\u000a +p3033 +tp3034 +a(g826 +g974 +tp3035 +a(g7 +Vtry +p3036 +tp3037 +a(g826 +V\u000a +p3038 +tp3039 +a(g826 +V +p3040 +tp3041 +a(g423 +g2953 +tp3042 +a(g693 +g1019 +tp3043 +a(g423 +Vname +p3044 +tp3045 +a(g826 +g958 +tp3046 +a(g693 +g1024 +tp3047 +a(g826 +g958 +tp3048 +a(g76 +V"crap" +p3049 +tp3050 +a(g693 +g962 +tp3051 +a(g826 +V\u000a +p3052 +tp3053 +a(g826 +g974 +tp3054 +a(g7 +Vcatch +p3055 +tp3056 +a(g693 +g981 +tp3057 +a(g423 +Ve +p3058 +tp3059 +a(g693 +g990 +tp3060 +a(g826 +V\u000a +p3061 +tp3062 +a(g826 +g974 +tp3063 +a(g693 +g970 +tp3064 +a(g826 +V\u000a +p3065 +tp3066 +a(g826 +V +p3067 +tp3068 +a(g423 +Vwritefln +p3069 +tp3070 +a(g693 +g981 +tp3071 +a(g76 +V"caught: " +p3072 +tp3073 +a(g693 +g985 +tp3074 +a(g826 +g958 +tp3075 +a(g423 +g3058 +tp3076 +a(g693 +g990 +tp3077 +a(g693 +g962 +tp3078 +a(g826 +V\u000a +p3079 +tp3080 +a(g826 +V +p3081 +tp3082 +a(g423 +Vwritefln +p3083 +tp3084 +a(g693 +g981 +tp3085 +a(g423 +VgetTraceback +p3086 +tp3087 +a(g693 +g981 +tp3088 +a(g693 +g990 +tp3089 +a(g693 +g990 +tp3090 +a(g693 +g962 +tp3091 +a(g826 +V\u000a +p3092 +tp3093 +a(g826 +g974 +tp3094 +a(g693 +g1069 +tp3095 +a(g826 +V\u000a +p3096 +tp3097 +a(g826 +V \u000a +p3098 +tp3099 +a(g423 +Vwritefln +p3100 +tp3101 +a(g693 +g981 +tp3102 +a(g693 +g990 +tp3103 +a(g693 +g962 +tp3104 +a(g826 +V\u000a +p3105 +tp3106 +a(g693 +g1069 +tp3107 +a(g826 +V\u000a +p3108 +tp3109 +a(g826 +V\u000a +p3110 +tp3111 +a(g745 +V// Some container classes.\u000a +p3112 +tp3113 +a(g693 +g970 +tp3114 +a(g826 +V\u000a +p3115 +tp3116 +a(g826 +g974 +tp3117 +a(g7 +Vclass +p3118 +tp3119 +a(g826 +g958 +tp3120 +a(g423 +VPQ +p3121 +tp3122 +a(g826 +V\u000a +p3123 +tp3124 +a(g826 +g974 +tp3125 +a(g693 +g970 +tp3126 +a(g826 +V\u000a +p3127 +tp3128 +a(g826 +V +p3129 +tp3130 +a(g423 +VmData +p3131 +tp3132 +a(g693 +g962 +tp3133 +a(g826 +V\u000a +p3134 +tp3135 +a(g826 +V +p3136 +tp3137 +a(g423 +VmLength +p3138 +tp3139 +a(g826 +g958 +tp3140 +a(g693 +g1024 +tp3141 +a(g826 +g958 +tp3142 +a(g22 +g1107 +tp3143 +a(g693 +g962 +tp3144 +a(g826 +V\u000a +p3145 +tp3146 +a(g826 +V \u000a +p3147 +tp3148 +a(g7 +Vfunction +p3149 +tp3150 +a(g826 +g958 +tp3151 +a(g423 +Vconstructor +p3152 +tp3153 +a(g693 +g981 +tp3154 +a(g693 +g990 +tp3155 +a(g826 +V\u000a +p3156 +tp3157 +a(g826 +V +p3158 +tp3159 +a(g693 +g970 +tp3160 +a(g826 +V\u000a +p3161 +tp3162 +a(g826 +V +p3163 +tp3164 +a(g423 +VmData +p3165 +tp3166 +a(g826 +g958 +tp3167 +a(g693 +g1024 +tp3168 +a(g826 +g958 +tp3169 +a(g423 +Varray +p3170 +tp3171 +a(g693 +g1019 +tp3172 +a(g423 +Vnew +p3173 +tp3174 +a(g693 +g981 +tp3175 +a(g22 +V15 +p3176 +tp3177 +a(g693 +g990 +tp3178 +a(g693 +g962 +tp3179 +a(g826 +V\u000a +p3180 +tp3181 +a(g826 +V +p3182 +tp3183 +a(g693 +g1069 +tp3184 +a(g826 +V\u000a +p3185 +tp3186 +a(g826 +V \u000a +p3187 +tp3188 +a(g7 +Vfunction +p3189 +tp3190 +a(g826 +g958 +tp3191 +a(g423 +Vinsert +p3192 +tp3193 +a(g693 +g981 +tp3194 +a(g423 +Vdata +p3195 +tp3196 +a(g693 +g990 +tp3197 +a(g826 +V\u000a +p3198 +tp3199 +a(g826 +V +p3200 +tp3201 +a(g693 +g970 +tp3202 +a(g826 +V\u000a +p3203 +tp3204 +a(g826 +V +p3205 +tp3206 +a(g423 +VresizeArray +p3207 +tp3208 +a(g693 +g981 +tp3209 +a(g693 +g990 +tp3210 +a(g693 +g962 +tp3211 +a(g826 +V\u000a +p3212 +tp3213 +a(g826 +V +p3214 +tp3215 +a(g423 +VmData +p3216 +tp3217 +a(g693 +g1105 +tp3218 +a(g423 +VmLength +p3219 +tp3220 +a(g693 +g1109 +tp3221 +a(g826 +g958 +tp3222 +a(g693 +g1024 +tp3223 +a(g826 +g958 +tp3224 +a(g423 +Vdata +p3225 +tp3226 +a(g693 +g962 +tp3227 +a(g826 +V\u000a +p3228 +tp3229 +a(g826 +V \u000a +p3230 +tp3231 +a(g7 +Vlocal +p3232 +tp3233 +a(g826 +g958 +tp3234 +a(g423 +Vindex +p3235 +tp3236 +a(g826 +g958 +tp3237 +a(g693 +g1024 +tp3238 +a(g826 +g958 +tp3239 +a(g423 +VmLength +p3240 +tp3241 +a(g693 +g962 +tp3242 +a(g826 +V\u000a +p3243 +tp3244 +a(g826 +V +p3245 +tp3246 +a(g7 +Vlocal +p3247 +tp3248 +a(g826 +g958 +tp3249 +a(g423 +VparentIndex +p3250 +tp3251 +a(g826 +g958 +tp3252 +a(g693 +g1024 +tp3253 +a(g826 +g958 +tp3254 +a(g693 +g981 +tp3255 +a(g423 +Vindex +p3256 +tp3257 +a(g826 +g958 +tp3258 +a(g693 +g1798 +tp3259 +a(g826 +g958 +tp3260 +a(g22 +g1671 +tp3261 +a(g693 +g990 +tp3262 +a(g826 +g958 +tp3263 +a(g693 +V/ +p3264 +tp3265 +a(g826 +g958 +tp3266 +a(g22 +g1680 +tp3267 +a(g693 +g962 +tp3268 +a(g826 +V\u000a +p3269 +tp3270 +a(g826 +V \u000a +p3271 +tp3272 +a(g7 +Vwhile +p3273 +tp3274 +a(g693 +g981 +tp3275 +a(g423 +Vindex +p3276 +tp3277 +a(g826 +g958 +tp3278 +a(g693 +g1501 +tp3279 +a(g826 +g958 +tp3280 +a(g22 +g1107 +tp3281 +a(g826 +g958 +tp3282 +a(g693 +V&& +p3283 +tp3284 +a(g826 +g958 +tp3285 +a(g423 +VmData +p3286 +tp3287 +a(g693 +g1105 +tp3288 +a(g423 +VparentIndex +p3289 +tp3290 +a(g693 +g1109 +tp3291 +a(g826 +g958 +tp3292 +a(g693 +g1501 +tp3293 +a(g826 +g958 +tp3294 +a(g423 +VmData +p3295 +tp3296 +a(g693 +g1105 +tp3297 +a(g423 +Vindex +p3298 +tp3299 +a(g693 +g1109 +tp3300 +a(g693 +g990 +tp3301 +a(g826 +V\u000a +p3302 +tp3303 +a(g826 +V +p3304 +tp3305 +a(g693 +g970 +tp3306 +a(g826 +V\u000a +p3307 +tp3308 +a(g826 +V +p3309 +tp3310 +a(g7 +Vlocal +p3311 +tp3312 +a(g826 +g958 +tp3313 +a(g423 +Vtemp +p3314 +tp3315 +a(g826 +g958 +tp3316 +a(g693 +g1024 +tp3317 +a(g826 +g958 +tp3318 +a(g423 +VmData +p3319 +tp3320 +a(g693 +g1105 +tp3321 +a(g423 +VparentIndex +p3322 +tp3323 +a(g693 +g1109 +tp3324 +a(g693 +g962 +tp3325 +a(g826 +V\u000a +p3326 +tp3327 +a(g826 +V +p3328 +tp3329 +a(g423 +VmData +p3330 +tp3331 +a(g693 +g1105 +tp3332 +a(g423 +VparentIndex +p3333 +tp3334 +a(g693 +g1109 +tp3335 +a(g826 +g958 +tp3336 +a(g693 +g1024 +tp3337 +a(g826 +g958 +tp3338 +a(g423 +VmData +p3339 +tp3340 +a(g693 +g1105 +tp3341 +a(g423 +Vindex +p3342 +tp3343 +a(g693 +g1109 +tp3344 +a(g693 +g962 +tp3345 +a(g826 +V\u000a +p3346 +tp3347 +a(g826 +V +p3348 +tp3349 +a(g423 +VmData +p3350 +tp3351 +a(g693 +g1105 +tp3352 +a(g423 +Vindex +p3353 +tp3354 +a(g693 +g1109 +tp3355 +a(g826 +g958 +tp3356 +a(g693 +g1024 +tp3357 +a(g826 +g958 +tp3358 +a(g423 +Vtemp +p3359 +tp3360 +a(g693 +g962 +tp3361 +a(g826 +V\u000a +p3362 +tp3363 +a(g826 +V \u000a +p3364 +tp3365 +a(g423 +Vindex +p3366 +tp3367 +a(g826 +g958 +tp3368 +a(g693 +g1024 +tp3369 +a(g826 +g958 +tp3370 +a(g423 +VparentIndex +p3371 +tp3372 +a(g693 +g962 +tp3373 +a(g826 +V\u000a +p3374 +tp3375 +a(g826 +V +p3376 +tp3377 +a(g423 +VparentIndex +p3378 +tp3379 +a(g826 +g958 +tp3380 +a(g693 +g1024 +tp3381 +a(g826 +g958 +tp3382 +a(g693 +g981 +tp3383 +a(g423 +Vindex +p3384 +tp3385 +a(g826 +g958 +tp3386 +a(g693 +g1798 +tp3387 +a(g826 +g958 +tp3388 +a(g22 +g1671 +tp3389 +a(g693 +g990 +tp3390 +a(g826 +g958 +tp3391 +a(g693 +g3264 +tp3392 +a(g826 +g958 +tp3393 +a(g22 +g1680 +tp3394 +a(g693 +g962 +tp3395 +a(g826 +V\u000a +p3396 +tp3397 +a(g826 +V +p3398 +tp3399 +a(g693 +g1069 +tp3400 +a(g826 +V\u000a +p3401 +tp3402 +a(g826 +V \u000a +p3403 +tp3404 +a(g423 +VmLength +p3405 +tp3406 +a(g826 +g958 +tp3407 +a(g693 +V+= +p3408 +tp3409 +a(g826 +g958 +tp3410 +a(g22 +g1671 +tp3411 +a(g693 +g962 +tp3412 +a(g826 +V\u000a +p3413 +tp3414 +a(g826 +V +p3415 +tp3416 +a(g693 +g1069 +tp3417 +a(g826 +V\u000a +p3418 +tp3419 +a(g826 +V \u000a +p3420 +tp3421 +a(g7 +Vfunction +p3422 +tp3423 +a(g826 +g958 +tp3424 +a(g423 +Vremove +p3425 +tp3426 +a(g693 +g981 +tp3427 +a(g693 +g990 +tp3428 +a(g826 +V\u000a +p3429 +tp3430 +a(g826 +V +p3431 +tp3432 +a(g693 +g970 +tp3433 +a(g826 +V\u000a +p3434 +tp3435 +a(g826 +V +p3436 +tp3437 +a(g7 +Vif +p3438 +tp3439 +a(g693 +g981 +tp3440 +a(g423 +VmLength +p3441 +tp3442 +a(g826 +g958 +tp3443 +a(g693 +V== +p3444 +tp3445 +a(g826 +g958 +tp3446 +a(g22 +g1107 +tp3447 +a(g693 +g990 +tp3448 +a(g826 +V\u000a +p3449 +tp3450 +a(g826 +V +p3451 +tp3452 +a(g7 +Vthrow +p3453 +tp3454 +a(g826 +g958 +tp3455 +a(g76 +V"PQ.remove() - No items to remove" +p3456 +tp3457 +a(g693 +g962 +tp3458 +a(g826 +V\u000a +p3459 +tp3460 +a(g826 +V \u000a +p3461 +tp3462 +a(g7 +Vlocal +p3463 +tp3464 +a(g826 +g958 +tp3465 +a(g423 +Vdata +p3466 +tp3467 +a(g826 +g958 +tp3468 +a(g693 +g1024 +tp3469 +a(g826 +g958 +tp3470 +a(g423 +VmData +p3471 +tp3472 +a(g693 +g1105 +tp3473 +a(g22 +g1107 +tp3474 +a(g693 +g1109 +tp3475 +a(g693 +g962 +tp3476 +a(g826 +V\u000a +p3477 +tp3478 +a(g826 +V +p3479 +tp3480 +a(g423 +VmLength +p3481 +tp3482 +a(g826 +g958 +tp3483 +a(g693 +V-= +p3484 +tp3485 +a(g826 +g958 +tp3486 +a(g22 +g1671 +tp3487 +a(g693 +g962 +tp3488 +a(g826 +V\u000a +p3489 +tp3490 +a(g826 +V +p3491 +tp3492 +a(g423 +VmData +p3493 +tp3494 +a(g693 +g1105 +tp3495 +a(g22 +g1107 +tp3496 +a(g693 +g1109 +tp3497 +a(g826 +g958 +tp3498 +a(g693 +g1024 +tp3499 +a(g826 +g958 +tp3500 +a(g423 +VmData +p3501 +tp3502 +a(g693 +g1105 +tp3503 +a(g423 +VmLength +p3504 +tp3505 +a(g693 +g1109 +tp3506 +a(g693 +g962 +tp3507 +a(g826 +V\u000a +p3508 +tp3509 +a(g826 +V \u000a +p3510 +tp3511 +a(g7 +Vlocal +p3512 +tp3513 +a(g826 +g958 +tp3514 +a(g423 +Vindex +p3515 +tp3516 +a(g826 +g958 +tp3517 +a(g693 +g1024 +tp3518 +a(g826 +g958 +tp3519 +a(g22 +g1107 +tp3520 +a(g693 +g962 +tp3521 +a(g826 +V\u000a +p3522 +tp3523 +a(g826 +V +p3524 +tp3525 +a(g7 +Vlocal +p3526 +tp3527 +a(g826 +g958 +tp3528 +a(g423 +Vleft +p3529 +tp3530 +a(g826 +g958 +tp3531 +a(g693 +g1024 +tp3532 +a(g826 +g958 +tp3533 +a(g22 +g1671 +tp3534 +a(g693 +g962 +tp3535 +a(g826 +V\u000a +p3536 +tp3537 +a(g826 +V +p3538 +tp3539 +a(g7 +Vlocal +p3540 +tp3541 +a(g826 +g958 +tp3542 +a(g423 +Vright +p3543 +tp3544 +a(g826 +g958 +tp3545 +a(g693 +g1024 +tp3546 +a(g826 +g958 +tp3547 +a(g22 +g1680 +tp3548 +a(g693 +g962 +tp3549 +a(g826 +V\u000a +p3550 +tp3551 +a(g826 +V \u000a +p3552 +tp3553 +a(g7 +Vwhile +p3554 +tp3555 +a(g693 +g981 +tp3556 +a(g423 +Vindex +p3557 +tp3558 +a(g826 +g958 +tp3559 +a(g693 +V< +p3560 +tp3561 +a(g826 +g958 +tp3562 +a(g423 +VmLength +p3563 +tp3564 +a(g693 +g990 +tp3565 +a(g826 +V\u000a +p3566 +tp3567 +a(g826 +V +p3568 +tp3569 +a(g693 +g970 +tp3570 +a(g826 +V\u000a +p3571 +tp3572 +a(g826 +V +p3573 +tp3574 +a(g7 +Vlocal +p3575 +tp3576 +a(g826 +g958 +tp3577 +a(g423 +Vsmaller +p3578 +tp3579 +a(g693 +g962 +tp3580 +a(g826 +V\u000a +p3581 +tp3582 +a(g826 +V \u000a +p3583 +tp3584 +a(g7 +Vif +p3585 +tp3586 +a(g693 +g981 +tp3587 +a(g423 +Vleft +p3588 +tp3589 +a(g826 +g958 +tp3590 +a(g693 +V>= +p3591 +tp3592 +a(g826 +g958 +tp3593 +a(g423 +VmLength +p3594 +tp3595 +a(g693 +g990 +tp3596 +a(g826 +V\u000a +p3597 +tp3598 +a(g826 +V +p3599 +tp3600 +a(g693 +g970 +tp3601 +a(g826 +V\u000a +p3602 +tp3603 +a(g826 +V +p3604 +tp3605 +a(g7 +Vif +p3606 +tp3607 +a(g693 +g981 +tp3608 +a(g423 +Vright +p3609 +tp3610 +a(g826 +g958 +tp3611 +a(g693 +V>= +p3612 +tp3613 +a(g826 +g958 +tp3614 +a(g423 +VmLength +p3615 +tp3616 +a(g693 +g990 +tp3617 +a(g826 +V\u000a +p3618 +tp3619 +a(g826 +V +p3620 +tp3621 +a(g7 +Vbreak +p3622 +tp3623 +a(g693 +g962 +tp3624 +a(g826 +V\u000a +p3625 +tp3626 +a(g826 +V +p3627 +tp3628 +a(g7 +Velse +p3629 +tp3630 +a(g826 +V\u000a +p3631 +tp3632 +a(g826 +V +p3633 +tp3634 +a(g423 +Vsmaller +p3635 +tp3636 +a(g826 +g958 +tp3637 +a(g693 +g1024 +tp3638 +a(g826 +g958 +tp3639 +a(g423 +Vright +p3640 +tp3641 +a(g693 +g962 +tp3642 +a(g826 +V\u000a +p3643 +tp3644 +a(g826 +V +p3645 +tp3646 +a(g693 +g1069 +tp3647 +a(g826 +V\u000a +p3648 +tp3649 +a(g826 +V +p3650 +tp3651 +a(g7 +Velse +p3652 +tp3653 +a(g826 +V\u000a +p3654 +tp3655 +a(g826 +V +p3656 +tp3657 +a(g693 +g970 +tp3658 +a(g826 +V\u000a +p3659 +tp3660 +a(g826 +V +p3661 +tp3662 +a(g7 +Vif +p3663 +tp3664 +a(g693 +g981 +tp3665 +a(g423 +Vright +p3666 +tp3667 +a(g826 +g958 +tp3668 +a(g693 +V>= +p3669 +tp3670 +a(g826 +g958 +tp3671 +a(g423 +VmLength +p3672 +tp3673 +a(g693 +g990 +tp3674 +a(g826 +V\u000a +p3675 +tp3676 +a(g826 +V +p3677 +tp3678 +a(g423 +Vsmaller +p3679 +tp3680 +a(g826 +g958 +tp3681 +a(g693 +g1024 +tp3682 +a(g826 +g958 +tp3683 +a(g423 +Vleft +p3684 +tp3685 +a(g693 +g962 +tp3686 +a(g826 +V\u000a +p3687 +tp3688 +a(g826 +V +p3689 +tp3690 +a(g7 +Velse +p3691 +tp3692 +a(g826 +V\u000a +p3693 +tp3694 +a(g826 +V +p3695 +tp3696 +a(g693 +g970 +tp3697 +a(g826 +V\u000a +p3698 +tp3699 +a(g826 +V +p3700 +tp3701 +a(g7 +Vif +p3702 +tp3703 +a(g693 +g981 +tp3704 +a(g423 +VmData +p3705 +tp3706 +a(g693 +g1105 +tp3707 +a(g423 +Vleft +p3708 +tp3709 +a(g693 +g1109 +tp3710 +a(g826 +g958 +tp3711 +a(g693 +g3560 +tp3712 +a(g826 +g958 +tp3713 +a(g423 +VmData +p3714 +tp3715 +a(g693 +g1105 +tp3716 +a(g423 +Vright +p3717 +tp3718 +a(g693 +g1109 +tp3719 +a(g693 +g990 +tp3720 +a(g826 +V\u000a +p3721 +tp3722 +a(g826 +V +p3723 +tp3724 +a(g423 +Vsmaller +p3725 +tp3726 +a(g826 +g958 +tp3727 +a(g693 +g1024 +tp3728 +a(g826 +g958 +tp3729 +a(g423 +Vleft +p3730 +tp3731 +a(g693 +g962 +tp3732 +a(g826 +V\u000a +p3733 +tp3734 +a(g826 +V +p3735 +tp3736 +a(g7 +Velse +p3737 +tp3738 +a(g826 +V\u000a +p3739 +tp3740 +a(g826 +V +p3741 +tp3742 +a(g423 +Vsmaller +p3743 +tp3744 +a(g826 +g958 +tp3745 +a(g693 +g1024 +tp3746 +a(g826 +g958 +tp3747 +a(g423 +Vright +p3748 +tp3749 +a(g693 +g962 +tp3750 +a(g826 +V\u000a +p3751 +tp3752 +a(g826 +V +p3753 +tp3754 +a(g693 +g1069 +tp3755 +a(g826 +V\u000a +p3756 +tp3757 +a(g826 +V +p3758 +tp3759 +a(g693 +g1069 +tp3760 +a(g826 +V\u000a +p3761 +tp3762 +a(g826 +V \u000a +p3763 +tp3764 +a(g7 +Vif +p3765 +tp3766 +a(g693 +g981 +tp3767 +a(g423 +VmData +p3768 +tp3769 +a(g693 +g1105 +tp3770 +a(g423 +Vindex +p3771 +tp3772 +a(g693 +g1109 +tp3773 +a(g826 +g958 +tp3774 +a(g693 +g1501 +tp3775 +a(g826 +g958 +tp3776 +a(g423 +VmData +p3777 +tp3778 +a(g693 +g1105 +tp3779 +a(g423 +Vsmaller +p3780 +tp3781 +a(g693 +g1109 +tp3782 +a(g693 +g990 +tp3783 +a(g826 +V\u000a +p3784 +tp3785 +a(g826 +V +p3786 +tp3787 +a(g693 +g970 +tp3788 +a(g826 +V\u000a +p3789 +tp3790 +a(g826 +V +p3791 +tp3792 +a(g7 +Vlocal +p3793 +tp3794 +a(g826 +g958 +tp3795 +a(g423 +Vtemp +p3796 +tp3797 +a(g826 +g958 +tp3798 +a(g693 +g1024 +tp3799 +a(g826 +g958 +tp3800 +a(g423 +VmData +p3801 +tp3802 +a(g693 +g1105 +tp3803 +a(g423 +Vindex +p3804 +tp3805 +a(g693 +g1109 +tp3806 +a(g693 +g962 +tp3807 +a(g826 +V\u000a +p3808 +tp3809 +a(g826 +V +p3810 +tp3811 +a(g423 +VmData +p3812 +tp3813 +a(g693 +g1105 +tp3814 +a(g423 +Vindex +p3815 +tp3816 +a(g693 +g1109 +tp3817 +a(g826 +g958 +tp3818 +a(g693 +g1024 +tp3819 +a(g826 +g958 +tp3820 +a(g423 +VmData +p3821 +tp3822 +a(g693 +g1105 +tp3823 +a(g423 +Vsmaller +p3824 +tp3825 +a(g693 +g1109 +tp3826 +a(g693 +g962 +tp3827 +a(g826 +V\u000a +p3828 +tp3829 +a(g826 +V +p3830 +tp3831 +a(g423 +VmData +p3832 +tp3833 +a(g693 +g1105 +tp3834 +a(g423 +Vsmaller +p3835 +tp3836 +a(g693 +g1109 +tp3837 +a(g826 +g958 +tp3838 +a(g693 +g1024 +tp3839 +a(g826 +g958 +tp3840 +a(g423 +Vtemp +p3841 +tp3842 +a(g693 +g962 +tp3843 +a(g826 +V\u000a +p3844 +tp3845 +a(g826 +V \u000a +p3846 +tp3847 +a(g423 +Vindex +p3848 +tp3849 +a(g826 +g958 +tp3850 +a(g693 +g1024 +tp3851 +a(g826 +g958 +tp3852 +a(g423 +Vsmaller +p3853 +tp3854 +a(g693 +g962 +tp3855 +a(g826 +V\u000a +p3856 +tp3857 +a(g826 +V +p3858 +tp3859 +a(g423 +Vleft +p3860 +tp3861 +a(g826 +g958 +tp3862 +a(g693 +g1024 +tp3863 +a(g826 +g958 +tp3864 +a(g693 +g981 +tp3865 +a(g423 +Vindex +p3866 +tp3867 +a(g826 +g958 +tp3868 +a(g693 +V* +p3869 +tp3870 +a(g826 +g958 +tp3871 +a(g22 +g1680 +tp3872 +a(g693 +g990 +tp3873 +a(g826 +g958 +tp3874 +a(g693 +g3013 +tp3875 +a(g826 +g958 +tp3876 +a(g22 +g1671 +tp3877 +a(g693 +g962 +tp3878 +a(g826 +V\u000a +p3879 +tp3880 +a(g826 +V +p3881 +tp3882 +a(g423 +Vright +p3883 +tp3884 +a(g826 +g958 +tp3885 +a(g693 +g1024 +tp3886 +a(g826 +g958 +tp3887 +a(g423 +Vleft +p3888 +tp3889 +a(g826 +g958 +tp3890 +a(g693 +g3013 +tp3891 +a(g826 +g958 +tp3892 +a(g22 +g1671 +tp3893 +a(g693 +g962 +tp3894 +a(g826 +V\u000a +p3895 +tp3896 +a(g826 +V +p3897 +tp3898 +a(g693 +g1069 +tp3899 +a(g826 +V\u000a +p3900 +tp3901 +a(g826 +V +p3902 +tp3903 +a(g7 +Velse +p3904 +tp3905 +a(g826 +V\u000a +p3906 +tp3907 +a(g826 +V +p3908 +tp3909 +a(g7 +Vbreak +p3910 +tp3911 +a(g693 +g962 +tp3912 +a(g826 +V\u000a +p3913 +tp3914 +a(g826 +V +p3915 +tp3916 +a(g693 +g1069 +tp3917 +a(g826 +V\u000a +p3918 +tp3919 +a(g826 +V \u000a +p3920 +tp3921 +a(g7 +Vreturn +p3922 +tp3923 +a(g826 +g958 +tp3924 +a(g423 +Vdata +p3925 +tp3926 +a(g693 +g962 +tp3927 +a(g826 +V\u000a +p3928 +tp3929 +a(g826 +V +p3930 +tp3931 +a(g693 +g1069 +tp3932 +a(g826 +V\u000a +p3933 +tp3934 +a(g826 +V \u000a +p3935 +tp3936 +a(g7 +Vfunction +p3937 +tp3938 +a(g826 +g958 +tp3939 +a(g423 +VresizeArray +p3940 +tp3941 +a(g693 +g981 +tp3942 +a(g693 +g990 +tp3943 +a(g826 +V\u000a +p3944 +tp3945 +a(g826 +V +p3946 +tp3947 +a(g693 +g970 +tp3948 +a(g826 +V\u000a +p3949 +tp3950 +a(g826 +V +p3951 +tp3952 +a(g7 +Vif +p3953 +tp3954 +a(g693 +g981 +tp3955 +a(g423 +VmLength +p3956 +tp3957 +a(g826 +g958 +tp3958 +a(g693 +V>= +p3959 +tp3960 +a(g826 +g958 +tp3961 +a(g693 +V# +p3962 +tp3963 +a(g423 +VmData +p3964 +tp3965 +a(g693 +g990 +tp3966 +a(g826 +V\u000a +p3967 +tp3968 +a(g826 +V +p3969 +tp3970 +a(g423 +VmData +p3971 +tp3972 +a(g693 +g1019 +tp3973 +a(g423 +Vlength +p3974 +tp3975 +a(g693 +g981 +tp3976 +a(g693 +g981 +tp3977 +a(g693 +g3962 +tp3978 +a(g423 +VmData +p3979 +tp3980 +a(g826 +g958 +tp3981 +a(g693 +g3013 +tp3982 +a(g826 +g958 +tp3983 +a(g22 +g1671 +tp3984 +a(g693 +g990 +tp3985 +a(g826 +g958 +tp3986 +a(g693 +g3869 +tp3987 +a(g826 +g958 +tp3988 +a(g22 +g1680 +tp3989 +a(g826 +g958 +tp3990 +a(g693 +g1798 +tp3991 +a(g826 +g958 +tp3992 +a(g22 +g1671 +tp3993 +a(g693 +g990 +tp3994 +a(g693 +g962 +tp3995 +a(g826 +V\u000a +p3996 +tp3997 +a(g826 +V +p3998 +tp3999 +a(g693 +g1069 +tp4000 +a(g826 +V\u000a +p4001 +tp4002 +a(g826 +V \u000a +p4003 +tp4004 +a(g7 +Vfunction +p4005 +tp4006 +a(g826 +g958 +tp4007 +a(g423 +VhasData +p4008 +tp4009 +a(g693 +g981 +tp4010 +a(g693 +g990 +tp4011 +a(g826 +V\u000a +p4012 +tp4013 +a(g826 +V +p4014 +tp4015 +a(g693 +g970 +tp4016 +a(g826 +V\u000a +p4017 +tp4018 +a(g826 +V +p4019 +tp4020 +a(g7 +Vreturn +p4021 +tp4022 +a(g826 +g958 +tp4023 +a(g423 +VmLength +p4024 +tp4025 +a(g826 +g958 +tp4026 +a(g693 +V!= +p4027 +tp4028 +a(g826 +g958 +tp4029 +a(g22 +g1107 +tp4030 +a(g693 +g962 +tp4031 +a(g826 +V\u000a +p4032 +tp4033 +a(g826 +V +p4034 +tp4035 +a(g693 +g1069 +tp4036 +a(g826 +V\u000a +p4037 +tp4038 +a(g826 +g974 +tp4039 +a(g693 +g1069 +tp4040 +a(g826 +V\u000a +p4041 +tp4042 +a(g826 +V \u000a +p4043 +tp4044 +a(g7 +Vclass +p4045 +tp4046 +a(g826 +g958 +tp4047 +a(g423 +VStack +p4048 +tp4049 +a(g826 +V\u000a +p4050 +tp4051 +a(g826 +g974 +tp4052 +a(g693 +g970 +tp4053 +a(g826 +V\u000a +p4054 +tp4055 +a(g826 +V +p4056 +tp4057 +a(g423 +VmHead +p4058 +tp4059 +a(g826 +g958 +tp4060 +a(g693 +g1024 +tp4061 +a(g826 +g958 +tp4062 +a(g896 +Vnull +p4063 +tp4064 +a(g693 +g962 +tp4065 +a(g826 +V\u000a +p4066 +tp4067 +a(g826 +V \u000a +p4068 +tp4069 +a(g7 +Vfunction +p4070 +tp4071 +a(g826 +g958 +tp4072 +a(g423 +Vpush +p4073 +tp4074 +a(g693 +g981 +tp4075 +a(g423 +Vdata +p4076 +tp4077 +a(g693 +g990 +tp4078 +a(g826 +V\u000a +p4079 +tp4080 +a(g826 +V +p4081 +tp4082 +a(g693 +g970 +tp4083 +a(g826 +V\u000a +p4084 +tp4085 +a(g826 +V +p4086 +tp4087 +a(g7 +Vlocal +p4088 +tp4089 +a(g826 +g958 +tp4090 +a(g423 +g1595 +tp4091 +a(g826 +g958 +tp4092 +a(g693 +g1024 +tp4093 +a(g826 +g958 +tp4094 +a(g693 +g970 +tp4095 +a(g826 +g958 +tp4096 +a(g423 +Vdata +p4097 +tp4098 +a(g826 +g958 +tp4099 +a(g693 +g1024 +tp4100 +a(g826 +g958 +tp4101 +a(g423 +Vdata +p4102 +tp4103 +a(g693 +g985 +tp4104 +a(g826 +g958 +tp4105 +a(g423 +Vnext +p4106 +tp4107 +a(g826 +g958 +tp4108 +a(g693 +g1024 +tp4109 +a(g826 +g958 +tp4110 +a(g423 +VmHead +p4111 +tp4112 +a(g826 +g958 +tp4113 +a(g693 +g1069 +tp4114 +a(g693 +g962 +tp4115 +a(g826 +V\u000a +p4116 +tp4117 +a(g826 +V +p4118 +tp4119 +a(g423 +VmHead +p4120 +tp4121 +a(g826 +g958 +tp4122 +a(g693 +g1024 +tp4123 +a(g826 +g958 +tp4124 +a(g423 +g1595 +tp4125 +a(g693 +g962 +tp4126 +a(g826 +V\u000a +p4127 +tp4128 +a(g826 +V +p4129 +tp4130 +a(g693 +g1069 +tp4131 +a(g826 +V\u000a +p4132 +tp4133 +a(g826 +V \u000a +p4134 +tp4135 +a(g7 +Vfunction +p4136 +tp4137 +a(g826 +g958 +tp4138 +a(g423 +Vpop +p4139 +tp4140 +a(g693 +g981 +tp4141 +a(g693 +g990 +tp4142 +a(g826 +V\u000a +p4143 +tp4144 +a(g826 +V +p4145 +tp4146 +a(g693 +g970 +tp4147 +a(g826 +V\u000a +p4148 +tp4149 +a(g826 +V +p4150 +tp4151 +a(g7 +Vif +p4152 +tp4153 +a(g693 +g981 +tp4154 +a(g423 +VmHead +p4155 +tp4156 +a(g826 +g958 +tp4157 +a(g7 +Vis +p4158 +tp4159 +a(g826 +g958 +tp4160 +a(g896 +Vnull +p4161 +tp4162 +a(g693 +g990 +tp4163 +a(g826 +V\u000a +p4164 +tp4165 +a(g826 +V +p4166 +tp4167 +a(g7 +Vthrow +p4168 +tp4169 +a(g826 +g958 +tp4170 +a(g76 +V"Stack.pop() - No items to pop" +p4171 +tp4172 +a(g693 +g962 +tp4173 +a(g826 +V\u000a +p4174 +tp4175 +a(g826 +V \u000a +p4176 +tp4177 +a(g7 +Vlocal +p4178 +tp4179 +a(g826 +g958 +tp4180 +a(g423 +Vitem +p4181 +tp4182 +a(g826 +g958 +tp4183 +a(g693 +g1024 +tp4184 +a(g826 +g958 +tp4185 +a(g423 +VmHead +p4186 +tp4187 +a(g693 +g962 +tp4188 +a(g826 +V\u000a +p4189 +tp4190 +a(g826 +V +p4191 +tp4192 +a(g423 +VmHead +p4193 +tp4194 +a(g826 +g958 +tp4195 +a(g693 +g1024 +tp4196 +a(g826 +g958 +tp4197 +a(g423 +VmHead +p4198 +tp4199 +a(g693 +g1019 +tp4200 +a(g423 +Vnext +p4201 +tp4202 +a(g693 +g962 +tp4203 +a(g826 +V\u000a +p4204 +tp4205 +a(g826 +V \u000a +p4206 +tp4207 +a(g7 +Vreturn +p4208 +tp4209 +a(g826 +g958 +tp4210 +a(g423 +Vitem +p4211 +tp4212 +a(g693 +g1019 +tp4213 +a(g423 +Vdata +p4214 +tp4215 +a(g693 +g962 +tp4216 +a(g826 +V\u000a +p4217 +tp4218 +a(g826 +V +p4219 +tp4220 +a(g693 +g1069 +tp4221 +a(g826 +V\u000a +p4222 +tp4223 +a(g826 +V \u000a +p4224 +tp4225 +a(g7 +Vfunction +p4226 +tp4227 +a(g826 +g958 +tp4228 +a(g423 +VhasData +p4229 +tp4230 +a(g693 +g981 +tp4231 +a(g693 +g990 +tp4232 +a(g826 +V\u000a +p4233 +tp4234 +a(g826 +V +p4235 +tp4236 +a(g693 +g970 +tp4237 +a(g826 +V\u000a +p4238 +tp4239 +a(g826 +V +p4240 +tp4241 +a(g7 +Vreturn +p4242 +tp4243 +a(g826 +g958 +tp4244 +a(g423 +VmHead +p4245 +tp4246 +a(g826 +g958 +tp4247 +a(g693 +g2387 +tp4248 +a(g7 +Vis +p4249 +tp4250 +a(g826 +g958 +tp4251 +a(g896 +Vnull +p4252 +tp4253 +a(g693 +g962 +tp4254 +a(g826 +V\u000a +p4255 +tp4256 +a(g826 +V +p4257 +tp4258 +a(g693 +g1069 +tp4259 +a(g826 +V\u000a +p4260 +tp4261 +a(g826 +g974 +tp4262 +a(g693 +g1069 +tp4263 +a(g826 +V\u000a +p4264 +tp4265 +a(g826 +V \u000a +p4266 +tp4267 +a(g7 +Vclass +p4268 +tp4269 +a(g826 +g958 +tp4270 +a(g423 +VQueue +p4271 +tp4272 +a(g826 +V\u000a +p4273 +tp4274 +a(g826 +g974 +tp4275 +a(g693 +g970 +tp4276 +a(g826 +V\u000a +p4277 +tp4278 +a(g826 +V +p4279 +tp4280 +a(g423 +VmHead +p4281 +tp4282 +a(g826 +g958 +tp4283 +a(g693 +g1024 +tp4284 +a(g826 +g958 +tp4285 +a(g896 +Vnull +p4286 +tp4287 +a(g693 +g962 +tp4288 +a(g826 +V\u000a +p4289 +tp4290 +a(g826 +V +p4291 +tp4292 +a(g423 +VmTail +p4293 +tp4294 +a(g826 +g958 +tp4295 +a(g693 +g1024 +tp4296 +a(g826 +g958 +tp4297 +a(g896 +Vnull +p4298 +tp4299 +a(g693 +g962 +tp4300 +a(g826 +V\u000a +p4301 +tp4302 +a(g826 +V \u000a +p4303 +tp4304 +a(g7 +Vfunction +p4305 +tp4306 +a(g826 +g958 +tp4307 +a(g423 +Vpush +p4308 +tp4309 +a(g693 +g981 +tp4310 +a(g423 +Vdata +p4311 +tp4312 +a(g693 +g990 +tp4313 +a(g826 +V\u000a +p4314 +tp4315 +a(g826 +V +p4316 +tp4317 +a(g693 +g970 +tp4318 +a(g826 +V\u000a +p4319 +tp4320 +a(g826 +V +p4321 +tp4322 +a(g7 +Vlocal +p4323 +tp4324 +a(g826 +g958 +tp4325 +a(g423 +g1595 +tp4326 +a(g826 +g958 +tp4327 +a(g693 +g1024 +tp4328 +a(g826 +g958 +tp4329 +a(g693 +g970 +tp4330 +a(g826 +g958 +tp4331 +a(g423 +Vdata +p4332 +tp4333 +a(g826 +g958 +tp4334 +a(g693 +g1024 +tp4335 +a(g826 +g958 +tp4336 +a(g423 +Vdata +p4337 +tp4338 +a(g693 +g985 +tp4339 +a(g826 +g958 +tp4340 +a(g423 +Vnext +p4341 +tp4342 +a(g826 +g958 +tp4343 +a(g693 +g1024 +tp4344 +a(g826 +g958 +tp4345 +a(g896 +Vnull +p4346 +tp4347 +a(g826 +g958 +tp4348 +a(g693 +g1069 +tp4349 +a(g693 +g962 +tp4350 +a(g826 +V\u000a +p4351 +tp4352 +a(g826 +V \u000a +p4353 +tp4354 +a(g7 +Vif +p4355 +tp4356 +a(g693 +g981 +tp4357 +a(g423 +VmTail +p4358 +tp4359 +a(g826 +g958 +tp4360 +a(g7 +Vis +p4361 +tp4362 +a(g826 +g958 +tp4363 +a(g896 +Vnull +p4364 +tp4365 +a(g693 +g990 +tp4366 +a(g826 +V\u000a +p4367 +tp4368 +a(g826 +V +p4369 +tp4370 +a(g693 +g970 +tp4371 +a(g826 +V\u000a +p4372 +tp4373 +a(g826 +V +p4374 +tp4375 +a(g423 +VmHead +p4376 +tp4377 +a(g826 +g958 +tp4378 +a(g693 +g1024 +tp4379 +a(g826 +g958 +tp4380 +a(g423 +g1595 +tp4381 +a(g693 +g962 +tp4382 +a(g826 +V\u000a +p4383 +tp4384 +a(g826 +V +p4385 +tp4386 +a(g423 +VmTail +p4387 +tp4388 +a(g826 +g958 +tp4389 +a(g693 +g1024 +tp4390 +a(g826 +g958 +tp4391 +a(g423 +g1595 +tp4392 +a(g693 +g962 +tp4393 +a(g826 +V\u000a +p4394 +tp4395 +a(g826 +V +p4396 +tp4397 +a(g693 +g1069 +tp4398 +a(g826 +V\u000a +p4399 +tp4400 +a(g826 +V +p4401 +tp4402 +a(g7 +Velse +p4403 +tp4404 +a(g826 +V\u000a +p4405 +tp4406 +a(g826 +V +p4407 +tp4408 +a(g693 +g970 +tp4409 +a(g826 +V\u000a +p4410 +tp4411 +a(g826 +V +p4412 +tp4413 +a(g423 +VmTail +p4414 +tp4415 +a(g693 +g1019 +tp4416 +a(g423 +Vnext +p4417 +tp4418 +a(g826 +g958 +tp4419 +a(g693 +g1024 +tp4420 +a(g826 +g958 +tp4421 +a(g423 +g1595 +tp4422 +a(g693 +g962 +tp4423 +a(g826 +V\u000a +p4424 +tp4425 +a(g826 +V +p4426 +tp4427 +a(g423 +VmTail +p4428 +tp4429 +a(g826 +g958 +tp4430 +a(g693 +g1024 +tp4431 +a(g826 +g958 +tp4432 +a(g423 +g1595 +tp4433 +a(g693 +g962 +tp4434 +a(g826 +V\u000a +p4435 +tp4436 +a(g826 +V +p4437 +tp4438 +a(g693 +g1069 +tp4439 +a(g826 +V\u000a +p4440 +tp4441 +a(g826 +V +p4442 +tp4443 +a(g693 +g1069 +tp4444 +a(g826 +V\u000a +p4445 +tp4446 +a(g826 +V \u000a +p4447 +tp4448 +a(g7 +Vfunction +p4449 +tp4450 +a(g826 +g958 +tp4451 +a(g423 +Vpop +p4452 +tp4453 +a(g693 +g981 +tp4454 +a(g693 +g990 +tp4455 +a(g826 +V\u000a +p4456 +tp4457 +a(g826 +V +p4458 +tp4459 +a(g693 +g970 +tp4460 +a(g826 +V\u000a +p4461 +tp4462 +a(g826 +V +p4463 +tp4464 +a(g7 +Vif +p4465 +tp4466 +a(g693 +g981 +tp4467 +a(g423 +VmTail +p4468 +tp4469 +a(g826 +g958 +tp4470 +a(g7 +Vis +p4471 +tp4472 +a(g826 +g958 +tp4473 +a(g896 +Vnull +p4474 +tp4475 +a(g693 +g990 +tp4476 +a(g826 +V\u000a +p4477 +tp4478 +a(g826 +V +p4479 +tp4480 +a(g7 +Vthrow +p4481 +tp4482 +a(g826 +g958 +tp4483 +a(g76 +V"Queue.pop() - No items to pop" +p4484 +tp4485 +a(g693 +g962 +tp4486 +a(g826 +V\u000a +p4487 +tp4488 +a(g826 +V \u000a +p4489 +tp4490 +a(g7 +Vlocal +p4491 +tp4492 +a(g826 +g958 +tp4493 +a(g423 +Vitem +p4494 +tp4495 +a(g826 +g958 +tp4496 +a(g693 +g1024 +tp4497 +a(g826 +g958 +tp4498 +a(g423 +VmHead +p4499 +tp4500 +a(g693 +g962 +tp4501 +a(g826 +V\u000a +p4502 +tp4503 +a(g826 +V +p4504 +tp4505 +a(g423 +VmHead +p4506 +tp4507 +a(g826 +g958 +tp4508 +a(g693 +g1024 +tp4509 +a(g826 +g958 +tp4510 +a(g423 +VmHead +p4511 +tp4512 +a(g693 +g1019 +tp4513 +a(g423 +Vnext +p4514 +tp4515 +a(g693 +g962 +tp4516 +a(g826 +V\u000a +p4517 +tp4518 +a(g826 +V \u000a +p4519 +tp4520 +a(g7 +Vif +p4521 +tp4522 +a(g693 +g981 +tp4523 +a(g423 +VmHead +p4524 +tp4525 +a(g826 +g958 +tp4526 +a(g7 +Vis +p4527 +tp4528 +a(g826 +g958 +tp4529 +a(g896 +Vnull +p4530 +tp4531 +a(g693 +g990 +tp4532 +a(g826 +V\u000a +p4533 +tp4534 +a(g826 +V +p4535 +tp4536 +a(g423 +VmTail +p4537 +tp4538 +a(g826 +g958 +tp4539 +a(g693 +g1024 +tp4540 +a(g826 +g958 +tp4541 +a(g896 +Vnull +p4542 +tp4543 +a(g693 +g962 +tp4544 +a(g826 +V\u000a +p4545 +tp4546 +a(g826 +V \u000a +p4547 +tp4548 +a(g7 +Vreturn +p4549 +tp4550 +a(g826 +g958 +tp4551 +a(g423 +Vitem +p4552 +tp4553 +a(g693 +g1019 +tp4554 +a(g423 +Vdata +p4555 +tp4556 +a(g693 +g962 +tp4557 +a(g826 +V\u000a +p4558 +tp4559 +a(g826 +V +p4560 +tp4561 +a(g693 +g1069 +tp4562 +a(g826 +V\u000a +p4563 +tp4564 +a(g826 +V \u000a +p4565 +tp4566 +a(g7 +Vfunction +p4567 +tp4568 +a(g826 +g958 +tp4569 +a(g423 +VhasData +p4570 +tp4571 +a(g693 +g981 +tp4572 +a(g693 +g990 +tp4573 +a(g826 +V\u000a +p4574 +tp4575 +a(g826 +V +p4576 +tp4577 +a(g693 +g970 +tp4578 +a(g826 +V\u000a +p4579 +tp4580 +a(g826 +V +p4581 +tp4582 +a(g7 +Vreturn +p4583 +tp4584 +a(g826 +g958 +tp4585 +a(g423 +VmHead +p4586 +tp4587 +a(g826 +g958 +tp4588 +a(g693 +g2387 +tp4589 +a(g7 +Vis +p4590 +tp4591 +a(g826 +g958 +tp4592 +a(g896 +Vnull +p4593 +tp4594 +a(g693 +g962 +tp4595 +a(g826 +V\u000a +p4596 +tp4597 +a(g826 +V +p4598 +tp4599 +a(g693 +g1069 +tp4600 +a(g826 +V\u000a +p4601 +tp4602 +a(g826 +g974 +tp4603 +a(g693 +g1069 +tp4604 +a(g826 +V\u000a +p4605 +tp4606 +a(g826 +V \u000a +p4607 +tp4608 +a(g423 +Vwritefln +p4609 +tp4610 +a(g693 +g981 +tp4611 +a(g76 +V"Priority queue (heap)" +p4612 +tp4613 +a(g693 +g990 +tp4614 +a(g693 +g962 +tp4615 +a(g826 +V\u000a +p4616 +tp4617 +a(g826 +V \u000a +p4618 +tp4619 +a(g7 +Vlocal +p4620 +tp4621 +a(g826 +g958 +tp4622 +a(g423 +VprioQ +p4623 +tp4624 +a(g826 +g958 +tp4625 +a(g693 +g1024 +tp4626 +a(g826 +g958 +tp4627 +a(g423 +VPQ +p4628 +tp4629 +a(g693 +g981 +tp4630 +a(g693 +g990 +tp4631 +a(g693 +g962 +tp4632 +a(g826 +V\u000a +p4633 +tp4634 +a(g826 +V \u000a +p4635 +tp4636 +a(g7 +Vfor +p4637 +tp4638 +a(g693 +g981 +tp4639 +a(g423 +g2362 +tp4640 +a(g826 +g958 +tp4641 +a(g693 +g1231 +tp4642 +a(g826 +g958 +tp4643 +a(g22 +g1107 +tp4644 +a(g826 +g958 +tp4645 +a(g693 +V.. +p4646 +tp4647 +a(g826 +g958 +tp4648 +a(g22 +V10 +p4649 +tp4650 +a(g693 +g990 +tp4651 +a(g826 +V\u000a +p4652 +tp4653 +a(g826 +V +p4654 +tp4655 +a(g423 +VprioQ +p4656 +tp4657 +a(g693 +g1019 +tp4658 +a(g423 +Vinsert +p4659 +tp4660 +a(g693 +g981 +tp4661 +a(g423 +Vmath +p4662 +tp4663 +a(g693 +g1019 +tp4664 +a(g423 +Vrand +p4665 +tp4666 +a(g693 +g981 +tp4667 +a(g22 +g1107 +tp4668 +a(g693 +g985 +tp4669 +a(g826 +g958 +tp4670 +a(g22 +V20 +p4671 +tp4672 +a(g693 +g990 +tp4673 +a(g693 +g990 +tp4674 +a(g693 +g962 +tp4675 +a(g826 +V\u000a +p4676 +tp4677 +a(g826 +V \u000a +p4678 +tp4679 +a(g7 +Vwhile +p4680 +tp4681 +a(g693 +g981 +tp4682 +a(g423 +VprioQ +p4683 +tp4684 +a(g693 +g1019 +tp4685 +a(g423 +VhasData +p4686 +tp4687 +a(g693 +g981 +tp4688 +a(g693 +g990 +tp4689 +a(g693 +g990 +tp4690 +a(g826 +V\u000a +p4691 +tp4692 +a(g826 +V +p4693 +tp4694 +a(g423 +Vwritefln +p4695 +tp4696 +a(g693 +g981 +tp4697 +a(g423 +VprioQ +p4698 +tp4699 +a(g693 +g1019 +tp4700 +a(g423 +Vremove +p4701 +tp4702 +a(g693 +g981 +tp4703 +a(g693 +g990 +tp4704 +a(g693 +g990 +tp4705 +a(g693 +g962 +tp4706 +a(g826 +V\u000a +p4707 +tp4708 +a(g826 +V \u000a +p4709 +tp4710 +a(g423 +Vwritefln +p4711 +tp4712 +a(g693 +g981 +tp4713 +a(g693 +g990 +tp4714 +a(g693 +g962 +tp4715 +a(g826 +V\u000a +p4716 +tp4717 +a(g826 +g974 +tp4718 +a(g423 +Vwritefln +p4719 +tp4720 +a(g693 +g981 +tp4721 +a(g76 +V"Stack" +p4722 +tp4723 +a(g693 +g990 +tp4724 +a(g693 +g962 +tp4725 +a(g826 +V\u000a +p4726 +tp4727 +a(g826 +V \u000a +p4728 +tp4729 +a(g7 +Vlocal +p4730 +tp4731 +a(g826 +g958 +tp4732 +a(g423 +Vstack +p4733 +tp4734 +a(g826 +g958 +tp4735 +a(g693 +g1024 +tp4736 +a(g826 +g958 +tp4737 +a(g423 +VStack +p4738 +tp4739 +a(g693 +g981 +tp4740 +a(g693 +g990 +tp4741 +a(g693 +g962 +tp4742 +a(g826 +V\u000a +p4743 +tp4744 +a(g826 +V \u000a +p4745 +tp4746 +a(g7 +Vfor +p4747 +tp4748 +a(g693 +g981 +tp4749 +a(g423 +g2362 +tp4750 +a(g826 +g958 +tp4751 +a(g693 +g1231 +tp4752 +a(g826 +g958 +tp4753 +a(g22 +g1107 +tp4754 +a(g826 +g958 +tp4755 +a(g693 +V.. +p4756 +tp4757 +a(g826 +g958 +tp4758 +a(g22 +g1259 +tp4759 +a(g693 +g990 +tp4760 +a(g826 +V\u000a +p4761 +tp4762 +a(g826 +V +p4763 +tp4764 +a(g423 +Vstack +p4765 +tp4766 +a(g693 +g1019 +tp4767 +a(g423 +Vpush +p4768 +tp4769 +a(g693 +g981 +tp4770 +a(g423 +g2362 +tp4771 +a(g826 +g958 +tp4772 +a(g693 +g3013 +tp4773 +a(g826 +g958 +tp4774 +a(g22 +g1671 +tp4775 +a(g693 +g990 +tp4776 +a(g693 +g962 +tp4777 +a(g826 +V\u000a +p4778 +tp4779 +a(g826 +V \u000a +p4780 +tp4781 +a(g7 +Vwhile +p4782 +tp4783 +a(g693 +g981 +tp4784 +a(g423 +Vstack +p4785 +tp4786 +a(g693 +g1019 +tp4787 +a(g423 +VhasData +p4788 +tp4789 +a(g693 +g981 +tp4790 +a(g693 +g990 +tp4791 +a(g693 +g990 +tp4792 +a(g826 +V\u000a +p4793 +tp4794 +a(g826 +V +p4795 +tp4796 +a(g423 +Vwritefln +p4797 +tp4798 +a(g693 +g981 +tp4799 +a(g423 +Vstack +p4800 +tp4801 +a(g693 +g1019 +tp4802 +a(g423 +Vpop +p4803 +tp4804 +a(g693 +g981 +tp4805 +a(g693 +g990 +tp4806 +a(g693 +g990 +tp4807 +a(g693 +g962 +tp4808 +a(g826 +V\u000a +p4809 +tp4810 +a(g826 +V \u000a +p4811 +tp4812 +a(g423 +Vwritefln +p4813 +tp4814 +a(g693 +g981 +tp4815 +a(g693 +g990 +tp4816 +a(g693 +g962 +tp4817 +a(g826 +V\u000a +p4818 +tp4819 +a(g826 +g974 +tp4820 +a(g423 +Vwritefln +p4821 +tp4822 +a(g693 +g981 +tp4823 +a(g76 +V"Queue" +p4824 +tp4825 +a(g693 +g990 +tp4826 +a(g693 +g962 +tp4827 +a(g826 +V\u000a +p4828 +tp4829 +a(g826 +V \u000a +p4830 +tp4831 +a(g7 +Vlocal +p4832 +tp4833 +a(g826 +g958 +tp4834 +a(g423 +Vqueue +p4835 +tp4836 +a(g826 +g958 +tp4837 +a(g693 +g1024 +tp4838 +a(g826 +g958 +tp4839 +a(g423 +VQueue +p4840 +tp4841 +a(g693 +g981 +tp4842 +a(g693 +g990 +tp4843 +a(g693 +g962 +tp4844 +a(g826 +V\u000a +p4845 +tp4846 +a(g826 +V \u000a +p4847 +tp4848 +a(g7 +Vfor +p4849 +tp4850 +a(g693 +g981 +tp4851 +a(g423 +g2362 +tp4852 +a(g826 +g958 +tp4853 +a(g693 +g1231 +tp4854 +a(g826 +g958 +tp4855 +a(g22 +g1107 +tp4856 +a(g826 +g958 +tp4857 +a(g693 +V.. +p4858 +tp4859 +a(g826 +g958 +tp4860 +a(g22 +g1259 +tp4861 +a(g693 +g990 +tp4862 +a(g826 +V\u000a +p4863 +tp4864 +a(g826 +V +p4865 +tp4866 +a(g423 +Vqueue +p4867 +tp4868 +a(g693 +g1019 +tp4869 +a(g423 +Vpush +p4870 +tp4871 +a(g693 +g981 +tp4872 +a(g423 +g2362 +tp4873 +a(g826 +g958 +tp4874 +a(g693 +g3013 +tp4875 +a(g826 +g958 +tp4876 +a(g22 +g1671 +tp4877 +a(g693 +g990 +tp4878 +a(g693 +g962 +tp4879 +a(g826 +V\u000a +p4880 +tp4881 +a(g826 +V \u000a +p4882 +tp4883 +a(g7 +Vwhile +p4884 +tp4885 +a(g693 +g981 +tp4886 +a(g423 +Vqueue +p4887 +tp4888 +a(g693 +g1019 +tp4889 +a(g423 +VhasData +p4890 +tp4891 +a(g693 +g981 +tp4892 +a(g693 +g990 +tp4893 +a(g693 +g990 +tp4894 +a(g826 +V\u000a +p4895 +tp4896 +a(g826 +V +p4897 +tp4898 +a(g423 +Vwritefln +p4899 +tp4900 +a(g693 +g981 +tp4901 +a(g423 +Vqueue +p4902 +tp4903 +a(g693 +g1019 +tp4904 +a(g423 +Vpop +p4905 +tp4906 +a(g693 +g981 +tp4907 +a(g693 +g990 +tp4908 +a(g693 +g990 +tp4909 +a(g693 +g962 +tp4910 +a(g826 +V\u000a +p4911 +tp4912 +a(g826 +V \u000a +p4913 +tp4914 +a(g423 +Vwritefln +p4915 +tp4916 +a(g693 +g981 +tp4917 +a(g693 +g990 +tp4918 +a(g693 +g962 +tp4919 +a(g826 +V\u000a +p4920 +tp4921 +a(g693 +g1069 +tp4922 +a(g826 +V\u000a +p4923 +tp4924 +a(g826 +V\u000a +p4925 +tp4926 +a(g745 +V// opApply tests.\u000a +p4927 +tp4928 +a(g693 +g970 +tp4929 +a(g826 +V\u000a +p4930 +tp4931 +a(g826 +g974 +tp4932 +a(g7 +Vclass +p4933 +tp4934 +a(g826 +g958 +tp4935 +a(g423 +VTest +p4936 +tp4937 +a(g826 +V\u000a +p4938 +tp4939 +a(g826 +g974 +tp4940 +a(g693 +g970 +tp4941 +a(g826 +V\u000a +p4942 +tp4943 +a(g826 +V +p4944 +tp4945 +a(g423 +VmData +p4946 +tp4947 +a(g826 +g958 +tp4948 +a(g693 +g1024 +tp4949 +a(g826 +g958 +tp4950 +a(g693 +g1105 +tp4951 +a(g22 +V4 +p4952 +tp4953 +a(g693 +g985 +tp4954 +a(g826 +g958 +tp4955 +a(g22 +g1259 +tp4956 +a(g693 +g985 +tp4957 +a(g826 +g958 +tp4958 +a(g22 +V6 +p4959 +tp4960 +a(g693 +g1109 +tp4961 +a(g693 +g962 +tp4962 +a(g826 +V\u000a +p4963 +tp4964 +a(g826 +V \u000a +p4965 +tp4966 +a(g7 +Vfunction +p4967 +tp4968 +a(g826 +g958 +tp4969 +a(g423 +VopApply +p4970 +tp4971 +a(g693 +g981 +tp4972 +a(g423 +Vextra +p4973 +tp4974 +a(g693 +g990 +tp4975 +a(g826 +V\u000a +p4976 +tp4977 +a(g826 +V +p4978 +tp4979 +a(g693 +g970 +tp4980 +a(g826 +V\u000a +p4981 +tp4982 +a(g826 +V +p4983 +tp4984 +a(g7 +Vif +p4985 +tp4986 +a(g693 +g981 +tp4987 +a(g423 +VisString +p4988 +tp4989 +a(g693 +g981 +tp4990 +a(g423 +Vextra +p4991 +tp4992 +a(g693 +g990 +tp4993 +a(g826 +g958 +tp4994 +a(g693 +V&& +p4995 +tp4996 +a(g826 +g958 +tp4997 +a(g423 +Vextra +p4998 +tp4999 +a(g826 +g958 +tp5000 +a(g693 +V== +p5001 +tp5002 +a(g826 +g958 +tp5003 +a(g76 +V"reverse" +p5004 +tp5005 +a(g693 +g990 +tp5006 +a(g826 +V\u000a +p5007 +tp5008 +a(g826 +V +p5009 +tp5010 +a(g693 +g970 +tp5011 +a(g826 +V\u000a +p5012 +tp5013 +a(g826 +V +p5014 +tp5015 +a(g7 +Vlocal +p5016 +tp5017 +a(g826 +g958 +tp5018 +a(g7 +Vfunction +p5019 +tp5020 +a(g826 +g958 +tp5021 +a(g423 +Viterator_reverse +p5022 +tp5023 +a(g693 +g981 +tp5024 +a(g423 +Vindex +p5025 +tp5026 +a(g693 +g990 +tp5027 +a(g826 +V\u000a +p5028 +tp5029 +a(g826 +V +p5030 +tp5031 +a(g693 +g970 +tp5032 +a(g826 +V\u000a +p5033 +tp5034 +a(g826 +V +p5035 +tp5036 +a(g423 +Vindex +p5037 +tp5038 +a(g693 +V-- +p5039 +tp5040 +a(g693 +g962 +tp5041 +a(g826 +V\u000a +p5042 +tp5043 +a(g826 +V \u000a +p5044 +tp5045 +a(g7 +Vif +p5046 +tp5047 +a(g693 +g981 +tp5048 +a(g423 +Vindex +p5049 +tp5050 +a(g826 +g958 +tp5051 +a(g693 +g3560 +tp5052 +a(g826 +g958 +tp5053 +a(g22 +g1107 +tp5054 +a(g693 +g990 +tp5055 +a(g826 +V\u000a +p5056 +tp5057 +a(g826 +V +p5058 +tp5059 +a(g7 +Vreturn +p5060 +tp5061 +a(g693 +g962 +tp5062 +a(g826 +V\u000a +p5063 +tp5064 +a(g826 +V \u000a +p5065 +tp5066 +a(g7 +Vreturn +p5067 +tp5068 +a(g826 +g958 +tp5069 +a(g423 +Vindex +p5070 +tp5071 +a(g693 +g985 +tp5072 +a(g826 +g958 +tp5073 +a(g423 +VmData +p5074 +tp5075 +a(g693 +g1105 +tp5076 +a(g423 +Vindex +p5077 +tp5078 +a(g693 +g1109 +tp5079 +a(g693 +g962 +tp5080 +a(g826 +V\u000a +p5081 +tp5082 +a(g826 +V +p5083 +tp5084 +a(g693 +g1069 +tp5085 +a(g826 +V\u000a +p5086 +tp5087 +a(g826 +V \u000a +p5088 +tp5089 +a(g7 +Vreturn +p5090 +tp5091 +a(g826 +g958 +tp5092 +a(g423 +Viterator_reverse +p5093 +tp5094 +a(g693 +g985 +tp5095 +a(g826 +g958 +tp5096 +a(g7 +Vthis +p5097 +tp5098 +a(g693 +g985 +tp5099 +a(g826 +g958 +tp5100 +a(g693 +g3962 +tp5101 +a(g423 +VmData +p5102 +tp5103 +a(g693 +g962 +tp5104 +a(g826 +V\u000a +p5105 +tp5106 +a(g826 +V +p5107 +tp5108 +a(g693 +g1069 +tp5109 +a(g826 +V\u000a +p5110 +tp5111 +a(g826 +V +p5112 +tp5113 +a(g7 +Velse +p5114 +tp5115 +a(g826 +V\u000a +p5116 +tp5117 +a(g826 +V +p5118 +tp5119 +a(g693 +g970 +tp5120 +a(g826 +V\u000a +p5121 +tp5122 +a(g826 +V +p5123 +tp5124 +a(g7 +Vlocal +p5125 +tp5126 +a(g826 +g958 +tp5127 +a(g7 +Vfunction +p5128 +tp5129 +a(g826 +g958 +tp5130 +a(g423 +Viterator +p5131 +tp5132 +a(g693 +g981 +tp5133 +a(g423 +Vindex +p5134 +tp5135 +a(g693 +g990 +tp5136 +a(g826 +V\u000a +p5137 +tp5138 +a(g826 +V +p5139 +tp5140 +a(g693 +g970 +tp5141 +a(g826 +V\u000a +p5142 +tp5143 +a(g826 +V +p5144 +tp5145 +a(g423 +Vindex +p5146 +tp5147 +a(g693 +V++ +p5148 +tp5149 +a(g693 +g962 +tp5150 +a(g826 +V\u000a +p5151 +tp5152 +a(g826 +V \u000a +p5153 +tp5154 +a(g7 +Vif +p5155 +tp5156 +a(g693 +g981 +tp5157 +a(g423 +Vindex +p5158 +tp5159 +a(g826 +g958 +tp5160 +a(g693 +V>= +p5161 +tp5162 +a(g826 +g958 +tp5163 +a(g693 +g3962 +tp5164 +a(g423 +VmData +p5165 +tp5166 +a(g693 +g990 +tp5167 +a(g826 +V\u000a +p5168 +tp5169 +a(g826 +V +p5170 +tp5171 +a(g7 +Vreturn +p5172 +tp5173 +a(g693 +g962 +tp5174 +a(g826 +V\u000a +p5175 +tp5176 +a(g826 +V \u000a +p5177 +tp5178 +a(g7 +Vreturn +p5179 +tp5180 +a(g826 +g958 +tp5181 +a(g423 +Vindex +p5182 +tp5183 +a(g693 +g985 +tp5184 +a(g826 +g958 +tp5185 +a(g423 +VmData +p5186 +tp5187 +a(g693 +g1105 +tp5188 +a(g423 +Vindex +p5189 +tp5190 +a(g693 +g1109 +tp5191 +a(g693 +g962 +tp5192 +a(g826 +V\u000a +p5193 +tp5194 +a(g826 +V +p5195 +tp5196 +a(g693 +g1069 +tp5197 +a(g826 +V\u000a +p5198 +tp5199 +a(g826 +V \u000a +p5200 +tp5201 +a(g7 +Vreturn +p5202 +tp5203 +a(g826 +g958 +tp5204 +a(g423 +Viterator +p5205 +tp5206 +a(g693 +g985 +tp5207 +a(g826 +g958 +tp5208 +a(g7 +Vthis +p5209 +tp5210 +a(g693 +g985 +tp5211 +a(g826 +g958 +tp5212 +a(g693 +g1798 +tp5213 +a(g22 +g1671 +tp5214 +a(g693 +g962 +tp5215 +a(g826 +V\u000a +p5216 +tp5217 +a(g826 +V +p5218 +tp5219 +a(g693 +g1069 +tp5220 +a(g826 +V\u000a +p5221 +tp5222 +a(g826 +V +p5223 +tp5224 +a(g693 +g1069 +tp5225 +a(g826 +V\u000a +p5226 +tp5227 +a(g826 +g974 +tp5228 +a(g693 +g1069 +tp5229 +a(g826 +V\u000a +p5230 +tp5231 +a(g826 +V \u000a +p5232 +tp5233 +a(g7 +Vlocal +p5234 +tp5235 +a(g826 +g958 +tp5236 +a(g423 +Vtest +p5237 +tp5238 +a(g826 +g958 +tp5239 +a(g693 +g1024 +tp5240 +a(g826 +g958 +tp5241 +a(g423 +VTest +p5242 +tp5243 +a(g693 +g981 +tp5244 +a(g693 +g990 +tp5245 +a(g693 +g962 +tp5246 +a(g826 +V\u000a +p5247 +tp5248 +a(g826 +V \u000a +p5249 +tp5250 +a(g7 +Vforeach +p5251 +tp5252 +a(g693 +g981 +tp5253 +a(g423 +g1165 +tp5254 +a(g693 +g985 +tp5255 +a(g826 +g958 +tp5256 +a(g423 +g1169 +tp5257 +a(g693 +g962 +tp5258 +a(g826 +g958 +tp5259 +a(g423 +Vtest +p5260 +tp5261 +a(g693 +g990 +tp5262 +a(g826 +V\u000a +p5263 +tp5264 +a(g826 +V +p5265 +tp5266 +a(g423 +Vwritefln +p5267 +tp5268 +a(g693 +g981 +tp5269 +a(g76 +V"test[" +p5270 +tp5271 +a(g693 +g985 +tp5272 +a(g826 +g958 +tp5273 +a(g423 +g1165 +tp5274 +a(g693 +g985 +tp5275 +a(g826 +g958 +tp5276 +a(g76 +V"] = " +p5277 +tp5278 +a(g693 +g985 +tp5279 +a(g826 +g958 +tp5280 +a(g423 +g1169 +tp5281 +a(g693 +g990 +tp5282 +a(g693 +g962 +tp5283 +a(g826 +V\u000a +p5284 +tp5285 +a(g826 +V \u000a +p5286 +tp5287 +a(g423 +Vwritefln +p5288 +tp5289 +a(g693 +g981 +tp5290 +a(g693 +g990 +tp5291 +a(g693 +g962 +tp5292 +a(g826 +V\u000a +p5293 +tp5294 +a(g826 +V \u000a +p5295 +tp5296 +a(g7 +Vforeach +p5297 +tp5298 +a(g693 +g981 +tp5299 +a(g423 +g1165 +tp5300 +a(g693 +g985 +tp5301 +a(g826 +g958 +tp5302 +a(g423 +g1169 +tp5303 +a(g693 +g962 +tp5304 +a(g826 +g958 +tp5305 +a(g423 +Vtest +p5306 +tp5307 +a(g693 +g985 +tp5308 +a(g826 +g958 +tp5309 +a(g76 +V"reverse" +p5310 +tp5311 +a(g693 +g990 +tp5312 +a(g826 +V\u000a +p5313 +tp5314 +a(g826 +V +p5315 +tp5316 +a(g423 +Vwritefln +p5317 +tp5318 +a(g693 +g981 +tp5319 +a(g76 +V"test[" +p5320 +tp5321 +a(g693 +g985 +tp5322 +a(g826 +g958 +tp5323 +a(g423 +g1165 +tp5324 +a(g693 +g985 +tp5325 +a(g826 +g958 +tp5326 +a(g76 +V"] = " +p5327 +tp5328 +a(g693 +g985 +tp5329 +a(g826 +g958 +tp5330 +a(g423 +g1169 +tp5331 +a(g693 +g990 +tp5332 +a(g693 +g962 +tp5333 +a(g826 +V\u000a +p5334 +tp5335 +a(g826 +V \u000a +p5336 +tp5337 +a(g423 +Vwritefln +p5338 +tp5339 +a(g693 +g981 +tp5340 +a(g693 +g990 +tp5341 +a(g693 +g962 +tp5342 +a(g826 +V\u000a +p5343 +tp5344 +a(g826 +V \u000a +p5345 +tp5346 +a(g423 +Vtest +p5347 +tp5348 +a(g826 +g958 +tp5349 +a(g693 +g1024 +tp5350 +a(g826 +V\u000a +p5351 +tp5352 +a(g826 +g974 +tp5353 +a(g693 +g970 +tp5354 +a(g826 +V\u000a +p5355 +tp5356 +a(g826 +V +p5357 +tp5358 +a(g423 +Vfork +p5359 +tp5360 +a(g826 +g958 +tp5361 +a(g693 +g1024 +tp5362 +a(g826 +g958 +tp5363 +a(g22 +g1259 +tp5364 +a(g693 +g985 +tp5365 +a(g826 +V\u000a +p5366 +tp5367 +a(g826 +V +p5368 +tp5369 +a(g423 +Vknife +p5370 +tp5371 +a(g826 +g958 +tp5372 +a(g693 +g1024 +tp5373 +a(g826 +g958 +tp5374 +a(g22 +V10 +p5375 +tp5376 +a(g693 +g985 +tp5377 +a(g826 +V\u000a +p5378 +tp5379 +a(g826 +V +p5380 +tp5381 +a(g423 +Vspoon +p5382 +tp5383 +a(g826 +g958 +tp5384 +a(g693 +g1024 +tp5385 +a(g826 +g958 +tp5386 +a(g76 +V"hi" +p5387 +tp5388 +a(g826 +V\u000a +p5389 +tp5390 +a(g826 +g974 +tp5391 +a(g693 +g1069 +tp5392 +a(g693 +g962 +tp5393 +a(g826 +V\u000a +p5394 +tp5395 +a(g826 +V \u000a +p5396 +tp5397 +a(g7 +Vforeach +p5398 +tp5399 +a(g693 +g981 +tp5400 +a(g423 +g1165 +tp5401 +a(g693 +g985 +tp5402 +a(g826 +g958 +tp5403 +a(g423 +g1169 +tp5404 +a(g693 +g962 +tp5405 +a(g826 +g958 +tp5406 +a(g423 +Vtest +p5407 +tp5408 +a(g693 +g990 +tp5409 +a(g826 +V\u000a +p5410 +tp5411 +a(g826 +V +p5412 +tp5413 +a(g423 +Vwritefln +p5414 +tp5415 +a(g693 +g981 +tp5416 +a(g76 +V"test[" +p5417 +tp5418 +a(g693 +g985 +tp5419 +a(g826 +g958 +tp5420 +a(g423 +g1165 +tp5421 +a(g693 +g985 +tp5422 +a(g826 +g958 +tp5423 +a(g76 +V"] = " +p5424 +tp5425 +a(g693 +g985 +tp5426 +a(g826 +g958 +tp5427 +a(g423 +g1169 +tp5428 +a(g693 +g990 +tp5429 +a(g693 +g962 +tp5430 +a(g826 +V\u000a +p5431 +tp5432 +a(g826 +V \u000a +p5433 +tp5434 +a(g423 +Vtest +p5435 +tp5436 +a(g826 +g958 +tp5437 +a(g693 +g1024 +tp5438 +a(g826 +g958 +tp5439 +a(g693 +g1105 +tp5440 +a(g22 +g1259 +tp5441 +a(g693 +g985 +tp5442 +a(g826 +g958 +tp5443 +a(g22 +V10 +p5444 +tp5445 +a(g693 +g985 +tp5446 +a(g826 +g958 +tp5447 +a(g76 +V"hi" +p5448 +tp5449 +a(g693 +g1109 +tp5450 +a(g693 +g962 +tp5451 +a(g826 +V\u000a +p5452 +tp5453 +a(g826 +V \u000a +p5454 +tp5455 +a(g423 +Vwritefln +p5456 +tp5457 +a(g693 +g981 +tp5458 +a(g693 +g990 +tp5459 +a(g693 +g962 +tp5460 +a(g826 +V\u000a +p5461 +tp5462 +a(g826 +V \u000a +p5463 +tp5464 +a(g7 +Vforeach +p5465 +tp5466 +a(g693 +g981 +tp5467 +a(g423 +g1165 +tp5468 +a(g693 +g985 +tp5469 +a(g826 +g958 +tp5470 +a(g423 +g1169 +tp5471 +a(g693 +g962 +tp5472 +a(g826 +g958 +tp5473 +a(g423 +Vtest +p5474 +tp5475 +a(g693 +g990 +tp5476 +a(g826 +V\u000a +p5477 +tp5478 +a(g826 +V +p5479 +tp5480 +a(g423 +Vwritefln +p5481 +tp5482 +a(g693 +g981 +tp5483 +a(g76 +V"test[" +p5484 +tp5485 +a(g693 +g985 +tp5486 +a(g826 +g958 +tp5487 +a(g423 +g1165 +tp5488 +a(g693 +g985 +tp5489 +a(g826 +g958 +tp5490 +a(g76 +V"] = " +p5491 +tp5492 +a(g693 +g985 +tp5493 +a(g826 +g958 +tp5494 +a(g423 +g1169 +tp5495 +a(g693 +g990 +tp5496 +a(g693 +g962 +tp5497 +a(g826 +V\u000a +p5498 +tp5499 +a(g826 +V \u000a +p5500 +tp5501 +a(g423 +Vwritefln +p5502 +tp5503 +a(g693 +g981 +tp5504 +a(g693 +g990 +tp5505 +a(g693 +g962 +tp5506 +a(g826 +V\u000a +p5507 +tp5508 +a(g826 +V \u000a +p5509 +tp5510 +a(g7 +Vforeach +p5511 +tp5512 +a(g693 +g981 +tp5513 +a(g423 +g1165 +tp5514 +a(g693 +g985 +tp5515 +a(g826 +g958 +tp5516 +a(g423 +g1169 +tp5517 +a(g693 +g962 +tp5518 +a(g826 +g958 +tp5519 +a(g423 +Vtest +p5520 +tp5521 +a(g693 +g985 +tp5522 +a(g826 +g958 +tp5523 +a(g76 +V"reverse" +p5524 +tp5525 +a(g693 +g990 +tp5526 +a(g826 +V\u000a +p5527 +tp5528 +a(g826 +V +p5529 +tp5530 +a(g423 +Vwritefln +p5531 +tp5532 +a(g693 +g981 +tp5533 +a(g76 +V"test[" +p5534 +tp5535 +a(g693 +g985 +tp5536 +a(g826 +g958 +tp5537 +a(g423 +g1165 +tp5538 +a(g693 +g985 +tp5539 +a(g826 +g958 +tp5540 +a(g76 +V"] = " +p5541 +tp5542 +a(g693 +g985 +tp5543 +a(g826 +g958 +tp5544 +a(g423 +g1169 +tp5545 +a(g693 +g990 +tp5546 +a(g693 +g962 +tp5547 +a(g826 +V\u000a +p5548 +tp5549 +a(g826 +V \u000a +p5550 +tp5551 +a(g423 +Vwritefln +p5552 +tp5553 +a(g693 +g981 +tp5554 +a(g693 +g990 +tp5555 +a(g693 +g962 +tp5556 +a(g826 +V\u000a +p5557 +tp5558 +a(g826 +V \u000a +p5559 +tp5560 +a(g7 +Vforeach +p5561 +tp5562 +a(g693 +g981 +tp5563 +a(g423 +g1165 +tp5564 +a(g693 +g985 +tp5565 +a(g826 +g958 +tp5566 +a(g423 +g1169 +tp5567 +a(g693 +g962 +tp5568 +a(g826 +g958 +tp5569 +a(g76 +V"hello" +p5570 +tp5571 +a(g693 +g990 +tp5572 +a(g826 +V\u000a +p5573 +tp5574 +a(g826 +V +p5575 +tp5576 +a(g423 +Vwritefln +p5577 +tp5578 +a(g693 +g981 +tp5579 +a(g76 +V"str[" +p5580 +tp5581 +a(g693 +g985 +tp5582 +a(g826 +g958 +tp5583 +a(g423 +g1165 +tp5584 +a(g693 +g985 +tp5585 +a(g826 +g958 +tp5586 +a(g76 +V"] = " +p5587 +tp5588 +a(g693 +g985 +tp5589 +a(g826 +g958 +tp5590 +a(g423 +g1169 +tp5591 +a(g693 +g990 +tp5592 +a(g693 +g962 +tp5593 +a(g826 +V\u000a +p5594 +tp5595 +a(g826 +V \u000a +p5596 +tp5597 +a(g423 +Vwritefln +p5598 +tp5599 +a(g693 +g981 +tp5600 +a(g693 +g990 +tp5601 +a(g693 +g962 +tp5602 +a(g826 +V\u000a +p5603 +tp5604 +a(g826 +V \u000a +p5605 +tp5606 +a(g7 +Vforeach +p5607 +tp5608 +a(g693 +g981 +tp5609 +a(g423 +g1165 +tp5610 +a(g693 +g985 +tp5611 +a(g826 +g958 +tp5612 +a(g423 +g1169 +tp5613 +a(g693 +g962 +tp5614 +a(g826 +g958 +tp5615 +a(g76 +V"hello" +p5616 +tp5617 +a(g693 +g985 +tp5618 +a(g826 +g958 +tp5619 +a(g76 +V"reverse" +p5620 +tp5621 +a(g693 +g990 +tp5622 +a(g826 +V\u000a +p5623 +tp5624 +a(g826 +V +p5625 +tp5626 +a(g423 +Vwritefln +p5627 +tp5628 +a(g693 +g981 +tp5629 +a(g76 +V"str[" +p5630 +tp5631 +a(g693 +g985 +tp5632 +a(g826 +g958 +tp5633 +a(g423 +g1165 +tp5634 +a(g693 +g985 +tp5635 +a(g826 +g958 +tp5636 +a(g76 +V"] = " +p5637 +tp5638 +a(g693 +g985 +tp5639 +a(g826 +g958 +tp5640 +a(g423 +g1169 +tp5641 +a(g693 +g990 +tp5642 +a(g693 +g962 +tp5643 +a(g826 +V\u000a +p5644 +tp5645 +a(g826 +V \u000a +p5646 +tp5647 +a(g423 +Vwritefln +p5648 +tp5649 +a(g693 +g981 +tp5650 +a(g693 +g990 +tp5651 +a(g693 +g962 +tp5652 +a(g826 +V\u000a +p5653 +tp5654 +a(g693 +g1069 +tp5655 +a(g826 +V\u000a +p5656 +tp5657 +a(g826 +V\u000a +p5658 +tp5659 +a(g745 +V// Testing upvalues in for loops.\u000a +p5660 +tp5661 +a(g693 +g970 +tp5662 +a(g826 +V\u000a +p5663 +tp5664 +a(g826 +g974 +tp5665 +a(g7 +Vlocal +p5666 +tp5667 +a(g826 +g958 +tp5668 +a(g423 +Varr +p5669 +tp5670 +a(g826 +g958 +tp5671 +a(g693 +g1024 +tp5672 +a(g826 +g958 +tp5673 +a(g423 +Varray +p5674 +tp5675 +a(g693 +g1019 +tp5676 +a(g423 +Vnew +p5677 +tp5678 +a(g693 +g981 +tp5679 +a(g22 +V10 +p5680 +tp5681 +a(g693 +g990 +tp5682 +a(g693 +g962 +tp5683 +a(g826 +V\u000a +p5684 +tp5685 +a(g826 +V \u000a +p5686 +tp5687 +a(g7 +Vfor +p5688 +tp5689 +a(g693 +g981 +tp5690 +a(g423 +g2362 +tp5691 +a(g826 +g958 +tp5692 +a(g693 +g1231 +tp5693 +a(g826 +g958 +tp5694 +a(g22 +g1107 +tp5695 +a(g826 +g958 +tp5696 +a(g693 +V.. +p5697 +tp5698 +a(g826 +g958 +tp5699 +a(g22 +V10 +p5700 +tp5701 +a(g693 +g990 +tp5702 +a(g826 +V\u000a +p5703 +tp5704 +a(g826 +V +p5705 +tp5706 +a(g423 +Varr +p5707 +tp5708 +a(g693 +g1105 +tp5709 +a(g423 +g2362 +tp5710 +a(g693 +g1109 +tp5711 +a(g826 +g958 +tp5712 +a(g693 +g1024 +tp5713 +a(g826 +g958 +tp5714 +a(g7 +Vfunction +p5715 +tp5716 +a(g693 +g981 +tp5717 +a(g693 +g990 +tp5718 +a(g826 +g958 +tp5719 +a(g693 +g970 +tp5720 +a(g826 +g958 +tp5721 +a(g7 +Vreturn +p5722 +tp5723 +a(g826 +g958 +tp5724 +a(g423 +g2362 +tp5725 +a(g693 +g962 +tp5726 +a(g826 +g958 +tp5727 +a(g693 +g1069 +tp5728 +a(g693 +g962 +tp5729 +a(g826 +V\u000a +p5730 +tp5731 +a(g826 +V \u000a +p5732 +tp5733 +a(g423 +Vwritefln +p5734 +tp5735 +a(g693 +g981 +tp5736 +a(g76 +V"This should be the values 0 through 9:" +p5737 +tp5738 +a(g693 +g990 +tp5739 +a(g693 +g962 +tp5740 +a(g826 +V\u000a +p5741 +tp5742 +a(g826 +V \u000a +p5743 +tp5744 +a(g7 +Vforeach +p5745 +tp5746 +a(g693 +g981 +tp5747 +a(g423 +Vfunc +p5748 +tp5749 +a(g693 +g962 +tp5750 +a(g826 +g958 +tp5751 +a(g423 +Varr +p5752 +tp5753 +a(g693 +g990 +tp5754 +a(g826 +V\u000a +p5755 +tp5756 +a(g826 +V +p5757 +tp5758 +a(g423 +Vwritefln +p5759 +tp5760 +a(g693 +g981 +tp5761 +a(g423 +Vfunc +p5762 +tp5763 +a(g693 +g981 +tp5764 +a(g693 +g990 +tp5765 +a(g693 +g990 +tp5766 +a(g693 +g962 +tp5767 +a(g826 +V\u000a +p5768 +tp5769 +a(g826 +V \u000a +p5770 +tp5771 +a(g423 +Vwritefln +p5772 +tp5773 +a(g693 +g981 +tp5774 +a(g693 +g990 +tp5775 +a(g693 +g962 +tp5776 +a(g826 +V\u000a +p5777 +tp5778 +a(g693 +g1069 +tp5779 +a(g826 +V\u000a +p5780 +tp5781 +a(g826 +V\u000a +p5782 +tp5783 +a(g745 +V// Testing nested functions.\u000a +p5784 +tp5785 +a(g693 +g970 +tp5786 +a(g826 +V\u000a +p5787 +tp5788 +a(g826 +g974 +tp5789 +a(g7 +Vfunction +p5790 +tp5791 +a(g826 +g958 +tp5792 +a(g423 +Vouter +p5793 +tp5794 +a(g693 +g981 +tp5795 +a(g693 +g990 +tp5796 +a(g826 +V\u000a +p5797 +tp5798 +a(g826 +g974 +tp5799 +a(g693 +g970 +tp5800 +a(g826 +V\u000a +p5801 +tp5802 +a(g826 +V +p5803 +tp5804 +a(g7 +Vlocal +p5805 +tp5806 +a(g826 +g958 +tp5807 +a(g423 +g1021 +tp5808 +a(g826 +g958 +tp5809 +a(g693 +g1024 +tp5810 +a(g826 +g958 +tp5811 +a(g22 +V3 +p5812 +tp5813 +a(g693 +g962 +tp5814 +a(g826 +V\u000a +p5815 +tp5816 +a(g826 +V \u000a +p5817 +tp5818 +a(g7 +Vfunction +p5819 +tp5820 +a(g826 +g958 +tp5821 +a(g423 +Vinner +p5822 +tp5823 +a(g693 +g981 +tp5824 +a(g693 +g990 +tp5825 +a(g826 +V\u000a +p5826 +tp5827 +a(g826 +V +p5828 +tp5829 +a(g693 +g970 +tp5830 +a(g826 +V\u000a +p5831 +tp5832 +a(g826 +V +p5833 +tp5834 +a(g423 +g1021 +tp5835 +a(g693 +V++ +p5836 +tp5837 +a(g693 +g962 +tp5838 +a(g826 +V\u000a +p5839 +tp5840 +a(g826 +V +p5841 +tp5842 +a(g423 +Vwritefln +p5843 +tp5844 +a(g693 +g981 +tp5845 +a(g76 +V"inner x: " +p5846 +tp5847 +a(g693 +g985 +tp5848 +a(g826 +g958 +tp5849 +a(g423 +g1021 +tp5850 +a(g693 +g990 +tp5851 +a(g693 +g962 +tp5852 +a(g826 +V\u000a +p5853 +tp5854 +a(g826 +V +p5855 +tp5856 +a(g693 +g1069 +tp5857 +a(g826 +V\u000a +p5858 +tp5859 +a(g826 +V \u000a +p5860 +tp5861 +a(g423 +Vwritefln +p5862 +tp5863 +a(g693 +g981 +tp5864 +a(g76 +V"outer x: " +p5865 +tp5866 +a(g693 +g985 +tp5867 +a(g826 +g958 +tp5868 +a(g423 +g1021 +tp5869 +a(g693 +g990 +tp5870 +a(g693 +g962 +tp5871 +a(g826 +V\u000a +p5872 +tp5873 +a(g826 +V +p5874 +tp5875 +a(g423 +Vinner +p5876 +tp5877 +a(g693 +g981 +tp5878 +a(g693 +g990 +tp5879 +a(g693 +g962 +tp5880 +a(g826 +V\u000a +p5881 +tp5882 +a(g826 +V +p5883 +tp5884 +a(g423 +Vwritefln +p5885 +tp5886 +a(g693 +g981 +tp5887 +a(g76 +V"outer x: " +p5888 +tp5889 +a(g693 +g985 +tp5890 +a(g826 +g958 +tp5891 +a(g423 +g1021 +tp5892 +a(g693 +g990 +tp5893 +a(g693 +g962 +tp5894 +a(g826 +V\u000a +p5895 +tp5896 +a(g826 +V \u000a +p5897 +tp5898 +a(g7 +Vreturn +p5899 +tp5900 +a(g826 +g958 +tp5901 +a(g423 +Vinner +p5902 +tp5903 +a(g693 +g962 +tp5904 +a(g826 +V\u000a +p5905 +tp5906 +a(g826 +g974 +tp5907 +a(g693 +g1069 +tp5908 +a(g826 +V\u000a +p5909 +tp5910 +a(g826 +V \u000a +p5911 +tp5912 +a(g7 +Vlocal +p5913 +tp5914 +a(g826 +g958 +tp5915 +a(g423 +Vfunc +p5916 +tp5917 +a(g826 +g958 +tp5918 +a(g693 +g1024 +tp5919 +a(g826 +g958 +tp5920 +a(g423 +Vouter +p5921 +tp5922 +a(g693 +g981 +tp5923 +a(g693 +g990 +tp5924 +a(g693 +g962 +tp5925 +a(g826 +V\u000a +p5926 +tp5927 +a(g826 +g974 +tp5928 +a(g423 +Vfunc +p5929 +tp5930 +a(g693 +g981 +tp5931 +a(g693 +g990 +tp5932 +a(g693 +g962 +tp5933 +a(g826 +V\u000a +p5934 +tp5935 +a(g826 +V \u000a +p5936 +tp5937 +a(g423 +Vwritefln +p5938 +tp5939 +a(g693 +g981 +tp5940 +a(g693 +g990 +tp5941 +a(g693 +g962 +tp5942 +a(g826 +V\u000a +p5943 +tp5944 +a(g693 +g1069 +tp5945 +a(g826 +V\u000a +p5946 +tp5947 +a(g826 +V\u000a +p5948 +tp5949 +a(g745 +V// Testing Exceptions.\u000a +p5950 +tp5951 +a(g693 +g970 +tp5952 +a(g826 +V\u000a +p5953 +tp5954 +a(g826 +g974 +tp5955 +a(g7 +Vfunction +p5956 +tp5957 +a(g826 +g958 +tp5958 +a(g423 +Vthrower +p5959 +tp5960 +a(g693 +g981 +tp5961 +a(g423 +g1021 +tp5962 +a(g693 +g990 +tp5963 +a(g826 +V\u000a +p5964 +tp5965 +a(g826 +g974 +tp5966 +a(g693 +g970 +tp5967 +a(g826 +V\u000a +p5968 +tp5969 +a(g826 +V +p5970 +tp5971 +a(g7 +Vif +p5972 +tp5973 +a(g693 +g981 +tp5974 +a(g423 +g1021 +tp5975 +a(g826 +g958 +tp5976 +a(g693 +V>= +p5977 +tp5978 +a(g826 +g958 +tp5979 +a(g22 +g5812 +tp5980 +a(g693 +g990 +tp5981 +a(g826 +V\u000a +p5982 +tp5983 +a(g826 +V +p5984 +tp5985 +a(g7 +Vthrow +p5986 +tp5987 +a(g826 +g958 +tp5988 +a(g76 +V"Sorry, x is too big for me!" +p5989 +tp5990 +a(g693 +g962 +tp5991 +a(g826 +V\u000a +p5992 +tp5993 +a(g826 +g974 +tp5994 +a(g693 +g1069 +tp5995 +a(g826 +V\u000a +p5996 +tp5997 +a(g826 +V \u000a +p5998 +tp5999 +a(g7 +Vfunction +p6000 +tp6001 +a(g826 +g958 +tp6002 +a(g423 +VtryCatch +p6003 +tp6004 +a(g693 +g981 +tp6005 +a(g423 +Viterations +p6006 +tp6007 +a(g693 +g990 +tp6008 +a(g826 +V\u000a +p6009 +tp6010 +a(g826 +g974 +tp6011 +a(g693 +g970 +tp6012 +a(g826 +V\u000a +p6013 +tp6014 +a(g826 +V +p6015 +tp6016 +a(g7 +Vtry +p6017 +tp6018 +a(g826 +V\u000a +p6019 +tp6020 +a(g826 +V +p6021 +tp6022 +a(g693 +g970 +tp6023 +a(g826 +V\u000a +p6024 +tp6025 +a(g826 +V +p6026 +tp6027 +a(g7 +Vfor +p6028 +tp6029 +a(g693 +g981 +tp6030 +a(g423 +g2362 +tp6031 +a(g826 +g958 +tp6032 +a(g693 +g1231 +tp6033 +a(g826 +g958 +tp6034 +a(g22 +g1107 +tp6035 +a(g826 +g958 +tp6036 +a(g693 +V.. +p6037 +tp6038 +a(g826 +g958 +tp6039 +a(g423 +Viterations +p6040 +tp6041 +a(g693 +g990 +tp6042 +a(g826 +V\u000a +p6043 +tp6044 +a(g826 +V +p6045 +tp6046 +a(g693 +g970 +tp6047 +a(g826 +V\u000a +p6048 +tp6049 +a(g826 +V +p6050 +tp6051 +a(g423 +Vwritefln +p6052 +tp6053 +a(g693 +g981 +tp6054 +a(g76 +V"tryCatch: " +p6055 +tp6056 +a(g693 +g985 +tp6057 +a(g826 +g958 +tp6058 +a(g423 +g2362 +tp6059 +a(g693 +g990 +tp6060 +a(g693 +g962 +tp6061 +a(g826 +V\u000a +p6062 +tp6063 +a(g826 +V +p6064 +tp6065 +a(g423 +Vthrower +p6066 +tp6067 +a(g693 +g981 +tp6068 +a(g423 +g2362 +tp6069 +a(g693 +g990 +tp6070 +a(g693 +g962 +tp6071 +a(g826 +V\u000a +p6072 +tp6073 +a(g826 +V +p6074 +tp6075 +a(g693 +g1069 +tp6076 +a(g826 +V\u000a +p6077 +tp6078 +a(g826 +V +p6079 +tp6080 +a(g693 +g1069 +tp6081 +a(g826 +V\u000a +p6082 +tp6083 +a(g826 +V +p6084 +tp6085 +a(g7 +Vcatch +p6086 +tp6087 +a(g693 +g981 +tp6088 +a(g423 +g3058 +tp6089 +a(g693 +g990 +tp6090 +a(g826 +V\u000a +p6091 +tp6092 +a(g826 +V +p6093 +tp6094 +a(g693 +g970 +tp6095 +a(g826 +V\u000a +p6096 +tp6097 +a(g826 +V +p6098 +tp6099 +a(g423 +Vwritefln +p6100 +tp6101 +a(g693 +g981 +tp6102 +a(g76 +V"tryCatch caught: " +p6103 +tp6104 +a(g693 +g985 +tp6105 +a(g826 +g958 +tp6106 +a(g423 +g3058 +tp6107 +a(g693 +g990 +tp6108 +a(g693 +g962 +tp6109 +a(g826 +V\u000a +p6110 +tp6111 +a(g826 +V +p6112 +tp6113 +a(g7 +Vthrow +p6114 +tp6115 +a(g826 +g958 +tp6116 +a(g423 +g3058 +tp6117 +a(g693 +g962 +tp6118 +a(g826 +V\u000a +p6119 +tp6120 +a(g826 +V +p6121 +tp6122 +a(g693 +g1069 +tp6123 +a(g826 +V\u000a +p6124 +tp6125 +a(g826 +V +p6126 +tp6127 +a(g7 +Vfinally +p6128 +tp6129 +a(g826 +V\u000a +p6130 +tp6131 +a(g826 +V +p6132 +tp6133 +a(g423 +Vwritefln +p6134 +tp6135 +a(g693 +g981 +tp6136 +a(g76 +V"tryCatch finally" +p6137 +tp6138 +a(g693 +g990 +tp6139 +a(g693 +g962 +tp6140 +a(g826 +V\u000a +p6141 +tp6142 +a(g826 +g974 +tp6143 +a(g693 +g1069 +tp6144 +a(g826 +V\u000a +p6145 +tp6146 +a(g826 +V \u000a +p6147 +tp6148 +a(g7 +Vtry +p6149 +tp6150 +a(g826 +V\u000a +p6151 +tp6152 +a(g826 +g974 +tp6153 +a(g693 +g970 +tp6154 +a(g826 +V\u000a +p6155 +tp6156 +a(g826 +V +p6157 +tp6158 +a(g423 +VtryCatch +p6159 +tp6160 +a(g693 +g981 +tp6161 +a(g22 +g1680 +tp6162 +a(g693 +g990 +tp6163 +a(g693 +g962 +tp6164 +a(g826 +V\u000a +p6165 +tp6166 +a(g826 +V +p6167 +tp6168 +a(g423 +VtryCatch +p6169 +tp6170 +a(g693 +g981 +tp6171 +a(g22 +g1259 +tp6172 +a(g693 +g990 +tp6173 +a(g693 +g962 +tp6174 +a(g826 +V\u000a +p6175 +tp6176 +a(g826 +g974 +tp6177 +a(g693 +g1069 +tp6178 +a(g826 +V\u000a +p6179 +tp6180 +a(g826 +g974 +tp6181 +a(g7 +Vcatch +p6182 +tp6183 +a(g693 +g981 +tp6184 +a(g423 +g3058 +tp6185 +a(g693 +g990 +tp6186 +a(g826 +V\u000a +p6187 +tp6188 +a(g826 +V +p6189 +tp6190 +a(g423 +Vwritefln +p6191 +tp6192 +a(g693 +g981 +tp6193 +a(g76 +V"caught: " +p6194 +tp6195 +a(g693 +g985 +tp6196 +a(g826 +g958 +tp6197 +a(g423 +g3058 +tp6198 +a(g693 +g990 +tp6199 +a(g693 +g962 +tp6200 +a(g826 +V\u000a +p6201 +tp6202 +a(g826 +V \u000a +p6203 +tp6204 +a(g423 +Vwritefln +p6205 +tp6206 +a(g693 +g981 +tp6207 +a(g693 +g990 +tp6208 +a(g693 +g962 +tp6209 +a(g826 +V\u000a +p6210 +tp6211 +a(g693 +g1069 +tp6212 +a(g826 +V\u000a +p6213 +tp6214 +a(g826 +V\u000a +p6215 +tp6216 +a(g745 +V// Testing arrays.\u000a +p6217 +tp6218 +a(g693 +g970 +tp6219 +a(g826 +V\u000a +p6220 +tp6221 +a(g826 +g974 +tp6222 +a(g7 +Vlocal +p6223 +tp6224 +a(g826 +g958 +tp6225 +a(g423 +Varray +p6226 +tp6227 +a(g826 +g958 +tp6228 +a(g693 +g1024 +tp6229 +a(g826 +g958 +tp6230 +a(g693 +g1105 +tp6231 +a(g22 +V7 +p6232 +tp6233 +a(g693 +g985 +tp6234 +a(g826 +g958 +tp6235 +a(g22 +V9 +p6236 +tp6237 +a(g693 +g985 +tp6238 +a(g826 +g958 +tp6239 +a(g22 +g1680 +tp6240 +a(g693 +g985 +tp6241 +a(g826 +g958 +tp6242 +a(g22 +g5812 +tp6243 +a(g693 +g985 +tp6244 +a(g826 +g958 +tp6245 +a(g22 +g4959 +tp6246 +a(g693 +g1109 +tp6247 +a(g693 +g962 +tp6248 +a(g826 +V\u000a +p6249 +tp6250 +a(g826 +V \u000a +p6251 +tp6252 +a(g423 +Varray +p6253 +tp6254 +a(g693 +g1019 +tp6255 +a(g423 +Vsort +p6256 +tp6257 +a(g693 +g981 +tp6258 +a(g693 +g990 +tp6259 +a(g693 +g962 +tp6260 +a(g826 +V\u000a +p6261 +tp6262 +a(g826 +V \u000a +p6263 +tp6264 +a(g7 +Vforeach +p6265 +tp6266 +a(g693 +g981 +tp6267 +a(g423 +g2362 +tp6268 +a(g693 +g985 +tp6269 +a(g826 +g958 +tp6270 +a(g423 +g1169 +tp6271 +a(g693 +g962 +tp6272 +a(g826 +g958 +tp6273 +a(g423 +Varray +p6274 +tp6275 +a(g693 +g990 +tp6276 +a(g826 +V\u000a +p6277 +tp6278 +a(g826 +V +p6279 +tp6280 +a(g423 +Vwritefln +p6281 +tp6282 +a(g693 +g981 +tp6283 +a(g76 +V"arr[" +p6284 +tp6285 +a(g693 +g985 +tp6286 +a(g826 +g958 +tp6287 +a(g423 +g2362 +tp6288 +a(g693 +g985 +tp6289 +a(g826 +g958 +tp6290 +a(g76 +V"] = " +p6291 +tp6292 +a(g693 +g985 +tp6293 +a(g826 +g958 +tp6294 +a(g423 +g1169 +tp6295 +a(g693 +g990 +tp6296 +a(g693 +g962 +tp6297 +a(g826 +V\u000a +p6298 +tp6299 +a(g826 +V \u000a +p6300 +tp6301 +a(g423 +Varray +p6302 +tp6303 +a(g826 +g958 +tp6304 +a(g693 +V~= +p6305 +tp6306 +a(g826 +g958 +tp6307 +a(g693 +g1105 +tp6308 +a(g76 +V"foo" +p6309 +tp6310 +a(g693 +g985 +tp6311 +a(g826 +g958 +tp6312 +a(g76 +V"far" +p6313 +tp6314 +a(g693 +g1109 +tp6315 +a(g693 +g962 +tp6316 +a(g826 +V\u000a +p6317 +tp6318 +a(g826 +V \u000a +p6319 +tp6320 +a(g423 +Vwritefln +p6321 +tp6322 +a(g693 +g981 +tp6323 +a(g693 +g990 +tp6324 +a(g693 +g962 +tp6325 +a(g826 +V\u000a +p6326 +tp6327 +a(g826 +V \u000a +p6328 +tp6329 +a(g7 +Vforeach +p6330 +tp6331 +a(g693 +g981 +tp6332 +a(g423 +g2362 +tp6333 +a(g693 +g985 +tp6334 +a(g826 +g958 +tp6335 +a(g423 +g1169 +tp6336 +a(g693 +g962 +tp6337 +a(g826 +g958 +tp6338 +a(g423 +Varray +p6339 +tp6340 +a(g693 +g990 +tp6341 +a(g826 +V\u000a +p6342 +tp6343 +a(g826 +V +p6344 +tp6345 +a(g423 +Vwritefln +p6346 +tp6347 +a(g693 +g981 +tp6348 +a(g76 +V"arr[" +p6349 +tp6350 +a(g693 +g985 +tp6351 +a(g826 +g958 +tp6352 +a(g423 +g2362 +tp6353 +a(g693 +g985 +tp6354 +a(g826 +g958 +tp6355 +a(g76 +V"] = " +p6356 +tp6357 +a(g693 +g985 +tp6358 +a(g826 +g958 +tp6359 +a(g423 +g1169 +tp6360 +a(g693 +g990 +tp6361 +a(g693 +g962 +tp6362 +a(g826 +V\u000a +p6363 +tp6364 +a(g826 +V \u000a +p6365 +tp6366 +a(g423 +Vwritefln +p6367 +tp6368 +a(g693 +g981 +tp6369 +a(g693 +g990 +tp6370 +a(g693 +g962 +tp6371 +a(g826 +V\u000a +p6372 +tp6373 +a(g693 +g1069 +tp6374 +a(g826 +V\u000a +p6375 +tp6376 +a(g826 +V\u000a +p6377 +tp6378 +a(g745 +V// Testing vararg functions.\u000a +p6379 +tp6380 +a(g693 +g970 +tp6381 +a(g826 +V\u000a +p6382 +tp6383 +a(g826 +g974 +tp6384 +a(g7 +Vfunction +p6385 +tp6386 +a(g826 +g958 +tp6387 +a(g423 +Vvargs +p6388 +tp6389 +a(g693 +g981 +tp6390 +a(g7 +Vvararg +p6391 +tp6392 +a(g693 +g990 +tp6393 +a(g826 +V\u000a +p6394 +tp6395 +a(g826 +g974 +tp6396 +a(g693 +g970 +tp6397 +a(g826 +V\u000a +p6398 +tp6399 +a(g826 +V +p6400 +tp6401 +a(g7 +Vlocal +p6402 +tp6403 +a(g826 +g958 +tp6404 +a(g423 +Vargs +p6405 +tp6406 +a(g826 +g958 +tp6407 +a(g693 +g1024 +tp6408 +a(g826 +g958 +tp6409 +a(g693 +g1105 +tp6410 +a(g7 +Vvararg +p6411 +tp6412 +a(g693 +g1109 +tp6413 +a(g693 +g962 +tp6414 +a(g826 +V\u000a +p6415 +tp6416 +a(g826 +V \u000a +p6417 +tp6418 +a(g423 +Vwritefln +p6419 +tp6420 +a(g693 +g981 +tp6421 +a(g76 +V"num varargs: " +p6422 +tp6423 +a(g693 +g985 +tp6424 +a(g826 +g958 +tp6425 +a(g693 +g3962 +tp6426 +a(g423 +Vargs +p6427 +tp6428 +a(g693 +g990 +tp6429 +a(g693 +g962 +tp6430 +a(g826 +V\u000a +p6431 +tp6432 +a(g826 +V \u000a +p6433 +tp6434 +a(g7 +Vforeach +p6435 +tp6436 +a(g693 +g981 +tp6437 +a(g423 +g2362 +tp6438 +a(g693 +g985 +tp6439 +a(g826 +g958 +tp6440 +a(g423 +g1169 +tp6441 +a(g693 +g962 +tp6442 +a(g826 +g958 +tp6443 +a(g423 +Vargs +p6444 +tp6445 +a(g693 +g990 +tp6446 +a(g826 +V\u000a +p6447 +tp6448 +a(g826 +V +p6449 +tp6450 +a(g423 +Vwritefln +p6451 +tp6452 +a(g693 +g981 +tp6453 +a(g76 +V"args[" +p6454 +tp6455 +a(g693 +g985 +tp6456 +a(g826 +g958 +tp6457 +a(g423 +g2362 +tp6458 +a(g693 +g985 +tp6459 +a(g826 +g958 +tp6460 +a(g76 +V"] = " +p6461 +tp6462 +a(g693 +g985 +tp6463 +a(g826 +g958 +tp6464 +a(g423 +g1169 +tp6465 +a(g693 +g990 +tp6466 +a(g693 +g962 +tp6467 +a(g826 +V\u000a +p6468 +tp6469 +a(g826 +g974 +tp6470 +a(g693 +g1069 +tp6471 +a(g826 +V\u000a +p6472 +tp6473 +a(g826 +V \u000a +p6474 +tp6475 +a(g423 +Vvargs +p6476 +tp6477 +a(g693 +g981 +tp6478 +a(g693 +g990 +tp6479 +a(g693 +g962 +tp6480 +a(g826 +V\u000a +p6481 +tp6482 +a(g826 +V \u000a +p6483 +tp6484 +a(g423 +Vwritefln +p6485 +tp6486 +a(g693 +g981 +tp6487 +a(g693 +g990 +tp6488 +a(g693 +g962 +tp6489 +a(g826 +V\u000a +p6490 +tp6491 +a(g826 +V \u000a +p6492 +tp6493 +a(g423 +Vvargs +p6494 +tp6495 +a(g693 +g981 +tp6496 +a(g22 +g1680 +tp6497 +a(g693 +g985 +tp6498 +a(g826 +g958 +tp6499 +a(g22 +g5812 +tp6500 +a(g693 +g985 +tp6501 +a(g826 +g958 +tp6502 +a(g22 +g1259 +tp6503 +a(g693 +g985 +tp6504 +a(g826 +g958 +tp6505 +a(g76 +V"foo" +p6506 +tp6507 +a(g693 +g985 +tp6508 +a(g826 +g958 +tp6509 +a(g76 +V"bar" +p6510 +tp6511 +a(g693 +g990 +tp6512 +a(g693 +g962 +tp6513 +a(g826 +V\u000a +p6514 +tp6515 +a(g826 +V \u000a +p6516 +tp6517 +a(g423 +Vwritefln +p6518 +tp6519 +a(g693 +g981 +tp6520 +a(g693 +g990 +tp6521 +a(g693 +g962 +tp6522 +a(g826 +V\u000a +p6523 +tp6524 +a(g693 +g1069 +tp6525 +a(g826 +V\u000a +p6526 +tp6527 +a(g826 +V\u000a +p6528 +tp6529 +a(g745 +V// Testing switches.\u000a +p6530 +tp6531 +a(g693 +g970 +tp6532 +a(g826 +V\u000a +p6533 +tp6534 +a(g826 +g974 +tp6535 +a(g7 +Vforeach +p6536 +tp6537 +a(g693 +g981 +tp6538 +a(g423 +g1169 +tp6539 +a(g693 +g962 +tp6540 +a(g826 +g958 +tp6541 +a(g693 +g1105 +tp6542 +a(g76 +V"hi" +p6543 +tp6544 +a(g693 +g985 +tp6545 +a(g826 +g958 +tp6546 +a(g76 +V"bye" +p6547 +tp6548 +a(g693 +g985 +tp6549 +a(g826 +g958 +tp6550 +a(g76 +V"foo" +p6551 +tp6552 +a(g693 +g1109 +tp6553 +a(g693 +g990 +tp6554 +a(g826 +V\u000a +p6555 +tp6556 +a(g826 +g974 +tp6557 +a(g693 +g970 +tp6558 +a(g826 +V\u000a +p6559 +tp6560 +a(g826 +V +p6561 +tp6562 +a(g7 +Vswitch +p6563 +tp6564 +a(g693 +g981 +tp6565 +a(g423 +g1169 +tp6566 +a(g693 +g990 +tp6567 +a(g826 +V\u000a +p6568 +tp6569 +a(g826 +V +p6570 +tp6571 +a(g693 +g970 +tp6572 +a(g826 +V\u000a +p6573 +tp6574 +a(g826 +V +p6575 +tp6576 +a(g7 +Vcase +p6577 +tp6578 +a(g826 +g958 +tp6579 +a(g76 +V"hi" +p6580 +tp6581 +a(g693 +g1231 +tp6582 +a(g826 +V\u000a +p6583 +tp6584 +a(g826 +V +p6585 +tp6586 +a(g423 +Vwritefln +p6587 +tp6588 +a(g693 +g981 +tp6589 +a(g76 +V"switched to hi" +p6590 +tp6591 +a(g693 +g990 +tp6592 +a(g693 +g962 +tp6593 +a(g826 +V\u000a +p6594 +tp6595 +a(g826 +V +p6596 +tp6597 +a(g7 +Vbreak +p6598 +tp6599 +a(g693 +g962 +tp6600 +a(g826 +V\u000a +p6601 +tp6602 +a(g826 +V \u000a +p6603 +tp6604 +a(g7 +Vcase +p6605 +tp6606 +a(g826 +g958 +tp6607 +a(g76 +V"bye" +p6608 +tp6609 +a(g693 +g1231 +tp6610 +a(g826 +V\u000a +p6611 +tp6612 +a(g826 +V +p6613 +tp6614 +a(g423 +Vwritefln +p6615 +tp6616 +a(g693 +g981 +tp6617 +a(g76 +V"switched to bye" +p6618 +tp6619 +a(g693 +g990 +tp6620 +a(g693 +g962 +tp6621 +a(g826 +V\u000a +p6622 +tp6623 +a(g826 +V +p6624 +tp6625 +a(g7 +Vbreak +p6626 +tp6627 +a(g693 +g962 +tp6628 +a(g826 +V\u000a +p6629 +tp6630 +a(g826 +V \u000a +p6631 +tp6632 +a(g7 +Vdefault +p6633 +tp6634 +a(g693 +g1231 +tp6635 +a(g826 +V\u000a +p6636 +tp6637 +a(g826 +V +p6638 +tp6639 +a(g423 +Vwritefln +p6640 +tp6641 +a(g693 +g981 +tp6642 +a(g76 +V"switched to something else" +p6643 +tp6644 +a(g693 +g990 +tp6645 +a(g693 +g962 +tp6646 +a(g826 +V\u000a +p6647 +tp6648 +a(g826 +V +p6649 +tp6650 +a(g7 +Vbreak +p6651 +tp6652 +a(g693 +g962 +tp6653 +a(g826 +V\u000a +p6654 +tp6655 +a(g826 +V +p6656 +tp6657 +a(g693 +g1069 +tp6658 +a(g826 +V\u000a +p6659 +tp6660 +a(g826 +g974 +tp6661 +a(g693 +g1069 +tp6662 +a(g826 +V\u000a +p6663 +tp6664 +a(g826 +V \u000a +p6665 +tp6666 +a(g423 +Vwritefln +p6667 +tp6668 +a(g693 +g981 +tp6669 +a(g693 +g990 +tp6670 +a(g693 +g962 +tp6671 +a(g826 +V\u000a +p6672 +tp6673 +a(g826 +V \u000a +p6674 +tp6675 +a(g7 +Vforeach +p6676 +tp6677 +a(g693 +g981 +tp6678 +a(g423 +g1169 +tp6679 +a(g693 +g962 +tp6680 +a(g826 +g958 +tp6681 +a(g693 +g1105 +tp6682 +a(g896 +Vnull +p6683 +tp6684 +a(g693 +g985 +tp6685 +a(g826 +g958 +tp6686 +a(g896 +Vfalse +p6687 +tp6688 +a(g693 +g985 +tp6689 +a(g826 +g958 +tp6690 +a(g22 +g1671 +tp6691 +a(g693 +g985 +tp6692 +a(g826 +g958 +tp6693 +a(g302 +V2.3 +p6694 +tp6695 +a(g693 +g985 +tp6696 +a(g826 +g958 +tp6697 +a(g80 +V'x' +p6698 +tp6699 +a(g693 +g985 +tp6700 +a(g826 +g958 +tp6701 +a(g76 +V"hi" +p6702 +tp6703 +a(g693 +g1109 +tp6704 +a(g693 +g990 +tp6705 +a(g826 +V\u000a +p6706 +tp6707 +a(g826 +g974 +tp6708 +a(g693 +g970 +tp6709 +a(g826 +V\u000a +p6710 +tp6711 +a(g826 +V +p6712 +tp6713 +a(g7 +Vswitch +p6714 +tp6715 +a(g693 +g981 +tp6716 +a(g423 +g1169 +tp6717 +a(g693 +g990 +tp6718 +a(g826 +V\u000a +p6719 +tp6720 +a(g826 +V +p6721 +tp6722 +a(g693 +g970 +tp6723 +a(g826 +V\u000a +p6724 +tp6725 +a(g826 +V +p6726 +tp6727 +a(g7 +Vcase +p6728 +tp6729 +a(g826 +g958 +tp6730 +a(g896 +Vnull +p6731 +tp6732 +a(g693 +g1231 +tp6733 +a(g826 +g958 +tp6734 +a(g423 +Vwritefln +p6735 +tp6736 +a(g693 +g981 +tp6737 +a(g76 +V"null" +p6738 +tp6739 +a(g693 +g990 +tp6740 +a(g693 +g962 +tp6741 +a(g826 +g958 +tp6742 +a(g7 +Vbreak +p6743 +tp6744 +a(g693 +g962 +tp6745 +a(g826 +V\u000a +p6746 +tp6747 +a(g826 +V +p6748 +tp6749 +a(g7 +Vcase +p6750 +tp6751 +a(g826 +g958 +tp6752 +a(g896 +Vfalse +p6753 +tp6754 +a(g693 +g1231 +tp6755 +a(g826 +g958 +tp6756 +a(g423 +Vwritefln +p6757 +tp6758 +a(g693 +g981 +tp6759 +a(g76 +V"false" +p6760 +tp6761 +a(g693 +g990 +tp6762 +a(g693 +g962 +tp6763 +a(g826 +g958 +tp6764 +a(g7 +Vbreak +p6765 +tp6766 +a(g693 +g962 +tp6767 +a(g826 +V\u000a +p6768 +tp6769 +a(g826 +V +p6770 +tp6771 +a(g7 +Vcase +p6772 +tp6773 +a(g826 +g958 +tp6774 +a(g22 +g1671 +tp6775 +a(g693 +g1231 +tp6776 +a(g826 +g958 +tp6777 +a(g423 +Vwritefln +p6778 +tp6779 +a(g693 +g981 +tp6780 +a(g76 +V"1" +p6781 +tp6782 +a(g693 +g990 +tp6783 +a(g693 +g962 +tp6784 +a(g826 +g958 +tp6785 +a(g7 +Vbreak +p6786 +tp6787 +a(g693 +g962 +tp6788 +a(g826 +V\u000a +p6789 +tp6790 +a(g826 +V +p6791 +tp6792 +a(g7 +Vcase +p6793 +tp6794 +a(g826 +g958 +tp6795 +a(g302 +V2.3 +p6796 +tp6797 +a(g693 +g1231 +tp6798 +a(g826 +g958 +tp6799 +a(g423 +Vwritefln +p6800 +tp6801 +a(g693 +g981 +tp6802 +a(g76 +V"2.3" +p6803 +tp6804 +a(g693 +g990 +tp6805 +a(g693 +g962 +tp6806 +a(g826 +g958 +tp6807 +a(g7 +Vbreak +p6808 +tp6809 +a(g693 +g962 +tp6810 +a(g826 +V\u000a +p6811 +tp6812 +a(g826 +V +p6813 +tp6814 +a(g7 +Vcase +p6815 +tp6816 +a(g826 +g958 +tp6817 +a(g80 +V'x' +p6818 +tp6819 +a(g693 +g1231 +tp6820 +a(g826 +g958 +tp6821 +a(g423 +Vwritefln +p6822 +tp6823 +a(g693 +g981 +tp6824 +a(g76 +V"x" +p6825 +tp6826 +a(g693 +g990 +tp6827 +a(g693 +g962 +tp6828 +a(g826 +g958 +tp6829 +a(g7 +Vbreak +p6830 +tp6831 +a(g693 +g962 +tp6832 +a(g826 +V\u000a +p6833 +tp6834 +a(g826 +V +p6835 +tp6836 +a(g7 +Vcase +p6837 +tp6838 +a(g826 +g958 +tp6839 +a(g76 +V"hi" +p6840 +tp6841 +a(g693 +g1231 +tp6842 +a(g826 +g958 +tp6843 +a(g423 +Vwritefln +p6844 +tp6845 +a(g693 +g981 +tp6846 +a(g76 +V"hi" +p6847 +tp6848 +a(g693 +g990 +tp6849 +a(g693 +g962 +tp6850 +a(g826 +g958 +tp6851 +a(g7 +Vbreak +p6852 +tp6853 +a(g693 +g962 +tp6854 +a(g826 +V\u000a +p6855 +tp6856 +a(g826 +V +p6857 +tp6858 +a(g693 +g1069 +tp6859 +a(g826 +V\u000a +p6860 +tp6861 +a(g826 +g974 +tp6862 +a(g693 +g1069 +tp6863 +a(g826 +V\u000a +p6864 +tp6865 +a(g826 +V \u000a +p6866 +tp6867 +a(g423 +Vwritefln +p6868 +tp6869 +a(g693 +g981 +tp6870 +a(g693 +g990 +tp6871 +a(g693 +g962 +tp6872 +a(g826 +V\u000a +p6873 +tp6874 +a(g826 +V \u000a +p6875 +tp6876 +a(g7 +Vclass +p6877 +tp6878 +a(g826 +g958 +tp6879 +a(g423 +g1837 +tp6880 +a(g826 +V\u000a +p6881 +tp6882 +a(g826 +g974 +tp6883 +a(g693 +g970 +tp6884 +a(g826 +V\u000a +p6885 +tp6886 +a(g826 +V +p6887 +tp6888 +a(g423 +VmValue +p6889 +tp6890 +a(g693 +g962 +tp6891 +a(g826 +V\u000a +p6892 +tp6893 +a(g826 +V \u000a +p6894 +tp6895 +a(g7 +Vthis +p6896 +tp6897 +a(g693 +g981 +tp6898 +a(g423 +Vvalue +p6899 +tp6900 +a(g693 +g990 +tp6901 +a(g826 +V\u000a +p6902 +tp6903 +a(g826 +V +p6904 +tp6905 +a(g693 +g970 +tp6906 +a(g826 +V\u000a +p6907 +tp6908 +a(g826 +V +p6909 +tp6910 +a(g423 +VmValue +p6911 +tp6912 +a(g826 +g958 +tp6913 +a(g693 +g1024 +tp6914 +a(g826 +g958 +tp6915 +a(g423 +Vvalue +p6916 +tp6917 +a(g693 +g962 +tp6918 +a(g826 +V\u000a +p6919 +tp6920 +a(g826 +V +p6921 +tp6922 +a(g693 +g1069 +tp6923 +a(g826 +V\u000a +p6924 +tp6925 +a(g826 +V \u000a +p6926 +tp6927 +a(g7 +Vfunction +p6928 +tp6929 +a(g826 +g958 +tp6930 +a(g423 +VopCmp +p6931 +tp6932 +a(g693 +g981 +tp6933 +a(g423 +Vother +p6934 +tp6935 +a(g693 +g990 +tp6936 +a(g826 +V\u000a +p6937 +tp6938 +a(g826 +V +p6939 +tp6940 +a(g693 +g970 +tp6941 +a(g826 +V\u000a +p6942 +tp6943 +a(g826 +V +p6944 +tp6945 +a(g7 +Vassert +p6946 +tp6947 +a(g693 +g981 +tp6948 +a(g423 +Vother +p6949 +tp6950 +a(g826 +g958 +tp6951 +a(g7 +Vas +p6952 +tp6953 +a(g826 +g958 +tp6954 +a(g423 +g1837 +tp6955 +a(g693 +g990 +tp6956 +a(g693 +g962 +tp6957 +a(g826 +V\u000a +p6958 +tp6959 +a(g826 +V +p6960 +tp6961 +a(g7 +Vreturn +p6962 +tp6963 +a(g826 +g958 +tp6964 +a(g423 +VmValue +p6965 +tp6966 +a(g826 +g958 +tp6967 +a(g693 +V<=> +p6968 +tp6969 +a(g826 +g958 +tp6970 +a(g423 +Vother +p6971 +tp6972 +a(g693 +g1019 +tp6973 +a(g423 +VmValue +p6974 +tp6975 +a(g693 +g962 +tp6976 +a(g826 +V\u000a +p6977 +tp6978 +a(g826 +V +p6979 +tp6980 +a(g693 +g1069 +tp6981 +a(g826 +V\u000a +p6982 +tp6983 +a(g826 +g974 +tp6984 +a(g693 +g1069 +tp6985 +a(g826 +V\u000a +p6986 +tp6987 +a(g826 +V \u000a +p6988 +tp6989 +a(g7 +Vlocal +p6990 +tp6991 +a(g826 +g958 +tp6992 +a(g423 +Va1 +p6993 +tp6994 +a(g826 +g958 +tp6995 +a(g693 +g1024 +tp6996 +a(g826 +g958 +tp6997 +a(g423 +g1837 +tp6998 +a(g693 +g981 +tp6999 +a(g22 +g1671 +tp7000 +a(g693 +g990 +tp7001 +a(g693 +g962 +tp7002 +a(g826 +V\u000a +p7003 +tp7004 +a(g826 +g974 +tp7005 +a(g7 +Vlocal +p7006 +tp7007 +a(g826 +g958 +tp7008 +a(g423 +Va2 +p7009 +tp7010 +a(g826 +g958 +tp7011 +a(g693 +g1024 +tp7012 +a(g826 +g958 +tp7013 +a(g423 +g1837 +tp7014 +a(g693 +g981 +tp7015 +a(g22 +g1680 +tp7016 +a(g693 +g990 +tp7017 +a(g693 +g962 +tp7018 +a(g826 +V\u000a +p7019 +tp7020 +a(g826 +g974 +tp7021 +a(g7 +Vlocal +p7022 +tp7023 +a(g826 +g958 +tp7024 +a(g423 +Va3 +p7025 +tp7026 +a(g826 +g958 +tp7027 +a(g693 +g1024 +tp7028 +a(g826 +g958 +tp7029 +a(g423 +g1837 +tp7030 +a(g693 +g981 +tp7031 +a(g22 +g5812 +tp7032 +a(g693 +g990 +tp7033 +a(g693 +g962 +tp7034 +a(g826 +V\u000a +p7035 +tp7036 +a(g826 +V \u000a +p7037 +tp7038 +a(g7 +Vfor +p7039 +tp7040 +a(g693 +g981 +tp7041 +a(g423 +Vs +p7042 +tp7043 +a(g826 +g958 +tp7044 +a(g693 +g1231 +tp7045 +a(g826 +g958 +tp7046 +a(g22 +g1671 +tp7047 +a(g826 +g958 +tp7048 +a(g693 +V.. +p7049 +tp7050 +a(g826 +g958 +tp7051 +a(g22 +g4952 +tp7052 +a(g693 +g990 +tp7053 +a(g826 +V\u000a +p7054 +tp7055 +a(g826 +g974 +tp7056 +a(g693 +g970 +tp7057 +a(g826 +V\u000a +p7058 +tp7059 +a(g826 +V +p7060 +tp7061 +a(g7 +Vlocal +p7062 +tp7063 +a(g826 +g958 +tp7064 +a(g423 +Vss +p7065 +tp7066 +a(g826 +g958 +tp7067 +a(g693 +g1024 +tp7068 +a(g826 +g958 +tp7069 +a(g423 +g1837 +tp7070 +a(g693 +g981 +tp7071 +a(g423 +g7042 +tp7072 +a(g693 +g990 +tp7073 +a(g693 +g962 +tp7074 +a(g826 +V\u000a +p7075 +tp7076 +a(g826 +V \u000a +p7077 +tp7078 +a(g7 +Vswitch +p7079 +tp7080 +a(g693 +g981 +tp7081 +a(g423 +Vss +p7082 +tp7083 +a(g693 +g990 +tp7084 +a(g826 +V\u000a +p7085 +tp7086 +a(g826 +V +p7087 +tp7088 +a(g693 +g970 +tp7089 +a(g826 +V\u000a +p7090 +tp7091 +a(g826 +V +p7092 +tp7093 +a(g7 +Vcase +p7094 +tp7095 +a(g826 +g958 +tp7096 +a(g423 +Va1 +p7097 +tp7098 +a(g693 +g1231 +tp7099 +a(g826 +V\u000a +p7100 +tp7101 +a(g826 +V +p7102 +tp7103 +a(g423 +Vwritefln +p7104 +tp7105 +a(g693 +g981 +tp7106 +a(g22 +g1671 +tp7107 +a(g693 +g990 +tp7108 +a(g693 +g962 +tp7109 +a(g826 +V\u000a +p7110 +tp7111 +a(g826 +V +p7112 +tp7113 +a(g7 +Vbreak +p7114 +tp7115 +a(g693 +g962 +tp7116 +a(g826 +V\u000a +p7117 +tp7118 +a(g826 +V \u000a +p7119 +tp7120 +a(g7 +Vcase +p7121 +tp7122 +a(g826 +g958 +tp7123 +a(g423 +Va2 +p7124 +tp7125 +a(g693 +g1231 +tp7126 +a(g826 +V\u000a +p7127 +tp7128 +a(g826 +V +p7129 +tp7130 +a(g423 +Vwritefln +p7131 +tp7132 +a(g693 +g981 +tp7133 +a(g22 +g1680 +tp7134 +a(g693 +g990 +tp7135 +a(g693 +g962 +tp7136 +a(g826 +V\u000a +p7137 +tp7138 +a(g826 +V +p7139 +tp7140 +a(g7 +Vbreak +p7141 +tp7142 +a(g693 +g962 +tp7143 +a(g826 +V\u000a +p7144 +tp7145 +a(g826 +V \u000a +p7146 +tp7147 +a(g7 +Vcase +p7148 +tp7149 +a(g826 +g958 +tp7150 +a(g423 +Va3 +p7151 +tp7152 +a(g693 +g1231 +tp7153 +a(g826 +V\u000a +p7154 +tp7155 +a(g826 +V +p7156 +tp7157 +a(g423 +Vwritefln +p7158 +tp7159 +a(g693 +g981 +tp7160 +a(g22 +g5812 +tp7161 +a(g693 +g990 +tp7162 +a(g693 +g962 +tp7163 +a(g826 +V\u000a +p7164 +tp7165 +a(g826 +V +p7166 +tp7167 +a(g7 +Vbreak +p7168 +tp7169 +a(g693 +g962 +tp7170 +a(g826 +V\u000a +p7171 +tp7172 +a(g826 +V +p7173 +tp7174 +a(g693 +g1069 +tp7175 +a(g826 +V\u000a +p7176 +tp7177 +a(g826 +g974 +tp7178 +a(g693 +g1069 +tp7179 +a(g826 +V\u000a +p7180 +tp7181 +a(g693 +g1069 +tp7182 +a(g826 +V\u000a +p7183 +tp7184 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/smarty_example.html b/tests/examplefiles/output/smarty_example.html new file mode 100644 index 0000000..dbe030c --- /dev/null +++ b/tests/examplefiles/output/smarty_example.html @@ -0,0 +1,5592 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV{php} +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g745 +Vinclude +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g157 +V" +p964 +tp965 +a(g157 +Vsome/php/file.php +p966 +tp967 +a(g157 +g964 +tp968 +a(g706 +V; +p969 +tp970 +a(g827 +V\u000a\u000a +p971 +tp972 +a(g745 +Vforeach +p973 +tp974 +a(g827 +g962 +tp975 +a(g706 +V( +p976 +tp977 +a(g441 +V$rows +p978 +tp979 +a(g827 +g962 +tp980 +a(g745 +Vas +p981 +tp982 +a(g827 +g962 +tp983 +a(g441 +V$row +p984 +tp985 +a(g706 +V) +p986 +tp987 +a(g827 +g962 +tp988 +a(g706 +V{ +p989 +tp990 +a(g827 +V\u000a +p991 +tp992 +a(g745 +Vecho +p993 +tp994 +a(g827 +g962 +tp995 +a(g441 +V$row +p996 +tp997 +a(g706 +g969 +tp998 +a(g827 +V\u000a +p999 +tp1000 +a(g706 +V} +p1001 +tp1002 +a(g827 +V\u000a +p1003 +tp1004 +a(g8 +V{/php} +p1005 +tp1006 +a(g721 +V\u000a\u000a +p1007 +tp1008 +a(g8 +g989 +tp1009 +a(g13 +V* smarty comment * +p1010 +tp1011 +a(g8 +g1001 +tp1012 +a(g721 +V\u000a\u000a +p1013 +tp1014 +a(g8 +g989 +tp1015 +a(g574 +Vserendipity_hookPlugin +p1016 +tp1017 +a(g827 +g962 +tp1018 +a(g667 +Vhook +p1019 +tp1020 +a(g413 +V= +p1021 +tp1022 +a(g157 +V"entries_header" +p1023 +tp1024 +a(g827 +g962 +tp1025 +a(g667 +VaddData +p1026 +tp1027 +a(g413 +g1021 +tp1028 +a(g157 +V"$entry_id" +p1029 +tp1030 +a(g8 +g1001 +tp1031 +a(g721 +V\u000a\u000a +p1032 +tp1033 +a(g8 +g989 +tp1034 +a(g574 +Vforeach +p1035 +tp1036 +a(g827 +g962 +tp1037 +a(g667 +Vfrom +p1038 +tp1039 +a(g413 +g1021 +tp1040 +a(g441 +V$entries +p1041 +tp1042 +a(g827 +g962 +tp1043 +a(g667 +Vitem +p1044 +tp1045 +a(g413 +g1021 +tp1046 +a(g157 +V"dategroup" +p1047 +tp1048 +a(g8 +g1001 +tp1049 +a(g721 +V\u000a \u000a +p2159 +tp2160 +a(g8 +g989 +tp2161 +a(g574 +Vforeachelse +p2162 +tp2163 +a(g8 +g1001 +tp2164 +a(g721 +V\u000a +p2165 +tp2166 +a(g8 +g989 +tp2167 +a(g574 +Vif +p2168 +tp2169 +a(g827 +g962 +tp2170 +a(g667 +Vnot +p2171 +tp2172 +a(g827 +g962 +tp2173 +a(g441 +V$plugin_clean_page +p2174 +tp2175 +a(g8 +g1001 +tp2176 +a(g721 +V\u000a +p2177 +tp2178 +a(g8 +g989 +tp2179 +a(g441 +V$CONST.NO_ENTRIES_TO_PRINT +p2180 +tp2181 +a(g8 +g1001 +tp2182 +a(g721 +V\u000a +p2183 +tp2184 +a(g8 +g989 +tp2185 +a(g574 +V/if +p2186 +tp2187 +a(g8 +g1001 +tp2188 +a(g721 +V\u000a +p2189 +tp2190 +a(g8 +g989 +tp2191 +a(g574 +V/foreach +p2192 +tp2193 +a(g8 +g1001 +tp2194 +a(g721 +V\u000a\u000a +p2195 +tp2196 +a(g8 +g989 +tp2197 +a(g574 +Vif +p2198 +tp2199 +a(g827 +g962 +tp2200 +a(g441 +V$footer_info +p2201 +tp2202 +a(g8 +g1001 +tp2203 +a(g721 +V\u000a +p2333 +tp2334 +a(g8 +g989 +tp2335 +a(g574 +V/if +p2336 +tp2337 +a(g8 +g1001 +tp2338 +a(g721 +V\u000a +p2339 +tp2340 +a(g8 +g989 +tp2341 +a(g574 +Vserendipity_hookPlugin +p2342 +tp2343 +a(g827 +g962 +tp2344 +a(g667 +Vhook +p2345 +tp2346 +a(g413 +g1021 +tp2347 +a(g157 +V"entries_footer" +p2348 +tp2349 +a(g8 +g1001 +tp2350 +a(g721 +V\u000a\u000a +p2351 +tp2352 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/source.lgt b/tests/examplefiles/output/source.lgt new file mode 100644 index 0000000..c80d90d --- /dev/null +++ b/tests/examplefiles/output/source.lgt @@ -0,0 +1,11287 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV% this is a single-line comment\u000a +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V/*\u000athis is\u000aa block\u000acomment\u000a*/ +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g701 +V\u000a\u000a:- +p964 +tp965 +a(g740 +Vencoding +p966 +tp967 +a(g822 +V( +p968 +tp969 +a(g822 +Vsome_encoding +p970 +tp971 +a(g822 +V) +p972 +tp973 +a(g822 +V. +p974 +tp975 +a(g822 +V\u000a +p976 +tp977 +a(g701 +V:- +p978 +tp979 +a(g740 +Vop +p980 +tp981 +a(g822 +g968 +tp982 +a(g436 +VPrecedence +p983 +tp984 +a(g822 +V, +p985 +tp986 +a(g822 +V +p987 +tp988 +a(g436 +VAssociativity +p989 +tp990 +a(g822 +g985 +tp991 +a(g822 +g987 +tp992 +a(g436 +VOperator +p993 +tp994 +a(g822 +g972 +tp995 +a(g822 +g974 +tp996 +a(g822 +V\u000a +p997 +tp998 +a(g701 +V\u000a\u000a:- +p999 +tp1000 +a(g740 +Vobject +p1001 +tp1002 +a(g822 +g968 +tp1003 +a(g822 +Vprototype +p1004 +tp1005 +a(g822 +g985 +tp1006 +a(g822 +V\u000a +p1007 +tp1008 +a(g822 +V +p1009 +tp1010 +a(g740 +Vimplements +p1011 +tp1012 +a(g822 +g968 +tp1013 +a(g822 +Vprotocol +p1014 +tp1015 +a(g822 +g972 +tp1016 +a(g822 +g985 +tp1017 +a(g822 +V\u000a +p1018 +tp1019 +a(g822 +g1009 +tp1020 +a(g740 +Vimports +p1021 +tp1022 +a(g822 +g968 +tp1023 +a(g822 +Vcategory +p1024 +tp1025 +a(g822 +g972 +tp1026 +a(g822 +g985 +tp1027 +a(g822 +V\u000a +p1028 +tp1029 +a(g822 +g1009 +tp1030 +a(g740 +Vextends +p1031 +tp1032 +a(g822 +g968 +tp1033 +a(g822 +Vparent +p1034 +tp1035 +a(g822 +g972 +tp1036 +a(g822 +V). +p1037 +tp1038 +a(g822 +V\u000a +p1039 +tp1040 +a(g701 +V\u000a :- +p1041 +tp1042 +a(g740 +Vinfo +p1043 +tp1044 +a(g822 +g968 +tp1045 +a(g822 +V[ +p1046 +tp1047 +a(g822 +V\u000a +p1048 +tp1049 +a(g822 +V +p1050 +tp1051 +a(g822 +Vversion +p1052 +tp1053 +a(g822 +g987 +tp1054 +a(g740 +Vis +p1055 +tp1056 +a(g822 +g987 +tp1057 +a(g27 +V1.0 +p1058 +tp1059 +a(g822 +g985 +tp1060 +a(g822 +V\u000a +p1061 +tp1062 +a(g822 +V +p1063 +tp1064 +a(g822 +Vauthor +p1065 +tp1066 +a(g822 +g987 +tp1067 +a(g740 +Vis +p1068 +tp1069 +a(g822 +g987 +tp1070 +a(g84 +V' +p1071 +tp1072 +a(g84 +VPaulo Moura +p1073 +tp1074 +a(g84 +g1071 +tp1075 +a(g822 +g985 +tp1076 +a(g822 +V\u000a +p1077 +tp1078 +a(g822 +V +p1079 +tp1080 +a(g822 +Vdate +p1081 +tp1082 +a(g822 +g987 +tp1083 +a(g740 +Vis +p1084 +tp1085 +a(g822 +g987 +tp1086 +a(g27 +V2008 +p1087 +tp1088 +a(g408 +V/ +p1089 +tp1090 +a(g27 +V5 +p1091 +tp1092 +a(g408 +g1089 +tp1093 +a(g27 +V1 +p1094 +tp1095 +a(g822 +g985 +tp1096 +a(g822 +V\u000a +p1097 +tp1098 +a(g822 +V +p1099 +tp1100 +a(g822 +Vcomment +p1101 +tp1102 +a(g822 +g987 +tp1103 +a(g740 +Vis +p1104 +tp1105 +a(g822 +g987 +tp1106 +a(g84 +g1071 +tp1107 +a(g84 +VSample prototype for testing syntax coloring. +p1108 +tp1109 +a(g84 +g1071 +tp1110 +a(g822 +V] +p1111 +tp1112 +a(g822 +g972 +tp1113 +a(g822 +g974 +tp1114 +a(g822 +V\u000a +p1115 +tp1116 +a(g701 +V :- +p1117 +tp1118 +a(g740 +Vthreaded. +p1119 +tp1120 +a(g822 +V\u000a +p1121 +tp1122 +a(g701 +V :- +p1123 +tp1124 +a(g740 +Vsynchronized. +p1125 +tp1126 +a(g822 +V\u000a +p1127 +tp1128 +a(g701 +V :- +p1129 +tp1130 +a(g740 +Vdynamic. +p1131 +tp1132 +a(g822 +V\u000a +p1133 +tp1134 +a(g701 +V :- +p1135 +tp1136 +a(g740 +Vinitialization +p1137 +tp1138 +a(g822 +g968 +tp1139 +a(g822 +Vsome_goal +p1140 +tp1141 +a(g822 +g968 +tp1142 +a(g436 +VX +p1143 +tp1144 +a(g822 +g985 +tp1145 +a(g822 +g987 +tp1146 +a(g436 +VY +p1147 +tp1148 +a(g822 +g972 +tp1149 +a(g822 +g972 +tp1150 +a(g822 +g974 +tp1151 +a(g822 +V\u000a +p1152 +tp1153 +a(g701 +V :- +p1154 +tp1155 +a(g740 +Vcalls +p1156 +tp1157 +a(g822 +g968 +tp1158 +a(g822 +Vsome_other_protocol +p1159 +tp1160 +a(g822 +g972 +tp1161 +a(g822 +g974 +tp1162 +a(g822 +V\u000a +p1163 +tp1164 +a(g701 +V :- +p1165 +tp1166 +a(g740 +Vuses +p1167 +tp1168 +a(g822 +g968 +tp1169 +a(g822 +Vanother_object +p1170 +tp1171 +a(g822 +g972 +tp1172 +a(g822 +g974 +tp1173 +a(g822 +V\u000a +p1174 +tp1175 +a(g701 +V\u000a :- +p1176 +tp1177 +a(g740 +Valias +p1178 +tp1179 +a(g822 +g968 +tp1180 +a(g822 +Vset +p1181 +tp1182 +a(g822 +g985 +tp1183 +a(g822 +g987 +tp1184 +a(g822 +Vmember +p1185 +tp1186 +a(g408 +g1089 +tp1187 +a(g27 +V2 +p1188 +tp1189 +a(g822 +g985 +tp1190 +a(g822 +g987 +tp1191 +a(g822 +Vset_member +p1192 +tp1193 +a(g408 +g1089 +tp1194 +a(g27 +g1188 +tp1195 +a(g822 +g972 +tp1196 +a(g822 +g974 +tp1197 +a(g822 +V\u000a +p1198 +tp1199 +a(g701 +V :- +p1200 +tp1201 +a(g740 +Valias +p1202 +tp1203 +a(g822 +g968 +tp1204 +a(g822 +Vwords +p1205 +tp1206 +a(g822 +g985 +tp1207 +a(g822 +g987 +tp1208 +a(g822 +Vsingular +p1209 +tp1210 +a(g408 +V// +p1211 +tp1212 +a(g27 +V0 +p1213 +tp1214 +a(g822 +g985 +tp1215 +a(g822 +g987 +tp1216 +a(g822 +Vpeculiar +p1217 +tp1218 +a(g408 +V// +p1219 +tp1220 +a(g27 +g1213 +tp1221 +a(g822 +g972 +tp1222 +a(g822 +g974 +tp1223 +a(g822 +V\u000a +p1224 +tp1225 +a(g701 +V\u000a :- +p1226 +tp1227 +a(g740 +Vuses +p1228 +tp1229 +a(g822 +g968 +tp1230 +a(g822 +Vlist +p1231 +tp1232 +a(g822 +g985 +tp1233 +a(g822 +g987 +tp1234 +a(g822 +g1046 +tp1235 +a(g822 +Vappend +p1236 +tp1237 +a(g408 +g1089 +tp1238 +a(g27 +V3 +p1239 +tp1240 +a(g822 +g985 +tp1241 +a(g822 +g987 +tp1242 +a(g822 +Vmember +p1243 +tp1244 +a(g408 +g1089 +tp1245 +a(g27 +g1188 +tp1246 +a(g822 +g1111 +tp1247 +a(g822 +g972 +tp1248 +a(g822 +g974 +tp1249 +a(g822 +V\u000a +p1250 +tp1251 +a(g701 +V :- +p1252 +tp1253 +a(g740 +Vuses +p1254 +tp1255 +a(g822 +g968 +tp1256 +a(g822 +Vqueues +p1257 +tp1258 +a(g822 +g985 +tp1259 +a(g822 +g987 +tp1260 +a(g822 +g1046 +tp1261 +a(g822 +Vnew +p1262 +tp1263 +a(g408 +g1089 +tp1264 +a(g27 +g1094 +tp1265 +a(g408 +V:: +p1266 +tp1267 +a(g822 +Vnew_queue +p1268 +tp1269 +a(g408 +g1089 +tp1270 +a(g27 +g1094 +tp1271 +a(g822 +g1111 +tp1272 +a(g822 +g972 +tp1273 +a(g822 +g974 +tp1274 +a(g822 +V\u000a +p1275 +tp1276 +a(g701 +V\u000a :- +p1277 +tp1278 +a(g740 +Vpublic +p1279 +tp1280 +a(g822 +g968 +tp1281 +a(g822 +Vaaa +p1282 +tp1283 +a(g408 +g1089 +tp1284 +a(g27 +g1188 +tp1285 +a(g822 +g972 +tp1286 +a(g822 +g974 +tp1287 +a(g822 +V\u000a +p1288 +tp1289 +a(g701 +V :- +p1290 +tp1291 +a(g740 +Vmeta_predicate +p1292 +tp1293 +a(g822 +g968 +tp1294 +a(g822 +Vaaa +p1295 +tp1296 +a(g822 +g968 +tp1297 +a(g408 +V:: +p1298 +tp1299 +a(g822 +g985 +tp1300 +a(g822 +g987 +tp1301 +a(g408 +V* +p1302 +tp1303 +a(g822 +g972 +tp1304 +a(g822 +g972 +tp1305 +a(g822 +g974 +tp1306 +a(g822 +V\u000a +p1307 +tp1308 +a(g701 +V :- +p1309 +tp1310 +a(g740 +Vdiscontiguous +p1311 +tp1312 +a(g822 +g968 +tp1313 +a(g822 +Vaaa +p1314 +tp1315 +a(g408 +g1089 +tp1316 +a(g27 +g1188 +tp1317 +a(g822 +g972 +tp1318 +a(g822 +g974 +tp1319 +a(g822 +V\u000a +p1320 +tp1321 +a(g701 +V :- +p1322 +tp1323 +a(g740 +Vmode +p1324 +tp1325 +a(g822 +g968 +tp1326 +a(g822 +Vaaa +p1327 +tp1328 +a(g822 +g968 +tp1329 +a(g408 +V+ +p1330 +tp1331 +a(g822 +Vcallable +p1332 +tp1333 +a(g822 +g985 +tp1334 +a(g822 +g987 +tp1335 +a(g408 +V? +p1336 +tp1337 +a(g822 +Vinteger +p1338 +tp1339 +a(g822 +g972 +tp1340 +a(g822 +g985 +tp1341 +a(g822 +g987 +tp1342 +a(g822 +Vzero_or_one +p1343 +tp1344 +a(g822 +g972 +tp1345 +a(g822 +g974 +tp1346 +a(g822 +V\u000a +p1347 +tp1348 +a(g701 +V :- +p1349 +tp1350 +a(g740 +Vinfo +p1351 +tp1352 +a(g822 +g968 +tp1353 +a(g822 +Vposition +p1354 +tp1355 +a(g408 +g1089 +tp1356 +a(g27 +g1188 +tp1357 +a(g822 +g985 +tp1358 +a(g822 +g987 +tp1359 +a(g822 +g1046 +tp1360 +a(g822 +V\u000a +p1361 +tp1362 +a(g822 +V +p1363 +tp1364 +a(g822 +Vcomment +p1365 +tp1366 +a(g822 +g987 +tp1367 +a(g740 +Vis +p1368 +tp1369 +a(g822 +g987 +tp1370 +a(g84 +g1071 +tp1371 +a(g84 +VPredicate brief description. +p1372 +tp1373 +a(g84 +g1071 +tp1374 +a(g822 +g985 +tp1375 +a(g822 +V\u000a +p1376 +tp1377 +a(g822 +V +p1378 +tp1379 +a(g822 +Varguments +p1380 +tp1381 +a(g822 +g987 +tp1382 +a(g740 +Vis +p1383 +tp1384 +a(g822 +g987 +tp1385 +a(g822 +g1046 +tp1386 +a(g84 +g1071 +tp1387 +a(g84 +VArg1 +p1388 +tp1389 +a(g84 +g1071 +tp1390 +a(g408 +V- +p1391 +tp1392 +a(g84 +g1071 +tp1393 +a(g84 +VArg1 description +p1394 +tp1395 +a(g84 +g1071 +tp1396 +a(g822 +g985 +tp1397 +a(g822 +g987 +tp1398 +a(g84 +g1071 +tp1399 +a(g84 +VArg2 +p1400 +tp1401 +a(g84 +g1071 +tp1402 +a(g408 +g1391 +tp1403 +a(g84 +g1071 +tp1404 +a(g84 +VArg2 description +p1405 +tp1406 +a(g84 +g1071 +tp1407 +a(g822 +g1111 +tp1408 +a(g822 +g1111 +tp1409 +a(g822 +g972 +tp1410 +a(g822 +g974 +tp1411 +a(g822 +V\u000a +p1412 +tp1413 +a(g701 +V\u000a :- +p1414 +tp1415 +a(g740 +Vprotected +p1416 +tp1417 +a(g822 +g968 +tp1418 +a(g822 +Vbbb +p1419 +tp1420 +a(g408 +g1089 +tp1421 +a(g27 +g1188 +tp1422 +a(g822 +g972 +tp1423 +a(g822 +g974 +tp1424 +a(g822 +V\u000a +p1425 +tp1426 +a(g701 +V :- +p1427 +tp1428 +a(g740 +Vsynchronized +p1429 +tp1430 +a(g822 +g968 +tp1431 +a(g822 +Vbbb +p1432 +tp1433 +a(g408 +g1089 +tp1434 +a(g27 +g1188 +tp1435 +a(g822 +g972 +tp1436 +a(g822 +g974 +tp1437 +a(g822 +V\u000a +p1438 +tp1439 +a(g701 +V :- +p1440 +tp1441 +a(g740 +Vmode +p1442 +tp1443 +a(g822 +g968 +tp1444 +a(g822 +Vbbb +p1445 +tp1446 +a(g822 +g968 +tp1447 +a(g408 +g1330 +tp1448 +a(g822 +Vinteger +p1449 +tp1450 +a(g822 +g985 +tp1451 +a(g822 +g987 +tp1452 +a(g408 +g1391 +tp1453 +a(g822 +Vfloat +p1454 +tp1455 +a(g822 +g972 +tp1456 +a(g822 +g985 +tp1457 +a(g822 +g987 +tp1458 +a(g822 +Vone +p1459 +tp1460 +a(g822 +g972 +tp1461 +a(g822 +g974 +tp1462 +a(g822 +V\u000a +p1463 +tp1464 +a(g701 +V :- +p1465 +tp1466 +a(g740 +Vinfo +p1467 +tp1468 +a(g822 +g968 +tp1469 +a(g822 +Vbbb +p1470 +tp1471 +a(g408 +g1089 +tp1472 +a(g27 +g1188 +tp1473 +a(g822 +g985 +tp1474 +a(g822 +g987 +tp1475 +a(g822 +g1046 +tp1476 +a(g822 +V\u000a +p1477 +tp1478 +a(g822 +V +p1479 +tp1480 +a(g822 +Vcomment +p1481 +tp1482 +a(g822 +g987 +tp1483 +a(g740 +Vis +p1484 +tp1485 +a(g822 +g987 +tp1486 +a(g84 +g1071 +tp1487 +a(g84 +VPredicate brief description. +p1488 +tp1489 +a(g84 +g1071 +tp1490 +a(g822 +g985 +tp1491 +a(g822 +V\u000a +p1492 +tp1493 +a(g822 +V +p1494 +tp1495 +a(g822 +Vargnames +p1496 +tp1497 +a(g822 +g987 +tp1498 +a(g740 +Vis +p1499 +tp1500 +a(g822 +g987 +tp1501 +a(g822 +g1046 +tp1502 +a(g84 +g1071 +tp1503 +a(g84 +VArg1 +p1504 +tp1505 +a(g84 +g1071 +tp1506 +a(g822 +g985 +tp1507 +a(g822 +g987 +tp1508 +a(g84 +g1071 +tp1509 +a(g84 +VArg2 +p1510 +tp1511 +a(g84 +g1071 +tp1512 +a(g822 +g1111 +tp1513 +a(g822 +g1111 +tp1514 +a(g822 +g972 +tp1515 +a(g822 +g974 +tp1516 +a(g822 +V\u000a +p1517 +tp1518 +a(g701 +V\u000a :- +p1519 +tp1520 +a(g740 +Vprivate +p1521 +tp1522 +a(g822 +g968 +tp1523 +a(g822 +Vccc +p1524 +tp1525 +a(g408 +g1089 +tp1526 +a(g27 +g1188 +tp1527 +a(g822 +g972 +tp1528 +a(g822 +g974 +tp1529 +a(g822 +V\u000a +p1530 +tp1531 +a(g701 +V :- +p1532 +tp1533 +a(g740 +Vdynamic +p1534 +tp1535 +a(g822 +g968 +tp1536 +a(g822 +Vccc +p1537 +tp1538 +a(g408 +g1089 +tp1539 +a(g27 +g1188 +tp1540 +a(g822 +g972 +tp1541 +a(g822 +g974 +tp1542 +a(g822 +V\u000a +p1543 +tp1544 +a(g701 +V :- +p1545 +tp1546 +a(g740 +Vmode +p1547 +tp1548 +a(g822 +g968 +tp1549 +a(g822 +Vccc +p1550 +tp1551 +a(g822 +g968 +tp1552 +a(g408 +V@ +p1553 +tp1554 +a(g822 +Vatom +p1555 +tp1556 +a(g822 +g985 +tp1557 +a(g822 +g987 +tp1558 +a(g408 +g1336 +tp1559 +a(g822 +Vatom +p1560 +tp1561 +a(g822 +g972 +tp1562 +a(g822 +g985 +tp1563 +a(g822 +g987 +tp1564 +a(g822 +Vone_or_more +p1565 +tp1566 +a(g822 +g972 +tp1567 +a(g822 +g974 +tp1568 +a(g822 +V\u000a +p1569 +tp1570 +a(g701 +V :- +p1571 +tp1572 +a(g740 +Vinfo +p1573 +tp1574 +a(g822 +g968 +tp1575 +a(g822 +Vccc +p1576 +tp1577 +a(g408 +g1089 +tp1578 +a(g27 +g1188 +tp1579 +a(g822 +g985 +tp1580 +a(g822 +g987 +tp1581 +a(g822 +g1046 +tp1582 +a(g822 +V\u000a +p1583 +tp1584 +a(g822 +V +p1585 +tp1586 +a(g822 +Vcomment +p1587 +tp1588 +a(g822 +g987 +tp1589 +a(g740 +Vis +p1590 +tp1591 +a(g822 +g987 +tp1592 +a(g84 +g1071 +tp1593 +a(g84 +VPredicate brief description. +p1594 +tp1595 +a(g84 +g1071 +tp1596 +a(g822 +g985 +tp1597 +a(g822 +V\u000a +p1598 +tp1599 +a(g822 +V +p1600 +tp1601 +a(g822 +Vargnames +p1602 +tp1603 +a(g822 +g987 +tp1604 +a(g740 +Vis +p1605 +tp1606 +a(g822 +g987 +tp1607 +a(g822 +g1046 +tp1608 +a(g84 +g1071 +tp1609 +a(g84 +VArg1 +p1610 +tp1611 +a(g84 +g1071 +tp1612 +a(g822 +g985 +tp1613 +a(g822 +g987 +tp1614 +a(g84 +g1071 +tp1615 +a(g84 +VArg2 +p1616 +tp1617 +a(g84 +g1071 +tp1618 +a(g822 +g1111 +tp1619 +a(g822 +g1111 +tp1620 +a(g822 +g972 +tp1621 +a(g822 +g974 +tp1622 +a(g822 +V\u000a +p1623 +tp1624 +a(g822 +V\u000a +p1625 +tp1626 +a(g822 +g1009 +tp1627 +a(g822 +Venumerating_entities +p1628 +tp1629 +a(g822 +g968 +tp1630 +a(g436 +VObject +p1631 +tp1632 +a(g822 +g985 +tp1633 +a(g822 +g987 +tp1634 +a(g436 +VProtocol +p1635 +tp1636 +a(g822 +g985 +tp1637 +a(g822 +g987 +tp1638 +a(g436 +VCategory +p1639 +tp1640 +a(g822 +g972 +tp1641 +a(g822 +g987 +tp1642 +a(g408 +V: +p1643 +tp1644 +a(g408 +g1391 +tp1645 +a(g822 +V\u000a +p1646 +tp1647 +a(g822 +V +p1648 +tp1649 +a(g740 +Vcurrent_category +p1650 +tp1651 +a(g822 +g968 +tp1652 +a(g436 +VCategory +p1653 +tp1654 +a(g822 +g972 +tp1655 +a(g822 +g985 +tp1656 +a(g822 +V\u000a +p1657 +tp1658 +a(g822 +V +p1659 +tp1660 +a(g740 +Vcurrent_object +p1661 +tp1662 +a(g822 +g968 +tp1663 +a(g436 +VObject +p1664 +tp1665 +a(g822 +g972 +tp1666 +a(g822 +g985 +tp1667 +a(g822 +V\u000a +p1668 +tp1669 +a(g822 +V +p1670 +tp1671 +a(g740 +Vcurrent_protocol +p1672 +tp1673 +a(g822 +g968 +tp1674 +a(g436 +VProtocol +p1675 +tp1676 +a(g822 +g972 +tp1677 +a(g822 +g974 +tp1678 +a(g822 +V\u000a +p1679 +tp1680 +a(g822 +V\u000a +p1681 +tp1682 +a(g822 +g1009 +tp1683 +a(g822 +Venumerating_properties +p1684 +tp1685 +a(g822 +g987 +tp1686 +a(g408 +g1643 +tp1687 +a(g408 +g1391 +tp1688 +a(g822 +V\u000a +p1689 +tp1690 +a(g822 +V +p1691 +tp1692 +a(g740 +Vcategory_property +p1693 +tp1694 +a(g822 +g968 +tp1695 +a(g436 +VCategory +p1696 +tp1697 +a(g822 +g985 +tp1698 +a(g822 +g987 +tp1699 +a(g436 +VProperty +p1700 +tp1701 +a(g822 +g972 +tp1702 +a(g822 +g985 +tp1703 +a(g822 +V\u000a +p1704 +tp1705 +a(g822 +V +p1706 +tp1707 +a(g740 +Vobject_property +p1708 +tp1709 +a(g822 +g968 +tp1710 +a(g436 +VObject +p1711 +tp1712 +a(g822 +g985 +tp1713 +a(g822 +g987 +tp1714 +a(g436 +VProperty +p1715 +tp1716 +a(g822 +g972 +tp1717 +a(g822 +g985 +tp1718 +a(g822 +V\u000a +p1719 +tp1720 +a(g822 +V +p1721 +tp1722 +a(g740 +Vprotocol_property +p1723 +tp1724 +a(g822 +g968 +tp1725 +a(g436 +VProtocol +p1726 +tp1727 +a(g822 +g985 +tp1728 +a(g822 +g987 +tp1729 +a(g436 +VProperty +p1730 +tp1731 +a(g822 +g972 +tp1732 +a(g822 +g974 +tp1733 +a(g822 +V\u000a +p1734 +tp1735 +a(g822 +V\u000a +p1736 +tp1737 +a(g822 +g1009 +tp1738 +a(g822 +Vcreating_entities +p1739 +tp1740 +a(g822 +g968 +tp1741 +a(g436 +VObject +p1742 +tp1743 +a(g822 +g985 +tp1744 +a(g822 +g987 +tp1745 +a(g436 +VProtocol +p1746 +tp1747 +a(g822 +g985 +tp1748 +a(g822 +g987 +tp1749 +a(g436 +VCategory +p1750 +tp1751 +a(g822 +g972 +tp1752 +a(g822 +g987 +tp1753 +a(g408 +g1643 +tp1754 +a(g408 +g1391 +tp1755 +a(g822 +V\u000a +p1756 +tp1757 +a(g822 +V +p1758 +tp1759 +a(g740 +Vcreate_category +p1760 +tp1761 +a(g822 +g968 +tp1762 +a(g436 +VCategory +p1763 +tp1764 +a(g822 +g985 +tp1765 +a(g822 +g987 +tp1766 +a(g436 +VRelations +p1767 +tp1768 +a(g822 +g985 +tp1769 +a(g822 +g987 +tp1770 +a(g436 +VDirectives +p1771 +tp1772 +a(g822 +g985 +tp1773 +a(g822 +g987 +tp1774 +a(g436 +VClauses +p1775 +tp1776 +a(g822 +g972 +tp1777 +a(g822 +g985 +tp1778 +a(g822 +V\u000a +p1779 +tp1780 +a(g822 +V +p1781 +tp1782 +a(g740 +Vcreate_object +p1783 +tp1784 +a(g822 +g968 +tp1785 +a(g436 +VObject +p1786 +tp1787 +a(g822 +g985 +tp1788 +a(g822 +g987 +tp1789 +a(g436 +VRelations +p1790 +tp1791 +a(g822 +g985 +tp1792 +a(g822 +g987 +tp1793 +a(g436 +VDirectives +p1794 +tp1795 +a(g822 +g985 +tp1796 +a(g822 +g987 +tp1797 +a(g436 +VClauses +p1798 +tp1799 +a(g822 +g972 +tp1800 +a(g822 +g985 +tp1801 +a(g822 +V\u000a +p1802 +tp1803 +a(g822 +V +p1804 +tp1805 +a(g740 +Vcreate_protocol +p1806 +tp1807 +a(g822 +g968 +tp1808 +a(g436 +VProtocol +p1809 +tp1810 +a(g822 +g985 +tp1811 +a(g822 +g987 +tp1812 +a(g436 +VRelations +p1813 +tp1814 +a(g822 +g985 +tp1815 +a(g822 +g987 +tp1816 +a(g436 +VDirectives +p1817 +tp1818 +a(g822 +g972 +tp1819 +a(g822 +g974 +tp1820 +a(g822 +V\u000a +p1821 +tp1822 +a(g822 +V\u000a +p1823 +tp1824 +a(g822 +g1009 +tp1825 +a(g822 +Vabolishing_entities +p1826 +tp1827 +a(g822 +g968 +tp1828 +a(g436 +VObject +p1829 +tp1830 +a(g822 +g985 +tp1831 +a(g822 +g987 +tp1832 +a(g436 +VProtocol +p1833 +tp1834 +a(g822 +g985 +tp1835 +a(g822 +g987 +tp1836 +a(g436 +VCategory +p1837 +tp1838 +a(g822 +g972 +tp1839 +a(g822 +g987 +tp1840 +a(g408 +g1643 +tp1841 +a(g408 +g1391 +tp1842 +a(g822 +V\u000a +p1843 +tp1844 +a(g822 +V +p1845 +tp1846 +a(g740 +Vabolish_category +p1847 +tp1848 +a(g822 +g968 +tp1849 +a(g436 +VCategory +p1850 +tp1851 +a(g822 +g972 +tp1852 +a(g822 +g985 +tp1853 +a(g822 +V\u000a +p1854 +tp1855 +a(g822 +V +p1856 +tp1857 +a(g740 +Vabolish_object +p1858 +tp1859 +a(g822 +g968 +tp1860 +a(g436 +VObject +p1861 +tp1862 +a(g822 +g972 +tp1863 +a(g822 +g985 +tp1864 +a(g822 +V\u000a +p1865 +tp1866 +a(g822 +V +p1867 +tp1868 +a(g740 +Vabolish_protocol +p1869 +tp1870 +a(g822 +g968 +tp1871 +a(g436 +VProtocol +p1872 +tp1873 +a(g822 +g972 +tp1874 +a(g822 +g974 +tp1875 +a(g822 +V\u000a +p1876 +tp1877 +a(g822 +V\u000a +p1878 +tp1879 +a(g822 +g1009 +tp1880 +a(g822 +Ventity_relations +p1881 +tp1882 +a(g822 +g987 +tp1883 +a(g408 +g1643 +tp1884 +a(g408 +g1391 +tp1885 +a(g822 +V\u000a +p1886 +tp1887 +a(g822 +V +p1888 +tp1889 +a(g740 +Vextends_object +p1890 +tp1891 +a(g822 +g968 +tp1892 +a(g436 +VPrototype +p1893 +tp1894 +a(g822 +g985 +tp1895 +a(g822 +g987 +tp1896 +a(g436 +VParent +p1897 +tp1898 +a(g822 +g985 +tp1899 +a(g822 +g987 +tp1900 +a(g436 +VScope +p1901 +tp1902 +a(g822 +g972 +tp1903 +a(g822 +g985 +tp1904 +a(g822 +V\u000a +p1905 +tp1906 +a(g822 +V +p1907 +tp1908 +a(g740 +Vextends_protocol +p1909 +tp1910 +a(g822 +g968 +tp1911 +a(g436 +VProtocol1 +p1912 +tp1913 +a(g822 +g985 +tp1914 +a(g822 +g987 +tp1915 +a(g436 +VProtocol2 +p1916 +tp1917 +a(g822 +g985 +tp1918 +a(g822 +g987 +tp1919 +a(g436 +VScope +p1920 +tp1921 +a(g822 +g972 +tp1922 +a(g822 +g985 +tp1923 +a(g822 +V\u000a +p1924 +tp1925 +a(g822 +V +p1926 +tp1927 +a(g740 +Vextends_category +p1928 +tp1929 +a(g822 +g968 +tp1930 +a(g436 +VCategory1 +p1931 +tp1932 +a(g822 +g985 +tp1933 +a(g822 +g987 +tp1934 +a(g436 +VCategory2 +p1935 +tp1936 +a(g822 +g985 +tp1937 +a(g822 +g987 +tp1938 +a(g436 +VScope +p1939 +tp1940 +a(g822 +g972 +tp1941 +a(g822 +g985 +tp1942 +a(g822 +V\u000a +p1943 +tp1944 +a(g822 +V +p1945 +tp1946 +a(g740 +Vimplements_protocol +p1947 +tp1948 +a(g822 +g968 +tp1949 +a(g436 +VObject +p1950 +tp1951 +a(g822 +g985 +tp1952 +a(g822 +g987 +tp1953 +a(g436 +VProtocol +p1954 +tp1955 +a(g822 +g985 +tp1956 +a(g822 +g987 +tp1957 +a(g436 +VScope +p1958 +tp1959 +a(g822 +g972 +tp1960 +a(g822 +g985 +tp1961 +a(g822 +V\u000a +p1962 +tp1963 +a(g822 +V +p1964 +tp1965 +a(g740 +Vimports_category +p1966 +tp1967 +a(g822 +g968 +tp1968 +a(g436 +VObject +p1969 +tp1970 +a(g822 +g985 +tp1971 +a(g822 +g987 +tp1972 +a(g436 +VCategory +p1973 +tp1974 +a(g822 +g985 +tp1975 +a(g822 +g987 +tp1976 +a(g436 +VScope +p1977 +tp1978 +a(g822 +g972 +tp1979 +a(g822 +g985 +tp1980 +a(g822 +V\u000a +p1981 +tp1982 +a(g822 +V +p1983 +tp1984 +a(g740 +Vinstantiates_class +p1985 +tp1986 +a(g822 +g968 +tp1987 +a(g436 +VInstance +p1988 +tp1989 +a(g822 +g985 +tp1990 +a(g822 +g987 +tp1991 +a(g436 +VClass +p1992 +tp1993 +a(g822 +g985 +tp1994 +a(g822 +g987 +tp1995 +a(g436 +VScope +p1996 +tp1997 +a(g822 +g972 +tp1998 +a(g822 +g985 +tp1999 +a(g822 +V\u000a +p2000 +tp2001 +a(g822 +V +p2002 +tp2003 +a(g740 +Vspecializes_class +p2004 +tp2005 +a(g822 +g968 +tp2006 +a(g436 +VClass +p2007 +tp2008 +a(g822 +g985 +tp2009 +a(g822 +g987 +tp2010 +a(g436 +VSuperclass +p2011 +tp2012 +a(g822 +g985 +tp2013 +a(g822 +g987 +tp2014 +a(g436 +VScope +p2015 +tp2016 +a(g822 +g972 +tp2017 +a(g822 +g985 +tp2018 +a(g822 +V\u000a +p2019 +tp2020 +a(g822 +V +p2021 +tp2022 +a(g740 +Vcomplements_object +p2023 +tp2024 +a(g822 +g968 +tp2025 +a(g436 +VCategory +p2026 +tp2027 +a(g822 +g985 +tp2028 +a(g822 +g987 +tp2029 +a(g436 +VObject +p2030 +tp2031 +a(g822 +g972 +tp2032 +a(g822 +g974 +tp2033 +a(g822 +V\u000a +p2034 +tp2035 +a(g822 +V\u000a +p2036 +tp2037 +a(g822 +g1009 +tp2038 +a(g822 +Vevent_handling +p2039 +tp2040 +a(g822 +g987 +tp2041 +a(g408 +g1643 +tp2042 +a(g408 +g1391 +tp2043 +a(g822 +V\u000a +p2044 +tp2045 +a(g822 +V +p2046 +tp2047 +a(g740 +Vabolish_events +p2048 +tp2049 +a(g822 +g968 +tp2050 +a(g436 +VEvent +p2051 +tp2052 +a(g822 +g985 +tp2053 +a(g822 +g987 +tp2054 +a(g436 +VObject +p2055 +tp2056 +a(g822 +g985 +tp2057 +a(g822 +g987 +tp2058 +a(g436 +VMessage +p2059 +tp2060 +a(g822 +g985 +tp2061 +a(g822 +g987 +tp2062 +a(g436 +VSender +p2063 +tp2064 +a(g822 +g985 +tp2065 +a(g822 +g987 +tp2066 +a(g436 +VMonitor +p2067 +tp2068 +a(g822 +g972 +tp2069 +a(g822 +g985 +tp2070 +a(g822 +V\u000a +p2071 +tp2072 +a(g822 +V +p2073 +tp2074 +a(g740 +Vcurrent_event +p2075 +tp2076 +a(g822 +g968 +tp2077 +a(g436 +VEvent +p2078 +tp2079 +a(g822 +g985 +tp2080 +a(g822 +g987 +tp2081 +a(g436 +VObject +p2082 +tp2083 +a(g822 +g985 +tp2084 +a(g822 +g987 +tp2085 +a(g436 +VMessage +p2086 +tp2087 +a(g822 +g985 +tp2088 +a(g822 +g987 +tp2089 +a(g436 +VSender +p2090 +tp2091 +a(g822 +g985 +tp2092 +a(g822 +g987 +tp2093 +a(g436 +VMonitor +p2094 +tp2095 +a(g822 +g972 +tp2096 +a(g822 +g985 +tp2097 +a(g822 +V\u000a +p2098 +tp2099 +a(g822 +V +p2100 +tp2101 +a(g740 +Vdefine_events +p2102 +tp2103 +a(g822 +g968 +tp2104 +a(g436 +VEvent +p2105 +tp2106 +a(g822 +g985 +tp2107 +a(g822 +g987 +tp2108 +a(g436 +VObject +p2109 +tp2110 +a(g822 +g985 +tp2111 +a(g822 +g987 +tp2112 +a(g436 +VMessage +p2113 +tp2114 +a(g822 +g985 +tp2115 +a(g822 +g987 +tp2116 +a(g436 +VSender +p2117 +tp2118 +a(g822 +g985 +tp2119 +a(g822 +g987 +tp2120 +a(g436 +VMonitor +p2121 +tp2122 +a(g822 +g972 +tp2123 +a(g822 +g974 +tp2124 +a(g822 +V\u000a +p2125 +tp2126 +a(g822 +V\u000a +p2127 +tp2128 +a(g822 +g1009 +tp2129 +a(g822 +Vmulti_threading +p2130 +tp2131 +a(g822 +g987 +tp2132 +a(g408 +g1643 +tp2133 +a(g408 +g1391 +tp2134 +a(g822 +V\u000a +p2135 +tp2136 +a(g822 +V +p2137 +tp2138 +a(g740 +Vthreaded +p2139 +tp2140 +a(g822 +g968 +tp2141 +a(g436 +VGoals +p2142 +tp2143 +a(g822 +g972 +tp2144 +a(g822 +g985 +tp2145 +a(g822 +V\u000a +p2146 +tp2147 +a(g822 +V +p2148 +tp2149 +a(g740 +Vthreaded_call +p2150 +tp2151 +a(g822 +g968 +tp2152 +a(g436 +VGoal +p2153 +tp2154 +a(g822 +g972 +tp2155 +a(g822 +g985 +tp2156 +a(g822 +V\u000a +p2157 +tp2158 +a(g822 +V +p2159 +tp2160 +a(g740 +Vthreaded_once +p2161 +tp2162 +a(g822 +g968 +tp2163 +a(g436 +VGoal +p2164 +tp2165 +a(g822 +g972 +tp2166 +a(g822 +g985 +tp2167 +a(g822 +V\u000a +p2168 +tp2169 +a(g822 +V +p2170 +tp2171 +a(g740 +Vthreaded_ignore +p2172 +tp2173 +a(g822 +g968 +tp2174 +a(g436 +VGoal +p2175 +tp2176 +a(g822 +g972 +tp2177 +a(g822 +g985 +tp2178 +a(g822 +V\u000a +p2179 +tp2180 +a(g822 +V +p2181 +tp2182 +a(g740 +Vthreaded_exit +p2183 +tp2184 +a(g822 +g968 +tp2185 +a(g436 +VGoal +p2186 +tp2187 +a(g822 +g972 +tp2188 +a(g822 +g985 +tp2189 +a(g822 +V\u000a +p2190 +tp2191 +a(g822 +V +p2192 +tp2193 +a(g740 +Vthreaded_peek +p2194 +tp2195 +a(g822 +g968 +tp2196 +a(g436 +VGoal +p2197 +tp2198 +a(g822 +g972 +tp2199 +a(g822 +g985 +tp2200 +a(g822 +V\u000a +p2201 +tp2202 +a(g822 +V +p2203 +tp2204 +a(g740 +Vthreaded_wait +p2205 +tp2206 +a(g822 +g968 +tp2207 +a(g436 +VGoal +p2208 +tp2209 +a(g822 +g972 +tp2210 +a(g822 +g985 +tp2211 +a(g822 +V\u000a +p2212 +tp2213 +a(g822 +V +p2214 +tp2215 +a(g740 +Vthreaded_notify +p2216 +tp2217 +a(g822 +g968 +tp2218 +a(g436 +VNotification +p2219 +tp2220 +a(g822 +g972 +tp2221 +a(g822 +g974 +tp2222 +a(g822 +V\u000a +p2223 +tp2224 +a(g822 +V\u000a +p2225 +tp2226 +a(g822 +g1009 +tp2227 +a(g822 +Vcompiling_and_loading +p2228 +tp2229 +a(g822 +g987 +tp2230 +a(g408 +g1643 +tp2231 +a(g408 +g1391 +tp2232 +a(g822 +V\u000a +p2233 +tp2234 +a(g822 +V +p2235 +tp2236 +a(g740 +Vlogtalk_compile +p2237 +tp2238 +a(g822 +g968 +tp2239 +a(g436 +VFile +p2240 +tp2241 +a(g822 +g985 +tp2242 +a(g822 +g987 +tp2243 +a(g436 +VOptions +p2244 +tp2245 +a(g822 +g972 +tp2246 +a(g822 +g985 +tp2247 +a(g822 +V\u000a +p2248 +tp2249 +a(g822 +V +p2250 +tp2251 +a(g740 +Vlogtalk_load +p2252 +tp2253 +a(g822 +g968 +tp2254 +a(g436 +VFile +p2255 +tp2256 +a(g822 +g985 +tp2257 +a(g822 +g987 +tp2258 +a(g436 +VOptions +p2259 +tp2260 +a(g822 +g972 +tp2261 +a(g822 +g985 +tp2262 +a(g822 +V\u000a +p2263 +tp2264 +a(g822 +V +p2265 +tp2266 +a(g740 +Vlogtalk_library_path +p2267 +tp2268 +a(g822 +g968 +tp2269 +a(g436 +VLibrary +p2270 +tp2271 +a(g822 +g985 +tp2272 +a(g822 +g987 +tp2273 +a(g436 +VPath +p2274 +tp2275 +a(g822 +g972 +tp2276 +a(g822 +g974 +tp2277 +a(g822 +V\u000a +p2278 +tp2279 +a(g822 +V\u000a +p2280 +tp2281 +a(g822 +g1009 +tp2282 +a(g822 +Vflags +p2283 +tp2284 +a(g822 +g987 +tp2285 +a(g408 +g1643 +tp2286 +a(g408 +g1391 +tp2287 +a(g822 +V\u000a +p2288 +tp2289 +a(g822 +V +p2290 +tp2291 +a(g740 +Vcurrent_logtalk_flag +p2292 +tp2293 +a(g822 +g968 +tp2294 +a(g436 +VFlag +p2295 +tp2296 +a(g822 +g985 +tp2297 +a(g822 +g987 +tp2298 +a(g436 +VValue +p2299 +tp2300 +a(g822 +g972 +tp2301 +a(g822 +g985 +tp2302 +a(g822 +V\u000a +p2303 +tp2304 +a(g822 +V +p2305 +tp2306 +a(g740 +Vset_logtalk_flag +p2307 +tp2308 +a(g822 +g968 +tp2309 +a(g436 +VFlag +p2310 +tp2311 +a(g822 +g985 +tp2312 +a(g822 +g987 +tp2313 +a(g436 +VValue +p2314 +tp2315 +a(g822 +g972 +tp2316 +a(g822 +g974 +tp2317 +a(g822 +V\u000a +p2318 +tp2319 +a(g822 +V\u000a +p2320 +tp2321 +a(g822 +g1009 +tp2322 +a(g822 +Vexecution_context_methods +p2323 +tp2324 +a(g822 +g987 +tp2325 +a(g408 +g1643 +tp2326 +a(g408 +g1391 +tp2327 +a(g822 +V\u000a +p2328 +tp2329 +a(g822 +V +p2330 +tp2331 +a(g740 +Vparameter +p2332 +tp2333 +a(g822 +g968 +tp2334 +a(g436 +VN +p2335 +tp2336 +a(g822 +g985 +tp2337 +a(g822 +g987 +tp2338 +a(g436 +VParameter +p2339 +tp2340 +a(g822 +g972 +tp2341 +a(g822 +g985 +tp2342 +a(g822 +V\u000a +p2343 +tp2344 +a(g822 +V +p2345 +tp2346 +a(g740 +Vself +p2347 +tp2348 +a(g822 +g968 +tp2349 +a(g436 +VSelf +p2350 +tp2351 +a(g822 +g972 +tp2352 +a(g822 +g985 +tp2353 +a(g822 +V\u000a +p2354 +tp2355 +a(g822 +V +p2356 +tp2357 +a(g740 +Vsender +p2358 +tp2359 +a(g822 +g968 +tp2360 +a(g436 +VSender +p2361 +tp2362 +a(g822 +g972 +tp2363 +a(g822 +g985 +tp2364 +a(g822 +V\u000a +p2365 +tp2366 +a(g822 +V +p2367 +tp2368 +a(g740 +Vthis +p2369 +tp2370 +a(g822 +g968 +tp2371 +a(g436 +VThis +p2372 +tp2373 +a(g822 +g972 +tp2374 +a(g822 +g974 +tp2375 +a(g822 +V\u000a +p2376 +tp2377 +a(g822 +V\u000a +p2378 +tp2379 +a(g822 +g1009 +tp2380 +a(g822 +Vreflection_methods +p2381 +tp2382 +a(g822 +g987 +tp2383 +a(g408 +g1643 +tp2384 +a(g408 +g1391 +tp2385 +a(g822 +V\u000a +p2386 +tp2387 +a(g822 +V +p2388 +tp2389 +a(g740 +Vcurrent_predicate +p2390 +tp2391 +a(g822 +g968 +tp2392 +a(g436 +VPredicate +p2393 +tp2394 +a(g822 +g972 +tp2395 +a(g822 +g985 +tp2396 +a(g822 +V\u000a +p2397 +tp2398 +a(g822 +V +p2399 +tp2400 +a(g740 +Vpredicate_property +p2401 +tp2402 +a(g822 +g968 +tp2403 +a(g436 +VPredicate +p2404 +tp2405 +a(g822 +g985 +tp2406 +a(g822 +g987 +tp2407 +a(g436 +VProperty +p2408 +tp2409 +a(g822 +g972 +tp2410 +a(g822 +g974 +tp2411 +a(g822 +V\u000a +p2412 +tp2413 +a(g822 +V\u000a +p2414 +tp2415 +a(g822 +g1009 +tp2416 +a(g822 +Vdatabase_methods +p2417 +tp2418 +a(g822 +g987 +tp2419 +a(g408 +g1643 +tp2420 +a(g408 +g1391 +tp2421 +a(g822 +V\u000a +p2422 +tp2423 +a(g822 +V +p2424 +tp2425 +a(g740 +Vabolish +p2426 +tp2427 +a(g822 +g968 +tp2428 +a(g436 +VFunctor +p2429 +tp2430 +a(g408 +g1089 +tp2431 +a(g436 +VArity +p2432 +tp2433 +a(g822 +g972 +tp2434 +a(g822 +g985 +tp2435 +a(g822 +V\u000a +p2436 +tp2437 +a(g822 +V +p2438 +tp2439 +a(g740 +Vasserta +p2440 +tp2441 +a(g822 +g968 +tp2442 +a(g436 +VClause +p2443 +tp2444 +a(g822 +g972 +tp2445 +a(g822 +g985 +tp2446 +a(g822 +V\u000a +p2447 +tp2448 +a(g822 +V +p2449 +tp2450 +a(g740 +Vassertz +p2451 +tp2452 +a(g822 +g968 +tp2453 +a(g436 +VClause +p2454 +tp2455 +a(g822 +g972 +tp2456 +a(g822 +g985 +tp2457 +a(g822 +V\u000a +p2458 +tp2459 +a(g822 +V +p2460 +tp2461 +a(g740 +Vclause +p2462 +tp2463 +a(g822 +g968 +tp2464 +a(g436 +VHead +p2465 +tp2466 +a(g822 +g985 +tp2467 +a(g822 +g987 +tp2468 +a(g436 +VBody +p2469 +tp2470 +a(g822 +g972 +tp2471 +a(g822 +g985 +tp2472 +a(g822 +V\u000a +p2473 +tp2474 +a(g822 +V +p2475 +tp2476 +a(g740 +Vretract +p2477 +tp2478 +a(g822 +g968 +tp2479 +a(g436 +VClause +p2480 +tp2481 +a(g822 +g972 +tp2482 +a(g822 +g985 +tp2483 +a(g822 +V\u000a +p2484 +tp2485 +a(g822 +V +p2486 +tp2487 +a(g740 +Vretractall +p2488 +tp2489 +a(g822 +g968 +tp2490 +a(g436 +VHead +p2491 +tp2492 +a(g822 +g972 +tp2493 +a(g822 +g974 +tp2494 +a(g822 +V\u000a +p2495 +tp2496 +a(g822 +V\u000a +p2497 +tp2498 +a(g822 +g1009 +tp2499 +a(g822 +Vmeta_call_methods +p2500 +tp2501 +a(g822 +g987 +tp2502 +a(g408 +g1643 +tp2503 +a(g408 +g1391 +tp2504 +a(g822 +V\u000a +p2505 +tp2506 +a(g822 +V +p2507 +tp2508 +a(g740 +Vcall +p2509 +tp2510 +a(g822 +g968 +tp2511 +a(g436 +VGoal +p2512 +tp2513 +a(g822 +g972 +tp2514 +a(g822 +g974 +tp2515 +a(g822 +V\u000a +p2516 +tp2517 +a(g822 +V\u000a +p2518 +tp2519 +a(g822 +g1009 +tp2520 +a(g822 +Vall_solutions_methods +p2521 +tp2522 +a(g822 +g987 +tp2523 +a(g408 +g1643 +tp2524 +a(g408 +g1391 +tp2525 +a(g822 +V\u000a +p2526 +tp2527 +a(g822 +V +p2528 +tp2529 +a(g740 +Vbagof +p2530 +tp2531 +a(g822 +g968 +tp2532 +a(g436 +VTerm +p2533 +tp2534 +a(g822 +g985 +tp2535 +a(g822 +g987 +tp2536 +a(g436 +VGoal +p2537 +tp2538 +a(g822 +g985 +tp2539 +a(g822 +g987 +tp2540 +a(g436 +VList +p2541 +tp2542 +a(g822 +g972 +tp2543 +a(g822 +g985 +tp2544 +a(g822 +V\u000a +p2545 +tp2546 +a(g822 +V +p2547 +tp2548 +a(g740 +Vfindall +p2549 +tp2550 +a(g822 +g968 +tp2551 +a(g436 +VTerm +p2552 +tp2553 +a(g822 +g985 +tp2554 +a(g822 +g987 +tp2555 +a(g436 +VGoal +p2556 +tp2557 +a(g822 +g985 +tp2558 +a(g822 +g987 +tp2559 +a(g436 +VList +p2560 +tp2561 +a(g822 +g972 +tp2562 +a(g822 +g985 +tp2563 +a(g822 +V\u000a +p2564 +tp2565 +a(g822 +V +p2566 +tp2567 +a(g740 +Vforall +p2568 +tp2569 +a(g822 +g968 +tp2570 +a(g436 +VGenerate +p2571 +tp2572 +a(g822 +g985 +tp2573 +a(g822 +g987 +tp2574 +a(g436 +VTest +p2575 +tp2576 +a(g822 +g972 +tp2577 +a(g822 +g985 +tp2578 +a(g822 +V\u000a +p2579 +tp2580 +a(g822 +V +p2581 +tp2582 +a(g740 +Vsetof +p2583 +tp2584 +a(g822 +g968 +tp2585 +a(g436 +VTerm +p2586 +tp2587 +a(g822 +g985 +tp2588 +a(g822 +g987 +tp2589 +a(g436 +VGoal +p2590 +tp2591 +a(g822 +g985 +tp2592 +a(g822 +g987 +tp2593 +a(g436 +VList +p2594 +tp2595 +a(g822 +g972 +tp2596 +a(g822 +g974 +tp2597 +a(g822 +V\u000a +p2598 +tp2599 +a(g822 +V\u000a +p2600 +tp2601 +a(g822 +g1009 +tp2602 +a(g822 +Vevent_handler_methods +p2603 +tp2604 +a(g822 +g987 +tp2605 +a(g408 +g1643 +tp2606 +a(g408 +g1391 +tp2607 +a(g822 +V\u000a +p2608 +tp2609 +a(g822 +V +p2610 +tp2611 +a(g740 +Vbefore +p2612 +tp2613 +a(g822 +g968 +tp2614 +a(g436 +VObject +p2615 +tp2616 +a(g822 +g985 +tp2617 +a(g822 +g987 +tp2618 +a(g436 +VMessage +p2619 +tp2620 +a(g822 +g985 +tp2621 +a(g822 +g987 +tp2622 +a(g436 +VSender +p2623 +tp2624 +a(g822 +g972 +tp2625 +a(g822 +g985 +tp2626 +a(g822 +V\u000a +p2627 +tp2628 +a(g822 +V +p2629 +tp2630 +a(g740 +Vafter +p2631 +tp2632 +a(g822 +g968 +tp2633 +a(g436 +VObject +p2634 +tp2635 +a(g822 +g985 +tp2636 +a(g822 +g987 +tp2637 +a(g436 +VMessage +p2638 +tp2639 +a(g822 +g985 +tp2640 +a(g822 +g987 +tp2641 +a(g436 +VSender +p2642 +tp2643 +a(g822 +g972 +tp2644 +a(g822 +g974 +tp2645 +a(g822 +V\u000a +p2646 +tp2647 +a(g822 +V\u000a +p2648 +tp2649 +a(g822 +g1009 +tp2650 +a(g822 +Vdcg_rules_parsing_methods +p2651 +tp2652 +a(g822 +g987 +tp2653 +a(g408 +g1643 +tp2654 +a(g408 +g1391 +tp2655 +a(g822 +V\u000a +p2656 +tp2657 +a(g822 +V +p2658 +tp2659 +a(g740 +Vphrase +p2660 +tp2661 +a(g822 +g968 +tp2662 +a(g436 +VNonTerminal +p2663 +tp2664 +a(g822 +g985 +tp2665 +a(g822 +g987 +tp2666 +a(g436 +VInput +p2667 +tp2668 +a(g822 +g985 +tp2669 +a(g822 +g987 +tp2670 +a(g436 +VRest +p2671 +tp2672 +a(g822 +g972 +tp2673 +a(g822 +g974 +tp2674 +a(g822 +V\u000a +p2675 +tp2676 +a(g822 +V\u000a +p2677 +tp2678 +a(g822 +g1009 +tp2679 +a(g822 +Vterm_expansion_methods +p2680 +tp2681 +a(g822 +g987 +tp2682 +a(g408 +g1643 +tp2683 +a(g408 +g1391 +tp2684 +a(g822 +V\u000a +p2685 +tp2686 +a(g822 +V +p2687 +tp2688 +a(g740 +Vexpand_term +p2689 +tp2690 +a(g822 +g968 +tp2691 +a(g436 +VTerm +p2692 +tp2693 +a(g822 +g985 +tp2694 +a(g822 +g987 +tp2695 +a(g436 +VExpanded +p2696 +tp2697 +a(g822 +g972 +tp2698 +a(g822 +g985 +tp2699 +a(g822 +V\u000a +p2700 +tp2701 +a(g822 +V +p2702 +tp2703 +a(g740 +Vterm_expansion +p2704 +tp2705 +a(g822 +g968 +tp2706 +a(g436 +VTerm +p2707 +tp2708 +a(g822 +g985 +tp2709 +a(g822 +g987 +tp2710 +a(g436 +VExpanded +p2711 +tp2712 +a(g822 +g972 +tp2713 +a(g822 +g985 +tp2714 +a(g822 +V\u000a +p2715 +tp2716 +a(g822 +V +p2717 +tp2718 +a(g740 +Vgoal_expansion +p2719 +tp2720 +a(g822 +g968 +tp2721 +a(g436 +VGoal +p2722 +tp2723 +a(g822 +g985 +tp2724 +a(g822 +g987 +tp2725 +a(g436 +VExpanded +p2726 +tp2727 +a(g822 +g972 +tp2728 +a(g822 +g974 +tp2729 +a(g822 +V\u000a +p2730 +tp2731 +a(g822 +V\u000a +p2732 +tp2733 +a(g822 +g1009 +tp2734 +a(g822 +Vmessage_sending +p2735 +tp2736 +a(g822 +g987 +tp2737 +a(g408 +g1643 +tp2738 +a(g408 +g1391 +tp2739 +a(g822 +V\u000a +p2740 +tp2741 +a(g822 +V +p2742 +tp2743 +a(g436 +VObject +p2744 +tp2745 +a(g408 +V:: +p2746 +tp2747 +a(g436 +VMessage +p2748 +tp2749 +a(g822 +g985 +tp2750 +a(g822 +V\u000a +p2751 +tp2752 +a(g822 +V +p2753 +tp2754 +a(g408 +V:: +p2755 +tp2756 +a(g436 +VMessage +p2757 +tp2758 +a(g822 +g985 +tp2759 +a(g822 +V\u000a +p2760 +tp2761 +a(g822 +V +p2762 +tp2763 +a(g408 +V^^ +p2764 +tp2765 +a(g436 +VMessage +p2766 +tp2767 +a(g822 +g974 +tp2768 +a(g822 +V\u000a +p2769 +tp2770 +a(g822 +V\u000a +p2771 +tp2772 +a(g822 +g1009 +tp2773 +a(g822 +Vcalling_external_code +p2774 +tp2775 +a(g822 +g987 +tp2776 +a(g408 +g1643 +tp2777 +a(g408 +g1391 +tp2778 +a(g822 +V\u000a +p2779 +tp2780 +a(g822 +V +p2781 +tp2782 +a(g740 +V{ +p2783 +tp2784 +a(g822 +Vgoal1 +p2785 +tp2786 +a(g822 +g985 +tp2787 +a(g822 +g987 +tp2788 +a(g822 +Vgoal2 +p2789 +tp2790 +a(g822 +g985 +tp2791 +a(g822 +g987 +tp2792 +a(g822 +Vgoal3 +p2793 +tp2794 +a(g740 +V} +p2795 +tp2796 +a(g822 +g974 +tp2797 +a(g822 +V\u000a +p2798 +tp2799 +a(g822 +V\u000a +p2800 +tp2801 +a(g822 +g1009 +tp2802 +a(g822 +Vcontext_switching_calls +p2803 +tp2804 +a(g822 +g987 +tp2805 +a(g408 +g1643 +tp2806 +a(g408 +g1391 +tp2807 +a(g822 +V\u000a +p2808 +tp2809 +a(g822 +V +p2810 +tp2811 +a(g436 +VObject +p2812 +tp2813 +a(g408 +V<< +p2814 +tp2815 +a(g436 +VGoal +p2816 +tp2817 +a(g822 +g974 +tp2818 +a(g822 +V\u000a +p2819 +tp2820 +a(g822 +V\u000a +p2821 +tp2822 +a(g822 +g1009 +tp2823 +a(g822 +Vdirect_calls_of_category_predicates +p2824 +tp2825 +a(g822 +g987 +tp2826 +a(g408 +g1643 +tp2827 +a(g408 +g1391 +tp2828 +a(g822 +V\u000a +p2829 +tp2830 +a(g822 +V +p2831 +tp2832 +a(g408 +g1643 +tp2833 +a(g436 +VGoal +p2834 +tp2835 +a(g822 +g974 +tp2836 +a(g822 +V\u000a +p2837 +tp2838 +a(g822 +V\u000a +p2839 +tp2840 +a(g822 +g1009 +tp2841 +a(g822 +Vif_then_else +p2842 +tp2843 +a(g822 +g987 +tp2844 +a(g408 +g1643 +tp2845 +a(g408 +g1391 +tp2846 +a(g822 +V\u000a +p2847 +tp2848 +a(g822 +V +p2849 +tp2850 +a(g822 +g968 +tp2851 +a(g822 +g1009 +tp2852 +a(g436 +VIf +p2853 +tp2854 +a(g822 +g987 +tp2855 +a(g408 +g1391 +tp2856 +a(g408 +V> +p2857 +tp2858 +a(g822 +V\u000a +p2859 +tp2860 +a(g822 +V +p2861 +tp2862 +a(g436 +VThen +p2863 +tp2864 +a(g822 +V\u000a +p2865 +tp2866 +a(g822 +V +p2867 +tp2868 +a(g408 +V; +p2869 +tp2870 +a(g822 +g1009 +tp2871 +a(g436 +VElse +p2872 +tp2873 +a(g822 +V\u000a +p2874 +tp2875 +a(g822 +V +p2876 +tp2877 +a(g822 +g972 +tp2878 +a(g822 +g974 +tp2879 +a(g822 +V\u000a +p2880 +tp2881 +a(g822 +V\u000a +p2882 +tp2883 +a(g822 +g1009 +tp2884 +a(g822 +Vnumbers +p2885 +tp2886 +a(g822 +g987 +tp2887 +a(g408 +g1643 +tp2888 +a(g408 +g1391 +tp2889 +a(g822 +V\u000a +p2890 +tp2891 +a(g822 +V +p2892 +tp2893 +a(g436 +g1143 +tp2894 +a(g822 +g987 +tp2895 +a(g740 +Vis +p2896 +tp2897 +a(g822 +g987 +tp2898 +a(g27 +V13 +p2899 +tp2900 +a(g822 +g985 +tp2901 +a(g822 +V\u000a +p2902 +tp2903 +a(g822 +V +p2904 +tp2905 +a(g436 +g1147 +tp2906 +a(g822 +g987 +tp2907 +a(g740 +Vis +p2908 +tp2909 +a(g822 +g987 +tp2910 +a(g27 +V13.13 +p2911 +tp2912 +a(g822 +g985 +tp2913 +a(g822 +V\u000a +p2914 +tp2915 +a(g822 +V +p2916 +tp2917 +a(g436 +VZ +p2918 +tp2919 +a(g822 +g987 +tp2920 +a(g740 +Vis +p2921 +tp2922 +a(g822 +g987 +tp2923 +a(g27 +V13.13e-23 +p2924 +tp2925 +a(g822 +g985 +tp2926 +a(g822 +V\u000a +p2927 +tp2928 +a(g822 +V +p2929 +tp2930 +a(g436 +VC1 +p2931 +tp2932 +a(g822 +g987 +tp2933 +a(g740 +Vis +p2934 +tp2935 +a(g822 +g987 +tp2936 +a(g27 +V0'A +p2937 +tp2938 +a(g822 +g985 +tp2939 +a(g822 +g987 +tp2940 +a(g436 +VC2 +p2941 +tp2942 +a(g822 +g987 +tp2943 +a(g740 +Vis +p2944 +tp2945 +a(g822 +g987 +tp2946 +a(g27 +V0'' +p2947 +tp2948 +a(g822 +g985 +tp2949 +a(g822 +g987 +tp2950 +a(g436 +VC3 +p2951 +tp2952 +a(g822 +g987 +tp2953 +a(g740 +Vis +p2954 +tp2955 +a(g822 +g987 +tp2956 +a(g27 +V0'" +p2957 +tp2958 +a(g822 +g985 +tp2959 +a(g822 +V\u000a +p2960 +tp2961 +a(g822 +V +p2962 +tp2963 +a(g436 +VB +p2964 +tp2965 +a(g822 +g987 +tp2966 +a(g740 +Vis +p2967 +tp2968 +a(g822 +g987 +tp2969 +a(g261 +V0b1011101 +p2970 +tp2971 +a(g822 +g985 +tp2972 +a(g822 +V\u000a +p2973 +tp2974 +a(g822 +V +p2975 +tp2976 +a(g436 +VO +p2977 +tp2978 +a(g822 +g987 +tp2979 +a(g740 +Vis +p2980 +tp2981 +a(g822 +g987 +tp2982 +a(g277 +V0o1234560 +p2983 +tp2984 +a(g822 +g985 +tp2985 +a(g822 +V\u000a +p2986 +tp2987 +a(g822 +V +p2988 +tp2989 +a(g436 +VH +p2990 +tp2991 +a(g822 +g987 +tp2992 +a(g740 +Vis +p2993 +tp2994 +a(g822 +g987 +tp2995 +a(g293 +V0x1234567890abcDEF +p2996 +tp2997 +a(g822 +g974 +tp2998 +a(g822 +V\u000a +p2999 +tp3000 +a(g822 +V\u000a +p3001 +tp3002 +a(g822 +g1009 +tp3003 +a(g822 +Vfunctions +p3004 +tp3005 +a(g822 +g987 +tp3006 +a(g408 +g1643 +tp3007 +a(g408 +g1391 +tp3008 +a(g822 +V\u000a +p3009 +tp3010 +a(g822 +V +p3011 +tp3012 +a(g436 +VA +p3013 +tp3014 +a(g822 +g987 +tp3015 +a(g740 +Vis +p3016 +tp3017 +a(g822 +g987 +tp3018 +a(g740 +Vatan +p3019 +tp3020 +a(g822 +g968 +tp3021 +a(g27 +V3.14 +p3022 +tp3023 +a(g822 +g972 +tp3024 +a(g822 +g987 +tp3025 +a(g408 +g1330 +tp3026 +a(g822 +g987 +tp3027 +a(g740 +Vsin +p3028 +tp3029 +a(g822 +g968 +tp3030 +a(g27 +V0.77 +p3031 +tp3032 +a(g822 +g972 +tp3033 +a(g822 +g987 +tp3034 +a(g408 +g1391 +tp3035 +a(g822 +g987 +tp3036 +a(g740 +Vcos +p3037 +tp3038 +a(g822 +g968 +tp3039 +a(g27 +V123.23 +p3040 +tp3041 +a(g822 +g972 +tp3042 +a(g822 +g985 +tp3043 +a(g822 +V\u000a +p3044 +tp3045 +a(g822 +V +p3046 +tp3047 +a(g436 +g2964 +tp3048 +a(g822 +g987 +tp3049 +a(g740 +Vis +p3050 +tp3051 +a(g822 +g987 +tp3052 +a(g740 +Vsign +p3053 +tp3054 +a(g822 +g968 +tp3055 +a(g408 +g1391 +tp3056 +a(g27 +V12 +p3057 +tp3058 +a(g822 +g972 +tp3059 +a(g822 +g987 +tp3060 +a(g408 +g1302 +tp3061 +a(g822 +g987 +tp3062 +a(g740 +Vabs +p3063 +tp3064 +a(g822 +g968 +tp3065 +a(g27 +V35 +p3066 +tp3067 +a(g408 +g1089 +tp3068 +a(g27 +V78 +p3069 +tp3070 +a(g822 +g972 +tp3071 +a(g822 +g985 +tp3072 +a(g822 +V\u000a +p3073 +tp3074 +a(g822 +V +p3075 +tp3076 +a(g436 +VC +p3077 +tp3078 +a(g822 +g987 +tp3079 +a(g740 +Vis +p3080 +tp3081 +a(g822 +g987 +tp3082 +a(g740 +Vtruncate +p3083 +tp3084 +a(g822 +g968 +tp3085 +a(g27 +V3.14 +p3086 +tp3087 +a(g822 +g972 +tp3088 +a(g822 +g987 +tp3089 +a(g408 +g1330 +tp3090 +a(g822 +g987 +tp3091 +a(g740 +Vround +p3092 +tp3093 +a(g822 +g968 +tp3094 +a(g408 +g1391 +tp3095 +a(g27 +V7.8 +p3096 +tp3097 +a(g822 +g972 +tp3098 +a(g822 +g987 +tp3099 +a(g408 +g1391 +tp3100 +a(g822 +g987 +tp3101 +a(g740 +Vceiling +p3102 +tp3103 +a(g822 +g968 +tp3104 +a(g27 +V111.88 +p3105 +tp3106 +a(g822 +g972 +tp3107 +a(g822 +g985 +tp3108 +a(g822 +V\u000a +p3109 +tp3110 +a(g822 +V +p3111 +tp3112 +a(g436 +VD +p3113 +tp3114 +a(g822 +g987 +tp3115 +a(g740 +Vis +p3116 +tp3117 +a(g822 +g987 +tp3118 +a(g740 +Vexp +p3119 +tp3120 +a(g822 +g968 +tp3121 +a(g27 +V3.8 +p3122 +tp3123 +a(g822 +g972 +tp3124 +a(g822 +g987 +tp3125 +a(g408 +g1391 +tp3126 +a(g822 +g987 +tp3127 +a(g740 +Vlog +p3128 +tp3129 +a(g822 +g968 +tp3130 +a(g27 +V123.98 +p3131 +tp3132 +a(g822 +g972 +tp3133 +a(g822 +g987 +tp3134 +a(g408 +g1089 +tp3135 +a(g822 +g987 +tp3136 +a(g740 +Vsqrt +p3137 +tp3138 +a(g822 +g968 +tp3139 +a(g27 +V33 +p3140 +tp3141 +a(g822 +g972 +tp3142 +a(g822 +g987 +tp3143 +a(g408 +g1302 +tp3144 +a(g822 +g987 +tp3145 +a(g27 +V23 +p3146 +tp3147 +a(g822 +g987 +tp3148 +a(g408 +g1302 +tp3149 +a(g408 +g1302 +tp3150 +a(g822 +g987 +tp3151 +a(g27 +V4 +p3152 +tp3153 +a(g822 +g985 +tp3154 +a(g822 +V\u000a +p3155 +tp3156 +a(g822 +V +p3157 +tp3158 +a(g436 +VE +p3159 +tp3160 +a(g822 +g987 +tp3161 +a(g740 +Vis +p3162 +tp3163 +a(g822 +g987 +tp3164 +a(g740 +Vrem +p3165 +tp3166 +a(g822 +g968 +tp3167 +a(g27 +g1239 +tp3168 +a(g822 +g985 +tp3169 +a(g822 +g987 +tp3170 +a(g27 +g1188 +tp3171 +a(g822 +g972 +tp3172 +a(g822 +g987 +tp3173 +a(g408 +g1330 +tp3174 +a(g822 +g987 +tp3175 +a(g740 +Vmod +p3176 +tp3177 +a(g822 +g968 +tp3178 +a(g27 +g1091 +tp3179 +a(g822 +g985 +tp3180 +a(g822 +g987 +tp3181 +a(g27 +g1239 +tp3182 +a(g822 +g972 +tp3183 +a(g822 +g987 +tp3184 +a(g408 +g1302 +tp3185 +a(g822 +g987 +tp3186 +a(g27 +g1188 +tp3187 +a(g822 +g987 +tp3188 +a(g408 +Vrem +p3189 +tp3190 +a(g822 +g987 +tp3191 +a(g27 +g1188 +tp3192 +a(g822 +g987 +tp3193 +a(g408 +V// +p3194 +tp3195 +a(g822 +g987 +tp3196 +a(g27 +g1091 +tp3197 +a(g822 +g987 +tp3198 +a(g408 +Vmod +p3199 +tp3200 +a(g822 +g987 +tp3201 +a(g27 +g1239 +tp3202 +a(g822 +g985 +tp3203 +a(g822 +V\u000a +p3204 +tp3205 +a(g822 +V +p3206 +tp3207 +a(g436 +VF +p3208 +tp3209 +a(g822 +g987 +tp3210 +a(g740 +Vis +p3211 +tp3212 +a(g822 +g987 +tp3213 +a(g740 +Vfloat_fractional_part +p3214 +tp3215 +a(g822 +g968 +tp3216 +a(g27 +V3.14 +p3217 +tp3218 +a(g822 +g972 +tp3219 +a(g822 +g987 +tp3220 +a(g408 +g1330 +tp3221 +a(g822 +g987 +tp3222 +a(g740 +Vfloat_integer_part +p3223 +tp3224 +a(g822 +g968 +tp3225 +a(g27 +V3.14 +p3226 +tp3227 +a(g822 +g972 +tp3228 +a(g822 +g985 +tp3229 +a(g822 +V\u000a +p3230 +tp3231 +a(g822 +V +p3232 +tp3233 +a(g436 +VG +p3234 +tp3235 +a(g822 +g987 +tp3236 +a(g740 +Vis +p3237 +tp3238 +a(g822 +g987 +tp3239 +a(g740 +Vfloat +p3240 +tp3241 +a(g822 +g968 +tp3242 +a(g27 +V33 +p3243 +tp3244 +a(g822 +g972 +tp3245 +a(g822 +g987 +tp3246 +a(g408 +g1330 +tp3247 +a(g822 +g987 +tp3248 +a(g740 +Vfloor +p3249 +tp3250 +a(g822 +g968 +tp3251 +a(g27 +V99.99 +p3252 +tp3253 +a(g822 +g972 +tp3254 +a(g822 +g974 +tp3255 +a(g822 +V\u000a +p3256 +tp3257 +a(g822 +V\u000a +p3258 +tp3259 +a(g822 +g1009 +tp3260 +a(g822 +Vbitwise +p3261 +tp3262 +a(g822 +g987 +tp3263 +a(g408 +g1643 +tp3264 +a(g408 +g1391 +tp3265 +a(g822 +V\u000a +p3266 +tp3267 +a(g822 +V +p3268 +tp3269 +a(g436 +g3013 +tp3270 +a(g822 +g987 +tp3271 +a(g740 +Vis +p3272 +tp3273 +a(g822 +g987 +tp3274 +a(g27 +V16 +p3275 +tp3276 +a(g822 +g987 +tp3277 +a(g408 +V>> +p3278 +tp3279 +a(g822 +g987 +tp3280 +a(g27 +g1188 +tp3281 +a(g822 +g985 +tp3282 +a(g822 +V\u000a +p3283 +tp3284 +a(g822 +V +p3285 +tp3286 +a(g436 +g2964 +tp3287 +a(g822 +g987 +tp3288 +a(g740 +Vis +p3289 +tp3290 +a(g822 +g987 +tp3291 +a(g27 +V16 +p3292 +tp3293 +a(g822 +g987 +tp3294 +a(g408 +V<< +p3295 +tp3296 +a(g822 +g987 +tp3297 +a(g27 +g1188 +tp3298 +a(g822 +g985 +tp3299 +a(g822 +V\u000a +p3300 +tp3301 +a(g822 +V +p3302 +tp3303 +a(g436 +g3077 +tp3304 +a(g822 +g987 +tp3305 +a(g740 +Vis +p3306 +tp3307 +a(g822 +g987 +tp3308 +a(g27 +V10 +p3309 +tp3310 +a(g822 +g987 +tp3311 +a(g408 +V/\u005c +p3312 +tp3313 +a(g822 +g987 +tp3314 +a(g27 +V12 +p3315 +tp3316 +a(g822 +g985 +tp3317 +a(g822 +V\u000a +p3318 +tp3319 +a(g822 +V +p3320 +tp3321 +a(g436 +g3113 +tp3322 +a(g822 +g987 +tp3323 +a(g740 +Vis +p3324 +tp3325 +a(g822 +g987 +tp3326 +a(g27 +V10 +p3327 +tp3328 +a(g822 +g987 +tp3329 +a(g408 +V\u005c +p3330 +tp3331 +a(g408 +g1089 +tp3332 +a(g822 +g987 +tp3333 +a(g27 +V12 +p3334 +tp3335 +a(g822 +g985 +tp3336 +a(g822 +V\u000a +p3337 +tp3338 +a(g822 +V +p3339 +tp3340 +a(g436 +g3159 +tp3341 +a(g822 +g987 +tp3342 +a(g740 +Vis +p3343 +tp3344 +a(g822 +g987 +tp3345 +a(g408 +V\u005c +p3346 +tp3347 +a(g822 +g987 +tp3348 +a(g27 +V10. +p3349 +tp3350 +a(g822 +V\u000a +p3351 +tp3352 +a(g822 +V\u000a +p3353 +tp3354 +a(g822 +g1009 +tp3355 +a(g822 +Vterm_unification +p3356 +tp3357 +a(g822 +g987 +tp3358 +a(g408 +g1643 +tp3359 +a(g408 +g1391 +tp3360 +a(g822 +V\u000a +p3361 +tp3362 +a(g822 +V +p3363 +tp3364 +a(g436 +VTerm1 +p3365 +tp3366 +a(g822 +g987 +tp3367 +a(g408 +V= +p3368 +tp3369 +a(g822 +g987 +tp3370 +a(g436 +VTerm2 +p3371 +tp3372 +a(g822 +g985 +tp3373 +a(g822 +V\u000a +p3374 +tp3375 +a(g822 +V +p3376 +tp3377 +a(g436 +VTerm1 +p3378 +tp3379 +a(g822 +g987 +tp3380 +a(g408 +V\u005c +p3381 +tp3382 +a(g408 +g3368 +tp3383 +a(g822 +g987 +tp3384 +a(g436 +VTerm2 +p3385 +tp3386 +a(g822 +g985 +tp3387 +a(g822 +V\u000a +p3388 +tp3389 +a(g822 +V +p3390 +tp3391 +a(g740 +Vunify_with_occurs_check +p3392 +tp3393 +a(g822 +g968 +tp3394 +a(g436 +VTerm1 +p3395 +tp3396 +a(g822 +g985 +tp3397 +a(g822 +g987 +tp3398 +a(g436 +VTerm2 +p3399 +tp3400 +a(g822 +g972 +tp3401 +a(g822 +g974 +tp3402 +a(g822 +V\u000a +p3403 +tp3404 +a(g822 +V\u000a +p3405 +tp3406 +a(g822 +g1009 +tp3407 +a(g822 +Vterm_testing +p3408 +tp3409 +a(g822 +g987 +tp3410 +a(g408 +g1643 +tp3411 +a(g408 +g1391 +tp3412 +a(g822 +V\u000a +p3413 +tp3414 +a(g822 +V +p3415 +tp3416 +a(g740 +Vatom +p3417 +tp3418 +a(g822 +g968 +tp3419 +a(g436 +VAtom +p3420 +tp3421 +a(g822 +g972 +tp3422 +a(g822 +g985 +tp3423 +a(g822 +V\u000a +p3424 +tp3425 +a(g822 +V +p3426 +tp3427 +a(g740 +Vatomic +p3428 +tp3429 +a(g822 +g968 +tp3430 +a(g436 +VAtomic +p3431 +tp3432 +a(g822 +g972 +tp3433 +a(g822 +g985 +tp3434 +a(g822 +V\u000a +p3435 +tp3436 +a(g822 +V +p3437 +tp3438 +a(g740 +Vinteger +p3439 +tp3440 +a(g822 +g968 +tp3441 +a(g436 +VInteger +p3442 +tp3443 +a(g822 +g972 +tp3444 +a(g822 +g985 +tp3445 +a(g822 +V\u000a +p3446 +tp3447 +a(g822 +V +p3448 +tp3449 +a(g740 +Vfloat +p3450 +tp3451 +a(g822 +g968 +tp3452 +a(g436 +VFloat +p3453 +tp3454 +a(g822 +g972 +tp3455 +a(g822 +g985 +tp3456 +a(g822 +V\u000a +p3457 +tp3458 +a(g822 +V +p3459 +tp3460 +a(g740 +Vcompound +p3461 +tp3462 +a(g822 +g968 +tp3463 +a(g436 +VTerm +p3464 +tp3465 +a(g822 +g972 +tp3466 +a(g822 +g985 +tp3467 +a(g822 +V\u000a +p3468 +tp3469 +a(g822 +V +p3470 +tp3471 +a(g740 +Vnonvar +p3472 +tp3473 +a(g822 +g968 +tp3474 +a(g436 +VTerm +p3475 +tp3476 +a(g822 +g972 +tp3477 +a(g822 +g985 +tp3478 +a(g822 +V\u000a +p3479 +tp3480 +a(g822 +V +p3481 +tp3482 +a(g740 +Vvar +p3483 +tp3484 +a(g822 +g968 +tp3485 +a(g436 +VTerm +p3486 +tp3487 +a(g822 +g972 +tp3488 +a(g822 +g985 +tp3489 +a(g822 +V\u000a +p3490 +tp3491 +a(g822 +V +p3492 +tp3493 +a(g740 +Vnumber +p3494 +tp3495 +a(g822 +g968 +tp3496 +a(g436 +VNumber +p3497 +tp3498 +a(g822 +g972 +tp3499 +a(g822 +g974 +tp3500 +a(g822 +V\u000a +p3501 +tp3502 +a(g822 +V\u000a +p3503 +tp3504 +a(g822 +g1009 +tp3505 +a(g822 +Vterm_comparison +p3506 +tp3507 +a(g822 +g987 +tp3508 +a(g408 +g1643 +tp3509 +a(g408 +g1391 +tp3510 +a(g822 +V\u000a +p3511 +tp3512 +a(g822 +V +p3513 +tp3514 +a(g436 +VTerm1 +p3515 +tp3516 +a(g822 +g987 +tp3517 +a(g408 +g3368 +tp3518 +a(g408 +g3368 +tp3519 +a(g822 +g987 +tp3520 +a(g436 +VTerm2 +p3521 +tp3522 +a(g822 +g985 +tp3523 +a(g822 +V\u000a +p3524 +tp3525 +a(g822 +V +p3526 +tp3527 +a(g436 +VTerm1 +p3528 +tp3529 +a(g822 +g987 +tp3530 +a(g408 +V\u005c +p3531 +tp3532 +a(g408 +g3368 +tp3533 +a(g408 +g3368 +tp3534 +a(g822 +g987 +tp3535 +a(g436 +VTerm2 +p3536 +tp3537 +a(g822 +g985 +tp3538 +a(g822 +V\u000a +p3539 +tp3540 +a(g822 +V +p3541 +tp3542 +a(g436 +VTerm1 +p3543 +tp3544 +a(g822 +g987 +tp3545 +a(g408 +V@< +p3546 +tp3547 +a(g822 +g987 +tp3548 +a(g436 +VTerm2 +p3549 +tp3550 +a(g822 +g985 +tp3551 +a(g822 +V\u000a +p3552 +tp3553 +a(g822 +V +p3554 +tp3555 +a(g436 +VTerm1 +p3556 +tp3557 +a(g822 +g987 +tp3558 +a(g408 +V@=< +p3559 +tp3560 +a(g822 +g987 +tp3561 +a(g436 +VTerm2 +p3562 +tp3563 +a(g822 +g985 +tp3564 +a(g822 +V\u000a +p3565 +tp3566 +a(g822 +V +p3567 +tp3568 +a(g436 +VTerm1 +p3569 +tp3570 +a(g822 +g987 +tp3571 +a(g408 +V@>= +p3572 +tp3573 +a(g822 +g987 +tp3574 +a(g436 +VTerm2 +p3575 +tp3576 +a(g822 +g985 +tp3577 +a(g822 +V\u000a +p3578 +tp3579 +a(g822 +V +p3580 +tp3581 +a(g436 +VTerm1 +p3582 +tp3583 +a(g822 +g987 +tp3584 +a(g408 +V@> +p3585 +tp3586 +a(g822 +g987 +tp3587 +a(g436 +VTerm2 +p3588 +tp3589 +a(g822 +g974 +tp3590 +a(g822 +V\u000a +p3591 +tp3592 +a(g822 +V\u000a +p3593 +tp3594 +a(g822 +g1009 +tp3595 +a(g822 +Vterm_creation_and_decomposition +p3596 +tp3597 +a(g822 +g987 +tp3598 +a(g408 +g1643 +tp3599 +a(g408 +g1391 +tp3600 +a(g822 +V\u000a +p3601 +tp3602 +a(g822 +V +p3603 +tp3604 +a(g740 +Vfunctor +p3605 +tp3606 +a(g822 +g968 +tp3607 +a(g436 +VTerm +p3608 +tp3609 +a(g822 +g985 +tp3610 +a(g822 +g987 +tp3611 +a(g436 +VFunctor +p3612 +tp3613 +a(g822 +g985 +tp3614 +a(g822 +g987 +tp3615 +a(g436 +VArity +p3616 +tp3617 +a(g822 +g972 +tp3618 +a(g822 +g985 +tp3619 +a(g822 +V\u000a +p3620 +tp3621 +a(g822 +V +p3622 +tp3623 +a(g740 +Varg +p3624 +tp3625 +a(g822 +g968 +tp3626 +a(g436 +g2335 +tp3627 +a(g822 +g985 +tp3628 +a(g822 +g987 +tp3629 +a(g436 +VTerm +p3630 +tp3631 +a(g822 +g985 +tp3632 +a(g822 +g987 +tp3633 +a(g436 +VArg +p3634 +tp3635 +a(g822 +g972 +tp3636 +a(g822 +g985 +tp3637 +a(g822 +V\u000a +p3638 +tp3639 +a(g822 +V +p3640 +tp3641 +a(g436 +VTerm +p3642 +tp3643 +a(g822 +g987 +tp3644 +a(g408 +V=.. +p3645 +tp3646 +a(g822 +g987 +tp3647 +a(g822 +g1046 +tp3648 +a(g436 +VFunctor +p3649 +tp3650 +a(g822 +V| +p3651 +tp3652 +a(g822 +g987 +tp3653 +a(g436 +VArgs +p3654 +tp3655 +a(g822 +g1111 +tp3656 +a(g822 +g985 +tp3657 +a(g822 +V\u000a +p3658 +tp3659 +a(g822 +V +p3660 +tp3661 +a(g740 +Vcopy_term +p3662 +tp3663 +a(g822 +g968 +tp3664 +a(g436 +VTerm +p3665 +tp3666 +a(g822 +g985 +tp3667 +a(g822 +g987 +tp3668 +a(g436 +VCopy +p3669 +tp3670 +a(g822 +g972 +tp3671 +a(g822 +g974 +tp3672 +a(g822 +V\u000a +p3673 +tp3674 +a(g822 +V\u000a +p3675 +tp3676 +a(g822 +g1009 +tp3677 +a(g822 +Varithemtic_evaluation +p3678 +tp3679 +a(g822 +g987 +tp3680 +a(g408 +g1643 +tp3681 +a(g408 +g1391 +tp3682 +a(g822 +V\u000a +p3683 +tp3684 +a(g822 +V +p3685 +tp3686 +a(g436 +g1143 +tp3687 +a(g822 +g987 +tp3688 +a(g740 +Vis +p3689 +tp3690 +a(g822 +g987 +tp3691 +a(g436 +VExpression +p3692 +tp3693 +a(g822 +g974 +tp3694 +a(g822 +V\u000a +p3695 +tp3696 +a(g822 +V\u000a +p3697 +tp3698 +a(g822 +g1009 +tp3699 +a(g822 +Varithemtic_comparison +p3700 +tp3701 +a(g822 +g987 +tp3702 +a(g408 +g1643 +tp3703 +a(g408 +g1391 +tp3704 +a(g822 +V\u000a +p3705 +tp3706 +a(g822 +V +p3707 +tp3708 +a(g436 +VExp1 +p3709 +tp3710 +a(g822 +g987 +tp3711 +a(g408 +V=:= +p3712 +tp3713 +a(g822 +g987 +tp3714 +a(g436 +VExp2 +p3715 +tp3716 +a(g822 +g985 +tp3717 +a(g822 +V\u000a +p3718 +tp3719 +a(g822 +V +p3720 +tp3721 +a(g436 +VExp1 +p3722 +tp3723 +a(g822 +g987 +tp3724 +a(g408 +V=\u005c= +p3725 +tp3726 +a(g822 +g987 +tp3727 +a(g436 +VExp2 +p3728 +tp3729 +a(g822 +g985 +tp3730 +a(g822 +V\u000a +p3731 +tp3732 +a(g822 +V +p3733 +tp3734 +a(g436 +VExp1 +p3735 +tp3736 +a(g822 +g987 +tp3737 +a(g408 +V< +p3738 +tp3739 +a(g822 +g987 +tp3740 +a(g436 +VExp2 +p3741 +tp3742 +a(g822 +g985 +tp3743 +a(g822 +V\u000a +p3744 +tp3745 +a(g822 +V +p3746 +tp3747 +a(g436 +VExp1 +p3748 +tp3749 +a(g822 +g987 +tp3750 +a(g408 +V=< +p3751 +tp3752 +a(g822 +g987 +tp3753 +a(g436 +VExp2 +p3754 +tp3755 +a(g822 +g985 +tp3756 +a(g822 +V\u000a +p3757 +tp3758 +a(g822 +V +p3759 +tp3760 +a(g436 +VExp1 +p3761 +tp3762 +a(g822 +g987 +tp3763 +a(g408 +g2857 +tp3764 +a(g822 +g987 +tp3765 +a(g436 +VExp2 +p3766 +tp3767 +a(g822 +g985 +tp3768 +a(g822 +V\u000a +p3769 +tp3770 +a(g822 +V +p3771 +tp3772 +a(g436 +VExp1 +p3773 +tp3774 +a(g822 +g987 +tp3775 +a(g408 +V>= +p3776 +tp3777 +a(g822 +g987 +tp3778 +a(g436 +VExp2 +p3779 +tp3780 +a(g822 +g974 +tp3781 +a(g822 +V\u000a +p3782 +tp3783 +a(g822 +V\u000a +p3784 +tp3785 +a(g822 +g1009 +tp3786 +a(g822 +Vstream_selection_and_control +p3787 +tp3788 +a(g822 +g987 +tp3789 +a(g408 +g1643 +tp3790 +a(g408 +g1391 +tp3791 +a(g822 +V\u000a +p3792 +tp3793 +a(g822 +V +p3794 +tp3795 +a(g740 +Vcurrent_input +p3796 +tp3797 +a(g822 +g968 +tp3798 +a(g436 +VStream +p3799 +tp3800 +a(g822 +g972 +tp3801 +a(g822 +g985 +tp3802 +a(g822 +V\u000a +p3803 +tp3804 +a(g822 +V +p3805 +tp3806 +a(g740 +Vcurrent_output +p3807 +tp3808 +a(g822 +g968 +tp3809 +a(g436 +VStream +p3810 +tp3811 +a(g822 +g972 +tp3812 +a(g822 +g985 +tp3813 +a(g822 +V\u000a +p3814 +tp3815 +a(g822 +V +p3816 +tp3817 +a(g740 +Vset_input +p3818 +tp3819 +a(g822 +g968 +tp3820 +a(g436 +VStream +p3821 +tp3822 +a(g822 +g972 +tp3823 +a(g822 +g985 +tp3824 +a(g822 +V\u000a +p3825 +tp3826 +a(g822 +V +p3827 +tp3828 +a(g740 +Vset_output +p3829 +tp3830 +a(g822 +g968 +tp3831 +a(g436 +VStream +p3832 +tp3833 +a(g822 +g972 +tp3834 +a(g822 +g985 +tp3835 +a(g822 +V\u000a +p3836 +tp3837 +a(g822 +V +p3838 +tp3839 +a(g740 +Vopen +p3840 +tp3841 +a(g822 +g968 +tp3842 +a(g436 +VSource +p3843 +tp3844 +a(g822 +g985 +tp3845 +a(g822 +g987 +tp3846 +a(g436 +VMode +p3847 +tp3848 +a(g822 +g985 +tp3849 +a(g822 +g987 +tp3850 +a(g436 +VStream +p3851 +tp3852 +a(g822 +g985 +tp3853 +a(g822 +g987 +tp3854 +a(g436 +VOptions +p3855 +tp3856 +a(g822 +g972 +tp3857 +a(g822 +g985 +tp3858 +a(g822 +V\u000a +p3859 +tp3860 +a(g822 +V +p3861 +tp3862 +a(g740 +Vclose +p3863 +tp3864 +a(g822 +g968 +tp3865 +a(g436 +VStream +p3866 +tp3867 +a(g822 +g972 +tp3868 +a(g822 +g985 +tp3869 +a(g822 +V\u000a +p3870 +tp3871 +a(g822 +V +p3872 +tp3873 +a(g740 +Vflush_output +p3874 +tp3875 +a(g822 +g968 +tp3876 +a(g436 +VStream +p3877 +tp3878 +a(g822 +g972 +tp3879 +a(g822 +g985 +tp3880 +a(g822 +V\u000a +p3881 +tp3882 +a(g822 +V +p3883 +tp3884 +a(g740 +Vstream_property +p3885 +tp3886 +a(g822 +g968 +tp3887 +a(g436 +VStream +p3888 +tp3889 +a(g822 +g985 +tp3890 +a(g822 +g987 +tp3891 +a(g436 +VProperty +p3892 +tp3893 +a(g822 +g972 +tp3894 +a(g822 +g985 +tp3895 +a(g822 +V\u000a +p3896 +tp3897 +a(g822 +V +p3898 +tp3899 +a(g740 +Vat_end_of_stream +p3900 +tp3901 +a(g822 +g968 +tp3902 +a(g436 +VStream +p3903 +tp3904 +a(g822 +g972 +tp3905 +a(g822 +g985 +tp3906 +a(g822 +V\u000a +p3907 +tp3908 +a(g822 +V +p3909 +tp3910 +a(g740 +Vset_stream_position +p3911 +tp3912 +a(g822 +g968 +tp3913 +a(g436 +VStream +p3914 +tp3915 +a(g822 +g985 +tp3916 +a(g822 +g987 +tp3917 +a(g436 +VPosition +p3918 +tp3919 +a(g822 +g972 +tp3920 +a(g822 +g985 +tp3921 +a(g822 +V\u000a +p3922 +tp3923 +a(g822 +V +p3924 +tp3925 +a(g740 +Vflush_output +p3926 +tp3927 +a(g822 +g985 +tp3928 +a(g822 +V\u000a +p3929 +tp3930 +a(g822 +V +p3931 +tp3932 +a(g740 +Vat_end_of_stream +p3933 +tp3934 +a(g822 +g974 +tp3935 +a(g822 +V\u000a +p3936 +tp3937 +a(g822 +V\u000a +p3938 +tp3939 +a(g822 +g1009 +tp3940 +a(g822 +Vcharacter_input_output +p3941 +tp3942 +a(g822 +g987 +tp3943 +a(g408 +g1643 +tp3944 +a(g408 +g1391 +tp3945 +a(g822 +V\u000a +p3946 +tp3947 +a(g822 +V +p3948 +tp3949 +a(g740 +Vget_char +p3950 +tp3951 +a(g822 +g968 +tp3952 +a(g436 +VChar +p3953 +tp3954 +a(g822 +g972 +tp3955 +a(g822 +g985 +tp3956 +a(g822 +V\u000a +p3957 +tp3958 +a(g822 +V +p3959 +tp3960 +a(g740 +Vget_code +p3961 +tp3962 +a(g822 +g968 +tp3963 +a(g436 +VCode +p3964 +tp3965 +a(g822 +g972 +tp3966 +a(g822 +g985 +tp3967 +a(g822 +V\u000a +p3968 +tp3969 +a(g822 +V +p3970 +tp3971 +a(g740 +Vpeek_char +p3972 +tp3973 +a(g822 +g968 +tp3974 +a(g436 +VChar +p3975 +tp3976 +a(g822 +g972 +tp3977 +a(g822 +g985 +tp3978 +a(g822 +V\u000a +p3979 +tp3980 +a(g822 +V +p3981 +tp3982 +a(g740 +Vpeek_code +p3983 +tp3984 +a(g822 +g968 +tp3985 +a(g436 +VCode +p3986 +tp3987 +a(g822 +g972 +tp3988 +a(g822 +g985 +tp3989 +a(g822 +V\u000a +p3990 +tp3991 +a(g822 +V +p3992 +tp3993 +a(g740 +Vput_char +p3994 +tp3995 +a(g822 +g968 +tp3996 +a(g436 +VChar +p3997 +tp3998 +a(g822 +g972 +tp3999 +a(g822 +g985 +tp4000 +a(g822 +V\u000a +p4001 +tp4002 +a(g822 +V +p4003 +tp4004 +a(g740 +Vput_code +p4005 +tp4006 +a(g822 +g968 +tp4007 +a(g436 +VCode +p4008 +tp4009 +a(g822 +g972 +tp4010 +a(g822 +g985 +tp4011 +a(g822 +V\u000a +p4012 +tp4013 +a(g822 +V +p4014 +tp4015 +a(g740 +Vnl +p4016 +tp4017 +a(g822 +g968 +tp4018 +a(g436 +VStream +p4019 +tp4020 +a(g822 +g972 +tp4021 +a(g822 +g985 +tp4022 +a(g822 +V\u000a +p4023 +tp4024 +a(g822 +V +p4025 +tp4026 +a(g740 +Vnl +p4027 +tp4028 +a(g822 +g974 +tp4029 +a(g822 +V\u000a +p4030 +tp4031 +a(g822 +V\u000a +p4032 +tp4033 +a(g822 +g1009 +tp4034 +a(g822 +Vbyte_input_output +p4035 +tp4036 +a(g822 +g987 +tp4037 +a(g408 +g1643 +tp4038 +a(g408 +g1391 +tp4039 +a(g822 +V\u000a +p4040 +tp4041 +a(g822 +V +p4042 +tp4043 +a(g740 +Vget_byte +p4044 +tp4045 +a(g822 +g968 +tp4046 +a(g436 +VByte +p4047 +tp4048 +a(g822 +g972 +tp4049 +a(g822 +g985 +tp4050 +a(g822 +V\u000a +p4051 +tp4052 +a(g822 +V +p4053 +tp4054 +a(g740 +Vpeek_byte +p4055 +tp4056 +a(g822 +g968 +tp4057 +a(g436 +VByte +p4058 +tp4059 +a(g822 +g972 +tp4060 +a(g822 +g985 +tp4061 +a(g822 +V\u000a +p4062 +tp4063 +a(g822 +V +p4064 +tp4065 +a(g740 +Vput_byte +p4066 +tp4067 +a(g822 +g968 +tp4068 +a(g436 +VByte +p4069 +tp4070 +a(g822 +g972 +tp4071 +a(g822 +g974 +tp4072 +a(g822 +V\u000a +p4073 +tp4074 +a(g822 +V\u000a +p4075 +tp4076 +a(g822 +g1009 +tp4077 +a(g822 +Vterm_input_output +p4078 +tp4079 +a(g822 +g987 +tp4080 +a(g408 +g1643 +tp4081 +a(g408 +g1391 +tp4082 +a(g822 +V\u000a +p4083 +tp4084 +a(g822 +V +p4085 +tp4086 +a(g740 +Vread +p4087 +tp4088 +a(g822 +g968 +tp4089 +a(g436 +VTerm +p4090 +tp4091 +a(g822 +g972 +tp4092 +a(g822 +g985 +tp4093 +a(g822 +V\u000a +p4094 +tp4095 +a(g822 +V +p4096 +tp4097 +a(g740 +Vread_term +p4098 +tp4099 +a(g822 +g968 +tp4100 +a(g436 +VTerm +p4101 +tp4102 +a(g822 +g972 +tp4103 +a(g822 +g985 +tp4104 +a(g822 +V\u000a +p4105 +tp4106 +a(g822 +V +p4107 +tp4108 +a(g740 +Vwrite +p4109 +tp4110 +a(g822 +g968 +tp4111 +a(g436 +VTerm +p4112 +tp4113 +a(g822 +g972 +tp4114 +a(g822 +g985 +tp4115 +a(g822 +V\u000a +p4116 +tp4117 +a(g822 +V +p4118 +tp4119 +a(g740 +Vwrite +p4120 +tp4121 +a(g822 +g968 +tp4122 +a(g436 +VTerm +p4123 +tp4124 +a(g822 +g972 +tp4125 +a(g822 +g985 +tp4126 +a(g822 +V\u000a +p4127 +tp4128 +a(g822 +V +p4129 +tp4130 +a(g740 +Vwrite_canonical +p4131 +tp4132 +a(g822 +g968 +tp4133 +a(g436 +VTerm +p4134 +tp4135 +a(g822 +g972 +tp4136 +a(g822 +g985 +tp4137 +a(g822 +V\u000a +p4138 +tp4139 +a(g822 +V +p4140 +tp4141 +a(g740 +Vwrite_term +p4142 +tp4143 +a(g822 +g968 +tp4144 +a(g436 +VStream +p4145 +tp4146 +a(g822 +g985 +tp4147 +a(g822 +g987 +tp4148 +a(g436 +VTerm +p4149 +tp4150 +a(g822 +g985 +tp4151 +a(g822 +g987 +tp4152 +a(g436 +VOptions +p4153 +tp4154 +a(g822 +g972 +tp4155 +a(g822 +g985 +tp4156 +a(g822 +V\u000a +p4157 +tp4158 +a(g822 +V +p4159 +tp4160 +a(g740 +Vcurrent_op +p4161 +tp4162 +a(g822 +g968 +tp4163 +a(g436 +VPrecedence +p4164 +tp4165 +a(g822 +g985 +tp4166 +a(g822 +g987 +tp4167 +a(g436 +VAssociativity +p4168 +tp4169 +a(g822 +g985 +tp4170 +a(g822 +g987 +tp4171 +a(g436 +VOperator +p4172 +tp4173 +a(g822 +g972 +tp4174 +a(g822 +g985 +tp4175 +a(g822 +V\u000a +p4176 +tp4177 +a(g822 +V +p4178 +tp4179 +a(g740 +Vop +p4180 +tp4181 +a(g822 +g968 +tp4182 +a(g436 +VPrecedence +p4183 +tp4184 +a(g822 +g985 +tp4185 +a(g822 +g987 +tp4186 +a(g436 +VAssociativity +p4187 +tp4188 +a(g822 +g985 +tp4189 +a(g822 +g987 +tp4190 +a(g436 +VOperator +p4191 +tp4192 +a(g822 +g972 +tp4193 +a(g822 +g985 +tp4194 +a(g822 +V\u000a +p4195 +tp4196 +a(g822 +V +p4197 +tp4198 +a(g740 +Vcurrent_char_conversion +p4199 +tp4200 +a(g822 +g968 +tp4201 +a(g436 +VInChar +p4202 +tp4203 +a(g822 +g985 +tp4204 +a(g822 +g987 +tp4205 +a(g436 +VOutChar +p4206 +tp4207 +a(g822 +g972 +tp4208 +a(g822 +g985 +tp4209 +a(g822 +V\u000a +p4210 +tp4211 +a(g822 +V +p4212 +tp4213 +a(g740 +Vchar_conversion +p4214 +tp4215 +a(g822 +g968 +tp4216 +a(g436 +VInChar +p4217 +tp4218 +a(g822 +g985 +tp4219 +a(g822 +g987 +tp4220 +a(g436 +VOutChar +p4221 +tp4222 +a(g822 +g972 +tp4223 +a(g822 +g974 +tp4224 +a(g822 +V\u000a +p4225 +tp4226 +a(g822 +V\u000a +p4227 +tp4228 +a(g822 +g1009 +tp4229 +a(g822 +Vlogic_and_control +p4230 +tp4231 +a(g822 +g987 +tp4232 +a(g408 +g1643 +tp4233 +a(g408 +g1391 +tp4234 +a(g822 +V\u000a +p4235 +tp4236 +a(g822 +V +p4237 +tp4238 +a(g408 +V\u005c +p4239 +tp4240 +a(g408 +g1330 +tp4241 +a(g822 +g987 +tp4242 +a(g436 +VGoal +p4243 +tp4244 +a(g822 +g985 +tp4245 +a(g822 +V\u000a +p4246 +tp4247 +a(g822 +V +p4248 +tp4249 +a(g740 +Vonce +p4250 +tp4251 +a(g822 +g968 +tp4252 +a(g436 +VGoal +p4253 +tp4254 +a(g822 +g972 +tp4255 +a(g822 +g985 +tp4256 +a(g822 +V\u000a +p4257 +tp4258 +a(g822 +V +p4259 +tp4260 +a(g740 +Vrepeat +p4261 +tp4262 +a(g822 +g985 +tp4263 +a(g822 +V\u000a +p4264 +tp4265 +a(g822 +V +p4266 +tp4267 +a(g408 +V! +p4268 +tp4269 +a(g822 +g974 +tp4270 +a(g822 +V\u000a +p4271 +tp4272 +a(g822 +V\u000a +p4273 +tp4274 +a(g822 +g1009 +tp4275 +a(g822 +Vatomic_term_processing +p4276 +tp4277 +a(g822 +g987 +tp4278 +a(g408 +g1643 +tp4279 +a(g408 +g1391 +tp4280 +a(g822 +V\u000a +p4281 +tp4282 +a(g822 +V +p4283 +tp4284 +a(g740 +Vatom_length +p4285 +tp4286 +a(g822 +g968 +tp4287 +a(g436 +VAtom +p4288 +tp4289 +a(g822 +g985 +tp4290 +a(g822 +g987 +tp4291 +a(g436 +VLength +p4292 +tp4293 +a(g822 +g972 +tp4294 +a(g822 +g985 +tp4295 +a(g822 +V\u000a +p4296 +tp4297 +a(g822 +V +p4298 +tp4299 +a(g740 +Vatom_chars +p4300 +tp4301 +a(g822 +g968 +tp4302 +a(g436 +VAtom +p4303 +tp4304 +a(g822 +g985 +tp4305 +a(g822 +g987 +tp4306 +a(g436 +VChars +p4307 +tp4308 +a(g822 +g972 +tp4309 +a(g822 +g985 +tp4310 +a(g822 +V\u000a +p4311 +tp4312 +a(g822 +V +p4313 +tp4314 +a(g740 +Vatom_codes +p4315 +tp4316 +a(g822 +g968 +tp4317 +a(g436 +VAtom +p4318 +tp4319 +a(g822 +g985 +tp4320 +a(g822 +g987 +tp4321 +a(g436 +VCodes +p4322 +tp4323 +a(g822 +g972 +tp4324 +a(g822 +g985 +tp4325 +a(g822 +V\u000a +p4326 +tp4327 +a(g822 +V +p4328 +tp4329 +a(g740 +Vatom_concat +p4330 +tp4331 +a(g822 +g968 +tp4332 +a(g436 +VAtom1 +p4333 +tp4334 +a(g822 +g985 +tp4335 +a(g822 +g987 +tp4336 +a(g436 +VAtom2 +p4337 +tp4338 +a(g822 +g985 +tp4339 +a(g822 +g987 +tp4340 +a(g436 +VAtom +p4341 +tp4342 +a(g822 +g972 +tp4343 +a(g822 +g985 +tp4344 +a(g822 +V\u000a +p4345 +tp4346 +a(g822 +V +p4347 +tp4348 +a(g740 +Vsub_atom +p4349 +tp4350 +a(g822 +g968 +tp4351 +a(g436 +VAtom +p4352 +tp4353 +a(g822 +g985 +tp4354 +a(g822 +g987 +tp4355 +a(g436 +VBefore +p4356 +tp4357 +a(g822 +g985 +tp4358 +a(g822 +g987 +tp4359 +a(g436 +VLength +p4360 +tp4361 +a(g822 +g985 +tp4362 +a(g822 +g987 +tp4363 +a(g436 +VAfter +p4364 +tp4365 +a(g822 +g985 +tp4366 +a(g822 +g987 +tp4367 +a(g436 +VSubAtom +p4368 +tp4369 +a(g822 +g972 +tp4370 +a(g822 +g985 +tp4371 +a(g822 +V\u000a +p4372 +tp4373 +a(g822 +V +p4374 +tp4375 +a(g740 +Vchar_code +p4376 +tp4377 +a(g822 +g968 +tp4378 +a(g436 +VChar +p4379 +tp4380 +a(g822 +g985 +tp4381 +a(g822 +g987 +tp4382 +a(g436 +VCode +p4383 +tp4384 +a(g822 +g972 +tp4385 +a(g822 +g985 +tp4386 +a(g822 +V\u000a +p4387 +tp4388 +a(g822 +V +p4389 +tp4390 +a(g740 +Vnumber_chars +p4391 +tp4392 +a(g822 +g968 +tp4393 +a(g436 +VNumber +p4394 +tp4395 +a(g822 +g985 +tp4396 +a(g822 +g987 +tp4397 +a(g436 +VChars +p4398 +tp4399 +a(g822 +g972 +tp4400 +a(g822 +g985 +tp4401 +a(g822 +V\u000a +p4402 +tp4403 +a(g822 +V +p4404 +tp4405 +a(g740 +Vnumber_codes +p4406 +tp4407 +a(g822 +g968 +tp4408 +a(g436 +VNumber +p4409 +tp4410 +a(g822 +g985 +tp4411 +a(g822 +g987 +tp4412 +a(g436 +VCodes +p4413 +tp4414 +a(g822 +g972 +tp4415 +a(g822 +g974 +tp4416 +a(g822 +V\u000a +p4417 +tp4418 +a(g822 +V\u000a +p4419 +tp4420 +a(g822 +g1009 +tp4421 +a(g822 +Vimplementation_defined_hooks +p4422 +tp4423 +a(g822 +g987 +tp4424 +a(g408 +g1643 +tp4425 +a(g408 +g1391 +tp4426 +a(g822 +V\u000a +p4427 +tp4428 +a(g822 +V +p4429 +tp4430 +a(g740 +Vcurrent_prolog_flag +p4431 +tp4432 +a(g822 +g968 +tp4433 +a(g436 +VFlag +p4434 +tp4435 +a(g822 +g985 +tp4436 +a(g822 +g987 +tp4437 +a(g436 +VValue +p4438 +tp4439 +a(g822 +g972 +tp4440 +a(g822 +g985 +tp4441 +a(g822 +V\u000a +p4442 +tp4443 +a(g822 +V +p4444 +tp4445 +a(g740 +Vset_prolog_flag +p4446 +tp4447 +a(g822 +g968 +tp4448 +a(g436 +VFlag +p4449 +tp4450 +a(g822 +g985 +tp4451 +a(g822 +g987 +tp4452 +a(g436 +VValue +p4453 +tp4454 +a(g822 +g972 +tp4455 +a(g822 +g985 +tp4456 +a(g822 +V\u000a +p4457 +tp4458 +a(g822 +V +p4459 +tp4460 +a(g740 +Vhalt +p4461 +tp4462 +a(g822 +g968 +tp4463 +a(g436 +VExitCode +p4464 +tp4465 +a(g822 +g972 +tp4466 +a(g822 +g985 +tp4467 +a(g822 +V\u000a +p4468 +tp4469 +a(g822 +V +p4470 +tp4471 +a(g740 +Vhalt +p4472 +tp4473 +a(g822 +g974 +tp4474 +a(g822 +V\u000a +p4475 +tp4476 +a(g822 +V\u000a +p4477 +tp4478 +a(g822 +g1009 +tp4479 +a(g740 +Vnumber +p4480 +tp4481 +a(g822 +g968 +tp4482 +a(g436 +g3077 +tp4483 +a(g822 +g972 +tp4484 +a(g822 +g987 +tp4485 +a(g408 +g1391 +tp4486 +a(g408 +g1391 +tp4487 +a(g408 +g2857 +tp4488 +a(g822 +g987 +tp4489 +a(g84 +V"+" +p4490 +tp4491 +a(g822 +g985 +tp4492 +a(g822 +g987 +tp4493 +a(g740 +Vnumber +p4494 +tp4495 +a(g822 +g968 +tp4496 +a(g436 +g3077 +tp4497 +a(g822 +g972 +tp4498 +a(g822 +g974 +tp4499 +a(g822 +V\u000a +p4500 +tp4501 +a(g822 +g1009 +tp4502 +a(g740 +Vnumber +p4503 +tp4504 +a(g822 +g968 +tp4505 +a(g436 +g3077 +tp4506 +a(g822 +g972 +tp4507 +a(g822 +g987 +tp4508 +a(g408 +g1391 +tp4509 +a(g408 +g1391 +tp4510 +a(g408 +g2857 +tp4511 +a(g822 +g987 +tp4512 +a(g84 +V"-" +p4513 +tp4514 +a(g822 +g985 +tp4515 +a(g822 +g987 +tp4516 +a(g740 +Vnumber +p4517 +tp4518 +a(g822 +g968 +tp4519 +a(g436 +g1143 +tp4520 +a(g822 +g972 +tp4521 +a(g822 +g985 +tp4522 +a(g822 +g987 +tp4523 +a(g740 +g2783 +tp4524 +a(g436 +g3077 +tp4525 +a(g822 +g987 +tp4526 +a(g740 +Vis +p4527 +tp4528 +a(g822 +g987 +tp4529 +a(g408 +g1391 +tp4530 +a(g436 +g1143 +tp4531 +a(g740 +g2795 +tp4532 +a(g822 +g974 +tp4533 +a(g822 +V\u000a +p4534 +tp4535 +a(g822 +g1009 +tp4536 +a(g740 +Vnumber +p4537 +tp4538 +a(g822 +g968 +tp4539 +a(g436 +g1143 +tp4540 +a(g822 +g972 +tp4541 +a(g822 +g987 +tp4542 +a(g408 +g1391 +tp4543 +a(g408 +g1391 +tp4544 +a(g408 +g2857 +tp4545 +a(g822 +g987 +tp4546 +a(g822 +g1046 +tp4547 +a(g436 +g3077 +tp4548 +a(g822 +g1111 +tp4549 +a(g822 +g985 +tp4550 +a(g822 +g987 +tp4551 +a(g740 +g2783 +tp4552 +a(g27 +V0'0 +p4553 +tp4554 +a(g822 +g987 +tp4555 +a(g408 +V=< +p4556 +tp4557 +a(g822 +g987 +tp4558 +a(g436 +g3077 +tp4559 +a(g822 +g985 +tp4560 +a(g822 +g987 +tp4561 +a(g436 +g3077 +tp4562 +a(g822 +g987 +tp4563 +a(g408 +V=< +p4564 +tp4565 +a(g822 +g987 +tp4566 +a(g27 +V0'9 +p4567 +tp4568 +a(g822 +g985 +tp4569 +a(g822 +g987 +tp4570 +a(g436 +g1143 +tp4571 +a(g822 +g987 +tp4572 +a(g740 +Vis +p4573 +tp4574 +a(g822 +g987 +tp4575 +a(g436 +g3077 +tp4576 +a(g822 +g987 +tp4577 +a(g408 +g1391 +tp4578 +a(g822 +g987 +tp4579 +a(g27 +V0'0 +p4580 +tp4581 +a(g740 +g2795 +tp4582 +a(g822 +g974 +tp4583 +a(g822 +V\u000a +p4584 +tp4585 +a(g701 +V\u000a:- +p4586 +tp4587 +a(g740 +Vend_object. +p4588 +tp4589 +a(g822 +V\u000a +p4590 +tp4591 +a(g701 +V\u000a\u000a\u000a:- +p4592 +tp4593 +a(g740 +Vobject +p4594 +tp4595 +a(g822 +g968 +tp4596 +a(g822 +Vclass +p4597 +tp4598 +a(g822 +g985 +tp4599 +a(g822 +V\u000a +p4600 +tp4601 +a(g822 +g1009 +tp4602 +a(g740 +Vimplements +p4603 +tp4604 +a(g822 +g968 +tp4605 +a(g822 +Vprotocol +p4606 +tp4607 +a(g822 +g972 +tp4608 +a(g822 +g985 +tp4609 +a(g822 +V\u000a +p4610 +tp4611 +a(g822 +g1009 +tp4612 +a(g740 +Vimports +p4613 +tp4614 +a(g822 +g968 +tp4615 +a(g822 +Vcategory +p4616 +tp4617 +a(g822 +g972 +tp4618 +a(g822 +g985 +tp4619 +a(g822 +V\u000a +p4620 +tp4621 +a(g822 +g1009 +tp4622 +a(g740 +Vinstantiates +p4623 +tp4624 +a(g822 +g968 +tp4625 +a(g822 +Vmetaclass +p4626 +tp4627 +a(g822 +g972 +tp4628 +a(g822 +g985 +tp4629 +a(g822 +V\u000a +p4630 +tp4631 +a(g822 +g1009 +tp4632 +a(g740 +Vspecializes +p4633 +tp4634 +a(g822 +g968 +tp4635 +a(g822 +Vsuperclass +p4636 +tp4637 +a(g822 +g972 +tp4638 +a(g822 +V). +p4639 +tp4640 +a(g822 +V\u000a +p4641 +tp4642 +a(g701 +V\u000a\u000a:- +p4643 +tp4644 +a(g740 +Vend_object. +p4645 +tp4646 +a(g822 +V\u000a +p4647 +tp4648 +a(g701 +V\u000a\u000a\u000a:- +p4649 +tp4650 +a(g740 +Vobject +p4651 +tp4652 +a(g822 +g968 +tp4653 +a(g822 +Vparametric +p4654 +tp4655 +a(g822 +g968 +tp4656 +a(g436 +V_Par1 +p4657 +tp4658 +a(g822 +g985 +tp4659 +a(g822 +g987 +tp4660 +a(g436 +V_Par2 +p4661 +tp4662 +a(g822 +g972 +tp4663 +a(g822 +g985 +tp4664 +a(g822 +V\u000a +p4665 +tp4666 +a(g822 +g1009 +tp4667 +a(g740 +Vimplements +p4668 +tp4669 +a(g822 +g968 +tp4670 +a(g822 +Vprotocol +p4671 +tp4672 +a(g822 +g972 +tp4673 +a(g822 +g985 +tp4674 +a(g822 +V\u000a +p4675 +tp4676 +a(g822 +g1009 +tp4677 +a(g740 +Vimports +p4678 +tp4679 +a(g822 +g968 +tp4680 +a(g822 +Vcategory +p4681 +tp4682 +a(g822 +g972 +tp4683 +a(g822 +g985 +tp4684 +a(g822 +V\u000a +p4685 +tp4686 +a(g822 +g1009 +tp4687 +a(g740 +Vextends +p4688 +tp4689 +a(g822 +g968 +tp4690 +a(g822 +Vparent +p4691 +tp4692 +a(g822 +g968 +tp4693 +a(g436 +V_Par +p4694 +tp4695 +a(g822 +g972 +tp4696 +a(g822 +g972 +tp4697 +a(g822 +V). +p4698 +tp4699 +a(g822 +V\u000a +p4700 +tp4701 +a(g701 +V\u000a\u000a:- +p4702 +tp4703 +a(g740 +Vend_object. +p4704 +tp4705 +a(g822 +V\u000a +p4706 +tp4707 +a(g701 +V\u000a\u000a\u000a:- +p4708 +tp4709 +a(g740 +Vcategory +p4710 +tp4711 +a(g822 +g968 +tp4712 +a(g822 +Vcategory +p4713 +tp4714 +a(g822 +g985 +tp4715 +a(g822 +V\u000a +p4716 +tp4717 +a(g822 +g1009 +tp4718 +a(g740 +Vimplements +p4719 +tp4720 +a(g822 +g968 +tp4721 +a(g822 +Vprotocol +p4722 +tp4723 +a(g822 +g972 +tp4724 +a(g822 +g985 +tp4725 +a(g822 +V\u000a +p4726 +tp4727 +a(g822 +g1009 +tp4728 +a(g740 +Vextends +p4729 +tp4730 +a(g822 +g968 +tp4731 +a(g822 +Vother_category +p4732 +tp4733 +a(g822 +g972 +tp4734 +a(g822 +V). +p4735 +tp4736 +a(g822 +V\u000a +p4737 +tp4738 +a(g701 +V\u000a\u000a:- +p4739 +tp4740 +a(g740 +Vend_category. +p4741 +tp4742 +a(g822 +V\u000a +p4743 +tp4744 +a(g701 +V\u000a\u000a\u000a:- +p4745 +tp4746 +a(g740 +Vprotocol +p4747 +tp4748 +a(g822 +g968 +tp4749 +a(g822 +Vextended +p4750 +tp4751 +a(g822 +g985 +tp4752 +a(g822 +V\u000a +p4753 +tp4754 +a(g822 +g1009 +tp4755 +a(g740 +Vextends +p4756 +tp4757 +a(g822 +g968 +tp4758 +a(g822 +Vminimal +p4759 +tp4760 +a(g822 +g972 +tp4761 +a(g822 +V). +p4762 +tp4763 +a(g822 +V\u000a +p4764 +tp4765 +a(g701 +V\u000a\u000a:- +p4766 +tp4767 +a(g740 +Vend_protocol. +p4768 +tp4769 +a(g822 +V\u000a +p4770 +tp4771 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sources.list b/tests/examplefiles/output/sources.list new file mode 100644 index 0000000..2659a31 --- /dev/null +++ b/tests/examplefiles/output/sources.list @@ -0,0 +1,3471 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV## CD ROM +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g740 +Vdeb +p960 +tp961 +a(g822 +V +p962 +tp963 +a(g84 +Vcdrom: +p964 +tp965 +a(g232 +V[ +p966 +tp967 +a(g232 +VXubuntu 6.06.1 _Dapper Drake_ - Release i386 (20060807) +p968 +tp969 +a(g232 +V] +p970 +tp971 +a(g84 +V/ +p972 +tp973 +a(g822 +g962 +tp974 +a(g781 +Vdapper +p975 +tp976 +a(g822 +g962 +tp977 +a(g781 +Vmain +p978 +tp979 +a(g822 +g962 +tp980 +a(g781 +Vrestricted +p981 +tp982 +a(g822 +V +p983 +tp984 +a(g822 +V\u000a\u000a +p985 +tp986 +a(g740 +Vdeb +p987 +tp988 +a(g822 +g962 +tp989 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p990 +tp991 +a(g822 +g962 +tp992 +a(g781 +Vdapper +p993 +tp994 +a(g822 +g962 +tp995 +a(g781 +Vmain +p996 +tp997 +a(g822 +g962 +tp998 +a(g781 +Vrestricted +p999 +tp1000 +a(g822 +g983 +tp1001 +a(g822 +V\u000a +p1002 +tp1003 +a(g740 +Vdeb-src +p1004 +tp1005 +a(g822 +g962 +tp1006 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p1007 +tp1008 +a(g822 +g962 +tp1009 +a(g781 +Vdapper +p1010 +tp1011 +a(g822 +g962 +tp1012 +a(g781 +Vmain +p1013 +tp1014 +a(g822 +g962 +tp1015 +a(g781 +Vrestricted +p1016 +tp1017 +a(g822 +g983 +tp1018 +a(g822 +V\u000a\u000a +p1019 +tp1020 +a(g740 +Vdeb +p1021 +tp1022 +a(g822 +g962 +tp1023 +a(g84 +Vhttp://foo.com/ +p1024 +tp1025 +a(g436 +V$(ARCH) +p1026 +tp1027 +a(g84 +g972 +tp1028 +a(g822 +g962 +tp1029 +a(g781 +Vmain +p1030 +tp1031 +a(g822 +g962 +tp1032 +a(g781 +Vfoo +p1033 +tp1034 +a(g822 +g983 +tp1035 +a(g822 +V\u000a\u000a +p1036 +tp1037 +a(g7 +V## Major bug fix updates produced after the final release of the +p1038 +tp1039 +a(g822 +V\u000a +p1040 +tp1041 +a(g7 +V## distribution. +p1042 +tp1043 +a(g822 +V\u000a +p1044 +tp1045 +a(g740 +Vdeb +p1046 +tp1047 +a(g822 +g962 +tp1048 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p1049 +tp1050 +a(g822 +g962 +tp1051 +a(g781 +Vdapper-updates +p1052 +tp1053 +a(g822 +g962 +tp1054 +a(g781 +Vmain +p1055 +tp1056 +a(g822 +g962 +tp1057 +a(g781 +Vrestricted +p1058 +tp1059 +a(g822 +g983 +tp1060 +a(g822 +V\u000a +p1061 +tp1062 +a(g740 +Vdeb-src +p1063 +tp1064 +a(g822 +g962 +tp1065 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p1066 +tp1067 +a(g822 +g962 +tp1068 +a(g781 +Vdapper-updates +p1069 +tp1070 +a(g822 +g962 +tp1071 +a(g781 +Vmain +p1072 +tp1073 +a(g822 +g962 +tp1074 +a(g781 +Vrestricted +p1075 +tp1076 +a(g822 +g983 +tp1077 +a(g822 +V\u000a\u000a +p1078 +tp1079 +a(g7 +V## Uncomment the following two lines to add software from the 'universe' +p1080 +tp1081 +a(g822 +V\u000a +p1082 +tp1083 +a(g7 +V## repository. +p1084 +tp1085 +a(g822 +V\u000a +p1086 +tp1087 +a(g7 +V## N.B. software from this repository is ENTIRELY UNSUPPORTED by the Ubuntu +p1088 +tp1089 +a(g822 +V\u000a +p1090 +tp1091 +a(g7 +V## team, and may not be under a free licence. Please satisfy yourself as to +p1092 +tp1093 +a(g822 +V\u000a +p1094 +tp1095 +a(g7 +V## your rights to use the software. Also, please note that software in +p1096 +tp1097 +a(g822 +V\u000a +p1098 +tp1099 +a(g7 +V## universe WILL NOT receive any review or updates from the Ubuntu security +p1100 +tp1101 +a(g822 +V\u000a +p1102 +tp1103 +a(g7 +V## team. +p1104 +tp1105 +a(g822 +V\u000a +p1106 +tp1107 +a(g740 +Vdeb +p1108 +tp1109 +a(g822 +g962 +tp1110 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p1111 +tp1112 +a(g822 +g962 +tp1113 +a(g781 +Vdapper +p1114 +tp1115 +a(g822 +g962 +tp1116 +a(g781 +Vuniverse +p1117 +tp1118 +a(g822 +g962 +tp1119 +a(g781 +Vmultiverse +p1120 +tp1121 +a(g822 +g983 +tp1122 +a(g822 +V\u000a +p1123 +tp1124 +a(g740 +Vdeb-src +p1125 +tp1126 +a(g822 +g962 +tp1127 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p1128 +tp1129 +a(g822 +g962 +tp1130 +a(g781 +Vdapper +p1131 +tp1132 +a(g822 +g962 +tp1133 +a(g781 +Vuniverse +p1134 +tp1135 +a(g822 +g962 +tp1136 +a(g781 +Vmultiverse +p1137 +tp1138 +a(g822 +g983 +tp1139 +a(g822 +V\u000a\u000a +p1140 +tp1141 +a(g7 +V## Uncomment the following two lines to add software from the 'backports' +p1142 +tp1143 +a(g822 +V\u000a +p1144 +tp1145 +a(g7 +V## repository. +p1146 +tp1147 +a(g822 +V\u000a +p1148 +tp1149 +a(g7 +V## N.B. software from this repository may not have been tested as +p1150 +tp1151 +a(g822 +V\u000a +p1152 +tp1153 +a(g7 +V## extensively as that contained in the main release, although it includes +p1154 +tp1155 +a(g822 +V\u000a +p1156 +tp1157 +a(g7 +V## newer versions of some applications which may provide useful features. +p1158 +tp1159 +a(g822 +V\u000a +p1160 +tp1161 +a(g7 +V## Also, please note that software in backports WILL NOT receive any review +p1162 +tp1163 +a(g822 +V\u000a +p1164 +tp1165 +a(g7 +V## or updates from the Ubuntu security team. +p1166 +tp1167 +a(g822 +V\u000a +p1168 +tp1169 +a(g740 +Vdeb +p1170 +tp1171 +a(g822 +g962 +tp1172 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p1173 +tp1174 +a(g822 +g962 +tp1175 +a(g781 +Vdapper-backports +p1176 +tp1177 +a(g822 +g962 +tp1178 +a(g781 +Vmain +p1179 +tp1180 +a(g822 +g962 +tp1181 +a(g781 +Vrestricted +p1182 +tp1183 +a(g822 +g962 +tp1184 +a(g781 +Vuniverse +p1185 +tp1186 +a(g822 +g962 +tp1187 +a(g781 +Vmultiverse +p1188 +tp1189 +a(g822 +g983 +tp1190 +a(g822 +V\u000a +p1191 +tp1192 +a(g740 +Vdeb-src +p1193 +tp1194 +a(g822 +g962 +tp1195 +a(g84 +Vhttp://archive.ubuntu.com/ubuntu/ +p1196 +tp1197 +a(g822 +g962 +tp1198 +a(g781 +Vdapper-backports +p1199 +tp1200 +a(g822 +g962 +tp1201 +a(g781 +Vmain +p1202 +tp1203 +a(g822 +g962 +tp1204 +a(g781 +Vrestricted +p1205 +tp1206 +a(g822 +g962 +tp1207 +a(g781 +Vuniverse +p1208 +tp1209 +a(g822 +g962 +tp1210 +a(g781 +Vmultiverse +p1211 +tp1212 +a(g822 +g983 +tp1213 +a(g822 +V\u000a\u000a +p1214 +tp1215 +a(g740 +Vdeb +p1216 +tp1217 +a(g822 +g962 +tp1218 +a(g84 +Vhttp://security.ubuntu.com/ubuntu +p1219 +tp1220 +a(g822 +g962 +tp1221 +a(g781 +Vdapper-security +p1222 +tp1223 +a(g822 +g962 +tp1224 +a(g781 +Vmain +p1225 +tp1226 +a(g822 +g962 +tp1227 +a(g781 +Vrestricted +p1228 +tp1229 +a(g822 +g983 +tp1230 +a(g822 +V\u000a +p1231 +tp1232 +a(g740 +Vdeb-src +p1233 +tp1234 +a(g822 +g962 +tp1235 +a(g84 +Vhttp://security.ubuntu.com/ubuntu +p1236 +tp1237 +a(g822 +g962 +tp1238 +a(g781 +Vdapper-security +p1239 +tp1240 +a(g822 +g962 +tp1241 +a(g781 +Vmain +p1242 +tp1243 +a(g822 +g962 +tp1244 +a(g781 +Vrestricted +p1245 +tp1246 +a(g822 +g983 +tp1247 +a(g822 +V\u000a +p1248 +tp1249 +a(g740 +Vdeb +p1250 +tp1251 +a(g822 +g962 +tp1252 +a(g84 +Vhttp://security.ubuntu.com/ubuntu +p1253 +tp1254 +a(g822 +g962 +tp1255 +a(g781 +Vdapper-security +p1256 +tp1257 +a(g822 +g962 +tp1258 +a(g781 +Vuniverse +p1259 +tp1260 +a(g822 +g962 +tp1261 +a(g781 +Vmultiverse +p1262 +tp1263 +a(g822 +g983 +tp1264 +a(g822 +V\u000a +p1265 +tp1266 +a(g740 +Vdeb-src +p1267 +tp1268 +a(g822 +g962 +tp1269 +a(g84 +Vhttp://security.ubuntu.com/ubuntu +p1270 +tp1271 +a(g822 +g962 +tp1272 +a(g781 +Vdapper-security +p1273 +tp1274 +a(g822 +g962 +tp1275 +a(g781 +Vuniverse +p1276 +tp1277 +a(g822 +g962 +tp1278 +a(g781 +Vmultiverse +p1279 +tp1280 +a(g822 +g983 +tp1281 +a(g822 +V\u000a\u000a +p1282 +tp1283 +a(g7 +V## dapper-commercial by canonical +p1284 +tp1285 +a(g822 +V\u000a +p1286 +tp1287 +a(g7 +V## currently has realplay (realplayer 10) and opera (opera 9) +p1288 +tp1289 +a(g822 +V\u000a +p1290 +tp1291 +a(g740 +Vdeb +p1292 +tp1293 +a(g822 +g962 +tp1294 +a(g84 +Vhttp://archive.canonical.com/ubuntu +p1295 +tp1296 +a(g822 +g962 +tp1297 +a(g781 +Vdapper-commercial +p1298 +tp1299 +a(g822 +g962 +tp1300 +a(g781 +Vmain +p1301 +tp1302 +a(g822 +g983 +tp1303 +a(g822 +V\u000a\u000a +p1304 +tp1305 +a(g7 +V## Bleeding edge wine repository for Dapper +p1306 +tp1307 +a(g822 +V\u000a +p1308 +tp1309 +a(g7 +V## only uncomment it if you need it +p1310 +tp1311 +a(g822 +V\u000a +p1312 +tp1313 +a(g7 +V## deb http://wine.budgetdedicated.com/apt dapper main +p1314 +tp1315 +a(g822 +V\u000a +p1316 +tp1317 +a(g7 +V## deb-src http://wine.budgetdedicated.com/apt dapper main +p1318 +tp1319 +a(g822 +V\u000a\u000a +p1320 +tp1321 +a(g7 +V## skype +p1322 +tp1323 +a(g822 +V\u000a +p1324 +tp1325 +a(g7 +V## only uncomment it if you need it +p1326 +tp1327 +a(g822 +V\u000a +p1328 +tp1329 +a(g7 +V## deb http://download.skype.com/linux/repos/debian/ stable non-free +p1330 +tp1331 +a(g822 +V\u000a\u000a +p1332 +tp1333 +a(g740 +Vdeb +p1334 +tp1335 +a(g822 +g962 +tp1336 +a(g84 +Vhttp://de.archive.ubuntu.com/ubuntu/ +p1337 +tp1338 +a(g822 +g962 +tp1339 +a(g781 +Vedgy +p1340 +tp1341 +a(g822 +g962 +tp1342 +a(g781 +Vmain +p1343 +tp1344 +a(g822 +g962 +tp1345 +a(g781 +Vrestricted +p1346 +tp1347 +a(g822 +g962 +tp1348 +a(g781 +Vmultiverse +p1349 +tp1350 +a(g822 +g962 +tp1351 +a(g781 +Vuniverse +p1352 +tp1353 +a(g822 +g983 +tp1354 +a(g822 +V\u000a\u000a +p1355 +tp1356 +a(g740 +Vdeb +p1357 +tp1358 +a(g822 +g962 +tp1359 +a(g84 +Vhttp://de.archive.ubuntu.com/ubuntu/ +p1360 +tp1361 +a(g822 +g962 +tp1362 +a(g781 +Vedgy-updates +p1363 +tp1364 +a(g822 +g962 +tp1365 +a(g781 +Vmain +p1366 +tp1367 +a(g822 +g962 +tp1368 +a(g781 +Vrestricted +p1369 +tp1370 +a(g822 +g962 +tp1371 +a(g781 +Vmultiverse +p1372 +tp1373 +a(g822 +g962 +tp1374 +a(g781 +Vuniverse +p1375 +tp1376 +a(g822 +g983 +tp1377 +a(g822 +V\u000a\u000a +p1378 +tp1379 +a(g740 +Vdeb +p1380 +tp1381 +a(g822 +g962 +tp1382 +a(g84 +Vhttp://de.archive.ubuntu.com/ubuntu/ +p1383 +tp1384 +a(g822 +g962 +tp1385 +a(g781 +Vedgy-backports +p1386 +tp1387 +a(g822 +g962 +tp1388 +a(g781 +Vmain +p1389 +tp1390 +a(g822 +g962 +tp1391 +a(g781 +Vrestricted +p1392 +tp1393 +a(g822 +g962 +tp1394 +a(g781 +Vuniverse +p1395 +tp1396 +a(g822 +g962 +tp1397 +a(g781 +Vmultiverse +p1398 +tp1399 +a(g822 +g983 +tp1400 +a(g822 +V\u000a\u000a +p1401 +tp1402 +a(g740 +Vdeb +p1403 +tp1404 +a(g822 +g962 +tp1405 +a(g84 +Vhttp://security.ubuntu.com/ubuntu +p1406 +tp1407 +a(g822 +g962 +tp1408 +a(g781 +Vedgy-security +p1409 +tp1410 +a(g822 +g962 +tp1411 +a(g781 +Vmain +p1412 +tp1413 +a(g822 +g962 +tp1414 +a(g781 +Vrestricted +p1415 +tp1416 +a(g822 +g962 +tp1417 +a(g781 +Vuniverse +p1418 +tp1419 +a(g822 +g962 +tp1420 +a(g781 +Vmultiverse +p1421 +tp1422 +a(g822 +g983 +tp1423 +a(g822 +V\u000a\u000a +p1424 +tp1425 +a(g740 +Vdeb +p1426 +tp1427 +a(g822 +g962 +tp1428 +a(g84 +Vhttp://wine.budgetdedicated.com/apt +p1429 +tp1430 +a(g822 +g962 +tp1431 +a(g781 +Vedgy +p1432 +tp1433 +a(g822 +g962 +tp1434 +a(g781 +Vmain +p1435 +tp1436 +a(g822 +g983 +tp1437 +a(g822 +V\u000a\u000a +p1438 +tp1439 +a(g740 +Vdeb +p1440 +tp1441 +a(g822 +g962 +tp1442 +a(g84 +Vhttp://archive.czessi.net/ubuntu +p1443 +tp1444 +a(g822 +g962 +tp1445 +a(g781 +Vedgy +p1446 +tp1447 +a(g822 +g962 +tp1448 +a(g781 +Vmain +p1449 +tp1450 +a(g822 +g962 +tp1451 +a(g781 +Vrestricted +p1452 +tp1453 +a(g822 +g962 +tp1454 +a(g781 +Vuniverse +p1455 +tp1456 +a(g822 +g962 +tp1457 +a(g781 +Vmultiverse +p1458 +tp1459 +a(g822 +g962 +tp1460 +a(g781 +Vi18n-de +p1461 +tp1462 +a(g822 +g983 +tp1463 +a(g822 +V\u000a +p1464 +tp1465 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sparql.rq b/tests/examplefiles/output/sparql.rq new file mode 100644 index 0000000..3b708cc --- /dev/null +++ b/tests/examplefiles/output/sparql.rq @@ -0,0 +1,4088 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# This is a test SPARQL query +p956 +tp957 +a(g822 +V\u000a\u000a +p958 +tp959 +a(g740 +VBASE +p960 +tp961 +a(g822 +V +p962 +tp963 +a(g592 +V +p964 +tp965 +a(g822 +V\u000a\u000a +p966 +tp967 +a(g740 +VPREFIX +p968 +tp969 +a(g822 +g962 +tp970 +a(g616 +Vfoaf +p971 +tp972 +a(g701 +V: +p973 +tp974 +a(g822 +g962 +tp975 +a(g592 +V +p976 +tp977 +a(g822 +V\u000a +p978 +tp979 +a(g740 +VPREFIX +p980 +tp981 +a(g822 +g962 +tp982 +a(g616 +Vex +p983 +tp984 +a(g701 +g973 +tp985 +a(g822 +g962 +tp986 +a(g592 +V +p987 +tp988 +a(g822 +V\u000a +p989 +tp990 +a(g740 +VPREFIX +p991 +tp992 +a(g822 +g962 +tp993 +a(g616 +Vxsd +p994 +tp995 +a(g701 +g973 +tp996 +a(g822 +g962 +tp997 +a(g592 +V +p998 +tp999 +a(g822 +V\u000a +p1000 +tp1001 +a(g740 +VPREFIX +p1002 +tp1003 +a(g822 +g962 +tp1004 +a(g616 +Vdcterms +p1005 +tp1006 +a(g701 +g973 +tp1007 +a(g822 +g962 +tp1008 +a(g592 +V +p1009 +tp1010 +a(g822 +V\u000a\u000a +p1011 +tp1012 +a(g740 +VSELECT +p1013 +tp1014 +a(g822 +g962 +tp1015 +a(g436 +V?person +p1016 +tp1017 +a(g822 +g962 +tp1018 +a(g701 +V( +p1019 +tp1020 +a(g569 +VCOUNT +p1021 +tp1022 +a(g701 +g1019 +tp1023 +a(g436 +V?nick +p1024 +tp1025 +a(g701 +V) +p1026 +tp1027 +a(g822 +g962 +tp1028 +a(g740 +VAS +p1029 +tp1030 +a(g822 +g962 +tp1031 +a(g436 +V?nickCount +p1032 +tp1033 +a(g701 +g1026 +tp1034 +a(g822 +g962 +tp1035 +a(g701 +V{ +p1036 +tp1037 +a(g822 +V\u000a +p1038 +tp1039 +a(g592 +V<#jonny> +p1040 +tp1041 +a(g822 +g962 +tp1042 +a(g616 +Vfoaf +p1043 +tp1044 +a(g701 +g973 +tp1045 +a(g553 +Vknows +p1046 +tp1047 +a(g822 +g962 +tp1048 +a(g436 +V?person +p1049 +tp1050 +a(g822 +g962 +tp1051 +a(g701 +V. +p1052 +tp1053 +a(g822 +V\u000a +p1054 +tp1055 +a(g436 +V?person +p1056 +tp1057 +a(g822 +g962 +tp1058 +a(g740 +Va +p1059 +tp1060 +a(g822 +g962 +tp1061 +a(g616 +Vfoaf +p1062 +tp1063 +a(g701 +g973 +tp1064 +a(g553 +VPerson +p1065 +tp1066 +a(g822 +g962 +tp1067 +a(g701 +g1052 +tp1068 +a(g822 +V\u000a +p1069 +tp1070 +a(g436 +V?person +p1071 +tp1072 +a(g822 +g962 +tp1073 +a(g616 +Vfoaf +p1074 +tp1075 +a(g701 +g973 +tp1076 +a(g553 +VfirstName +p1077 +tp1078 +a(g822 +g962 +tp1079 +a(g84 +V" +p1080 +tp1081 +a(g84 +VFreddy +p1082 +tp1083 +a(g84 +g1080 +tp1084 +a(g822 +g962 +tp1085 +a(g701 +g1052 +tp1086 +a(g822 +V\u000a +p1087 +tp1088 +a(g436 +V?person +p1089 +tp1090 +a(g822 +g962 +tp1091 +a(g616 +Vfoaf +p1092 +tp1093 +a(g701 +g973 +tp1094 +a(g553 +VlastName +p1095 +tp1096 +a(g822 +g962 +tp1097 +a(g84 +g1080 +tp1098 +a(g84 +VSmith +p1099 +tp1100 +a(g84 +g1080 +tp1101 +a(g822 +g962 +tp1102 +a(g701 +g1052 +tp1103 +a(g822 +V\u000a +p1104 +tp1105 +a(g7 +V# predicate-object list +p1106 +tp1107 +a(g822 +V\u000a +p1108 +tp1109 +a(g436 +V?person +p1110 +tp1111 +a(g822 +g962 +tp1112 +a(g616 +Vfoaf +p1113 +tp1114 +a(g701 +g973 +tp1115 +a(g553 +Vnick +p1116 +tp1117 +a(g822 +g962 +tp1118 +a(g436 +V?nick +p1119 +tp1120 +a(g822 +g962 +tp1121 +a(g701 +V; +p1122 +tp1123 +a(g822 +V\u000a +p1124 +tp1125 +a(g616 +Vfoaf +p1126 +tp1127 +a(g701 +g973 +tp1128 +a(g553 +Vage +p1129 +tp1130 +a(g822 +g962 +tp1131 +a(g84 +g1080 +tp1132 +a(g84 +V21 +p1133 +tp1134 +a(g84 +g1080 +tp1135 +a(g408 +V^^ +p1136 +tp1137 +a(g616 +Vxsd +p1138 +tp1139 +a(g701 +g973 +tp1140 +a(g553 +Vint +p1141 +tp1142 +a(g822 +g962 +tp1143 +a(g701 +g1122 +tp1144 +a(g822 +g962 +tp1145 +a(g7 +V# typed literal +p1146 +tp1147 +a(g822 +V\u000a +p1148 +tp1149 +a(g616 +Vex +p1150 +tp1151 +a(g701 +g973 +tp1152 +a(g553 +Vtitle +p1153 +tp1154 +a(g822 +g962 +tp1155 +a(g84 +V' +p1156 +tp1157 +a(g84 +VMr +p1158 +tp1159 +a(g84 +g1156 +tp1160 +a(g822 +g962 +tp1161 +a(g701 +g1122 +tp1162 +a(g822 +g962 +tp1163 +a(g7 +V# single-quoted string +p1164 +tp1165 +a(g822 +V\u000a +p1166 +tp1167 +a(g616 +Vex +p1168 +tp1169 +a(g701 +g973 +tp1170 +a(g553 +Vwidth +p1171 +tp1172 +a(g822 +g962 +tp1173 +a(g32 +V2 +p1174 +tp1175 +a(g822 +g962 +tp1176 +a(g701 +g1122 +tp1177 +a(g822 +g962 +tp1178 +a(g7 +V# integer +p1179 +tp1180 +a(g822 +V\u000a +p1181 +tp1182 +a(g616 +Vex +p1183 +tp1184 +a(g701 +g973 +tp1185 +a(g553 +Vheight +p1186 +tp1187 +a(g822 +g962 +tp1188 +a(g310 +V1.80 +p1189 +tp1190 +a(g822 +g962 +tp1191 +a(g701 +g1122 +tp1192 +a(g822 +g962 +tp1193 +a(g7 +V# float +p1194 +tp1195 +a(g822 +V\u000a +p1196 +tp1197 +a(g616 +Vex +p1198 +tp1199 +a(g701 +g973 +tp1200 +a(g553 +VdistanceToSun +p1201 +tp1202 +a(g822 +g962 +tp1203 +a(g310 +V1.4e8 +p1204 +tp1205 +a(g822 +g962 +tp1206 +a(g701 +g1122 +tp1207 +a(g822 +g962 +tp1208 +a(g7 +V# float with exponent +p1209 +tp1210 +a(g822 +V\u000a +p1211 +tp1212 +a(g616 +Vex +p1213 +tp1214 +a(g701 +g973 +tp1215 +a(g553 +VownsACat +p1216 +tp1217 +a(g822 +g962 +tp1218 +a(g759 +Vtrue +p1219 +tp1220 +a(g822 +g962 +tp1221 +a(g701 +g1122 +tp1222 +a(g822 +V\u000a +p1223 +tp1224 +a(g616 +Vex +p1225 +tp1226 +a(g701 +g973 +tp1227 +a(g553 +VcatName +p1228 +tp1229 +a(g822 +g962 +tp1230 +a(g84 +g1080 +tp1231 +a(g84 +VKitty +p1232 +tp1233 +a(g84 +g1080 +tp1234 +a(g701 +V, +p1235 +tp1236 +a(g822 +g962 +tp1237 +a(g84 +g1080 +tp1238 +a(g84 +VKitty_ +p1239 +tp1240 +a(g84 +g1080 +tp1241 +a(g822 +g962 +tp1242 +a(g701 +g1122 +tp1243 +a(g822 +g962 +tp1244 +a(g7 +V# object list +p1245 +tp1246 +a(g822 +V\u000a +p1247 +tp1248 +a(g7 +V# some other float values +p1249 +tp1250 +a(g822 +V\u000a +p1251 +tp1252 +a(g616 +Vex +p1253 +tp1254 +a(g701 +g973 +tp1255 +a(g553 +Vfloat1 +p1256 +tp1257 +a(g822 +g962 +tp1258 +a(g310 +V.125 +p1259 +tp1260 +a(g822 +g962 +tp1261 +a(g701 +g1122 +tp1262 +a(g822 +V\u000a +p1263 +tp1264 +a(g616 +Vex +p1265 +tp1266 +a(g701 +g973 +tp1267 +a(g553 +Vfloat2 +p1268 +tp1269 +a(g822 +g962 +tp1270 +a(g310 +V+2.5e10 +p1271 +tp1272 +a(g822 +g962 +tp1273 +a(g701 +g1122 +tp1274 +a(g822 +V\u000a +p1275 +tp1276 +a(g616 +Vex +p1277 +tp1278 +a(g701 +g973 +tp1279 +a(g553 +Vfloat3 +p1280 +tp1281 +a(g822 +g962 +tp1282 +a(g310 +V2.5e+10 +p1283 +tp1284 +a(g822 +g962 +tp1285 +a(g701 +g1122 +tp1286 +a(g822 +V\u000a +p1287 +tp1288 +a(g616 +Vex +p1289 +tp1290 +a(g701 +g973 +tp1291 +a(g553 +Vfloat4 +p1292 +tp1293 +a(g822 +g962 +tp1294 +a(g310 +V-1.e-10 +p1295 +tp1296 +a(g822 +g962 +tp1297 +a(g701 +g1122 +tp1298 +a(g822 +V\u000a +p1299 +tp1300 +a(g616 +Vex +p1301 +tp1302 +a(g701 +g973 +tp1303 +a(g553 +Vfloat5 +p1304 +tp1305 +a(g822 +g962 +tp1306 +a(g310 +V.0e1 +p1307 +tp1308 +a(g822 +g962 +tp1309 +a(g701 +g1122 +tp1310 +a(g822 +V\u000a +p1311 +tp1312 +a(g616 +Vex +p1313 +tp1314 +a(g701 +g973 +tp1315 +a(g553 +Vfloat6 +p1316 +tp1317 +a(g822 +g962 +tp1318 +a(g310 +V5e11 +p1319 +tp1320 +a(g822 +g962 +tp1321 +a(g701 +g1122 +tp1322 +a(g822 +V\u000a +p1323 +tp1324 +a(g616 +Vex +p1325 +tp1326 +a(g701 +g973 +tp1327 +a(g553 +Vfloat7 +p1328 +tp1329 +a(g822 +g962 +tp1330 +a(g310 +V1. +p1331 +tp1332 +a(g822 +g962 +tp1333 +a(g701 +g1122 +tp1334 +a(g822 +V\u000a +p1335 +tp1336 +a(g616 +Vex +p1337 +tp1338 +a(g701 +g973 +tp1339 +a(g553 +VaUnicodeÀExample +p1340 +tp1341 +a(g822 +g962 +tp1342 +a(g84 +g1080 +tp1343 +a(g84 +Vsomestring +p1344 +tp1345 +a(g84 +g1080 +tp1346 +a(g822 +g962 +tp1347 +a(g701 +g1122 +tp1348 +a(g822 +V\u000a +p1349 +tp1350 +a(g616 +Vex +p1351 +tp1352 +a(g701 +g973 +tp1353 +a(g553 +VcatName +p1354 +tp1355 +a(g822 +g962 +tp1356 +a(g84 +g1080 +tp1357 +a(g84 +VKitty +p1358 +tp1359 +a(g84 +g1080 +tp1360 +a(g701 +g1235 +tp1361 +a(g822 +g962 +tp1362 +a(g84 +g1080 +tp1363 +a(g84 +VKitty_ +p1364 +tp1365 +a(g84 +g1080 +tp1366 +a(g822 +g962 +tp1367 +a(g701 +g1122 +tp1368 +a(g822 +g962 +tp1369 +a(g7 +V# object list +p1370 +tp1371 +a(g822 +V\u000a +p1372 +tp1373 +a(g616 +Vex +p1374 +tp1375 +a(g701 +g973 +tp1376 +a(g553 +Vescape +p1377 +tp1378 +a(g822 +g962 +tp1379 +a(g84 +g1080 +tp1380 +a(g84 +V\u005c +p1381 +tp1382 +a(g208 +Vn +p1383 +tp1384 +a(g84 +V\u005c +p1385 +tp1386 +a(g208 +Vu00c0 +p1387 +tp1388 +a(g84 +V\u005c +p1389 +tp1390 +a(g208 +VU00010000 +p1391 +tp1392 +a(g84 +g1080 +tp1393 +a(g701 +g1122 +tp1394 +a(g822 +V\u000a +p1395 +tp1396 +a(g616 +Vex +p1397 +tp1398 +a(g701 +g973 +tp1399 +a(g553 +VcatAge +p1400 +tp1401 +a(g822 +g962 +tp1402 +a(g436 +V?catage +p1403 +tp1404 +a(g822 +g962 +tp1405 +a(g701 +g1122 +tp1406 +a(g822 +V\u000a +p1407 +tp1408 +a(g616 +Vdcterms +p1409 +tp1410 +a(g701 +g973 +tp1411 +a(g553 +Vdescription +p1412 +tp1413 +a(g822 +g962 +tp1414 +a(g84 +g1080 +tp1415 +a(g84 +VSomeone with a cat called +p1416 +tp1417 +a(g84 +V\u005c +p1418 +tp1419 +a(g208 +g1080 +tp1420 +a(g84 +Vcat +p1421 +tp1422 +a(g84 +V\u005c +p1423 +tp1424 +a(g208 +g1080 +tp1425 +a(g84 +g1052 +tp1426 +a(g84 +g1080 +tp1427 +a(g408 +V@ +p1428 +tp1429 +a(g569 +Ven +p1430 +tp1431 +a(g822 +g962 +tp1432 +a(g701 +g1052 +tp1433 +a(g822 +g962 +tp1434 +a(g7 +V# language tag +p1435 +tp1436 +a(g822 +V\u000a +p1437 +tp1438 +a(g436 +V?person +p1439 +tp1440 +a(g822 +g962 +tp1441 +a(g616 +Vfoaf +p1442 +tp1443 +a(g701 +g973 +tp1444 +a(g553 +Vknows +p1445 +tp1446 +a(g822 +g962 +tp1447 +a(g592 +V_:b0 +p1448 +tp1449 +a(g822 +g962 +tp1450 +a(g701 +g1052 +tp1451 +a(g822 +V\u000a +p1452 +tp1453 +a(g592 +V_:b0 +p1454 +tp1455 +a(g822 +g962 +tp1456 +a(g616 +Vfoaf +p1457 +tp1458 +a(g701 +g973 +tp1459 +a(g553 +Vknows +p1460 +tp1461 +a(g822 +g962 +tp1462 +a(g701 +V[ +p1463 +tp1464 +a(g822 +g962 +tp1465 +a(g592 +V_:b1 +p1466 +tp1467 +a(g822 +g962 +tp1468 +a(g740 +g1059 +tp1469 +a(g822 +g962 +tp1470 +a(g616 +Vfoaf +p1471 +tp1472 +a(g701 +g973 +tp1473 +a(g553 +VPerson +p1474 +tp1475 +a(g701 +g1122 +tp1476 +a(g822 +g962 +tp1477 +a(g616 +Vfoaf +p1478 +tp1479 +a(g701 +g973 +tp1480 +a(g553 +Vname +p1481 +tp1482 +a(g822 +g962 +tp1483 +a(g84 +g1080 +tp1484 +a(g84 +VJonny +p1485 +tp1486 +a(g84 +g1080 +tp1487 +a(g822 +g962 +tp1488 +a(g701 +g1052 +tp1489 +a(g822 +g962 +tp1490 +a(g701 +V] +p1491 +tp1492 +a(g822 +g962 +tp1493 +a(g701 +g1052 +tp1494 +a(g822 +V\u000a +p1495 +tp1496 +a(g740 +VOPTIONAL +p1497 +tp1498 +a(g822 +g962 +tp1499 +a(g701 +g1036 +tp1500 +a(g822 +g962 +tp1501 +a(g436 +V?person +p1502 +tp1503 +a(g822 +g962 +tp1504 +a(g616 +Vfoaf +p1505 +tp1506 +a(g701 +g973 +tp1507 +a(g553 +VisPrimaryTopicOf +p1508 +tp1509 +a(g822 +g962 +tp1510 +a(g436 +V?page +p1511 +tp1512 +a(g822 +g962 +tp1513 +a(g701 +V} +p1514 +tp1515 +a(g822 +V\u000a +p1516 +tp1517 +a(g740 +VOPTIONAL +p1518 +tp1519 +a(g822 +g962 +tp1520 +a(g701 +g1036 +tp1521 +a(g822 +g962 +tp1522 +a(g436 +V?person +p1523 +tp1524 +a(g822 +g962 +tp1525 +a(g616 +Vfoaf +p1526 +tp1527 +a(g701 +g973 +tp1528 +a(g553 +Vname +p1529 +tp1530 +a(g822 +g962 +tp1531 +a(g436 +V?name +p1532 +tp1533 +a(g822 +V\u000a +p1534 +tp1535 +a(g701 +g1036 +tp1536 +a(g822 +g962 +tp1537 +a(g436 +V?person +p1538 +tp1539 +a(g822 +g962 +tp1540 +a(g616 +Vfoaf +p1541 +tp1542 +a(g701 +g973 +tp1543 +a(g553 +Vdepiction +p1544 +tp1545 +a(g822 +g962 +tp1546 +a(g436 +V?img +p1547 +tp1548 +a(g822 +g962 +tp1549 +a(g701 +g1514 +tp1550 +a(g822 +V\u000a +p1551 +tp1552 +a(g740 +VUNION +p1553 +tp1554 +a(g822 +V\u000a +p1555 +tp1556 +a(g701 +g1036 +tp1557 +a(g822 +g962 +tp1558 +a(g436 +V?person +p1559 +tp1560 +a(g822 +g962 +tp1561 +a(g616 +Vfoaf +p1562 +tp1563 +a(g701 +g973 +tp1564 +a(g553 +VfirstName +p1565 +tp1566 +a(g822 +g962 +tp1567 +a(g436 +V?firstN +p1568 +tp1569 +a(g822 +g962 +tp1570 +a(g701 +g1514 +tp1571 +a(g822 +g962 +tp1572 +a(g701 +g1514 +tp1573 +a(g822 +V\u000a +p1574 +tp1575 +a(g740 +VFILTER +p1576 +tp1577 +a(g822 +g962 +tp1578 +a(g701 +g1019 +tp1579 +a(g822 +g962 +tp1580 +a(g569 +Vbound +p1581 +tp1582 +a(g701 +g1019 +tp1583 +a(g436 +V?page +p1584 +tp1585 +a(g701 +g1026 +tp1586 +a(g822 +g962 +tp1587 +a(g408 +V|| +p1588 +tp1589 +a(g822 +g962 +tp1590 +a(g569 +Vbound +p1591 +tp1592 +a(g701 +g1019 +tp1593 +a(g436 +V?img +p1594 +tp1595 +a(g701 +g1026 +tp1596 +a(g822 +g962 +tp1597 +a(g408 +V|| +p1598 +tp1599 +a(g822 +g962 +tp1600 +a(g569 +Vbound +p1601 +tp1602 +a(g701 +g1019 +tp1603 +a(g436 +V?firstN +p1604 +tp1605 +a(g701 +g1026 +tp1606 +a(g822 +g962 +tp1607 +a(g701 +g1026 +tp1608 +a(g822 +V\u000a +p1609 +tp1610 +a(g740 +VFILTER +p1611 +tp1612 +a(g822 +g962 +tp1613 +a(g701 +g1019 +tp1614 +a(g822 +g962 +tp1615 +a(g436 +V?catage +p1616 +tp1617 +a(g822 +g962 +tp1618 +a(g408 +V< +p1619 +tp1620 +a(g822 +g962 +tp1621 +a(g32 +V101 +p1622 +tp1623 +a(g822 +g962 +tp1624 +a(g408 +V&& +p1625 +tp1626 +a(g822 +g962 +tp1627 +a(g436 +V?catage +p1628 +tp1629 +a(g822 +g962 +tp1630 +a(g408 +V> +p1631 +tp1632 +a(g822 +g962 +tp1633 +a(g32 +V9 +p1634 +tp1635 +a(g822 +g962 +tp1636 +a(g408 +V&& +p1637 +tp1638 +a(g822 +g962 +tp1639 +a(g436 +V?catage +p1640 +tp1641 +a(g822 +g962 +tp1642 +a(g408 +V>= +p1643 +tp1644 +a(g822 +g962 +tp1645 +a(g32 +V10 +p1646 +tp1647 +a(g822 +g962 +tp1648 +a(g408 +V&& +p1649 +tp1650 +a(g822 +g962 +tp1651 +a(g436 +V?catage +p1652 +tp1653 +a(g822 +g962 +tp1654 +a(g408 +V<= +p1655 +tp1656 +a(g822 +g962 +tp1657 +a(g32 +V100 +p1658 +tp1659 +a(g822 +g962 +tp1660 +a(g408 +V&& +p1661 +tp1662 +a(g822 +g962 +tp1663 +a(g436 +V?catage +p1664 +tp1665 +a(g822 +g962 +tp1666 +a(g408 +V!= +p1667 +tp1668 +a(g822 +g962 +tp1669 +a(g32 +V20 +p1670 +tp1671 +a(g822 +g962 +tp1672 +a(g701 +g1026 +tp1673 +a(g822 +V\u000a +p1674 +tp1675 +a(g701 +g1514 +tp1676 +a(g822 +V \u000a +p1677 +tp1678 +a(g740 +VGROUP BY +p1679 +tp1680 +a(g822 +g962 +tp1681 +a(g436 +V?person +p1682 +tp1683 +a(g822 +V \u000a +p1684 +tp1685 +a(g740 +VORDER BY +p1686 +tp1687 +a(g822 +g962 +tp1688 +a(g436 +V?img +p1689 +tp1690 +a(g822 +g962 +tp1691 +a(g740 +VASC +p1692 +tp1693 +a(g701 +g1019 +tp1694 +a(g436 +V?firstN +p1695 +tp1696 +a(g701 +g1026 +tp1697 +a(g822 +g962 +tp1698 +a(g740 +VDESC +p1699 +tp1700 +a(g701 +g1019 +tp1701 +a(g436 +V?page +p1702 +tp1703 +a(g701 +g1026 +tp1704 +a(g822 +V\u000a +p1705 +tp1706 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sphere.pov b/tests/examplefiles/output/sphere.pov new file mode 100644 index 0000000..64339f0 --- /dev/null +++ b/tests/examplefiles/output/sphere.pov @@ -0,0 +1,2676 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#include +p956 +tp957 +a(g827 +V +p958 +tp959 +a(g157 +V"colors.inc" +p960 +tp961 +a(g827 +V \u000a\u000a +p962 +tp963 +a(g745 +Vbackground +p964 +tp965 +a(g827 +g958 +tp966 +a(g706 +V{ +p967 +tp968 +a(g827 +g958 +tp969 +a(g745 +Vcolor +p970 +tp971 +a(g827 +g958 +tp972 +a(g436 +VCyan +p973 +tp974 +a(g827 +g958 +tp975 +a(g706 +V} +p976 +tp977 +a(g827 +V\u000a\u000a +p978 +tp979 +a(g682 +Vcamera +p980 +tp981 +a(g827 +g958 +tp982 +a(g706 +g967 +tp983 +a(g827 +V\u000a +p984 +tp985 +a(g745 +Vlocation +p986 +tp987 +a(g827 +g958 +tp988 +a(g706 +V< +p989 +tp990 +a(g37 +V0 +p991 +tp992 +a(g706 +V, +p993 +tp994 +a(g827 +g958 +tp995 +a(g37 +V2 +p996 +tp997 +a(g706 +g993 +tp998 +a(g827 +g958 +tp999 +a(g413 +V- +p1000 +tp1001 +a(g37 +V3 +p1002 +tp1003 +a(g706 +V> +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g745 +Vlook_at +p1008 +tp1009 +a(g827 +g958 +tp1010 +a(g706 +g989 +tp1011 +a(g37 +g991 +tp1012 +a(g706 +g993 +tp1013 +a(g827 +g958 +tp1014 +a(g37 +V1 +p1015 +tp1016 +a(g706 +g993 +tp1017 +a(g827 +g958 +tp1018 +a(g37 +g996 +tp1019 +a(g706 +g1004 +tp1020 +a(g827 +V\u000a +p1021 +tp1022 +a(g706 +g976 +tp1023 +a(g827 +V\u000a\u000a +p1024 +tp1025 +a(g682 +Vsphere +p1026 +tp1027 +a(g827 +g958 +tp1028 +a(g706 +g967 +tp1029 +a(g827 +V\u000a +p1030 +tp1031 +a(g706 +g989 +tp1032 +a(g37 +g991 +tp1033 +a(g706 +g993 +tp1034 +a(g827 +g958 +tp1035 +a(g37 +g1015 +tp1036 +a(g706 +g993 +tp1037 +a(g827 +g958 +tp1038 +a(g37 +g996 +tp1039 +a(g706 +g1004 +tp1040 +a(g706 +g993 +tp1041 +a(g827 +g958 +tp1042 +a(g37 +g996 +tp1043 +a(g827 +V\u000a +p1044 +tp1045 +a(g745 +Vtexture +p1046 +tp1047 +a(g827 +g958 +tp1048 +a(g706 +g967 +tp1049 +a(g827 +V\u000a +p1050 +tp1051 +a(g745 +Vpigment +p1052 +tp1053 +a(g827 +g958 +tp1054 +a(g706 +g967 +tp1055 +a(g827 +g958 +tp1056 +a(g745 +Vcolor +p1057 +tp1058 +a(g827 +g958 +tp1059 +a(g436 +VYellow +p1060 +tp1061 +a(g827 +g958 +tp1062 +a(g706 +g976 +tp1063 +a(g827 +V\u000a +p1064 +tp1065 +a(g706 +g976 +tp1066 +a(g827 +V\u000a +p1067 +tp1068 +a(g706 +g976 +tp1069 +a(g827 +V\u000a\u000a +p1070 +tp1071 +a(g682 +Vlight_source +p1072 +tp1073 +a(g827 +g958 +tp1074 +a(g706 +g967 +tp1075 +a(g827 +g958 +tp1076 +a(g706 +g989 +tp1077 +a(g37 +g996 +tp1078 +a(g706 +g993 +tp1079 +a(g827 +g958 +tp1080 +a(g37 +V4 +p1081 +tp1082 +a(g706 +g993 +tp1083 +a(g827 +g958 +tp1084 +a(g413 +g1000 +tp1085 +a(g37 +g1002 +tp1086 +a(g706 +g1004 +tp1087 +a(g827 +g958 +tp1088 +a(g745 +Vcolor +p1089 +tp1090 +a(g827 +g958 +tp1091 +a(g436 +VWhite +p1092 +tp1093 +a(g706 +g976 +tp1094 +a(g827 +V\u000a +p1095 +tp1096 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sqlite3.sqlite3-console b/tests/examplefiles/output/sqlite3.sqlite3-console new file mode 100644 index 0000000..45bc178 --- /dev/null +++ b/tests/examplefiles/output/sqlite3.sqlite3-console @@ -0,0 +1,2635 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Output' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsS'Strong' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg34 +g35 +((lp932 +tp933 +Rp934 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsS'Traceback' +p942 +g1 +(g2 +g3 +(g4 +g942 +tp943 +tp944 +Rp945 +(dp946 +g10 +g13 +sg34 +g35 +((lp947 +tp948 +Rp949 +sbsg34 +g35 +((lp950 +g898 +ag890 +ag8 +ag930 +ag906 +ag937 +ag945 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg5 +g8 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVSQLite version 3.4.2\u000a +p956 +tp957 +a(g8 +VEnter ".help" for instructions\u000a +p958 +tp959 +a(g610 +V +p960 +tp961 +a(g914 +Vsqlite> +p962 +tp963 +a(g610 +V. +p964 +tp965 +a(g744 +Vschema +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g8 +VCREATE TABLE paste (paste_id integer, code text, parsed_code text, pub_date\u000a +p970 +tp971 +a(g8 +Vvarchar(24), language varchar(64), parent_id integer, url varchar(128));\u000a +p972 +tp973 +a(g8 +VCREATE TABLE vars (key varchar(24), value varchar(128));\u000a +p974 +tp975 +a(g340 +g960 +tp976 +a(g914 +Vsqlite> +p977 +tp978 +a(g340 +Va +p979 +tp980 +a(g826 +V +p981 +tp982 +a(g222 +V'\u000a +p983 +tp984 +a(g914 +V ...> +p985 +tp986 +a(g222 +V' +p987 +tp988 +a(g826 +V\u000a +p989 +tp990 +a(g914 +V ...> +p991 +tp992 +a(g826 +g960 +tp993 +a(g610 +V; +p994 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g945 +VSQL error: near "a": syntax error\u000a +p998 +tp999 +a(g317 +g960 +tp1000 +a(g914 +Vsqlite> +p1001 +tp1002 +a(g317 +V% +p1003 +tp1004 +a(g610 +g994 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g945 +VSQL error: near "%": syntax error\u000a +p1008 +tp1009 +a(g744 +g960 +tp1010 +a(g914 +Vsqlite> +p1011 +tp1012 +a(g744 +Vselect +p1013 +tp1014 +a(g826 +g981 +tp1015 +a(g744 +Vcount +p1016 +tp1017 +a(g610 +V( +p1018 +tp1019 +a(g744 +Vlanguage +p1020 +tp1021 +a(g610 +V) +p1022 +tp1023 +a(g610 +V, +p1024 +tp1025 +a(g826 +g981 +tp1026 +a(g744 +Vlanguage +p1027 +tp1028 +a(g826 +g981 +tp1029 +a(g744 +Vfrom +p1030 +tp1031 +a(g826 +g981 +tp1032 +a(g340 +Vpaste +p1033 +tp1034 +a(g826 +g981 +tp1035 +a(g744 +Vgroup +p1036 +tp1037 +a(g826 +g981 +tp1038 +a(g744 +Vby +p1039 +tp1040 +a(g826 +g981 +tp1041 +a(g744 +Vlanguage +p1042 +tp1043 +a(g826 +g981 +tp1044 +a(g744 +Vorder +p1045 +tp1046 +a(g826 +V\u000a +p1047 +tp1048 +a(g914 +V ...> +p1049 +tp1050 +a(g826 +g960 +tp1051 +a(g744 +Vby +p1052 +tp1053 +a(g826 +g981 +tp1054 +a(g744 +Vcount +p1055 +tp1056 +a(g610 +g1018 +tp1057 +a(g744 +Vlanguage +p1058 +tp1059 +a(g610 +g1022 +tp1060 +a(g826 +g981 +tp1061 +a(g744 +Vdesc +p1062 +tp1063 +a(g610 +g994 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g8 +V144|python\u000a +p1067 +tp1068 +a(g8 +V76|text\u000a +p1069 +tp1070 +a(g8 +V22|pycon\u000a +p1071 +tp1072 +a(g8 +V9|ruby\u000a +p1073 +tp1074 +a(g8 +V7|c\u000a +p1075 +tp1076 +a(g8 +V7|js\u000a +p1077 +tp1078 +a(g8 +V6|html+django\u000a +p1079 +tp1080 +a(g8 +V4|html\u000a +p1081 +tp1082 +a(g8 +V4|tex\u000a +p1083 +tp1084 +a(g8 +V2|html+php\u000a +p1085 +tp1086 +a(g8 +V1|cpp\u000a +p1087 +tp1088 +a(g8 +V1|scheme\u000a +p1089 +tp1090 +a(g826 +g960 +tp1091 +a(g914 +Vsqlite> +p1092 +tp1093 +a(g826 +V\u000a +p1094 +tp1095 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/squid.conf b/tests/examplefiles/output/squid.conf new file mode 100644 index 0000000..931ddc0 --- /dev/null +++ b/tests/examplefiles/output/squid.conf @@ -0,0 +1,3263 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# +p956 +tp957 +a(g7 +V Some multiline comments +p958 +tp959 +a(g835 +V\u000a\u000a +p960 +tp961 +a(g740 +Vacl +p962 +tp963 +a(g835 +V +p964 +tp965 +a(g822 +Vmanager +p966 +tp967 +a(g835 +g964 +tp968 +a(g740 +Vproto +p969 +tp970 +a(g835 +g964 +tp971 +a(g822 +Vcache_object +p972 +tp973 +a(g835 +V\u000a +p974 +tp975 +a(g740 +Vacl +p976 +tp977 +a(g835 +g964 +tp978 +a(g822 +Vlocalhost +p979 +tp980 +a(g835 +g964 +tp981 +a(g740 +Vsrc +p982 +tp983 +a(g835 +g964 +tp984 +a(g310 +V127.0.0.1/32 +p985 +tp986 +a(g835 +g964 +tp987 +a(g310 +V::1 +p988 +tp989 +a(g835 +V\u000a +p990 +tp991 +a(g740 +Vacl +p992 +tp993 +a(g835 +g964 +tp994 +a(g822 +Vto_localhost +p995 +tp996 +a(g835 +g964 +tp997 +a(g740 +Vdst +p998 +tp999 +a(g835 +g964 +tp1000 +a(g310 +V127.0.0.0/8 +p1001 +tp1002 +a(g835 +g964 +tp1003 +a(g310 +V0.0.0.0/32 +p1004 +tp1005 +a(g835 +g964 +tp1006 +a(g310 +V::1 +p1007 +tp1008 +a(g835 +V\u000a +p1009 +tp1010 +a(g740 +Vacl +p1011 +tp1012 +a(g835 +g964 +tp1013 +a(g822 +VSSL_ports +p1014 +tp1015 +a(g835 +g964 +tp1016 +a(g740 +Vport +p1017 +tp1018 +a(g835 +g964 +tp1019 +a(g27 +V443 +p1020 +tp1021 +a(g835 +V\u000a +p1022 +tp1023 +a(g740 +Vacl +p1024 +tp1025 +a(g835 +g964 +tp1026 +a(g822 +VSafe_ports +p1027 +tp1028 +a(g835 +g964 +tp1029 +a(g740 +Vport +p1030 +tp1031 +a(g835 +g964 +tp1032 +a(g27 +V80 +p1033 +tp1034 +a(g835 +V +p1035 +tp1036 +a(g7 +g956 +tp1037 +a(g7 +V http +p1038 +tp1039 +a(g835 +V\u000a +p1040 +tp1041 +a(g740 +Vacl +p1042 +tp1043 +a(g835 +g964 +tp1044 +a(g822 +VSafe_ports +p1045 +tp1046 +a(g835 +g964 +tp1047 +a(g740 +Vport +p1048 +tp1049 +a(g835 +g964 +tp1050 +a(g27 +V21 +p1051 +tp1052 +a(g835 +V +p1053 +tp1054 +a(g7 +g956 +tp1055 +a(g7 +V ftp +p1056 +tp1057 +a(g835 +V\u000a +p1058 +tp1059 +a(g740 +Vacl +p1060 +tp1061 +a(g835 +g964 +tp1062 +a(g822 +VSafe_ports +p1063 +tp1064 +a(g835 +g964 +tp1065 +a(g740 +Vport +p1066 +tp1067 +a(g835 +g964 +tp1068 +a(g27 +V443 +p1069 +tp1070 +a(g835 +V +p1071 +tp1072 +a(g7 +g956 +tp1073 +a(g7 +V https +p1074 +tp1075 +a(g835 +V\u000a +p1076 +tp1077 +a(g740 +Vacl +p1078 +tp1079 +a(g835 +g964 +tp1080 +a(g822 +VSafe_ports +p1081 +tp1082 +a(g835 +g964 +tp1083 +a(g740 +Vport +p1084 +tp1085 +a(g835 +g964 +tp1086 +a(g27 +V70 +p1087 +tp1088 +a(g835 +V +p1089 +tp1090 +a(g7 +g956 +tp1091 +a(g7 +V gopher +p1092 +tp1093 +a(g835 +V\u000a +p1094 +tp1095 +a(g740 +Vacl +p1096 +tp1097 +a(g835 +g964 +tp1098 +a(g822 +VSafe_ports +p1099 +tp1100 +a(g835 +g964 +tp1101 +a(g740 +Vport +p1102 +tp1103 +a(g835 +g964 +tp1104 +a(g27 +V210 +p1105 +tp1106 +a(g835 +V +p1107 +tp1108 +a(g7 +g956 +tp1109 +a(g7 +V wais +p1110 +tp1111 +a(g835 +V\u000a +p1112 +tp1113 +a(g740 +Vacl +p1114 +tp1115 +a(g835 +g964 +tp1116 +a(g822 +VSafe_ports +p1117 +tp1118 +a(g835 +g964 +tp1119 +a(g740 +Vport +p1120 +tp1121 +a(g835 +g964 +tp1122 +a(g27 +V1025-65535 +p1123 +tp1124 +a(g835 +V +p1125 +tp1126 +a(g7 +g956 +tp1127 +a(g7 +V unregistered ports +p1128 +tp1129 +a(g835 +V\u000a +p1130 +tp1131 +a(g740 +Vacl +p1132 +tp1133 +a(g835 +g964 +tp1134 +a(g822 +VSafe_ports +p1135 +tp1136 +a(g835 +g964 +tp1137 +a(g740 +Vport +p1138 +tp1139 +a(g835 +g964 +tp1140 +a(g27 +V280 +p1141 +tp1142 +a(g835 +V +p1143 +tp1144 +a(g7 +g956 +tp1145 +a(g7 +V http-mgmt +p1146 +tp1147 +a(g835 +V\u000a +p1148 +tp1149 +a(g740 +Vacl +p1150 +tp1151 +a(g835 +g964 +tp1152 +a(g822 +VSafe_ports +p1153 +tp1154 +a(g835 +g964 +tp1155 +a(g740 +Vport +p1156 +tp1157 +a(g835 +g964 +tp1158 +a(g27 +V488 +p1159 +tp1160 +a(g835 +V +p1161 +tp1162 +a(g7 +g956 +tp1163 +a(g7 +V gss-http +p1164 +tp1165 +a(g835 +V\u000a +p1166 +tp1167 +a(g740 +Vacl +p1168 +tp1169 +a(g835 +g964 +tp1170 +a(g822 +VSafe_ports +p1171 +tp1172 +a(g835 +g964 +tp1173 +a(g740 +Vport +p1174 +tp1175 +a(g835 +g964 +tp1176 +a(g27 +V591 +p1177 +tp1178 +a(g835 +V +p1179 +tp1180 +a(g7 +g956 +tp1181 +a(g7 +V filemaker +p1182 +tp1183 +a(g835 +V\u000a +p1184 +tp1185 +a(g740 +Vacl +p1186 +tp1187 +a(g835 +g964 +tp1188 +a(g822 +VSafe_ports +p1189 +tp1190 +a(g835 +g964 +tp1191 +a(g740 +Vport +p1192 +tp1193 +a(g835 +g964 +tp1194 +a(g27 +V777 +p1195 +tp1196 +a(g835 +V +p1197 +tp1198 +a(g7 +g956 +tp1199 +a(g7 +V multiling http +p1200 +tp1201 +a(g835 +V\u000a +p1202 +tp1203 +a(g740 +Vacl +p1204 +tp1205 +a(g835 +g964 +tp1206 +a(g822 +VCONNECT +p1207 +tp1208 +a(g835 +g964 +tp1209 +a(g740 +Vmethod +p1210 +tp1211 +a(g835 +g964 +tp1212 +a(g822 +VCONNECT +p1213 +tp1214 +a(g835 +V\u000a +p1215 +tp1216 +a(g740 +Vhttp_access +p1217 +tp1218 +a(g835 +g964 +tp1219 +a(g561 +Vallow +p1220 +tp1221 +a(g835 +g964 +tp1222 +a(g822 +Vmanager +p1223 +tp1224 +a(g835 +g964 +tp1225 +a(g822 +Vlocalhost +p1226 +tp1227 +a(g835 +V\u000a +p1228 +tp1229 +a(g740 +Vhttp_access +p1230 +tp1231 +a(g835 +g964 +tp1232 +a(g561 +Vdeny +p1233 +tp1234 +a(g835 +g964 +tp1235 +a(g822 +Vmanager +p1236 +tp1237 +a(g835 +V\u000a +p1238 +tp1239 +a(g740 +Vhttp_access +p1240 +tp1241 +a(g835 +g964 +tp1242 +a(g561 +Vdeny +p1243 +tp1244 +a(g835 +g964 +tp1245 +a(g822 +V!Safe_ports +p1246 +tp1247 +a(g835 +V\u000a +p1248 +tp1249 +a(g740 +Vhttp_access +p1250 +tp1251 +a(g835 +g964 +tp1252 +a(g561 +Vdeny +p1253 +tp1254 +a(g835 +g964 +tp1255 +a(g822 +VCONNECT +p1256 +tp1257 +a(g835 +g964 +tp1258 +a(g822 +V!SSL_ports +p1259 +tp1260 +a(g835 +V\u000a +p1261 +tp1262 +a(g740 +Vhttp_access +p1263 +tp1264 +a(g835 +g964 +tp1265 +a(g561 +Vallow +p1266 +tp1267 +a(g835 +g964 +tp1268 +a(g822 +Vlocalhost +p1269 +tp1270 +a(g835 +V\u000a +p1271 +tp1272 +a(g740 +Vhttp_access +p1273 +tp1274 +a(g835 +g964 +tp1275 +a(g561 +Vdeny +p1276 +tp1277 +a(g835 +g964 +tp1278 +a(g561 +Vall +p1279 +tp1280 +a(g835 +V\u000a +p1281 +tp1282 +a(g740 +Vhttp_port +p1283 +tp1284 +a(g835 +g964 +tp1285 +a(g27 +V3128 +p1286 +tp1287 +a(g835 +V\u000a +p1288 +tp1289 +a(g740 +Vhierarchy_stoplist +p1290 +tp1291 +a(g835 +g964 +tp1292 +a(g822 +Vcgi-bin +p1293 +tp1294 +a(g835 +g964 +tp1295 +a(g822 +V? +p1296 +tp1297 +a(g835 +V\u000a +p1298 +tp1299 +a(g740 +Vcoredump_dir +p1300 +tp1301 +a(g835 +g964 +tp1302 +a(g822 +V/var/spool/squid3 +p1303 +tp1304 +a(g835 +V\u000a +p1305 +tp1306 +a(g740 +Vrefresh_pattern +p1307 +tp1308 +a(g835 +g964 +tp1309 +a(g822 +V^ftp: +p1310 +tp1311 +a(g835 +V +p1312 +tp1313 +a(g27 +V1440 +p1314 +tp1315 +a(g835 +g1125 +tp1316 +a(g27 +V20% +p1317 +tp1318 +a(g835 +g1125 +tp1319 +a(g27 +V10080 +p1320 +tp1321 +a(g835 +V\u000a +p1322 +tp1323 +a(g740 +Vrefresh_pattern +p1324 +tp1325 +a(g835 +g964 +tp1326 +a(g822 +V^gopher: +p1327 +tp1328 +a(g835 +g1125 +tp1329 +a(g27 +V1440 +p1330 +tp1331 +a(g835 +g1125 +tp1332 +a(g27 +V0% +p1333 +tp1334 +a(g835 +g1125 +tp1335 +a(g27 +V1440 +p1336 +tp1337 +a(g835 +V\u000a +p1338 +tp1339 +a(g740 +Vrefresh_pattern +p1340 +tp1341 +a(g835 +g964 +tp1342 +a(g822 +V-i +p1343 +tp1344 +a(g835 +g964 +tp1345 +a(g822 +V(/cgi-bin/|\u005c?) +p1346 +tp1347 +a(g835 +g964 +tp1348 +a(g27 +V0 +p1349 +tp1350 +a(g835 +g1125 +tp1351 +a(g27 +V0% +p1352 +tp1353 +a(g835 +g1125 +tp1354 +a(g27 +g1349 +tp1355 +a(g835 +V\u000a +p1356 +tp1357 +a(g740 +Vrefresh_pattern +p1358 +tp1359 +a(g835 +g964 +tp1360 +a(g822 +V. +p1361 +tp1362 +a(g835 +V +p1363 +tp1364 +a(g27 +g1349 +tp1365 +a(g835 +g1125 +tp1366 +a(g27 +V20% +p1367 +tp1368 +a(g835 +g1125 +tp1369 +a(g27 +V4320 +p1370 +tp1371 +a(g835 +V\u000a +p1372 +tp1373 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/string.jl b/tests/examplefiles/output/string.jl new file mode 100644 index 0000000..a636603 --- /dev/null +++ b/tests/examplefiles/output/string.jl @@ -0,0 +1,40824 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV## core string functions ## +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g822 +V\u000a +p960 +tp961 +a(g431 +Vlength +p962 +tp963 +a(g701 +V( +p964 +tp965 +a(g431 +Vs +p966 +tp967 +a(g408 +V:: +p968 +tp969 +a(g431 +VString +p970 +tp971 +a(g701 +V) +p972 +tp973 +a(g822 +V +p974 +tp975 +a(g408 +V= +p976 +tp977 +a(g822 +g974 +tp978 +a(g431 +Verror +p979 +tp980 +a(g701 +g964 +tp981 +a(g84 +V" +p982 +tp983 +a(g84 +Vy +p984 +tp985 +a(g84 +Vo +p986 +tp987 +a(g84 +Vu +p988 +tp989 +a(g84 +g974 +tp990 +a(g84 +Vm +p991 +tp992 +a(g84 +g988 +tp993 +a(g84 +g966 +tp994 +a(g84 +Vt +p995 +tp996 +a(g84 +g974 +tp997 +a(g84 +Vi +p998 +tp999 +a(g84 +g991 +tp1000 +a(g84 +Vp +p1001 +tp1002 +a(g84 +Vl +p1003 +tp1004 +a(g84 +Ve +p1005 +tp1006 +a(g84 +g991 +tp1007 +a(g84 +g1005 +tp1008 +a(g84 +Vn +p1009 +tp1010 +a(g84 +g995 +tp1011 +a(g84 +g974 +tp1012 +a(g84 +g1003 +tp1013 +a(g84 +g1005 +tp1014 +a(g84 +g1009 +tp1015 +a(g84 +Vg +p1016 +tp1017 +a(g84 +g995 +tp1018 +a(g84 +Vh +p1019 +tp1020 +a(g84 +g964 +tp1021 +a(g84 +g982 +tp1022 +a(g701 +V, +p1023 +tp1024 +a(g431 +Vtypeof +p1025 +tp1026 +a(g701 +g964 +tp1027 +a(g431 +g966 +tp1028 +a(g701 +g972 +tp1029 +a(g701 +g1023 +tp1030 +a(g84 +g982 +tp1031 +a(g84 +g972 +tp1032 +a(g84 +g982 +tp1033 +a(g701 +g972 +tp1034 +a(g822 +V\u000a +p1035 +tp1036 +a(g431 +Vnext +p1037 +tp1038 +a(g701 +g964 +tp1039 +a(g431 +g966 +tp1040 +a(g408 +V:: +p1041 +tp1042 +a(g431 +VString +p1043 +tp1044 +a(g701 +g1023 +tp1045 +a(g822 +g974 +tp1046 +a(g431 +g998 +tp1047 +a(g408 +V:: +p1048 +tp1049 +a(g744 +VInt +p1050 +tp1051 +a(g701 +g972 +tp1052 +a(g822 +g974 +tp1053 +a(g408 +g976 +tp1054 +a(g822 +g974 +tp1055 +a(g431 +Verror +p1056 +tp1057 +a(g701 +g964 +tp1058 +a(g84 +g982 +tp1059 +a(g84 +g984 +tp1060 +a(g84 +g986 +tp1061 +a(g84 +g988 +tp1062 +a(g84 +g974 +tp1063 +a(g84 +g991 +tp1064 +a(g84 +g988 +tp1065 +a(g84 +g966 +tp1066 +a(g84 +g995 +tp1067 +a(g84 +g974 +tp1068 +a(g84 +g998 +tp1069 +a(g84 +g991 +tp1070 +a(g84 +g1001 +tp1071 +a(g84 +g1003 +tp1072 +a(g84 +g1005 +tp1073 +a(g84 +g991 +tp1074 +a(g84 +g1005 +tp1075 +a(g84 +g1009 +tp1076 +a(g84 +g995 +tp1077 +a(g84 +g974 +tp1078 +a(g84 +g1009 +tp1079 +a(g84 +g1005 +tp1080 +a(g84 +Vx +p1081 +tp1082 +a(g84 +g995 +tp1083 +a(g84 +g964 +tp1084 +a(g84 +g982 +tp1085 +a(g701 +g1023 +tp1086 +a(g431 +Vtypeof +p1087 +tp1088 +a(g701 +g964 +tp1089 +a(g431 +g966 +tp1090 +a(g701 +g972 +tp1091 +a(g701 +g1023 +tp1092 +a(g84 +g982 +tp1093 +a(g84 +g1023 +tp1094 +a(g84 +VI +p1095 +tp1096 +a(g84 +g1009 +tp1097 +a(g84 +g995 +tp1098 +a(g84 +g972 +tp1099 +a(g84 +g982 +tp1100 +a(g701 +g972 +tp1101 +a(g822 +V\u000a +p1102 +tp1103 +a(g431 +Vnext +p1104 +tp1105 +a(g701 +g964 +tp1106 +a(g431 +g966 +tp1107 +a(g408 +V:: +p1108 +tp1109 +a(g744 +VDirectIndexString +p1110 +tp1111 +a(g701 +g1023 +tp1112 +a(g822 +g974 +tp1113 +a(g431 +g998 +tp1114 +a(g408 +V:: +p1115 +tp1116 +a(g744 +VInt +p1117 +tp1118 +a(g701 +g972 +tp1119 +a(g822 +g974 +tp1120 +a(g408 +g976 +tp1121 +a(g822 +g974 +tp1122 +a(g701 +g964 +tp1123 +a(g431 +g966 +tp1124 +a(g701 +V[ +p1125 +tp1126 +a(g431 +g998 +tp1127 +a(g701 +V] +p1128 +tp1129 +a(g701 +g1023 +tp1130 +a(g431 +g998 +tp1131 +a(g408 +V+ +p1132 +tp1133 +a(g32 +V1 +p1134 +tp1135 +a(g701 +g972 +tp1136 +a(g822 +V\u000a +p1137 +tp1138 +a(g431 +Vnext +p1139 +tp1140 +a(g701 +g964 +tp1141 +a(g431 +g966 +tp1142 +a(g408 +V:: +p1143 +tp1144 +a(g431 +VString +p1145 +tp1146 +a(g701 +g1023 +tp1147 +a(g822 +g974 +tp1148 +a(g431 +g998 +tp1149 +a(g408 +V:: +p1150 +tp1151 +a(g744 +VInteger +p1152 +tp1153 +a(g701 +g972 +tp1154 +a(g822 +g974 +tp1155 +a(g408 +g976 +tp1156 +a(g822 +g974 +tp1157 +a(g431 +Vnext +p1158 +tp1159 +a(g701 +g964 +tp1160 +a(g431 +g966 +tp1161 +a(g701 +g1023 +tp1162 +a(g431 +Vint +p1163 +tp1164 +a(g701 +g964 +tp1165 +a(g431 +g998 +tp1166 +a(g701 +g972 +tp1167 +a(g701 +g972 +tp1168 +a(g822 +V\u000a +p1169 +tp1170 +a(g822 +V\u000a +p1171 +tp1172 +a(g7 +V## generic supplied functions ## +p1173 +tp1174 +a(g822 +V\u000a +p1175 +tp1176 +a(g822 +V\u000a +p1177 +tp1178 +a(g431 +Vstart +p1179 +tp1180 +a(g701 +g964 +tp1181 +a(g431 +g966 +tp1182 +a(g408 +V:: +p1183 +tp1184 +a(g431 +VString +p1185 +tp1186 +a(g701 +g972 +tp1187 +a(g822 +g974 +tp1188 +a(g408 +g976 +tp1189 +a(g822 +g974 +tp1190 +a(g32 +g1134 +tp1191 +a(g822 +V\u000a +p1192 +tp1193 +a(g431 +Vdone +p1194 +tp1195 +a(g701 +g964 +tp1196 +a(g431 +g966 +tp1197 +a(g408 +V:: +p1198 +tp1199 +a(g431 +VString +p1200 +tp1201 +a(g701 +g1023 +tp1202 +a(g431 +g998 +tp1203 +a(g701 +g972 +tp1204 +a(g822 +g974 +tp1205 +a(g408 +g976 +tp1206 +a(g822 +g974 +tp1207 +a(g701 +g964 +tp1208 +a(g431 +g998 +tp1209 +a(g822 +g974 +tp1210 +a(g408 +V> +p1211 +tp1212 +a(g822 +g974 +tp1213 +a(g431 +Vlength +p1214 +tp1215 +a(g701 +g964 +tp1216 +a(g431 +g966 +tp1217 +a(g701 +g972 +tp1218 +a(g701 +g972 +tp1219 +a(g822 +V\u000a +p1220 +tp1221 +a(g431 +Visempty +p1222 +tp1223 +a(g701 +g964 +tp1224 +a(g431 +g966 +tp1225 +a(g408 +V:: +p1226 +tp1227 +a(g431 +VString +p1228 +tp1229 +a(g701 +g972 +tp1230 +a(g822 +g974 +tp1231 +a(g408 +g976 +tp1232 +a(g822 +g974 +tp1233 +a(g431 +Vdone +p1234 +tp1235 +a(g701 +g964 +tp1236 +a(g431 +g966 +tp1237 +a(g701 +g1023 +tp1238 +a(g431 +Vstart +p1239 +tp1240 +a(g701 +g964 +tp1241 +a(g431 +g966 +tp1242 +a(g701 +g972 +tp1243 +a(g701 +g972 +tp1244 +a(g822 +V\u000a +p1245 +tp1246 +a(g431 +Vref +p1247 +tp1248 +a(g701 +g964 +tp1249 +a(g431 +g966 +tp1250 +a(g408 +V:: +p1251 +tp1252 +a(g431 +VString +p1253 +tp1254 +a(g701 +g1023 +tp1255 +a(g822 +g974 +tp1256 +a(g431 +g998 +tp1257 +a(g408 +V:: +p1258 +tp1259 +a(g744 +VInt +p1260 +tp1261 +a(g701 +g972 +tp1262 +a(g822 +g974 +tp1263 +a(g408 +g976 +tp1264 +a(g822 +g974 +tp1265 +a(g431 +Vnext +p1266 +tp1267 +a(g701 +g964 +tp1268 +a(g431 +g966 +tp1269 +a(g701 +g1023 +tp1270 +a(g431 +g998 +tp1271 +a(g701 +g972 +tp1272 +a(g701 +g1125 +tp1273 +a(g32 +g1134 +tp1274 +a(g701 +g1128 +tp1275 +a(g822 +V\u000a +p1276 +tp1277 +a(g431 +Vref +p1278 +tp1279 +a(g701 +g964 +tp1280 +a(g431 +g966 +tp1281 +a(g408 +V:: +p1282 +tp1283 +a(g431 +VString +p1284 +tp1285 +a(g701 +g1023 +tp1286 +a(g822 +g974 +tp1287 +a(g431 +g998 +tp1288 +a(g408 +V:: +p1289 +tp1290 +a(g744 +VInteger +p1291 +tp1292 +a(g701 +g972 +tp1293 +a(g822 +g974 +tp1294 +a(g408 +g976 +tp1295 +a(g822 +g974 +tp1296 +a(g431 +g966 +tp1297 +a(g701 +g1125 +tp1298 +a(g431 +Vint +p1299 +tp1300 +a(g701 +g964 +tp1301 +a(g431 +g998 +tp1302 +a(g701 +g972 +tp1303 +a(g701 +g1128 +tp1304 +a(g822 +V\u000a +p1305 +tp1306 +a(g431 +Vref +p1307 +tp1308 +a(g701 +g964 +tp1309 +a(g431 +g966 +tp1310 +a(g408 +V:: +p1311 +tp1312 +a(g431 +VString +p1313 +tp1314 +a(g701 +g1023 +tp1315 +a(g822 +g974 +tp1316 +a(g431 +g1081 +tp1317 +a(g408 +V:: +p1318 +tp1319 +a(g744 +VReal +p1320 +tp1321 +a(g701 +g972 +tp1322 +a(g822 +g974 +tp1323 +a(g408 +g976 +tp1324 +a(g822 +g974 +tp1325 +a(g431 +g966 +tp1326 +a(g701 +g1125 +tp1327 +a(g431 +Viround +p1328 +tp1329 +a(g701 +g964 +tp1330 +a(g431 +g1081 +tp1331 +a(g701 +g972 +tp1332 +a(g701 +g1128 +tp1333 +a(g822 +V\u000a +p1334 +tp1335 +a(g431 +Vref +p1336 +tp1337 +a(g701 +V{ +p1338 +tp1339 +a(g431 +VT +p1340 +tp1341 +a(g408 +V<: +p1342 +tp1343 +a(g744 +VInteger +p1344 +tp1345 +a(g701 +V} +p1346 +tp1347 +a(g701 +g964 +tp1348 +a(g431 +g966 +tp1349 +a(g408 +V:: +p1350 +tp1351 +a(g431 +VString +p1352 +tp1353 +a(g701 +g1023 +tp1354 +a(g822 +g974 +tp1355 +a(g431 +Vr +p1356 +tp1357 +a(g408 +V:: +p1358 +tp1359 +a(g431 +VRange1 +p1360 +tp1361 +a(g701 +g1338 +tp1362 +a(g431 +g1340 +tp1363 +a(g701 +g1346 +tp1364 +a(g701 +g972 +tp1365 +a(g822 +g974 +tp1366 +a(g408 +g976 +tp1367 +a(g822 +g974 +tp1368 +a(g431 +g966 +tp1369 +a(g701 +g1125 +tp1370 +a(g431 +Vint +p1371 +tp1372 +a(g701 +g964 +tp1373 +a(g431 +Vfirst +p1374 +tp1375 +a(g701 +g964 +tp1376 +a(g431 +g1356 +tp1377 +a(g701 +g972 +tp1378 +a(g701 +g972 +tp1379 +a(g408 +V: +p1380 +tp1381 +a(g431 +Vint +p1382 +tp1383 +a(g701 +g964 +tp1384 +a(g431 +Vlast +p1385 +tp1386 +a(g701 +g964 +tp1387 +a(g431 +g1356 +tp1388 +a(g701 +g972 +tp1389 +a(g701 +g972 +tp1390 +a(g701 +g1128 +tp1391 +a(g822 +V\u000a +p1392 +tp1393 +a(g822 +V\u000a +p1394 +tp1395 +a(g431 +Vsymbol +p1396 +tp1397 +a(g701 +g964 +tp1398 +a(g431 +g966 +tp1399 +a(g408 +V:: +p1400 +tp1401 +a(g431 +VString +p1402 +tp1403 +a(g701 +g972 +tp1404 +a(g822 +g974 +tp1405 +a(g408 +g976 +tp1406 +a(g822 +g974 +tp1407 +a(g431 +Vsymbol +p1408 +tp1409 +a(g701 +g964 +tp1410 +a(g431 +Vcstring +p1411 +tp1412 +a(g701 +g964 +tp1413 +a(g431 +g966 +tp1414 +a(g701 +g972 +tp1415 +a(g701 +g972 +tp1416 +a(g822 +V\u000a +p1417 +tp1418 +a(g431 +Vstring +p1419 +tp1420 +a(g701 +g964 +tp1421 +a(g431 +g966 +tp1422 +a(g408 +V:: +p1423 +tp1424 +a(g431 +VString +p1425 +tp1426 +a(g701 +g972 +tp1427 +a(g822 +g974 +tp1428 +a(g408 +g976 +tp1429 +a(g822 +g974 +tp1430 +a(g431 +g966 +tp1431 +a(g822 +V\u000a +p1432 +tp1433 +a(g822 +V\u000a +p1434 +tp1435 +a(g431 +Vprint +p1436 +tp1437 +a(g701 +g964 +tp1438 +a(g431 +g966 +tp1439 +a(g408 +V:: +p1440 +tp1441 +a(g431 +VString +p1442 +tp1443 +a(g701 +g972 +tp1444 +a(g822 +g974 +tp1445 +a(g408 +g976 +tp1446 +a(g822 +g974 +tp1447 +a(g740 +Vfor +p1448 +tp1449 +a(g822 +g974 +tp1450 +a(g431 +Vc +p1451 +tp1452 +a(g408 +g976 +tp1453 +a(g431 +g966 +tp1454 +a(g701 +V; +p1455 +tp1456 +a(g822 +g974 +tp1457 +a(g431 +Vprint +p1458 +tp1459 +a(g701 +g964 +tp1460 +a(g431 +g1451 +tp1461 +a(g701 +g972 +tp1462 +a(g701 +g1455 +tp1463 +a(g822 +g974 +tp1464 +a(g740 +Vend +p1465 +tp1466 +a(g822 +V\u000a +p1467 +tp1468 +a(g431 +Vprint +p1469 +tp1470 +a(g701 +g964 +tp1471 +a(g431 +g1081 +tp1472 +a(g408 +V. +p1473 +tp1474 +a(g408 +g1473 +tp1475 +a(g408 +g1473 +tp1476 +a(g701 +g972 +tp1477 +a(g822 +g974 +tp1478 +a(g408 +g976 +tp1479 +a(g822 +g974 +tp1480 +a(g740 +Vfor +p1481 +tp1482 +a(g822 +g974 +tp1483 +a(g431 +g998 +tp1484 +a(g408 +g976 +tp1485 +a(g431 +g1081 +tp1486 +a(g701 +g1455 +tp1487 +a(g822 +g974 +tp1488 +a(g431 +Vprint +p1489 +tp1490 +a(g701 +g964 +tp1491 +a(g431 +g998 +tp1492 +a(g701 +g972 +tp1493 +a(g701 +g1455 +tp1494 +a(g822 +g974 +tp1495 +a(g740 +Vend +p1496 +tp1497 +a(g822 +V\u000a +p1498 +tp1499 +a(g431 +Vprintln +p1500 +tp1501 +a(g701 +g964 +tp1502 +a(g431 +Vargs +p1503 +tp1504 +a(g408 +g1473 +tp1505 +a(g408 +g1473 +tp1506 +a(g408 +g1473 +tp1507 +a(g701 +g972 +tp1508 +a(g822 +g974 +tp1509 +a(g408 +g976 +tp1510 +a(g822 +g974 +tp1511 +a(g431 +Vprint +p1512 +tp1513 +a(g701 +g964 +tp1514 +a(g431 +Vargs +p1515 +tp1516 +a(g408 +g1473 +tp1517 +a(g408 +g1473 +tp1518 +a(g408 +g1473 +tp1519 +a(g701 +g1023 +tp1520 +a(g822 +g974 +tp1521 +a(g88 +V'\u005cn' +p1522 +tp1523 +a(g701 +g972 +tp1524 +a(g822 +V\u000a +p1525 +tp1526 +a(g822 +V\u000a +p1527 +tp1528 +a(g431 +Vshow +p1529 +tp1530 +a(g701 +g964 +tp1531 +a(g431 +g966 +tp1532 +a(g408 +V:: +p1533 +tp1534 +a(g431 +VString +p1535 +tp1536 +a(g701 +g972 +tp1537 +a(g822 +g974 +tp1538 +a(g408 +g976 +tp1539 +a(g822 +g974 +tp1540 +a(g431 +Vprint_quoted +p1541 +tp1542 +a(g701 +g964 +tp1543 +a(g431 +g966 +tp1544 +a(g701 +g972 +tp1545 +a(g822 +V\u000a +p1546 +tp1547 +a(g822 +V\u000a +p1548 +tp1549 +a(g701 +g964 +tp1550 +a(g408 +V* +p1551 +tp1552 +a(g701 +g972 +tp1553 +a(g701 +g964 +tp1554 +a(g431 +g966 +tp1555 +a(g408 +V:: +p1556 +tp1557 +a(g431 +VString +p1558 +tp1559 +a(g408 +g1473 +tp1560 +a(g408 +g1473 +tp1561 +a(g408 +g1473 +tp1562 +a(g701 +g972 +tp1563 +a(g822 +g974 +tp1564 +a(g408 +g976 +tp1565 +a(g822 +g974 +tp1566 +a(g431 +Vstrcat +p1567 +tp1568 +a(g701 +g964 +tp1569 +a(g431 +g966 +tp1570 +a(g408 +g1473 +tp1571 +a(g408 +g1473 +tp1572 +a(g408 +g1473 +tp1573 +a(g701 +g972 +tp1574 +a(g822 +V\u000a +p1575 +tp1576 +a(g701 +g964 +tp1577 +a(g408 +V^ +p1578 +tp1579 +a(g701 +g972 +tp1580 +a(g701 +g964 +tp1581 +a(g431 +g966 +tp1582 +a(g408 +V:: +p1583 +tp1584 +a(g431 +VString +p1585 +tp1586 +a(g701 +g1023 +tp1587 +a(g822 +g974 +tp1588 +a(g431 +g1356 +tp1589 +a(g408 +V:: +p1590 +tp1591 +a(g744 +VInteger +p1592 +tp1593 +a(g701 +g972 +tp1594 +a(g822 +g974 +tp1595 +a(g408 +g976 +tp1596 +a(g822 +g974 +tp1597 +a(g431 +Vrepeat +p1598 +tp1599 +a(g701 +g964 +tp1600 +a(g431 +g966 +tp1601 +a(g701 +g1023 +tp1602 +a(g431 +g1356 +tp1603 +a(g701 +g972 +tp1604 +a(g822 +V\u000a +p1605 +tp1606 +a(g822 +V\u000a +p1607 +tp1608 +a(g431 +Vsize +p1609 +tp1610 +a(g701 +g964 +tp1611 +a(g431 +g966 +tp1612 +a(g408 +V:: +p1613 +tp1614 +a(g431 +VString +p1615 +tp1616 +a(g701 +g972 +tp1617 +a(g822 +g974 +tp1618 +a(g408 +g976 +tp1619 +a(g822 +g974 +tp1620 +a(g701 +g964 +tp1621 +a(g431 +Vlength +p1622 +tp1623 +a(g701 +g964 +tp1624 +a(g431 +g966 +tp1625 +a(g701 +g972 +tp1626 +a(g701 +g1023 +tp1627 +a(g701 +g972 +tp1628 +a(g822 +V\u000a +p1629 +tp1630 +a(g431 +Vsize +p1631 +tp1632 +a(g701 +g964 +tp1633 +a(g431 +g966 +tp1634 +a(g408 +V:: +p1635 +tp1636 +a(g431 +VString +p1637 +tp1638 +a(g701 +g1023 +tp1639 +a(g822 +g974 +tp1640 +a(g431 +Vd +p1641 +tp1642 +a(g408 +V:: +p1643 +tp1644 +a(g744 +VInteger +p1645 +tp1646 +a(g701 +g972 +tp1647 +a(g822 +g974 +tp1648 +a(g408 +g976 +tp1649 +a(g822 +g974 +tp1650 +a(g431 +g1641 +tp1651 +a(g408 +V== +p1652 +tp1653 +a(g32 +g1134 +tp1654 +a(g822 +g974 +tp1655 +a(g408 +V? +p1656 +tp1657 +a(g822 +g974 +tp1658 +a(g431 +Vlength +p1659 +tp1660 +a(g701 +g964 +tp1661 +a(g431 +g966 +tp1662 +a(g701 +g972 +tp1663 +a(g822 +g974 +tp1664 +a(g408 +g1380 +tp1665 +a(g822 +V\u000a +p1666 +tp1667 +a(g822 +V +p1668 +tp1669 +a(g431 +Verror +p1670 +tp1671 +a(g701 +g964 +tp1672 +a(g84 +g982 +tp1673 +a(g84 +g998 +tp1674 +a(g84 +g1009 +tp1675 +a(g84 +g974 +tp1676 +a(g84 +g966 +tp1677 +a(g84 +g998 +tp1678 +a(g84 +Vz +p1679 +tp1680 +a(g84 +g1005 +tp1681 +a(g84 +g1380 +tp1682 +a(g84 +g974 +tp1683 +a(g84 +g1641 +tp1684 +a(g84 +g998 +tp1685 +a(g84 +g991 +tp1686 +a(g84 +g1005 +tp1687 +a(g84 +g1009 +tp1688 +a(g84 +g966 +tp1689 +a(g84 +g998 +tp1690 +a(g84 +g986 +tp1691 +a(g84 +g1009 +tp1692 +a(g84 +g974 +tp1693 +a(g84 +g982 +tp1694 +a(g701 +g1023 +tp1695 +a(g431 +g1641 +tp1696 +a(g701 +g1023 +tp1697 +a(g84 +g982 +tp1698 +a(g84 +g974 +tp1699 +a(g84 +g986 +tp1700 +a(g84 +g988 +tp1701 +a(g84 +g995 +tp1702 +a(g84 +g974 +tp1703 +a(g84 +g986 +tp1704 +a(g84 +Vf +p1705 +tp1706 +a(g84 +g974 +tp1707 +a(g84 +g1356 +tp1708 +a(g84 +Va +p1709 +tp1710 +a(g84 +g1009 +tp1711 +a(g84 +g1016 +tp1712 +a(g84 +g1005 +tp1713 +a(g84 +g982 +tp1714 +a(g701 +g972 +tp1715 +a(g822 +V\u000a +p1716 +tp1717 +a(g822 +V\u000a +p1718 +tp1719 +a(g431 +Vstrlen +p1720 +tp1721 +a(g701 +g964 +tp1722 +a(g431 +g966 +tp1723 +a(g408 +V:: +p1724 +tp1725 +a(g744 +VDirectIndexString +p1726 +tp1727 +a(g701 +g972 +tp1728 +a(g822 +g974 +tp1729 +a(g408 +g976 +tp1730 +a(g822 +g974 +tp1731 +a(g431 +Vlength +p1732 +tp1733 +a(g701 +g964 +tp1734 +a(g431 +g966 +tp1735 +a(g701 +g972 +tp1736 +a(g822 +V\u000a +p1737 +tp1738 +a(g740 +Vfunction +p1739 +tp1740 +a(g822 +g974 +tp1741 +a(g431 +Vstrlen +p1742 +tp1743 +a(g701 +g964 +tp1744 +a(g431 +g966 +tp1745 +a(g408 +V:: +p1746 +tp1747 +a(g431 +VString +p1748 +tp1749 +a(g701 +g972 +tp1750 +a(g822 +V\u000a +p1751 +tp1752 +a(g822 +V +p1753 +tp1754 +a(g431 +g998 +tp1755 +a(g822 +g974 +tp1756 +a(g408 +g976 +tp1757 +a(g822 +g974 +tp1758 +a(g431 +Vstart +p1759 +tp1760 +a(g701 +g964 +tp1761 +a(g431 +g966 +tp1762 +a(g701 +g972 +tp1763 +a(g822 +V\u000a +p1764 +tp1765 +a(g822 +V +p1766 +tp1767 +a(g740 +Vif +p1768 +tp1769 +a(g822 +g974 +tp1770 +a(g431 +Vdone +p1771 +tp1772 +a(g701 +g964 +tp1773 +a(g431 +g966 +tp1774 +a(g701 +g1023 +tp1775 +a(g431 +g998 +tp1776 +a(g701 +g972 +tp1777 +a(g822 +V\u000a +p1778 +tp1779 +a(g822 +V +p1780 +tp1781 +a(g740 +Vreturn +p1782 +tp1783 +a(g822 +g974 +tp1784 +a(g32 +V0 +p1785 +tp1786 +a(g822 +V\u000a +p1787 +tp1788 +a(g822 +V +p1789 +tp1790 +a(g740 +Vend +p1791 +tp1792 +a(g822 +V\u000a +p1793 +tp1794 +a(g822 +V +p1795 +tp1796 +a(g431 +g1009 +tp1797 +a(g822 +g974 +tp1798 +a(g408 +g976 +tp1799 +a(g822 +g974 +tp1800 +a(g32 +g1134 +tp1801 +a(g822 +V\u000a +p1802 +tp1803 +a(g822 +V +p1804 +tp1805 +a(g740 +Vwhile +p1806 +tp1807 +a(g822 +g974 +tp1808 +a(g759 +Vtrue +p1809 +tp1810 +a(g822 +V\u000a +p1811 +tp1812 +a(g822 +V +p1813 +tp1814 +a(g431 +g1451 +tp1815 +a(g701 +g1023 +tp1816 +a(g822 +g974 +tp1817 +a(g431 +Vj +p1818 +tp1819 +a(g822 +g974 +tp1820 +a(g408 +g976 +tp1821 +a(g822 +g974 +tp1822 +a(g431 +Vnext +p1823 +tp1824 +a(g701 +g964 +tp1825 +a(g431 +g966 +tp1826 +a(g701 +g1023 +tp1827 +a(g431 +g998 +tp1828 +a(g701 +g972 +tp1829 +a(g822 +V\u000a +p1830 +tp1831 +a(g822 +V +p1832 +tp1833 +a(g740 +Vif +p1834 +tp1835 +a(g822 +g974 +tp1836 +a(g431 +Vdone +p1837 +tp1838 +a(g701 +g964 +tp1839 +a(g431 +g966 +tp1840 +a(g701 +g1023 +tp1841 +a(g431 +g1818 +tp1842 +a(g701 +g972 +tp1843 +a(g822 +V\u000a +p1844 +tp1845 +a(g822 +V +p1846 +tp1847 +a(g740 +Vreturn +p1848 +tp1849 +a(g822 +g974 +tp1850 +a(g431 +g1009 +tp1851 +a(g822 +V\u000a +p1852 +tp1853 +a(g822 +V +p1854 +tp1855 +a(g740 +Vend +p1856 +tp1857 +a(g822 +V\u000a +p1858 +tp1859 +a(g822 +V +p1860 +tp1861 +a(g431 +g1009 +tp1862 +a(g822 +g974 +tp1863 +a(g408 +V+= +p1864 +tp1865 +a(g822 +g974 +tp1866 +a(g32 +g1134 +tp1867 +a(g822 +V\u000a +p1868 +tp1869 +a(g822 +V +p1870 +tp1871 +a(g431 +g998 +tp1872 +a(g822 +g974 +tp1873 +a(g408 +g976 +tp1874 +a(g822 +g974 +tp1875 +a(g431 +g1818 +tp1876 +a(g822 +V\u000a +p1877 +tp1878 +a(g822 +V +p1879 +tp1880 +a(g740 +Vend +p1881 +tp1882 +a(g822 +V\u000a +p1883 +tp1884 +a(g740 +Vend +p1885 +tp1886 +a(g822 +V\u000a +p1887 +tp1888 +a(g822 +V\u000a +p1889 +tp1890 +a(g431 +Visvalid +p1891 +tp1892 +a(g701 +g964 +tp1893 +a(g431 +g966 +tp1894 +a(g408 +V:: +p1895 +tp1896 +a(g744 +VDirectIndexString +p1897 +tp1898 +a(g701 +g1023 +tp1899 +a(g822 +g974 +tp1900 +a(g431 +g998 +tp1901 +a(g408 +V:: +p1902 +tp1903 +a(g744 +VInteger +p1904 +tp1905 +a(g701 +g972 +tp1906 +a(g822 +g974 +tp1907 +a(g408 +g976 +tp1908 +a(g822 +g974 +tp1909 +a(g701 +g964 +tp1910 +a(g431 +Vstart +p1911 +tp1912 +a(g701 +g964 +tp1913 +a(g431 +g966 +tp1914 +a(g701 +g972 +tp1915 +a(g822 +g974 +tp1916 +a(g408 +V<= +p1917 +tp1918 +a(g822 +g974 +tp1919 +a(g431 +g998 +tp1920 +a(g822 +g974 +tp1921 +a(g408 +V<= +p1922 +tp1923 +a(g822 +g974 +tp1924 +a(g431 +Vlength +p1925 +tp1926 +a(g701 +g964 +tp1927 +a(g431 +g966 +tp1928 +a(g701 +g972 +tp1929 +a(g701 +g972 +tp1930 +a(g822 +V\u000a +p1931 +tp1932 +a(g740 +Vfunction +p1933 +tp1934 +a(g822 +g974 +tp1935 +a(g431 +Visvalid +p1936 +tp1937 +a(g701 +g964 +tp1938 +a(g431 +g966 +tp1939 +a(g408 +V:: +p1940 +tp1941 +a(g431 +VString +p1942 +tp1943 +a(g701 +g1023 +tp1944 +a(g822 +g974 +tp1945 +a(g431 +g998 +tp1946 +a(g408 +V:: +p1947 +tp1948 +a(g744 +VInteger +p1949 +tp1950 +a(g701 +g972 +tp1951 +a(g822 +V\u000a +p1952 +tp1953 +a(g822 +V +p1954 +tp1955 +a(g740 +Vtry +p1956 +tp1957 +a(g822 +V\u000a +p1958 +tp1959 +a(g822 +V +p1960 +tp1961 +a(g431 +Vnext +p1962 +tp1963 +a(g701 +g964 +tp1964 +a(g431 +g966 +tp1965 +a(g701 +g1023 +tp1966 +a(g431 +g998 +tp1967 +a(g701 +g972 +tp1968 +a(g822 +V\u000a +p1969 +tp1970 +a(g822 +V +p1971 +tp1972 +a(g759 +Vtrue +p1973 +tp1974 +a(g822 +V\u000a +p1975 +tp1976 +a(g822 +V +p1977 +tp1978 +a(g740 +Vcatch +p1979 +tp1980 +a(g822 +V\u000a +p1981 +tp1982 +a(g822 +V +p1983 +tp1984 +a(g759 +Vfalse +p1985 +tp1986 +a(g822 +V\u000a +p1987 +tp1988 +a(g822 +V +p1989 +tp1990 +a(g740 +Vend +p1991 +tp1992 +a(g822 +V\u000a +p1993 +tp1994 +a(g740 +Vend +p1995 +tp1996 +a(g822 +V\u000a +p1997 +tp1998 +a(g822 +V\u000a +p1999 +tp2000 +a(g431 +Vprevind +p2001 +tp2002 +a(g701 +g964 +tp2003 +a(g431 +g966 +tp2004 +a(g408 +V:: +p2005 +tp2006 +a(g744 +VDirectIndexString +p2007 +tp2008 +a(g701 +g1023 +tp2009 +a(g822 +g974 +tp2010 +a(g431 +g998 +tp2011 +a(g408 +V:: +p2012 +tp2013 +a(g744 +VInteger +p2014 +tp2015 +a(g701 +g972 +tp2016 +a(g822 +g974 +tp2017 +a(g408 +g976 +tp2018 +a(g822 +g974 +tp2019 +a(g431 +g998 +tp2020 +a(g408 +V- +p2021 +tp2022 +a(g32 +g1134 +tp2023 +a(g822 +V\u000a +p2024 +tp2025 +a(g431 +Vthisind +p2026 +tp2027 +a(g701 +g964 +tp2028 +a(g431 +g966 +tp2029 +a(g408 +V:: +p2030 +tp2031 +a(g744 +VDirectIndexString +p2032 +tp2033 +a(g701 +g1023 +tp2034 +a(g822 +g974 +tp2035 +a(g431 +g998 +tp2036 +a(g408 +V:: +p2037 +tp2038 +a(g744 +VInteger +p2039 +tp2040 +a(g701 +g972 +tp2041 +a(g822 +g974 +tp2042 +a(g408 +g976 +tp2043 +a(g822 +g974 +tp2044 +a(g431 +g998 +tp2045 +a(g822 +V\u000a +p2046 +tp2047 +a(g431 +Vnextind +p2048 +tp2049 +a(g701 +g964 +tp2050 +a(g431 +g966 +tp2051 +a(g408 +V:: +p2052 +tp2053 +a(g744 +VDirectIndexString +p2054 +tp2055 +a(g701 +g1023 +tp2056 +a(g822 +g974 +tp2057 +a(g431 +g998 +tp2058 +a(g408 +V:: +p2059 +tp2060 +a(g744 +VInteger +p2061 +tp2062 +a(g701 +g972 +tp2063 +a(g822 +g974 +tp2064 +a(g408 +g976 +tp2065 +a(g822 +g974 +tp2066 +a(g431 +g998 +tp2067 +a(g408 +g1132 +tp2068 +a(g32 +g1134 +tp2069 +a(g822 +V\u000a +p2070 +tp2071 +a(g822 +V\u000a +p2072 +tp2073 +a(g431 +Vprevind +p2074 +tp2075 +a(g701 +g964 +tp2076 +a(g431 +g966 +tp2077 +a(g408 +V:: +p2078 +tp2079 +a(g431 +VString +p2080 +tp2081 +a(g701 +g1023 +tp2082 +a(g822 +g974 +tp2083 +a(g431 +g998 +tp2084 +a(g408 +V:: +p2085 +tp2086 +a(g744 +VInteger +p2087 +tp2088 +a(g701 +g972 +tp2089 +a(g822 +g974 +tp2090 +a(g408 +g976 +tp2091 +a(g822 +g974 +tp2092 +a(g431 +Vthisind +p2093 +tp2094 +a(g701 +g964 +tp2095 +a(g431 +g966 +tp2096 +a(g701 +g1023 +tp2097 +a(g431 +Vthisind +p2098 +tp2099 +a(g701 +g964 +tp2100 +a(g431 +g966 +tp2101 +a(g701 +g1023 +tp2102 +a(g431 +g998 +tp2103 +a(g701 +g972 +tp2104 +a(g408 +g2021 +tp2105 +a(g32 +g1134 +tp2106 +a(g701 +g972 +tp2107 +a(g822 +V\u000a +p2108 +tp2109 +a(g822 +V\u000a +p2110 +tp2111 +a(g740 +Vfunction +p2112 +tp2113 +a(g822 +g974 +tp2114 +a(g431 +Vthisind +p2115 +tp2116 +a(g701 +g964 +tp2117 +a(g431 +g966 +tp2118 +a(g408 +V:: +p2119 +tp2120 +a(g431 +VString +p2121 +tp2122 +a(g701 +g1023 +tp2123 +a(g822 +g974 +tp2124 +a(g431 +g998 +tp2125 +a(g408 +V:: +p2126 +tp2127 +a(g744 +VInteger +p2128 +tp2129 +a(g701 +g972 +tp2130 +a(g822 +V\u000a +p2131 +tp2132 +a(g822 +V +p2133 +tp2134 +a(g740 +Vfor +p2135 +tp2136 +a(g822 +g974 +tp2137 +a(g431 +g1818 +tp2138 +a(g822 +g974 +tp2139 +a(g408 +g976 +tp2140 +a(g822 +g974 +tp2141 +a(g431 +g998 +tp2142 +a(g408 +g1380 +tp2143 +a(g408 +g2021 +tp2144 +a(g32 +g1134 +tp2145 +a(g408 +g1380 +tp2146 +a(g32 +g1134 +tp2147 +a(g822 +V\u000a +p2148 +tp2149 +a(g822 +V +p2150 +tp2151 +a(g740 +Vif +p2152 +tp2153 +a(g822 +g974 +tp2154 +a(g431 +Visvalid +p2155 +tp2156 +a(g701 +g964 +tp2157 +a(g431 +g966 +tp2158 +a(g701 +g1023 +tp2159 +a(g431 +g1818 +tp2160 +a(g701 +g972 +tp2161 +a(g822 +V\u000a +p2162 +tp2163 +a(g822 +V +p2164 +tp2165 +a(g740 +Vreturn +p2166 +tp2167 +a(g822 +g974 +tp2168 +a(g431 +g1818 +tp2169 +a(g822 +V\u000a +p2170 +tp2171 +a(g822 +V +p2172 +tp2173 +a(g740 +Vend +p2174 +tp2175 +a(g822 +V\u000a +p2176 +tp2177 +a(g822 +V +p2178 +tp2179 +a(g740 +Vend +p2180 +tp2181 +a(g822 +V\u000a +p2182 +tp2183 +a(g822 +V +p2184 +tp2185 +a(g740 +Vreturn +p2186 +tp2187 +a(g822 +g974 +tp2188 +a(g32 +g1785 +tp2189 +a(g822 +g974 +tp2190 +a(g7 +V# out of range +p2191 +tp2192 +a(g822 +V\u000a +p2193 +tp2194 +a(g740 +Vend +p2195 +tp2196 +a(g822 +V\u000a +p2197 +tp2198 +a(g822 +V\u000a +p2199 +tp2200 +a(g740 +Vfunction +p2201 +tp2202 +a(g822 +g974 +tp2203 +a(g431 +Vnextind +p2204 +tp2205 +a(g701 +g964 +tp2206 +a(g431 +g966 +tp2207 +a(g408 +V:: +p2208 +tp2209 +a(g431 +VString +p2210 +tp2211 +a(g701 +g1023 +tp2212 +a(g822 +g974 +tp2213 +a(g431 +g998 +tp2214 +a(g408 +V:: +p2215 +tp2216 +a(g744 +VInteger +p2217 +tp2218 +a(g701 +g972 +tp2219 +a(g822 +V\u000a +p2220 +tp2221 +a(g822 +V +p2222 +tp2223 +a(g740 +Vfor +p2224 +tp2225 +a(g822 +g974 +tp2226 +a(g431 +g1818 +tp2227 +a(g822 +g974 +tp2228 +a(g408 +g976 +tp2229 +a(g822 +g974 +tp2230 +a(g431 +g998 +tp2231 +a(g408 +g1132 +tp2232 +a(g32 +g1134 +tp2233 +a(g408 +g1380 +tp2234 +a(g431 +Vlength +p2235 +tp2236 +a(g701 +g964 +tp2237 +a(g431 +g966 +tp2238 +a(g701 +g972 +tp2239 +a(g822 +V\u000a +p2240 +tp2241 +a(g822 +V +p2242 +tp2243 +a(g740 +Vif +p2244 +tp2245 +a(g822 +g974 +tp2246 +a(g431 +Visvalid +p2247 +tp2248 +a(g701 +g964 +tp2249 +a(g431 +g966 +tp2250 +a(g701 +g1023 +tp2251 +a(g431 +g1818 +tp2252 +a(g701 +g972 +tp2253 +a(g822 +V\u000a +p2254 +tp2255 +a(g822 +V +p2256 +tp2257 +a(g740 +Vreturn +p2258 +tp2259 +a(g822 +g974 +tp2260 +a(g431 +g1818 +tp2261 +a(g822 +V\u000a +p2262 +tp2263 +a(g822 +V +p2264 +tp2265 +a(g740 +Vend +p2266 +tp2267 +a(g822 +V\u000a +p2268 +tp2269 +a(g822 +V +p2270 +tp2271 +a(g740 +Vend +p2272 +tp2273 +a(g822 +V\u000a +p2274 +tp2275 +a(g822 +V +p2276 +tp2277 +a(g431 +Vlength +p2278 +tp2279 +a(g701 +g964 +tp2280 +a(g431 +g966 +tp2281 +a(g701 +g972 +tp2282 +a(g408 +g1132 +tp2283 +a(g32 +g1134 +tp2284 +a(g822 +g974 +tp2285 +a(g7 +V# out of range +p2286 +tp2287 +a(g822 +V\u000a +p2288 +tp2289 +a(g740 +Vend +p2290 +tp2291 +a(g822 +V\u000a +p2292 +tp2293 +a(g822 +V\u000a +p2294 +tp2295 +a(g431 +Vind2chr +p2296 +tp2297 +a(g701 +g964 +tp2298 +a(g431 +g966 +tp2299 +a(g408 +V:: +p2300 +tp2301 +a(g744 +VDirectIndexString +p2302 +tp2303 +a(g701 +g1023 +tp2304 +a(g822 +g974 +tp2305 +a(g431 +g998 +tp2306 +a(g408 +V:: +p2307 +tp2308 +a(g744 +VInteger +p2309 +tp2310 +a(g701 +g972 +tp2311 +a(g822 +g974 +tp2312 +a(g408 +g976 +tp2313 +a(g822 +g974 +tp2314 +a(g431 +g998 +tp2315 +a(g822 +V\u000a +p2316 +tp2317 +a(g431 +Vchr2ind +p2318 +tp2319 +a(g701 +g964 +tp2320 +a(g431 +g966 +tp2321 +a(g408 +V:: +p2322 +tp2323 +a(g744 +VDirectIndexString +p2324 +tp2325 +a(g701 +g1023 +tp2326 +a(g822 +g974 +tp2327 +a(g431 +g998 +tp2328 +a(g408 +V:: +p2329 +tp2330 +a(g744 +VInteger +p2331 +tp2332 +a(g701 +g972 +tp2333 +a(g822 +g974 +tp2334 +a(g408 +g976 +tp2335 +a(g822 +g974 +tp2336 +a(g431 +g998 +tp2337 +a(g822 +V\u000a +p2338 +tp2339 +a(g822 +V\u000a +p2340 +tp2341 +a(g740 +Vfunction +p2342 +tp2343 +a(g822 +g974 +tp2344 +a(g431 +Vind2chr +p2345 +tp2346 +a(g701 +g964 +tp2347 +a(g431 +g966 +tp2348 +a(g408 +V:: +p2349 +tp2350 +a(g431 +VString +p2351 +tp2352 +a(g701 +g1023 +tp2353 +a(g822 +g974 +tp2354 +a(g431 +g998 +tp2355 +a(g408 +V:: +p2356 +tp2357 +a(g744 +VInteger +p2358 +tp2359 +a(g701 +g972 +tp2360 +a(g822 +V\u000a +p2361 +tp2362 +a(g822 +V +p2363 +tp2364 +a(g431 +g966 +tp2365 +a(g701 +g1125 +tp2366 +a(g431 +g998 +tp2367 +a(g701 +g1128 +tp2368 +a(g822 +g974 +tp2369 +a(g7 +V# throws error if invalid +p2370 +tp2371 +a(g822 +V\u000a +p2372 +tp2373 +a(g822 +V +p2374 +tp2375 +a(g431 +g1818 +tp2376 +a(g822 +g974 +tp2377 +a(g408 +g976 +tp2378 +a(g822 +g974 +tp2379 +a(g32 +g1134 +tp2380 +a(g822 +V\u000a +p2381 +tp2382 +a(g822 +V +p2383 +tp2384 +a(g431 +Vk +p2385 +tp2386 +a(g822 +g974 +tp2387 +a(g408 +g976 +tp2388 +a(g822 +g974 +tp2389 +a(g431 +Vstart +p2390 +tp2391 +a(g701 +g964 +tp2392 +a(g431 +g966 +tp2393 +a(g701 +g972 +tp2394 +a(g822 +V\u000a +p2395 +tp2396 +a(g822 +V +p2397 +tp2398 +a(g740 +Vwhile +p2399 +tp2400 +a(g822 +g974 +tp2401 +a(g759 +Vtrue +p2402 +tp2403 +a(g822 +V\u000a +p2404 +tp2405 +a(g822 +V +p2406 +tp2407 +a(g431 +g1451 +tp2408 +a(g701 +g1023 +tp2409 +a(g822 +g974 +tp2410 +a(g431 +g1003 +tp2411 +a(g822 +g974 +tp2412 +a(g408 +g976 +tp2413 +a(g822 +g974 +tp2414 +a(g431 +Vnext +p2415 +tp2416 +a(g701 +g964 +tp2417 +a(g431 +g966 +tp2418 +a(g701 +g1023 +tp2419 +a(g431 +g2385 +tp2420 +a(g701 +g972 +tp2421 +a(g822 +V\u000a +p2422 +tp2423 +a(g822 +V +p2424 +tp2425 +a(g740 +Vif +p2426 +tp2427 +a(g822 +g974 +tp2428 +a(g431 +g998 +tp2429 +a(g822 +g974 +tp2430 +a(g408 +V<= +p2431 +tp2432 +a(g822 +g974 +tp2433 +a(g431 +g2385 +tp2434 +a(g822 +V\u000a +p2435 +tp2436 +a(g822 +V +p2437 +tp2438 +a(g740 +Vreturn +p2439 +tp2440 +a(g822 +g974 +tp2441 +a(g431 +g1818 +tp2442 +a(g822 +V\u000a +p2443 +tp2444 +a(g822 +V +p2445 +tp2446 +a(g740 +Vend +p2447 +tp2448 +a(g822 +V\u000a +p2449 +tp2450 +a(g822 +V +p2451 +tp2452 +a(g431 +g1818 +tp2453 +a(g822 +g974 +tp2454 +a(g408 +V+= +p2455 +tp2456 +a(g822 +g974 +tp2457 +a(g32 +g1134 +tp2458 +a(g822 +V\u000a +p2459 +tp2460 +a(g822 +V +p2461 +tp2462 +a(g431 +g2385 +tp2463 +a(g822 +g974 +tp2464 +a(g408 +g976 +tp2465 +a(g822 +g974 +tp2466 +a(g431 +g1003 +tp2467 +a(g822 +V\u000a +p2468 +tp2469 +a(g822 +V +p2470 +tp2471 +a(g740 +Vend +p2472 +tp2473 +a(g822 +V\u000a +p2474 +tp2475 +a(g740 +Vend +p2476 +tp2477 +a(g822 +V\u000a +p2478 +tp2479 +a(g822 +V\u000a +p2480 +tp2481 +a(g740 +Vfunction +p2482 +tp2483 +a(g822 +g974 +tp2484 +a(g431 +Vchr2ind +p2485 +tp2486 +a(g701 +g964 +tp2487 +a(g431 +g966 +tp2488 +a(g408 +V:: +p2489 +tp2490 +a(g431 +VString +p2491 +tp2492 +a(g701 +g1023 +tp2493 +a(g822 +g974 +tp2494 +a(g431 +g998 +tp2495 +a(g408 +V:: +p2496 +tp2497 +a(g744 +VInteger +p2498 +tp2499 +a(g701 +g972 +tp2500 +a(g822 +V\u000a +p2501 +tp2502 +a(g822 +V +p2503 +tp2504 +a(g740 +Vif +p2505 +tp2506 +a(g822 +g974 +tp2507 +a(g431 +g998 +tp2508 +a(g822 +g974 +tp2509 +a(g408 +V< +p2510 +tp2511 +a(g822 +g974 +tp2512 +a(g32 +g1134 +tp2513 +a(g822 +V\u000a +p2514 +tp2515 +a(g822 +V +p2516 +tp2517 +a(g740 +Vreturn +p2518 +tp2519 +a(g822 +g974 +tp2520 +a(g431 +g998 +tp2521 +a(g822 +V\u000a +p2522 +tp2523 +a(g822 +V +p2524 +tp2525 +a(g740 +Vend +p2526 +tp2527 +a(g822 +V\u000a +p2528 +tp2529 +a(g822 +V +p2530 +tp2531 +a(g431 +g1818 +tp2532 +a(g822 +g974 +tp2533 +a(g408 +g976 +tp2534 +a(g822 +g974 +tp2535 +a(g32 +g1134 +tp2536 +a(g822 +V\u000a +p2537 +tp2538 +a(g822 +V +p2539 +tp2540 +a(g431 +g2385 +tp2541 +a(g822 +g974 +tp2542 +a(g408 +g976 +tp2543 +a(g822 +g974 +tp2544 +a(g431 +Vstart +p2545 +tp2546 +a(g701 +g964 +tp2547 +a(g431 +g966 +tp2548 +a(g701 +g972 +tp2549 +a(g822 +V\u000a +p2550 +tp2551 +a(g822 +V +p2552 +tp2553 +a(g740 +Vwhile +p2554 +tp2555 +a(g822 +g974 +tp2556 +a(g759 +Vtrue +p2557 +tp2558 +a(g822 +V\u000a +p2559 +tp2560 +a(g822 +V +p2561 +tp2562 +a(g431 +g1451 +tp2563 +a(g701 +g1023 +tp2564 +a(g822 +g974 +tp2565 +a(g431 +g1003 +tp2566 +a(g822 +g974 +tp2567 +a(g408 +g976 +tp2568 +a(g822 +g974 +tp2569 +a(g431 +Vnext +p2570 +tp2571 +a(g701 +g964 +tp2572 +a(g431 +g966 +tp2573 +a(g701 +g1023 +tp2574 +a(g431 +g2385 +tp2575 +a(g701 +g972 +tp2576 +a(g822 +V\u000a +p2577 +tp2578 +a(g822 +V +p2579 +tp2580 +a(g740 +Vif +p2581 +tp2582 +a(g822 +g974 +tp2583 +a(g431 +g998 +tp2584 +a(g822 +g974 +tp2585 +a(g408 +V== +p2586 +tp2587 +a(g822 +g974 +tp2588 +a(g431 +g1818 +tp2589 +a(g822 +V\u000a +p2590 +tp2591 +a(g822 +V +p2592 +tp2593 +a(g740 +Vreturn +p2594 +tp2595 +a(g822 +g974 +tp2596 +a(g431 +g2385 +tp2597 +a(g822 +V\u000a +p2598 +tp2599 +a(g822 +V +p2600 +tp2601 +a(g740 +Vend +p2602 +tp2603 +a(g822 +V\u000a +p2604 +tp2605 +a(g822 +V +p2606 +tp2607 +a(g431 +g1818 +tp2608 +a(g822 +g974 +tp2609 +a(g408 +V+= +p2610 +tp2611 +a(g822 +g974 +tp2612 +a(g32 +g1134 +tp2613 +a(g822 +V\u000a +p2614 +tp2615 +a(g822 +V +p2616 +tp2617 +a(g431 +g2385 +tp2618 +a(g822 +g974 +tp2619 +a(g408 +g976 +tp2620 +a(g822 +g974 +tp2621 +a(g431 +g1003 +tp2622 +a(g822 +V\u000a +p2623 +tp2624 +a(g822 +V +p2625 +tp2626 +a(g740 +Vend +p2627 +tp2628 +a(g822 +V\u000a +p2629 +tp2630 +a(g740 +Vend +p2631 +tp2632 +a(g822 +V\u000a +p2633 +tp2634 +a(g822 +V\u000a +p2635 +tp2636 +a(g740 +Vfunction +p2637 +tp2638 +a(g822 +g974 +tp2639 +a(g431 +Vstrchr +p2640 +tp2641 +a(g701 +g964 +tp2642 +a(g431 +g966 +tp2643 +a(g408 +V:: +p2644 +tp2645 +a(g431 +VString +p2646 +tp2647 +a(g701 +g1023 +tp2648 +a(g822 +g974 +tp2649 +a(g431 +g1451 +tp2650 +a(g408 +V:: +p2651 +tp2652 +a(g744 +VChar +p2653 +tp2654 +a(g701 +g1023 +tp2655 +a(g822 +g974 +tp2656 +a(g431 +g998 +tp2657 +a(g408 +V:: +p2658 +tp2659 +a(g744 +VInteger +p2660 +tp2661 +a(g701 +g972 +tp2662 +a(g822 +V\u000a +p2663 +tp2664 +a(g822 +V +p2665 +tp2666 +a(g431 +g998 +tp2667 +a(g822 +g974 +tp2668 +a(g408 +g976 +tp2669 +a(g822 +g974 +tp2670 +a(g431 +Vnextind +p2671 +tp2672 +a(g701 +g964 +tp2673 +a(g431 +g966 +tp2674 +a(g701 +g1023 +tp2675 +a(g431 +g998 +tp2676 +a(g701 +g972 +tp2677 +a(g822 +V\u000a +p2678 +tp2679 +a(g822 +V +p2680 +tp2681 +a(g740 +Vwhile +p2682 +tp2683 +a(g822 +g974 +tp2684 +a(g408 +V! +p2685 +tp2686 +a(g431 +Vdone +p2687 +tp2688 +a(g701 +g964 +tp2689 +a(g431 +g966 +tp2690 +a(g701 +g1023 +tp2691 +a(g431 +g998 +tp2692 +a(g701 +g972 +tp2693 +a(g822 +V\u000a +p2694 +tp2695 +a(g822 +V +p2696 +tp2697 +a(g431 +g1641 +tp2698 +a(g701 +g1023 +tp2699 +a(g822 +g974 +tp2700 +a(g431 +g1818 +tp2701 +a(g822 +g974 +tp2702 +a(g408 +g976 +tp2703 +a(g822 +g974 +tp2704 +a(g431 +Vnext +p2705 +tp2706 +a(g701 +g964 +tp2707 +a(g431 +g966 +tp2708 +a(g701 +g1023 +tp2709 +a(g431 +g998 +tp2710 +a(g701 +g972 +tp2711 +a(g822 +V\u000a +p2712 +tp2713 +a(g822 +V +p2714 +tp2715 +a(g740 +Vif +p2716 +tp2717 +a(g822 +g974 +tp2718 +a(g431 +g1451 +tp2719 +a(g822 +g974 +tp2720 +a(g408 +V== +p2721 +tp2722 +a(g822 +g974 +tp2723 +a(g431 +g1641 +tp2724 +a(g822 +V\u000a +p2725 +tp2726 +a(g822 +V +p2727 +tp2728 +a(g740 +Vreturn +p2729 +tp2730 +a(g822 +g974 +tp2731 +a(g431 +g998 +tp2732 +a(g822 +V\u000a +p2733 +tp2734 +a(g822 +V +p2735 +tp2736 +a(g740 +Vend +p2737 +tp2738 +a(g822 +V\u000a +p2739 +tp2740 +a(g822 +V +p2741 +tp2742 +a(g431 +g998 +tp2743 +a(g822 +g974 +tp2744 +a(g408 +g976 +tp2745 +a(g822 +g974 +tp2746 +a(g431 +g1818 +tp2747 +a(g822 +V\u000a +p2748 +tp2749 +a(g822 +V +p2750 +tp2751 +a(g740 +Vend +p2752 +tp2753 +a(g822 +V\u000a +p2754 +tp2755 +a(g822 +V +p2756 +tp2757 +a(g740 +Vreturn +p2758 +tp2759 +a(g822 +g974 +tp2760 +a(g32 +g1785 +tp2761 +a(g822 +V\u000a +p2762 +tp2763 +a(g740 +Vend +p2764 +tp2765 +a(g822 +V\u000a +p2766 +tp2767 +a(g431 +Vstrchr +p2768 +tp2769 +a(g701 +g964 +tp2770 +a(g431 +g966 +tp2771 +a(g408 +V:: +p2772 +tp2773 +a(g431 +VString +p2774 +tp2775 +a(g701 +g1023 +tp2776 +a(g822 +g974 +tp2777 +a(g431 +g1451 +tp2778 +a(g408 +V:: +p2779 +tp2780 +a(g744 +VChar +p2781 +tp2782 +a(g701 +g972 +tp2783 +a(g822 +g974 +tp2784 +a(g408 +g976 +tp2785 +a(g822 +g974 +tp2786 +a(g431 +Vstrchr +p2787 +tp2788 +a(g701 +g964 +tp2789 +a(g431 +g966 +tp2790 +a(g701 +g1023 +tp2791 +a(g822 +g974 +tp2792 +a(g431 +g1451 +tp2793 +a(g701 +g1023 +tp2794 +a(g822 +g974 +tp2795 +a(g431 +Vstart +p2796 +tp2797 +a(g701 +g964 +tp2798 +a(g431 +g966 +tp2799 +a(g701 +g972 +tp2800 +a(g701 +g972 +tp2801 +a(g822 +V\u000a +p2802 +tp2803 +a(g431 +Vcontains +p2804 +tp2805 +a(g701 +g964 +tp2806 +a(g431 +g966 +tp2807 +a(g408 +V:: +p2808 +tp2809 +a(g431 +VString +p2810 +tp2811 +a(g701 +g1023 +tp2812 +a(g822 +g974 +tp2813 +a(g431 +g1451 +tp2814 +a(g408 +V:: +p2815 +tp2816 +a(g744 +VChar +p2817 +tp2818 +a(g701 +g972 +tp2819 +a(g822 +g974 +tp2820 +a(g408 +g976 +tp2821 +a(g822 +g974 +tp2822 +a(g701 +g964 +tp2823 +a(g431 +Vstrchr +p2824 +tp2825 +a(g701 +g964 +tp2826 +a(g431 +g966 +tp2827 +a(g701 +g1023 +tp2828 +a(g431 +g1451 +tp2829 +a(g701 +g972 +tp2830 +a(g408 +V!= +p2831 +tp2832 +a(g32 +g1785 +tp2833 +a(g701 +g972 +tp2834 +a(g822 +V\u000a +p2835 +tp2836 +a(g822 +V\u000a +p2837 +tp2838 +a(g740 +Vfunction +p2839 +tp2840 +a(g822 +g974 +tp2841 +a(g431 +Vchars +p2842 +tp2843 +a(g701 +g964 +tp2844 +a(g431 +g966 +tp2845 +a(g408 +V:: +p2846 +tp2847 +a(g431 +VString +p2848 +tp2849 +a(g701 +g972 +tp2850 +a(g822 +V\u000a +p2851 +tp2852 +a(g822 +V +p2853 +tp2854 +a(g431 +Vcx +p2855 +tp2856 +a(g822 +g974 +tp2857 +a(g408 +g976 +tp2858 +a(g822 +g974 +tp2859 +a(g744 +VArray +p2860 +tp2861 +a(g701 +g964 +tp2862 +a(g744 +VChar +p2863 +tp2864 +a(g701 +g1023 +tp2865 +a(g431 +Vstrlen +p2866 +tp2867 +a(g701 +g964 +tp2868 +a(g431 +g966 +tp2869 +a(g701 +g972 +tp2870 +a(g701 +g972 +tp2871 +a(g822 +V\u000a +p2872 +tp2873 +a(g822 +V +p2874 +tp2875 +a(g431 +g998 +tp2876 +a(g822 +g974 +tp2877 +a(g408 +g976 +tp2878 +a(g822 +g974 +tp2879 +a(g32 +g1785 +tp2880 +a(g822 +V\u000a +p2881 +tp2882 +a(g822 +V +p2883 +tp2884 +a(g740 +Vfor +p2885 +tp2886 +a(g822 +g974 +tp2887 +a(g431 +g1451 +tp2888 +a(g822 +g974 +tp2889 +a(g781 +Vin +p2890 +tp2891 +a(g822 +g974 +tp2892 +a(g431 +g966 +tp2893 +a(g822 +V\u000a +p2894 +tp2895 +a(g822 +V +p2896 +tp2897 +a(g431 +Vcx +p2898 +tp2899 +a(g701 +g1125 +tp2900 +a(g431 +g998 +tp2901 +a(g822 +g974 +tp2902 +a(g408 +V+= +p2903 +tp2904 +a(g822 +g974 +tp2905 +a(g32 +g1134 +tp2906 +a(g701 +g1128 +tp2907 +a(g822 +g974 +tp2908 +a(g408 +g976 +tp2909 +a(g822 +g974 +tp2910 +a(g431 +g1451 +tp2911 +a(g822 +V\u000a +p2912 +tp2913 +a(g822 +V +p2914 +tp2915 +a(g740 +Vend +p2916 +tp2917 +a(g822 +V\u000a +p2918 +tp2919 +a(g822 +V +p2920 +tp2921 +a(g740 +Vreturn +p2922 +tp2923 +a(g822 +g974 +tp2924 +a(g431 +Vcx +p2925 +tp2926 +a(g822 +V\u000a +p2927 +tp2928 +a(g740 +Vend +p2929 +tp2930 +a(g822 +V\u000a +p2931 +tp2932 +a(g822 +V\u000a +p2933 +tp2934 +a(g740 +Vfunction +p2935 +tp2936 +a(g822 +g974 +tp2937 +a(g431 +Vcmp +p2938 +tp2939 +a(g701 +g964 +tp2940 +a(g431 +g1709 +tp2941 +a(g408 +V:: +p2942 +tp2943 +a(g431 +VString +p2944 +tp2945 +a(g701 +g1023 +tp2946 +a(g822 +g974 +tp2947 +a(g431 +Vb +p2948 +tp2949 +a(g408 +V:: +p2950 +tp2951 +a(g431 +VString +p2952 +tp2953 +a(g701 +g972 +tp2954 +a(g822 +V\u000a +p2955 +tp2956 +a(g822 +V +p2957 +tp2958 +a(g431 +g998 +tp2959 +a(g822 +g974 +tp2960 +a(g408 +g976 +tp2961 +a(g822 +g974 +tp2962 +a(g431 +Vstart +p2963 +tp2964 +a(g701 +g964 +tp2965 +a(g431 +g1709 +tp2966 +a(g701 +g972 +tp2967 +a(g822 +V\u000a +p2968 +tp2969 +a(g822 +V +p2970 +tp2971 +a(g431 +g1818 +tp2972 +a(g822 +g974 +tp2973 +a(g408 +g976 +tp2974 +a(g822 +g974 +tp2975 +a(g431 +Vstart +p2976 +tp2977 +a(g701 +g964 +tp2978 +a(g431 +g2948 +tp2979 +a(g701 +g972 +tp2980 +a(g822 +V\u000a +p2981 +tp2982 +a(g822 +V +p2983 +tp2984 +a(g740 +Vwhile +p2985 +tp2986 +a(g822 +g974 +tp2987 +a(g408 +g2685 +tp2988 +a(g431 +Vdone +p2989 +tp2990 +a(g701 +g964 +tp2991 +a(g431 +g1709 +tp2992 +a(g701 +g1023 +tp2993 +a(g431 +g998 +tp2994 +a(g701 +g972 +tp2995 +a(g822 +g974 +tp2996 +a(g408 +V&& +p2997 +tp2998 +a(g822 +g974 +tp2999 +a(g408 +g2685 +tp3000 +a(g431 +Vdone +p3001 +tp3002 +a(g701 +g964 +tp3003 +a(g431 +g2948 +tp3004 +a(g701 +g1023 +tp3005 +a(g431 +g998 +tp3006 +a(g701 +g972 +tp3007 +a(g822 +V\u000a +p3008 +tp3009 +a(g822 +V +p3010 +tp3011 +a(g431 +g1451 +tp3012 +a(g701 +g1023 +tp3013 +a(g822 +g974 +tp3014 +a(g431 +g998 +tp3015 +a(g822 +g974 +tp3016 +a(g408 +g976 +tp3017 +a(g822 +g974 +tp3018 +a(g431 +Vnext +p3019 +tp3020 +a(g701 +g964 +tp3021 +a(g431 +g1709 +tp3022 +a(g701 +g1023 +tp3023 +a(g431 +g998 +tp3024 +a(g701 +g972 +tp3025 +a(g822 +V\u000a +p3026 +tp3027 +a(g822 +V +p3028 +tp3029 +a(g431 +g1641 +tp3030 +a(g701 +g1023 +tp3031 +a(g822 +g974 +tp3032 +a(g431 +g1818 +tp3033 +a(g822 +g974 +tp3034 +a(g408 +g976 +tp3035 +a(g822 +g974 +tp3036 +a(g431 +Vnext +p3037 +tp3038 +a(g701 +g964 +tp3039 +a(g431 +g2948 +tp3040 +a(g701 +g1023 +tp3041 +a(g431 +g1818 +tp3042 +a(g701 +g972 +tp3043 +a(g822 +V\u000a +p3044 +tp3045 +a(g822 +V +p3046 +tp3047 +a(g740 +Vif +p3048 +tp3049 +a(g822 +g974 +tp3050 +a(g431 +g1451 +tp3051 +a(g822 +g974 +tp3052 +a(g408 +V!= +p3053 +tp3054 +a(g822 +g974 +tp3055 +a(g431 +g1641 +tp3056 +a(g822 +V\u000a +p3057 +tp3058 +a(g822 +V +p3059 +tp3060 +a(g740 +Vreturn +p3061 +tp3062 +a(g822 +g974 +tp3063 +a(g431 +g1451 +tp3064 +a(g822 +g974 +tp3065 +a(g408 +g2510 +tp3066 +a(g822 +g974 +tp3067 +a(g431 +g1641 +tp3068 +a(g822 +g974 +tp3069 +a(g408 +g1656 +tp3070 +a(g822 +g974 +tp3071 +a(g408 +g2021 +tp3072 +a(g32 +g1134 +tp3073 +a(g822 +g974 +tp3074 +a(g408 +g1380 +tp3075 +a(g822 +g974 +tp3076 +a(g408 +g1132 +tp3077 +a(g32 +g1134 +tp3078 +a(g822 +V\u000a +p3079 +tp3080 +a(g822 +V +p3081 +tp3082 +a(g740 +Vend +p3083 +tp3084 +a(g822 +V\u000a +p3085 +tp3086 +a(g822 +V +p3087 +tp3088 +a(g740 +Vend +p3089 +tp3090 +a(g822 +V\u000a +p3091 +tp3092 +a(g822 +V +p3093 +tp3094 +a(g431 +Vdone +p3095 +tp3096 +a(g701 +g964 +tp3097 +a(g431 +g1709 +tp3098 +a(g701 +g1023 +tp3099 +a(g431 +g998 +tp3100 +a(g701 +g972 +tp3101 +a(g822 +g974 +tp3102 +a(g408 +V&& +p3103 +tp3104 +a(g822 +g974 +tp3105 +a(g408 +g2685 +tp3106 +a(g431 +Vdone +p3107 +tp3108 +a(g701 +g964 +tp3109 +a(g431 +g2948 +tp3110 +a(g701 +g1023 +tp3111 +a(g431 +g1818 +tp3112 +a(g701 +g972 +tp3113 +a(g822 +g974 +tp3114 +a(g408 +g1656 +tp3115 +a(g822 +g974 +tp3116 +a(g408 +g2021 +tp3117 +a(g32 +g1134 +tp3118 +a(g822 +g974 +tp3119 +a(g408 +g1380 +tp3120 +a(g822 +V\u000a +p3121 +tp3122 +a(g822 +V +p3123 +tp3124 +a(g408 +g2685 +tp3125 +a(g431 +Vdone +p3126 +tp3127 +a(g701 +g964 +tp3128 +a(g431 +g1709 +tp3129 +a(g701 +g1023 +tp3130 +a(g431 +g998 +tp3131 +a(g701 +g972 +tp3132 +a(g822 +g974 +tp3133 +a(g408 +V&& +p3134 +tp3135 +a(g822 +g974 +tp3136 +a(g431 +Vdone +p3137 +tp3138 +a(g701 +g964 +tp3139 +a(g431 +g2948 +tp3140 +a(g701 +g1023 +tp3141 +a(g431 +g1818 +tp3142 +a(g701 +g972 +tp3143 +a(g822 +g974 +tp3144 +a(g408 +g1656 +tp3145 +a(g822 +g974 +tp3146 +a(g408 +g1132 +tp3147 +a(g32 +g1134 +tp3148 +a(g822 +g974 +tp3149 +a(g408 +g1380 +tp3150 +a(g822 +g974 +tp3151 +a(g32 +g1785 +tp3152 +a(g822 +V\u000a +p3153 +tp3154 +a(g740 +Vend +p3155 +tp3156 +a(g822 +V\u000a +p3157 +tp3158 +a(g822 +V\u000a +p3159 +tp3160 +a(g431 +Visequal +p3161 +tp3162 +a(g701 +g964 +tp3163 +a(g431 +g1709 +tp3164 +a(g408 +V:: +p3165 +tp3166 +a(g431 +VString +p3167 +tp3168 +a(g701 +g1023 +tp3169 +a(g822 +g974 +tp3170 +a(g431 +g2948 +tp3171 +a(g408 +V:: +p3172 +tp3173 +a(g431 +VString +p3174 +tp3175 +a(g701 +g972 +tp3176 +a(g822 +g974 +tp3177 +a(g408 +g976 +tp3178 +a(g822 +g974 +tp3179 +a(g431 +Vcmp +p3180 +tp3181 +a(g701 +g964 +tp3182 +a(g431 +g1709 +tp3183 +a(g701 +g1023 +tp3184 +a(g431 +g2948 +tp3185 +a(g701 +g972 +tp3186 +a(g822 +g974 +tp3187 +a(g408 +V== +p3188 +tp3189 +a(g822 +g974 +tp3190 +a(g32 +g1785 +tp3191 +a(g822 +V\u000a +p3192 +tp3193 +a(g431 +Visless +p3194 +tp3195 +a(g701 +g964 +tp3196 +a(g431 +g1709 +tp3197 +a(g408 +V:: +p3198 +tp3199 +a(g431 +VString +p3200 +tp3201 +a(g701 +g1023 +tp3202 +a(g822 +g974 +tp3203 +a(g431 +g2948 +tp3204 +a(g408 +V:: +p3205 +tp3206 +a(g431 +VString +p3207 +tp3208 +a(g701 +g972 +tp3209 +a(g822 +V +p3210 +tp3211 +a(g408 +g976 +tp3212 +a(g822 +g974 +tp3213 +a(g431 +Vcmp +p3214 +tp3215 +a(g701 +g964 +tp3216 +a(g431 +g1709 +tp3217 +a(g701 +g1023 +tp3218 +a(g431 +g2948 +tp3219 +a(g701 +g972 +tp3220 +a(g822 +g974 +tp3221 +a(g408 +g2510 +tp3222 +a(g822 +V +p3223 +tp3224 +a(g32 +g1785 +tp3225 +a(g822 +V\u000a +p3226 +tp3227 +a(g822 +V\u000a +p3228 +tp3229 +a(g7 +V# faster comparisons for byte strings +p3230 +tp3231 +a(g822 +V\u000a +p3232 +tp3233 +a(g822 +V\u000a +p3234 +tp3235 +a(g431 +Vcmp +p3236 +tp3237 +a(g701 +g964 +tp3238 +a(g431 +g1709 +tp3239 +a(g408 +V:: +p3240 +tp3241 +a(g431 +VByteString +p3242 +tp3243 +a(g701 +g1023 +tp3244 +a(g822 +g974 +tp3245 +a(g431 +g2948 +tp3246 +a(g408 +V:: +p3247 +tp3248 +a(g431 +VByteString +p3249 +tp3250 +a(g701 +g972 +tp3251 +a(g822 +V +p3252 +tp3253 +a(g408 +g976 +tp3254 +a(g822 +g974 +tp3255 +a(g431 +Vlexcmp +p3256 +tp3257 +a(g701 +g964 +tp3258 +a(g431 +g1709 +tp3259 +a(g408 +g1473 +tp3260 +a(g431 +Vdata +p3261 +tp3262 +a(g701 +g1023 +tp3263 +a(g822 +g974 +tp3264 +a(g431 +g2948 +tp3265 +a(g408 +g1473 +tp3266 +a(g431 +Vdata +p3267 +tp3268 +a(g701 +g972 +tp3269 +a(g822 +V\u000a +p3270 +tp3271 +a(g431 +Visequal +p3272 +tp3273 +a(g701 +g964 +tp3274 +a(g431 +g1709 +tp3275 +a(g408 +V:: +p3276 +tp3277 +a(g431 +VByteString +p3278 +tp3279 +a(g701 +g1023 +tp3280 +a(g822 +g974 +tp3281 +a(g431 +g2948 +tp3282 +a(g408 +V:: +p3283 +tp3284 +a(g431 +VByteString +p3285 +tp3286 +a(g701 +g972 +tp3287 +a(g822 +g974 +tp3288 +a(g408 +g976 +tp3289 +a(g822 +g974 +tp3290 +a(g431 +Vlength +p3291 +tp3292 +a(g701 +g964 +tp3293 +a(g431 +g1709 +tp3294 +a(g701 +g972 +tp3295 +a(g408 +V== +p3296 +tp3297 +a(g431 +Vlength +p3298 +tp3299 +a(g701 +g964 +tp3300 +a(g431 +g2948 +tp3301 +a(g701 +g972 +tp3302 +a(g822 +g974 +tp3303 +a(g408 +V&& +p3304 +tp3305 +a(g822 +g974 +tp3306 +a(g431 +Vcmp +p3307 +tp3308 +a(g701 +g964 +tp3309 +a(g431 +g1709 +tp3310 +a(g701 +g1023 +tp3311 +a(g431 +g2948 +tp3312 +a(g701 +g972 +tp3313 +a(g408 +V== +p3314 +tp3315 +a(g32 +g1785 +tp3316 +a(g822 +V\u000a +p3317 +tp3318 +a(g822 +V\u000a +p3319 +tp3320 +a(g7 +V## character column width function ## +p3321 +tp3322 +a(g822 +V\u000a +p3323 +tp3324 +a(g822 +V\u000a +p3325 +tp3326 +a(g431 +Vcharwidth +p3327 +tp3328 +a(g701 +g964 +tp3329 +a(g431 +g1451 +tp3330 +a(g408 +V:: +p3331 +tp3332 +a(g744 +VChar +p3333 +tp3334 +a(g701 +g972 +tp3335 +a(g822 +g974 +tp3336 +a(g408 +g976 +tp3337 +a(g822 +g974 +tp3338 +a(g431 +Vmax +p3339 +tp3340 +a(g701 +g964 +tp3341 +a(g32 +g1785 +tp3342 +a(g701 +g1023 +tp3343 +a(g431 +Vint +p3344 +tp3345 +a(g701 +g964 +tp3346 +a(g740 +Vccall +p3347 +tp3348 +a(g701 +g964 +tp3349 +a(g408 +g1380 +tp3350 +a(g431 +Vwcwidth +p3351 +tp3352 +a(g701 +g1023 +tp3353 +a(g822 +g974 +tp3354 +a(g744 +VInt32 +p3355 +tp3356 +a(g701 +g1023 +tp3357 +a(g822 +g974 +tp3358 +a(g701 +g964 +tp3359 +a(g744 +VChar +p3360 +tp3361 +a(g701 +g1023 +tp3362 +a(g701 +g972 +tp3363 +a(g701 +g1023 +tp3364 +a(g822 +g974 +tp3365 +a(g431 +g1451 +tp3366 +a(g701 +g972 +tp3367 +a(g701 +g972 +tp3368 +a(g701 +g972 +tp3369 +a(g822 +V\u000a +p3370 +tp3371 +a(g431 +Vstrwidth +p3372 +tp3373 +a(g701 +g964 +tp3374 +a(g431 +g966 +tp3375 +a(g408 +V:: +p3376 +tp3377 +a(g431 +VString +p3378 +tp3379 +a(g701 +g972 +tp3380 +a(g822 +g974 +tp3381 +a(g408 +g976 +tp3382 +a(g822 +g974 +tp3383 +a(g701 +g964 +tp3384 +a(g431 +Vw +p3385 +tp3386 +a(g408 +g976 +tp3387 +a(g32 +g1785 +tp3388 +a(g701 +g1455 +tp3389 +a(g822 +g974 +tp3390 +a(g740 +Vfor +p3391 +tp3392 +a(g822 +g974 +tp3393 +a(g431 +g1451 +tp3394 +a(g822 +g974 +tp3395 +a(g781 +Vin +p3396 +tp3397 +a(g822 +g974 +tp3398 +a(g431 +g966 +tp3399 +a(g701 +g1455 +tp3400 +a(g822 +g974 +tp3401 +a(g431 +g3385 +tp3402 +a(g822 +g974 +tp3403 +a(g408 +V+= +p3404 +tp3405 +a(g822 +g974 +tp3406 +a(g431 +Vcharwidth +p3407 +tp3408 +a(g701 +g964 +tp3409 +a(g431 +g1451 +tp3410 +a(g701 +g972 +tp3411 +a(g701 +g1455 +tp3412 +a(g822 +g974 +tp3413 +a(g740 +Vend +p3414 +tp3415 +a(g701 +g1455 +tp3416 +a(g822 +g974 +tp3417 +a(g431 +g3385 +tp3418 +a(g701 +g972 +tp3419 +a(g822 +V\u000a +p3420 +tp3421 +a(g431 +Vstrwidth +p3422 +tp3423 +a(g701 +g964 +tp3424 +a(g431 +g966 +tp3425 +a(g408 +V:: +p3426 +tp3427 +a(g431 +VByteString +p3428 +tp3429 +a(g701 +g972 +tp3430 +a(g822 +g974 +tp3431 +a(g408 +g976 +tp3432 +a(g822 +g974 +tp3433 +a(g740 +Vccall +p3434 +tp3435 +a(g701 +g964 +tp3436 +a(g408 +g1380 +tp3437 +a(g431 +Vu8_strwidth +p3438 +tp3439 +a(g701 +g1023 +tp3440 +a(g822 +g974 +tp3441 +a(g744 +VInt +p3442 +tp3443 +a(g701 +g1023 +tp3444 +a(g822 +g974 +tp3445 +a(g701 +g964 +tp3446 +a(g744 +VPtr +p3447 +tp3448 +a(g701 +g1338 +tp3449 +a(g431 +VUint8 +p3450 +tp3451 +a(g701 +g1346 +tp3452 +a(g701 +g1023 +tp3453 +a(g701 +g972 +tp3454 +a(g701 +g1023 +tp3455 +a(g822 +g974 +tp3456 +a(g431 +g966 +tp3457 +a(g408 +g1473 +tp3458 +a(g431 +Vdata +p3459 +tp3460 +a(g701 +g972 +tp3461 +a(g822 +V\u000a +p3462 +tp3463 +a(g7 +V# TODO: implement and use u8_strnwidth that takes a length argument +p3464 +tp3465 +a(g822 +V\u000a +p3466 +tp3467 +a(g822 +V\u000a +p3468 +tp3469 +a(g7 +V## generic string uses only length and next ## +p3470 +tp3471 +a(g822 +V\u000a +p3472 +tp3473 +a(g822 +V\u000a +p3474 +tp3475 +a(g740 +Vtype +p3476 +tp3477 +a(g822 +g974 +tp3478 +a(g431 +VGenericString +p3479 +tp3480 +a(g822 +g974 +tp3481 +a(g408 +V<: +p3482 +tp3483 +a(g822 +g974 +tp3484 +a(g431 +VString +p3485 +tp3486 +a(g822 +V\u000a +p3487 +tp3488 +a(g822 +V +p3489 +tp3490 +a(g431 +Vstring +p3491 +tp3492 +a(g408 +V:: +p3493 +tp3494 +a(g431 +VString +p3495 +tp3496 +a(g822 +V\u000a +p3497 +tp3498 +a(g740 +Vend +p3499 +tp3500 +a(g822 +V\u000a +p3501 +tp3502 +a(g822 +V\u000a +p3503 +tp3504 +a(g431 +Vlength +p3505 +tp3506 +a(g701 +g964 +tp3507 +a(g431 +g966 +tp3508 +a(g408 +V:: +p3509 +tp3510 +a(g431 +VGenericString +p3511 +tp3512 +a(g701 +g972 +tp3513 +a(g822 +g974 +tp3514 +a(g408 +g976 +tp3515 +a(g822 +g974 +tp3516 +a(g431 +Vlength +p3517 +tp3518 +a(g701 +g964 +tp3519 +a(g431 +g966 +tp3520 +a(g408 +g1473 +tp3521 +a(g431 +Vstring +p3522 +tp3523 +a(g701 +g972 +tp3524 +a(g822 +V\u000a +p3525 +tp3526 +a(g431 +Vnext +p3527 +tp3528 +a(g701 +g964 +tp3529 +a(g431 +g966 +tp3530 +a(g408 +V:: +p3531 +tp3532 +a(g431 +VGenericString +p3533 +tp3534 +a(g701 +g1023 +tp3535 +a(g822 +g974 +tp3536 +a(g431 +g998 +tp3537 +a(g408 +V:: +p3538 +tp3539 +a(g744 +VInt +p3540 +tp3541 +a(g701 +g972 +tp3542 +a(g822 +g974 +tp3543 +a(g408 +g976 +tp3544 +a(g822 +g974 +tp3545 +a(g431 +Vnext +p3546 +tp3547 +a(g701 +g964 +tp3548 +a(g431 +g966 +tp3549 +a(g408 +g1473 +tp3550 +a(g431 +Vstring +p3551 +tp3552 +a(g701 +g1023 +tp3553 +a(g822 +g974 +tp3554 +a(g431 +g998 +tp3555 +a(g701 +g972 +tp3556 +a(g822 +V\u000a +p3557 +tp3558 +a(g822 +V\u000a +p3559 +tp3560 +a(g7 +V## plain old character arrays ## +p3561 +tp3562 +a(g822 +V\u000a +p3563 +tp3564 +a(g822 +V\u000a +p3565 +tp3566 +a(g740 +Vtype +p3567 +tp3568 +a(g822 +g974 +tp3569 +a(g431 +VCharString +p3570 +tp3571 +a(g822 +g974 +tp3572 +a(g408 +V<: +p3573 +tp3574 +a(g822 +g974 +tp3575 +a(g431 +VString +p3576 +tp3577 +a(g822 +V\u000a +p3578 +tp3579 +a(g822 +V +p3580 +tp3581 +a(g431 +Vchars +p3582 +tp3583 +a(g408 +V:: +p3584 +tp3585 +a(g744 +VArray +p3586 +tp3587 +a(g701 +g1338 +tp3588 +a(g744 +VChar +p3589 +tp3590 +a(g701 +g1023 +tp3591 +a(g32 +g1134 +tp3592 +a(g701 +g1346 +tp3593 +a(g822 +V\u000a +p3594 +tp3595 +a(g822 +V\u000a +p3596 +tp3597 +a(g822 +V +p3598 +tp3599 +a(g431 +VCharString +p3600 +tp3601 +a(g701 +g964 +tp3602 +a(g431 +g1709 +tp3603 +a(g408 +V:: +p3604 +tp3605 +a(g744 +VArray +p3606 +tp3607 +a(g701 +g1338 +tp3608 +a(g744 +VChar +p3609 +tp3610 +a(g701 +g1023 +tp3611 +a(g32 +g1134 +tp3612 +a(g701 +g1346 +tp3613 +a(g701 +g972 +tp3614 +a(g822 +g974 +tp3615 +a(g408 +g976 +tp3616 +a(g822 +g974 +tp3617 +a(g431 +Vnew +p3618 +tp3619 +a(g701 +g964 +tp3620 +a(g431 +g1709 +tp3621 +a(g701 +g972 +tp3622 +a(g822 +V\u000a +p3623 +tp3624 +a(g822 +V +p3625 +tp3626 +a(g431 +VCharString +p3627 +tp3628 +a(g701 +g964 +tp3629 +a(g431 +g1451 +tp3630 +a(g408 +V:: +p3631 +tp3632 +a(g744 +VChar +p3633 +tp3634 +a(g408 +g1473 +tp3635 +a(g408 +g1473 +tp3636 +a(g408 +g1473 +tp3637 +a(g701 +g972 +tp3638 +a(g822 +g974 +tp3639 +a(g408 +g976 +tp3640 +a(g822 +g974 +tp3641 +a(g431 +Vnew +p3642 +tp3643 +a(g701 +g964 +tp3644 +a(g701 +g1125 +tp3645 +a(g822 +g974 +tp3646 +a(g431 +g1451 +tp3647 +a(g701 +g1125 +tp3648 +a(g431 +g998 +tp3649 +a(g701 +g1128 +tp3650 +a(g822 +g974 +tp3651 +a(g408 +V| +p3652 +tp3653 +a(g822 +g974 +tp3654 +a(g431 +g998 +tp3655 +a(g408 +g976 +tp3656 +a(g32 +g1134 +tp3657 +a(g408 +g1380 +tp3658 +a(g431 +Vlength +p3659 +tp3660 +a(g701 +g964 +tp3661 +a(g431 +g1451 +tp3662 +a(g701 +g972 +tp3663 +a(g822 +g974 +tp3664 +a(g701 +g1128 +tp3665 +a(g701 +g972 +tp3666 +a(g822 +V\u000a +p3667 +tp3668 +a(g740 +Vend +p3669 +tp3670 +a(g822 +V\u000a +p3671 +tp3672 +a(g431 +VCharString +p3673 +tp3674 +a(g701 +g964 +tp3675 +a(g431 +g1081 +tp3676 +a(g408 +g1473 +tp3677 +a(g408 +g1473 +tp3678 +a(g408 +g1473 +tp3679 +a(g701 +g972 +tp3680 +a(g822 +g974 +tp3681 +a(g408 +g976 +tp3682 +a(g822 +g974 +tp3683 +a(g431 +VCharString +p3684 +tp3685 +a(g701 +g964 +tp3686 +a(g431 +Vmap +p3687 +tp3688 +a(g701 +g964 +tp3689 +a(g431 +Vchar +p3690 +tp3691 +a(g701 +g1023 +tp3692 +a(g431 +g1081 +tp3693 +a(g701 +g972 +tp3694 +a(g408 +g1473 +tp3695 +a(g408 +g1473 +tp3696 +a(g408 +g1473 +tp3697 +a(g701 +g972 +tp3698 +a(g822 +V\u000a +p3699 +tp3700 +a(g822 +V\u000a +p3701 +tp3702 +a(g431 +Vnext +p3703 +tp3704 +a(g701 +g964 +tp3705 +a(g431 +g966 +tp3706 +a(g408 +V:: +p3707 +tp3708 +a(g431 +VCharString +p3709 +tp3710 +a(g701 +g1023 +tp3711 +a(g822 +g974 +tp3712 +a(g431 +g998 +tp3713 +a(g408 +V:: +p3714 +tp3715 +a(g744 +VInt +p3716 +tp3717 +a(g701 +g972 +tp3718 +a(g822 +g974 +tp3719 +a(g408 +g976 +tp3720 +a(g822 +g974 +tp3721 +a(g701 +g964 +tp3722 +a(g431 +g966 +tp3723 +a(g408 +g1473 +tp3724 +a(g431 +Vchars +p3725 +tp3726 +a(g701 +g1125 +tp3727 +a(g431 +g998 +tp3728 +a(g701 +g1128 +tp3729 +a(g701 +g1023 +tp3730 +a(g822 +g974 +tp3731 +a(g431 +g998 +tp3732 +a(g408 +g1132 +tp3733 +a(g32 +g1134 +tp3734 +a(g701 +g972 +tp3735 +a(g822 +V\u000a +p3736 +tp3737 +a(g431 +Vlength +p3738 +tp3739 +a(g701 +g964 +tp3740 +a(g431 +g966 +tp3741 +a(g408 +V:: +p3742 +tp3743 +a(g431 +VCharString +p3744 +tp3745 +a(g701 +g972 +tp3746 +a(g822 +g974 +tp3747 +a(g408 +g976 +tp3748 +a(g822 +g974 +tp3749 +a(g431 +Vlength +p3750 +tp3751 +a(g701 +g964 +tp3752 +a(g431 +g966 +tp3753 +a(g408 +g1473 +tp3754 +a(g431 +Vchars +p3755 +tp3756 +a(g701 +g972 +tp3757 +a(g822 +V\u000a +p3758 +tp3759 +a(g431 +Vstrlen +p3760 +tp3761 +a(g701 +g964 +tp3762 +a(g431 +g966 +tp3763 +a(g408 +V:: +p3764 +tp3765 +a(g431 +VCharString +p3766 +tp3767 +a(g701 +g972 +tp3768 +a(g822 +g974 +tp3769 +a(g408 +g976 +tp3770 +a(g822 +g974 +tp3771 +a(g431 +Vlength +p3772 +tp3773 +a(g701 +g964 +tp3774 +a(g431 +g966 +tp3775 +a(g701 +g972 +tp3776 +a(g822 +V\u000a +p3777 +tp3778 +a(g822 +V\u000a +p3779 +tp3780 +a(g431 +Vstring +p3781 +tp3782 +a(g701 +g964 +tp3783 +a(g431 +g1451 +tp3784 +a(g408 +V:: +p3785 +tp3786 +a(g744 +VChar +p3787 +tp3788 +a(g701 +g972 +tp3789 +a(g822 +g974 +tp3790 +a(g408 +g976 +tp3791 +a(g822 +g974 +tp3792 +a(g431 +VCharString +p3793 +tp3794 +a(g701 +g964 +tp3795 +a(g431 +g1451 +tp3796 +a(g701 +g972 +tp3797 +a(g822 +V\u000a +p3798 +tp3799 +a(g431 +Vstring +p3800 +tp3801 +a(g701 +g964 +tp3802 +a(g431 +g1451 +tp3803 +a(g408 +V:: +p3804 +tp3805 +a(g744 +VChar +p3806 +tp3807 +a(g701 +g1023 +tp3808 +a(g822 +g974 +tp3809 +a(g431 +g1081 +tp3810 +a(g408 +V:: +p3811 +tp3812 +a(g744 +VChar +p3813 +tp3814 +a(g408 +g1473 +tp3815 +a(g408 +g1473 +tp3816 +a(g408 +g1473 +tp3817 +a(g701 +g972 +tp3818 +a(g822 +g974 +tp3819 +a(g408 +g976 +tp3820 +a(g822 +g974 +tp3821 +a(g431 +VCharString +p3822 +tp3823 +a(g701 +g964 +tp3824 +a(g431 +g1451 +tp3825 +a(g701 +g1023 +tp3826 +a(g822 +g974 +tp3827 +a(g431 +g1081 +tp3828 +a(g408 +g1473 +tp3829 +a(g408 +g1473 +tp3830 +a(g408 +g1473 +tp3831 +a(g701 +g972 +tp3832 +a(g822 +V\u000a +p3833 +tp3834 +a(g822 +V\u000a +p3835 +tp3836 +a(g7 +V## substrings reference original strings ## +p3837 +tp3838 +a(g822 +V\u000a +p3839 +tp3840 +a(g822 +V\u000a +p3841 +tp3842 +a(g740 +Vtype +p3843 +tp3844 +a(g822 +g974 +tp3845 +a(g744 +VSubString +p3846 +tp3847 +a(g822 +g974 +tp3848 +a(g408 +V<: +p3849 +tp3850 +a(g822 +g974 +tp3851 +a(g431 +VString +p3852 +tp3853 +a(g822 +V\u000a +p3854 +tp3855 +a(g822 +V +p3856 +tp3857 +a(g431 +Vstring +p3858 +tp3859 +a(g408 +V:: +p3860 +tp3861 +a(g431 +VString +p3862 +tp3863 +a(g822 +V\u000a +p3864 +tp3865 +a(g822 +V +p3866 +tp3867 +a(g431 +Voffset +p3868 +tp3869 +a(g408 +V:: +p3870 +tp3871 +a(g744 +VInt +p3872 +tp3873 +a(g822 +V\u000a +p3874 +tp3875 +a(g822 +V +p3876 +tp3877 +a(g431 +Vlength +p3878 +tp3879 +a(g408 +V:: +p3880 +tp3881 +a(g744 +VInt +p3882 +tp3883 +a(g822 +V\u000a +p3884 +tp3885 +a(g822 +V\u000a +p3886 +tp3887 +a(g822 +V +p3888 +tp3889 +a(g744 +VSubString +p3890 +tp3891 +a(g701 +g964 +tp3892 +a(g431 +g966 +tp3893 +a(g408 +V:: +p3894 +tp3895 +a(g431 +VString +p3896 +tp3897 +a(g701 +g1023 +tp3898 +a(g822 +g974 +tp3899 +a(g431 +g998 +tp3900 +a(g408 +V:: +p3901 +tp3902 +a(g744 +VInt +p3903 +tp3904 +a(g701 +g1023 +tp3905 +a(g822 +g974 +tp3906 +a(g431 +g1818 +tp3907 +a(g408 +V:: +p3908 +tp3909 +a(g744 +VInt +p3910 +tp3911 +a(g701 +g972 +tp3912 +a(g822 +g974 +tp3913 +a(g408 +g976 +tp3914 +a(g822 +g974 +tp3915 +a(g431 +Vnew +p3916 +tp3917 +a(g701 +g964 +tp3918 +a(g431 +g966 +tp3919 +a(g701 +g1023 +tp3920 +a(g822 +g974 +tp3921 +a(g431 +g998 +tp3922 +a(g408 +g2021 +tp3923 +a(g32 +g1134 +tp3924 +a(g701 +g1023 +tp3925 +a(g822 +g974 +tp3926 +a(g431 +g1818 +tp3927 +a(g408 +g2021 +tp3928 +a(g431 +g998 +tp3929 +a(g408 +g1132 +tp3930 +a(g32 +g1134 +tp3931 +a(g701 +g972 +tp3932 +a(g822 +V\u000a +p3933 +tp3934 +a(g822 +V +p3935 +tp3936 +a(g744 +VSubString +p3937 +tp3938 +a(g701 +g964 +tp3939 +a(g431 +g966 +tp3940 +a(g408 +V:: +p3941 +tp3942 +a(g744 +VSubString +p3943 +tp3944 +a(g701 +g1023 +tp3945 +a(g822 +g974 +tp3946 +a(g431 +g998 +tp3947 +a(g408 +V:: +p3948 +tp3949 +a(g744 +VInt +p3950 +tp3951 +a(g701 +g1023 +tp3952 +a(g822 +g974 +tp3953 +a(g431 +g1818 +tp3954 +a(g408 +V:: +p3955 +tp3956 +a(g744 +VInt +p3957 +tp3958 +a(g701 +g972 +tp3959 +a(g822 +g974 +tp3960 +a(g408 +g976 +tp3961 +a(g822 +V\u000a +p3962 +tp3963 +a(g822 +V +p3964 +tp3965 +a(g431 +Vnew +p3966 +tp3967 +a(g701 +g964 +tp3968 +a(g431 +g966 +tp3969 +a(g408 +g1473 +tp3970 +a(g431 +Vstring +p3971 +tp3972 +a(g701 +g1023 +tp3973 +a(g822 +g974 +tp3974 +a(g431 +g998 +tp3975 +a(g408 +g2021 +tp3976 +a(g32 +g1134 +tp3977 +a(g408 +g1132 +tp3978 +a(g431 +g966 +tp3979 +a(g408 +g1473 +tp3980 +a(g431 +Voffset +p3981 +tp3982 +a(g701 +g1023 +tp3983 +a(g822 +g974 +tp3984 +a(g431 +g1818 +tp3985 +a(g408 +g2021 +tp3986 +a(g431 +g998 +tp3987 +a(g408 +g1132 +tp3988 +a(g32 +g1134 +tp3989 +a(g701 +g972 +tp3990 +a(g822 +V\u000a +p3991 +tp3992 +a(g740 +Vend +p3993 +tp3994 +a(g822 +V\u000a +p3995 +tp3996 +a(g744 +VSubString +p3997 +tp3998 +a(g701 +g964 +tp3999 +a(g431 +g966 +tp4000 +a(g408 +V:: +p4001 +tp4002 +a(g431 +VString +p4003 +tp4004 +a(g701 +g1023 +tp4005 +a(g822 +g974 +tp4006 +a(g431 +g998 +tp4007 +a(g408 +V:: +p4008 +tp4009 +a(g744 +VInteger +p4010 +tp4011 +a(g701 +g1023 +tp4012 +a(g822 +g974 +tp4013 +a(g431 +g1818 +tp4014 +a(g408 +V:: +p4015 +tp4016 +a(g744 +VInteger +p4017 +tp4018 +a(g701 +g972 +tp4019 +a(g822 +g974 +tp4020 +a(g408 +g976 +tp4021 +a(g822 +g974 +tp4022 +a(g744 +VSubString +p4023 +tp4024 +a(g701 +g964 +tp4025 +a(g431 +g966 +tp4026 +a(g701 +g1023 +tp4027 +a(g822 +g974 +tp4028 +a(g431 +Vint +p4029 +tp4030 +a(g701 +g964 +tp4031 +a(g431 +g998 +tp4032 +a(g701 +g972 +tp4033 +a(g701 +g1023 +tp4034 +a(g822 +g974 +tp4035 +a(g431 +Vint +p4036 +tp4037 +a(g701 +g964 +tp4038 +a(g431 +g1818 +tp4039 +a(g701 +g972 +tp4040 +a(g701 +g972 +tp4041 +a(g822 +V\u000a +p4042 +tp4043 +a(g822 +V\u000a +p4044 +tp4045 +a(g740 +Vfunction +p4046 +tp4047 +a(g822 +g974 +tp4048 +a(g431 +Vnext +p4049 +tp4050 +a(g701 +g964 +tp4051 +a(g431 +g966 +tp4052 +a(g408 +V:: +p4053 +tp4054 +a(g744 +VSubString +p4055 +tp4056 +a(g701 +g1023 +tp4057 +a(g822 +g974 +tp4058 +a(g431 +g998 +tp4059 +a(g408 +V:: +p4060 +tp4061 +a(g744 +VInt +p4062 +tp4063 +a(g701 +g972 +tp4064 +a(g822 +V\u000a +p4065 +tp4066 +a(g822 +V +p4067 +tp4068 +a(g740 +Vif +p4069 +tp4070 +a(g822 +g974 +tp4071 +a(g431 +g998 +tp4072 +a(g822 +g974 +tp4073 +a(g408 +g2510 +tp4074 +a(g822 +g974 +tp4075 +a(g32 +g1134 +tp4076 +a(g822 +g974 +tp4077 +a(g408 +V|| +p4078 +tp4079 +a(g822 +g974 +tp4080 +a(g431 +g998 +tp4081 +a(g822 +g974 +tp4082 +a(g408 +g1211 +tp4083 +a(g822 +g974 +tp4084 +a(g431 +g966 +tp4085 +a(g408 +g1473 +tp4086 +a(g431 +Vlength +p4087 +tp4088 +a(g822 +V\u000a +p4089 +tp4090 +a(g822 +V +p4091 +tp4092 +a(g431 +Verror +p4093 +tp4094 +a(g701 +g964 +tp4095 +a(g84 +g982 +tp4096 +a(g84 +g966 +tp4097 +a(g84 +g995 +tp4098 +a(g84 +g1356 +tp4099 +a(g84 +g998 +tp4100 +a(g84 +g1009 +tp4101 +a(g84 +g1016 +tp4102 +a(g84 +g974 +tp4103 +a(g84 +g998 +tp4104 +a(g84 +g1009 +tp4105 +a(g84 +g1641 +tp4106 +a(g84 +g1005 +tp4107 +a(g84 +g1081 +tp4108 +a(g84 +g974 +tp4109 +a(g84 +g986 +tp4110 +a(g84 +g988 +tp4111 +a(g84 +g995 +tp4112 +a(g84 +g974 +tp4113 +a(g84 +g986 +tp4114 +a(g84 +g1705 +tp4115 +a(g84 +g974 +tp4116 +a(g84 +g2948 +tp4117 +a(g84 +g986 +tp4118 +a(g84 +g988 +tp4119 +a(g84 +g1009 +tp4120 +a(g84 +g1641 +tp4121 +a(g84 +g966 +tp4122 +a(g84 +g982 +tp4123 +a(g701 +g972 +tp4124 +a(g822 +V\u000a +p4125 +tp4126 +a(g822 +V +p4127 +tp4128 +a(g740 +Vend +p4129 +tp4130 +a(g822 +V\u000a +p4131 +tp4132 +a(g822 +V +p4133 +tp4134 +a(g431 +g1451 +tp4135 +a(g701 +g1023 +tp4136 +a(g822 +g974 +tp4137 +a(g431 +g998 +tp4138 +a(g822 +g974 +tp4139 +a(g408 +g976 +tp4140 +a(g822 +g974 +tp4141 +a(g431 +Vnext +p4142 +tp4143 +a(g701 +g964 +tp4144 +a(g431 +g966 +tp4145 +a(g408 +g1473 +tp4146 +a(g431 +Vstring +p4147 +tp4148 +a(g701 +g1023 +tp4149 +a(g822 +g974 +tp4150 +a(g431 +g998 +tp4151 +a(g408 +g1132 +tp4152 +a(g431 +g966 +tp4153 +a(g408 +g1473 +tp4154 +a(g431 +Voffset +p4155 +tp4156 +a(g701 +g972 +tp4157 +a(g822 +V\u000a +p4158 +tp4159 +a(g822 +V +p4160 +tp4161 +a(g431 +g1451 +tp4162 +a(g701 +g1023 +tp4163 +a(g822 +g974 +tp4164 +a(g431 +g998 +tp4165 +a(g408 +g2021 +tp4166 +a(g431 +g966 +tp4167 +a(g408 +g1473 +tp4168 +a(g431 +Voffset +p4169 +tp4170 +a(g822 +V\u000a +p4171 +tp4172 +a(g740 +Vend +p4173 +tp4174 +a(g822 +V\u000a +p4175 +tp4176 +a(g822 +V\u000a +p4177 +tp4178 +a(g431 +Vlength +p4179 +tp4180 +a(g701 +g964 +tp4181 +a(g431 +g966 +tp4182 +a(g408 +V:: +p4183 +tp4184 +a(g744 +VSubString +p4185 +tp4186 +a(g701 +g972 +tp4187 +a(g822 +g974 +tp4188 +a(g408 +g976 +tp4189 +a(g822 +g974 +tp4190 +a(g431 +g966 +tp4191 +a(g408 +g1473 +tp4192 +a(g431 +Vlength +p4193 +tp4194 +a(g822 +V\u000a +p4195 +tp4196 +a(g7 +V# TODO: strlen(s::SubString) = ?? +p4197 +tp4198 +a(g822 +V\u000a +p4199 +tp4200 +a(g7 +V# default implementation will work but it's slow +p4201 +tp4202 +a(g822 +V\u000a +p4203 +tp4204 +a(g7 +V# can this be delegated efficiently somehow? +p4205 +tp4206 +a(g822 +V\u000a +p4207 +tp4208 +a(g7 +V# that may require additional string interfaces +p4209 +tp4210 +a(g822 +V\u000a +p4211 +tp4212 +a(g822 +V\u000a +p4213 +tp4214 +a(g740 +Vfunction +p4215 +tp4216 +a(g822 +g974 +tp4217 +a(g431 +Vref +p4218 +tp4219 +a(g701 +g964 +tp4220 +a(g431 +g966 +tp4221 +a(g408 +V:: +p4222 +tp4223 +a(g431 +VString +p4224 +tp4225 +a(g701 +g1023 +tp4226 +a(g822 +g974 +tp4227 +a(g431 +g1356 +tp4228 +a(g408 +V:: +p4229 +tp4230 +a(g431 +VRange1 +p4231 +tp4232 +a(g701 +g1338 +tp4233 +a(g744 +VInt +p4234 +tp4235 +a(g701 +g1346 +tp4236 +a(g701 +g972 +tp4237 +a(g822 +V\u000a +p4238 +tp4239 +a(g822 +V +p4240 +tp4241 +a(g740 +Vif +p4242 +tp4243 +a(g822 +g974 +tp4244 +a(g431 +Vfirst +p4245 +tp4246 +a(g701 +g964 +tp4247 +a(g431 +g1356 +tp4248 +a(g701 +g972 +tp4249 +a(g822 +g974 +tp4250 +a(g408 +g2510 +tp4251 +a(g822 +g974 +tp4252 +a(g32 +g1134 +tp4253 +a(g822 +g974 +tp4254 +a(g408 +V|| +p4255 +tp4256 +a(g822 +g974 +tp4257 +a(g431 +Vlength +p4258 +tp4259 +a(g701 +g964 +tp4260 +a(g431 +g966 +tp4261 +a(g701 +g972 +tp4262 +a(g822 +g974 +tp4263 +a(g408 +g2510 +tp4264 +a(g822 +g974 +tp4265 +a(g431 +Vlast +p4266 +tp4267 +a(g701 +g964 +tp4268 +a(g431 +g1356 +tp4269 +a(g701 +g972 +tp4270 +a(g822 +V\u000a +p4271 +tp4272 +a(g822 +V +p4273 +tp4274 +a(g431 +Verror +p4275 +tp4276 +a(g701 +g964 +tp4277 +a(g84 +g982 +tp4278 +a(g84 +g998 +tp4279 +a(g84 +g1009 +tp4280 +a(g84 +g974 +tp4281 +a(g84 +g966 +tp4282 +a(g84 +g988 +tp4283 +a(g84 +g2948 +tp4284 +a(g84 +g966 +tp4285 +a(g84 +g995 +tp4286 +a(g84 +g1356 +tp4287 +a(g84 +g998 +tp4288 +a(g84 +g1009 +tp4289 +a(g84 +g1016 +tp4290 +a(g84 +g974 +tp4291 +a(g84 +g966 +tp4292 +a(g84 +g1003 +tp4293 +a(g84 +g998 +tp4294 +a(g84 +g1451 +tp4295 +a(g84 +g1005 +tp4296 +a(g84 +g1380 +tp4297 +a(g84 +g974 +tp4298 +a(g84 +g998 +tp4299 +a(g84 +g1009 +tp4300 +a(g84 +g1641 +tp4301 +a(g84 +g1005 +tp4302 +a(g84 +g1081 +tp4303 +a(g84 +g974 +tp4304 +a(g84 +g986 +tp4305 +a(g84 +g988 +tp4306 +a(g84 +g995 +tp4307 +a(g84 +g974 +tp4308 +a(g84 +g986 +tp4309 +a(g84 +g1705 +tp4310 +a(g84 +g974 +tp4311 +a(g84 +g1356 +tp4312 +a(g84 +g1709 +tp4313 +a(g84 +g1009 +tp4314 +a(g84 +g1016 +tp4315 +a(g84 +g1005 +tp4316 +a(g84 +g982 +tp4317 +a(g701 +g972 +tp4318 +a(g822 +V\u000a +p4319 +tp4320 +a(g822 +V +p4321 +tp4322 +a(g740 +Vend +p4323 +tp4324 +a(g822 +V\u000a +p4325 +tp4326 +a(g822 +V +p4327 +tp4328 +a(g744 +VSubString +p4329 +tp4330 +a(g701 +g964 +tp4331 +a(g431 +g966 +tp4332 +a(g701 +g1023 +tp4333 +a(g822 +g974 +tp4334 +a(g431 +Vfirst +p4335 +tp4336 +a(g701 +g964 +tp4337 +a(g431 +g1356 +tp4338 +a(g701 +g972 +tp4339 +a(g701 +g1023 +tp4340 +a(g822 +g974 +tp4341 +a(g431 +Vlast +p4342 +tp4343 +a(g701 +g964 +tp4344 +a(g431 +g1356 +tp4345 +a(g701 +g972 +tp4346 +a(g701 +g972 +tp4347 +a(g822 +V\u000a +p4348 +tp4349 +a(g740 +Vend +p4350 +tp4351 +a(g822 +V\u000a +p4352 +tp4353 +a(g822 +V\u000a +p4354 +tp4355 +a(g7 +V## efficient representation of repeated strings ## +p4356 +tp4357 +a(g822 +V\u000a +p4358 +tp4359 +a(g822 +V\u000a +p4360 +tp4361 +a(g740 +Vtype +p4362 +tp4363 +a(g822 +g974 +tp4364 +a(g744 +VRepString +p4365 +tp4366 +a(g822 +g974 +tp4367 +a(g408 +V<: +p4368 +tp4369 +a(g822 +g974 +tp4370 +a(g431 +VString +p4371 +tp4372 +a(g822 +V\u000a +p4373 +tp4374 +a(g822 +V +p4375 +tp4376 +a(g431 +Vstring +p4377 +tp4378 +a(g408 +V:: +p4379 +tp4380 +a(g431 +VString +p4381 +tp4382 +a(g822 +V\u000a +p4383 +tp4384 +a(g822 +V +p4385 +tp4386 +a(g431 +Vrepeat +p4387 +tp4388 +a(g408 +V:: +p4389 +tp4390 +a(g744 +VInteger +p4391 +tp4392 +a(g822 +V\u000a +p4393 +tp4394 +a(g740 +Vend +p4395 +tp4396 +a(g822 +V\u000a +p4397 +tp4398 +a(g822 +V\u000a +p4399 +tp4400 +a(g431 +Vlength +p4401 +tp4402 +a(g701 +g964 +tp4403 +a(g431 +g966 +tp4404 +a(g408 +V:: +p4405 +tp4406 +a(g744 +VRepString +p4407 +tp4408 +a(g701 +g972 +tp4409 +a(g822 +g974 +tp4410 +a(g408 +g976 +tp4411 +a(g822 +g974 +tp4412 +a(g431 +Vlength +p4413 +tp4414 +a(g701 +g964 +tp4415 +a(g431 +g966 +tp4416 +a(g408 +g1473 +tp4417 +a(g431 +Vstring +p4418 +tp4419 +a(g701 +g972 +tp4420 +a(g408 +g1551 +tp4421 +a(g431 +g966 +tp4422 +a(g408 +g1473 +tp4423 +a(g431 +Vrepeat +p4424 +tp4425 +a(g822 +V\u000a +p4426 +tp4427 +a(g431 +Vstrlen +p4428 +tp4429 +a(g701 +g964 +tp4430 +a(g431 +g966 +tp4431 +a(g408 +V:: +p4432 +tp4433 +a(g744 +VRepString +p4434 +tp4435 +a(g701 +g972 +tp4436 +a(g822 +g974 +tp4437 +a(g408 +g976 +tp4438 +a(g822 +g974 +tp4439 +a(g431 +Vstrlen +p4440 +tp4441 +a(g701 +g964 +tp4442 +a(g431 +g966 +tp4443 +a(g408 +g1473 +tp4444 +a(g431 +Vstring +p4445 +tp4446 +a(g701 +g972 +tp4447 +a(g408 +g1551 +tp4448 +a(g431 +g966 +tp4449 +a(g408 +g1473 +tp4450 +a(g431 +Vrepeat +p4451 +tp4452 +a(g822 +V\u000a +p4453 +tp4454 +a(g822 +V\u000a +p4455 +tp4456 +a(g740 +Vfunction +p4457 +tp4458 +a(g822 +g974 +tp4459 +a(g431 +Vnext +p4460 +tp4461 +a(g701 +g964 +tp4462 +a(g431 +g966 +tp4463 +a(g408 +V:: +p4464 +tp4465 +a(g744 +VRepString +p4466 +tp4467 +a(g701 +g1023 +tp4468 +a(g822 +g974 +tp4469 +a(g431 +g998 +tp4470 +a(g408 +V:: +p4471 +tp4472 +a(g744 +VInt +p4473 +tp4474 +a(g701 +g972 +tp4475 +a(g822 +V\u000a +p4476 +tp4477 +a(g822 +V +p4478 +tp4479 +a(g740 +Vif +p4480 +tp4481 +a(g822 +g974 +tp4482 +a(g431 +g998 +tp4483 +a(g822 +g974 +tp4484 +a(g408 +g2510 +tp4485 +a(g822 +g974 +tp4486 +a(g32 +g1134 +tp4487 +a(g822 +g974 +tp4488 +a(g408 +V|| +p4489 +tp4490 +a(g822 +g974 +tp4491 +a(g431 +g998 +tp4492 +a(g822 +g974 +tp4493 +a(g408 +g1211 +tp4494 +a(g822 +g974 +tp4495 +a(g431 +Vlength +p4496 +tp4497 +a(g701 +g964 +tp4498 +a(g431 +g966 +tp4499 +a(g701 +g972 +tp4500 +a(g822 +V\u000a +p4501 +tp4502 +a(g822 +V +p4503 +tp4504 +a(g431 +Verror +p4505 +tp4506 +a(g701 +g964 +tp4507 +a(g84 +g982 +tp4508 +a(g84 +g966 +tp4509 +a(g84 +g995 +tp4510 +a(g84 +g1356 +tp4511 +a(g84 +g998 +tp4512 +a(g84 +g1009 +tp4513 +a(g84 +g1016 +tp4514 +a(g84 +g974 +tp4515 +a(g84 +g998 +tp4516 +a(g84 +g1009 +tp4517 +a(g84 +g1641 +tp4518 +a(g84 +g1005 +tp4519 +a(g84 +g1081 +tp4520 +a(g84 +g974 +tp4521 +a(g84 +g986 +tp4522 +a(g84 +g988 +tp4523 +a(g84 +g995 +tp4524 +a(g84 +g974 +tp4525 +a(g84 +g986 +tp4526 +a(g84 +g1705 +tp4527 +a(g84 +g974 +tp4528 +a(g84 +g2948 +tp4529 +a(g84 +g986 +tp4530 +a(g84 +g988 +tp4531 +a(g84 +g1009 +tp4532 +a(g84 +g1641 +tp4533 +a(g84 +g966 +tp4534 +a(g84 +g982 +tp4535 +a(g701 +g972 +tp4536 +a(g822 +V\u000a +p4537 +tp4538 +a(g822 +V +p4539 +tp4540 +a(g740 +Vend +p4541 +tp4542 +a(g822 +V\u000a +p4543 +tp4544 +a(g822 +V +p4545 +tp4546 +a(g431 +g1818 +tp4547 +a(g822 +g974 +tp4548 +a(g408 +g976 +tp4549 +a(g822 +g974 +tp4550 +a(g431 +Vmod1 +p4551 +tp4552 +a(g701 +g964 +tp4553 +a(g431 +g998 +tp4554 +a(g701 +g1023 +tp4555 +a(g431 +Vlength +p4556 +tp4557 +a(g701 +g964 +tp4558 +a(g431 +g966 +tp4559 +a(g408 +g1473 +tp4560 +a(g431 +Vstring +p4561 +tp4562 +a(g701 +g972 +tp4563 +a(g701 +g972 +tp4564 +a(g822 +V\u000a +p4565 +tp4566 +a(g822 +V +p4567 +tp4568 +a(g431 +g1451 +tp4569 +a(g701 +g1023 +tp4570 +a(g822 +g974 +tp4571 +a(g431 +g2385 +tp4572 +a(g822 +g974 +tp4573 +a(g408 +g976 +tp4574 +a(g822 +g974 +tp4575 +a(g431 +Vnext +p4576 +tp4577 +a(g701 +g964 +tp4578 +a(g431 +g966 +tp4579 +a(g408 +g1473 +tp4580 +a(g431 +Vstring +p4581 +tp4582 +a(g701 +g1023 +tp4583 +a(g822 +g974 +tp4584 +a(g431 +g1818 +tp4585 +a(g701 +g972 +tp4586 +a(g822 +V\u000a +p4587 +tp4588 +a(g822 +V +p4589 +tp4590 +a(g431 +g1451 +tp4591 +a(g701 +g1023 +tp4592 +a(g822 +g974 +tp4593 +a(g431 +g2385 +tp4594 +a(g408 +g2021 +tp4595 +a(g431 +g1818 +tp4596 +a(g408 +g1132 +tp4597 +a(g431 +g998 +tp4598 +a(g822 +V\u000a +p4599 +tp4600 +a(g740 +Vend +p4601 +tp4602 +a(g822 +V\u000a +p4603 +tp4604 +a(g822 +V\u000a +p4605 +tp4606 +a(g740 +Vfunction +p4607 +tp4608 +a(g822 +g974 +tp4609 +a(g431 +Vrepeat +p4610 +tp4611 +a(g701 +g964 +tp4612 +a(g431 +g966 +tp4613 +a(g408 +V:: +p4614 +tp4615 +a(g431 +VString +p4616 +tp4617 +a(g701 +g1023 +tp4618 +a(g822 +g974 +tp4619 +a(g431 +g1356 +tp4620 +a(g408 +V:: +p4621 +tp4622 +a(g744 +VInteger +p4623 +tp4624 +a(g701 +g972 +tp4625 +a(g822 +V\u000a +p4626 +tp4627 +a(g822 +V +p4628 +tp4629 +a(g431 +g1356 +tp4630 +a(g822 +g974 +tp4631 +a(g408 +g2510 +tp4632 +a(g822 +V +p4633 +tp4634 +a(g32 +g1785 +tp4635 +a(g822 +g974 +tp4636 +a(g408 +g1656 +tp4637 +a(g822 +g974 +tp4638 +a(g431 +Verror +p4639 +tp4640 +a(g701 +g964 +tp4641 +a(g84 +g982 +tp4642 +a(g84 +g1451 +tp4643 +a(g84 +g1709 +tp4644 +a(g84 +g1009 +tp4645 +a(g84 +V' +p4646 +tp4647 +a(g84 +g995 +tp4648 +a(g84 +g974 +tp4649 +a(g84 +g1356 +tp4650 +a(g84 +g1005 +tp4651 +a(g84 +g1001 +tp4652 +a(g84 +g1005 +tp4653 +a(g84 +g1709 +tp4654 +a(g84 +g995 +tp4655 +a(g84 +g974 +tp4656 +a(g84 +g1709 +tp4657 +a(g84 +g974 +tp4658 +a(g84 +g966 +tp4659 +a(g84 +g995 +tp4660 +a(g84 +g1356 +tp4661 +a(g84 +g998 +tp4662 +a(g84 +g1009 +tp4663 +a(g84 +g1016 +tp4664 +a(g84 +g974 +tp4665 +a(g84 +g982 +tp4666 +a(g701 +g1023 +tp4667 +a(g431 +g1356 +tp4668 +a(g701 +g1023 +tp4669 +a(g84 +g982 +tp4670 +a(g84 +g974 +tp4671 +a(g84 +g995 +tp4672 +a(g84 +g998 +tp4673 +a(g84 +g991 +tp4674 +a(g84 +g1005 +tp4675 +a(g84 +g966 +tp4676 +a(g84 +g982 +tp4677 +a(g701 +g972 +tp4678 +a(g822 +g974 +tp4679 +a(g408 +g1380 +tp4680 +a(g822 +V\u000a +p4681 +tp4682 +a(g822 +V +p4683 +tp4684 +a(g431 +g1356 +tp4685 +a(g822 +g974 +tp4686 +a(g408 +V== +p4687 +tp4688 +a(g822 +g974 +tp4689 +a(g32 +g1785 +tp4690 +a(g822 +g974 +tp4691 +a(g408 +g1656 +tp4692 +a(g822 +g974 +tp4693 +a(g84 +g982 +tp4694 +a(g84 +g982 +tp4695 +a(g822 +g974 +tp4696 +a(g408 +g1380 +tp4697 +a(g822 +V\u000a +p4698 +tp4699 +a(g822 +V +p4700 +tp4701 +a(g431 +g1356 +tp4702 +a(g822 +g974 +tp4703 +a(g408 +V== +p4704 +tp4705 +a(g822 +g974 +tp4706 +a(g32 +g1134 +tp4707 +a(g822 +g974 +tp4708 +a(g408 +g1656 +tp4709 +a(g822 +g974 +tp4710 +a(g431 +g966 +tp4711 +a(g822 +V +p4712 +tp4713 +a(g408 +g1380 +tp4714 +a(g822 +V\u000a +p4715 +tp4716 +a(g822 +V +p4717 +tp4718 +a(g744 +VRepString +p4719 +tp4720 +a(g701 +g964 +tp4721 +a(g431 +g966 +tp4722 +a(g701 +g1023 +tp4723 +a(g431 +g1356 +tp4724 +a(g701 +g972 +tp4725 +a(g822 +V\u000a +p4726 +tp4727 +a(g740 +Vend +p4728 +tp4729 +a(g822 +V\u000a +p4730 +tp4731 +a(g822 +V\u000a +p4732 +tp4733 +a(g7 +V## reversed strings without data movement ## +p4734 +tp4735 +a(g822 +V\u000a +p4736 +tp4737 +a(g822 +V\u000a +p4738 +tp4739 +a(g740 +Vtype +p4740 +tp4741 +a(g822 +g974 +tp4742 +a(g744 +VRevString +p4743 +tp4744 +a(g822 +g974 +tp4745 +a(g408 +V<: +p4746 +tp4747 +a(g822 +g974 +tp4748 +a(g431 +VString +p4749 +tp4750 +a(g822 +V\u000a +p4751 +tp4752 +a(g822 +V +p4753 +tp4754 +a(g431 +Vstring +p4755 +tp4756 +a(g408 +V:: +p4757 +tp4758 +a(g431 +VString +p4759 +tp4760 +a(g822 +V\u000a +p4761 +tp4762 +a(g740 +Vend +p4763 +tp4764 +a(g822 +V\u000a +p4765 +tp4766 +a(g822 +V\u000a +p4767 +tp4768 +a(g431 +Vlength +p4769 +tp4770 +a(g701 +g964 +tp4771 +a(g431 +g966 +tp4772 +a(g408 +V:: +p4773 +tp4774 +a(g744 +VRevString +p4775 +tp4776 +a(g701 +g972 +tp4777 +a(g822 +g974 +tp4778 +a(g408 +g976 +tp4779 +a(g822 +g974 +tp4780 +a(g431 +Vlength +p4781 +tp4782 +a(g701 +g964 +tp4783 +a(g431 +g966 +tp4784 +a(g408 +g1473 +tp4785 +a(g431 +Vstring +p4786 +tp4787 +a(g701 +g972 +tp4788 +a(g822 +V\u000a +p4789 +tp4790 +a(g431 +Vstrlen +p4791 +tp4792 +a(g701 +g964 +tp4793 +a(g431 +g966 +tp4794 +a(g408 +V:: +p4795 +tp4796 +a(g744 +VRevString +p4797 +tp4798 +a(g701 +g972 +tp4799 +a(g822 +g974 +tp4800 +a(g408 +g976 +tp4801 +a(g822 +g974 +tp4802 +a(g431 +Vstrlen +p4803 +tp4804 +a(g701 +g964 +tp4805 +a(g431 +g966 +tp4806 +a(g408 +g1473 +tp4807 +a(g431 +Vstring +p4808 +tp4809 +a(g701 +g972 +tp4810 +a(g822 +V\u000a +p4811 +tp4812 +a(g822 +V\u000a +p4813 +tp4814 +a(g431 +Vstart +p4815 +tp4816 +a(g701 +g964 +tp4817 +a(g431 +g966 +tp4818 +a(g408 +V:: +p4819 +tp4820 +a(g744 +VRevString +p4821 +tp4822 +a(g701 +g972 +tp4823 +a(g822 +g974 +tp4824 +a(g408 +g976 +tp4825 +a(g822 +g974 +tp4826 +a(g701 +g964 +tp4827 +a(g431 +g1009 +tp4828 +a(g408 +g976 +tp4829 +a(g431 +Vlength +p4830 +tp4831 +a(g701 +g964 +tp4832 +a(g431 +g966 +tp4833 +a(g701 +g972 +tp4834 +a(g701 +g1455 +tp4835 +a(g822 +g974 +tp4836 +a(g431 +g1009 +tp4837 +a(g408 +g2021 +tp4838 +a(g431 +Vthisind +p4839 +tp4840 +a(g701 +g964 +tp4841 +a(g431 +g966 +tp4842 +a(g408 +g1473 +tp4843 +a(g431 +Vstring +p4844 +tp4845 +a(g701 +g1023 +tp4846 +a(g431 +g1009 +tp4847 +a(g701 +g972 +tp4848 +a(g408 +g1132 +tp4849 +a(g32 +g1134 +tp4850 +a(g701 +g972 +tp4851 +a(g822 +V\u000a +p4852 +tp4853 +a(g740 +Vfunction +p4854 +tp4855 +a(g822 +g974 +tp4856 +a(g431 +Vnext +p4857 +tp4858 +a(g701 +g964 +tp4859 +a(g431 +g966 +tp4860 +a(g408 +V:: +p4861 +tp4862 +a(g744 +VRevString +p4863 +tp4864 +a(g701 +g1023 +tp4865 +a(g822 +g974 +tp4866 +a(g431 +g998 +tp4867 +a(g408 +V:: +p4868 +tp4869 +a(g744 +VInt +p4870 +tp4871 +a(g701 +g972 +tp4872 +a(g822 +V\u000a +p4873 +tp4874 +a(g822 +V +p4875 +tp4876 +a(g431 +g1009 +tp4877 +a(g822 +g974 +tp4878 +a(g408 +g976 +tp4879 +a(g822 +g974 +tp4880 +a(g431 +Vlength +p4881 +tp4882 +a(g701 +g964 +tp4883 +a(g431 +g966 +tp4884 +a(g701 +g972 +tp4885 +a(g701 +g1455 +tp4886 +a(g822 +g974 +tp4887 +a(g431 +g1818 +tp4888 +a(g822 +g974 +tp4889 +a(g408 +g976 +tp4890 +a(g822 +g974 +tp4891 +a(g431 +g1009 +tp4892 +a(g408 +g2021 +tp4893 +a(g431 +g998 +tp4894 +a(g408 +g1132 +tp4895 +a(g32 +g1134 +tp4896 +a(g822 +V\u000a +p4897 +tp4898 +a(g822 +V +p4899 +tp4900 +a(g701 +g964 +tp4901 +a(g431 +g966 +tp4902 +a(g408 +g1473 +tp4903 +a(g431 +Vstring +p4904 +tp4905 +a(g701 +g1125 +tp4906 +a(g431 +g1818 +tp4907 +a(g701 +g1128 +tp4908 +a(g701 +g1023 +tp4909 +a(g822 +g974 +tp4910 +a(g431 +g1009 +tp4911 +a(g408 +g2021 +tp4912 +a(g431 +Vthisind +p4913 +tp4914 +a(g701 +g964 +tp4915 +a(g431 +g966 +tp4916 +a(g408 +g1473 +tp4917 +a(g431 +Vstring +p4918 +tp4919 +a(g701 +g1023 +tp4920 +a(g431 +g1818 +tp4921 +a(g408 +g2021 +tp4922 +a(g32 +g1134 +tp4923 +a(g701 +g972 +tp4924 +a(g408 +g1132 +tp4925 +a(g32 +g1134 +tp4926 +a(g701 +g972 +tp4927 +a(g822 +V\u000a +p4928 +tp4929 +a(g740 +Vend +p4930 +tp4931 +a(g822 +V\u000a +p4932 +tp4933 +a(g822 +V\u000a +p4934 +tp4935 +a(g431 +Vreverse +p4936 +tp4937 +a(g701 +g964 +tp4938 +a(g431 +g966 +tp4939 +a(g408 +V:: +p4940 +tp4941 +a(g431 +VString +p4942 +tp4943 +a(g701 +g972 +tp4944 +a(g822 +g974 +tp4945 +a(g408 +g976 +tp4946 +a(g822 +g974 +tp4947 +a(g744 +VRevString +p4948 +tp4949 +a(g701 +g964 +tp4950 +a(g431 +g966 +tp4951 +a(g701 +g972 +tp4952 +a(g822 +V\u000a +p4953 +tp4954 +a(g431 +Vreverse +p4955 +tp4956 +a(g701 +g964 +tp4957 +a(g431 +g966 +tp4958 +a(g408 +V:: +p4959 +tp4960 +a(g744 +VRevString +p4961 +tp4962 +a(g701 +g972 +tp4963 +a(g822 +g974 +tp4964 +a(g408 +g976 +tp4965 +a(g822 +g974 +tp4966 +a(g431 +g966 +tp4967 +a(g408 +g1473 +tp4968 +a(g431 +Vstring +p4969 +tp4970 +a(g822 +V\u000a +p4971 +tp4972 +a(g822 +V\u000a +p4973 +tp4974 +a(g7 +V## ropes for efficient concatenation, etc. ## +p4975 +tp4976 +a(g822 +V\u000a +p4977 +tp4978 +a(g822 +V\u000a +p4979 +tp4980 +a(g7 +V# Idea: instead of this standard binary tree structure, +p4981 +tp4982 +a(g822 +V\u000a +p4983 +tp4984 +a(g7 +V# how about we keep an array of substrings, with an +p4985 +tp4986 +a(g822 +V\u000a +p4987 +tp4988 +a(g7 +V# offset array. We can do binary search on the offset +p4989 +tp4990 +a(g822 +V\u000a +p4991 +tp4992 +a(g7 +V# array so we get O(log(n)) indexing time still, but we +p4993 +tp4994 +a(g822 +V\u000a +p4995 +tp4996 +a(g7 +V# can compute the offsets lazily and avoid all the +p4997 +tp4998 +a(g822 +V\u000a +p4999 +tp5000 +a(g7 +V# futzing around while the string is being constructed. +p5001 +tp5002 +a(g822 +V\u000a +p5003 +tp5004 +a(g822 +V\u000a +p5005 +tp5006 +a(g740 +Vtype +p5007 +tp5008 +a(g822 +g974 +tp5009 +a(g744 +VRopeString +p5010 +tp5011 +a(g822 +g974 +tp5012 +a(g408 +V<: +p5013 +tp5014 +a(g822 +g974 +tp5015 +a(g431 +VString +p5016 +tp5017 +a(g822 +V\u000a +p5018 +tp5019 +a(g822 +V +p5020 +tp5021 +a(g431 +Vhead +p5022 +tp5023 +a(g408 +V:: +p5024 +tp5025 +a(g431 +VString +p5026 +tp5027 +a(g822 +V\u000a +p5028 +tp5029 +a(g822 +V +p5030 +tp5031 +a(g431 +Vtail +p5032 +tp5033 +a(g408 +V:: +p5034 +tp5035 +a(g431 +VString +p5036 +tp5037 +a(g822 +V\u000a +p5038 +tp5039 +a(g822 +V +p5040 +tp5041 +a(g431 +Vdepth +p5042 +tp5043 +a(g408 +V:: +p5044 +tp5045 +a(g744 +VInt32 +p5046 +tp5047 +a(g822 +V\u000a +p5048 +tp5049 +a(g822 +V +p5050 +tp5051 +a(g431 +Vlength +p5052 +tp5053 +a(g408 +V:: +p5054 +tp5055 +a(g744 +VInt +p5056 +tp5057 +a(g822 +V\u000a +p5058 +tp5059 +a(g822 +V\u000a +p5060 +tp5061 +a(g822 +V +p5062 +tp5063 +a(g744 +VRopeString +p5064 +tp5065 +a(g701 +g964 +tp5066 +a(g431 +g1019 +tp5067 +a(g408 +V:: +p5068 +tp5069 +a(g744 +VRopeString +p5070 +tp5071 +a(g701 +g1023 +tp5072 +a(g822 +g974 +tp5073 +a(g431 +g995 +tp5074 +a(g408 +V:: +p5075 +tp5076 +a(g744 +VRopeString +p5077 +tp5078 +a(g701 +g972 +tp5079 +a(g822 +g974 +tp5080 +a(g408 +g976 +tp5081 +a(g822 +V\u000a +p5082 +tp5083 +a(g822 +V +p5084 +tp5085 +a(g431 +Vdepth +p5086 +tp5087 +a(g701 +g964 +tp5088 +a(g431 +g1019 +tp5089 +a(g408 +g1473 +tp5090 +a(g431 +Vtail +p5091 +tp5092 +a(g701 +g972 +tp5093 +a(g822 +g974 +tp5094 +a(g408 +g1132 +tp5095 +a(g822 +g974 +tp5096 +a(g431 +Vdepth +p5097 +tp5098 +a(g701 +g964 +tp5099 +a(g431 +g995 +tp5100 +a(g701 +g972 +tp5101 +a(g822 +g974 +tp5102 +a(g408 +g2510 +tp5103 +a(g822 +g974 +tp5104 +a(g431 +Vdepth +p5105 +tp5106 +a(g701 +g964 +tp5107 +a(g431 +g1019 +tp5108 +a(g408 +g1473 +tp5109 +a(g431 +Vhead +p5110 +tp5111 +a(g701 +g972 +tp5112 +a(g822 +g974 +tp5113 +a(g408 +g1656 +tp5114 +a(g822 +V\u000a +p5115 +tp5116 +a(g822 +V +p5117 +tp5118 +a(g744 +VRopeString +p5119 +tp5120 +a(g701 +g964 +tp5121 +a(g431 +g1019 +tp5122 +a(g408 +g1473 +tp5123 +a(g431 +Vhead +p5124 +tp5125 +a(g701 +g1023 +tp5126 +a(g822 +g974 +tp5127 +a(g744 +VRopeString +p5128 +tp5129 +a(g701 +g964 +tp5130 +a(g431 +g1019 +tp5131 +a(g408 +g1473 +tp5132 +a(g431 +Vtail +p5133 +tp5134 +a(g701 +g1023 +tp5135 +a(g822 +g974 +tp5136 +a(g431 +g995 +tp5137 +a(g701 +g972 +tp5138 +a(g701 +g972 +tp5139 +a(g822 +g974 +tp5140 +a(g408 +g1380 +tp5141 +a(g822 +V\u000a +p5142 +tp5143 +a(g822 +V +p5144 +tp5145 +a(g431 +Vnew +p5146 +tp5147 +a(g701 +g964 +tp5148 +a(g431 +g1019 +tp5149 +a(g701 +g1023 +tp5150 +a(g822 +g974 +tp5151 +a(g431 +g995 +tp5152 +a(g701 +g1023 +tp5153 +a(g822 +g974 +tp5154 +a(g431 +Vmax +p5155 +tp5156 +a(g701 +g964 +tp5157 +a(g431 +g1019 +tp5158 +a(g408 +g1473 +tp5159 +a(g431 +Vdepth +p5160 +tp5161 +a(g701 +g1023 +tp5162 +a(g431 +g995 +tp5163 +a(g408 +g1473 +tp5164 +a(g431 +Vdepth +p5165 +tp5166 +a(g701 +g972 +tp5167 +a(g408 +g1132 +tp5168 +a(g32 +g1134 +tp5169 +a(g701 +g1023 +tp5170 +a(g822 +g974 +tp5171 +a(g431 +Vlength +p5172 +tp5173 +a(g701 +g964 +tp5174 +a(g431 +g1019 +tp5175 +a(g701 +g972 +tp5176 +a(g408 +g1132 +tp5177 +a(g431 +Vlength +p5178 +tp5179 +a(g701 +g964 +tp5180 +a(g431 +g995 +tp5181 +a(g701 +g972 +tp5182 +a(g701 +g972 +tp5183 +a(g822 +V\u000a +p5184 +tp5185 +a(g822 +V\u000a +p5186 +tp5187 +a(g822 +V +p5188 +tp5189 +a(g744 +VRopeString +p5190 +tp5191 +a(g701 +g964 +tp5192 +a(g431 +g1019 +tp5193 +a(g408 +V:: +p5194 +tp5195 +a(g744 +VRopeString +p5196 +tp5197 +a(g701 +g1023 +tp5198 +a(g822 +g974 +tp5199 +a(g431 +g995 +tp5200 +a(g408 +V:: +p5201 +tp5202 +a(g431 +VString +p5203 +tp5204 +a(g701 +g972 +tp5205 +a(g822 +g974 +tp5206 +a(g408 +g976 +tp5207 +a(g822 +V\u000a +p5208 +tp5209 +a(g822 +V +p5210 +tp5211 +a(g431 +Vdepth +p5212 +tp5213 +a(g701 +g964 +tp5214 +a(g431 +g1019 +tp5215 +a(g408 +g1473 +tp5216 +a(g431 +Vtail +p5217 +tp5218 +a(g701 +g972 +tp5219 +a(g822 +g974 +tp5220 +a(g408 +g2510 +tp5221 +a(g822 +g974 +tp5222 +a(g431 +Vdepth +p5223 +tp5224 +a(g701 +g964 +tp5225 +a(g431 +g1019 +tp5226 +a(g408 +g1473 +tp5227 +a(g431 +Vhead +p5228 +tp5229 +a(g701 +g972 +tp5230 +a(g822 +g974 +tp5231 +a(g408 +g1656 +tp5232 +a(g822 +V\u000a +p5233 +tp5234 +a(g822 +V +p5235 +tp5236 +a(g744 +VRopeString +p5237 +tp5238 +a(g701 +g964 +tp5239 +a(g431 +g1019 +tp5240 +a(g408 +g1473 +tp5241 +a(g431 +Vhead +p5242 +tp5243 +a(g701 +g1023 +tp5244 +a(g822 +g974 +tp5245 +a(g744 +VRopeString +p5246 +tp5247 +a(g701 +g964 +tp5248 +a(g431 +g1019 +tp5249 +a(g408 +g1473 +tp5250 +a(g431 +Vtail +p5251 +tp5252 +a(g701 +g1023 +tp5253 +a(g822 +g974 +tp5254 +a(g431 +g995 +tp5255 +a(g701 +g972 +tp5256 +a(g701 +g972 +tp5257 +a(g822 +g974 +tp5258 +a(g408 +g1380 +tp5259 +a(g822 +V\u000a +p5260 +tp5261 +a(g822 +V +p5262 +tp5263 +a(g431 +Vnew +p5264 +tp5265 +a(g701 +g964 +tp5266 +a(g431 +g1019 +tp5267 +a(g701 +g1023 +tp5268 +a(g822 +g974 +tp5269 +a(g431 +g995 +tp5270 +a(g701 +g1023 +tp5271 +a(g822 +g974 +tp5272 +a(g431 +g1019 +tp5273 +a(g408 +g1473 +tp5274 +a(g431 +Vdepth +p5275 +tp5276 +a(g408 +g1132 +tp5277 +a(g32 +g1134 +tp5278 +a(g701 +g1023 +tp5279 +a(g822 +g974 +tp5280 +a(g431 +Vlength +p5281 +tp5282 +a(g701 +g964 +tp5283 +a(g431 +g1019 +tp5284 +a(g701 +g972 +tp5285 +a(g408 +g1132 +tp5286 +a(g431 +Vlength +p5287 +tp5288 +a(g701 +g964 +tp5289 +a(g431 +g995 +tp5290 +a(g701 +g972 +tp5291 +a(g701 +g972 +tp5292 +a(g822 +V\u000a +p5293 +tp5294 +a(g822 +V\u000a +p5295 +tp5296 +a(g822 +V +p5297 +tp5298 +a(g744 +VRopeString +p5299 +tp5300 +a(g701 +g964 +tp5301 +a(g431 +g1019 +tp5302 +a(g408 +V:: +p5303 +tp5304 +a(g431 +VString +p5305 +tp5306 +a(g701 +g1023 +tp5307 +a(g822 +g974 +tp5308 +a(g431 +g995 +tp5309 +a(g408 +V:: +p5310 +tp5311 +a(g744 +VRopeString +p5312 +tp5313 +a(g701 +g972 +tp5314 +a(g822 +g974 +tp5315 +a(g408 +g976 +tp5316 +a(g822 +V\u000a +p5317 +tp5318 +a(g822 +V +p5319 +tp5320 +a(g431 +Vdepth +p5321 +tp5322 +a(g701 +g964 +tp5323 +a(g431 +g995 +tp5324 +a(g408 +g1473 +tp5325 +a(g431 +Vhead +p5326 +tp5327 +a(g701 +g972 +tp5328 +a(g822 +g974 +tp5329 +a(g408 +g2510 +tp5330 +a(g822 +g974 +tp5331 +a(g431 +Vdepth +p5332 +tp5333 +a(g701 +g964 +tp5334 +a(g431 +g995 +tp5335 +a(g408 +g1473 +tp5336 +a(g431 +Vtail +p5337 +tp5338 +a(g701 +g972 +tp5339 +a(g822 +g974 +tp5340 +a(g408 +g1656 +tp5341 +a(g822 +V\u000a +p5342 +tp5343 +a(g822 +V +p5344 +tp5345 +a(g744 +VRopeString +p5346 +tp5347 +a(g701 +g964 +tp5348 +a(g744 +VRopeString +p5349 +tp5350 +a(g701 +g964 +tp5351 +a(g431 +g1019 +tp5352 +a(g701 +g1023 +tp5353 +a(g822 +g974 +tp5354 +a(g431 +g995 +tp5355 +a(g408 +g1473 +tp5356 +a(g431 +Vhead +p5357 +tp5358 +a(g701 +g972 +tp5359 +a(g701 +g1023 +tp5360 +a(g822 +g974 +tp5361 +a(g431 +g995 +tp5362 +a(g408 +g1473 +tp5363 +a(g431 +Vtail +p5364 +tp5365 +a(g701 +g972 +tp5366 +a(g822 +g974 +tp5367 +a(g408 +g1380 +tp5368 +a(g822 +V\u000a +p5369 +tp5370 +a(g822 +V +p5371 +tp5372 +a(g431 +Vnew +p5373 +tp5374 +a(g701 +g964 +tp5375 +a(g431 +g1019 +tp5376 +a(g701 +g1023 +tp5377 +a(g822 +g974 +tp5378 +a(g431 +g995 +tp5379 +a(g701 +g1023 +tp5380 +a(g822 +g974 +tp5381 +a(g431 +g995 +tp5382 +a(g408 +g1473 +tp5383 +a(g431 +Vdepth +p5384 +tp5385 +a(g408 +g1132 +tp5386 +a(g32 +g1134 +tp5387 +a(g701 +g1023 +tp5388 +a(g822 +g974 +tp5389 +a(g431 +Vlength +p5390 +tp5391 +a(g701 +g964 +tp5392 +a(g431 +g1019 +tp5393 +a(g701 +g972 +tp5394 +a(g408 +g1132 +tp5395 +a(g431 +Vlength +p5396 +tp5397 +a(g701 +g964 +tp5398 +a(g431 +g995 +tp5399 +a(g701 +g972 +tp5400 +a(g701 +g972 +tp5401 +a(g822 +V\u000a +p5402 +tp5403 +a(g822 +V\u000a +p5404 +tp5405 +a(g822 +V +p5406 +tp5407 +a(g744 +VRopeString +p5408 +tp5409 +a(g701 +g964 +tp5410 +a(g431 +g1019 +tp5411 +a(g408 +V:: +p5412 +tp5413 +a(g431 +VString +p5414 +tp5415 +a(g701 +g1023 +tp5416 +a(g822 +g974 +tp5417 +a(g431 +g995 +tp5418 +a(g408 +V:: +p5419 +tp5420 +a(g431 +VString +p5421 +tp5422 +a(g701 +g972 +tp5423 +a(g822 +g974 +tp5424 +a(g408 +g976 +tp5425 +a(g822 +V\u000a +p5426 +tp5427 +a(g822 +V +p5428 +tp5429 +a(g431 +Vnew +p5430 +tp5431 +a(g701 +g964 +tp5432 +a(g431 +g1019 +tp5433 +a(g701 +g1023 +tp5434 +a(g822 +g974 +tp5435 +a(g431 +g995 +tp5436 +a(g701 +g1023 +tp5437 +a(g822 +g974 +tp5438 +a(g32 +g1134 +tp5439 +a(g701 +g1023 +tp5440 +a(g822 +g974 +tp5441 +a(g431 +Vlength +p5442 +tp5443 +a(g701 +g964 +tp5444 +a(g431 +g1019 +tp5445 +a(g701 +g972 +tp5446 +a(g408 +g1132 +tp5447 +a(g431 +Vlength +p5448 +tp5449 +a(g701 +g964 +tp5450 +a(g431 +g995 +tp5451 +a(g701 +g972 +tp5452 +a(g701 +g972 +tp5453 +a(g822 +V\u000a +p5454 +tp5455 +a(g740 +Vend +p5456 +tp5457 +a(g822 +V\u000a +p5458 +tp5459 +a(g822 +V\u000a +p5460 +tp5461 +a(g431 +Vdepth +p5462 +tp5463 +a(g701 +g964 +tp5464 +a(g431 +g966 +tp5465 +a(g408 +V:: +p5466 +tp5467 +a(g431 +VString +p5468 +tp5469 +a(g701 +g972 +tp5470 +a(g822 +g974 +tp5471 +a(g408 +g976 +tp5472 +a(g822 +g974 +tp5473 +a(g32 +g1785 +tp5474 +a(g822 +V\u000a +p5475 +tp5476 +a(g431 +Vdepth +p5477 +tp5478 +a(g701 +g964 +tp5479 +a(g431 +g966 +tp5480 +a(g408 +V:: +p5481 +tp5482 +a(g744 +VRopeString +p5483 +tp5484 +a(g701 +g972 +tp5485 +a(g822 +g974 +tp5486 +a(g408 +g976 +tp5487 +a(g822 +g974 +tp5488 +a(g431 +g966 +tp5489 +a(g408 +g1473 +tp5490 +a(g431 +Vdepth +p5491 +tp5492 +a(g822 +V\u000a +p5493 +tp5494 +a(g822 +V\u000a +p5495 +tp5496 +a(g740 +Vfunction +p5497 +tp5498 +a(g822 +g974 +tp5499 +a(g431 +Vnext +p5500 +tp5501 +a(g701 +g964 +tp5502 +a(g431 +g966 +tp5503 +a(g408 +V:: +p5504 +tp5505 +a(g744 +VRopeString +p5506 +tp5507 +a(g701 +g1023 +tp5508 +a(g822 +g974 +tp5509 +a(g431 +g998 +tp5510 +a(g408 +V:: +p5511 +tp5512 +a(g744 +VInt +p5513 +tp5514 +a(g701 +g972 +tp5515 +a(g822 +V\u000a +p5516 +tp5517 +a(g822 +V +p5518 +tp5519 +a(g740 +Vif +p5520 +tp5521 +a(g822 +g974 +tp5522 +a(g431 +g998 +tp5523 +a(g822 +g974 +tp5524 +a(g408 +V<= +p5525 +tp5526 +a(g822 +g974 +tp5527 +a(g431 +Vlength +p5528 +tp5529 +a(g701 +g964 +tp5530 +a(g431 +g966 +tp5531 +a(g408 +g1473 +tp5532 +a(g431 +Vhead +p5533 +tp5534 +a(g701 +g972 +tp5535 +a(g822 +V\u000a +p5536 +tp5537 +a(g822 +V +p5538 +tp5539 +a(g740 +Vreturn +p5540 +tp5541 +a(g822 +g974 +tp5542 +a(g431 +Vnext +p5543 +tp5544 +a(g701 +g964 +tp5545 +a(g431 +g966 +tp5546 +a(g408 +g1473 +tp5547 +a(g431 +Vhead +p5548 +tp5549 +a(g701 +g1023 +tp5550 +a(g822 +g974 +tp5551 +a(g431 +g998 +tp5552 +a(g701 +g972 +tp5553 +a(g822 +V\u000a +p5554 +tp5555 +a(g822 +V +p5556 +tp5557 +a(g740 +Velse +p5558 +tp5559 +a(g822 +V\u000a +p5560 +tp5561 +a(g822 +V +p5562 +tp5563 +a(g431 +g1451 +tp5564 +a(g701 +g1023 +tp5565 +a(g822 +g974 +tp5566 +a(g431 +g1818 +tp5567 +a(g822 +g974 +tp5568 +a(g408 +g976 +tp5569 +a(g822 +g974 +tp5570 +a(g431 +Vnext +p5571 +tp5572 +a(g701 +g964 +tp5573 +a(g431 +g966 +tp5574 +a(g408 +g1473 +tp5575 +a(g431 +Vtail +p5576 +tp5577 +a(g701 +g1023 +tp5578 +a(g822 +g974 +tp5579 +a(g431 +g998 +tp5580 +a(g408 +g2021 +tp5581 +a(g431 +Vlength +p5582 +tp5583 +a(g701 +g964 +tp5584 +a(g431 +g966 +tp5585 +a(g408 +g1473 +tp5586 +a(g431 +Vhead +p5587 +tp5588 +a(g701 +g972 +tp5589 +a(g701 +g972 +tp5590 +a(g822 +V\u000a +p5591 +tp5592 +a(g822 +V +p5593 +tp5594 +a(g740 +Vreturn +p5595 +tp5596 +a(g822 +g974 +tp5597 +a(g431 +g1451 +tp5598 +a(g701 +g1023 +tp5599 +a(g822 +g974 +tp5600 +a(g431 +g1818 +tp5601 +a(g408 +g1132 +tp5602 +a(g431 +Vlength +p5603 +tp5604 +a(g701 +g964 +tp5605 +a(g431 +g966 +tp5606 +a(g408 +g1473 +tp5607 +a(g431 +Vhead +p5608 +tp5609 +a(g701 +g972 +tp5610 +a(g822 +V\u000a +p5611 +tp5612 +a(g822 +V +p5613 +tp5614 +a(g740 +Vend +p5615 +tp5616 +a(g822 +V\u000a +p5617 +tp5618 +a(g740 +Vend +p5619 +tp5620 +a(g822 +V\u000a +p5621 +tp5622 +a(g822 +V\u000a +p5623 +tp5624 +a(g431 +Vlength +p5625 +tp5626 +a(g701 +g964 +tp5627 +a(g431 +g966 +tp5628 +a(g408 +V:: +p5629 +tp5630 +a(g744 +VRopeString +p5631 +tp5632 +a(g701 +g972 +tp5633 +a(g822 +g974 +tp5634 +a(g408 +g976 +tp5635 +a(g822 +g974 +tp5636 +a(g431 +g966 +tp5637 +a(g408 +g1473 +tp5638 +a(g431 +Vlength +p5639 +tp5640 +a(g822 +V\u000a +p5641 +tp5642 +a(g431 +Vstrlen +p5643 +tp5644 +a(g701 +g964 +tp5645 +a(g431 +g966 +tp5646 +a(g408 +V:: +p5647 +tp5648 +a(g744 +VRopeString +p5649 +tp5650 +a(g701 +g972 +tp5651 +a(g822 +g974 +tp5652 +a(g408 +g976 +tp5653 +a(g822 +g974 +tp5654 +a(g431 +Vstrlen +p5655 +tp5656 +a(g701 +g964 +tp5657 +a(g431 +g966 +tp5658 +a(g408 +g1473 +tp5659 +a(g431 +Vhead +p5660 +tp5661 +a(g701 +g972 +tp5662 +a(g822 +g974 +tp5663 +a(g408 +g1132 +tp5664 +a(g822 +g974 +tp5665 +a(g431 +Vstrlen +p5666 +tp5667 +a(g701 +g964 +tp5668 +a(g431 +g966 +tp5669 +a(g408 +g1473 +tp5670 +a(g431 +Vtail +p5671 +tp5672 +a(g701 +g972 +tp5673 +a(g822 +V\u000a +p5674 +tp5675 +a(g822 +V\u000a +p5676 +tp5677 +a(g431 +Vstrcat +p5678 +tp5679 +a(g701 +g964 +tp5680 +a(g701 +g972 +tp5681 +a(g822 +g974 +tp5682 +a(g408 +g976 +tp5683 +a(g822 +g974 +tp5684 +a(g84 +g982 +tp5685 +a(g84 +g982 +tp5686 +a(g822 +V\u000a +p5687 +tp5688 +a(g431 +Vstrcat +p5689 +tp5690 +a(g701 +g964 +tp5691 +a(g431 +g966 +tp5692 +a(g408 +V:: +p5693 +tp5694 +a(g431 +VString +p5695 +tp5696 +a(g701 +g972 +tp5697 +a(g822 +g974 +tp5698 +a(g408 +g976 +tp5699 +a(g822 +g974 +tp5700 +a(g431 +g966 +tp5701 +a(g822 +V\u000a +p5702 +tp5703 +a(g431 +Vstrcat +p5704 +tp5705 +a(g701 +g964 +tp5706 +a(g431 +g1081 +tp5707 +a(g408 +g1473 +tp5708 +a(g408 +g1473 +tp5709 +a(g408 +g1473 +tp5710 +a(g701 +g972 +tp5711 +a(g822 +g974 +tp5712 +a(g408 +g976 +tp5713 +a(g822 +g974 +tp5714 +a(g431 +Vstrcat +p5715 +tp5716 +a(g701 +g964 +tp5717 +a(g431 +Vmap +p5718 +tp5719 +a(g701 +g964 +tp5720 +a(g431 +Vstring +p5721 +tp5722 +a(g701 +g1023 +tp5723 +a(g431 +g1081 +tp5724 +a(g701 +g972 +tp5725 +a(g408 +g1473 +tp5726 +a(g408 +g1473 +tp5727 +a(g408 +g1473 +tp5728 +a(g701 +g972 +tp5729 +a(g822 +V\u000a +p5730 +tp5731 +a(g431 +Vstrcat +p5732 +tp5733 +a(g701 +g964 +tp5734 +a(g431 +g966 +tp5735 +a(g408 +V:: +p5736 +tp5737 +a(g431 +VString +p5738 +tp5739 +a(g701 +g1023 +tp5740 +a(g822 +g974 +tp5741 +a(g431 +g995 +tp5742 +a(g408 +V:: +p5743 +tp5744 +a(g431 +VString +p5745 +tp5746 +a(g408 +g1473 +tp5747 +a(g408 +g1473 +tp5748 +a(g408 +g1473 +tp5749 +a(g701 +g972 +tp5750 +a(g822 +g974 +tp5751 +a(g408 +g976 +tp5752 +a(g822 +V\u000a +p5753 +tp5754 +a(g822 +V +p5755 +tp5756 +a(g701 +g964 +tp5757 +a(g431 +g995 +tp5758 +a(g822 +g974 +tp5759 +a(g408 +g976 +tp5760 +a(g822 +g974 +tp5761 +a(g431 +Vstrcat +p5762 +tp5763 +a(g701 +g964 +tp5764 +a(g431 +g995 +tp5765 +a(g408 +g1473 +tp5766 +a(g408 +g1473 +tp5767 +a(g408 +g1473 +tp5768 +a(g701 +g972 +tp5769 +a(g701 +g1455 +tp5770 +a(g822 +g974 +tp5771 +a(g431 +Visempty +p5772 +tp5773 +a(g701 +g964 +tp5774 +a(g431 +g966 +tp5775 +a(g701 +g972 +tp5776 +a(g822 +g974 +tp5777 +a(g408 +g1656 +tp5778 +a(g822 +g974 +tp5779 +a(g431 +g995 +tp5780 +a(g822 +g974 +tp5781 +a(g408 +g1380 +tp5782 +a(g822 +g974 +tp5783 +a(g431 +Visempty +p5784 +tp5785 +a(g701 +g964 +tp5786 +a(g431 +g995 +tp5787 +a(g701 +g972 +tp5788 +a(g822 +g974 +tp5789 +a(g408 +g1656 +tp5790 +a(g822 +g974 +tp5791 +a(g431 +g966 +tp5792 +a(g822 +g974 +tp5793 +a(g408 +g1380 +tp5794 +a(g822 +g974 +tp5795 +a(g744 +VRopeString +p5796 +tp5797 +a(g701 +g964 +tp5798 +a(g431 +g966 +tp5799 +a(g701 +g1023 +tp5800 +a(g822 +g974 +tp5801 +a(g431 +g995 +tp5802 +a(g701 +g972 +tp5803 +a(g701 +g972 +tp5804 +a(g822 +V\u000a +p5805 +tp5806 +a(g822 +V\u000a +p5807 +tp5808 +a(g431 +Vprint +p5809 +tp5810 +a(g701 +g964 +tp5811 +a(g431 +g966 +tp5812 +a(g408 +V:: +p5813 +tp5814 +a(g744 +VRopeString +p5815 +tp5816 +a(g701 +g972 +tp5817 +a(g822 +g974 +tp5818 +a(g408 +g976 +tp5819 +a(g822 +g974 +tp5820 +a(g431 +Vprint +p5821 +tp5822 +a(g701 +g964 +tp5823 +a(g431 +g966 +tp5824 +a(g408 +g1473 +tp5825 +a(g431 +Vhead +p5826 +tp5827 +a(g701 +g1023 +tp5828 +a(g822 +g974 +tp5829 +a(g431 +g966 +tp5830 +a(g408 +g1473 +tp5831 +a(g431 +Vtail +p5832 +tp5833 +a(g701 +g972 +tp5834 +a(g822 +V\u000a +p5835 +tp5836 +a(g822 +V\u000a +p5837 +tp5838 +a(g7 +V## transformed strings ## +p5839 +tp5840 +a(g822 +V\u000a +p5841 +tp5842 +a(g822 +V\u000a +p5843 +tp5844 +a(g740 +Vtype +p5845 +tp5846 +a(g822 +g974 +tp5847 +a(g431 +VTransformedString +p5848 +tp5849 +a(g822 +g974 +tp5850 +a(g408 +V<: +p5851 +tp5852 +a(g822 +g974 +tp5853 +a(g431 +VString +p5854 +tp5855 +a(g822 +V\u000a +p5856 +tp5857 +a(g822 +V +p5858 +tp5859 +a(g431 +Vtransform +p5860 +tp5861 +a(g408 +V:: +p5862 +tp5863 +a(g744 +VFunction +p5864 +tp5865 +a(g822 +V\u000a +p5866 +tp5867 +a(g822 +V +p5868 +tp5869 +a(g431 +Vstring +p5870 +tp5871 +a(g408 +V:: +p5872 +tp5873 +a(g431 +VString +p5874 +tp5875 +a(g822 +V\u000a +p5876 +tp5877 +a(g740 +Vend +p5878 +tp5879 +a(g822 +V\u000a +p5880 +tp5881 +a(g822 +V\u000a +p5882 +tp5883 +a(g431 +Vlength +p5884 +tp5885 +a(g701 +g964 +tp5886 +a(g431 +g966 +tp5887 +a(g408 +V:: +p5888 +tp5889 +a(g431 +VTransformedString +p5890 +tp5891 +a(g701 +g972 +tp5892 +a(g822 +g974 +tp5893 +a(g408 +g976 +tp5894 +a(g822 +g974 +tp5895 +a(g431 +Vlength +p5896 +tp5897 +a(g701 +g964 +tp5898 +a(g431 +g966 +tp5899 +a(g408 +g1473 +tp5900 +a(g431 +Vstring +p5901 +tp5902 +a(g701 +g972 +tp5903 +a(g822 +V\u000a +p5904 +tp5905 +a(g431 +Vstrlen +p5906 +tp5907 +a(g701 +g964 +tp5908 +a(g431 +g966 +tp5909 +a(g408 +V:: +p5910 +tp5911 +a(g431 +VTransformedString +p5912 +tp5913 +a(g701 +g972 +tp5914 +a(g822 +g974 +tp5915 +a(g408 +g976 +tp5916 +a(g822 +g974 +tp5917 +a(g431 +Vstrlen +p5918 +tp5919 +a(g701 +g964 +tp5920 +a(g431 +g966 +tp5921 +a(g408 +g1473 +tp5922 +a(g431 +Vstring +p5923 +tp5924 +a(g701 +g972 +tp5925 +a(g822 +V\u000a +p5926 +tp5927 +a(g822 +V\u000a +p5928 +tp5929 +a(g740 +Vfunction +p5930 +tp5931 +a(g822 +g974 +tp5932 +a(g431 +Vnext +p5933 +tp5934 +a(g701 +g964 +tp5935 +a(g431 +g966 +tp5936 +a(g408 +V:: +p5937 +tp5938 +a(g431 +VTransformedString +p5939 +tp5940 +a(g701 +g1023 +tp5941 +a(g822 +g974 +tp5942 +a(g431 +g998 +tp5943 +a(g408 +V:: +p5944 +tp5945 +a(g744 +VInt +p5946 +tp5947 +a(g701 +g972 +tp5948 +a(g822 +V\u000a +p5949 +tp5950 +a(g822 +V +p5951 +tp5952 +a(g431 +g1451 +tp5953 +a(g701 +g1023 +tp5954 +a(g822 +g974 +tp5955 +a(g431 +g1818 +tp5956 +a(g822 +g974 +tp5957 +a(g408 +g976 +tp5958 +a(g822 +g974 +tp5959 +a(g431 +Vnext +p5960 +tp5961 +a(g701 +g964 +tp5962 +a(g431 +g966 +tp5963 +a(g408 +g1473 +tp5964 +a(g431 +Vstring +p5965 +tp5966 +a(g701 +g1023 +tp5967 +a(g431 +g998 +tp5968 +a(g701 +g972 +tp5969 +a(g822 +V\u000a +p5970 +tp5971 +a(g822 +V +p5972 +tp5973 +a(g431 +g1451 +tp5974 +a(g822 +g974 +tp5975 +a(g408 +g976 +tp5976 +a(g822 +g974 +tp5977 +a(g431 +g966 +tp5978 +a(g408 +g1473 +tp5979 +a(g431 +Vtransform +p5980 +tp5981 +a(g701 +g964 +tp5982 +a(g431 +g1451 +tp5983 +a(g701 +g1023 +tp5984 +a(g822 +g974 +tp5985 +a(g431 +g998 +tp5986 +a(g701 +g972 +tp5987 +a(g822 +V\u000a +p5988 +tp5989 +a(g822 +V +p5990 +tp5991 +a(g740 +Vreturn +p5992 +tp5993 +a(g822 +g974 +tp5994 +a(g431 +g1451 +tp5995 +a(g701 +g1023 +tp5996 +a(g822 +g974 +tp5997 +a(g431 +g1818 +tp5998 +a(g822 +V\u000a +p5999 +tp6000 +a(g740 +Vend +p6001 +tp6002 +a(g822 +V\u000a +p6003 +tp6004 +a(g822 +V\u000a +p6005 +tp6006 +a(g7 +V## uppercase and lowercase transformations ## +p6007 +tp6008 +a(g822 +V\u000a +p6009 +tp6010 +a(g822 +V\u000a +p6011 +tp6012 +a(g431 +Vuppercase +p6013 +tp6014 +a(g701 +g964 +tp6015 +a(g431 +g1451 +tp6016 +a(g408 +V:: +p6017 +tp6018 +a(g744 +VChar +p6019 +tp6020 +a(g701 +g972 +tp6021 +a(g822 +g974 +tp6022 +a(g408 +g976 +tp6023 +a(g822 +g974 +tp6024 +a(g740 +Vccall +p6025 +tp6026 +a(g701 +g964 +tp6027 +a(g408 +g1380 +tp6028 +a(g431 +Vtowupper +p6029 +tp6030 +a(g701 +g1023 +tp6031 +a(g822 +g974 +tp6032 +a(g744 +VChar +p6033 +tp6034 +a(g701 +g1023 +tp6035 +a(g822 +g974 +tp6036 +a(g701 +g964 +tp6037 +a(g744 +VChar +p6038 +tp6039 +a(g701 +g1023 +tp6040 +a(g701 +g972 +tp6041 +a(g701 +g1023 +tp6042 +a(g822 +g974 +tp6043 +a(g431 +g1451 +tp6044 +a(g701 +g972 +tp6045 +a(g822 +V\u000a +p6046 +tp6047 +a(g431 +Vlowercase +p6048 +tp6049 +a(g701 +g964 +tp6050 +a(g431 +g1451 +tp6051 +a(g408 +V:: +p6052 +tp6053 +a(g744 +VChar +p6054 +tp6055 +a(g701 +g972 +tp6056 +a(g822 +g974 +tp6057 +a(g408 +g976 +tp6058 +a(g822 +g974 +tp6059 +a(g740 +Vccall +p6060 +tp6061 +a(g701 +g964 +tp6062 +a(g408 +g1380 +tp6063 +a(g431 +Vtowlower +p6064 +tp6065 +a(g701 +g1023 +tp6066 +a(g822 +g974 +tp6067 +a(g744 +VChar +p6068 +tp6069 +a(g701 +g1023 +tp6070 +a(g822 +g974 +tp6071 +a(g701 +g964 +tp6072 +a(g744 +VChar +p6073 +tp6074 +a(g701 +g1023 +tp6075 +a(g701 +g972 +tp6076 +a(g701 +g1023 +tp6077 +a(g822 +g974 +tp6078 +a(g431 +g1451 +tp6079 +a(g701 +g972 +tp6080 +a(g822 +V\u000a +p6081 +tp6082 +a(g822 +V\u000a +p6083 +tp6084 +a(g431 +Vuppercase +p6085 +tp6086 +a(g701 +g964 +tp6087 +a(g431 +g966 +tp6088 +a(g408 +V:: +p6089 +tp6090 +a(g431 +VString +p6091 +tp6092 +a(g701 +g972 +tp6093 +a(g822 +g974 +tp6094 +a(g408 +g976 +tp6095 +a(g822 +g974 +tp6096 +a(g431 +VTransformedString +p6097 +tp6098 +a(g701 +g964 +tp6099 +a(g701 +g964 +tp6100 +a(g431 +g1451 +tp6101 +a(g701 +g1023 +tp6102 +a(g431 +g998 +tp6103 +a(g701 +g972 +tp6104 +a(g408 +g2021 +tp6105 +a(g408 +g1211 +tp6106 +a(g431 +Vuppercase +p6107 +tp6108 +a(g701 +g964 +tp6109 +a(g431 +g1451 +tp6110 +a(g701 +g972 +tp6111 +a(g701 +g1023 +tp6112 +a(g822 +g974 +tp6113 +a(g431 +g966 +tp6114 +a(g701 +g972 +tp6115 +a(g822 +V\u000a +p6116 +tp6117 +a(g431 +Vlowercase +p6118 +tp6119 +a(g701 +g964 +tp6120 +a(g431 +g966 +tp6121 +a(g408 +V:: +p6122 +tp6123 +a(g431 +VString +p6124 +tp6125 +a(g701 +g972 +tp6126 +a(g822 +g974 +tp6127 +a(g408 +g976 +tp6128 +a(g822 +g974 +tp6129 +a(g431 +VTransformedString +p6130 +tp6131 +a(g701 +g964 +tp6132 +a(g701 +g964 +tp6133 +a(g431 +g1451 +tp6134 +a(g701 +g1023 +tp6135 +a(g431 +g998 +tp6136 +a(g701 +g972 +tp6137 +a(g408 +g2021 +tp6138 +a(g408 +g1211 +tp6139 +a(g431 +Vlowercase +p6140 +tp6141 +a(g701 +g964 +tp6142 +a(g431 +g1451 +tp6143 +a(g701 +g972 +tp6144 +a(g701 +g1023 +tp6145 +a(g822 +g974 +tp6146 +a(g431 +g966 +tp6147 +a(g701 +g972 +tp6148 +a(g822 +V\u000a +p6149 +tp6150 +a(g822 +V\u000a +p6151 +tp6152 +a(g431 +Vucfirst +p6153 +tp6154 +a(g701 +g964 +tp6155 +a(g431 +g966 +tp6156 +a(g408 +V:: +p6157 +tp6158 +a(g431 +VString +p6159 +tp6160 +a(g701 +g972 +tp6161 +a(g822 +g974 +tp6162 +a(g408 +g976 +tp6163 +a(g822 +g974 +tp6164 +a(g431 +VTransformedString +p6165 +tp6166 +a(g701 +g964 +tp6167 +a(g701 +g964 +tp6168 +a(g431 +g1451 +tp6169 +a(g701 +g1023 +tp6170 +a(g431 +g998 +tp6171 +a(g701 +g972 +tp6172 +a(g408 +g2021 +tp6173 +a(g408 +g1211 +tp6174 +a(g431 +g998 +tp6175 +a(g408 +V== +p6176 +tp6177 +a(g32 +g1134 +tp6178 +a(g822 +g974 +tp6179 +a(g408 +g1656 +tp6180 +a(g822 +g974 +tp6181 +a(g431 +Vuppercase +p6182 +tp6183 +a(g701 +g964 +tp6184 +a(g431 +g1451 +tp6185 +a(g701 +g972 +tp6186 +a(g822 +g974 +tp6187 +a(g408 +g1380 +tp6188 +a(g822 +g974 +tp6189 +a(g431 +g1451 +tp6190 +a(g701 +g1023 +tp6191 +a(g822 +g974 +tp6192 +a(g431 +g966 +tp6193 +a(g701 +g972 +tp6194 +a(g822 +V\u000a +p6195 +tp6196 +a(g431 +Vlcfirst +p6197 +tp6198 +a(g701 +g964 +tp6199 +a(g431 +g966 +tp6200 +a(g408 +V:: +p6201 +tp6202 +a(g431 +VString +p6203 +tp6204 +a(g701 +g972 +tp6205 +a(g822 +g974 +tp6206 +a(g408 +g976 +tp6207 +a(g822 +g974 +tp6208 +a(g431 +VTransformedString +p6209 +tp6210 +a(g701 +g964 +tp6211 +a(g701 +g964 +tp6212 +a(g431 +g1451 +tp6213 +a(g701 +g1023 +tp6214 +a(g431 +g998 +tp6215 +a(g701 +g972 +tp6216 +a(g408 +g2021 +tp6217 +a(g408 +g1211 +tp6218 +a(g431 +g998 +tp6219 +a(g408 +V== +p6220 +tp6221 +a(g32 +g1134 +tp6222 +a(g822 +g974 +tp6223 +a(g408 +g1656 +tp6224 +a(g822 +g974 +tp6225 +a(g431 +Vlowercase +p6226 +tp6227 +a(g701 +g964 +tp6228 +a(g431 +g1451 +tp6229 +a(g701 +g972 +tp6230 +a(g822 +g974 +tp6231 +a(g408 +g1380 +tp6232 +a(g822 +g974 +tp6233 +a(g431 +g1451 +tp6234 +a(g701 +g1023 +tp6235 +a(g822 +g974 +tp6236 +a(g431 +g966 +tp6237 +a(g701 +g972 +tp6238 +a(g822 +V\u000a +p6239 +tp6240 +a(g822 +V\u000a +p6241 +tp6242 +a(g805 +Vconst +p6243 +tp6244 +a(g822 +g974 +tp6245 +a(g431 +Vuc +p6246 +tp6247 +a(g822 +g974 +tp6248 +a(g408 +g976 +tp6249 +a(g822 +g974 +tp6250 +a(g431 +Vuppercase +p6251 +tp6252 +a(g822 +V\u000a +p6253 +tp6254 +a(g805 +Vconst +p6255 +tp6256 +a(g822 +g974 +tp6257 +a(g431 +Vlc +p6258 +tp6259 +a(g822 +g974 +tp6260 +a(g408 +g976 +tp6261 +a(g822 +g974 +tp6262 +a(g431 +Vlowercase +p6263 +tp6264 +a(g822 +V\u000a +p6265 +tp6266 +a(g822 +V\u000a +p6267 +tp6268 +a(g7 +V## string map ## +p6269 +tp6270 +a(g822 +V\u000a +p6271 +tp6272 +a(g822 +V\u000a +p6273 +tp6274 +a(g740 +Vfunction +p6275 +tp6276 +a(g822 +g974 +tp6277 +a(g431 +Vmap +p6278 +tp6279 +a(g701 +g964 +tp6280 +a(g431 +g1705 +tp6281 +a(g408 +V:: +p6282 +tp6283 +a(g744 +VFunction +p6284 +tp6285 +a(g701 +g1023 +tp6286 +a(g822 +g974 +tp6287 +a(g431 +g966 +tp6288 +a(g408 +V:: +p6289 +tp6290 +a(g431 +VString +p6291 +tp6292 +a(g701 +g972 +tp6293 +a(g822 +V\u000a +p6294 +tp6295 +a(g822 +V +p6296 +tp6297 +a(g431 +Vout +p6298 +tp6299 +a(g822 +g974 +tp6300 +a(g408 +g976 +tp6301 +a(g822 +g974 +tp6302 +a(g431 +Vmemio +p6303 +tp6304 +a(g701 +g964 +tp6305 +a(g431 +Vlength +p6306 +tp6307 +a(g701 +g964 +tp6308 +a(g431 +g966 +tp6309 +a(g701 +g972 +tp6310 +a(g701 +g972 +tp6311 +a(g822 +V\u000a +p6312 +tp6313 +a(g822 +V +p6314 +tp6315 +a(g740 +Vfor +p6316 +tp6317 +a(g822 +g974 +tp6318 +a(g431 +g1451 +tp6319 +a(g822 +g974 +tp6320 +a(g781 +Vin +p6321 +tp6322 +a(g822 +g974 +tp6323 +a(g431 +g966 +tp6324 +a(g822 +V\u000a +p6325 +tp6326 +a(g822 +V +p6327 +tp6328 +a(g431 +Vwrite +p6329 +tp6330 +a(g701 +g964 +tp6331 +a(g431 +Vout +p6332 +tp6333 +a(g701 +g1023 +tp6334 +a(g822 +g974 +tp6335 +a(g431 +g1705 +tp6336 +a(g701 +g964 +tp6337 +a(g431 +g1451 +tp6338 +a(g701 +g972 +tp6339 +a(g408 +V:: +p6340 +tp6341 +a(g744 +VChar +p6342 +tp6343 +a(g701 +g972 +tp6344 +a(g822 +V\u000a +p6345 +tp6346 +a(g822 +V +p6347 +tp6348 +a(g740 +Vend +p6349 +tp6350 +a(g822 +V\u000a +p6351 +tp6352 +a(g822 +V +p6353 +tp6354 +a(g431 +Vtakebuf_string +p6355 +tp6356 +a(g701 +g964 +tp6357 +a(g431 +Vout +p6358 +tp6359 +a(g701 +g972 +tp6360 +a(g822 +V\u000a +p6361 +tp6362 +a(g740 +Vend +p6363 +tp6364 +a(g822 +V\u000a +p6365 +tp6366 +a(g822 +V\u000a +p6367 +tp6368 +a(g7 +V## conversion of general objects to strings ## +p6369 +tp6370 +a(g822 +V\u000a +p6371 +tp6372 +a(g822 +V\u000a +p6373 +tp6374 +a(g431 +Vstring +p6375 +tp6376 +a(g701 +g964 +tp6377 +a(g431 +g1081 +tp6378 +a(g701 +g972 +tp6379 +a(g822 +g974 +tp6380 +a(g408 +g976 +tp6381 +a(g822 +g974 +tp6382 +a(g431 +Vprint_to_string +p6383 +tp6384 +a(g701 +g964 +tp6385 +a(g431 +Vshow +p6386 +tp6387 +a(g701 +g1023 +tp6388 +a(g822 +g974 +tp6389 +a(g431 +g1081 +tp6390 +a(g701 +g972 +tp6391 +a(g822 +V\u000a +p6392 +tp6393 +a(g431 +Vcstring +p6394 +tp6395 +a(g701 +g964 +tp6396 +a(g431 +g1081 +tp6397 +a(g408 +g1473 +tp6398 +a(g408 +g1473 +tp6399 +a(g408 +g1473 +tp6400 +a(g701 +g972 +tp6401 +a(g822 +g974 +tp6402 +a(g408 +g976 +tp6403 +a(g822 +g974 +tp6404 +a(g431 +Vprint_to_string +p6405 +tp6406 +a(g701 +g964 +tp6407 +a(g431 +Vprint +p6408 +tp6409 +a(g701 +g1023 +tp6410 +a(g822 +g974 +tp6411 +a(g431 +g1081 +tp6412 +a(g408 +g1473 +tp6413 +a(g408 +g1473 +tp6414 +a(g408 +g1473 +tp6415 +a(g701 +g972 +tp6416 +a(g822 +V\u000a +p6417 +tp6418 +a(g822 +V\u000a +p6419 +tp6420 +a(g740 +Vfunction +p6421 +tp6422 +a(g822 +g974 +tp6423 +a(g431 +Vcstring +p6424 +tp6425 +a(g701 +g964 +tp6426 +a(g431 +g1001 +tp6427 +a(g408 +V:: +p6428 +tp6429 +a(g744 +VPtr +p6430 +tp6431 +a(g701 +g1338 +tp6432 +a(g431 +VUint8 +p6433 +tp6434 +a(g701 +g1346 +tp6435 +a(g701 +g972 +tp6436 +a(g822 +V\u000a +p6437 +tp6438 +a(g822 +V +p6439 +tp6440 +a(g431 +g1001 +tp6441 +a(g822 +g974 +tp6442 +a(g408 +V== +p6443 +tp6444 +a(g822 +g974 +tp6445 +a(g677 +VC_NULL +p6446 +tp6447 +a(g822 +g974 +tp6448 +a(g408 +g1656 +tp6449 +a(g822 +g974 +tp6450 +a(g431 +Verror +p6451 +tp6452 +a(g701 +g964 +tp6453 +a(g84 +g982 +tp6454 +a(g84 +g1451 +tp6455 +a(g84 +g1709 +tp6456 +a(g84 +g1009 +tp6457 +a(g84 +g1009 +tp6458 +a(g84 +g986 +tp6459 +a(g84 +g995 +tp6460 +a(g84 +g974 +tp6461 +a(g84 +g1451 +tp6462 +a(g84 +g986 +tp6463 +a(g84 +g1009 +tp6464 +a(g84 +Vv +p6465 +tp6466 +a(g84 +g1005 +tp6467 +a(g84 +g1356 +tp6468 +a(g84 +g995 +tp6469 +a(g84 +g974 +tp6470 +a(g84 +VN +p6471 +tp6472 +a(g84 +VU +p6473 +tp6474 +a(g84 +VL +p6475 +tp6476 +a(g84 +g6475 +tp6477 +a(g84 +g974 +tp6478 +a(g84 +g995 +tp6479 +a(g84 +g986 +tp6480 +a(g84 +g974 +tp6481 +a(g84 +g966 +tp6482 +a(g84 +g995 +tp6483 +a(g84 +g1356 +tp6484 +a(g84 +g998 +tp6485 +a(g84 +g1009 +tp6486 +a(g84 +g1016 +tp6487 +a(g84 +g982 +tp6488 +a(g701 +g972 +tp6489 +a(g822 +g974 +tp6490 +a(g408 +g1380 +tp6491 +a(g822 +V\u000a +p6492 +tp6493 +a(g822 +V +p6494 +tp6495 +a(g740 +Vccall +p6496 +tp6497 +a(g701 +g964 +tp6498 +a(g408 +g1380 +tp6499 +a(g431 +Vjl_cstr_to_string +p6500 +tp6501 +a(g701 +g1023 +tp6502 +a(g822 +g974 +tp6503 +a(g744 +VAny +p6504 +tp6505 +a(g701 +g1023 +tp6506 +a(g822 +g974 +tp6507 +a(g701 +g964 +tp6508 +a(g744 +VPtr +p6509 +tp6510 +a(g701 +g1338 +tp6511 +a(g431 +VUint8 +p6512 +tp6513 +a(g701 +g1346 +tp6514 +a(g701 +g1023 +tp6515 +a(g701 +g972 +tp6516 +a(g701 +g1023 +tp6517 +a(g822 +g974 +tp6518 +a(g431 +g1001 +tp6519 +a(g701 +g972 +tp6520 +a(g408 +V:: +p6521 +tp6522 +a(g431 +VByteString +p6523 +tp6524 +a(g822 +V\u000a +p6525 +tp6526 +a(g740 +Vend +p6527 +tp6528 +a(g822 +V\u000a +p6529 +tp6530 +a(g822 +V\u000a +p6531 +tp6532 +a(g7 +V## string promotion rules ## +p6533 +tp6534 +a(g822 +V\u000a +p6535 +tp6536 +a(g822 +V\u000a +p6537 +tp6538 +a(g431 +Vpromote_rule +p6539 +tp6540 +a(g701 +g964 +tp6541 +a(g408 +V:: +p6542 +tp6543 +a(g744 +VType +p6544 +tp6545 +a(g701 +g1338 +tp6546 +a(g744 +VUTF8String +p6547 +tp6548 +a(g701 +g1346 +tp6549 +a(g822 +g974 +tp6550 +a(g701 +g1023 +tp6551 +a(g822 +g974 +tp6552 +a(g408 +V:: +p6553 +tp6554 +a(g744 +VType +p6555 +tp6556 +a(g701 +g1338 +tp6557 +a(g744 +VASCIIString +p6558 +tp6559 +a(g701 +g1346 +tp6560 +a(g701 +g972 +tp6561 +a(g822 +g974 +tp6562 +a(g408 +g976 +tp6563 +a(g822 +g974 +tp6564 +a(g744 +VUTF8String +p6565 +tp6566 +a(g822 +V\u000a +p6567 +tp6568 +a(g431 +Vpromote_rule +p6569 +tp6570 +a(g701 +g964 +tp6571 +a(g408 +V:: +p6572 +tp6573 +a(g744 +VType +p6574 +tp6575 +a(g701 +g1338 +tp6576 +a(g744 +VUTF8String +p6577 +tp6578 +a(g701 +g1346 +tp6579 +a(g822 +g974 +tp6580 +a(g701 +g1023 +tp6581 +a(g822 +g974 +tp6582 +a(g408 +V:: +p6583 +tp6584 +a(g744 +VType +p6585 +tp6586 +a(g701 +g1338 +tp6587 +a(g431 +VCharString +p6588 +tp6589 +a(g701 +g1346 +tp6590 +a(g822 +g974 +tp6591 +a(g701 +g972 +tp6592 +a(g822 +g974 +tp6593 +a(g408 +g976 +tp6594 +a(g822 +g974 +tp6595 +a(g744 +VUTF8String +p6596 +tp6597 +a(g822 +V\u000a +p6598 +tp6599 +a(g431 +Vpromote_rule +p6600 +tp6601 +a(g701 +g964 +tp6602 +a(g408 +V:: +p6603 +tp6604 +a(g744 +VType +p6605 +tp6606 +a(g701 +g1338 +tp6607 +a(g744 +VASCIIString +p6608 +tp6609 +a(g701 +g1346 +tp6610 +a(g701 +g1023 +tp6611 +a(g822 +g974 +tp6612 +a(g408 +V:: +p6613 +tp6614 +a(g744 +VType +p6615 +tp6616 +a(g701 +g1338 +tp6617 +a(g431 +VCharString +p6618 +tp6619 +a(g701 +g1346 +tp6620 +a(g822 +g974 +tp6621 +a(g701 +g972 +tp6622 +a(g822 +g974 +tp6623 +a(g408 +g976 +tp6624 +a(g822 +g974 +tp6625 +a(g744 +VUTF8String +p6626 +tp6627 +a(g822 +V\u000a +p6628 +tp6629 +a(g822 +V\u000a +p6630 +tp6631 +a(g7 +V## printing literal quoted string data ## +p6632 +tp6633 +a(g822 +V\u000a +p6634 +tp6635 +a(g822 +V\u000a +p6636 +tp6637 +a(g7 +V# TODO: this is really the inverse of print_unbackslashed +p6638 +tp6639 +a(g822 +V\u000a +p6640 +tp6641 +a(g822 +V\u000a +p6642 +tp6643 +a(g740 +Vfunction +p6644 +tp6645 +a(g822 +g974 +tp6646 +a(g431 +Vprint_quoted_literal +p6647 +tp6648 +a(g701 +g964 +tp6649 +a(g431 +g966 +tp6650 +a(g408 +V:: +p6651 +tp6652 +a(g431 +VString +p6653 +tp6654 +a(g701 +g972 +tp6655 +a(g822 +V\u000a +p6656 +tp6657 +a(g822 +V +p6658 +tp6659 +a(g431 +Vprint +p6660 +tp6661 +a(g701 +g964 +tp6662 +a(g88 +V'"' +p6663 +tp6664 +a(g701 +g972 +tp6665 +a(g822 +V\u000a +p6666 +tp6667 +a(g822 +V +p6668 +tp6669 +a(g740 +Vfor +p6670 +tp6671 +a(g822 +g974 +tp6672 +a(g431 +g1451 +tp6673 +a(g822 +g974 +tp6674 +a(g408 +g976 +tp6675 +a(g822 +g974 +tp6676 +a(g431 +g966 +tp6677 +a(g701 +g1455 +tp6678 +a(g822 +g974 +tp6679 +a(g431 +g1451 +tp6680 +a(g822 +g974 +tp6681 +a(g408 +V== +p6682 +tp6683 +a(g822 +g974 +tp6684 +a(g88 +V'"' +p6685 +tp6686 +a(g822 +g974 +tp6687 +a(g408 +g1656 +tp6688 +a(g822 +g974 +tp6689 +a(g431 +Vprint +p6690 +tp6691 +a(g701 +g964 +tp6692 +a(g84 +g982 +tp6693 +a(g208 +V\u005c\u005c +p6694 +tp6695 +a(g208 +V\u005c" +p6696 +tp6697 +a(g84 +g982 +tp6698 +a(g701 +g972 +tp6699 +a(g822 +g974 +tp6700 +a(g408 +g1380 +tp6701 +a(g822 +g974 +tp6702 +a(g431 +Vprint +p6703 +tp6704 +a(g701 +g964 +tp6705 +a(g431 +g1451 +tp6706 +a(g701 +g972 +tp6707 +a(g701 +g1455 +tp6708 +a(g822 +g974 +tp6709 +a(g740 +Vend +p6710 +tp6711 +a(g822 +V\u000a +p6712 +tp6713 +a(g822 +V +p6714 +tp6715 +a(g431 +Vprint +p6716 +tp6717 +a(g701 +g964 +tp6718 +a(g88 +V'"' +p6719 +tp6720 +a(g701 +g972 +tp6721 +a(g822 +V\u000a +p6722 +tp6723 +a(g740 +Vend +p6724 +tp6725 +a(g822 +V\u000a +p6726 +tp6727 +a(g822 +V\u000a +p6728 +tp6729 +a(g7 +V## string escaping & unescaping ## +p6730 +tp6731 +a(g822 +V\u000a +p6732 +tp6733 +a(g822 +V\u000a +p6734 +tp6735 +a(g431 +Vescape_nul +p6736 +tp6737 +a(g701 +g964 +tp6738 +a(g431 +g966 +tp6739 +a(g408 +V:: +p6740 +tp6741 +a(g431 +VString +p6742 +tp6743 +a(g701 +g1023 +tp6744 +a(g822 +g974 +tp6745 +a(g431 +g998 +tp6746 +a(g408 +V:: +p6747 +tp6748 +a(g744 +VInt +p6749 +tp6750 +a(g701 +g972 +tp6751 +a(g822 +g974 +tp6752 +a(g408 +g976 +tp6753 +a(g822 +V\u000a +p6754 +tp6755 +a(g822 +V +p6756 +tp6757 +a(g408 +g2685 +tp6758 +a(g431 +Vdone +p6759 +tp6760 +a(g701 +g964 +tp6761 +a(g431 +g966 +tp6762 +a(g701 +g1023 +tp6763 +a(g431 +g998 +tp6764 +a(g701 +g972 +tp6765 +a(g822 +g974 +tp6766 +a(g408 +V&& +p6767 +tp6768 +a(g822 +g974 +tp6769 +a(g88 +V'0' +p6770 +tp6771 +a(g822 +g974 +tp6772 +a(g408 +V<= +p6773 +tp6774 +a(g822 +g974 +tp6775 +a(g431 +Vnext +p6776 +tp6777 +a(g701 +g964 +tp6778 +a(g431 +g966 +tp6779 +a(g701 +g1023 +tp6780 +a(g431 +g998 +tp6781 +a(g701 +g972 +tp6782 +a(g701 +g1125 +tp6783 +a(g32 +g1134 +tp6784 +a(g701 +g1128 +tp6785 +a(g822 +g974 +tp6786 +a(g408 +V<= +p6787 +tp6788 +a(g822 +g974 +tp6789 +a(g88 +V'7' +p6790 +tp6791 +a(g822 +g974 +tp6792 +a(g408 +g1656 +tp6793 +a(g822 +g974 +tp6794 +a(g431 +g6475 +tp6795 +a(g84 +g982 +tp6796 +a(g208 +V\u005cx00 +p6797 +tp6798 +a(g84 +g982 +tp6799 +a(g822 +g974 +tp6800 +a(g408 +g1380 +tp6801 +a(g822 +g974 +tp6802 +a(g431 +g6475 +tp6803 +a(g84 +g982 +tp6804 +a(g208 +V\u005c0 +p6805 +tp6806 +a(g84 +g982 +tp6807 +a(g822 +V\u000a +p6808 +tp6809 +a(g822 +V\u000a +p6810 +tp6811 +a(g431 +Vis_hex_digit +p6812 +tp6813 +a(g701 +g964 +tp6814 +a(g431 +g1451 +tp6815 +a(g408 +V:: +p6816 +tp6817 +a(g744 +VChar +p6818 +tp6819 +a(g701 +g972 +tp6820 +a(g822 +g974 +tp6821 +a(g408 +g976 +tp6822 +a(g822 +g974 +tp6823 +a(g88 +V'0' +p6824 +tp6825 +a(g408 +V<= +p6826 +tp6827 +a(g431 +g1451 +tp6828 +a(g408 +V<= +p6829 +tp6830 +a(g88 +V'9' +p6831 +tp6832 +a(g822 +g974 +tp6833 +a(g408 +V|| +p6834 +tp6835 +a(g822 +g974 +tp6836 +a(g88 +V'a' +p6837 +tp6838 +a(g408 +V<= +p6839 +tp6840 +a(g431 +g1451 +tp6841 +a(g408 +V<= +p6842 +tp6843 +a(g88 +V'f' +p6844 +tp6845 +a(g822 +g974 +tp6846 +a(g408 +V|| +p6847 +tp6848 +a(g822 +g974 +tp6849 +a(g88 +V'A' +p6850 +tp6851 +a(g408 +V<= +p6852 +tp6853 +a(g431 +g1451 +tp6854 +a(g408 +V<= +p6855 +tp6856 +a(g88 +V'F' +p6857 +tp6858 +a(g822 +V\u000a +p6859 +tp6860 +a(g431 +Vneed_full_hex +p6861 +tp6862 +a(g701 +g964 +tp6863 +a(g431 +g966 +tp6864 +a(g408 +V:: +p6865 +tp6866 +a(g431 +VString +p6867 +tp6868 +a(g701 +g1023 +tp6869 +a(g822 +g974 +tp6870 +a(g431 +g998 +tp6871 +a(g408 +V:: +p6872 +tp6873 +a(g744 +VInt +p6874 +tp6875 +a(g701 +g972 +tp6876 +a(g822 +g974 +tp6877 +a(g408 +g976 +tp6878 +a(g822 +g974 +tp6879 +a(g408 +g2685 +tp6880 +a(g431 +Vdone +p6881 +tp6882 +a(g701 +g964 +tp6883 +a(g431 +g966 +tp6884 +a(g701 +g1023 +tp6885 +a(g431 +g998 +tp6886 +a(g701 +g972 +tp6887 +a(g822 +g974 +tp6888 +a(g408 +V&& +p6889 +tp6890 +a(g822 +g974 +tp6891 +a(g431 +Vis_hex_digit +p6892 +tp6893 +a(g701 +g964 +tp6894 +a(g431 +Vnext +p6895 +tp6896 +a(g701 +g964 +tp6897 +a(g431 +g966 +tp6898 +a(g701 +g1023 +tp6899 +a(g431 +g998 +tp6900 +a(g701 +g972 +tp6901 +a(g701 +g1125 +tp6902 +a(g32 +g1134 +tp6903 +a(g701 +g1128 +tp6904 +a(g701 +g972 +tp6905 +a(g822 +V\u000a +p6906 +tp6907 +a(g822 +V\u000a +p6908 +tp6909 +a(g740 +Vfunction +p6910 +tp6911 +a(g822 +g974 +tp6912 +a(g431 +Vprint_escaped +p6913 +tp6914 +a(g701 +g964 +tp6915 +a(g431 +g966 +tp6916 +a(g408 +V:: +p6917 +tp6918 +a(g431 +VString +p6919 +tp6920 +a(g701 +g1023 +tp6921 +a(g822 +g974 +tp6922 +a(g431 +Vesc +p6923 +tp6924 +a(g408 +V:: +p6925 +tp6926 +a(g431 +VString +p6927 +tp6928 +a(g701 +g972 +tp6929 +a(g822 +V\u000a +p6930 +tp6931 +a(g822 +V +p6932 +tp6933 +a(g431 +g998 +tp6934 +a(g822 +g974 +tp6935 +a(g408 +g976 +tp6936 +a(g822 +g974 +tp6937 +a(g431 +Vstart +p6938 +tp6939 +a(g701 +g964 +tp6940 +a(g431 +g966 +tp6941 +a(g701 +g972 +tp6942 +a(g822 +V\u000a +p6943 +tp6944 +a(g822 +V +p6945 +tp6946 +a(g740 +Vwhile +p6947 +tp6948 +a(g822 +g974 +tp6949 +a(g408 +g2685 +tp6950 +a(g431 +Vdone +p6951 +tp6952 +a(g701 +g964 +tp6953 +a(g431 +g966 +tp6954 +a(g701 +g1023 +tp6955 +a(g431 +g998 +tp6956 +a(g701 +g972 +tp6957 +a(g822 +V\u000a +p6958 +tp6959 +a(g822 +V +p6960 +tp6961 +a(g431 +g1451 +tp6962 +a(g701 +g1023 +tp6963 +a(g822 +g974 +tp6964 +a(g431 +g1818 +tp6965 +a(g822 +g974 +tp6966 +a(g408 +g976 +tp6967 +a(g822 +g974 +tp6968 +a(g431 +Vnext +p6969 +tp6970 +a(g701 +g964 +tp6971 +a(g431 +g966 +tp6972 +a(g701 +g1023 +tp6973 +a(g431 +g998 +tp6974 +a(g701 +g972 +tp6975 +a(g822 +V\u000a +p6976 +tp6977 +a(g822 +V +p6978 +tp6979 +a(g431 +g1451 +tp6980 +a(g822 +g974 +tp6981 +a(g408 +V== +p6982 +tp6983 +a(g822 +g974 +tp6984 +a(g88 +V'\u005c0' +p6985 +tp6986 +a(g822 +V +p6987 +tp6988 +a(g408 +g1656 +tp6989 +a(g822 +g974 +tp6990 +a(g431 +Vprint +p6991 +tp6992 +a(g701 +g964 +tp6993 +a(g431 +Vescape_nul +p6994 +tp6995 +a(g701 +g964 +tp6996 +a(g431 +g966 +tp6997 +a(g701 +g1023 +tp6998 +a(g431 +g1818 +tp6999 +a(g701 +g972 +tp7000 +a(g701 +g972 +tp7001 +a(g822 +g974 +tp7002 +a(g408 +g1380 +tp7003 +a(g822 +V\u000a +p7004 +tp7005 +a(g822 +V +p7006 +tp7007 +a(g431 +g1451 +tp7008 +a(g822 +g974 +tp7009 +a(g408 +V== +p7010 +tp7011 +a(g822 +g974 +tp7012 +a(g88 +V'\u005ce' +p7013 +tp7014 +a(g822 +V +p7015 +tp7016 +a(g408 +g1656 +tp7017 +a(g822 +g974 +tp7018 +a(g431 +Vprint +p7019 +tp7020 +a(g701 +g964 +tp7021 +a(g431 +g6475 +tp7022 +a(g84 +g982 +tp7023 +a(g84 +V\u005c +p7024 +tp7025 +a(g84 +g1005 +tp7026 +a(g84 +g982 +tp7027 +a(g701 +g972 +tp7028 +a(g822 +g974 +tp7029 +a(g408 +g1380 +tp7030 +a(g822 +V\u000a +p7031 +tp7032 +a(g822 +V +p7033 +tp7034 +a(g431 +g1451 +tp7035 +a(g822 +g974 +tp7036 +a(g408 +V== +p7037 +tp7038 +a(g822 +g974 +tp7039 +a(g88 +V'\u005c\u005c' +p7040 +tp7041 +a(g822 +V +p7042 +tp7043 +a(g408 +g1656 +tp7044 +a(g822 +g974 +tp7045 +a(g431 +Vprint +p7046 +tp7047 +a(g701 +g964 +tp7048 +a(g84 +g982 +tp7049 +a(g208 +V\u005c\u005c +p7050 +tp7051 +a(g208 +V\u005c\u005c +p7052 +tp7053 +a(g84 +g982 +tp7054 +a(g701 +g972 +tp7055 +a(g822 +g974 +tp7056 +a(g408 +g1380 +tp7057 +a(g822 +V\u000a +p7058 +tp7059 +a(g822 +V +p7060 +tp7061 +a(g431 +Vcontains +p7062 +tp7063 +a(g701 +g964 +tp7064 +a(g431 +Vesc +p7065 +tp7066 +a(g701 +g1023 +tp7067 +a(g431 +g1451 +tp7068 +a(g701 +g972 +tp7069 +a(g822 +g974 +tp7070 +a(g408 +g1656 +tp7071 +a(g822 +g974 +tp7072 +a(g431 +Vprint +p7073 +tp7074 +a(g701 +g964 +tp7075 +a(g88 +V'\u005c\u005c' +p7076 +tp7077 +a(g701 +g1023 +tp7078 +a(g822 +g974 +tp7079 +a(g431 +g1451 +tp7080 +a(g701 +g972 +tp7081 +a(g822 +g974 +tp7082 +a(g408 +g1380 +tp7083 +a(g822 +V\u000a +p7084 +tp7085 +a(g822 +V +p7086 +tp7087 +a(g431 +Viswprint +p7088 +tp7089 +a(g701 +g964 +tp7090 +a(g431 +g1451 +tp7091 +a(g701 +g972 +tp7092 +a(g822 +V +p7093 +tp7094 +a(g408 +g1656 +tp7095 +a(g822 +g974 +tp7096 +a(g431 +Vprint +p7097 +tp7098 +a(g701 +g964 +tp7099 +a(g431 +g1451 +tp7100 +a(g701 +g972 +tp7101 +a(g822 +g974 +tp7102 +a(g408 +g1380 +tp7103 +a(g822 +V\u000a +p7104 +tp7105 +a(g822 +V +p7106 +tp7107 +a(g32 +V7 +p7108 +tp7109 +a(g822 +g974 +tp7110 +a(g408 +V<= +p7111 +tp7112 +a(g822 +g974 +tp7113 +a(g431 +g1451 +tp7114 +a(g822 +g974 +tp7115 +a(g408 +V<= +p7116 +tp7117 +a(g822 +g974 +tp7118 +a(g32 +V13 +p7119 +tp7120 +a(g822 +V +p7121 +tp7122 +a(g408 +g1656 +tp7123 +a(g822 +g974 +tp7124 +a(g431 +Vprint +p7125 +tp7126 +a(g701 +g964 +tp7127 +a(g88 +V'\u005c\u005c' +p7128 +tp7129 +a(g701 +g1023 +tp7130 +a(g822 +g974 +tp7131 +a(g84 +g982 +tp7132 +a(g84 +g1709 +tp7133 +a(g84 +g2948 +tp7134 +a(g84 +g995 +tp7135 +a(g84 +g1009 +tp7136 +a(g84 +g6465 +tp7137 +a(g84 +g1705 +tp7138 +a(g84 +g1356 +tp7139 +a(g84 +g982 +tp7140 +a(g701 +g1125 +tp7141 +a(g431 +g1451 +tp7142 +a(g408 +g2021 +tp7143 +a(g32 +V6 +p7144 +tp7145 +a(g701 +g1128 +tp7146 +a(g701 +g972 +tp7147 +a(g822 +g974 +tp7148 +a(g408 +g1380 +tp7149 +a(g822 +V\u000a +p7150 +tp7151 +a(g822 +V +p7152 +tp7153 +a(g431 +g1451 +tp7154 +a(g822 +g974 +tp7155 +a(g408 +V<= +p7156 +tp7157 +a(g822 +g974 +tp7158 +a(g88 +V'\u005cx7f' +p7159 +tp7160 +a(g822 +V +p7161 +tp7162 +a(g408 +g1656 +tp7163 +a(g822 +g974 +tp7164 +a(g431 +Vprint +p7165 +tp7166 +a(g701 +g964 +tp7167 +a(g431 +g6475 +tp7168 +a(g84 +g982 +tp7169 +a(g84 +V\u005c +p7170 +tp7171 +a(g84 +g1081 +tp7172 +a(g84 +g982 +tp7173 +a(g701 +g1023 +tp7174 +a(g822 +g974 +tp7175 +a(g431 +Vhex +p7176 +tp7177 +a(g701 +g964 +tp7178 +a(g431 +g1451 +tp7179 +a(g701 +g1023 +tp7180 +a(g822 +g974 +tp7181 +a(g32 +V2 +p7182 +tp7183 +a(g701 +g972 +tp7184 +a(g701 +g972 +tp7185 +a(g822 +g974 +tp7186 +a(g408 +g1380 +tp7187 +a(g822 +V\u000a +p7188 +tp7189 +a(g822 +V +p7190 +tp7191 +a(g431 +g1451 +tp7192 +a(g822 +g974 +tp7193 +a(g408 +V<= +p7194 +tp7195 +a(g822 +g974 +tp7196 +a(g88 +V'\u005cuffff' +p7197 +tp7198 +a(g822 +V +p7199 +tp7200 +a(g408 +g1656 +tp7201 +a(g822 +g974 +tp7202 +a(g431 +Vprint +p7203 +tp7204 +a(g701 +g964 +tp7205 +a(g431 +g6475 +tp7206 +a(g84 +g982 +tp7207 +a(g84 +V\u005c +p7208 +tp7209 +a(g84 +g988 +tp7210 +a(g84 +g982 +tp7211 +a(g701 +g1023 +tp7212 +a(g822 +g974 +tp7213 +a(g431 +Vhex +p7214 +tp7215 +a(g701 +g964 +tp7216 +a(g431 +g1451 +tp7217 +a(g701 +g1023 +tp7218 +a(g822 +g974 +tp7219 +a(g431 +Vneed_full_hex +p7220 +tp7221 +a(g701 +g964 +tp7222 +a(g431 +g966 +tp7223 +a(g701 +g1023 +tp7224 +a(g431 +g1818 +tp7225 +a(g701 +g972 +tp7226 +a(g822 +g974 +tp7227 +a(g408 +g1656 +tp7228 +a(g822 +g974 +tp7229 +a(g32 +V4 +p7230 +tp7231 +a(g822 +g974 +tp7232 +a(g408 +g1380 +tp7233 +a(g822 +g974 +tp7234 +a(g32 +g7182 +tp7235 +a(g701 +g972 +tp7236 +a(g701 +g972 +tp7237 +a(g822 +g974 +tp7238 +a(g408 +g1380 +tp7239 +a(g822 +V\u000a +p7240 +tp7241 +a(g822 +V +p7242 +tp7243 +a(g431 +Vprint +p7244 +tp7245 +a(g701 +g964 +tp7246 +a(g431 +g6475 +tp7247 +a(g84 +g982 +tp7248 +a(g84 +V\u005c +p7249 +tp7250 +a(g84 +g6473 +tp7251 +a(g84 +g982 +tp7252 +a(g701 +g1023 +tp7253 +a(g822 +g974 +tp7254 +a(g431 +Vhex +p7255 +tp7256 +a(g701 +g964 +tp7257 +a(g431 +g1451 +tp7258 +a(g701 +g1023 +tp7259 +a(g822 +g974 +tp7260 +a(g431 +Vneed_full_hex +p7261 +tp7262 +a(g701 +g964 +tp7263 +a(g431 +g966 +tp7264 +a(g701 +g1023 +tp7265 +a(g431 +g1818 +tp7266 +a(g701 +g972 +tp7267 +a(g822 +g974 +tp7268 +a(g408 +g1656 +tp7269 +a(g822 +g974 +tp7270 +a(g32 +V8 +p7271 +tp7272 +a(g822 +g974 +tp7273 +a(g408 +g1380 +tp7274 +a(g822 +g974 +tp7275 +a(g32 +g7230 +tp7276 +a(g701 +g972 +tp7277 +a(g701 +g972 +tp7278 +a(g822 +V\u000a +p7279 +tp7280 +a(g822 +V +p7281 +tp7282 +a(g431 +g998 +tp7283 +a(g822 +g974 +tp7284 +a(g408 +g976 +tp7285 +a(g822 +g974 +tp7286 +a(g431 +g1818 +tp7287 +a(g822 +V\u000a +p7288 +tp7289 +a(g822 +V +p7290 +tp7291 +a(g740 +Vend +p7292 +tp7293 +a(g822 +V\u000a +p7294 +tp7295 +a(g740 +Vend +p7296 +tp7297 +a(g822 +V\u000a +p7298 +tp7299 +a(g822 +V\u000a +p7300 +tp7301 +a(g431 +Vescape_string +p7302 +tp7303 +a(g701 +g964 +tp7304 +a(g431 +g966 +tp7305 +a(g408 +V:: +p7306 +tp7307 +a(g431 +VString +p7308 +tp7309 +a(g701 +g972 +tp7310 +a(g822 +g974 +tp7311 +a(g408 +g976 +tp7312 +a(g822 +g974 +tp7313 +a(g431 +Vprint_to_string +p7314 +tp7315 +a(g701 +g964 +tp7316 +a(g431 +Vlength +p7317 +tp7318 +a(g701 +g964 +tp7319 +a(g431 +g966 +tp7320 +a(g701 +g972 +tp7321 +a(g701 +g1023 +tp7322 +a(g822 +g974 +tp7323 +a(g431 +Vprint_escaped +p7324 +tp7325 +a(g701 +g1023 +tp7326 +a(g822 +g974 +tp7327 +a(g431 +g966 +tp7328 +a(g701 +g1023 +tp7329 +a(g822 +g974 +tp7330 +a(g84 +g982 +tp7331 +a(g208 +V\u005c" +p7332 +tp7333 +a(g84 +g982 +tp7334 +a(g701 +g972 +tp7335 +a(g822 +V\u000a +p7336 +tp7337 +a(g431 +Vprint_quoted +p7338 +tp7339 +a(g701 +g964 +tp7340 +a(g431 +g966 +tp7341 +a(g408 +V:: +p7342 +tp7343 +a(g431 +VString +p7344 +tp7345 +a(g701 +g972 +tp7346 +a(g822 +g974 +tp7347 +a(g408 +g976 +tp7348 +a(g822 +g974 +tp7349 +a(g701 +g964 +tp7350 +a(g431 +Vprint +p7351 +tp7352 +a(g701 +g964 +tp7353 +a(g88 +V'"' +p7354 +tp7355 +a(g701 +g972 +tp7356 +a(g701 +g1455 +tp7357 +a(g822 +g974 +tp7358 +a(g431 +Vprint_escaped +p7359 +tp7360 +a(g701 +g964 +tp7361 +a(g431 +g966 +tp7362 +a(g701 +g1023 +tp7363 +a(g822 +g974 +tp7364 +a(g84 +g982 +tp7365 +a(g208 +V\u005c" +p7366 +tp7367 +a(g208 +V\u005c$ +p7368 +tp7369 +a(g84 +g982 +tp7370 +a(g701 +g972 +tp7371 +a(g701 +g1455 +tp7372 +a(g822 +g974 +tp7373 +a(g431 +Vprint +p7374 +tp7375 +a(g701 +g964 +tp7376 +a(g88 +V'"' +p7377 +tp7378 +a(g701 +g972 +tp7379 +a(g701 +g972 +tp7380 +a(g822 +V\u000a +p7381 +tp7382 +a(g7 +V#" # work around syntax highlighting problem +p7383 +tp7384 +a(g822 +V\u000a +p7385 +tp7386 +a(g431 +Vquote_string +p7387 +tp7388 +a(g701 +g964 +tp7389 +a(g431 +g966 +tp7390 +a(g408 +V:: +p7391 +tp7392 +a(g431 +VString +p7393 +tp7394 +a(g701 +g972 +tp7395 +a(g822 +g974 +tp7396 +a(g408 +g976 +tp7397 +a(g822 +g974 +tp7398 +a(g431 +Vprint_to_string +p7399 +tp7400 +a(g701 +g964 +tp7401 +a(g431 +Vlength +p7402 +tp7403 +a(g701 +g964 +tp7404 +a(g431 +g966 +tp7405 +a(g701 +g972 +tp7406 +a(g408 +g1132 +tp7407 +a(g32 +g7182 +tp7408 +a(g701 +g1023 +tp7409 +a(g822 +g974 +tp7410 +a(g431 +Vprint_quoted +p7411 +tp7412 +a(g701 +g1023 +tp7413 +a(g822 +g974 +tp7414 +a(g431 +g966 +tp7415 +a(g701 +g972 +tp7416 +a(g822 +V\u000a +p7417 +tp7418 +a(g822 +V\u000a +p7419 +tp7420 +a(g7 +V# bare minimum unescaping function unescapes only given characters +p7421 +tp7422 +a(g822 +V\u000a +p7423 +tp7424 +a(g822 +V\u000a +p7425 +tp7426 +a(g740 +Vfunction +p7427 +tp7428 +a(g822 +g974 +tp7429 +a(g431 +Vprint_unescaped_chars +p7430 +tp7431 +a(g701 +g964 +tp7432 +a(g431 +g966 +tp7433 +a(g408 +V:: +p7434 +tp7435 +a(g431 +VString +p7436 +tp7437 +a(g701 +g1023 +tp7438 +a(g822 +g974 +tp7439 +a(g431 +Vesc +p7440 +tp7441 +a(g408 +V:: +p7442 +tp7443 +a(g431 +VString +p7444 +tp7445 +a(g701 +g972 +tp7446 +a(g822 +V\u000a +p7447 +tp7448 +a(g822 +V +p7449 +tp7450 +a(g740 +Vif +p7451 +tp7452 +a(g822 +g974 +tp7453 +a(g408 +g2685 +tp7454 +a(g431 +Vcontains +p7455 +tp7456 +a(g701 +g964 +tp7457 +a(g431 +Vesc +p7458 +tp7459 +a(g701 +g1023 +tp7460 +a(g88 +V'\u005c\u005c' +p7461 +tp7462 +a(g701 +g972 +tp7463 +a(g822 +V\u000a +p7464 +tp7465 +a(g822 +V +p7466 +tp7467 +a(g431 +Vesc +p7468 +tp7469 +a(g822 +g974 +tp7470 +a(g408 +g976 +tp7471 +a(g822 +g974 +tp7472 +a(g431 +Vstrcat +p7473 +tp7474 +a(g701 +g964 +tp7475 +a(g84 +g982 +tp7476 +a(g208 +V\u005c\u005c +p7477 +tp7478 +a(g84 +g982 +tp7479 +a(g701 +g1023 +tp7480 +a(g822 +g974 +tp7481 +a(g431 +Vesc +p7482 +tp7483 +a(g701 +g972 +tp7484 +a(g822 +V\u000a +p7485 +tp7486 +a(g822 +V +p7487 +tp7488 +a(g740 +Vend +p7489 +tp7490 +a(g822 +V\u000a +p7491 +tp7492 +a(g822 +V +p7493 +tp7494 +a(g431 +g998 +tp7495 +a(g822 +g974 +tp7496 +a(g408 +g976 +tp7497 +a(g822 +g974 +tp7498 +a(g431 +Vstart +p7499 +tp7500 +a(g701 +g964 +tp7501 +a(g431 +g966 +tp7502 +a(g701 +g972 +tp7503 +a(g822 +V\u000a +p7504 +tp7505 +a(g822 +V +p7506 +tp7507 +a(g740 +Vwhile +p7508 +tp7509 +a(g822 +g974 +tp7510 +a(g408 +g2685 +tp7511 +a(g431 +Vdone +p7512 +tp7513 +a(g701 +g964 +tp7514 +a(g431 +g966 +tp7515 +a(g701 +g1023 +tp7516 +a(g431 +g998 +tp7517 +a(g701 +g972 +tp7518 +a(g822 +V\u000a +p7519 +tp7520 +a(g822 +V +p7521 +tp7522 +a(g431 +g1451 +tp7523 +a(g701 +g1023 +tp7524 +a(g822 +g974 +tp7525 +a(g431 +g998 +tp7526 +a(g822 +g974 +tp7527 +a(g408 +g976 +tp7528 +a(g822 +g974 +tp7529 +a(g431 +Vnext +p7530 +tp7531 +a(g701 +g964 +tp7532 +a(g431 +g966 +tp7533 +a(g701 +g1023 +tp7534 +a(g431 +g998 +tp7535 +a(g701 +g972 +tp7536 +a(g822 +V\u000a +p7537 +tp7538 +a(g822 +V +p7539 +tp7540 +a(g740 +Vif +p7541 +tp7542 +a(g822 +g974 +tp7543 +a(g431 +g1451 +tp7544 +a(g822 +g974 +tp7545 +a(g408 +V== +p7546 +tp7547 +a(g822 +g974 +tp7548 +a(g88 +V'\u005c\u005c' +p7549 +tp7550 +a(g822 +g974 +tp7551 +a(g408 +V&& +p7552 +tp7553 +a(g822 +g974 +tp7554 +a(g408 +g2685 +tp7555 +a(g431 +Vdone +p7556 +tp7557 +a(g701 +g964 +tp7558 +a(g431 +g966 +tp7559 +a(g701 +g1023 +tp7560 +a(g431 +g998 +tp7561 +a(g701 +g972 +tp7562 +a(g822 +g974 +tp7563 +a(g408 +V&& +p7564 +tp7565 +a(g822 +g974 +tp7566 +a(g431 +Vcontains +p7567 +tp7568 +a(g701 +g964 +tp7569 +a(g431 +Vesc +p7570 +tp7571 +a(g701 +g1023 +tp7572 +a(g431 +g966 +tp7573 +a(g701 +g1125 +tp7574 +a(g431 +g998 +tp7575 +a(g701 +g1128 +tp7576 +a(g701 +g972 +tp7577 +a(g822 +V\u000a +p7578 +tp7579 +a(g822 +V +p7580 +tp7581 +a(g431 +g1451 +tp7582 +a(g701 +g1023 +tp7583 +a(g822 +g974 +tp7584 +a(g431 +g998 +tp7585 +a(g822 +g974 +tp7586 +a(g408 +g976 +tp7587 +a(g822 +g974 +tp7588 +a(g431 +Vnext +p7589 +tp7590 +a(g701 +g964 +tp7591 +a(g431 +g966 +tp7592 +a(g701 +g1023 +tp7593 +a(g431 +g998 +tp7594 +a(g701 +g972 +tp7595 +a(g822 +V\u000a +p7596 +tp7597 +a(g822 +V +p7598 +tp7599 +a(g740 +Vend +p7600 +tp7601 +a(g822 +V\u000a +p7602 +tp7603 +a(g822 +V +p7604 +tp7605 +a(g431 +Vprint +p7606 +tp7607 +a(g701 +g964 +tp7608 +a(g431 +g1451 +tp7609 +a(g701 +g972 +tp7610 +a(g822 +V\u000a +p7611 +tp7612 +a(g822 +V +p7613 +tp7614 +a(g740 +Vend +p7615 +tp7616 +a(g822 +V\u000a +p7617 +tp7618 +a(g740 +Vend +p7619 +tp7620 +a(g822 +V\u000a +p7621 +tp7622 +a(g822 +V\u000a +p7623 +tp7624 +a(g431 +Vunescape_chars +p7625 +tp7626 +a(g701 +g964 +tp7627 +a(g431 +g966 +tp7628 +a(g408 +V:: +p7629 +tp7630 +a(g431 +VString +p7631 +tp7632 +a(g701 +g1023 +tp7633 +a(g822 +g974 +tp7634 +a(g431 +Vesc +p7635 +tp7636 +a(g408 +V:: +p7637 +tp7638 +a(g431 +VString +p7639 +tp7640 +a(g701 +g972 +tp7641 +a(g822 +g974 +tp7642 +a(g408 +g976 +tp7643 +a(g822 +V\u000a +p7644 +tp7645 +a(g822 +V +p7646 +tp7647 +a(g431 +Vprint_to_string +p7648 +tp7649 +a(g701 +g964 +tp7650 +a(g431 +Vlength +p7651 +tp7652 +a(g701 +g964 +tp7653 +a(g431 +g966 +tp7654 +a(g701 +g972 +tp7655 +a(g701 +g1023 +tp7656 +a(g822 +g974 +tp7657 +a(g431 +Vprint_unescaped_chars +p7658 +tp7659 +a(g701 +g1023 +tp7660 +a(g822 +g974 +tp7661 +a(g431 +g966 +tp7662 +a(g701 +g1023 +tp7663 +a(g822 +g974 +tp7664 +a(g431 +Vesc +p7665 +tp7666 +a(g701 +g972 +tp7667 +a(g822 +V\u000a +p7668 +tp7669 +a(g822 +V\u000a +p7670 +tp7671 +a(g7 +V# general unescaping of traditional C and Unicode escape sequences +p7672 +tp7673 +a(g822 +V\u000a +p7674 +tp7675 +a(g822 +V\u000a +p7676 +tp7677 +a(g740 +Vfunction +p7678 +tp7679 +a(g822 +g974 +tp7680 +a(g431 +Vprint_unescaped +p7681 +tp7682 +a(g701 +g964 +tp7683 +a(g431 +g966 +tp7684 +a(g408 +V:: +p7685 +tp7686 +a(g431 +VString +p7687 +tp7688 +a(g701 +g972 +tp7689 +a(g822 +V\u000a +p7690 +tp7691 +a(g822 +V +p7692 +tp7693 +a(g431 +g998 +tp7694 +a(g822 +g974 +tp7695 +a(g408 +g976 +tp7696 +a(g822 +g974 +tp7697 +a(g431 +Vstart +p7698 +tp7699 +a(g701 +g964 +tp7700 +a(g431 +g966 +tp7701 +a(g701 +g972 +tp7702 +a(g822 +V\u000a +p7703 +tp7704 +a(g822 +V +p7705 +tp7706 +a(g740 +Vwhile +p7707 +tp7708 +a(g822 +g974 +tp7709 +a(g408 +g2685 +tp7710 +a(g431 +Vdone +p7711 +tp7712 +a(g701 +g964 +tp7713 +a(g431 +g966 +tp7714 +a(g701 +g1023 +tp7715 +a(g431 +g998 +tp7716 +a(g701 +g972 +tp7717 +a(g822 +V\u000a +p7718 +tp7719 +a(g822 +V +p7720 +tp7721 +a(g431 +g1451 +tp7722 +a(g701 +g1023 +tp7723 +a(g822 +g974 +tp7724 +a(g431 +g998 +tp7725 +a(g822 +g974 +tp7726 +a(g408 +g976 +tp7727 +a(g822 +g974 +tp7728 +a(g431 +Vnext +p7729 +tp7730 +a(g701 +g964 +tp7731 +a(g431 +g966 +tp7732 +a(g701 +g1023 +tp7733 +a(g431 +g998 +tp7734 +a(g701 +g972 +tp7735 +a(g822 +V\u000a +p7736 +tp7737 +a(g822 +V +p7738 +tp7739 +a(g740 +Vif +p7740 +tp7741 +a(g822 +g974 +tp7742 +a(g408 +g2685 +tp7743 +a(g431 +Vdone +p7744 +tp7745 +a(g701 +g964 +tp7746 +a(g431 +g966 +tp7747 +a(g701 +g1023 +tp7748 +a(g431 +g998 +tp7749 +a(g701 +g972 +tp7750 +a(g822 +g974 +tp7751 +a(g408 +V&& +p7752 +tp7753 +a(g822 +g974 +tp7754 +a(g431 +g1451 +tp7755 +a(g822 +g974 +tp7756 +a(g408 +V== +p7757 +tp7758 +a(g822 +g974 +tp7759 +a(g88 +V'\u005c\u005c' +p7760 +tp7761 +a(g822 +V\u000a +p7762 +tp7763 +a(g822 +V +p7764 +tp7765 +a(g431 +g1451 +tp7766 +a(g701 +g1023 +tp7767 +a(g822 +g974 +tp7768 +a(g431 +g998 +tp7769 +a(g822 +g974 +tp7770 +a(g408 +g976 +tp7771 +a(g822 +g974 +tp7772 +a(g431 +Vnext +p7773 +tp7774 +a(g701 +g964 +tp7775 +a(g431 +g966 +tp7776 +a(g701 +g1023 +tp7777 +a(g431 +g998 +tp7778 +a(g701 +g972 +tp7779 +a(g822 +V\u000a +p7780 +tp7781 +a(g822 +V +p7782 +tp7783 +a(g740 +Vif +p7784 +tp7785 +a(g822 +g974 +tp7786 +a(g431 +g1451 +tp7787 +a(g822 +g974 +tp7788 +a(g408 +V== +p7789 +tp7790 +a(g822 +g974 +tp7791 +a(g88 +V'x' +p7792 +tp7793 +a(g822 +g974 +tp7794 +a(g408 +V|| +p7795 +tp7796 +a(g822 +g974 +tp7797 +a(g431 +g1451 +tp7798 +a(g822 +g974 +tp7799 +a(g408 +V== +p7800 +tp7801 +a(g822 +g974 +tp7802 +a(g88 +V'u' +p7803 +tp7804 +a(g822 +g974 +tp7805 +a(g408 +V|| +p7806 +tp7807 +a(g822 +g974 +tp7808 +a(g431 +g1451 +tp7809 +a(g822 +g974 +tp7810 +a(g408 +V== +p7811 +tp7812 +a(g822 +g974 +tp7813 +a(g88 +V'U' +p7814 +tp7815 +a(g822 +V\u000a +p7816 +tp7817 +a(g822 +V +p7818 +tp7819 +a(g431 +g1009 +tp7820 +a(g822 +g974 +tp7821 +a(g408 +g976 +tp7822 +a(g822 +g974 +tp7823 +a(g431 +g2385 +tp7824 +a(g822 +g974 +tp7825 +a(g408 +g976 +tp7826 +a(g822 +g974 +tp7827 +a(g32 +g1785 +tp7828 +a(g822 +V\u000a +p7829 +tp7830 +a(g822 +V +p7831 +tp7832 +a(g431 +g991 +tp7833 +a(g822 +g974 +tp7834 +a(g408 +g976 +tp7835 +a(g822 +g974 +tp7836 +a(g431 +g1451 +tp7837 +a(g822 +g974 +tp7838 +a(g408 +V== +p7839 +tp7840 +a(g822 +g974 +tp7841 +a(g88 +V'x' +p7842 +tp7843 +a(g822 +g974 +tp7844 +a(g408 +g1656 +tp7845 +a(g822 +g974 +tp7846 +a(g32 +g7182 +tp7847 +a(g822 +g974 +tp7848 +a(g408 +g1380 +tp7849 +a(g822 +V\u000a +p7850 +tp7851 +a(g822 +V +p7852 +tp7853 +a(g431 +g1451 +tp7854 +a(g822 +g974 +tp7855 +a(g408 +V== +p7856 +tp7857 +a(g822 +g974 +tp7858 +a(g88 +V'u' +p7859 +tp7860 +a(g822 +g974 +tp7861 +a(g408 +g1656 +tp7862 +a(g822 +g974 +tp7863 +a(g32 +g7230 +tp7864 +a(g822 +g974 +tp7865 +a(g408 +g1380 +tp7866 +a(g822 +g974 +tp7867 +a(g32 +g7271 +tp7868 +a(g822 +V\u000a +p7869 +tp7870 +a(g822 +V +p7871 +tp7872 +a(g740 +Vwhile +p7873 +tp7874 +a(g822 +g974 +tp7875 +a(g701 +g964 +tp7876 +a(g431 +g2385 +tp7877 +a(g408 +V+= +p7878 +tp7879 +a(g32 +g1134 +tp7880 +a(g701 +g972 +tp7881 +a(g822 +g974 +tp7882 +a(g408 +V<= +p7883 +tp7884 +a(g822 +g974 +tp7885 +a(g431 +g991 +tp7886 +a(g822 +g974 +tp7887 +a(g408 +V&& +p7888 +tp7889 +a(g822 +g974 +tp7890 +a(g408 +g2685 +tp7891 +a(g431 +Vdone +p7892 +tp7893 +a(g701 +g964 +tp7894 +a(g431 +g966 +tp7895 +a(g701 +g1023 +tp7896 +a(g431 +g998 +tp7897 +a(g701 +g972 +tp7898 +a(g822 +V\u000a +p7899 +tp7900 +a(g822 +V +p7901 +tp7902 +a(g431 +g1451 +tp7903 +a(g701 +g1023 +tp7904 +a(g822 +g974 +tp7905 +a(g431 +g1818 +tp7906 +a(g822 +g974 +tp7907 +a(g408 +g976 +tp7908 +a(g822 +g974 +tp7909 +a(g431 +Vnext +p7910 +tp7911 +a(g701 +g964 +tp7912 +a(g431 +g966 +tp7913 +a(g701 +g1023 +tp7914 +a(g431 +g998 +tp7915 +a(g701 +g972 +tp7916 +a(g822 +V\u000a +p7917 +tp7918 +a(g822 +V +p7919 +tp7920 +a(g431 +g1009 +tp7921 +a(g822 +g974 +tp7922 +a(g408 +g976 +tp7923 +a(g822 +g974 +tp7924 +a(g88 +V'0' +p7925 +tp7926 +a(g822 +g974 +tp7927 +a(g408 +V<= +p7928 +tp7929 +a(g822 +g974 +tp7930 +a(g431 +g1451 +tp7931 +a(g822 +g974 +tp7932 +a(g408 +V<= +p7933 +tp7934 +a(g822 +g974 +tp7935 +a(g88 +V'9' +p7936 +tp7937 +a(g822 +g974 +tp7938 +a(g408 +g1656 +tp7939 +a(g822 +g974 +tp7940 +a(g431 +g1009 +tp7941 +a(g408 +V<< +p7942 +tp7943 +a(g32 +g7230 +tp7944 +a(g822 +g974 +tp7945 +a(g408 +g1132 +tp7946 +a(g822 +g974 +tp7947 +a(g431 +g1451 +tp7948 +a(g408 +g2021 +tp7949 +a(g88 +V'0' +p7950 +tp7951 +a(g822 +g974 +tp7952 +a(g408 +g1380 +tp7953 +a(g822 +V\u000a +p7954 +tp7955 +a(g822 +V +p7956 +tp7957 +a(g88 +V'a' +p7958 +tp7959 +a(g822 +g974 +tp7960 +a(g408 +V<= +p7961 +tp7962 +a(g822 +g974 +tp7963 +a(g431 +g1451 +tp7964 +a(g822 +g974 +tp7965 +a(g408 +V<= +p7966 +tp7967 +a(g822 +g974 +tp7968 +a(g88 +V'f' +p7969 +tp7970 +a(g822 +g974 +tp7971 +a(g408 +g1656 +tp7972 +a(g822 +g974 +tp7973 +a(g431 +g1009 +tp7974 +a(g408 +V<< +p7975 +tp7976 +a(g32 +g7230 +tp7977 +a(g822 +g974 +tp7978 +a(g408 +g1132 +tp7979 +a(g822 +g974 +tp7980 +a(g431 +g1451 +tp7981 +a(g408 +g2021 +tp7982 +a(g88 +V'a' +p7983 +tp7984 +a(g408 +g1132 +tp7985 +a(g32 +V10 +p7986 +tp7987 +a(g822 +g974 +tp7988 +a(g408 +g1380 +tp7989 +a(g822 +V\u000a +p7990 +tp7991 +a(g822 +V +p7992 +tp7993 +a(g88 +V'A' +p7994 +tp7995 +a(g822 +g974 +tp7996 +a(g408 +V<= +p7997 +tp7998 +a(g822 +g974 +tp7999 +a(g431 +g1451 +tp8000 +a(g822 +g974 +tp8001 +a(g408 +V<= +p8002 +tp8003 +a(g822 +g974 +tp8004 +a(g88 +V'F' +p8005 +tp8006 +a(g822 +g974 +tp8007 +a(g408 +g1656 +tp8008 +a(g822 +g974 +tp8009 +a(g431 +g1009 +tp8010 +a(g408 +V<< +p8011 +tp8012 +a(g32 +g7230 +tp8013 +a(g822 +g974 +tp8014 +a(g408 +g1132 +tp8015 +a(g822 +g974 +tp8016 +a(g431 +g1451 +tp8017 +a(g408 +g2021 +tp8018 +a(g88 +V'A' +p8019 +tp8020 +a(g408 +g1132 +tp8021 +a(g32 +V10 +p8022 +tp8023 +a(g822 +g974 +tp8024 +a(g408 +g1380 +tp8025 +a(g822 +g974 +tp8026 +a(g740 +Vbreak +p8027 +tp8028 +a(g822 +V\u000a +p8029 +tp8030 +a(g822 +V +p8031 +tp8032 +a(g431 +g998 +tp8033 +a(g822 +g974 +tp8034 +a(g408 +g976 +tp8035 +a(g822 +g974 +tp8036 +a(g431 +g1818 +tp8037 +a(g822 +V\u000a +p8038 +tp8039 +a(g822 +V +p8040 +tp8041 +a(g740 +Vend +p8042 +tp8043 +a(g822 +V\u000a +p8044 +tp8045 +a(g822 +V +p8046 +tp8047 +a(g740 +Vif +p8048 +tp8049 +a(g822 +g974 +tp8050 +a(g431 +g2385 +tp8051 +a(g822 +g974 +tp8052 +a(g408 +V== +p8053 +tp8054 +a(g822 +g974 +tp8055 +a(g32 +g1134 +tp8056 +a(g822 +V\u000a +p8057 +tp8058 +a(g822 +V +p8059 +tp8060 +a(g431 +Verror +p8061 +tp8062 +a(g701 +g964 +tp8063 +a(g84 +g982 +tp8064 +a(g208 +V\u005c\u005c +p8065 +tp8066 +a(g84 +g1081 +tp8067 +a(g84 +g974 +tp8068 +a(g84 +g988 +tp8069 +a(g84 +g966 +tp8070 +a(g84 +g1005 +tp8071 +a(g84 +g1641 +tp8072 +a(g84 +g974 +tp8073 +a(g84 +g3385 +tp8074 +a(g84 +g998 +tp8075 +a(g84 +g995 +tp8076 +a(g84 +g1019 +tp8077 +a(g84 +g974 +tp8078 +a(g84 +g1009 +tp8079 +a(g84 +g986 +tp8080 +a(g84 +g974 +tp8081 +a(g84 +g1705 +tp8082 +a(g84 +g986 +tp8083 +a(g84 +g1003 +tp8084 +a(g84 +g1003 +tp8085 +a(g84 +g986 +tp8086 +a(g84 +g3385 +tp8087 +a(g84 +g998 +tp8088 +a(g84 +g1009 +tp8089 +a(g84 +g1016 +tp8090 +a(g84 +g974 +tp8091 +a(g84 +g1019 +tp8092 +a(g84 +g1005 +tp8093 +a(g84 +g1081 +tp8094 +a(g84 +g974 +tp8095 +a(g84 +g1641 +tp8096 +a(g84 +g998 +tp8097 +a(g84 +g1016 +tp8098 +a(g84 +g998 +tp8099 +a(g84 +g995 +tp8100 +a(g84 +g966 +tp8101 +a(g84 +g982 +tp8102 +a(g701 +g972 +tp8103 +a(g822 +V\u000a +p8104 +tp8105 +a(g822 +V +p8106 +tp8107 +a(g740 +Vend +p8108 +tp8109 +a(g822 +V\u000a +p8110 +tp8111 +a(g822 +V +p8112 +tp8113 +a(g740 +Vif +p8114 +tp8115 +a(g822 +g974 +tp8116 +a(g431 +g991 +tp8117 +a(g822 +g974 +tp8118 +a(g408 +V== +p8119 +tp8120 +a(g822 +g974 +tp8121 +a(g32 +g7182 +tp8122 +a(g822 +g974 +tp8123 +a(g7 +V# \u005cx escape sequence +p8124 +tp8125 +a(g822 +V\u000a +p8126 +tp8127 +a(g822 +V +p8128 +tp8129 +a(g431 +Vwrite +p8130 +tp8131 +a(g701 +g964 +tp8132 +a(g431 +Vuint8 +p8133 +tp8134 +a(g701 +g964 +tp8135 +a(g431 +g1009 +tp8136 +a(g701 +g972 +tp8137 +a(g701 +g972 +tp8138 +a(g822 +V\u000a +p8139 +tp8140 +a(g822 +V +p8141 +tp8142 +a(g740 +Velse +p8143 +tp8144 +a(g822 +V\u000a +p8145 +tp8146 +a(g822 +V +p8147 +tp8148 +a(g431 +Vprint +p8149 +tp8150 +a(g701 +g964 +tp8151 +a(g431 +Vchar +p8152 +tp8153 +a(g701 +g964 +tp8154 +a(g431 +g1009 +tp8155 +a(g701 +g972 +tp8156 +a(g701 +g972 +tp8157 +a(g822 +V\u000a +p8158 +tp8159 +a(g822 +V +p8160 +tp8161 +a(g740 +Vend +p8162 +tp8163 +a(g822 +V\u000a +p8164 +tp8165 +a(g822 +V +p8166 +tp8167 +a(g740 +Velseif +p8168 +tp8169 +a(g822 +g974 +tp8170 +a(g88 +V'0' +p8171 +tp8172 +a(g822 +g974 +tp8173 +a(g408 +V<= +p8174 +tp8175 +a(g822 +g974 +tp8176 +a(g431 +g1451 +tp8177 +a(g822 +g974 +tp8178 +a(g408 +V<= +p8179 +tp8180 +a(g822 +g974 +tp8181 +a(g88 +V'7' +p8182 +tp8183 +a(g822 +V\u000a +p8184 +tp8185 +a(g822 +V +p8186 +tp8187 +a(g431 +g2385 +tp8188 +a(g822 +g974 +tp8189 +a(g408 +g976 +tp8190 +a(g822 +g974 +tp8191 +a(g32 +g1134 +tp8192 +a(g822 +V\u000a +p8193 +tp8194 +a(g822 +V +p8195 +tp8196 +a(g431 +g1009 +tp8197 +a(g822 +g974 +tp8198 +a(g408 +g976 +tp8199 +a(g822 +g974 +tp8200 +a(g431 +g1451 +tp8201 +a(g408 +g2021 +tp8202 +a(g88 +V'0' +p8203 +tp8204 +a(g822 +V\u000a +p8205 +tp8206 +a(g822 +V +p8207 +tp8208 +a(g740 +Vwhile +p8209 +tp8210 +a(g822 +g974 +tp8211 +a(g701 +g964 +tp8212 +a(g431 +g2385 +tp8213 +a(g408 +V+= +p8214 +tp8215 +a(g32 +g1134 +tp8216 +a(g701 +g972 +tp8217 +a(g822 +g974 +tp8218 +a(g408 +V<= +p8219 +tp8220 +a(g822 +g974 +tp8221 +a(g32 +V3 +p8222 +tp8223 +a(g822 +g974 +tp8224 +a(g408 +V&& +p8225 +tp8226 +a(g822 +g974 +tp8227 +a(g408 +g2685 +tp8228 +a(g431 +Vdone +p8229 +tp8230 +a(g701 +g964 +tp8231 +a(g431 +g966 +tp8232 +a(g701 +g1023 +tp8233 +a(g431 +g998 +tp8234 +a(g701 +g972 +tp8235 +a(g822 +V\u000a +p8236 +tp8237 +a(g822 +V +p8238 +tp8239 +a(g431 +g1451 +tp8240 +a(g701 +g1023 +tp8241 +a(g822 +g974 +tp8242 +a(g431 +g1818 +tp8243 +a(g822 +g974 +tp8244 +a(g408 +g976 +tp8245 +a(g822 +g974 +tp8246 +a(g431 +Vnext +p8247 +tp8248 +a(g701 +g964 +tp8249 +a(g431 +g966 +tp8250 +a(g701 +g1023 +tp8251 +a(g431 +g998 +tp8252 +a(g701 +g972 +tp8253 +a(g822 +V\u000a +p8254 +tp8255 +a(g822 +V +p8256 +tp8257 +a(g431 +g1009 +tp8258 +a(g822 +g974 +tp8259 +a(g408 +g976 +tp8260 +a(g822 +g974 +tp8261 +a(g88 +V'0' +p8262 +tp8263 +a(g822 +g974 +tp8264 +a(g408 +V<= +p8265 +tp8266 +a(g822 +g974 +tp8267 +a(g431 +g1451 +tp8268 +a(g822 +g974 +tp8269 +a(g408 +V<= +p8270 +tp8271 +a(g822 +g974 +tp8272 +a(g88 +V'7' +p8273 +tp8274 +a(g822 +g974 +tp8275 +a(g408 +g1656 +tp8276 +a(g822 +g974 +tp8277 +a(g431 +g1009 +tp8278 +a(g408 +V<< +p8279 +tp8280 +a(g32 +g8222 +tp8281 +a(g822 +g974 +tp8282 +a(g408 +g1132 +tp8283 +a(g822 +g974 +tp8284 +a(g431 +g1451 +tp8285 +a(g408 +g2021 +tp8286 +a(g88 +V'0' +p8287 +tp8288 +a(g822 +g974 +tp8289 +a(g408 +g1380 +tp8290 +a(g822 +g974 +tp8291 +a(g740 +Vbreak +p8292 +tp8293 +a(g822 +V\u000a +p8294 +tp8295 +a(g822 +V +p8296 +tp8297 +a(g431 +g998 +tp8298 +a(g822 +g974 +tp8299 +a(g408 +g976 +tp8300 +a(g822 +g974 +tp8301 +a(g431 +g1818 +tp8302 +a(g822 +V\u000a +p8303 +tp8304 +a(g822 +V +p8305 +tp8306 +a(g740 +Vend +p8307 +tp8308 +a(g822 +V\u000a +p8309 +tp8310 +a(g822 +V +p8311 +tp8312 +a(g740 +Vif +p8313 +tp8314 +a(g822 +g974 +tp8315 +a(g431 +g1009 +tp8316 +a(g822 +g974 +tp8317 +a(g408 +g1211 +tp8318 +a(g822 +g974 +tp8319 +a(g32 +V255 +p8320 +tp8321 +a(g822 +V\u000a +p8322 +tp8323 +a(g822 +V +p8324 +tp8325 +a(g431 +Verror +p8326 +tp8327 +a(g701 +g964 +tp8328 +a(g84 +g982 +tp8329 +a(g84 +g986 +tp8330 +a(g84 +g1451 +tp8331 +a(g84 +g995 +tp8332 +a(g84 +g1709 +tp8333 +a(g84 +g1003 +tp8334 +a(g84 +g974 +tp8335 +a(g84 +g1005 +tp8336 +a(g84 +g966 +tp8337 +a(g84 +g1451 +tp8338 +a(g84 +g1709 +tp8339 +a(g84 +g1001 +tp8340 +a(g84 +g1005 +tp8341 +a(g84 +g974 +tp8342 +a(g84 +g966 +tp8343 +a(g84 +g1005 +tp8344 +a(g84 +Vq +p8345 +tp8346 +a(g84 +g988 +tp8347 +a(g84 +g1005 +tp8348 +a(g84 +g1009 +tp8349 +a(g84 +g1451 +tp8350 +a(g84 +g1005 +tp8351 +a(g84 +g974 +tp8352 +a(g84 +g986 +tp8353 +a(g84 +g988 +tp8354 +a(g84 +g995 +tp8355 +a(g84 +g974 +tp8356 +a(g84 +g986 +tp8357 +a(g84 +g1705 +tp8358 +a(g84 +g974 +tp8359 +a(g84 +g1356 +tp8360 +a(g84 +g1709 +tp8361 +a(g84 +g1009 +tp8362 +a(g84 +g1016 +tp8363 +a(g84 +g1005 +tp8364 +a(g84 +g982 +tp8365 +a(g701 +g972 +tp8366 +a(g822 +V\u000a +p8367 +tp8368 +a(g822 +V +p8369 +tp8370 +a(g740 +Vend +p8371 +tp8372 +a(g822 +V\u000a +p8373 +tp8374 +a(g822 +V +p8375 +tp8376 +a(g431 +Vwrite +p8377 +tp8378 +a(g701 +g964 +tp8379 +a(g431 +Vuint8 +p8380 +tp8381 +a(g701 +g964 +tp8382 +a(g431 +g1009 +tp8383 +a(g701 +g972 +tp8384 +a(g701 +g972 +tp8385 +a(g822 +V\u000a +p8386 +tp8387 +a(g822 +V +p8388 +tp8389 +a(g740 +Velse +p8390 +tp8391 +a(g822 +V\u000a +p8392 +tp8393 +a(g822 +V +p8394 +tp8395 +a(g431 +Vprint +p8396 +tp8397 +a(g701 +g964 +tp8398 +a(g431 +g1451 +tp8399 +a(g822 +g974 +tp8400 +a(g408 +V== +p8401 +tp8402 +a(g822 +g974 +tp8403 +a(g88 +V'a' +p8404 +tp8405 +a(g822 +g974 +tp8406 +a(g408 +g1656 +tp8407 +a(g822 +g974 +tp8408 +a(g88 +V'\u005ca' +p8409 +tp8410 +a(g822 +g974 +tp8411 +a(g408 +g1380 +tp8412 +a(g822 +V\u000a +p8413 +tp8414 +a(g822 +V +p8415 +tp8416 +a(g431 +g1451 +tp8417 +a(g822 +g974 +tp8418 +a(g408 +V== +p8419 +tp8420 +a(g822 +g974 +tp8421 +a(g88 +V'b' +p8422 +tp8423 +a(g822 +g974 +tp8424 +a(g408 +g1656 +tp8425 +a(g822 +g974 +tp8426 +a(g88 +V'\u005cb' +p8427 +tp8428 +a(g822 +g974 +tp8429 +a(g408 +g1380 +tp8430 +a(g822 +V\u000a +p8431 +tp8432 +a(g822 +V +p8433 +tp8434 +a(g431 +g1451 +tp8435 +a(g822 +g974 +tp8436 +a(g408 +V== +p8437 +tp8438 +a(g822 +g974 +tp8439 +a(g88 +V't' +p8440 +tp8441 +a(g822 +g974 +tp8442 +a(g408 +g1656 +tp8443 +a(g822 +g974 +tp8444 +a(g88 +V'\u005ct' +p8445 +tp8446 +a(g822 +g974 +tp8447 +a(g408 +g1380 +tp8448 +a(g822 +V\u000a +p8449 +tp8450 +a(g822 +V +p8451 +tp8452 +a(g431 +g1451 +tp8453 +a(g822 +g974 +tp8454 +a(g408 +V== +p8455 +tp8456 +a(g822 +g974 +tp8457 +a(g88 +V'n' +p8458 +tp8459 +a(g822 +g974 +tp8460 +a(g408 +g1656 +tp8461 +a(g822 +g974 +tp8462 +a(g88 +V'\u005cn' +p8463 +tp8464 +a(g822 +g974 +tp8465 +a(g408 +g1380 +tp8466 +a(g822 +V\u000a +p8467 +tp8468 +a(g822 +V +p8469 +tp8470 +a(g431 +g1451 +tp8471 +a(g822 +g974 +tp8472 +a(g408 +V== +p8473 +tp8474 +a(g822 +g974 +tp8475 +a(g88 +V'v' +p8476 +tp8477 +a(g822 +g974 +tp8478 +a(g408 +g1656 +tp8479 +a(g822 +g974 +tp8480 +a(g88 +V'\u005cv' +p8481 +tp8482 +a(g822 +g974 +tp8483 +a(g408 +g1380 +tp8484 +a(g822 +V\u000a +p8485 +tp8486 +a(g822 +V +p8487 +tp8488 +a(g431 +g1451 +tp8489 +a(g822 +g974 +tp8490 +a(g408 +V== +p8491 +tp8492 +a(g822 +g974 +tp8493 +a(g88 +V'f' +p8494 +tp8495 +a(g822 +g974 +tp8496 +a(g408 +g1656 +tp8497 +a(g822 +g974 +tp8498 +a(g88 +V'\u005cf' +p8499 +tp8500 +a(g822 +g974 +tp8501 +a(g408 +g1380 +tp8502 +a(g822 +V\u000a +p8503 +tp8504 +a(g822 +V +p8505 +tp8506 +a(g431 +g1451 +tp8507 +a(g822 +g974 +tp8508 +a(g408 +V== +p8509 +tp8510 +a(g822 +g974 +tp8511 +a(g88 +V'r' +p8512 +tp8513 +a(g822 +g974 +tp8514 +a(g408 +g1656 +tp8515 +a(g822 +g974 +tp8516 +a(g88 +V'\u005cr' +p8517 +tp8518 +a(g822 +g974 +tp8519 +a(g408 +g1380 +tp8520 +a(g822 +V\u000a +p8521 +tp8522 +a(g822 +V +p8523 +tp8524 +a(g431 +g1451 +tp8525 +a(g822 +g974 +tp8526 +a(g408 +V== +p8527 +tp8528 +a(g822 +g974 +tp8529 +a(g88 +V'e' +p8530 +tp8531 +a(g822 +g974 +tp8532 +a(g408 +g1656 +tp8533 +a(g822 +g974 +tp8534 +a(g88 +V'\u005ce' +p8535 +tp8536 +a(g822 +g974 +tp8537 +a(g408 +g1380 +tp8538 +a(g822 +g974 +tp8539 +a(g431 +g1451 +tp8540 +a(g701 +g972 +tp8541 +a(g822 +V\u000a +p8542 +tp8543 +a(g822 +V +p8544 +tp8545 +a(g740 +Vend +p8546 +tp8547 +a(g822 +V\u000a +p8548 +tp8549 +a(g822 +V +p8550 +tp8551 +a(g740 +Velse +p8552 +tp8553 +a(g822 +V\u000a +p8554 +tp8555 +a(g822 +V +p8556 +tp8557 +a(g431 +Vprint +p8558 +tp8559 +a(g701 +g964 +tp8560 +a(g431 +g1451 +tp8561 +a(g701 +g972 +tp8562 +a(g822 +V\u000a +p8563 +tp8564 +a(g822 +V +p8565 +tp8566 +a(g740 +Vend +p8567 +tp8568 +a(g822 +V\u000a +p8569 +tp8570 +a(g822 +V +p8571 +tp8572 +a(g740 +Vend +p8573 +tp8574 +a(g822 +V\u000a +p8575 +tp8576 +a(g740 +Vend +p8577 +tp8578 +a(g822 +V\u000a +p8579 +tp8580 +a(g822 +V\u000a +p8581 +tp8582 +a(g431 +Vunescape_string +p8583 +tp8584 +a(g701 +g964 +tp8585 +a(g431 +g966 +tp8586 +a(g408 +V:: +p8587 +tp8588 +a(g431 +VString +p8589 +tp8590 +a(g701 +g972 +tp8591 +a(g822 +g974 +tp8592 +a(g408 +g976 +tp8593 +a(g822 +g974 +tp8594 +a(g431 +Vprint_to_string +p8595 +tp8596 +a(g701 +g964 +tp8597 +a(g431 +Vlength +p8598 +tp8599 +a(g701 +g964 +tp8600 +a(g431 +g966 +tp8601 +a(g701 +g972 +tp8602 +a(g701 +g1023 +tp8603 +a(g822 +g974 +tp8604 +a(g431 +Vprint_unescaped +p8605 +tp8606 +a(g701 +g1023 +tp8607 +a(g822 +g974 +tp8608 +a(g431 +g966 +tp8609 +a(g701 +g972 +tp8610 +a(g822 +V\u000a +p8611 +tp8612 +a(g822 +V\u000a +p8613 +tp8614 +a(g7 +V## checking UTF-8 & ACSII validity ## +p8615 +tp8616 +a(g822 +V\u000a +p8617 +tp8618 +a(g822 +V\u000a +p8619 +tp8620 +a(g431 +Vbyte_string_classify +p8621 +tp8622 +a(g701 +g964 +tp8623 +a(g431 +g966 +tp8624 +a(g408 +V:: +p8625 +tp8626 +a(g431 +VByteString +p8627 +tp8628 +a(g701 +g972 +tp8629 +a(g822 +g974 +tp8630 +a(g408 +g976 +tp8631 +a(g822 +V\u000a +p8632 +tp8633 +a(g822 +V +p8634 +tp8635 +a(g740 +Vccall +p8636 +tp8637 +a(g701 +g964 +tp8638 +a(g408 +g1380 +tp8639 +a(g431 +Vu8_isvalid +p8640 +tp8641 +a(g701 +g1023 +tp8642 +a(g822 +g974 +tp8643 +a(g744 +VInt32 +p8644 +tp8645 +a(g701 +g1023 +tp8646 +a(g822 +g974 +tp8647 +a(g701 +g964 +tp8648 +a(g744 +VPtr +p8649 +tp8650 +a(g701 +g1338 +tp8651 +a(g431 +VUint8 +p8652 +tp8653 +a(g701 +g1346 +tp8654 +a(g701 +g1023 +tp8655 +a(g822 +g974 +tp8656 +a(g744 +VInt +p8657 +tp8658 +a(g701 +g972 +tp8659 +a(g701 +g1023 +tp8660 +a(g822 +g974 +tp8661 +a(g431 +g966 +tp8662 +a(g408 +g1473 +tp8663 +a(g431 +Vdata +p8664 +tp8665 +a(g701 +g1023 +tp8666 +a(g822 +g974 +tp8667 +a(g431 +Vlength +p8668 +tp8669 +a(g701 +g964 +tp8670 +a(g431 +g966 +tp8671 +a(g701 +g972 +tp8672 +a(g701 +g972 +tp8673 +a(g822 +V\u000a +p8674 +tp8675 +a(g822 +V +p8676 +tp8677 +a(g7 +V# 0: neither valid ASCII nor UTF-8 +p8678 +tp8679 +a(g822 +V\u000a +p8680 +tp8681 +a(g822 +V +p8682 +tp8683 +a(g7 +V# 1: valid ASCII +p8684 +tp8685 +a(g822 +V\u000a +p8686 +tp8687 +a(g822 +V +p8688 +tp8689 +a(g7 +V# 2: valid UTF-8 +p8690 +tp8691 +a(g822 +V\u000a +p8692 +tp8693 +a(g822 +V\u000a +p8694 +tp8695 +a(g431 +Vis_valid_ascii +p8696 +tp8697 +a(g701 +g964 +tp8698 +a(g431 +g966 +tp8699 +a(g408 +V:: +p8700 +tp8701 +a(g431 +VByteString +p8702 +tp8703 +a(g701 +g972 +tp8704 +a(g822 +g974 +tp8705 +a(g408 +g976 +tp8706 +a(g822 +g974 +tp8707 +a(g431 +Vbyte_string_classify +p8708 +tp8709 +a(g701 +g964 +tp8710 +a(g431 +g966 +tp8711 +a(g701 +g972 +tp8712 +a(g822 +g974 +tp8713 +a(g408 +V== +p8714 +tp8715 +a(g822 +g974 +tp8716 +a(g32 +g1134 +tp8717 +a(g822 +V\u000a +p8718 +tp8719 +a(g431 +Vis_valid_utf8 +p8720 +tp8721 +a(g822 +g974 +tp8722 +a(g701 +g964 +tp8723 +a(g431 +g966 +tp8724 +a(g408 +V:: +p8725 +tp8726 +a(g431 +VByteString +p8727 +tp8728 +a(g701 +g972 +tp8729 +a(g822 +g974 +tp8730 +a(g408 +g976 +tp8731 +a(g822 +g974 +tp8732 +a(g431 +Vbyte_string_classify +p8733 +tp8734 +a(g701 +g964 +tp8735 +a(g431 +g966 +tp8736 +a(g701 +g972 +tp8737 +a(g822 +g974 +tp8738 +a(g408 +V!= +p8739 +tp8740 +a(g822 +g974 +tp8741 +a(g32 +g1785 +tp8742 +a(g822 +V\u000a +p8743 +tp8744 +a(g822 +V\u000a +p8745 +tp8746 +a(g431 +Vcheck_ascii +p8747 +tp8748 +a(g701 +g964 +tp8749 +a(g431 +g966 +tp8750 +a(g408 +V:: +p8751 +tp8752 +a(g431 +VByteString +p8753 +tp8754 +a(g701 +g972 +tp8755 +a(g822 +g974 +tp8756 +a(g408 +g976 +tp8757 +a(g822 +g974 +tp8758 +a(g431 +Vis_valid_ascii +p8759 +tp8760 +a(g701 +g964 +tp8761 +a(g431 +g966 +tp8762 +a(g701 +g972 +tp8763 +a(g822 +g974 +tp8764 +a(g408 +g1656 +tp8765 +a(g822 +g974 +tp8766 +a(g431 +g966 +tp8767 +a(g822 +g974 +tp8768 +a(g408 +g1380 +tp8769 +a(g822 +g974 +tp8770 +a(g431 +Verror +p8771 +tp8772 +a(g701 +g964 +tp8773 +a(g84 +g982 +tp8774 +a(g84 +g998 +tp8775 +a(g84 +g1009 +tp8776 +a(g84 +g6465 +tp8777 +a(g84 +g1709 +tp8778 +a(g84 +g1003 +tp8779 +a(g84 +g998 +tp8780 +a(g84 +g1641 +tp8781 +a(g84 +g974 +tp8782 +a(g84 +VA +p8783 +tp8784 +a(g84 +VS +p8785 +tp8786 +a(g84 +VC +p8787 +tp8788 +a(g84 +g1095 +tp8789 +a(g84 +g1095 +tp8790 +a(g84 +g974 +tp8791 +a(g84 +g966 +tp8792 +a(g84 +g1005 +tp8793 +a(g84 +g8345 +tp8794 +a(g84 +g988 +tp8795 +a(g84 +g1005 +tp8796 +a(g84 +g1009 +tp8797 +a(g84 +g1451 +tp8798 +a(g84 +g1005 +tp8799 +a(g84 +g982 +tp8800 +a(g701 +g972 +tp8801 +a(g822 +V\u000a +p8802 +tp8803 +a(g431 +Vcheck_utf8 +p8804 +tp8805 +a(g822 +g974 +tp8806 +a(g701 +g964 +tp8807 +a(g431 +g966 +tp8808 +a(g408 +V:: +p8809 +tp8810 +a(g431 +VByteString +p8811 +tp8812 +a(g701 +g972 +tp8813 +a(g822 +g974 +tp8814 +a(g408 +g976 +tp8815 +a(g822 +g974 +tp8816 +a(g431 +Vis_valid_utf8 +p8817 +tp8818 +a(g701 +g964 +tp8819 +a(g431 +g966 +tp8820 +a(g701 +g972 +tp8821 +a(g822 +V +p8822 +tp8823 +a(g408 +g1656 +tp8824 +a(g822 +g974 +tp8825 +a(g431 +g966 +tp8826 +a(g822 +g974 +tp8827 +a(g408 +g1380 +tp8828 +a(g822 +g974 +tp8829 +a(g431 +Verror +p8830 +tp8831 +a(g701 +g964 +tp8832 +a(g84 +g982 +tp8833 +a(g84 +g998 +tp8834 +a(g84 +g1009 +tp8835 +a(g84 +g6465 +tp8836 +a(g84 +g1709 +tp8837 +a(g84 +g1003 +tp8838 +a(g84 +g998 +tp8839 +a(g84 +g1641 +tp8840 +a(g84 +g974 +tp8841 +a(g84 +g6473 +tp8842 +a(g84 +g1340 +tp8843 +a(g84 +VF +p8844 +tp8845 +a(g84 +g2021 +tp8846 +a(g84 +g7271 +tp8847 +a(g84 +g974 +tp8848 +a(g84 +g966 +tp8849 +a(g84 +g1005 +tp8850 +a(g84 +g8345 +tp8851 +a(g84 +g988 +tp8852 +a(g84 +g1005 +tp8853 +a(g84 +g1009 +tp8854 +a(g84 +g1451 +tp8855 +a(g84 +g1005 +tp8856 +a(g84 +g982 +tp8857 +a(g701 +g972 +tp8858 +a(g822 +V\u000a +p8859 +tp8860 +a(g822 +V\u000a +p8861 +tp8862 +a(g7 +V## string interpolation parsing ## +p8863 +tp8864 +a(g822 +V\u000a +p8865 +tp8866 +a(g822 +V\u000a +p8867 +tp8868 +a(g740 +Vfunction +p8869 +tp8870 +a(g822 +g974 +tp8871 +a(g431 +V_jl_interp_parse +p8872 +tp8873 +a(g701 +g964 +tp8874 +a(g431 +g966 +tp8875 +a(g408 +V:: +p8876 +tp8877 +a(g431 +VString +p8878 +tp8879 +a(g701 +g1023 +tp8880 +a(g822 +g974 +tp8881 +a(g431 +Vunescape +p8882 +tp8883 +a(g408 +V:: +p8884 +tp8885 +a(g744 +VFunction +p8886 +tp8887 +a(g701 +g1023 +tp8888 +a(g822 +g974 +tp8889 +a(g431 +Vprinter +p8890 +tp8891 +a(g408 +V:: +p8892 +tp8893 +a(g744 +VFunction +p8894 +tp8895 +a(g701 +g972 +tp8896 +a(g822 +V\u000a +p8897 +tp8898 +a(g822 +V +p8899 +tp8900 +a(g431 +Vsx +p8901 +tp8902 +a(g822 +g974 +tp8903 +a(g408 +g976 +tp8904 +a(g822 +g974 +tp8905 +a(g701 +g1338 +tp8906 +a(g701 +g1346 +tp8907 +a(g822 +V\u000a +p8908 +tp8909 +a(g822 +V +p8910 +tp8911 +a(g431 +g998 +tp8912 +a(g822 +g974 +tp8913 +a(g408 +g976 +tp8914 +a(g822 +g974 +tp8915 +a(g431 +g1818 +tp8916 +a(g822 +g974 +tp8917 +a(g408 +g976 +tp8918 +a(g822 +g974 +tp8919 +a(g431 +Vstart +p8920 +tp8921 +a(g701 +g964 +tp8922 +a(g431 +g966 +tp8923 +a(g701 +g972 +tp8924 +a(g822 +V\u000a +p8925 +tp8926 +a(g822 +V +p8927 +tp8928 +a(g740 +Vwhile +p8929 +tp8930 +a(g822 +g974 +tp8931 +a(g408 +g2685 +tp8932 +a(g431 +Vdone +p8933 +tp8934 +a(g701 +g964 +tp8935 +a(g431 +g966 +tp8936 +a(g701 +g1023 +tp8937 +a(g431 +g1818 +tp8938 +a(g701 +g972 +tp8939 +a(g822 +V\u000a +p8940 +tp8941 +a(g822 +V +p8942 +tp8943 +a(g431 +g1451 +tp8944 +a(g701 +g1023 +tp8945 +a(g822 +g974 +tp8946 +a(g431 +g2385 +tp8947 +a(g822 +g974 +tp8948 +a(g408 +g976 +tp8949 +a(g822 +g974 +tp8950 +a(g431 +Vnext +p8951 +tp8952 +a(g701 +g964 +tp8953 +a(g431 +g966 +tp8954 +a(g701 +g1023 +tp8955 +a(g431 +g1818 +tp8956 +a(g701 +g972 +tp8957 +a(g822 +V\u000a +p8958 +tp8959 +a(g822 +V +p8960 +tp8961 +a(g740 +Vif +p8962 +tp8963 +a(g822 +g974 +tp8964 +a(g431 +g1451 +tp8965 +a(g822 +g974 +tp8966 +a(g408 +V== +p8967 +tp8968 +a(g822 +g974 +tp8969 +a(g88 +V'$' +p8970 +tp8971 +a(g822 +V\u000a +p8972 +tp8973 +a(g822 +V +p8974 +tp8975 +a(g740 +Vif +p8976 +tp8977 +a(g822 +g974 +tp8978 +a(g408 +g2685 +tp8979 +a(g431 +Visempty +p8980 +tp8981 +a(g701 +g964 +tp8982 +a(g431 +g966 +tp8983 +a(g701 +g1125 +tp8984 +a(g431 +g998 +tp8985 +a(g408 +g1380 +tp8986 +a(g431 +g1818 +tp8987 +a(g408 +g2021 +tp8988 +a(g32 +g1134 +tp8989 +a(g701 +g1128 +tp8990 +a(g701 +g972 +tp8991 +a(g822 +V\u000a +p8992 +tp8993 +a(g822 +V +p8994 +tp8995 +a(g431 +Vpush +p8996 +tp8997 +a(g701 +g964 +tp8998 +a(g431 +Vsx +p8999 +tp9000 +a(g701 +g1023 +tp9001 +a(g822 +g974 +tp9002 +a(g431 +Vunescape +p9003 +tp9004 +a(g701 +g964 +tp9005 +a(g431 +g966 +tp9006 +a(g701 +g1125 +tp9007 +a(g431 +g998 +tp9008 +a(g408 +g1380 +tp9009 +a(g431 +g1818 +tp9010 +a(g408 +g2021 +tp9011 +a(g32 +g1134 +tp9012 +a(g701 +g1128 +tp9013 +a(g701 +g972 +tp9014 +a(g701 +g972 +tp9015 +a(g822 +V\u000a +p9016 +tp9017 +a(g822 +V +p9018 +tp9019 +a(g740 +Vend +p9020 +tp9021 +a(g822 +V\u000a +p9022 +tp9023 +a(g822 +V +p9024 +tp9025 +a(g431 +Vex +p9026 +tp9027 +a(g701 +g1023 +tp9028 +a(g822 +g974 +tp9029 +a(g431 +g1818 +tp9030 +a(g822 +g974 +tp9031 +a(g408 +g976 +tp9032 +a(g822 +g974 +tp9033 +a(g431 +Vparseatom +p9034 +tp9035 +a(g701 +g964 +tp9036 +a(g431 +g966 +tp9037 +a(g701 +g1023 +tp9038 +a(g431 +g2385 +tp9039 +a(g701 +g972 +tp9040 +a(g822 +V\u000a +p9041 +tp9042 +a(g822 +V +p9043 +tp9044 +a(g431 +Vpush +p9045 +tp9046 +a(g701 +g964 +tp9047 +a(g431 +Vsx +p9048 +tp9049 +a(g701 +g1023 +tp9050 +a(g822 +g974 +tp9051 +a(g431 +Vex +p9052 +tp9053 +a(g701 +g972 +tp9054 +a(g822 +V\u000a +p9055 +tp9056 +a(g822 +V +p9057 +tp9058 +a(g431 +g998 +tp9059 +a(g822 +g974 +tp9060 +a(g408 +g976 +tp9061 +a(g822 +g974 +tp9062 +a(g431 +g1818 +tp9063 +a(g822 +V\u000a +p9064 +tp9065 +a(g822 +V +p9066 +tp9067 +a(g740 +Velseif +p9068 +tp9069 +a(g822 +g974 +tp9070 +a(g431 +g1451 +tp9071 +a(g822 +g974 +tp9072 +a(g408 +V== +p9073 +tp9074 +a(g822 +g974 +tp9075 +a(g88 +V'\u005c\u005c' +p9076 +tp9077 +a(g822 +g974 +tp9078 +a(g408 +V&& +p9079 +tp9080 +a(g822 +g974 +tp9081 +a(g408 +g2685 +tp9082 +a(g431 +Vdone +p9083 +tp9084 +a(g701 +g964 +tp9085 +a(g431 +g966 +tp9086 +a(g701 +g1023 +tp9087 +a(g431 +g2385 +tp9088 +a(g701 +g972 +tp9089 +a(g822 +V\u000a +p9090 +tp9091 +a(g822 +V +p9092 +tp9093 +a(g740 +Vif +p9094 +tp9095 +a(g822 +g974 +tp9096 +a(g431 +g966 +tp9097 +a(g701 +g1125 +tp9098 +a(g431 +g2385 +tp9099 +a(g701 +g1128 +tp9100 +a(g822 +g974 +tp9101 +a(g408 +V== +p9102 +tp9103 +a(g822 +g974 +tp9104 +a(g88 +V'$' +p9105 +tp9106 +a(g822 +V\u000a +p9107 +tp9108 +a(g822 +V +p9109 +tp9110 +a(g740 +Vif +p9111 +tp9112 +a(g822 +g974 +tp9113 +a(g408 +g2685 +tp9114 +a(g431 +Visempty +p9115 +tp9116 +a(g701 +g964 +tp9117 +a(g431 +g966 +tp9118 +a(g701 +g1125 +tp9119 +a(g431 +g998 +tp9120 +a(g408 +g1380 +tp9121 +a(g431 +g1818 +tp9122 +a(g408 +g2021 +tp9123 +a(g32 +g1134 +tp9124 +a(g701 +g1128 +tp9125 +a(g701 +g972 +tp9126 +a(g822 +V\u000a +p9127 +tp9128 +a(g822 +V +p9129 +tp9130 +a(g431 +Vpush +p9131 +tp9132 +a(g701 +g964 +tp9133 +a(g431 +Vsx +p9134 +tp9135 +a(g701 +g1023 +tp9136 +a(g822 +g974 +tp9137 +a(g431 +Vunescape +p9138 +tp9139 +a(g701 +g964 +tp9140 +a(g431 +g966 +tp9141 +a(g701 +g1125 +tp9142 +a(g431 +g998 +tp9143 +a(g408 +g1380 +tp9144 +a(g431 +g1818 +tp9145 +a(g408 +g2021 +tp9146 +a(g32 +g1134 +tp9147 +a(g701 +g1128 +tp9148 +a(g701 +g972 +tp9149 +a(g701 +g972 +tp9150 +a(g822 +V\u000a +p9151 +tp9152 +a(g822 +V +p9153 +tp9154 +a(g740 +Vend +p9155 +tp9156 +a(g822 +V\u000a +p9157 +tp9158 +a(g822 +V +p9159 +tp9160 +a(g431 +g998 +tp9161 +a(g822 +g974 +tp9162 +a(g408 +g976 +tp9163 +a(g822 +g974 +tp9164 +a(g431 +g2385 +tp9165 +a(g822 +V\u000a +p9166 +tp9167 +a(g822 +V +p9168 +tp9169 +a(g740 +Vend +p9170 +tp9171 +a(g822 +V\u000a +p9172 +tp9173 +a(g822 +V +p9174 +tp9175 +a(g431 +g1451 +tp9176 +a(g701 +g1023 +tp9177 +a(g822 +g974 +tp9178 +a(g431 +g1818 +tp9179 +a(g822 +g974 +tp9180 +a(g408 +g976 +tp9181 +a(g822 +g974 +tp9182 +a(g431 +Vnext +p9183 +tp9184 +a(g701 +g964 +tp9185 +a(g431 +g966 +tp9186 +a(g701 +g1023 +tp9187 +a(g431 +g2385 +tp9188 +a(g701 +g972 +tp9189 +a(g822 +V\u000a +p9190 +tp9191 +a(g822 +V +p9192 +tp9193 +a(g740 +Velse +p9194 +tp9195 +a(g822 +V\u000a +p9196 +tp9197 +a(g822 +V +p9198 +tp9199 +a(g431 +g1818 +tp9200 +a(g822 +g974 +tp9201 +a(g408 +g976 +tp9202 +a(g822 +g974 +tp9203 +a(g431 +g2385 +tp9204 +a(g822 +V\u000a +p9205 +tp9206 +a(g822 +V +p9207 +tp9208 +a(g740 +Vend +p9209 +tp9210 +a(g822 +V\u000a +p9211 +tp9212 +a(g822 +V +p9213 +tp9214 +a(g740 +Vend +p9215 +tp9216 +a(g822 +V\u000a +p9217 +tp9218 +a(g822 +V +p9219 +tp9220 +a(g740 +Vif +p9221 +tp9222 +a(g822 +g974 +tp9223 +a(g408 +g2685 +tp9224 +a(g431 +Visempty +p9225 +tp9226 +a(g701 +g964 +tp9227 +a(g431 +g966 +tp9228 +a(g701 +g1125 +tp9229 +a(g431 +g998 +tp9230 +a(g408 +g1380 +tp9231 +a(g701 +g1128 +tp9232 +a(g701 +g972 +tp9233 +a(g822 +V\u000a +p9234 +tp9235 +a(g822 +V +p9236 +tp9237 +a(g431 +Vpush +p9238 +tp9239 +a(g701 +g964 +tp9240 +a(g431 +Vsx +p9241 +tp9242 +a(g701 +g1023 +tp9243 +a(g822 +g974 +tp9244 +a(g431 +Vunescape +p9245 +tp9246 +a(g701 +g964 +tp9247 +a(g431 +g966 +tp9248 +a(g701 +g1125 +tp9249 +a(g431 +g998 +tp9250 +a(g408 +g1380 +tp9251 +a(g431 +g1818 +tp9252 +a(g408 +g2021 +tp9253 +a(g32 +g1134 +tp9254 +a(g701 +g1128 +tp9255 +a(g701 +g972 +tp9256 +a(g701 +g972 +tp9257 +a(g822 +V\u000a +p9258 +tp9259 +a(g822 +V +p9260 +tp9261 +a(g740 +Vend +p9262 +tp9263 +a(g822 +V\u000a +p9264 +tp9265 +a(g822 +V +p9266 +tp9267 +a(g431 +Vlength +p9268 +tp9269 +a(g701 +g964 +tp9270 +a(g431 +Vsx +p9271 +tp9272 +a(g701 +g972 +tp9273 +a(g822 +g974 +tp9274 +a(g408 +V== +p9275 +tp9276 +a(g822 +g974 +tp9277 +a(g32 +g1134 +tp9278 +a(g822 +g974 +tp9279 +a(g408 +V&& +p9280 +tp9281 +a(g822 +g974 +tp9282 +a(g781 +Visa +p9283 +tp9284 +a(g701 +g964 +tp9285 +a(g431 +Vsx +p9286 +tp9287 +a(g701 +g1125 +tp9288 +a(g32 +g1134 +tp9289 +a(g701 +g1128 +tp9290 +a(g701 +g1023 +tp9291 +a(g431 +VByteString +p9292 +tp9293 +a(g701 +g972 +tp9294 +a(g822 +g974 +tp9295 +a(g408 +g1656 +tp9296 +a(g822 +g974 +tp9297 +a(g431 +Vsx +p9298 +tp9299 +a(g701 +g1125 +tp9300 +a(g32 +g1134 +tp9301 +a(g701 +g1128 +tp9302 +a(g822 +g974 +tp9303 +a(g408 +g1380 +tp9304 +a(g822 +V\u000a +p9305 +tp9306 +a(g822 +V +p9307 +tp9308 +a(g431 +Vexpr +p9309 +tp9310 +a(g701 +g964 +tp9311 +a(g408 +g1380 +tp9312 +a(g431 +Vcall +p9313 +tp9314 +a(g701 +g1023 +tp9315 +a(g822 +g974 +tp9316 +a(g408 +g1380 +tp9317 +a(g431 +Vprint_to_string +p9318 +tp9319 +a(g701 +g1023 +tp9320 +a(g822 +g974 +tp9321 +a(g431 +Vprinter +p9322 +tp9323 +a(g701 +g1023 +tp9324 +a(g822 +g974 +tp9325 +a(g431 +Vsx +p9326 +tp9327 +a(g408 +g1473 +tp9328 +a(g408 +g1473 +tp9329 +a(g408 +g1473 +tp9330 +a(g701 +g972 +tp9331 +a(g822 +V\u000a +p9332 +tp9333 +a(g740 +Vend +p9334 +tp9335 +a(g822 +V\u000a +p9336 +tp9337 +a(g822 +V\u000a +p9338 +tp9339 +a(g431 +V_jl_interp_parse +p9340 +tp9341 +a(g701 +g964 +tp9342 +a(g431 +g966 +tp9343 +a(g408 +V:: +p9344 +tp9345 +a(g431 +VString +p9346 +tp9347 +a(g701 +g1023 +tp9348 +a(g822 +g974 +tp9349 +a(g431 +g988 +tp9350 +a(g408 +V:: +p9351 +tp9352 +a(g744 +VFunction +p9353 +tp9354 +a(g701 +g972 +tp9355 +a(g822 +g974 +tp9356 +a(g408 +g976 +tp9357 +a(g822 +g974 +tp9358 +a(g431 +V_jl_interp_parse +p9359 +tp9360 +a(g701 +g964 +tp9361 +a(g431 +g966 +tp9362 +a(g701 +g1023 +tp9363 +a(g822 +g974 +tp9364 +a(g431 +g988 +tp9365 +a(g701 +g1023 +tp9366 +a(g822 +g974 +tp9367 +a(g431 +Vprint +p9368 +tp9369 +a(g701 +g972 +tp9370 +a(g822 +V\u000a +p9371 +tp9372 +a(g431 +V_jl_interp_parse +p9373 +tp9374 +a(g701 +g964 +tp9375 +a(g431 +g966 +tp9376 +a(g408 +V:: +p9377 +tp9378 +a(g431 +VString +p9379 +tp9380 +a(g701 +g972 +tp9381 +a(g822 +g974 +tp9382 +a(g408 +g976 +tp9383 +a(g822 +g974 +tp9384 +a(g431 +V_jl_interp_parse +p9385 +tp9386 +a(g701 +g964 +tp9387 +a(g431 +g966 +tp9388 +a(g701 +g1023 +tp9389 +a(g822 +g974 +tp9390 +a(g431 +g1081 +tp9391 +a(g408 +g2021 +tp9392 +a(g408 +g1211 +tp9393 +a(g431 +Vcheck_utf8 +p9394 +tp9395 +a(g701 +g964 +tp9396 +a(g431 +Vunescape_string +p9397 +tp9398 +a(g701 +g964 +tp9399 +a(g431 +g1081 +tp9400 +a(g701 +g972 +tp9401 +a(g701 +g972 +tp9402 +a(g701 +g972 +tp9403 +a(g822 +V\u000a +p9404 +tp9405 +a(g822 +V\u000a +p9406 +tp9407 +a(g740 +Vfunction +p9408 +tp9409 +a(g822 +g974 +tp9410 +a(g431 +V_jl_interp_parse_bytes +p9411 +tp9412 +a(g701 +g964 +tp9413 +a(g431 +g966 +tp9414 +a(g408 +V:: +p9415 +tp9416 +a(g431 +VString +p9417 +tp9418 +a(g701 +g972 +tp9419 +a(g822 +V\u000a +p9420 +tp9421 +a(g822 +V +p9422 +tp9423 +a(g431 +Vwriter +p9424 +tp9425 +a(g701 +g964 +tp9426 +a(g431 +g1081 +tp9427 +a(g408 +g1473 +tp9428 +a(g408 +g1473 +tp9429 +a(g408 +g1473 +tp9430 +a(g701 +g972 +tp9431 +a(g822 +g974 +tp9432 +a(g408 +g976 +tp9433 +a(g822 +g974 +tp9434 +a(g740 +Vfor +p9435 +tp9436 +a(g822 +g974 +tp9437 +a(g431 +g3385 +tp9438 +a(g408 +g976 +tp9439 +a(g431 +g1081 +tp9440 +a(g701 +g1455 +tp9441 +a(g822 +g974 +tp9442 +a(g431 +Vwrite +p9443 +tp9444 +a(g701 +g964 +tp9445 +a(g431 +g3385 +tp9446 +a(g701 +g972 +tp9447 +a(g701 +g1455 +tp9448 +a(g822 +g974 +tp9449 +a(g740 +Vend +p9450 +tp9451 +a(g822 +V\u000a +p9452 +tp9453 +a(g822 +V +p9454 +tp9455 +a(g431 +V_jl_interp_parse +p9456 +tp9457 +a(g701 +g964 +tp9458 +a(g431 +g966 +tp9459 +a(g701 +g1023 +tp9460 +a(g822 +g974 +tp9461 +a(g431 +Vunescape_string +p9462 +tp9463 +a(g701 +g1023 +tp9464 +a(g822 +g974 +tp9465 +a(g431 +Vwriter +p9466 +tp9467 +a(g701 +g972 +tp9468 +a(g822 +V\u000a +p9469 +tp9470 +a(g740 +Vend +p9471 +tp9472 +a(g822 +V\u000a +p9473 +tp9474 +a(g822 +V\u000a +p9475 +tp9476 +a(g7 +V## core string macros ## +p9477 +tp9478 +a(g822 +V\u000a +p9479 +tp9480 +a(g822 +V\u000a +p9481 +tp9482 +a(g740 +Vmacro +p9483 +tp9484 +a(g822 +V +p9485 +tp9486 +a(g431 +Vstr +p9487 +tp9488 +a(g701 +g964 +tp9489 +a(g431 +g966 +tp9490 +a(g701 +g972 +tp9491 +a(g701 +g1455 +tp9492 +a(g822 +g974 +tp9493 +a(g431 +V_jl_interp_parse +p9494 +tp9495 +a(g701 +g964 +tp9496 +a(g431 +g966 +tp9497 +a(g701 +g972 +tp9498 +a(g701 +g1455 +tp9499 +a(g822 +g974 +tp9500 +a(g740 +Vend +p9501 +tp9502 +a(g822 +V\u000a +p9503 +tp9504 +a(g740 +Vmacro +p9505 +tp9506 +a(g822 +g974 +tp9507 +a(g431 +VS_str +p9508 +tp9509 +a(g701 +g964 +tp9510 +a(g431 +g966 +tp9511 +a(g701 +g972 +tp9512 +a(g701 +g1455 +tp9513 +a(g822 +g974 +tp9514 +a(g431 +V_jl_interp_parse +p9515 +tp9516 +a(g701 +g964 +tp9517 +a(g431 +g966 +tp9518 +a(g701 +g972 +tp9519 +a(g701 +g1455 +tp9520 +a(g822 +g974 +tp9521 +a(g740 +Vend +p9522 +tp9523 +a(g822 +V\u000a +p9524 +tp9525 +a(g740 +Vmacro +p9526 +tp9527 +a(g822 +g974 +tp9528 +a(g431 +VI_str +p9529 +tp9530 +a(g701 +g964 +tp9531 +a(g431 +g966 +tp9532 +a(g701 +g972 +tp9533 +a(g701 +g1455 +tp9534 +a(g822 +g974 +tp9535 +a(g431 +V_jl_interp_parse +p9536 +tp9537 +a(g701 +g964 +tp9538 +a(g431 +g966 +tp9539 +a(g701 +g1023 +tp9540 +a(g822 +g974 +tp9541 +a(g431 +g1081 +tp9542 +a(g408 +g2021 +tp9543 +a(g408 +g1211 +tp9544 +a(g431 +Vunescape_chars +p9545 +tp9546 +a(g701 +g964 +tp9547 +a(g431 +g1081 +tp9548 +a(g701 +g1023 +tp9549 +a(g84 +g982 +tp9550 +a(g208 +V\u005c" +p9551 +tp9552 +a(g84 +g982 +tp9553 +a(g701 +g972 +tp9554 +a(g701 +g972 +tp9555 +a(g701 +g1455 +tp9556 +a(g822 +g974 +tp9557 +a(g740 +Vend +p9558 +tp9559 +a(g822 +V\u000a +p9560 +tp9561 +a(g740 +Vmacro +p9562 +tp9563 +a(g822 +g974 +tp9564 +a(g431 +VE_str +p9565 +tp9566 +a(g701 +g964 +tp9567 +a(g431 +g966 +tp9568 +a(g701 +g972 +tp9569 +a(g701 +g1455 +tp9570 +a(g822 +g974 +tp9571 +a(g431 +Vcheck_utf8 +p9572 +tp9573 +a(g701 +g964 +tp9574 +a(g431 +Vunescape_string +p9575 +tp9576 +a(g701 +g964 +tp9577 +a(g431 +g966 +tp9578 +a(g701 +g972 +tp9579 +a(g701 +g972 +tp9580 +a(g701 +g1455 +tp9581 +a(g822 +g974 +tp9582 +a(g740 +Vend +p9583 +tp9584 +a(g822 +V\u000a +p9585 +tp9586 +a(g740 +Vmacro +p9587 +tp9588 +a(g822 +g974 +tp9589 +a(g431 +VB_str +p9590 +tp9591 +a(g701 +g964 +tp9592 +a(g431 +g966 +tp9593 +a(g701 +g972 +tp9594 +a(g701 +g1455 +tp9595 +a(g822 +g974 +tp9596 +a(g431 +V_jl_interp_parse_bytes +p9597 +tp9598 +a(g701 +g964 +tp9599 +a(g431 +g966 +tp9600 +a(g701 +g972 +tp9601 +a(g701 +g1455 +tp9602 +a(g822 +g974 +tp9603 +a(g740 +Vend +p9604 +tp9605 +a(g822 +V\u000a +p9606 +tp9607 +a(g740 +Vmacro +p9608 +tp9609 +a(g822 +g974 +tp9610 +a(g431 +Vb_str +p9611 +tp9612 +a(g701 +g964 +tp9613 +a(g431 +g966 +tp9614 +a(g701 +g972 +tp9615 +a(g701 +g1455 +tp9616 +a(g822 +g974 +tp9617 +a(g431 +Vex +p9618 +tp9619 +a(g822 +g974 +tp9620 +a(g408 +g976 +tp9621 +a(g822 +g974 +tp9622 +a(g431 +V_jl_interp_parse_bytes +p9623 +tp9624 +a(g701 +g964 +tp9625 +a(g431 +g966 +tp9626 +a(g701 +g972 +tp9627 +a(g701 +g1455 +tp9628 +a(g822 +g974 +tp9629 +a(g408 +g1380 +tp9630 +a(g701 +g964 +tp9631 +a(g701 +g964 +tp9632 +a(g408 +V$ +p9633 +tp9634 +a(g431 +Vex +p9635 +tp9636 +a(g701 +g972 +tp9637 +a(g408 +g1473 +tp9638 +a(g431 +Vdata +p9639 +tp9640 +a(g701 +g972 +tp9641 +a(g701 +g1455 +tp9642 +a(g822 +g974 +tp9643 +a(g740 +Vend +p9644 +tp9645 +a(g822 +V\u000a +p9646 +tp9647 +a(g822 +V\u000a +p9648 +tp9649 +a(g7 +V## shell-like command parsing ## +p9650 +tp9651 +a(g822 +V\u000a +p9652 +tp9653 +a(g822 +V\u000a +p9654 +tp9655 +a(g740 +Vfunction +p9656 +tp9657 +a(g822 +g974 +tp9658 +a(g431 +V_jl_shell_parse +p9659 +tp9660 +a(g701 +g964 +tp9661 +a(g431 +g966 +tp9662 +a(g408 +V:: +p9663 +tp9664 +a(g431 +VString +p9665 +tp9666 +a(g701 +g1023 +tp9667 +a(g822 +g974 +tp9668 +a(g431 +Vinterp +p9669 +tp9670 +a(g408 +V:: +p9671 +tp9672 +a(g744 +VBool +p9673 +tp9674 +a(g701 +g972 +tp9675 +a(g822 +V\u000a +p9676 +tp9677 +a(g822 +V\u000a +p9678 +tp9679 +a(g822 +V +p9680 +tp9681 +a(g431 +Vin_single_quotes +p9682 +tp9683 +a(g822 +g974 +tp9684 +a(g408 +g976 +tp9685 +a(g822 +g974 +tp9686 +a(g759 +Vfalse +p9687 +tp9688 +a(g822 +V\u000a +p9689 +tp9690 +a(g822 +V +p9691 +tp9692 +a(g431 +Vin_double_quotes +p9693 +tp9694 +a(g822 +g974 +tp9695 +a(g408 +g976 +tp9696 +a(g822 +g974 +tp9697 +a(g759 +Vfalse +p9698 +tp9699 +a(g822 +V\u000a +p9700 +tp9701 +a(g822 +V\u000a +p9702 +tp9703 +a(g822 +V +p9704 +tp9705 +a(g431 +Vargs +p9706 +tp9707 +a(g822 +g974 +tp9708 +a(g408 +g976 +tp9709 +a(g822 +g974 +tp9710 +a(g701 +g1338 +tp9711 +a(g701 +g1346 +tp9712 +a(g822 +V\u000a +p9713 +tp9714 +a(g822 +V +p9715 +tp9716 +a(g431 +Varg +p9717 +tp9718 +a(g822 +g974 +tp9719 +a(g408 +g976 +tp9720 +a(g822 +g974 +tp9721 +a(g701 +g1338 +tp9722 +a(g701 +g1346 +tp9723 +a(g822 +V\u000a +p9724 +tp9725 +a(g822 +V +p9726 +tp9727 +a(g431 +g998 +tp9728 +a(g822 +g974 +tp9729 +a(g408 +g976 +tp9730 +a(g822 +g974 +tp9731 +a(g431 +Vstart +p9732 +tp9733 +a(g701 +g964 +tp9734 +a(g431 +g966 +tp9735 +a(g701 +g972 +tp9736 +a(g822 +V\u000a +p9737 +tp9738 +a(g822 +V +p9739 +tp9740 +a(g431 +g1818 +tp9741 +a(g822 +g974 +tp9742 +a(g408 +g976 +tp9743 +a(g822 +g974 +tp9744 +a(g431 +g998 +tp9745 +a(g822 +V\u000a +p9746 +tp9747 +a(g822 +V\u000a +p9748 +tp9749 +a(g822 +V +p9750 +tp9751 +a(g740 +Vfunction +p9752 +tp9753 +a(g822 +g974 +tp9754 +a(g431 +Vupdate_arg +p9755 +tp9756 +a(g701 +g964 +tp9757 +a(g431 +g1081 +tp9758 +a(g701 +g972 +tp9759 +a(g822 +V\u000a +p9760 +tp9761 +a(g822 +V +p9762 +tp9763 +a(g740 +Vif +p9764 +tp9765 +a(g822 +g974 +tp9766 +a(g408 +g2685 +tp9767 +a(g781 +Visa +p9768 +tp9769 +a(g701 +g964 +tp9770 +a(g431 +g1081 +tp9771 +a(g701 +g1023 +tp9772 +a(g431 +VString +p9773 +tp9774 +a(g701 +g972 +tp9775 +a(g822 +g974 +tp9776 +a(g408 +V|| +p9777 +tp9778 +a(g822 +g974 +tp9779 +a(g408 +g2685 +tp9780 +a(g431 +Visempty +p9781 +tp9782 +a(g701 +g964 +tp9783 +a(g431 +g1081 +tp9784 +a(g701 +g972 +tp9785 +a(g822 +V\u000a +p9786 +tp9787 +a(g822 +V +p9788 +tp9789 +a(g431 +Vpush +p9790 +tp9791 +a(g701 +g964 +tp9792 +a(g431 +Varg +p9793 +tp9794 +a(g701 +g1023 +tp9795 +a(g822 +g974 +tp9796 +a(g431 +g1081 +tp9797 +a(g701 +g972 +tp9798 +a(g822 +V\u000a +p9799 +tp9800 +a(g822 +V +p9801 +tp9802 +a(g740 +Vend +p9803 +tp9804 +a(g822 +V\u000a +p9805 +tp9806 +a(g822 +V +p9807 +tp9808 +a(g740 +Vend +p9809 +tp9810 +a(g822 +V\u000a +p9811 +tp9812 +a(g822 +V +p9813 +tp9814 +a(g740 +Vfunction +p9815 +tp9816 +a(g822 +g974 +tp9817 +a(g431 +Vappend_arg +p9818 +tp9819 +a(g701 +g964 +tp9820 +a(g701 +g972 +tp9821 +a(g822 +V\u000a +p9822 +tp9823 +a(g822 +V +p9824 +tp9825 +a(g740 +Vif +p9826 +tp9827 +a(g822 +g974 +tp9828 +a(g431 +Visempty +p9829 +tp9830 +a(g701 +g964 +tp9831 +a(g431 +Varg +p9832 +tp9833 +a(g701 +g972 +tp9834 +a(g701 +g1455 +tp9835 +a(g822 +g974 +tp9836 +a(g431 +Varg +p9837 +tp9838 +a(g822 +g974 +tp9839 +a(g408 +g976 +tp9840 +a(g822 +g974 +tp9841 +a(g701 +g1338 +tp9842 +a(g84 +g982 +tp9843 +a(g84 +g982 +tp9844 +a(g701 +g1023 +tp9845 +a(g701 +g1346 +tp9846 +a(g701 +g1455 +tp9847 +a(g822 +g974 +tp9848 +a(g740 +Vend +p9849 +tp9850 +a(g822 +V\u000a +p9851 +tp9852 +a(g822 +V +p9853 +tp9854 +a(g431 +Vpush +p9855 +tp9856 +a(g701 +g964 +tp9857 +a(g431 +Vargs +p9858 +tp9859 +a(g701 +g1023 +tp9860 +a(g822 +g974 +tp9861 +a(g431 +Varg +p9862 +tp9863 +a(g701 +g972 +tp9864 +a(g822 +V\u000a +p9865 +tp9866 +a(g822 +V +p9867 +tp9868 +a(g431 +Varg +p9869 +tp9870 +a(g822 +g974 +tp9871 +a(g408 +g976 +tp9872 +a(g822 +g974 +tp9873 +a(g701 +g1338 +tp9874 +a(g701 +g1346 +tp9875 +a(g822 +V\u000a +p9876 +tp9877 +a(g822 +V +p9878 +tp9879 +a(g740 +Vend +p9880 +tp9881 +a(g822 +V\u000a +p9882 +tp9883 +a(g822 +V\u000a +p9884 +tp9885 +a(g822 +V +p9886 +tp9887 +a(g740 +Vwhile +p9888 +tp9889 +a(g822 +g974 +tp9890 +a(g408 +g2685 +tp9891 +a(g431 +Vdone +p9892 +tp9893 +a(g701 +g964 +tp9894 +a(g431 +g966 +tp9895 +a(g701 +g1023 +tp9896 +a(g431 +g1818 +tp9897 +a(g701 +g972 +tp9898 +a(g822 +V\u000a +p9899 +tp9900 +a(g822 +V +p9901 +tp9902 +a(g431 +g1451 +tp9903 +a(g701 +g1023 +tp9904 +a(g822 +g974 +tp9905 +a(g431 +g2385 +tp9906 +a(g822 +g974 +tp9907 +a(g408 +g976 +tp9908 +a(g822 +g974 +tp9909 +a(g431 +Vnext +p9910 +tp9911 +a(g701 +g964 +tp9912 +a(g431 +g966 +tp9913 +a(g701 +g1023 +tp9914 +a(g431 +g1818 +tp9915 +a(g701 +g972 +tp9916 +a(g822 +V\u000a +p9917 +tp9918 +a(g822 +V +p9919 +tp9920 +a(g740 +Vif +p9921 +tp9922 +a(g822 +g974 +tp9923 +a(g408 +g2685 +tp9924 +a(g431 +Vin_single_quotes +p9925 +tp9926 +a(g822 +g974 +tp9927 +a(g408 +V&& +p9928 +tp9929 +a(g822 +g974 +tp9930 +a(g408 +g2685 +tp9931 +a(g431 +Vin_double_quotes +p9932 +tp9933 +a(g822 +g974 +tp9934 +a(g408 +V&& +p9935 +tp9936 +a(g822 +g974 +tp9937 +a(g431 +Viswspace +p9938 +tp9939 +a(g701 +g964 +tp9940 +a(g431 +g1451 +tp9941 +a(g701 +g972 +tp9942 +a(g822 +V\u000a +p9943 +tp9944 +a(g822 +V +p9945 +tp9946 +a(g431 +Vupdate_arg +p9947 +tp9948 +a(g701 +g964 +tp9949 +a(g431 +g966 +tp9950 +a(g701 +g1125 +tp9951 +a(g431 +g998 +tp9952 +a(g408 +g1380 +tp9953 +a(g431 +g1818 +tp9954 +a(g408 +g2021 +tp9955 +a(g32 +g1134 +tp9956 +a(g701 +g1128 +tp9957 +a(g701 +g972 +tp9958 +a(g822 +V\u000a +p9959 +tp9960 +a(g822 +V +p9961 +tp9962 +a(g431 +Vappend_arg +p9963 +tp9964 +a(g701 +g964 +tp9965 +a(g701 +g972 +tp9966 +a(g822 +V\u000a +p9967 +tp9968 +a(g822 +V +p9969 +tp9970 +a(g431 +g1818 +tp9971 +a(g822 +g974 +tp9972 +a(g408 +g976 +tp9973 +a(g822 +g974 +tp9974 +a(g431 +g2385 +tp9975 +a(g822 +V\u000a +p9976 +tp9977 +a(g822 +V +p9978 +tp9979 +a(g740 +Vwhile +p9980 +tp9981 +a(g822 +g974 +tp9982 +a(g408 +g2685 +tp9983 +a(g431 +Vdone +p9984 +tp9985 +a(g701 +g964 +tp9986 +a(g431 +g966 +tp9987 +a(g701 +g1023 +tp9988 +a(g431 +g1818 +tp9989 +a(g701 +g972 +tp9990 +a(g822 +V\u000a +p9991 +tp9992 +a(g822 +V +p9993 +tp9994 +a(g431 +g1451 +tp9995 +a(g701 +g1023 +tp9996 +a(g822 +g974 +tp9997 +a(g431 +g2385 +tp9998 +a(g822 +g974 +tp9999 +a(g408 +g976 +tp10000 +a(g822 +g974 +tp10001 +a(g431 +Vnext +p10002 +tp10003 +a(g701 +g964 +tp10004 +a(g431 +g966 +tp10005 +a(g701 +g1023 +tp10006 +a(g431 +g1818 +tp10007 +a(g701 +g972 +tp10008 +a(g822 +V\u000a +p10009 +tp10010 +a(g822 +V +p10011 +tp10012 +a(g740 +Vif +p10013 +tp10014 +a(g822 +g974 +tp10015 +a(g408 +g2685 +tp10016 +a(g431 +Viswspace +p10017 +tp10018 +a(g701 +g964 +tp10019 +a(g431 +g1451 +tp10020 +a(g701 +g972 +tp10021 +a(g822 +V\u000a +p10022 +tp10023 +a(g822 +V +p10024 +tp10025 +a(g431 +g998 +tp10026 +a(g822 +g974 +tp10027 +a(g408 +g976 +tp10028 +a(g822 +g974 +tp10029 +a(g431 +g1818 +tp10030 +a(g822 +V\u000a +p10031 +tp10032 +a(g822 +V +p10033 +tp10034 +a(g740 +Vbreak +p10035 +tp10036 +a(g822 +V\u000a +p10037 +tp10038 +a(g822 +V +p10039 +tp10040 +a(g740 +Vend +p10041 +tp10042 +a(g822 +V\u000a +p10043 +tp10044 +a(g822 +V +p10045 +tp10046 +a(g431 +g1818 +tp10047 +a(g822 +g974 +tp10048 +a(g408 +g976 +tp10049 +a(g822 +g974 +tp10050 +a(g431 +g2385 +tp10051 +a(g822 +V\u000a +p10052 +tp10053 +a(g822 +V +p10054 +tp10055 +a(g740 +Vend +p10056 +tp10057 +a(g822 +V\u000a +p10058 +tp10059 +a(g822 +V +p10060 +tp10061 +a(g740 +Velseif +p10062 +tp10063 +a(g822 +g974 +tp10064 +a(g431 +Vinterp +p10065 +tp10066 +a(g822 +g974 +tp10067 +a(g408 +V&& +p10068 +tp10069 +a(g822 +g974 +tp10070 +a(g408 +g2685 +tp10071 +a(g431 +Vin_single_quotes +p10072 +tp10073 +a(g822 +g974 +tp10074 +a(g408 +V&& +p10075 +tp10076 +a(g822 +g974 +tp10077 +a(g431 +g1451 +tp10078 +a(g822 +g974 +tp10079 +a(g408 +V== +p10080 +tp10081 +a(g822 +g974 +tp10082 +a(g88 +V'$' +p10083 +tp10084 +a(g822 +V\u000a +p10085 +tp10086 +a(g822 +V +p10087 +tp10088 +a(g431 +Vupdate_arg +p10089 +tp10090 +a(g701 +g964 +tp10091 +a(g431 +g966 +tp10092 +a(g701 +g1125 +tp10093 +a(g431 +g998 +tp10094 +a(g408 +g1380 +tp10095 +a(g431 +g1818 +tp10096 +a(g408 +g2021 +tp10097 +a(g32 +g1134 +tp10098 +a(g701 +g1128 +tp10099 +a(g701 +g972 +tp10100 +a(g701 +g1455 +tp10101 +a(g822 +g974 +tp10102 +a(g431 +g998 +tp10103 +a(g822 +g974 +tp10104 +a(g408 +g976 +tp10105 +a(g822 +g974 +tp10106 +a(g431 +g2385 +tp10107 +a(g701 +g1455 +tp10108 +a(g822 +g974 +tp10109 +a(g431 +g1818 +tp10110 +a(g822 +g974 +tp10111 +a(g408 +g976 +tp10112 +a(g822 +g974 +tp10113 +a(g431 +g2385 +tp10114 +a(g822 +V\u000a +p10115 +tp10116 +a(g822 +V +p10117 +tp10118 +a(g740 +Vif +p10119 +tp10120 +a(g822 +g974 +tp10121 +a(g431 +Vdone +p10122 +tp10123 +a(g701 +g964 +tp10124 +a(g431 +g966 +tp10125 +a(g701 +g1023 +tp10126 +a(g431 +g2385 +tp10127 +a(g701 +g972 +tp10128 +a(g822 +V\u000a +p10129 +tp10130 +a(g822 +V +p10131 +tp10132 +a(g431 +Verror +p10133 +tp10134 +a(g701 +g964 +tp10135 +a(g84 +g982 +tp10136 +a(g208 +V\u005c$ +p10137 +tp10138 +a(g84 +g974 +tp10139 +a(g84 +g1356 +tp10140 +a(g84 +g998 +tp10141 +a(g84 +g1016 +tp10142 +a(g84 +g1019 +tp10143 +a(g84 +g995 +tp10144 +a(g84 +g974 +tp10145 +a(g84 +g2948 +tp10146 +a(g84 +g1005 +tp10147 +a(g84 +g1705 +tp10148 +a(g84 +g986 +tp10149 +a(g84 +g1356 +tp10150 +a(g84 +g1005 +tp10151 +a(g84 +g974 +tp10152 +a(g84 +g1005 +tp10153 +a(g84 +g1009 +tp10154 +a(g84 +g1641 +tp10155 +a(g84 +g974 +tp10156 +a(g84 +g986 +tp10157 +a(g84 +g1705 +tp10158 +a(g84 +g974 +tp10159 +a(g84 +g1451 +tp10160 +a(g84 +g986 +tp10161 +a(g84 +g991 +tp10162 +a(g84 +g991 +tp10163 +a(g84 +g1709 +tp10164 +a(g84 +g1009 +tp10165 +a(g84 +g1641 +tp10166 +a(g84 +g982 +tp10167 +a(g701 +g972 +tp10168 +a(g822 +V\u000a +p10169 +tp10170 +a(g822 +V +p10171 +tp10172 +a(g740 +Vend +p10173 +tp10174 +a(g822 +V\u000a +p10175 +tp10176 +a(g822 +V +p10177 +tp10178 +a(g740 +Vif +p10179 +tp10180 +a(g822 +g974 +tp10181 +a(g431 +Viswspace +p10182 +tp10183 +a(g701 +g964 +tp10184 +a(g431 +g966 +tp10185 +a(g701 +g1125 +tp10186 +a(g431 +g2385 +tp10187 +a(g701 +g1128 +tp10188 +a(g701 +g972 +tp10189 +a(g822 +V\u000a +p10190 +tp10191 +a(g822 +V +p10192 +tp10193 +a(g431 +Verror +p10194 +tp10195 +a(g701 +g964 +tp10196 +a(g84 +g982 +tp10197 +a(g84 +g966 +tp10198 +a(g84 +g1001 +tp10199 +a(g84 +g1709 +tp10200 +a(g84 +g1451 +tp10201 +a(g84 +g1005 +tp10202 +a(g84 +g974 +tp10203 +a(g84 +g1009 +tp10204 +a(g84 +g986 +tp10205 +a(g84 +g995 +tp10206 +a(g84 +g974 +tp10207 +a(g84 +g1709 +tp10208 +a(g84 +g1003 +tp10209 +a(g84 +g1003 +tp10210 +a(g84 +g986 +tp10211 +a(g84 +g3385 +tp10212 +a(g84 +g1005 +tp10213 +a(g84 +g1641 +tp10214 +a(g84 +g974 +tp10215 +a(g84 +g1356 +tp10216 +a(g84 +g998 +tp10217 +a(g84 +g1016 +tp10218 +a(g84 +g1019 +tp10219 +a(g84 +g995 +tp10220 +a(g84 +g974 +tp10221 +a(g84 +g1709 +tp10222 +a(g84 +g1705 +tp10223 +a(g84 +g995 +tp10224 +a(g84 +g1005 +tp10225 +a(g84 +g1356 +tp10226 +a(g84 +g974 +tp10227 +a(g208 +V\u005c$ +p10228 +tp10229 +a(g84 +g982 +tp10230 +a(g701 +g972 +tp10231 +a(g822 +V\u000a +p10232 +tp10233 +a(g822 +V +p10234 +tp10235 +a(g740 +Vend +p10236 +tp10237 +a(g822 +V\u000a +p10238 +tp10239 +a(g822 +V +p10240 +tp10241 +a(g431 +Vex +p10242 +tp10243 +a(g701 +g1023 +tp10244 +a(g822 +g974 +tp10245 +a(g431 +g1818 +tp10246 +a(g822 +g974 +tp10247 +a(g408 +g976 +tp10248 +a(g822 +g974 +tp10249 +a(g431 +Vparseatom +p10250 +tp10251 +a(g701 +g964 +tp10252 +a(g431 +g966 +tp10253 +a(g701 +g1023 +tp10254 +a(g431 +g1818 +tp10255 +a(g701 +g972 +tp10256 +a(g822 +V\u000a +p10257 +tp10258 +a(g822 +V +p10259 +tp10260 +a(g431 +Vupdate_arg +p10261 +tp10262 +a(g701 +g964 +tp10263 +a(g431 +Vex +p10264 +tp10265 +a(g701 +g972 +tp10266 +a(g701 +g1455 +tp10267 +a(g822 +g974 +tp10268 +a(g431 +g998 +tp10269 +a(g822 +g974 +tp10270 +a(g408 +g976 +tp10271 +a(g822 +g974 +tp10272 +a(g431 +g1818 +tp10273 +a(g822 +V\u000a +p10274 +tp10275 +a(g822 +V +p10276 +tp10277 +a(g740 +Velse +p10278 +tp10279 +a(g822 +V\u000a +p10280 +tp10281 +a(g822 +V +p10282 +tp10283 +a(g740 +Vif +p10284 +tp10285 +a(g822 +g974 +tp10286 +a(g408 +g2685 +tp10287 +a(g431 +Vin_double_quotes +p10288 +tp10289 +a(g822 +g974 +tp10290 +a(g408 +V&& +p10291 +tp10292 +a(g822 +g974 +tp10293 +a(g431 +g1451 +tp10294 +a(g822 +g974 +tp10295 +a(g408 +V== +p10296 +tp10297 +a(g822 +g974 +tp10298 +a(g88 +V'\u005c'' +p10299 +tp10300 +a(g822 +V\u000a +p10301 +tp10302 +a(g822 +V +p10303 +tp10304 +a(g431 +Vin_single_quotes +p10305 +tp10306 +a(g822 +g974 +tp10307 +a(g408 +g976 +tp10308 +a(g822 +g974 +tp10309 +a(g408 +g2685 +tp10310 +a(g431 +Vin_single_quotes +p10311 +tp10312 +a(g822 +V\u000a +p10313 +tp10314 +a(g822 +V +p10315 +tp10316 +a(g431 +Vupdate_arg +p10317 +tp10318 +a(g701 +g964 +tp10319 +a(g431 +g966 +tp10320 +a(g701 +g1125 +tp10321 +a(g431 +g998 +tp10322 +a(g408 +g1380 +tp10323 +a(g431 +g1818 +tp10324 +a(g408 +g2021 +tp10325 +a(g32 +g1134 +tp10326 +a(g701 +g1128 +tp10327 +a(g701 +g972 +tp10328 +a(g701 +g1455 +tp10329 +a(g822 +g974 +tp10330 +a(g431 +g998 +tp10331 +a(g822 +g974 +tp10332 +a(g408 +g976 +tp10333 +a(g822 +g974 +tp10334 +a(g431 +g2385 +tp10335 +a(g822 +V\u000a +p10336 +tp10337 +a(g822 +V +p10338 +tp10339 +a(g740 +Velseif +p10340 +tp10341 +a(g822 +g974 +tp10342 +a(g408 +g2685 +tp10343 +a(g431 +Vin_single_quotes +p10344 +tp10345 +a(g822 +g974 +tp10346 +a(g408 +V&& +p10347 +tp10348 +a(g822 +g974 +tp10349 +a(g431 +g1451 +tp10350 +a(g822 +g974 +tp10351 +a(g408 +V== +p10352 +tp10353 +a(g822 +g974 +tp10354 +a(g88 +V'"' +p10355 +tp10356 +a(g822 +V\u000a +p10357 +tp10358 +a(g822 +V +p10359 +tp10360 +a(g431 +Vin_double_quotes +p10361 +tp10362 +a(g822 +g974 +tp10363 +a(g408 +g976 +tp10364 +a(g822 +g974 +tp10365 +a(g408 +g2685 +tp10366 +a(g431 +Vin_double_quotes +p10367 +tp10368 +a(g822 +V\u000a +p10369 +tp10370 +a(g822 +V +p10371 +tp10372 +a(g431 +Vupdate_arg +p10373 +tp10374 +a(g701 +g964 +tp10375 +a(g431 +g966 +tp10376 +a(g701 +g1125 +tp10377 +a(g431 +g998 +tp10378 +a(g408 +g1380 +tp10379 +a(g431 +g1818 +tp10380 +a(g408 +g2021 +tp10381 +a(g32 +g1134 +tp10382 +a(g701 +g1128 +tp10383 +a(g701 +g972 +tp10384 +a(g701 +g1455 +tp10385 +a(g822 +g974 +tp10386 +a(g431 +g998 +tp10387 +a(g822 +g974 +tp10388 +a(g408 +g976 +tp10389 +a(g822 +g974 +tp10390 +a(g431 +g2385 +tp10391 +a(g822 +V\u000a +p10392 +tp10393 +a(g822 +V +p10394 +tp10395 +a(g740 +Velseif +p10396 +tp10397 +a(g822 +g974 +tp10398 +a(g431 +g1451 +tp10399 +a(g822 +g974 +tp10400 +a(g408 +V== +p10401 +tp10402 +a(g822 +g974 +tp10403 +a(g88 +V'\u005c\u005c' +p10404 +tp10405 +a(g822 +V\u000a +p10406 +tp10407 +a(g822 +V +p10408 +tp10409 +a(g740 +Vif +p10410 +tp10411 +a(g822 +g974 +tp10412 +a(g431 +Vin_double_quotes +p10413 +tp10414 +a(g822 +V\u000a +p10415 +tp10416 +a(g822 +V +p10417 +tp10418 +a(g740 +Vif +p10419 +tp10420 +a(g822 +g974 +tp10421 +a(g431 +Vdone +p10422 +tp10423 +a(g701 +g964 +tp10424 +a(g431 +g966 +tp10425 +a(g701 +g1023 +tp10426 +a(g431 +g2385 +tp10427 +a(g701 +g972 +tp10428 +a(g822 +V\u000a +p10429 +tp10430 +a(g822 +V +p10431 +tp10432 +a(g431 +Verror +p10433 +tp10434 +a(g701 +g964 +tp10435 +a(g84 +g982 +tp10436 +a(g84 +g988 +tp10437 +a(g84 +g1009 +tp10438 +a(g84 +g995 +tp10439 +a(g84 +g1005 +tp10440 +a(g84 +g1356 +tp10441 +a(g84 +g991 +tp10442 +a(g84 +g998 +tp10443 +a(g84 +g1009 +tp10444 +a(g84 +g1709 +tp10445 +a(g84 +g995 +tp10446 +a(g84 +g1005 +tp10447 +a(g84 +g1641 +tp10448 +a(g84 +g974 +tp10449 +a(g84 +g1641 +tp10450 +a(g84 +g986 +tp10451 +a(g84 +g988 +tp10452 +a(g84 +g2948 +tp10453 +a(g84 +g1003 +tp10454 +a(g84 +g1005 +tp10455 +a(g84 +g974 +tp10456 +a(g84 +g8345 +tp10457 +a(g84 +g988 +tp10458 +a(g84 +g986 +tp10459 +a(g84 +g995 +tp10460 +a(g84 +g1005 +tp10461 +a(g84 +g982 +tp10462 +a(g701 +g972 +tp10463 +a(g822 +V\u000a +p10464 +tp10465 +a(g822 +V +p10466 +tp10467 +a(g740 +Vend +p10468 +tp10469 +a(g822 +V\u000a +p10470 +tp10471 +a(g822 +V +p10472 +tp10473 +a(g740 +Vif +p10474 +tp10475 +a(g822 +g974 +tp10476 +a(g431 +g966 +tp10477 +a(g701 +g1125 +tp10478 +a(g431 +g2385 +tp10479 +a(g701 +g1128 +tp10480 +a(g822 +g974 +tp10481 +a(g408 +V== +p10482 +tp10483 +a(g822 +g974 +tp10484 +a(g88 +V'"' +p10485 +tp10486 +a(g822 +g974 +tp10487 +a(g408 +V|| +p10488 +tp10489 +a(g822 +g974 +tp10490 +a(g431 +g966 +tp10491 +a(g701 +g1125 +tp10492 +a(g431 +g2385 +tp10493 +a(g701 +g1128 +tp10494 +a(g822 +g974 +tp10495 +a(g408 +V== +p10496 +tp10497 +a(g822 +g974 +tp10498 +a(g88 +V'$' +p10499 +tp10500 +a(g822 +V\u000a +p10501 +tp10502 +a(g822 +V +p10503 +tp10504 +a(g431 +Vupdate_arg +p10505 +tp10506 +a(g701 +g964 +tp10507 +a(g431 +g966 +tp10508 +a(g701 +g1125 +tp10509 +a(g431 +g998 +tp10510 +a(g408 +g1380 +tp10511 +a(g431 +g1818 +tp10512 +a(g408 +g2021 +tp10513 +a(g32 +g1134 +tp10514 +a(g701 +g1128 +tp10515 +a(g701 +g972 +tp10516 +a(g701 +g1455 +tp10517 +a(g822 +g974 +tp10518 +a(g431 +g998 +tp10519 +a(g822 +g974 +tp10520 +a(g408 +g976 +tp10521 +a(g822 +g974 +tp10522 +a(g431 +g2385 +tp10523 +a(g822 +V\u000a +p10524 +tp10525 +a(g822 +V +p10526 +tp10527 +a(g431 +g1451 +tp10528 +a(g701 +g1023 +tp10529 +a(g822 +g974 +tp10530 +a(g431 +g2385 +tp10531 +a(g822 +g974 +tp10532 +a(g408 +g976 +tp10533 +a(g822 +g974 +tp10534 +a(g431 +Vnext +p10535 +tp10536 +a(g701 +g964 +tp10537 +a(g431 +g966 +tp10538 +a(g701 +g1023 +tp10539 +a(g431 +g2385 +tp10540 +a(g701 +g972 +tp10541 +a(g822 +V\u000a +p10542 +tp10543 +a(g822 +V +p10544 +tp10545 +a(g740 +Vend +p10546 +tp10547 +a(g822 +V\u000a +p10548 +tp10549 +a(g822 +V +p10550 +tp10551 +a(g740 +Velseif +p10552 +tp10553 +a(g822 +g974 +tp10554 +a(g408 +g2685 +tp10555 +a(g431 +Vin_single_quotes +p10556 +tp10557 +a(g822 +V\u000a +p10558 +tp10559 +a(g822 +V +p10560 +tp10561 +a(g740 +Vif +p10562 +tp10563 +a(g822 +g974 +tp10564 +a(g431 +Vdone +p10565 +tp10566 +a(g701 +g964 +tp10567 +a(g431 +g966 +tp10568 +a(g701 +g1023 +tp10569 +a(g431 +g2385 +tp10570 +a(g701 +g972 +tp10571 +a(g822 +V\u000a +p10572 +tp10573 +a(g822 +V +p10574 +tp10575 +a(g431 +Verror +p10576 +tp10577 +a(g701 +g964 +tp10578 +a(g84 +g982 +tp10579 +a(g84 +g1641 +tp10580 +a(g84 +g1709 +tp10581 +a(g84 +g1009 +tp10582 +a(g84 +g1016 +tp10583 +a(g84 +g1003 +tp10584 +a(g84 +g998 +tp10585 +a(g84 +g1009 +tp10586 +a(g84 +g1016 +tp10587 +a(g84 +g974 +tp10588 +a(g84 +g2948 +tp10589 +a(g84 +g1709 +tp10590 +a(g84 +g1451 +tp10591 +a(g84 +g2385 +tp10592 +a(g84 +g966 +tp10593 +a(g84 +g1003 +tp10594 +a(g84 +g1709 +tp10595 +a(g84 +g966 +tp10596 +a(g84 +g1019 +tp10597 +a(g84 +g982 +tp10598 +a(g701 +g972 +tp10599 +a(g822 +V\u000a +p10600 +tp10601 +a(g822 +V +p10602 +tp10603 +a(g740 +Vend +p10604 +tp10605 +a(g822 +V\u000a +p10606 +tp10607 +a(g822 +V +p10608 +tp10609 +a(g431 +Vupdate_arg +p10610 +tp10611 +a(g701 +g964 +tp10612 +a(g431 +g966 +tp10613 +a(g701 +g1125 +tp10614 +a(g431 +g998 +tp10615 +a(g408 +g1380 +tp10616 +a(g431 +g1818 +tp10617 +a(g408 +g2021 +tp10618 +a(g32 +g1134 +tp10619 +a(g701 +g1128 +tp10620 +a(g701 +g972 +tp10621 +a(g701 +g1455 +tp10622 +a(g822 +g974 +tp10623 +a(g431 +g998 +tp10624 +a(g822 +g974 +tp10625 +a(g408 +g976 +tp10626 +a(g822 +g974 +tp10627 +a(g431 +g2385 +tp10628 +a(g822 +V\u000a +p10629 +tp10630 +a(g822 +V +p10631 +tp10632 +a(g431 +g1451 +tp10633 +a(g701 +g1023 +tp10634 +a(g822 +g974 +tp10635 +a(g431 +g2385 +tp10636 +a(g822 +g974 +tp10637 +a(g408 +g976 +tp10638 +a(g822 +g974 +tp10639 +a(g431 +Vnext +p10640 +tp10641 +a(g701 +g964 +tp10642 +a(g431 +g966 +tp10643 +a(g701 +g1023 +tp10644 +a(g431 +g2385 +tp10645 +a(g701 +g972 +tp10646 +a(g822 +V\u000a +p10647 +tp10648 +a(g822 +V +p10649 +tp10650 +a(g740 +Vend +p10651 +tp10652 +a(g822 +V\u000a +p10653 +tp10654 +a(g822 +V +p10655 +tp10656 +a(g740 +Vend +p10657 +tp10658 +a(g822 +V\u000a +p10659 +tp10660 +a(g822 +V +p10661 +tp10662 +a(g431 +g1818 +tp10663 +a(g822 +g974 +tp10664 +a(g408 +g976 +tp10665 +a(g822 +g974 +tp10666 +a(g431 +g2385 +tp10667 +a(g822 +V\u000a +p10668 +tp10669 +a(g822 +V +p10670 +tp10671 +a(g740 +Vend +p10672 +tp10673 +a(g822 +V\u000a +p10674 +tp10675 +a(g822 +V +p10676 +tp10677 +a(g740 +Vend +p10678 +tp10679 +a(g822 +V\u000a +p10680 +tp10681 +a(g822 +V\u000a +p10682 +tp10683 +a(g822 +V +p10684 +tp10685 +a(g740 +Vif +p10686 +tp10687 +a(g822 +g974 +tp10688 +a(g431 +Vin_single_quotes +p10689 +tp10690 +a(g701 +g1455 +tp10691 +a(g822 +g974 +tp10692 +a(g431 +Verror +p10693 +tp10694 +a(g701 +g964 +tp10695 +a(g84 +g982 +tp10696 +a(g84 +g988 +tp10697 +a(g84 +g1009 +tp10698 +a(g84 +g995 +tp10699 +a(g84 +g1005 +tp10700 +a(g84 +g1356 +tp10701 +a(g84 +g991 +tp10702 +a(g84 +g998 +tp10703 +a(g84 +g1009 +tp10704 +a(g84 +g1709 +tp10705 +a(g84 +g995 +tp10706 +a(g84 +g1005 +tp10707 +a(g84 +g1641 +tp10708 +a(g84 +g974 +tp10709 +a(g84 +g966 +tp10710 +a(g84 +g998 +tp10711 +a(g84 +g1009 +tp10712 +a(g84 +g1016 +tp10713 +a(g84 +g1003 +tp10714 +a(g84 +g1005 +tp10715 +a(g84 +g974 +tp10716 +a(g84 +g8345 +tp10717 +a(g84 +g988 +tp10718 +a(g84 +g986 +tp10719 +a(g84 +g995 +tp10720 +a(g84 +g1005 +tp10721 +a(g84 +g982 +tp10722 +a(g701 +g972 +tp10723 +a(g701 +g1455 +tp10724 +a(g822 +g974 +tp10725 +a(g740 +Vend +p10726 +tp10727 +a(g822 +V\u000a +p10728 +tp10729 +a(g822 +V +p10730 +tp10731 +a(g740 +Vif +p10732 +tp10733 +a(g822 +g974 +tp10734 +a(g431 +Vin_double_quotes +p10735 +tp10736 +a(g701 +g1455 +tp10737 +a(g822 +g974 +tp10738 +a(g431 +Verror +p10739 +tp10740 +a(g701 +g964 +tp10741 +a(g84 +g982 +tp10742 +a(g84 +g988 +tp10743 +a(g84 +g1009 +tp10744 +a(g84 +g995 +tp10745 +a(g84 +g1005 +tp10746 +a(g84 +g1356 +tp10747 +a(g84 +g991 +tp10748 +a(g84 +g998 +tp10749 +a(g84 +g1009 +tp10750 +a(g84 +g1709 +tp10751 +a(g84 +g995 +tp10752 +a(g84 +g1005 +tp10753 +a(g84 +g1641 +tp10754 +a(g84 +g974 +tp10755 +a(g84 +g1641 +tp10756 +a(g84 +g986 +tp10757 +a(g84 +g988 +tp10758 +a(g84 +g2948 +tp10759 +a(g84 +g1003 +tp10760 +a(g84 +g1005 +tp10761 +a(g84 +g974 +tp10762 +a(g84 +g8345 +tp10763 +a(g84 +g988 +tp10764 +a(g84 +g986 +tp10765 +a(g84 +g995 +tp10766 +a(g84 +g1005 +tp10767 +a(g84 +g982 +tp10768 +a(g701 +g972 +tp10769 +a(g701 +g1455 +tp10770 +a(g822 +g974 +tp10771 +a(g740 +Vend +p10772 +tp10773 +a(g822 +V\u000a +p10774 +tp10775 +a(g822 +V\u000a +p10776 +tp10777 +a(g822 +V +p10778 +tp10779 +a(g431 +Vupdate_arg +p10780 +tp10781 +a(g701 +g964 +tp10782 +a(g431 +g966 +tp10783 +a(g701 +g1125 +tp10784 +a(g431 +g998 +tp10785 +a(g408 +g1380 +tp10786 +a(g701 +g1128 +tp10787 +a(g701 +g972 +tp10788 +a(g822 +V\u000a +p10789 +tp10790 +a(g822 +V +p10791 +tp10792 +a(g431 +Vappend_arg +p10793 +tp10794 +a(g701 +g964 +tp10795 +a(g701 +g972 +tp10796 +a(g822 +V\u000a +p10797 +tp10798 +a(g822 +V\u000a +p10799 +tp10800 +a(g822 +V +p10801 +tp10802 +a(g740 +Vif +p10803 +tp10804 +a(g822 +g974 +tp10805 +a(g408 +g2685 +tp10806 +a(g431 +Vinterp +p10807 +tp10808 +a(g822 +V\u000a +p10809 +tp10810 +a(g822 +V +p10811 +tp10812 +a(g740 +Vreturn +p10813 +tp10814 +a(g822 +g974 +tp10815 +a(g431 +Vargs +p10816 +tp10817 +a(g822 +V\u000a +p10818 +tp10819 +a(g822 +V +p10820 +tp10821 +a(g740 +Vend +p10822 +tp10823 +a(g822 +V\u000a +p10824 +tp10825 +a(g822 +V\u000a +p10826 +tp10827 +a(g822 +V +p10828 +tp10829 +a(g7 +V# construct an expression +p10830 +tp10831 +a(g822 +V\u000a +p10832 +tp10833 +a(g822 +V +p10834 +tp10835 +a(g431 +Vexprs +p10836 +tp10837 +a(g822 +g974 +tp10838 +a(g408 +g976 +tp10839 +a(g822 +g974 +tp10840 +a(g701 +g1338 +tp10841 +a(g701 +g1346 +tp10842 +a(g822 +V\u000a +p10843 +tp10844 +a(g822 +V +p10845 +tp10846 +a(g740 +Vfor +p10847 +tp10848 +a(g822 +g974 +tp10849 +a(g431 +Varg +p10850 +tp10851 +a(g822 +g974 +tp10852 +a(g781 +Vin +p10853 +tp10854 +a(g822 +g974 +tp10855 +a(g431 +Vargs +p10856 +tp10857 +a(g822 +V\u000a +p10858 +tp10859 +a(g822 +V +p10860 +tp10861 +a(g431 +Vpush +p10862 +tp10863 +a(g701 +g964 +tp10864 +a(g431 +Vexprs +p10865 +tp10866 +a(g701 +g1023 +tp10867 +a(g822 +g974 +tp10868 +a(g431 +Vexpr +p10869 +tp10870 +a(g701 +g964 +tp10871 +a(g408 +g1380 +tp10872 +a(g431 +Vtuple +p10873 +tp10874 +a(g701 +g1023 +tp10875 +a(g822 +g974 +tp10876 +a(g431 +Varg +p10877 +tp10878 +a(g701 +g972 +tp10879 +a(g701 +g972 +tp10880 +a(g822 +V\u000a +p10881 +tp10882 +a(g822 +V +p10883 +tp10884 +a(g740 +Vend +p10885 +tp10886 +a(g822 +V\u000a +p10887 +tp10888 +a(g822 +V +p10889 +tp10890 +a(g431 +Vexpr +p10891 +tp10892 +a(g701 +g964 +tp10893 +a(g408 +g1380 +tp10894 +a(g431 +Vtuple +p10895 +tp10896 +a(g701 +g1023 +tp10897 +a(g431 +Vexprs +p10898 +tp10899 +a(g701 +g972 +tp10900 +a(g822 +V\u000a +p10901 +tp10902 +a(g740 +Vend +p10903 +tp10904 +a(g822 +V\u000a +p10905 +tp10906 +a(g431 +V_jl_shell_parse +p10907 +tp10908 +a(g701 +g964 +tp10909 +a(g431 +g966 +tp10910 +a(g408 +V:: +p10911 +tp10912 +a(g431 +VString +p10913 +tp10914 +a(g701 +g972 +tp10915 +a(g822 +g974 +tp10916 +a(g408 +g976 +tp10917 +a(g822 +g974 +tp10918 +a(g431 +V_jl_shell_parse +p10919 +tp10920 +a(g701 +g964 +tp10921 +a(g431 +g966 +tp10922 +a(g701 +g1023 +tp10923 +a(g759 +Vtrue +p10924 +tp10925 +a(g701 +g972 +tp10926 +a(g822 +V\u000a +p10927 +tp10928 +a(g822 +V\u000a +p10929 +tp10930 +a(g740 +Vfunction +p10931 +tp10932 +a(g822 +g974 +tp10933 +a(g431 +Vshell_split +p10934 +tp10935 +a(g701 +g964 +tp10936 +a(g431 +g966 +tp10937 +a(g408 +V:: +p10938 +tp10939 +a(g431 +VString +p10940 +tp10941 +a(g701 +g972 +tp10942 +a(g822 +V\u000a +p10943 +tp10944 +a(g822 +V +p10945 +tp10946 +a(g431 +Vparsed +p10947 +tp10948 +a(g822 +g974 +tp10949 +a(g408 +g976 +tp10950 +a(g822 +g974 +tp10951 +a(g431 +V_jl_shell_parse +p10952 +tp10953 +a(g701 +g964 +tp10954 +a(g431 +g966 +tp10955 +a(g701 +g1023 +tp10956 +a(g759 +Vfalse +p10957 +tp10958 +a(g701 +g972 +tp10959 +a(g822 +V\u000a +p10960 +tp10961 +a(g822 +V +p10962 +tp10963 +a(g431 +Vargs +p10964 +tp10965 +a(g822 +g974 +tp10966 +a(g408 +g976 +tp10967 +a(g822 +g974 +tp10968 +a(g431 +VString +p10969 +tp10970 +a(g701 +g1125 +tp10971 +a(g701 +g1128 +tp10972 +a(g822 +V\u000a +p10973 +tp10974 +a(g822 +V +p10975 +tp10976 +a(g740 +Vfor +p10977 +tp10978 +a(g822 +g974 +tp10979 +a(g431 +Varg +p10980 +tp10981 +a(g822 +g974 +tp10982 +a(g781 +Vin +p10983 +tp10984 +a(g822 +g974 +tp10985 +a(g431 +Vparsed +p10986 +tp10987 +a(g822 +V\u000a +p10988 +tp10989 +a(g822 +V +p10990 +tp10991 +a(g431 +Vpush +p10992 +tp10993 +a(g701 +g964 +tp10994 +a(g431 +Vargs +p10995 +tp10996 +a(g701 +g1023 +tp10997 +a(g822 +g974 +tp10998 +a(g431 +Vstrcat +p10999 +tp11000 +a(g701 +g964 +tp11001 +a(g431 +Varg +p11002 +tp11003 +a(g408 +g1473 +tp11004 +a(g408 +g1473 +tp11005 +a(g408 +g1473 +tp11006 +a(g701 +g972 +tp11007 +a(g701 +g972 +tp11008 +a(g822 +V\u000a +p11009 +tp11010 +a(g822 +V +p11011 +tp11012 +a(g740 +Vend +p11013 +tp11014 +a(g822 +V\u000a +p11015 +tp11016 +a(g822 +V +p11017 +tp11018 +a(g431 +Vargs +p11019 +tp11020 +a(g822 +V\u000a +p11021 +tp11022 +a(g740 +Vend +p11023 +tp11024 +a(g822 +V\u000a +p11025 +tp11026 +a(g822 +V\u000a +p11027 +tp11028 +a(g740 +Vfunction +p11029 +tp11030 +a(g822 +g974 +tp11031 +a(g431 +Vprint_shell_word +p11032 +tp11033 +a(g701 +g964 +tp11034 +a(g431 +Vword +p11035 +tp11036 +a(g408 +V:: +p11037 +tp11038 +a(g431 +VString +p11039 +tp11040 +a(g701 +g972 +tp11041 +a(g822 +V\u000a +p11042 +tp11043 +a(g822 +V +p11044 +tp11045 +a(g740 +Vif +p11046 +tp11047 +a(g822 +g974 +tp11048 +a(g431 +Visempty +p11049 +tp11050 +a(g701 +g964 +tp11051 +a(g431 +Vword +p11052 +tp11053 +a(g701 +g972 +tp11054 +a(g822 +V\u000a +p11055 +tp11056 +a(g822 +V +p11057 +tp11058 +a(g431 +Vprint +p11059 +tp11060 +a(g701 +g964 +tp11061 +a(g84 +g982 +tp11062 +a(g84 +g4646 +tp11063 +a(g84 +g4646 +tp11064 +a(g84 +g982 +tp11065 +a(g701 +g972 +tp11066 +a(g822 +V\u000a +p11067 +tp11068 +a(g822 +V +p11069 +tp11070 +a(g740 +Vend +p11071 +tp11072 +a(g822 +V\u000a +p11073 +tp11074 +a(g822 +V +p11075 +tp11076 +a(g431 +Vhas_single +p11077 +tp11078 +a(g822 +g974 +tp11079 +a(g408 +g976 +tp11080 +a(g822 +g974 +tp11081 +a(g759 +Vfalse +p11082 +tp11083 +a(g822 +V\u000a +p11084 +tp11085 +a(g822 +V +p11086 +tp11087 +a(g431 +Vhas_special +p11088 +tp11089 +a(g822 +g974 +tp11090 +a(g408 +g976 +tp11091 +a(g822 +g974 +tp11092 +a(g759 +Vfalse +p11093 +tp11094 +a(g822 +V\u000a +p11095 +tp11096 +a(g822 +V +p11097 +tp11098 +a(g740 +Vfor +p11099 +tp11100 +a(g822 +g974 +tp11101 +a(g431 +g1451 +tp11102 +a(g822 +g974 +tp11103 +a(g781 +Vin +p11104 +tp11105 +a(g822 +g974 +tp11106 +a(g431 +Vword +p11107 +tp11108 +a(g822 +V\u000a +p11109 +tp11110 +a(g822 +V +p11111 +tp11112 +a(g740 +Vif +p11113 +tp11114 +a(g822 +g974 +tp11115 +a(g431 +Viswspace +p11116 +tp11117 +a(g701 +g964 +tp11118 +a(g431 +g1451 +tp11119 +a(g701 +g972 +tp11120 +a(g822 +g974 +tp11121 +a(g408 +V|| +p11122 +tp11123 +a(g822 +g974 +tp11124 +a(g431 +g1451 +tp11125 +a(g408 +V== +p11126 +tp11127 +a(g88 +V'\u005c\u005c' +p11128 +tp11129 +a(g822 +g974 +tp11130 +a(g408 +V|| +p11131 +tp11132 +a(g822 +g974 +tp11133 +a(g431 +g1451 +tp11134 +a(g408 +V== +p11135 +tp11136 +a(g88 +V'\u005c'' +p11137 +tp11138 +a(g822 +g974 +tp11139 +a(g408 +V|| +p11140 +tp11141 +a(g822 +g974 +tp11142 +a(g431 +g1451 +tp11143 +a(g408 +V== +p11144 +tp11145 +a(g88 +V'"' +p11146 +tp11147 +a(g822 +g974 +tp11148 +a(g408 +V|| +p11149 +tp11150 +a(g822 +g974 +tp11151 +a(g431 +g1451 +tp11152 +a(g408 +V== +p11153 +tp11154 +a(g88 +V'$' +p11155 +tp11156 +a(g822 +V\u000a +p11157 +tp11158 +a(g822 +V +p11159 +tp11160 +a(g431 +Vhas_special +p11161 +tp11162 +a(g822 +g974 +tp11163 +a(g408 +g976 +tp11164 +a(g822 +g974 +tp11165 +a(g759 +Vtrue +p11166 +tp11167 +a(g822 +V\u000a +p11168 +tp11169 +a(g822 +V +p11170 +tp11171 +a(g740 +Vif +p11172 +tp11173 +a(g822 +g974 +tp11174 +a(g431 +g1451 +tp11175 +a(g822 +g974 +tp11176 +a(g408 +V== +p11177 +tp11178 +a(g822 +g974 +tp11179 +a(g88 +V'\u005c'' +p11180 +tp11181 +a(g822 +V\u000a +p11182 +tp11183 +a(g822 +V +p11184 +tp11185 +a(g431 +Vhas_single +p11186 +tp11187 +a(g822 +g974 +tp11188 +a(g408 +g976 +tp11189 +a(g822 +g974 +tp11190 +a(g759 +Vtrue +p11191 +tp11192 +a(g822 +V\u000a +p11193 +tp11194 +a(g822 +V +p11195 +tp11196 +a(g740 +Vend +p11197 +tp11198 +a(g822 +V\u000a +p11199 +tp11200 +a(g822 +V +p11201 +tp11202 +a(g740 +Vend +p11203 +tp11204 +a(g822 +V\u000a +p11205 +tp11206 +a(g822 +V +p11207 +tp11208 +a(g740 +Vend +p11209 +tp11210 +a(g822 +V\u000a +p11211 +tp11212 +a(g822 +V +p11213 +tp11214 +a(g740 +Vif +p11215 +tp11216 +a(g822 +g974 +tp11217 +a(g408 +g2685 +tp11218 +a(g431 +Vhas_special +p11219 +tp11220 +a(g822 +V\u000a +p11221 +tp11222 +a(g822 +V +p11223 +tp11224 +a(g431 +Vprint +p11225 +tp11226 +a(g701 +g964 +tp11227 +a(g431 +Vword +p11228 +tp11229 +a(g701 +g972 +tp11230 +a(g822 +V\u000a +p11231 +tp11232 +a(g822 +V +p11233 +tp11234 +a(g740 +Velseif +p11235 +tp11236 +a(g822 +g974 +tp11237 +a(g408 +g2685 +tp11238 +a(g431 +Vhas_single +p11239 +tp11240 +a(g822 +V\u000a +p11241 +tp11242 +a(g822 +V +p11243 +tp11244 +a(g431 +Vprint +p11245 +tp11246 +a(g701 +g964 +tp11247 +a(g88 +V'\u005c'' +p11248 +tp11249 +a(g701 +g1023 +tp11250 +a(g822 +g974 +tp11251 +a(g431 +Vword +p11252 +tp11253 +a(g701 +g1023 +tp11254 +a(g822 +g974 +tp11255 +a(g88 +V'\u005c'' +p11256 +tp11257 +a(g701 +g972 +tp11258 +a(g822 +V\u000a +p11259 +tp11260 +a(g822 +V +p11261 +tp11262 +a(g740 +Velse +p11263 +tp11264 +a(g822 +V\u000a +p11265 +tp11266 +a(g822 +V +p11267 +tp11268 +a(g431 +Vprint +p11269 +tp11270 +a(g701 +g964 +tp11271 +a(g88 +V'"' +p11272 +tp11273 +a(g701 +g972 +tp11274 +a(g822 +V\u000a +p11275 +tp11276 +a(g822 +V +p11277 +tp11278 +a(g740 +Vfor +p11279 +tp11280 +a(g822 +g974 +tp11281 +a(g431 +g1451 +tp11282 +a(g822 +g974 +tp11283 +a(g781 +Vin +p11284 +tp11285 +a(g822 +g974 +tp11286 +a(g431 +Vword +p11287 +tp11288 +a(g822 +V\u000a +p11289 +tp11290 +a(g822 +V +p11291 +tp11292 +a(g740 +Vif +p11293 +tp11294 +a(g822 +g974 +tp11295 +a(g431 +g1451 +tp11296 +a(g822 +g974 +tp11297 +a(g408 +V== +p11298 +tp11299 +a(g822 +g974 +tp11300 +a(g88 +V'"' +p11301 +tp11302 +a(g822 +g974 +tp11303 +a(g408 +V|| +p11304 +tp11305 +a(g822 +g974 +tp11306 +a(g431 +g1451 +tp11307 +a(g822 +g974 +tp11308 +a(g408 +V== +p11309 +tp11310 +a(g822 +g974 +tp11311 +a(g88 +V'$' +p11312 +tp11313 +a(g822 +V\u000a +p11314 +tp11315 +a(g822 +V +p11316 +tp11317 +a(g431 +Vprint +p11318 +tp11319 +a(g701 +g964 +tp11320 +a(g88 +V'\u005c\u005c' +p11321 +tp11322 +a(g701 +g972 +tp11323 +a(g822 +V\u000a +p11324 +tp11325 +a(g822 +V +p11326 +tp11327 +a(g740 +Vend +p11328 +tp11329 +a(g822 +V\u000a +p11330 +tp11331 +a(g822 +V +p11332 +tp11333 +a(g431 +Vprint +p11334 +tp11335 +a(g701 +g964 +tp11336 +a(g431 +g1451 +tp11337 +a(g701 +g972 +tp11338 +a(g822 +V\u000a +p11339 +tp11340 +a(g822 +V +p11341 +tp11342 +a(g740 +Vend +p11343 +tp11344 +a(g822 +V\u000a +p11345 +tp11346 +a(g822 +V +p11347 +tp11348 +a(g431 +Vprint +p11349 +tp11350 +a(g701 +g964 +tp11351 +a(g88 +V'"' +p11352 +tp11353 +a(g701 +g972 +tp11354 +a(g822 +V\u000a +p11355 +tp11356 +a(g822 +V +p11357 +tp11358 +a(g740 +Vend +p11359 +tp11360 +a(g822 +V\u000a +p11361 +tp11362 +a(g740 +Vend +p11363 +tp11364 +a(g822 +V\u000a +p11365 +tp11366 +a(g822 +V\u000a +p11367 +tp11368 +a(g740 +Vfunction +p11369 +tp11370 +a(g822 +g974 +tp11371 +a(g431 +Vprint_shell_escaped +p11372 +tp11373 +a(g701 +g964 +tp11374 +a(g431 +Vcmd +p11375 +tp11376 +a(g408 +V:: +p11377 +tp11378 +a(g431 +VString +p11379 +tp11380 +a(g701 +g1023 +tp11381 +a(g822 +g974 +tp11382 +a(g431 +Vargs +p11383 +tp11384 +a(g408 +V:: +p11385 +tp11386 +a(g431 +VString +p11387 +tp11388 +a(g408 +g1473 +tp11389 +a(g408 +g1473 +tp11390 +a(g408 +g1473 +tp11391 +a(g701 +g972 +tp11392 +a(g822 +V\u000a +p11393 +tp11394 +a(g822 +V +p11395 +tp11396 +a(g431 +Vprint_shell_word +p11397 +tp11398 +a(g701 +g964 +tp11399 +a(g431 +Vcmd +p11400 +tp11401 +a(g701 +g972 +tp11402 +a(g822 +V\u000a +p11403 +tp11404 +a(g822 +V +p11405 +tp11406 +a(g740 +Vfor +p11407 +tp11408 +a(g822 +g974 +tp11409 +a(g431 +Varg +p11410 +tp11411 +a(g822 +g974 +tp11412 +a(g781 +Vin +p11413 +tp11414 +a(g822 +g974 +tp11415 +a(g431 +Vargs +p11416 +tp11417 +a(g822 +V\u000a +p11418 +tp11419 +a(g822 +V +p11420 +tp11421 +a(g431 +Vprint +p11422 +tp11423 +a(g701 +g964 +tp11424 +a(g88 +V' ' +p11425 +tp11426 +a(g701 +g972 +tp11427 +a(g822 +V\u000a +p11428 +tp11429 +a(g822 +V +p11430 +tp11431 +a(g431 +Vprint_shell_word +p11432 +tp11433 +a(g701 +g964 +tp11434 +a(g431 +Varg +p11435 +tp11436 +a(g701 +g972 +tp11437 +a(g822 +V\u000a +p11438 +tp11439 +a(g822 +V +p11440 +tp11441 +a(g740 +Vend +p11442 +tp11443 +a(g822 +V\u000a +p11444 +tp11445 +a(g740 +Vend +p11446 +tp11447 +a(g822 +V\u000a +p11448 +tp11449 +a(g822 +V\u000a +p11450 +tp11451 +a(g431 +Vshell_escape +p11452 +tp11453 +a(g701 +g964 +tp11454 +a(g431 +Vcmd +p11455 +tp11456 +a(g408 +V:: +p11457 +tp11458 +a(g431 +VString +p11459 +tp11460 +a(g701 +g1023 +tp11461 +a(g822 +g974 +tp11462 +a(g431 +Vargs +p11463 +tp11464 +a(g408 +V:: +p11465 +tp11466 +a(g431 +VString +p11467 +tp11468 +a(g408 +g1473 +tp11469 +a(g408 +g1473 +tp11470 +a(g408 +g1473 +tp11471 +a(g701 +g972 +tp11472 +a(g822 +g974 +tp11473 +a(g408 +g976 +tp11474 +a(g822 +V\u000a +p11475 +tp11476 +a(g822 +V +p11477 +tp11478 +a(g431 +Vprint_to_string +p11479 +tp11480 +a(g701 +g964 +tp11481 +a(g431 +Vprint_shell_escaped +p11482 +tp11483 +a(g701 +g1023 +tp11484 +a(g822 +g974 +tp11485 +a(g431 +Vcmd +p11486 +tp11487 +a(g701 +g1023 +tp11488 +a(g822 +g974 +tp11489 +a(g431 +Vargs +p11490 +tp11491 +a(g408 +g1473 +tp11492 +a(g408 +g1473 +tp11493 +a(g408 +g1473 +tp11494 +a(g701 +g972 +tp11495 +a(g822 +V\u000a +p11496 +tp11497 +a(g822 +V\u000a +p11498 +tp11499 +a(g7 +V## interface to parser ## +p11500 +tp11501 +a(g822 +V\u000a +p11502 +tp11503 +a(g822 +V\u000a +p11504 +tp11505 +a(g740 +Vfunction +p11506 +tp11507 +a(g822 +g974 +tp11508 +a(g431 +Vparse +p11509 +tp11510 +a(g701 +g964 +tp11511 +a(g431 +g966 +tp11512 +a(g408 +V:: +p11513 +tp11514 +a(g431 +VString +p11515 +tp11516 +a(g701 +g1023 +tp11517 +a(g822 +g974 +tp11518 +a(g431 +Vpos +p11519 +tp11520 +a(g701 +g1023 +tp11521 +a(g822 +g974 +tp11522 +a(g431 +Vgreedy +p11523 +tp11524 +a(g701 +g972 +tp11525 +a(g822 +V\u000a +p11526 +tp11527 +a(g822 +V +p11528 +tp11529 +a(g7 +V# returns (expr, end_pos). expr is () in case of parse error. +p11530 +tp11531 +a(g822 +V\u000a +p11532 +tp11533 +a(g822 +V +p11534 +tp11535 +a(g431 +Vex +p11536 +tp11537 +a(g701 +g1023 +tp11538 +a(g822 +g974 +tp11539 +a(g431 +Vpos +p11540 +tp11541 +a(g822 +g974 +tp11542 +a(g408 +g976 +tp11543 +a(g822 +g974 +tp11544 +a(g740 +Vccall +p11545 +tp11546 +a(g701 +g964 +tp11547 +a(g408 +g1380 +tp11548 +a(g431 +Vjl_parse_string +p11549 +tp11550 +a(g701 +g1023 +tp11551 +a(g822 +g974 +tp11552 +a(g744 +VAny +p11553 +tp11554 +a(g701 +g1023 +tp11555 +a(g822 +V\u000a +p11556 +tp11557 +a(g822 +V +p11558 +tp11559 +a(g701 +g964 +tp11560 +a(g744 +VPtr +p11561 +tp11562 +a(g701 +g1338 +tp11563 +a(g431 +VUint8 +p11564 +tp11565 +a(g701 +g1346 +tp11566 +a(g701 +g1023 +tp11567 +a(g822 +g974 +tp11568 +a(g744 +VInt32 +p11569 +tp11570 +a(g701 +g1023 +tp11571 +a(g822 +g974 +tp11572 +a(g744 +VInt32 +p11573 +tp11574 +a(g701 +g972 +tp11575 +a(g701 +g1023 +tp11576 +a(g822 +V\u000a +p11577 +tp11578 +a(g822 +V +p11579 +tp11580 +a(g431 +Vcstring +p11581 +tp11582 +a(g701 +g964 +tp11583 +a(g431 +g966 +tp11584 +a(g701 +g972 +tp11585 +a(g701 +g1023 +tp11586 +a(g822 +g974 +tp11587 +a(g431 +Vpos +p11588 +tp11589 +a(g408 +g2021 +tp11590 +a(g32 +g1134 +tp11591 +a(g701 +g1023 +tp11592 +a(g822 +g974 +tp11593 +a(g431 +Vgreedy +p11594 +tp11595 +a(g822 +g974 +tp11596 +a(g408 +g1656 +tp11597 +a(g822 +g974 +tp11598 +a(g32 +g1134 +tp11599 +a(g408 +g1380 +tp11600 +a(g32 +g1785 +tp11601 +a(g701 +g972 +tp11602 +a(g822 +V\u000a +p11603 +tp11604 +a(g822 +V +p11605 +tp11606 +a(g740 +Vif +p11607 +tp11608 +a(g822 +g974 +tp11609 +a(g781 +Visa +p11610 +tp11611 +a(g701 +g964 +tp11612 +a(g431 +Vex +p11613 +tp11614 +a(g701 +g1023 +tp11615 +a(g744 +VExpr +p11616 +tp11617 +a(g701 +g972 +tp11618 +a(g822 +g974 +tp11619 +a(g408 +V&& +p11620 +tp11621 +a(g822 +g974 +tp11622 +a(g431 +Vis +p11623 +tp11624 +a(g701 +g964 +tp11625 +a(g431 +Vex +p11626 +tp11627 +a(g408 +g1473 +tp11628 +a(g431 +Vhead +p11629 +tp11630 +a(g701 +g1023 +tp11631 +a(g408 +g1380 +tp11632 +a(g431 +Verror +p11633 +tp11634 +a(g701 +g972 +tp11635 +a(g822 +V\u000a +p11636 +tp11637 +a(g822 +V +p11638 +tp11639 +a(g431 +Vthrow +p11640 +tp11641 +a(g701 +g964 +tp11642 +a(g744 +VParseError +p11643 +tp11644 +a(g701 +g964 +tp11645 +a(g431 +Vex +p11646 +tp11647 +a(g408 +g1473 +tp11648 +a(g431 +Vargs +p11649 +tp11650 +a(g701 +g1125 +tp11651 +a(g32 +g1134 +tp11652 +a(g701 +g1128 +tp11653 +a(g701 +g972 +tp11654 +a(g701 +g972 +tp11655 +a(g822 +V\u000a +p11656 +tp11657 +a(g822 +V +p11658 +tp11659 +a(g740 +Vend +p11660 +tp11661 +a(g822 +V\u000a +p11662 +tp11663 +a(g822 +V +p11664 +tp11665 +a(g740 +Vif +p11666 +tp11667 +a(g822 +g974 +tp11668 +a(g431 +Vex +p11669 +tp11670 +a(g822 +g974 +tp11671 +a(g408 +V== +p11672 +tp11673 +a(g822 +g974 +tp11674 +a(g701 +g964 +tp11675 +a(g701 +g972 +tp11676 +a(g701 +g1455 +tp11677 +a(g822 +g974 +tp11678 +a(g431 +Vthrow +p11679 +tp11680 +a(g701 +g964 +tp11681 +a(g744 +VParseError +p11682 +tp11683 +a(g701 +g964 +tp11684 +a(g84 +g982 +tp11685 +a(g84 +g1005 +tp11686 +a(g84 +g1009 +tp11687 +a(g84 +g1641 +tp11688 +a(g84 +g974 +tp11689 +a(g84 +g986 +tp11690 +a(g84 +g1705 +tp11691 +a(g84 +g974 +tp11692 +a(g84 +g998 +tp11693 +a(g84 +g1009 +tp11694 +a(g84 +g1001 +tp11695 +a(g84 +g988 +tp11696 +a(g84 +g995 +tp11697 +a(g84 +g982 +tp11698 +a(g701 +g972 +tp11699 +a(g701 +g972 +tp11700 +a(g701 +g1455 +tp11701 +a(g822 +g974 +tp11702 +a(g740 +Vend +p11703 +tp11704 +a(g822 +V\u000a +p11705 +tp11706 +a(g822 +V +p11707 +tp11708 +a(g431 +Vex +p11709 +tp11710 +a(g701 +g1023 +tp11711 +a(g822 +g974 +tp11712 +a(g431 +Vpos +p11713 +tp11714 +a(g408 +g1132 +tp11715 +a(g32 +g1134 +tp11716 +a(g822 +g974 +tp11717 +a(g7 +V# C is zero-based, Julia is 1-based +p11718 +tp11719 +a(g822 +V\u000a +p11720 +tp11721 +a(g740 +Vend +p11722 +tp11723 +a(g822 +V\u000a +p11724 +tp11725 +a(g822 +V\u000a +p11726 +tp11727 +a(g431 +Vparse +p11728 +tp11729 +a(g701 +g964 +tp11730 +a(g431 +g966 +tp11731 +a(g408 +V:: +p11732 +tp11733 +a(g431 +VString +p11734 +tp11735 +a(g701 +g972 +tp11736 +a(g822 +V +p11737 +tp11738 +a(g408 +g976 +tp11739 +a(g822 +g974 +tp11740 +a(g431 +Vparse +p11741 +tp11742 +a(g701 +g964 +tp11743 +a(g431 +g966 +tp11744 +a(g701 +g1023 +tp11745 +a(g822 +g974 +tp11746 +a(g32 +g1134 +tp11747 +a(g701 +g1023 +tp11748 +a(g822 +g974 +tp11749 +a(g759 +Vtrue +p11750 +tp11751 +a(g701 +g972 +tp11752 +a(g822 +V\u000a +p11753 +tp11754 +a(g431 +Vparse +p11755 +tp11756 +a(g701 +g964 +tp11757 +a(g431 +g966 +tp11758 +a(g408 +V:: +p11759 +tp11760 +a(g431 +VString +p11761 +tp11762 +a(g701 +g1023 +tp11763 +a(g822 +g974 +tp11764 +a(g431 +Vpos +p11765 +tp11766 +a(g701 +g972 +tp11767 +a(g822 +V +p11768 +tp11769 +a(g408 +g976 +tp11770 +a(g822 +g974 +tp11771 +a(g431 +Vparse +p11772 +tp11773 +a(g701 +g964 +tp11774 +a(g431 +g966 +tp11775 +a(g701 +g1023 +tp11776 +a(g822 +g974 +tp11777 +a(g431 +Vpos +p11778 +tp11779 +a(g701 +g1023 +tp11780 +a(g822 +g974 +tp11781 +a(g759 +Vtrue +p11782 +tp11783 +a(g701 +g972 +tp11784 +a(g822 +V\u000a +p11785 +tp11786 +a(g431 +Vparseatom +p11787 +tp11788 +a(g701 +g964 +tp11789 +a(g431 +g966 +tp11790 +a(g408 +V:: +p11791 +tp11792 +a(g431 +VString +p11793 +tp11794 +a(g701 +g972 +tp11795 +a(g822 +V +p11796 +tp11797 +a(g408 +g976 +tp11798 +a(g822 +g974 +tp11799 +a(g431 +Vparse +p11800 +tp11801 +a(g701 +g964 +tp11802 +a(g431 +g966 +tp11803 +a(g701 +g1023 +tp11804 +a(g822 +g974 +tp11805 +a(g32 +g1134 +tp11806 +a(g701 +g1023 +tp11807 +a(g822 +g974 +tp11808 +a(g759 +Vfalse +p11809 +tp11810 +a(g701 +g972 +tp11811 +a(g822 +V\u000a +p11812 +tp11813 +a(g431 +Vparseatom +p11814 +tp11815 +a(g701 +g964 +tp11816 +a(g431 +g966 +tp11817 +a(g408 +V:: +p11818 +tp11819 +a(g431 +VString +p11820 +tp11821 +a(g701 +g1023 +tp11822 +a(g822 +g974 +tp11823 +a(g431 +Vpos +p11824 +tp11825 +a(g701 +g972 +tp11826 +a(g822 +g974 +tp11827 +a(g408 +g976 +tp11828 +a(g822 +g974 +tp11829 +a(g431 +Vparse +p11830 +tp11831 +a(g701 +g964 +tp11832 +a(g431 +g966 +tp11833 +a(g701 +g1023 +tp11834 +a(g822 +g974 +tp11835 +a(g431 +Vpos +p11836 +tp11837 +a(g701 +g1023 +tp11838 +a(g822 +g974 +tp11839 +a(g759 +Vfalse +p11840 +tp11841 +a(g701 +g972 +tp11842 +a(g822 +V\u000a +p11843 +tp11844 +a(g822 +V\u000a +p11845 +tp11846 +a(g7 +V## miscellaneous string functions ## +p11847 +tp11848 +a(g822 +V\u000a +p11849 +tp11850 +a(g822 +V\u000a +p11851 +tp11852 +a(g740 +Vfunction +p11853 +tp11854 +a(g822 +g974 +tp11855 +a(g431 +Vlpad +p11856 +tp11857 +a(g701 +g964 +tp11858 +a(g431 +g966 +tp11859 +a(g408 +V:: +p11860 +tp11861 +a(g431 +VString +p11862 +tp11863 +a(g701 +g1023 +tp11864 +a(g822 +g974 +tp11865 +a(g431 +g1009 +tp11866 +a(g408 +V:: +p11867 +tp11868 +a(g744 +VInteger +p11869 +tp11870 +a(g701 +g1023 +tp11871 +a(g822 +g974 +tp11872 +a(g431 +g1001 +tp11873 +a(g408 +V:: +p11874 +tp11875 +a(g431 +VString +p11876 +tp11877 +a(g701 +g972 +tp11878 +a(g822 +V\u000a +p11879 +tp11880 +a(g822 +V +p11881 +tp11882 +a(g431 +g991 +tp11883 +a(g822 +g974 +tp11884 +a(g408 +g976 +tp11885 +a(g822 +g974 +tp11886 +a(g431 +g1009 +tp11887 +a(g822 +g974 +tp11888 +a(g408 +g2021 +tp11889 +a(g822 +g974 +tp11890 +a(g431 +Vstrlen +p11891 +tp11892 +a(g701 +g964 +tp11893 +a(g431 +g966 +tp11894 +a(g701 +g972 +tp11895 +a(g822 +V\u000a +p11896 +tp11897 +a(g822 +V +p11898 +tp11899 +a(g740 +Vif +p11900 +tp11901 +a(g822 +g974 +tp11902 +a(g431 +g991 +tp11903 +a(g822 +g974 +tp11904 +a(g408 +V<= +p11905 +tp11906 +a(g822 +g974 +tp11907 +a(g32 +g1785 +tp11908 +a(g701 +g1455 +tp11909 +a(g822 +g974 +tp11910 +a(g740 +Vreturn +p11911 +tp11912 +a(g822 +g974 +tp11913 +a(g431 +g966 +tp11914 +a(g701 +g1455 +tp11915 +a(g822 +g974 +tp11916 +a(g740 +Vend +p11917 +tp11918 +a(g822 +V\u000a +p11919 +tp11920 +a(g822 +V +p11921 +tp11922 +a(g431 +g1003 +tp11923 +a(g822 +g974 +tp11924 +a(g408 +g976 +tp11925 +a(g822 +g974 +tp11926 +a(g431 +Vstrlen +p11927 +tp11928 +a(g701 +g964 +tp11929 +a(g431 +g1001 +tp11930 +a(g701 +g972 +tp11931 +a(g822 +V\u000a +p11932 +tp11933 +a(g822 +V +p11934 +tp11935 +a(g740 +Vif +p11936 +tp11937 +a(g822 +g974 +tp11938 +a(g431 +g1003 +tp11939 +a(g408 +V== +p11940 +tp11941 +a(g32 +g1134 +tp11942 +a(g822 +V\u000a +p11943 +tp11944 +a(g822 +V +p11945 +tp11946 +a(g740 +Vreturn +p11947 +tp11948 +a(g822 +g974 +tp11949 +a(g431 +g1001 +tp11950 +a(g408 +g1578 +tp11951 +a(g431 +g991 +tp11952 +a(g822 +g974 +tp11953 +a(g408 +g1551 +tp11954 +a(g822 +g974 +tp11955 +a(g431 +g966 +tp11956 +a(g822 +V\u000a +p11957 +tp11958 +a(g822 +V +p11959 +tp11960 +a(g740 +Vend +p11961 +tp11962 +a(g822 +V\u000a +p11963 +tp11964 +a(g822 +V +p11965 +tp11966 +a(g431 +g8345 +tp11967 +a(g822 +g974 +tp11968 +a(g408 +g976 +tp11969 +a(g822 +g974 +tp11970 +a(g431 +Vdiv +p11971 +tp11972 +a(g701 +g964 +tp11973 +a(g431 +g991 +tp11974 +a(g701 +g1023 +tp11975 +a(g431 +g1003 +tp11976 +a(g701 +g972 +tp11977 +a(g822 +V\u000a +p11978 +tp11979 +a(g822 +V +p11980 +tp11981 +a(g431 +g1356 +tp11982 +a(g822 +g974 +tp11983 +a(g408 +g976 +tp11984 +a(g822 +g974 +tp11985 +a(g431 +g991 +tp11986 +a(g822 +g974 +tp11987 +a(g408 +g2021 +tp11988 +a(g822 +g974 +tp11989 +a(g431 +g8345 +tp11990 +a(g408 +g1551 +tp11991 +a(g431 +g1003 +tp11992 +a(g822 +V\u000a +p11993 +tp11994 +a(g822 +V +p11995 +tp11996 +a(g431 +Vcstring +p11997 +tp11998 +a(g701 +g964 +tp11999 +a(g431 +g1001 +tp12000 +a(g408 +g1578 +tp12001 +a(g431 +g8345 +tp12002 +a(g408 +g1551 +tp12003 +a(g431 +g1001 +tp12004 +a(g701 +g1125 +tp12005 +a(g32 +g1134 +tp12006 +a(g408 +g1380 +tp12007 +a(g431 +Vchr2ind +p12008 +tp12009 +a(g701 +g964 +tp12010 +a(g431 +g1001 +tp12011 +a(g701 +g1023 +tp12012 +a(g431 +g1356 +tp12013 +a(g701 +g972 +tp12014 +a(g701 +g1128 +tp12015 +a(g408 +g1551 +tp12016 +a(g431 +g966 +tp12017 +a(g701 +g972 +tp12018 +a(g822 +V\u000a +p12019 +tp12020 +a(g740 +Vend +p12021 +tp12022 +a(g822 +V\u000a +p12023 +tp12024 +a(g822 +V\u000a +p12025 +tp12026 +a(g740 +Vfunction +p12027 +tp12028 +a(g822 +g974 +tp12029 +a(g431 +Vrpad +p12030 +tp12031 +a(g701 +g964 +tp12032 +a(g431 +g966 +tp12033 +a(g408 +V:: +p12034 +tp12035 +a(g431 +VString +p12036 +tp12037 +a(g701 +g1023 +tp12038 +a(g822 +g974 +tp12039 +a(g431 +g1009 +tp12040 +a(g408 +V:: +p12041 +tp12042 +a(g744 +VInteger +p12043 +tp12044 +a(g701 +g1023 +tp12045 +a(g822 +g974 +tp12046 +a(g431 +g1001 +tp12047 +a(g408 +V:: +p12048 +tp12049 +a(g431 +VString +p12050 +tp12051 +a(g701 +g972 +tp12052 +a(g822 +V\u000a +p12053 +tp12054 +a(g822 +V +p12055 +tp12056 +a(g431 +g991 +tp12057 +a(g822 +g974 +tp12058 +a(g408 +g976 +tp12059 +a(g822 +g974 +tp12060 +a(g431 +g1009 +tp12061 +a(g822 +g974 +tp12062 +a(g408 +g2021 +tp12063 +a(g822 +g974 +tp12064 +a(g431 +Vstrlen +p12065 +tp12066 +a(g701 +g964 +tp12067 +a(g431 +g966 +tp12068 +a(g701 +g972 +tp12069 +a(g822 +V\u000a +p12070 +tp12071 +a(g822 +V +p12072 +tp12073 +a(g740 +Vif +p12074 +tp12075 +a(g822 +g974 +tp12076 +a(g431 +g991 +tp12077 +a(g822 +g974 +tp12078 +a(g408 +V<= +p12079 +tp12080 +a(g822 +g974 +tp12081 +a(g32 +g1785 +tp12082 +a(g701 +g1455 +tp12083 +a(g822 +g974 +tp12084 +a(g740 +Vreturn +p12085 +tp12086 +a(g822 +g974 +tp12087 +a(g431 +g966 +tp12088 +a(g701 +g1455 +tp12089 +a(g822 +g974 +tp12090 +a(g740 +Vend +p12091 +tp12092 +a(g822 +V\u000a +p12093 +tp12094 +a(g822 +V +p12095 +tp12096 +a(g431 +g1003 +tp12097 +a(g822 +g974 +tp12098 +a(g408 +g976 +tp12099 +a(g822 +g974 +tp12100 +a(g431 +Vstrlen +p12101 +tp12102 +a(g701 +g964 +tp12103 +a(g431 +g1001 +tp12104 +a(g701 +g972 +tp12105 +a(g822 +V\u000a +p12106 +tp12107 +a(g822 +V +p12108 +tp12109 +a(g740 +Vif +p12110 +tp12111 +a(g822 +g974 +tp12112 +a(g431 +g1003 +tp12113 +a(g408 +V== +p12114 +tp12115 +a(g32 +g1134 +tp12116 +a(g822 +V\u000a +p12117 +tp12118 +a(g822 +V +p12119 +tp12120 +a(g740 +Vreturn +p12121 +tp12122 +a(g822 +g974 +tp12123 +a(g431 +g966 +tp12124 +a(g822 +g974 +tp12125 +a(g408 +g1551 +tp12126 +a(g822 +g974 +tp12127 +a(g431 +g1001 +tp12128 +a(g408 +g1578 +tp12129 +a(g431 +g991 +tp12130 +a(g822 +V\u000a +p12131 +tp12132 +a(g822 +V +p12133 +tp12134 +a(g740 +Vend +p12135 +tp12136 +a(g822 +V\u000a +p12137 +tp12138 +a(g822 +V +p12139 +tp12140 +a(g431 +g8345 +tp12141 +a(g822 +g974 +tp12142 +a(g408 +g976 +tp12143 +a(g822 +g974 +tp12144 +a(g431 +Vdiv +p12145 +tp12146 +a(g701 +g964 +tp12147 +a(g431 +g991 +tp12148 +a(g701 +g1023 +tp12149 +a(g431 +g1003 +tp12150 +a(g701 +g972 +tp12151 +a(g822 +V\u000a +p12152 +tp12153 +a(g822 +V +p12154 +tp12155 +a(g431 +g1356 +tp12156 +a(g822 +g974 +tp12157 +a(g408 +g976 +tp12158 +a(g822 +g974 +tp12159 +a(g431 +g991 +tp12160 +a(g822 +g974 +tp12161 +a(g408 +g2021 +tp12162 +a(g822 +g974 +tp12163 +a(g431 +g8345 +tp12164 +a(g408 +g1551 +tp12165 +a(g431 +g1003 +tp12166 +a(g822 +V\u000a +p12167 +tp12168 +a(g822 +V +p12169 +tp12170 +a(g431 +Vcstring +p12171 +tp12172 +a(g701 +g964 +tp12173 +a(g431 +g966 +tp12174 +a(g408 +g1551 +tp12175 +a(g431 +g1001 +tp12176 +a(g408 +g1578 +tp12177 +a(g431 +g8345 +tp12178 +a(g408 +g1551 +tp12179 +a(g431 +g1001 +tp12180 +a(g701 +g1125 +tp12181 +a(g32 +g1134 +tp12182 +a(g408 +g1380 +tp12183 +a(g431 +Vchr2ind +p12184 +tp12185 +a(g701 +g964 +tp12186 +a(g431 +g1001 +tp12187 +a(g701 +g1023 +tp12188 +a(g431 +g1356 +tp12189 +a(g701 +g972 +tp12190 +a(g701 +g1128 +tp12191 +a(g701 +g972 +tp12192 +a(g822 +V\u000a +p12193 +tp12194 +a(g740 +Vend +p12195 +tp12196 +a(g822 +V\u000a +p12197 +tp12198 +a(g822 +V\u000a +p12199 +tp12200 +a(g431 +Vlpad +p12201 +tp12202 +a(g701 +g964 +tp12203 +a(g431 +g966 +tp12204 +a(g701 +g1023 +tp12205 +a(g822 +g974 +tp12206 +a(g431 +g1009 +tp12207 +a(g408 +V:: +p12208 +tp12209 +a(g744 +VInteger +p12210 +tp12211 +a(g701 +g1023 +tp12212 +a(g822 +g974 +tp12213 +a(g431 +g1001 +tp12214 +a(g701 +g972 +tp12215 +a(g822 +g974 +tp12216 +a(g408 +g976 +tp12217 +a(g822 +g974 +tp12218 +a(g431 +Vlpad +p12219 +tp12220 +a(g701 +g964 +tp12221 +a(g431 +Vstring +p12222 +tp12223 +a(g701 +g964 +tp12224 +a(g431 +g966 +tp12225 +a(g701 +g972 +tp12226 +a(g701 +g1023 +tp12227 +a(g822 +g974 +tp12228 +a(g431 +g1009 +tp12229 +a(g701 +g1023 +tp12230 +a(g822 +g974 +tp12231 +a(g431 +Vstring +p12232 +tp12233 +a(g701 +g964 +tp12234 +a(g431 +g1001 +tp12235 +a(g701 +g972 +tp12236 +a(g701 +g972 +tp12237 +a(g822 +V\u000a +p12238 +tp12239 +a(g431 +Vrpad +p12240 +tp12241 +a(g701 +g964 +tp12242 +a(g431 +g966 +tp12243 +a(g701 +g1023 +tp12244 +a(g822 +g974 +tp12245 +a(g431 +g1009 +tp12246 +a(g408 +V:: +p12247 +tp12248 +a(g744 +VInteger +p12249 +tp12250 +a(g701 +g1023 +tp12251 +a(g822 +g974 +tp12252 +a(g431 +g1001 +tp12253 +a(g701 +g972 +tp12254 +a(g822 +g974 +tp12255 +a(g408 +g976 +tp12256 +a(g822 +g974 +tp12257 +a(g431 +Vrpad +p12258 +tp12259 +a(g701 +g964 +tp12260 +a(g431 +Vstring +p12261 +tp12262 +a(g701 +g964 +tp12263 +a(g431 +g966 +tp12264 +a(g701 +g972 +tp12265 +a(g701 +g1023 +tp12266 +a(g822 +g974 +tp12267 +a(g431 +g1009 +tp12268 +a(g701 +g1023 +tp12269 +a(g822 +g974 +tp12270 +a(g431 +Vstring +p12271 +tp12272 +a(g701 +g964 +tp12273 +a(g431 +g1001 +tp12274 +a(g701 +g972 +tp12275 +a(g701 +g972 +tp12276 +a(g822 +V\u000a +p12277 +tp12278 +a(g822 +V\u000a +p12279 +tp12280 +a(g431 +Vlpad +p12281 +tp12282 +a(g701 +g964 +tp12283 +a(g431 +g966 +tp12284 +a(g701 +g1023 +tp12285 +a(g822 +g974 +tp12286 +a(g431 +g1009 +tp12287 +a(g408 +V:: +p12288 +tp12289 +a(g744 +VInteger +p12290 +tp12291 +a(g701 +g972 +tp12292 +a(g822 +g974 +tp12293 +a(g408 +g976 +tp12294 +a(g822 +g974 +tp12295 +a(g431 +Vlpad +p12296 +tp12297 +a(g701 +g964 +tp12298 +a(g431 +Vstring +p12299 +tp12300 +a(g701 +g964 +tp12301 +a(g431 +g966 +tp12302 +a(g701 +g972 +tp12303 +a(g701 +g1023 +tp12304 +a(g822 +g974 +tp12305 +a(g431 +g1009 +tp12306 +a(g701 +g1023 +tp12307 +a(g822 +g974 +tp12308 +a(g84 +g982 +tp12309 +a(g84 +g974 +tp12310 +a(g84 +g982 +tp12311 +a(g701 +g972 +tp12312 +a(g822 +V\u000a +p12313 +tp12314 +a(g431 +Vrpad +p12315 +tp12316 +a(g701 +g964 +tp12317 +a(g431 +g966 +tp12318 +a(g701 +g1023 +tp12319 +a(g822 +g974 +tp12320 +a(g431 +g1009 +tp12321 +a(g408 +V:: +p12322 +tp12323 +a(g744 +VInteger +p12324 +tp12325 +a(g701 +g972 +tp12326 +a(g822 +g974 +tp12327 +a(g408 +g976 +tp12328 +a(g822 +g974 +tp12329 +a(g431 +Vrpad +p12330 +tp12331 +a(g701 +g964 +tp12332 +a(g431 +Vstring +p12333 +tp12334 +a(g701 +g964 +tp12335 +a(g431 +g966 +tp12336 +a(g701 +g972 +tp12337 +a(g701 +g1023 +tp12338 +a(g822 +g974 +tp12339 +a(g431 +g1009 +tp12340 +a(g701 +g1023 +tp12341 +a(g822 +g974 +tp12342 +a(g84 +g982 +tp12343 +a(g84 +g974 +tp12344 +a(g84 +g982 +tp12345 +a(g701 +g972 +tp12346 +a(g822 +V\u000a +p12347 +tp12348 +a(g822 +V\u000a +p12349 +tp12350 +a(g740 +Vfunction +p12351 +tp12352 +a(g822 +g974 +tp12353 +a(g431 +Vsplit +p12354 +tp12355 +a(g701 +g964 +tp12356 +a(g431 +g966 +tp12357 +a(g408 +V:: +p12358 +tp12359 +a(g431 +VString +p12360 +tp12361 +a(g701 +g1023 +tp12362 +a(g822 +g974 +tp12363 +a(g431 +Vdelims +p12364 +tp12365 +a(g701 +g1023 +tp12366 +a(g822 +g974 +tp12367 +a(g431 +Vinclude_empty +p12368 +tp12369 +a(g408 +V:: +p12370 +tp12371 +a(g744 +VBool +p12372 +tp12373 +a(g701 +g972 +tp12374 +a(g822 +V\u000a +p12375 +tp12376 +a(g822 +V +p12377 +tp12378 +a(g431 +g998 +tp12379 +a(g822 +g974 +tp12380 +a(g408 +g976 +tp12381 +a(g822 +g974 +tp12382 +a(g32 +g1134 +tp12383 +a(g822 +V\u000a +p12384 +tp12385 +a(g822 +V +p12386 +tp12387 +a(g431 +Vstrs +p12388 +tp12389 +a(g822 +g974 +tp12390 +a(g408 +g976 +tp12391 +a(g822 +g974 +tp12392 +a(g431 +VString +p12393 +tp12394 +a(g701 +g1125 +tp12395 +a(g701 +g1128 +tp12396 +a(g822 +V\u000a +p12397 +tp12398 +a(g822 +V +p12399 +tp12400 +a(g431 +Vlen +p12401 +tp12402 +a(g822 +g974 +tp12403 +a(g408 +g976 +tp12404 +a(g822 +g974 +tp12405 +a(g431 +Vlength +p12406 +tp12407 +a(g701 +g964 +tp12408 +a(g431 +g966 +tp12409 +a(g701 +g972 +tp12410 +a(g822 +V\u000a +p12411 +tp12412 +a(g822 +V +p12413 +tp12414 +a(g740 +Vwhile +p12415 +tp12416 +a(g822 +g974 +tp12417 +a(g759 +Vtrue +p12418 +tp12419 +a(g822 +V\u000a +p12420 +tp12421 +a(g822 +V +p12422 +tp12423 +a(g431 +Vtokstart +p12424 +tp12425 +a(g822 +g974 +tp12426 +a(g408 +g976 +tp12427 +a(g822 +g974 +tp12428 +a(g431 +Vtokend +p12429 +tp12430 +a(g822 +g974 +tp12431 +a(g408 +g976 +tp12432 +a(g822 +g974 +tp12433 +a(g431 +g998 +tp12434 +a(g822 +V\u000a +p12435 +tp12436 +a(g822 +V +p12437 +tp12438 +a(g740 +Vwhile +p12439 +tp12440 +a(g822 +g974 +tp12441 +a(g408 +g2685 +tp12442 +a(g431 +Vdone +p12443 +tp12444 +a(g701 +g964 +tp12445 +a(g431 +g966 +tp12446 +a(g701 +g1023 +tp12447 +a(g431 +g998 +tp12448 +a(g701 +g972 +tp12449 +a(g822 +V\u000a +p12450 +tp12451 +a(g822 +V +p12452 +tp12453 +a(g701 +g964 +tp12454 +a(g431 +g1451 +tp12455 +a(g701 +g1023 +tp12456 +a(g431 +g998 +tp12457 +a(g701 +g972 +tp12458 +a(g822 +g974 +tp12459 +a(g408 +g976 +tp12460 +a(g822 +g974 +tp12461 +a(g431 +Vnext +p12462 +tp12463 +a(g701 +g964 +tp12464 +a(g431 +g966 +tp12465 +a(g701 +g1023 +tp12466 +a(g431 +g998 +tp12467 +a(g701 +g972 +tp12468 +a(g822 +V\u000a +p12469 +tp12470 +a(g822 +V +p12471 +tp12472 +a(g740 +Vif +p12473 +tp12474 +a(g822 +g974 +tp12475 +a(g431 +Vcontains +p12476 +tp12477 +a(g701 +g964 +tp12478 +a(g431 +Vdelims +p12479 +tp12480 +a(g701 +g1023 +tp12481 +a(g822 +g974 +tp12482 +a(g431 +g1451 +tp12483 +a(g701 +g972 +tp12484 +a(g822 +V\u000a +p12485 +tp12486 +a(g822 +V +p12487 +tp12488 +a(g740 +Vbreak +p12489 +tp12490 +a(g822 +V\u000a +p12491 +tp12492 +a(g822 +V +p12493 +tp12494 +a(g740 +Vend +p12495 +tp12496 +a(g822 +V\u000a +p12497 +tp12498 +a(g822 +V +p12499 +tp12500 +a(g431 +Vtokend +p12501 +tp12502 +a(g822 +g974 +tp12503 +a(g408 +g976 +tp12504 +a(g822 +g974 +tp12505 +a(g431 +g998 +tp12506 +a(g822 +V\u000a +p12507 +tp12508 +a(g822 +V +p12509 +tp12510 +a(g740 +Vend +p12511 +tp12512 +a(g822 +V\u000a +p12513 +tp12514 +a(g822 +V +p12515 +tp12516 +a(g431 +Vtok +p12517 +tp12518 +a(g822 +g974 +tp12519 +a(g408 +g976 +tp12520 +a(g822 +g974 +tp12521 +a(g431 +g966 +tp12522 +a(g701 +g1125 +tp12523 +a(g431 +Vtokstart +p12524 +tp12525 +a(g408 +g1380 +tp12526 +a(g701 +g964 +tp12527 +a(g431 +Vtokend +p12528 +tp12529 +a(g408 +g2021 +tp12530 +a(g32 +g1134 +tp12531 +a(g701 +g972 +tp12532 +a(g701 +g1128 +tp12533 +a(g822 +V\u000a +p12534 +tp12535 +a(g822 +V +p12536 +tp12537 +a(g740 +Vif +p12538 +tp12539 +a(g822 +g974 +tp12540 +a(g431 +Vinclude_empty +p12541 +tp12542 +a(g822 +g974 +tp12543 +a(g408 +V|| +p12544 +tp12545 +a(g822 +g974 +tp12546 +a(g408 +g2685 +tp12547 +a(g431 +Visempty +p12548 +tp12549 +a(g701 +g964 +tp12550 +a(g431 +Vtok +p12551 +tp12552 +a(g701 +g972 +tp12553 +a(g822 +V\u000a +p12554 +tp12555 +a(g822 +V +p12556 +tp12557 +a(g431 +Vpush +p12558 +tp12559 +a(g701 +g964 +tp12560 +a(g431 +Vstrs +p12561 +tp12562 +a(g701 +g1023 +tp12563 +a(g822 +g974 +tp12564 +a(g431 +Vtok +p12565 +tp12566 +a(g701 +g972 +tp12567 +a(g822 +V\u000a +p12568 +tp12569 +a(g822 +V +p12570 +tp12571 +a(g740 +Vend +p12572 +tp12573 +a(g822 +V\u000a +p12574 +tp12575 +a(g822 +V +p12576 +tp12577 +a(g740 +Vif +p12578 +tp12579 +a(g822 +g974 +tp12580 +a(g408 +g2685 +tp12581 +a(g701 +g964 +tp12582 +a(g701 +g964 +tp12583 +a(g431 +g998 +tp12584 +a(g822 +g974 +tp12585 +a(g408 +V<= +p12586 +tp12587 +a(g822 +g974 +tp12588 +a(g431 +Vlen +p12589 +tp12590 +a(g701 +g972 +tp12591 +a(g822 +g974 +tp12592 +a(g408 +V|| +p12593 +tp12594 +a(g822 +g974 +tp12595 +a(g701 +g964 +tp12596 +a(g431 +g998 +tp12597 +a(g408 +V== +p12598 +tp12599 +a(g431 +Vlen +p12600 +tp12601 +a(g408 +g1132 +tp12602 +a(g32 +g1134 +tp12603 +a(g822 +g974 +tp12604 +a(g408 +V&& +p12605 +tp12606 +a(g822 +g974 +tp12607 +a(g431 +Vtokend! +p12608 +tp12609 +a(g408 +g976 +tp12610 +a(g431 +g998 +tp12611 +a(g701 +g972 +tp12612 +a(g701 +g972 +tp12613 +a(g822 +V\u000a +p12614 +tp12615 +a(g822 +V +p12616 +tp12617 +a(g740 +Vbreak +p12618 +tp12619 +a(g822 +V\u000a +p12620 +tp12621 +a(g822 +V +p12622 +tp12623 +a(g740 +Vend +p12624 +tp12625 +a(g822 +V\u000a +p12626 +tp12627 +a(g822 +V +p12628 +tp12629 +a(g740 +Vend +p12630 +tp12631 +a(g822 +V\u000a +p12632 +tp12633 +a(g822 +V +p12634 +tp12635 +a(g431 +Vstrs +p12636 +tp12637 +a(g822 +V\u000a +p12638 +tp12639 +a(g740 +Vend +p12640 +tp12641 +a(g822 +V\u000a +p12642 +tp12643 +a(g822 +V\u000a +p12644 +tp12645 +a(g431 +Vsplit +p12646 +tp12647 +a(g701 +g964 +tp12648 +a(g431 +g966 +tp12649 +a(g408 +V:: +p12650 +tp12651 +a(g431 +VString +p12652 +tp12653 +a(g701 +g972 +tp12654 +a(g822 +g974 +tp12655 +a(g408 +g976 +tp12656 +a(g822 +g974 +tp12657 +a(g431 +Vsplit +p12658 +tp12659 +a(g701 +g964 +tp12660 +a(g431 +g966 +tp12661 +a(g701 +g1023 +tp12662 +a(g822 +g974 +tp12663 +a(g701 +g964 +tp12664 +a(g88 +V' ' +p12665 +tp12666 +a(g701 +g1023 +tp12667 +a(g88 +V'\u005ct' +p12668 +tp12669 +a(g701 +g1023 +tp12670 +a(g88 +V'\u005cn' +p12671 +tp12672 +a(g701 +g1023 +tp12673 +a(g88 +V'\u005cv' +p12674 +tp12675 +a(g701 +g1023 +tp12676 +a(g88 +V'\u005cf' +p12677 +tp12678 +a(g701 +g1023 +tp12679 +a(g88 +V'\u005cr' +p12680 +tp12681 +a(g701 +g972 +tp12682 +a(g701 +g1023 +tp12683 +a(g822 +g974 +tp12684 +a(g759 +Vfalse +p12685 +tp12686 +a(g701 +g972 +tp12687 +a(g822 +V\u000a +p12688 +tp12689 +a(g431 +Vsplit +p12690 +tp12691 +a(g701 +g964 +tp12692 +a(g431 +g966 +tp12693 +a(g408 +V:: +p12694 +tp12695 +a(g431 +VString +p12696 +tp12697 +a(g701 +g1023 +tp12698 +a(g822 +g974 +tp12699 +a(g431 +g1081 +tp12700 +a(g701 +g972 +tp12701 +a(g822 +g974 +tp12702 +a(g408 +g976 +tp12703 +a(g822 +g974 +tp12704 +a(g431 +Vsplit +p12705 +tp12706 +a(g701 +g964 +tp12707 +a(g431 +g966 +tp12708 +a(g701 +g1023 +tp12709 +a(g822 +g974 +tp12710 +a(g431 +g1081 +tp12711 +a(g701 +g1023 +tp12712 +a(g822 +g974 +tp12713 +a(g759 +Vtrue +p12714 +tp12715 +a(g701 +g972 +tp12716 +a(g822 +V\u000a +p12717 +tp12718 +a(g431 +Vsplit +p12719 +tp12720 +a(g701 +g964 +tp12721 +a(g431 +g966 +tp12722 +a(g408 +V:: +p12723 +tp12724 +a(g431 +VString +p12725 +tp12726 +a(g701 +g1023 +tp12727 +a(g822 +g974 +tp12728 +a(g431 +g1081 +tp12729 +a(g408 +V:: +p12730 +tp12731 +a(g744 +VChar +p12732 +tp12733 +a(g701 +g1023 +tp12734 +a(g822 +g974 +tp12735 +a(g431 +Vincl +p12736 +tp12737 +a(g408 +V:: +p12738 +tp12739 +a(g744 +VBool +p12740 +tp12741 +a(g701 +g972 +tp12742 +a(g822 +g974 +tp12743 +a(g408 +g976 +tp12744 +a(g822 +g974 +tp12745 +a(g431 +Vsplit +p12746 +tp12747 +a(g701 +g964 +tp12748 +a(g431 +g966 +tp12749 +a(g701 +g1023 +tp12750 +a(g822 +g974 +tp12751 +a(g701 +g964 +tp12752 +a(g431 +g1081 +tp12753 +a(g701 +g1023 +tp12754 +a(g701 +g972 +tp12755 +a(g701 +g1023 +tp12756 +a(g822 +g974 +tp12757 +a(g431 +Vincl +p12758 +tp12759 +a(g701 +g972 +tp12760 +a(g822 +V\u000a +p12761 +tp12762 +a(g822 +V\u000a +p12763 +tp12764 +a(g740 +Vfunction +p12765 +tp12766 +a(g822 +g974 +tp12767 +a(g431 +Vprint_joined +p12768 +tp12769 +a(g701 +g964 +tp12770 +a(g431 +Vstrings +p12771 +tp12772 +a(g701 +g1023 +tp12773 +a(g822 +g974 +tp12774 +a(g431 +Vdelim +p12775 +tp12776 +a(g701 +g1023 +tp12777 +a(g822 +g974 +tp12778 +a(g431 +Vlast +p12779 +tp12780 +a(g701 +g972 +tp12781 +a(g822 +V\u000a +p12782 +tp12783 +a(g822 +V +p12784 +tp12785 +a(g431 +g998 +tp12786 +a(g822 +g974 +tp12787 +a(g408 +g976 +tp12788 +a(g822 +g974 +tp12789 +a(g431 +Vstart +p12790 +tp12791 +a(g701 +g964 +tp12792 +a(g431 +Vstrings +p12793 +tp12794 +a(g701 +g972 +tp12795 +a(g822 +V\u000a +p12796 +tp12797 +a(g822 +V +p12798 +tp12799 +a(g740 +Vif +p12800 +tp12801 +a(g822 +g974 +tp12802 +a(g431 +Vdone +p12803 +tp12804 +a(g701 +g964 +tp12805 +a(g431 +Vstrings +p12806 +tp12807 +a(g701 +g1023 +tp12808 +a(g431 +g998 +tp12809 +a(g701 +g972 +tp12810 +a(g822 +V\u000a +p12811 +tp12812 +a(g822 +V +p12813 +tp12814 +a(g740 +Vreturn +p12815 +tp12816 +a(g822 +V\u000a +p12817 +tp12818 +a(g822 +V +p12819 +tp12820 +a(g740 +Vend +p12821 +tp12822 +a(g822 +V\u000a +p12823 +tp12824 +a(g822 +V +p12825 +tp12826 +a(g431 +Vstr +p12827 +tp12828 +a(g701 +g1023 +tp12829 +a(g822 +g974 +tp12830 +a(g431 +g998 +tp12831 +a(g822 +g974 +tp12832 +a(g408 +g976 +tp12833 +a(g822 +g974 +tp12834 +a(g431 +Vnext +p12835 +tp12836 +a(g701 +g964 +tp12837 +a(g431 +Vstrings +p12838 +tp12839 +a(g701 +g1023 +tp12840 +a(g431 +g998 +tp12841 +a(g701 +g972 +tp12842 +a(g822 +V\u000a +p12843 +tp12844 +a(g822 +V +p12845 +tp12846 +a(g431 +Vprint +p12847 +tp12848 +a(g701 +g964 +tp12849 +a(g431 +Vstr +p12850 +tp12851 +a(g701 +g972 +tp12852 +a(g822 +V\u000a +p12853 +tp12854 +a(g822 +V +p12855 +tp12856 +a(g740 +Vwhile +p12857 +tp12858 +a(g822 +g974 +tp12859 +a(g408 +g2685 +tp12860 +a(g431 +Vdone +p12861 +tp12862 +a(g701 +g964 +tp12863 +a(g431 +Vstrings +p12864 +tp12865 +a(g701 +g1023 +tp12866 +a(g431 +g998 +tp12867 +a(g701 +g972 +tp12868 +a(g822 +V\u000a +p12869 +tp12870 +a(g822 +V +p12871 +tp12872 +a(g431 +Vstr +p12873 +tp12874 +a(g701 +g1023 +tp12875 +a(g822 +g974 +tp12876 +a(g431 +g998 +tp12877 +a(g822 +g974 +tp12878 +a(g408 +g976 +tp12879 +a(g822 +g974 +tp12880 +a(g431 +Vnext +p12881 +tp12882 +a(g701 +g964 +tp12883 +a(g431 +Vstrings +p12884 +tp12885 +a(g701 +g1023 +tp12886 +a(g431 +g998 +tp12887 +a(g701 +g972 +tp12888 +a(g822 +V\u000a +p12889 +tp12890 +a(g822 +V +p12891 +tp12892 +a(g431 +Vprint +p12893 +tp12894 +a(g701 +g964 +tp12895 +a(g431 +Vdone +p12896 +tp12897 +a(g701 +g964 +tp12898 +a(g431 +Vstrings +p12899 +tp12900 +a(g701 +g1023 +tp12901 +a(g431 +g998 +tp12902 +a(g701 +g972 +tp12903 +a(g822 +g974 +tp12904 +a(g408 +g1656 +tp12905 +a(g822 +g974 +tp12906 +a(g431 +Vlast +p12907 +tp12908 +a(g822 +g974 +tp12909 +a(g408 +g1380 +tp12910 +a(g822 +g974 +tp12911 +a(g431 +Vdelim +p12912 +tp12913 +a(g701 +g972 +tp12914 +a(g822 +V\u000a +p12915 +tp12916 +a(g822 +V +p12917 +tp12918 +a(g431 +Vprint +p12919 +tp12920 +a(g701 +g964 +tp12921 +a(g431 +Vstr +p12922 +tp12923 +a(g701 +g972 +tp12924 +a(g822 +V\u000a +p12925 +tp12926 +a(g822 +V +p12927 +tp12928 +a(g740 +Vend +p12929 +tp12930 +a(g822 +V\u000a +p12931 +tp12932 +a(g740 +Vend +p12933 +tp12934 +a(g822 +V\u000a +p12935 +tp12936 +a(g822 +V\u000a +p12937 +tp12938 +a(g740 +Vfunction +p12939 +tp12940 +a(g822 +g974 +tp12941 +a(g431 +Vprint_joined +p12942 +tp12943 +a(g701 +g964 +tp12944 +a(g431 +Vstrings +p12945 +tp12946 +a(g701 +g1023 +tp12947 +a(g822 +g974 +tp12948 +a(g431 +Vdelim +p12949 +tp12950 +a(g701 +g972 +tp12951 +a(g822 +V\u000a +p12952 +tp12953 +a(g822 +V +p12954 +tp12955 +a(g431 +g998 +tp12956 +a(g822 +g974 +tp12957 +a(g408 +g976 +tp12958 +a(g822 +g974 +tp12959 +a(g431 +Vstart +p12960 +tp12961 +a(g701 +g964 +tp12962 +a(g431 +Vstrings +p12963 +tp12964 +a(g701 +g972 +tp12965 +a(g822 +V\u000a +p12966 +tp12967 +a(g822 +V +p12968 +tp12969 +a(g740 +Vwhile +p12970 +tp12971 +a(g822 +g974 +tp12972 +a(g408 +g2685 +tp12973 +a(g431 +Vdone +p12974 +tp12975 +a(g701 +g964 +tp12976 +a(g431 +Vstrings +p12977 +tp12978 +a(g701 +g1023 +tp12979 +a(g431 +g998 +tp12980 +a(g701 +g972 +tp12981 +a(g822 +V\u000a +p12982 +tp12983 +a(g822 +V +p12984 +tp12985 +a(g431 +Vstr +p12986 +tp12987 +a(g701 +g1023 +tp12988 +a(g822 +g974 +tp12989 +a(g431 +g998 +tp12990 +a(g822 +g974 +tp12991 +a(g408 +g976 +tp12992 +a(g822 +g974 +tp12993 +a(g431 +Vnext +p12994 +tp12995 +a(g701 +g964 +tp12996 +a(g431 +Vstrings +p12997 +tp12998 +a(g701 +g1023 +tp12999 +a(g431 +g998 +tp13000 +a(g701 +g972 +tp13001 +a(g822 +V\u000a +p13002 +tp13003 +a(g822 +V +p13004 +tp13005 +a(g431 +Vprint +p13006 +tp13007 +a(g701 +g964 +tp13008 +a(g431 +Vstr +p13009 +tp13010 +a(g701 +g972 +tp13011 +a(g822 +V\u000a +p13012 +tp13013 +a(g822 +V +p13014 +tp13015 +a(g740 +Vif +p13016 +tp13017 +a(g822 +g974 +tp13018 +a(g408 +g2685 +tp13019 +a(g431 +Vdone +p13020 +tp13021 +a(g701 +g964 +tp13022 +a(g431 +Vstrings +p13023 +tp13024 +a(g701 +g1023 +tp13025 +a(g431 +g998 +tp13026 +a(g701 +g972 +tp13027 +a(g822 +V\u000a +p13028 +tp13029 +a(g822 +V +p13030 +tp13031 +a(g431 +Vprint +p13032 +tp13033 +a(g701 +g964 +tp13034 +a(g431 +Vdelim +p13035 +tp13036 +a(g701 +g972 +tp13037 +a(g822 +V\u000a +p13038 +tp13039 +a(g822 +V +p13040 +tp13041 +a(g740 +Vend +p13042 +tp13043 +a(g822 +V\u000a +p13044 +tp13045 +a(g822 +V +p13046 +tp13047 +a(g740 +Vend +p13048 +tp13049 +a(g822 +V\u000a +p13050 +tp13051 +a(g740 +Vend +p13052 +tp13053 +a(g822 +V\u000a +p13054 +tp13055 +a(g431 +Vprint_joined +p13056 +tp13057 +a(g701 +g964 +tp13058 +a(g431 +Vstrings +p13059 +tp13060 +a(g701 +g972 +tp13061 +a(g822 +g974 +tp13062 +a(g408 +g976 +tp13063 +a(g822 +g974 +tp13064 +a(g431 +Vprint_joined +p13065 +tp13066 +a(g701 +g964 +tp13067 +a(g431 +Vstrings +p13068 +tp13069 +a(g701 +g1023 +tp13070 +a(g822 +g974 +tp13071 +a(g84 +g982 +tp13072 +a(g84 +g982 +tp13073 +a(g701 +g972 +tp13074 +a(g822 +V\u000a +p13075 +tp13076 +a(g822 +V\u000a +p13077 +tp13078 +a(g431 +Vjoin +p13079 +tp13080 +a(g701 +g964 +tp13081 +a(g431 +Vargs +p13082 +tp13083 +a(g408 +g1473 +tp13084 +a(g408 +g1473 +tp13085 +a(g408 +g1473 +tp13086 +a(g701 +g972 +tp13087 +a(g822 +g974 +tp13088 +a(g408 +g976 +tp13089 +a(g822 +g974 +tp13090 +a(g431 +Vprint_to_string +p13091 +tp13092 +a(g701 +g964 +tp13093 +a(g431 +Vprint_joined +p13094 +tp13095 +a(g701 +g1023 +tp13096 +a(g822 +g974 +tp13097 +a(g431 +Vargs +p13098 +tp13099 +a(g408 +g1473 +tp13100 +a(g408 +g1473 +tp13101 +a(g408 +g1473 +tp13102 +a(g701 +g972 +tp13103 +a(g822 +V\u000a +p13104 +tp13105 +a(g822 +V\u000a +p13106 +tp13107 +a(g431 +Vchop +p13108 +tp13109 +a(g701 +g964 +tp13110 +a(g431 +g966 +tp13111 +a(g408 +V:: +p13112 +tp13113 +a(g431 +VString +p13114 +tp13115 +a(g701 +g972 +tp13116 +a(g822 +g974 +tp13117 +a(g408 +g976 +tp13118 +a(g822 +g974 +tp13119 +a(g431 +g966 +tp13120 +a(g701 +g1125 +tp13121 +a(g32 +g1134 +tp13122 +a(g408 +g1380 +tp13123 +a(g431 +Vthisind +p13124 +tp13125 +a(g701 +g964 +tp13126 +a(g431 +g966 +tp13127 +a(g701 +g1023 +tp13128 +a(g431 +Vlength +p13129 +tp13130 +a(g701 +g964 +tp13131 +a(g431 +g966 +tp13132 +a(g701 +g972 +tp13133 +a(g701 +g972 +tp13134 +a(g408 +g2021 +tp13135 +a(g32 +g1134 +tp13136 +a(g701 +g1128 +tp13137 +a(g822 +V\u000a +p13138 +tp13139 +a(g431 +Vchomp +p13140 +tp13141 +a(g701 +g964 +tp13142 +a(g431 +g966 +tp13143 +a(g408 +V:: +p13144 +tp13145 +a(g431 +VString +p13146 +tp13147 +a(g701 +g972 +tp13148 +a(g822 +g974 +tp13149 +a(g408 +g976 +tp13150 +a(g822 +g974 +tp13151 +a(g701 +g964 +tp13152 +a(g431 +g998 +tp13153 +a(g408 +g976 +tp13154 +a(g431 +Vthisind +p13155 +tp13156 +a(g701 +g964 +tp13157 +a(g431 +g966 +tp13158 +a(g701 +g1023 +tp13159 +a(g431 +Vlength +p13160 +tp13161 +a(g701 +g964 +tp13162 +a(g431 +g966 +tp13163 +a(g701 +g972 +tp13164 +a(g701 +g972 +tp13165 +a(g701 +g1455 +tp13166 +a(g822 +g974 +tp13167 +a(g431 +g966 +tp13168 +a(g701 +g1125 +tp13169 +a(g431 +g998 +tp13170 +a(g701 +g1128 +tp13171 +a(g408 +V== +p13172 +tp13173 +a(g88 +V'\u005cn' +p13174 +tp13175 +a(g822 +g974 +tp13176 +a(g408 +g1656 +tp13177 +a(g822 +g974 +tp13178 +a(g431 +g966 +tp13179 +a(g701 +g1125 +tp13180 +a(g32 +g1134 +tp13181 +a(g408 +g1380 +tp13182 +a(g431 +g998 +tp13183 +a(g408 +g2021 +tp13184 +a(g32 +g1134 +tp13185 +a(g701 +g1128 +tp13186 +a(g822 +g974 +tp13187 +a(g408 +g1380 +tp13188 +a(g822 +g974 +tp13189 +a(g431 +g966 +tp13190 +a(g701 +g972 +tp13191 +a(g822 +V\u000a +p13192 +tp13193 +a(g431 +Vchomp +p13194 +tp13195 +a(g701 +g964 +tp13196 +a(g431 +g966 +tp13197 +a(g408 +V:: +p13198 +tp13199 +a(g431 +VByteString +p13200 +tp13201 +a(g701 +g972 +tp13202 +a(g822 +g974 +tp13203 +a(g408 +g976 +tp13204 +a(g822 +g974 +tp13205 +a(g431 +g966 +tp13206 +a(g408 +g1473 +tp13207 +a(g431 +Vdata +p13208 +tp13209 +a(g701 +g1125 +tp13210 +a(g740 +Vend +p13211 +tp13212 +a(g701 +g1128 +tp13213 +a(g408 +V== +p13214 +tp13215 +a(g293 +V0x0a +p13216 +tp13217 +a(g822 +g974 +tp13218 +a(g408 +g1656 +tp13219 +a(g822 +g974 +tp13220 +a(g431 +g966 +tp13221 +a(g701 +g1125 +tp13222 +a(g32 +g1134 +tp13223 +a(g408 +g1380 +tp13224 +a(g740 +Vend +p13225 +tp13226 +a(g408 +g2021 +tp13227 +a(g32 +g1134 +tp13228 +a(g701 +g1128 +tp13229 +a(g822 +g974 +tp13230 +a(g408 +g1380 +tp13231 +a(g822 +g974 +tp13232 +a(g431 +g966 +tp13233 +a(g822 +V\u000a +p13234 +tp13235 +a(g822 +V\u000a +p13236 +tp13237 +a(g740 +Vfunction +p13238 +tp13239 +a(g822 +g974 +tp13240 +a(g431 +Vlstrip +p13241 +tp13242 +a(g701 +g964 +tp13243 +a(g431 +g966 +tp13244 +a(g408 +V:: +p13245 +tp13246 +a(g431 +VString +p13247 +tp13248 +a(g701 +g972 +tp13249 +a(g822 +V\u000a +p13250 +tp13251 +a(g822 +V +p13252 +tp13253 +a(g431 +g998 +tp13254 +a(g822 +g974 +tp13255 +a(g408 +g976 +tp13256 +a(g822 +g974 +tp13257 +a(g431 +Vstart +p13258 +tp13259 +a(g701 +g964 +tp13260 +a(g431 +g966 +tp13261 +a(g701 +g972 +tp13262 +a(g822 +V\u000a +p13263 +tp13264 +a(g822 +V +p13265 +tp13266 +a(g740 +Vwhile +p13267 +tp13268 +a(g822 +g974 +tp13269 +a(g408 +g2685 +tp13270 +a(g431 +Vdone +p13271 +tp13272 +a(g701 +g964 +tp13273 +a(g431 +g966 +tp13274 +a(g701 +g1023 +tp13275 +a(g431 +g998 +tp13276 +a(g701 +g972 +tp13277 +a(g822 +V\u000a +p13278 +tp13279 +a(g822 +V +p13280 +tp13281 +a(g431 +g1451 +tp13282 +a(g701 +g1023 +tp13283 +a(g822 +g974 +tp13284 +a(g431 +g1818 +tp13285 +a(g822 +g974 +tp13286 +a(g408 +g976 +tp13287 +a(g822 +g974 +tp13288 +a(g431 +Vnext +p13289 +tp13290 +a(g701 +g964 +tp13291 +a(g431 +g966 +tp13292 +a(g701 +g1023 +tp13293 +a(g431 +g998 +tp13294 +a(g701 +g972 +tp13295 +a(g822 +V\u000a +p13296 +tp13297 +a(g822 +V +p13298 +tp13299 +a(g740 +Vif +p13300 +tp13301 +a(g822 +g974 +tp13302 +a(g408 +g2685 +tp13303 +a(g431 +Viswspace +p13304 +tp13305 +a(g701 +g964 +tp13306 +a(g431 +g1451 +tp13307 +a(g701 +g972 +tp13308 +a(g822 +V\u000a +p13309 +tp13310 +a(g822 +V +p13311 +tp13312 +a(g740 +Vreturn +p13313 +tp13314 +a(g822 +g974 +tp13315 +a(g431 +g966 +tp13316 +a(g701 +g1125 +tp13317 +a(g431 +g998 +tp13318 +a(g408 +g1380 +tp13319 +a(g740 +Vend +p13320 +tp13321 +a(g701 +g1128 +tp13322 +a(g822 +V\u000a +p13323 +tp13324 +a(g822 +V +p13325 +tp13326 +a(g740 +Vend +p13327 +tp13328 +a(g822 +V\u000a +p13329 +tp13330 +a(g822 +V +p13331 +tp13332 +a(g431 +g998 +tp13333 +a(g822 +g974 +tp13334 +a(g408 +g976 +tp13335 +a(g822 +g974 +tp13336 +a(g431 +g1818 +tp13337 +a(g822 +V\u000a +p13338 +tp13339 +a(g822 +V +p13340 +tp13341 +a(g740 +Vend +p13342 +tp13343 +a(g822 +V\u000a +p13344 +tp13345 +a(g822 +V +p13346 +tp13347 +a(g84 +g982 +tp13348 +a(g84 +g982 +tp13349 +a(g822 +V\u000a +p13350 +tp13351 +a(g740 +Vend +p13352 +tp13353 +a(g822 +V\u000a +p13354 +tp13355 +a(g822 +V\u000a +p13356 +tp13357 +a(g740 +Vfunction +p13358 +tp13359 +a(g822 +g974 +tp13360 +a(g431 +Vrstrip +p13361 +tp13362 +a(g701 +g964 +tp13363 +a(g431 +g966 +tp13364 +a(g408 +V:: +p13365 +tp13366 +a(g431 +VString +p13367 +tp13368 +a(g701 +g972 +tp13369 +a(g822 +V\u000a +p13370 +tp13371 +a(g822 +V +p13372 +tp13373 +a(g431 +g1356 +tp13374 +a(g822 +g974 +tp13375 +a(g408 +g976 +tp13376 +a(g822 +g974 +tp13377 +a(g431 +Vreverse +p13378 +tp13379 +a(g701 +g964 +tp13380 +a(g431 +g966 +tp13381 +a(g701 +g972 +tp13382 +a(g822 +V\u000a +p13383 +tp13384 +a(g822 +V +p13385 +tp13386 +a(g431 +g998 +tp13387 +a(g822 +g974 +tp13388 +a(g408 +g976 +tp13389 +a(g822 +g974 +tp13390 +a(g431 +Vstart +p13391 +tp13392 +a(g701 +g964 +tp13393 +a(g431 +g1356 +tp13394 +a(g701 +g972 +tp13395 +a(g822 +V\u000a +p13396 +tp13397 +a(g822 +V +p13398 +tp13399 +a(g740 +Vwhile +p13400 +tp13401 +a(g822 +g974 +tp13402 +a(g408 +g2685 +tp13403 +a(g431 +Vdone +p13404 +tp13405 +a(g701 +g964 +tp13406 +a(g431 +g1356 +tp13407 +a(g701 +g1023 +tp13408 +a(g431 +g998 +tp13409 +a(g701 +g972 +tp13410 +a(g822 +V\u000a +p13411 +tp13412 +a(g822 +V +p13413 +tp13414 +a(g431 +g1451 +tp13415 +a(g701 +g1023 +tp13416 +a(g822 +g974 +tp13417 +a(g431 +g1818 +tp13418 +a(g822 +g974 +tp13419 +a(g408 +g976 +tp13420 +a(g822 +g974 +tp13421 +a(g431 +Vnext +p13422 +tp13423 +a(g701 +g964 +tp13424 +a(g431 +g1356 +tp13425 +a(g701 +g1023 +tp13426 +a(g431 +g998 +tp13427 +a(g701 +g972 +tp13428 +a(g822 +V\u000a +p13429 +tp13430 +a(g822 +V +p13431 +tp13432 +a(g740 +Vif +p13433 +tp13434 +a(g822 +g974 +tp13435 +a(g408 +g2685 +tp13436 +a(g431 +Viswspace +p13437 +tp13438 +a(g701 +g964 +tp13439 +a(g431 +g1451 +tp13440 +a(g701 +g972 +tp13441 +a(g822 +V\u000a +p13442 +tp13443 +a(g822 +V +p13444 +tp13445 +a(g740 +Vreturn +p13446 +tp13447 +a(g822 +g974 +tp13448 +a(g431 +g966 +tp13449 +a(g701 +g1125 +tp13450 +a(g32 +g1134 +tp13451 +a(g408 +g1380 +tp13452 +a(g740 +Vend +p13453 +tp13454 +a(g408 +g2021 +tp13455 +a(g431 +g998 +tp13456 +a(g408 +g1132 +tp13457 +a(g32 +g1134 +tp13458 +a(g701 +g1128 +tp13459 +a(g822 +V\u000a +p13460 +tp13461 +a(g822 +V +p13462 +tp13463 +a(g740 +Vend +p13464 +tp13465 +a(g822 +V\u000a +p13466 +tp13467 +a(g822 +V +p13468 +tp13469 +a(g431 +g998 +tp13470 +a(g822 +g974 +tp13471 +a(g408 +g976 +tp13472 +a(g822 +g974 +tp13473 +a(g431 +g1818 +tp13474 +a(g822 +V\u000a +p13475 +tp13476 +a(g822 +V +p13477 +tp13478 +a(g740 +Vend +p13479 +tp13480 +a(g822 +V\u000a +p13481 +tp13482 +a(g822 +V +p13483 +tp13484 +a(g84 +g982 +tp13485 +a(g84 +g982 +tp13486 +a(g822 +V\u000a +p13487 +tp13488 +a(g740 +Vend +p13489 +tp13490 +a(g822 +V\u000a +p13491 +tp13492 +a(g822 +V\u000a +p13493 +tp13494 +a(g431 +Vstrip +p13495 +tp13496 +a(g701 +g964 +tp13497 +a(g431 +g966 +tp13498 +a(g408 +V:: +p13499 +tp13500 +a(g431 +VString +p13501 +tp13502 +a(g701 +g972 +tp13503 +a(g822 +g974 +tp13504 +a(g408 +g976 +tp13505 +a(g822 +g974 +tp13506 +a(g431 +Vlstrip +p13507 +tp13508 +a(g701 +g964 +tp13509 +a(g431 +Vrstrip +p13510 +tp13511 +a(g701 +g964 +tp13512 +a(g431 +g966 +tp13513 +a(g701 +g972 +tp13514 +a(g701 +g972 +tp13515 +a(g822 +V\u000a +p13516 +tp13517 +a(g822 +V\u000a +p13518 +tp13519 +a(g7 +V## string to integer functions ## +p13520 +tp13521 +a(g822 +V\u000a +p13522 +tp13523 +a(g822 +V\u000a +p13524 +tp13525 +a(g740 +Vfunction +p13526 +tp13527 +a(g822 +g974 +tp13528 +a(g431 +Vparse_int +p13529 +tp13530 +a(g701 +g1338 +tp13531 +a(g431 +g1340 +tp13532 +a(g408 +V<: +p13533 +tp13534 +a(g744 +VInteger +p13535 +tp13536 +a(g701 +g1346 +tp13537 +a(g701 +g964 +tp13538 +a(g408 +V:: +p13539 +tp13540 +a(g744 +VType +p13541 +tp13542 +a(g701 +g1338 +tp13543 +a(g431 +g1340 +tp13544 +a(g701 +g1346 +tp13545 +a(g701 +g1023 +tp13546 +a(g822 +g974 +tp13547 +a(g431 +g966 +tp13548 +a(g408 +V:: +p13549 +tp13550 +a(g431 +VString +p13551 +tp13552 +a(g701 +g1023 +tp13553 +a(g822 +g974 +tp13554 +a(g431 +Vbase +p13555 +tp13556 +a(g408 +V:: +p13557 +tp13558 +a(g744 +VInteger +p13559 +tp13560 +a(g701 +g972 +tp13561 +a(g822 +V\u000a +p13562 +tp13563 +a(g822 +V +p13564 +tp13565 +a(g740 +Vif +p13566 +tp13567 +a(g822 +g974 +tp13568 +a(g408 +g2685 +tp13569 +a(g701 +g964 +tp13570 +a(g32 +g7182 +tp13571 +a(g822 +g974 +tp13572 +a(g408 +V<= +p13573 +tp13574 +a(g822 +g974 +tp13575 +a(g431 +Vbase +p13576 +tp13577 +a(g822 +g974 +tp13578 +a(g408 +V<= +p13579 +tp13580 +a(g822 +g974 +tp13581 +a(g32 +V36 +p13582 +tp13583 +a(g701 +g972 +tp13584 +a(g701 +g1455 +tp13585 +a(g822 +g974 +tp13586 +a(g431 +Verror +p13587 +tp13588 +a(g701 +g964 +tp13589 +a(g84 +g982 +tp13590 +a(g84 +g998 +tp13591 +a(g84 +g1009 +tp13592 +a(g84 +g6465 +tp13593 +a(g84 +g1709 +tp13594 +a(g84 +g1003 +tp13595 +a(g84 +g998 +tp13596 +a(g84 +g1641 +tp13597 +a(g84 +g974 +tp13598 +a(g84 +g2948 +tp13599 +a(g84 +g1709 +tp13600 +a(g84 +g966 +tp13601 +a(g84 +g1005 +tp13602 +a(g84 +g1380 +tp13603 +a(g84 +g974 +tp13604 +a(g84 +g982 +tp13605 +a(g701 +g1023 +tp13606 +a(g431 +Vbase +p13607 +tp13608 +a(g701 +g972 +tp13609 +a(g701 +g1455 +tp13610 +a(g822 +g974 +tp13611 +a(g740 +Vend +p13612 +tp13613 +a(g822 +V\u000a +p13614 +tp13615 +a(g822 +V +p13616 +tp13617 +a(g431 +g998 +tp13618 +a(g822 +g974 +tp13619 +a(g408 +g976 +tp13620 +a(g822 +g974 +tp13621 +a(g431 +Vstart +p13622 +tp13623 +a(g701 +g964 +tp13624 +a(g431 +g966 +tp13625 +a(g701 +g972 +tp13626 +a(g822 +V\u000a +p13627 +tp13628 +a(g822 +V +p13629 +tp13630 +a(g740 +Vif +p13631 +tp13632 +a(g822 +g974 +tp13633 +a(g431 +Vdone +p13634 +tp13635 +a(g701 +g964 +tp13636 +a(g431 +g966 +tp13637 +a(g701 +g1023 +tp13638 +a(g431 +g998 +tp13639 +a(g701 +g972 +tp13640 +a(g822 +V\u000a +p13641 +tp13642 +a(g822 +V +p13643 +tp13644 +a(g431 +Verror +p13645 +tp13646 +a(g701 +g964 +tp13647 +a(g84 +g982 +tp13648 +a(g84 +g1001 +tp13649 +a(g84 +g1356 +tp13650 +a(g84 +g1005 +tp13651 +a(g84 +g991 +tp13652 +a(g84 +g1709 +tp13653 +a(g84 +g995 +tp13654 +a(g84 +g988 +tp13655 +a(g84 +g1356 +tp13656 +a(g84 +g1005 +tp13657 +a(g84 +g974 +tp13658 +a(g84 +g1005 +tp13659 +a(g84 +g1009 +tp13660 +a(g84 +g1641 +tp13661 +a(g84 +g974 +tp13662 +a(g84 +g986 +tp13663 +a(g84 +g1705 +tp13664 +a(g84 +g974 +tp13665 +a(g84 +g998 +tp13666 +a(g84 +g1009 +tp13667 +a(g84 +g995 +tp13668 +a(g84 +g1005 +tp13669 +a(g84 +g1016 +tp13670 +a(g84 +g1005 +tp13671 +a(g84 +g1356 +tp13672 +a(g84 +g974 +tp13673 +a(g84 +g964 +tp13674 +a(g84 +g998 +tp13675 +a(g84 +g1009 +tp13676 +a(g84 +g974 +tp13677 +a(g84 +g982 +tp13678 +a(g701 +g1023 +tp13679 +a(g431 +Vshow_to_string +p13680 +tp13681 +a(g701 +g964 +tp13682 +a(g431 +g966 +tp13683 +a(g701 +g972 +tp13684 +a(g701 +g1023 +tp13685 +a(g84 +g982 +tp13686 +a(g84 +g972 +tp13687 +a(g84 +g982 +tp13688 +a(g701 +g972 +tp13689 +a(g822 +V\u000a +p13690 +tp13691 +a(g822 +V +p13692 +tp13693 +a(g740 +Vend +p13694 +tp13695 +a(g822 +V\u000a +p13696 +tp13697 +a(g822 +V +p13698 +tp13699 +a(g431 +g1451 +tp13700 +a(g701 +g1023 +tp13701 +a(g431 +g998 +tp13702 +a(g822 +g974 +tp13703 +a(g408 +g976 +tp13704 +a(g822 +g974 +tp13705 +a(g431 +Vnext +p13706 +tp13707 +a(g701 +g964 +tp13708 +a(g431 +g966 +tp13709 +a(g701 +g1023 +tp13710 +a(g431 +g998 +tp13711 +a(g701 +g972 +tp13712 +a(g822 +V\u000a +p13713 +tp13714 +a(g822 +V +p13715 +tp13716 +a(g431 +Vsgn +p13717 +tp13718 +a(g822 +g974 +tp13719 +a(g408 +g976 +tp13720 +a(g822 +g974 +tp13721 +a(g431 +Vone +p13722 +tp13723 +a(g701 +g964 +tp13724 +a(g431 +g1340 +tp13725 +a(g701 +g972 +tp13726 +a(g822 +V\u000a +p13727 +tp13728 +a(g822 +V +p13729 +tp13730 +a(g740 +Vif +p13731 +tp13732 +a(g822 +g974 +tp13733 +a(g431 +g1340 +tp13734 +a(g822 +g974 +tp13735 +a(g408 +V<: +p13736 +tp13737 +a(g822 +g974 +tp13738 +a(g744 +VSigned +p13739 +tp13740 +a(g822 +g974 +tp13741 +a(g408 +V&& +p13742 +tp13743 +a(g822 +g974 +tp13744 +a(g431 +g1451 +tp13745 +a(g822 +g974 +tp13746 +a(g408 +V== +p13747 +tp13748 +a(g822 +g974 +tp13749 +a(g88 +V'-' +p13750 +tp13751 +a(g822 +V\u000a +p13752 +tp13753 +a(g822 +V +p13754 +tp13755 +a(g431 +Vsgn +p13756 +tp13757 +a(g822 +g974 +tp13758 +a(g408 +g976 +tp13759 +a(g822 +g974 +tp13760 +a(g408 +g2021 +tp13761 +a(g431 +Vsgn +p13762 +tp13763 +a(g822 +V\u000a +p13764 +tp13765 +a(g822 +V +p13766 +tp13767 +a(g740 +Vif +p13768 +tp13769 +a(g822 +g974 +tp13770 +a(g431 +Vdone +p13771 +tp13772 +a(g701 +g964 +tp13773 +a(g431 +g966 +tp13774 +a(g701 +g1023 +tp13775 +a(g431 +g998 +tp13776 +a(g701 +g972 +tp13777 +a(g822 +V\u000a +p13778 +tp13779 +a(g822 +V +p13780 +tp13781 +a(g431 +Verror +p13782 +tp13783 +a(g701 +g964 +tp13784 +a(g84 +g982 +tp13785 +a(g84 +g1001 +tp13786 +a(g84 +g1356 +tp13787 +a(g84 +g1005 +tp13788 +a(g84 +g991 +tp13789 +a(g84 +g1709 +tp13790 +a(g84 +g995 +tp13791 +a(g84 +g988 +tp13792 +a(g84 +g1356 +tp13793 +a(g84 +g1005 +tp13794 +a(g84 +g974 +tp13795 +a(g84 +g1005 +tp13796 +a(g84 +g1009 +tp13797 +a(g84 +g1641 +tp13798 +a(g84 +g974 +tp13799 +a(g84 +g986 +tp13800 +a(g84 +g1705 +tp13801 +a(g84 +g974 +tp13802 +a(g84 +g998 +tp13803 +a(g84 +g1009 +tp13804 +a(g84 +g995 +tp13805 +a(g84 +g1005 +tp13806 +a(g84 +g1016 +tp13807 +a(g84 +g1005 +tp13808 +a(g84 +g1356 +tp13809 +a(g84 +g974 +tp13810 +a(g84 +g964 +tp13811 +a(g84 +g998 +tp13812 +a(g84 +g1009 +tp13813 +a(g84 +g974 +tp13814 +a(g84 +g982 +tp13815 +a(g701 +g1023 +tp13816 +a(g431 +Vshow_to_string +p13817 +tp13818 +a(g701 +g964 +tp13819 +a(g431 +g966 +tp13820 +a(g701 +g972 +tp13821 +a(g701 +g1023 +tp13822 +a(g84 +g982 +tp13823 +a(g84 +g972 +tp13824 +a(g84 +g982 +tp13825 +a(g701 +g972 +tp13826 +a(g822 +V\u000a +p13827 +tp13828 +a(g822 +V +p13829 +tp13830 +a(g740 +Vend +p13831 +tp13832 +a(g822 +V\u000a +p13833 +tp13834 +a(g822 +V +p13835 +tp13836 +a(g431 +g1451 +tp13837 +a(g701 +g1023 +tp13838 +a(g431 +g998 +tp13839 +a(g822 +g974 +tp13840 +a(g408 +g976 +tp13841 +a(g822 +g974 +tp13842 +a(g431 +Vnext +p13843 +tp13844 +a(g701 +g964 +tp13845 +a(g431 +g966 +tp13846 +a(g701 +g1023 +tp13847 +a(g431 +g998 +tp13848 +a(g701 +g972 +tp13849 +a(g822 +V\u000a +p13850 +tp13851 +a(g822 +V +p13852 +tp13853 +a(g740 +Vend +p13854 +tp13855 +a(g822 +V\u000a +p13856 +tp13857 +a(g822 +V +p13858 +tp13859 +a(g431 +Vbase +p13860 +tp13861 +a(g822 +g974 +tp13862 +a(g408 +g976 +tp13863 +a(g822 +g974 +tp13864 +a(g431 +Vconvert +p13865 +tp13866 +a(g701 +g964 +tp13867 +a(g431 +g1340 +tp13868 +a(g701 +g1023 +tp13869 +a(g431 +Vbase +p13870 +tp13871 +a(g701 +g972 +tp13872 +a(g822 +V\u000a +p13873 +tp13874 +a(g822 +V +p13875 +tp13876 +a(g431 +g1009 +tp13877 +a(g408 +V:: +p13878 +tp13879 +a(g431 +g1340 +tp13880 +a(g822 +g974 +tp13881 +a(g408 +g976 +tp13882 +a(g822 +g974 +tp13883 +a(g32 +g1785 +tp13884 +a(g822 +V\u000a +p13885 +tp13886 +a(g822 +V +p13887 +tp13888 +a(g740 +Vwhile +p13889 +tp13890 +a(g822 +g974 +tp13891 +a(g759 +Vtrue +p13892 +tp13893 +a(g822 +V\u000a +p13894 +tp13895 +a(g822 +V +p13896 +tp13897 +a(g431 +g1641 +tp13898 +a(g822 +g974 +tp13899 +a(g408 +g976 +tp13900 +a(g822 +g974 +tp13901 +a(g88 +V'0' +p13902 +tp13903 +a(g822 +g974 +tp13904 +a(g408 +V<= +p13905 +tp13906 +a(g822 +g974 +tp13907 +a(g431 +g1451 +tp13908 +a(g822 +g974 +tp13909 +a(g408 +V<= +p13910 +tp13911 +a(g822 +g974 +tp13912 +a(g88 +V'9' +p13913 +tp13914 +a(g822 +g974 +tp13915 +a(g408 +g1656 +tp13916 +a(g822 +g974 +tp13917 +a(g431 +g1451 +tp13918 +a(g408 +g2021 +tp13919 +a(g88 +V'0' +p13920 +tp13921 +a(g822 +g974 +tp13922 +a(g408 +g1380 +tp13923 +a(g822 +V\u000a +p13924 +tp13925 +a(g822 +V +p13926 +tp13927 +a(g88 +V'A' +p13928 +tp13929 +a(g822 +g974 +tp13930 +a(g408 +V<= +p13931 +tp13932 +a(g822 +g974 +tp13933 +a(g431 +g1451 +tp13934 +a(g822 +g974 +tp13935 +a(g408 +V<= +p13936 +tp13937 +a(g822 +g974 +tp13938 +a(g88 +V'Z' +p13939 +tp13940 +a(g822 +g974 +tp13941 +a(g408 +g1656 +tp13942 +a(g822 +g974 +tp13943 +a(g431 +g1451 +tp13944 +a(g408 +g2021 +tp13945 +a(g88 +V'A' +p13946 +tp13947 +a(g408 +g1132 +tp13948 +a(g32 +V10 +p13949 +tp13950 +a(g822 +g974 +tp13951 +a(g408 +g1380 +tp13952 +a(g822 +V\u000a +p13953 +tp13954 +a(g822 +V +p13955 +tp13956 +a(g88 +V'a' +p13957 +tp13958 +a(g822 +g974 +tp13959 +a(g408 +V<= +p13960 +tp13961 +a(g822 +g974 +tp13962 +a(g431 +g1451 +tp13963 +a(g822 +g974 +tp13964 +a(g408 +V<= +p13965 +tp13966 +a(g822 +g974 +tp13967 +a(g88 +V'z' +p13968 +tp13969 +a(g822 +g974 +tp13970 +a(g408 +g1656 +tp13971 +a(g822 +g974 +tp13972 +a(g431 +g1451 +tp13973 +a(g408 +g2021 +tp13974 +a(g88 +V'a' +p13975 +tp13976 +a(g408 +g1132 +tp13977 +a(g32 +V10 +p13978 +tp13979 +a(g822 +g974 +tp13980 +a(g408 +g1380 +tp13981 +a(g822 +g974 +tp13982 +a(g431 +Vtypemax +p13983 +tp13984 +a(g701 +g964 +tp13985 +a(g744 +VInt +p13986 +tp13987 +a(g701 +g972 +tp13988 +a(g822 +V\u000a +p13989 +tp13990 +a(g822 +V +p13991 +tp13992 +a(g740 +Vif +p13993 +tp13994 +a(g822 +g974 +tp13995 +a(g431 +g1641 +tp13996 +a(g822 +g974 +tp13997 +a(g408 +V>= +p13998 +tp13999 +a(g822 +g974 +tp14000 +a(g431 +Vbase +p14001 +tp14002 +a(g822 +V\u000a +p14003 +tp14004 +a(g822 +V +p14005 +tp14006 +a(g431 +Verror +p14007 +tp14008 +a(g701 +g964 +tp14009 +a(g431 +Vshow_to_string +p14010 +tp14011 +a(g701 +g964 +tp14012 +a(g431 +g1451 +tp14013 +a(g701 +g972 +tp14014 +a(g701 +g1023 +tp14015 +a(g84 +g982 +tp14016 +a(g84 +g974 +tp14017 +a(g84 +g998 +tp14018 +a(g84 +g966 +tp14019 +a(g84 +g974 +tp14020 +a(g84 +g1009 +tp14021 +a(g84 +g986 +tp14022 +a(g84 +g995 +tp14023 +a(g84 +g974 +tp14024 +a(g84 +g1709 +tp14025 +a(g84 +g974 +tp14026 +a(g84 +g6465 +tp14027 +a(g84 +g1709 +tp14028 +a(g84 +g1003 +tp14029 +a(g84 +g998 +tp14030 +a(g84 +g1641 +tp14031 +a(g84 +g974 +tp14032 +a(g84 +g1641 +tp14033 +a(g84 +g998 +tp14034 +a(g84 +g1016 +tp14035 +a(g84 +g998 +tp14036 +a(g84 +g995 +tp14037 +a(g84 +g974 +tp14038 +a(g84 +g964 +tp14039 +a(g84 +g998 +tp14040 +a(g84 +g1009 +tp14041 +a(g84 +g974 +tp14042 +a(g84 +g982 +tp14043 +a(g701 +g1023 +tp14044 +a(g431 +Vshow_to_string +p14045 +tp14046 +a(g701 +g964 +tp14047 +a(g431 +g966 +tp14048 +a(g701 +g972 +tp14049 +a(g701 +g1023 +tp14050 +a(g84 +g982 +tp14051 +a(g84 +g972 +tp14052 +a(g84 +g982 +tp14053 +a(g701 +g972 +tp14054 +a(g822 +V\u000a +p14055 +tp14056 +a(g822 +V +p14057 +tp14058 +a(g740 +Vend +p14059 +tp14060 +a(g822 +V\u000a +p14061 +tp14062 +a(g822 +V +p14063 +tp14064 +a(g7 +V# TODO: overflow detection? +p14065 +tp14066 +a(g822 +V\u000a +p14067 +tp14068 +a(g822 +V +p14069 +tp14070 +a(g431 +g1009 +tp14071 +a(g822 +g974 +tp14072 +a(g408 +g976 +tp14073 +a(g822 +g974 +tp14074 +a(g431 +g1009 +tp14075 +a(g408 +g1551 +tp14076 +a(g431 +Vbase +p14077 +tp14078 +a(g822 +g974 +tp14079 +a(g408 +g1132 +tp14080 +a(g822 +g974 +tp14081 +a(g431 +g1641 +tp14082 +a(g822 +V\u000a +p14083 +tp14084 +a(g822 +V +p14085 +tp14086 +a(g740 +Vif +p14087 +tp14088 +a(g822 +g974 +tp14089 +a(g431 +Vdone +p14090 +tp14091 +a(g701 +g964 +tp14092 +a(g431 +g966 +tp14093 +a(g701 +g1023 +tp14094 +a(g431 +g998 +tp14095 +a(g701 +g972 +tp14096 +a(g822 +V\u000a +p14097 +tp14098 +a(g822 +V +p14099 +tp14100 +a(g740 +Vbreak +p14101 +tp14102 +a(g822 +V\u000a +p14103 +tp14104 +a(g822 +V +p14105 +tp14106 +a(g740 +Vend +p14107 +tp14108 +a(g822 +V\u000a +p14109 +tp14110 +a(g822 +V +p14111 +tp14112 +a(g431 +g1451 +tp14113 +a(g701 +g1023 +tp14114 +a(g431 +g998 +tp14115 +a(g822 +g974 +tp14116 +a(g408 +g976 +tp14117 +a(g822 +g974 +tp14118 +a(g431 +Vnext +p14119 +tp14120 +a(g701 +g964 +tp14121 +a(g431 +g966 +tp14122 +a(g701 +g1023 +tp14123 +a(g431 +g998 +tp14124 +a(g701 +g972 +tp14125 +a(g822 +V\u000a +p14126 +tp14127 +a(g822 +V +p14128 +tp14129 +a(g740 +Vend +p14130 +tp14131 +a(g822 +V\u000a +p14132 +tp14133 +a(g822 +V +p14134 +tp14135 +a(g740 +Vreturn +p14136 +tp14137 +a(g822 +g974 +tp14138 +a(g431 +Vflipsign +p14139 +tp14140 +a(g701 +g964 +tp14141 +a(g431 +g1009 +tp14142 +a(g701 +g1023 +tp14143 +a(g431 +Vsgn +p14144 +tp14145 +a(g701 +g972 +tp14146 +a(g822 +V\u000a +p14147 +tp14148 +a(g740 +Vend +p14149 +tp14150 +a(g822 +V\u000a +p14151 +tp14152 +a(g822 +V\u000a +p14153 +tp14154 +a(g431 +Vparse_int +p14155 +tp14156 +a(g701 +g964 +tp14157 +a(g431 +g966 +tp14158 +a(g408 +V:: +p14159 +tp14160 +a(g431 +VString +p14161 +tp14162 +a(g701 +g1023 +tp14163 +a(g822 +g974 +tp14164 +a(g431 +Vbase +p14165 +tp14166 +a(g408 +V:: +p14167 +tp14168 +a(g744 +VInteger +p14169 +tp14170 +a(g701 +g972 +tp14171 +a(g822 +g974 +tp14172 +a(g408 +g976 +tp14173 +a(g822 +g974 +tp14174 +a(g431 +Vparse_int +p14175 +tp14176 +a(g701 +g964 +tp14177 +a(g744 +VInt +p14178 +tp14179 +a(g701 +g1023 +tp14180 +a(g431 +g966 +tp14181 +a(g701 +g1023 +tp14182 +a(g431 +Vbase +p14183 +tp14184 +a(g701 +g972 +tp14185 +a(g822 +V\u000a +p14186 +tp14187 +a(g431 +Vparse_int +p14188 +tp14189 +a(g701 +g964 +tp14190 +a(g431 +g1340 +tp14191 +a(g408 +V:: +p14192 +tp14193 +a(g744 +VType +p14194 +tp14195 +a(g701 +g1023 +tp14196 +a(g822 +g974 +tp14197 +a(g431 +g966 +tp14198 +a(g408 +V:: +p14199 +tp14200 +a(g431 +VString +p14201 +tp14202 +a(g701 +g972 +tp14203 +a(g822 +V +p14204 +tp14205 +a(g408 +g976 +tp14206 +a(g822 +g974 +tp14207 +a(g431 +Vparse_int +p14208 +tp14209 +a(g701 +g964 +tp14210 +a(g431 +g1340 +tp14211 +a(g701 +g1023 +tp14212 +a(g431 +g966 +tp14213 +a(g701 +g1023 +tp14214 +a(g32 +V10 +p14215 +tp14216 +a(g701 +g972 +tp14217 +a(g822 +V\u000a +p14218 +tp14219 +a(g431 +Vparse_int +p14220 +tp14221 +a(g701 +g964 +tp14222 +a(g431 +g966 +tp14223 +a(g408 +V:: +p14224 +tp14225 +a(g431 +VString +p14226 +tp14227 +a(g701 +g972 +tp14228 +a(g822 +V +p14229 +tp14230 +a(g408 +g976 +tp14231 +a(g822 +g974 +tp14232 +a(g431 +Vparse_int +p14233 +tp14234 +a(g701 +g964 +tp14235 +a(g744 +VInt +p14236 +tp14237 +a(g701 +g1023 +tp14238 +a(g431 +g966 +tp14239 +a(g701 +g1023 +tp14240 +a(g32 +V10 +p14241 +tp14242 +a(g701 +g972 +tp14243 +a(g822 +V\u000a +p14244 +tp14245 +a(g822 +V\u000a +p14246 +tp14247 +a(g431 +Vparse_bin +p14248 +tp14249 +a(g701 +g964 +tp14250 +a(g431 +g1340 +tp14251 +a(g408 +V:: +p14252 +tp14253 +a(g744 +VType +p14254 +tp14255 +a(g701 +g1023 +tp14256 +a(g822 +g974 +tp14257 +a(g431 +g966 +tp14258 +a(g408 +V:: +p14259 +tp14260 +a(g431 +VString +p14261 +tp14262 +a(g701 +g972 +tp14263 +a(g822 +g974 +tp14264 +a(g408 +g976 +tp14265 +a(g822 +g974 +tp14266 +a(g431 +Vparse_int +p14267 +tp14268 +a(g701 +g964 +tp14269 +a(g431 +g1340 +tp14270 +a(g701 +g1023 +tp14271 +a(g431 +g966 +tp14272 +a(g701 +g1023 +tp14273 +a(g32 +g7182 +tp14274 +a(g701 +g972 +tp14275 +a(g822 +V\u000a +p14276 +tp14277 +a(g431 +Vparse_oct +p14278 +tp14279 +a(g701 +g964 +tp14280 +a(g431 +g1340 +tp14281 +a(g408 +V:: +p14282 +tp14283 +a(g744 +VType +p14284 +tp14285 +a(g701 +g1023 +tp14286 +a(g822 +g974 +tp14287 +a(g431 +g966 +tp14288 +a(g408 +V:: +p14289 +tp14290 +a(g431 +VString +p14291 +tp14292 +a(g701 +g972 +tp14293 +a(g822 +g974 +tp14294 +a(g408 +g976 +tp14295 +a(g822 +g974 +tp14296 +a(g431 +Vparse_int +p14297 +tp14298 +a(g701 +g964 +tp14299 +a(g431 +g1340 +tp14300 +a(g701 +g1023 +tp14301 +a(g431 +g966 +tp14302 +a(g701 +g1023 +tp14303 +a(g32 +g7271 +tp14304 +a(g701 +g972 +tp14305 +a(g822 +V\u000a +p14306 +tp14307 +a(g431 +Vparse_hex +p14308 +tp14309 +a(g701 +g964 +tp14310 +a(g431 +g1340 +tp14311 +a(g408 +V:: +p14312 +tp14313 +a(g744 +VType +p14314 +tp14315 +a(g701 +g1023 +tp14316 +a(g822 +g974 +tp14317 +a(g431 +g966 +tp14318 +a(g408 +V:: +p14319 +tp14320 +a(g431 +VString +p14321 +tp14322 +a(g701 +g972 +tp14323 +a(g822 +g974 +tp14324 +a(g408 +g976 +tp14325 +a(g822 +g974 +tp14326 +a(g431 +Vparse_int +p14327 +tp14328 +a(g701 +g964 +tp14329 +a(g431 +g1340 +tp14330 +a(g701 +g1023 +tp14331 +a(g431 +g966 +tp14332 +a(g701 +g1023 +tp14333 +a(g32 +V16 +p14334 +tp14335 +a(g701 +g972 +tp14336 +a(g822 +V\u000a +p14337 +tp14338 +a(g822 +V\u000a +p14339 +tp14340 +a(g431 +Vparse_bin +p14341 +tp14342 +a(g701 +g964 +tp14343 +a(g431 +g966 +tp14344 +a(g408 +V:: +p14345 +tp14346 +a(g431 +VString +p14347 +tp14348 +a(g701 +g972 +tp14349 +a(g822 +g974 +tp14350 +a(g408 +g976 +tp14351 +a(g822 +g974 +tp14352 +a(g431 +Vparse_int +p14353 +tp14354 +a(g701 +g964 +tp14355 +a(g744 +VInt +p14356 +tp14357 +a(g701 +g1023 +tp14358 +a(g431 +g966 +tp14359 +a(g701 +g1023 +tp14360 +a(g32 +g7182 +tp14361 +a(g701 +g972 +tp14362 +a(g822 +V\u000a +p14363 +tp14364 +a(g431 +Vparse_oct +p14365 +tp14366 +a(g701 +g964 +tp14367 +a(g431 +g966 +tp14368 +a(g408 +V:: +p14369 +tp14370 +a(g431 +VString +p14371 +tp14372 +a(g701 +g972 +tp14373 +a(g822 +g974 +tp14374 +a(g408 +g976 +tp14375 +a(g822 +g974 +tp14376 +a(g431 +Vparse_int +p14377 +tp14378 +a(g701 +g964 +tp14379 +a(g744 +VInt +p14380 +tp14381 +a(g701 +g1023 +tp14382 +a(g431 +g966 +tp14383 +a(g701 +g1023 +tp14384 +a(g32 +g7271 +tp14385 +a(g701 +g972 +tp14386 +a(g822 +V\u000a +p14387 +tp14388 +a(g431 +Vparse_hex +p14389 +tp14390 +a(g701 +g964 +tp14391 +a(g431 +g966 +tp14392 +a(g408 +V:: +p14393 +tp14394 +a(g431 +VString +p14395 +tp14396 +a(g701 +g972 +tp14397 +a(g822 +g974 +tp14398 +a(g408 +g976 +tp14399 +a(g822 +g974 +tp14400 +a(g431 +Vparse_int +p14401 +tp14402 +a(g701 +g964 +tp14403 +a(g744 +VInt +p14404 +tp14405 +a(g701 +g1023 +tp14406 +a(g431 +g966 +tp14407 +a(g701 +g1023 +tp14408 +a(g32 +V16 +p14409 +tp14410 +a(g701 +g972 +tp14411 +a(g822 +V\u000a +p14412 +tp14413 +a(g822 +V\u000a +p14414 +tp14415 +a(g431 +Vinteger +p14416 +tp14417 +a(g822 +g974 +tp14418 +a(g701 +g964 +tp14419 +a(g431 +g966 +tp14420 +a(g408 +V:: +p14421 +tp14422 +a(g431 +VString +p14423 +tp14424 +a(g701 +g972 +tp14425 +a(g822 +g974 +tp14426 +a(g408 +g976 +tp14427 +a(g822 +g974 +tp14428 +a(g431 +Vint +p14429 +tp14430 +a(g701 +g964 +tp14431 +a(g431 +g966 +tp14432 +a(g701 +g972 +tp14433 +a(g822 +V\u000a +p14434 +tp14435 +a(g431 +Vunsigned +p14436 +tp14437 +a(g701 +g964 +tp14438 +a(g431 +g966 +tp14439 +a(g408 +V:: +p14440 +tp14441 +a(g431 +VString +p14442 +tp14443 +a(g701 +g972 +tp14444 +a(g822 +g974 +tp14445 +a(g408 +g976 +tp14446 +a(g822 +g974 +tp14447 +a(g431 +Vuint +p14448 +tp14449 +a(g701 +g964 +tp14450 +a(g431 +g966 +tp14451 +a(g701 +g972 +tp14452 +a(g822 +V\u000a +p14453 +tp14454 +a(g431 +Vint +p14455 +tp14456 +a(g822 +V +p14457 +tp14458 +a(g701 +g964 +tp14459 +a(g431 +g966 +tp14460 +a(g408 +V:: +p14461 +tp14462 +a(g431 +VString +p14463 +tp14464 +a(g701 +g972 +tp14465 +a(g822 +g974 +tp14466 +a(g408 +g976 +tp14467 +a(g822 +g974 +tp14468 +a(g431 +Vparse_int +p14469 +tp14470 +a(g701 +g964 +tp14471 +a(g744 +VInt +p14472 +tp14473 +a(g701 +g1023 +tp14474 +a(g431 +g966 +tp14475 +a(g701 +g972 +tp14476 +a(g822 +V\u000a +p14477 +tp14478 +a(g431 +Vuint +p14479 +tp14480 +a(g822 +V +p14481 +tp14482 +a(g701 +g964 +tp14483 +a(g431 +g966 +tp14484 +a(g408 +V:: +p14485 +tp14486 +a(g431 +VString +p14487 +tp14488 +a(g701 +g972 +tp14489 +a(g822 +g974 +tp14490 +a(g408 +g976 +tp14491 +a(g822 +g974 +tp14492 +a(g431 +Vparse_int +p14493 +tp14494 +a(g701 +g964 +tp14495 +a(g431 +VUint +p14496 +tp14497 +a(g701 +g1023 +tp14498 +a(g431 +g966 +tp14499 +a(g701 +g972 +tp14500 +a(g822 +V\u000a +p14501 +tp14502 +a(g431 +Vint8 +p14503 +tp14504 +a(g822 +V +p14505 +tp14506 +a(g701 +g964 +tp14507 +a(g431 +g966 +tp14508 +a(g408 +V:: +p14509 +tp14510 +a(g431 +VString +p14511 +tp14512 +a(g701 +g972 +tp14513 +a(g822 +g974 +tp14514 +a(g408 +g976 +tp14515 +a(g822 +g974 +tp14516 +a(g431 +Vparse_int +p14517 +tp14518 +a(g701 +g964 +tp14519 +a(g744 +VInt8 +p14520 +tp14521 +a(g701 +g1023 +tp14522 +a(g431 +g966 +tp14523 +a(g701 +g972 +tp14524 +a(g822 +V\u000a +p14525 +tp14526 +a(g431 +Vuint8 +p14527 +tp14528 +a(g822 +V +p14529 +tp14530 +a(g701 +g964 +tp14531 +a(g431 +g966 +tp14532 +a(g408 +V:: +p14533 +tp14534 +a(g431 +VString +p14535 +tp14536 +a(g701 +g972 +tp14537 +a(g822 +g974 +tp14538 +a(g408 +g976 +tp14539 +a(g822 +g974 +tp14540 +a(g431 +Vparse_int +p14541 +tp14542 +a(g701 +g964 +tp14543 +a(g431 +VUint8 +p14544 +tp14545 +a(g701 +g1023 +tp14546 +a(g431 +g966 +tp14547 +a(g701 +g972 +tp14548 +a(g822 +V\u000a +p14549 +tp14550 +a(g431 +Vint16 +p14551 +tp14552 +a(g822 +V +p14553 +tp14554 +a(g701 +g964 +tp14555 +a(g431 +g966 +tp14556 +a(g408 +V:: +p14557 +tp14558 +a(g431 +VString +p14559 +tp14560 +a(g701 +g972 +tp14561 +a(g822 +g974 +tp14562 +a(g408 +g976 +tp14563 +a(g822 +g974 +tp14564 +a(g431 +Vparse_int +p14565 +tp14566 +a(g701 +g964 +tp14567 +a(g744 +VInt16 +p14568 +tp14569 +a(g701 +g1023 +tp14570 +a(g431 +g966 +tp14571 +a(g701 +g972 +tp14572 +a(g822 +V\u000a +p14573 +tp14574 +a(g431 +Vuint16 +p14575 +tp14576 +a(g822 +V +p14577 +tp14578 +a(g701 +g964 +tp14579 +a(g431 +g966 +tp14580 +a(g408 +V:: +p14581 +tp14582 +a(g431 +VString +p14583 +tp14584 +a(g701 +g972 +tp14585 +a(g822 +g974 +tp14586 +a(g408 +g976 +tp14587 +a(g822 +g974 +tp14588 +a(g431 +Vparse_int +p14589 +tp14590 +a(g701 +g964 +tp14591 +a(g431 +VUint16 +p14592 +tp14593 +a(g701 +g1023 +tp14594 +a(g431 +g966 +tp14595 +a(g701 +g972 +tp14596 +a(g822 +V\u000a +p14597 +tp14598 +a(g431 +Vint32 +p14599 +tp14600 +a(g822 +V +p14601 +tp14602 +a(g701 +g964 +tp14603 +a(g431 +g966 +tp14604 +a(g408 +V:: +p14605 +tp14606 +a(g431 +VString +p14607 +tp14608 +a(g701 +g972 +tp14609 +a(g822 +g974 +tp14610 +a(g408 +g976 +tp14611 +a(g822 +g974 +tp14612 +a(g431 +Vparse_int +p14613 +tp14614 +a(g701 +g964 +tp14615 +a(g744 +VInt32 +p14616 +tp14617 +a(g701 +g1023 +tp14618 +a(g431 +g966 +tp14619 +a(g701 +g972 +tp14620 +a(g822 +V\u000a +p14621 +tp14622 +a(g431 +Vuint32 +p14623 +tp14624 +a(g822 +V +p14625 +tp14626 +a(g701 +g964 +tp14627 +a(g431 +g966 +tp14628 +a(g408 +V:: +p14629 +tp14630 +a(g431 +VString +p14631 +tp14632 +a(g701 +g972 +tp14633 +a(g822 +g974 +tp14634 +a(g408 +g976 +tp14635 +a(g822 +g974 +tp14636 +a(g431 +Vparse_int +p14637 +tp14638 +a(g701 +g964 +tp14639 +a(g431 +VUint32 +p14640 +tp14641 +a(g701 +g1023 +tp14642 +a(g431 +g966 +tp14643 +a(g701 +g972 +tp14644 +a(g822 +V\u000a +p14645 +tp14646 +a(g431 +Vint64 +p14647 +tp14648 +a(g822 +V +p14649 +tp14650 +a(g701 +g964 +tp14651 +a(g431 +g966 +tp14652 +a(g408 +V:: +p14653 +tp14654 +a(g431 +VString +p14655 +tp14656 +a(g701 +g972 +tp14657 +a(g822 +g974 +tp14658 +a(g408 +g976 +tp14659 +a(g822 +g974 +tp14660 +a(g431 +Vparse_int +p14661 +tp14662 +a(g701 +g964 +tp14663 +a(g744 +VInt64 +p14664 +tp14665 +a(g701 +g1023 +tp14666 +a(g431 +g966 +tp14667 +a(g701 +g972 +tp14668 +a(g822 +V\u000a +p14669 +tp14670 +a(g431 +Vuint64 +p14671 +tp14672 +a(g822 +V +p14673 +tp14674 +a(g701 +g964 +tp14675 +a(g431 +g966 +tp14676 +a(g408 +V:: +p14677 +tp14678 +a(g431 +VString +p14679 +tp14680 +a(g701 +g972 +tp14681 +a(g822 +g974 +tp14682 +a(g408 +g976 +tp14683 +a(g822 +g974 +tp14684 +a(g431 +Vparse_int +p14685 +tp14686 +a(g701 +g964 +tp14687 +a(g431 +VUint64 +p14688 +tp14689 +a(g701 +g1023 +tp14690 +a(g431 +g966 +tp14691 +a(g701 +g972 +tp14692 +a(g822 +V\u000a +p14693 +tp14694 +a(g822 +V\u000a +p14695 +tp14696 +a(g7 +V## integer to string functions ## +p14697 +tp14698 +a(g822 +V\u000a +p14699 +tp14700 +a(g822 +V\u000a +p14701 +tp14702 +a(g805 +Vconst +p14703 +tp14704 +a(g822 +g974 +tp14705 +a(g431 +V_jl_dig_syms +p14706 +tp14707 +a(g822 +g974 +tp14708 +a(g408 +g976 +tp14709 +a(g822 +g974 +tp14710 +a(g84 +g982 +tp14711 +a(g84 +g1785 +tp14712 +a(g84 +g1134 +tp14713 +a(g84 +g7182 +tp14714 +a(g84 +g8222 +tp14715 +a(g84 +g7230 +tp14716 +a(g84 +V5 +p14717 +tp14718 +a(g84 +g7144 +tp14719 +a(g84 +g7108 +tp14720 +a(g84 +g7271 +tp14721 +a(g84 +V9 +p14722 +tp14723 +a(g84 +g1709 +tp14724 +a(g84 +g2948 +tp14725 +a(g84 +g1451 +tp14726 +a(g84 +g1641 +tp14727 +a(g84 +g1005 +tp14728 +a(g84 +g1705 +tp14729 +a(g84 +g1016 +tp14730 +a(g84 +g1019 +tp14731 +a(g84 +g998 +tp14732 +a(g84 +g1818 +tp14733 +a(g84 +g2385 +tp14734 +a(g84 +g1003 +tp14735 +a(g84 +g991 +tp14736 +a(g84 +g1009 +tp14737 +a(g84 +g986 +tp14738 +a(g84 +g1001 +tp14739 +a(g84 +g8345 +tp14740 +a(g84 +g1356 +tp14741 +a(g84 +g966 +tp14742 +a(g84 +g995 +tp14743 +a(g84 +g988 +tp14744 +a(g84 +g6465 +tp14745 +a(g84 +g3385 +tp14746 +a(g84 +g1081 +tp14747 +a(g84 +g984 +tp14748 +a(g84 +g1679 +tp14749 +a(g84 +g982 +tp14750 +a(g408 +g1473 +tp14751 +a(g431 +Vdata +p14752 +tp14753 +a(g822 +V\u000a +p14754 +tp14755 +a(g822 +V\u000a +p14756 +tp14757 +a(g740 +Vfunction +p14758 +tp14759 +a(g822 +g974 +tp14760 +a(g431 +Vint2str +p14761 +tp14762 +a(g701 +g964 +tp14763 +a(g431 +g1009 +tp14764 +a(g408 +V:: +p14765 +tp14766 +a(g744 +VUnion +p14767 +tp14768 +a(g701 +g964 +tp14769 +a(g744 +VInt64 +p14770 +tp14771 +a(g701 +g1023 +tp14772 +a(g431 +VUint64 +p14773 +tp14774 +a(g701 +g972 +tp14775 +a(g701 +g1023 +tp14776 +a(g822 +g974 +tp14777 +a(g431 +g2948 +tp14778 +a(g408 +V:: +p14779 +tp14780 +a(g744 +VInteger +p14781 +tp14782 +a(g701 +g1023 +tp14783 +a(g822 +g974 +tp14784 +a(g431 +g1003 +tp14785 +a(g408 +V:: +p14786 +tp14787 +a(g744 +VInt +p14788 +tp14789 +a(g701 +g972 +tp14790 +a(g822 +V\u000a +p14791 +tp14792 +a(g822 +V +p14793 +tp14794 +a(g740 +Vif +p14795 +tp14796 +a(g822 +g974 +tp14797 +a(g431 +g2948 +tp14798 +a(g822 +g974 +tp14799 +a(g408 +g2510 +tp14800 +a(g822 +g974 +tp14801 +a(g32 +g7182 +tp14802 +a(g822 +g974 +tp14803 +a(g408 +V|| +p14804 +tp14805 +a(g822 +g974 +tp14806 +a(g431 +g2948 +tp14807 +a(g822 +g974 +tp14808 +a(g408 +g1211 +tp14809 +a(g822 +g974 +tp14810 +a(g32 +V36 +p14811 +tp14812 +a(g701 +g1455 +tp14813 +a(g822 +g974 +tp14814 +a(g431 +Verror +p14815 +tp14816 +a(g701 +g964 +tp14817 +a(g84 +g982 +tp14818 +a(g84 +g998 +tp14819 +a(g84 +g1009 +tp14820 +a(g84 +g995 +tp14821 +a(g84 +g7182 +tp14822 +a(g84 +g966 +tp14823 +a(g84 +g995 +tp14824 +a(g84 +g1356 +tp14825 +a(g84 +g1380 +tp14826 +a(g84 +g974 +tp14827 +a(g84 +g998 +tp14828 +a(g84 +g1009 +tp14829 +a(g84 +g6465 +tp14830 +a(g84 +g1709 +tp14831 +a(g84 +g1003 +tp14832 +a(g84 +g998 +tp14833 +a(g84 +g1641 +tp14834 +a(g84 +g974 +tp14835 +a(g84 +g2948 +tp14836 +a(g84 +g1709 +tp14837 +a(g84 +g966 +tp14838 +a(g84 +g1005 +tp14839 +a(g84 +g974 +tp14840 +a(g84 +g982 +tp14841 +a(g701 +g1023 +tp14842 +a(g822 +g974 +tp14843 +a(g431 +g2948 +tp14844 +a(g701 +g972 +tp14845 +a(g701 +g1455 +tp14846 +a(g822 +g974 +tp14847 +a(g740 +Vend +p14848 +tp14849 +a(g822 +V\u000a +p14850 +tp14851 +a(g822 +V +p14852 +tp14853 +a(g431 +Vneg +p14854 +tp14855 +a(g822 +g974 +tp14856 +a(g408 +g976 +tp14857 +a(g822 +g974 +tp14858 +a(g431 +g1009 +tp14859 +a(g822 +g974 +tp14860 +a(g408 +g2510 +tp14861 +a(g822 +g974 +tp14862 +a(g32 +g1785 +tp14863 +a(g822 +V\u000a +p14864 +tp14865 +a(g822 +V +p14866 +tp14867 +a(g431 +g1009 +tp14868 +a(g822 +g974 +tp14869 +a(g408 +g976 +tp14870 +a(g822 +g974 +tp14871 +a(g431 +Vunsigned +p14872 +tp14873 +a(g701 +g964 +tp14874 +a(g431 +Vabs +p14875 +tp14876 +a(g701 +g964 +tp14877 +a(g431 +g1009 +tp14878 +a(g701 +g972 +tp14879 +a(g701 +g972 +tp14880 +a(g822 +V\u000a +p14881 +tp14882 +a(g822 +V +p14883 +tp14884 +a(g431 +g2948 +tp14885 +a(g822 +g974 +tp14886 +a(g408 +g976 +tp14887 +a(g822 +g974 +tp14888 +a(g431 +Vconvert +p14889 +tp14890 +a(g701 +g964 +tp14891 +a(g431 +Vtypeof +p14892 +tp14893 +a(g701 +g964 +tp14894 +a(g431 +g1009 +tp14895 +a(g701 +g972 +tp14896 +a(g701 +g1023 +tp14897 +a(g822 +g974 +tp14898 +a(g431 +g2948 +tp14899 +a(g701 +g972 +tp14900 +a(g822 +V\u000a +p14901 +tp14902 +a(g822 +V +p14903 +tp14904 +a(g431 +Vndig +p14905 +tp14906 +a(g822 +g974 +tp14907 +a(g408 +g976 +tp14908 +a(g822 +g974 +tp14909 +a(g431 +Vndigits +p14910 +tp14911 +a(g701 +g964 +tp14912 +a(g431 +g1009 +tp14913 +a(g701 +g1023 +tp14914 +a(g822 +g974 +tp14915 +a(g431 +g2948 +tp14916 +a(g701 +g972 +tp14917 +a(g822 +V\u000a +p14918 +tp14919 +a(g822 +V +p14920 +tp14921 +a(g431 +Vsz +p14922 +tp14923 +a(g822 +g974 +tp14924 +a(g408 +g976 +tp14925 +a(g822 +g974 +tp14926 +a(g431 +Vmax +p14927 +tp14928 +a(g701 +g964 +tp14929 +a(g431 +Vconvert +p14930 +tp14931 +a(g701 +g964 +tp14932 +a(g744 +VInt +p14933 +tp14934 +a(g701 +g1023 +tp14935 +a(g822 +g974 +tp14936 +a(g431 +Vndig +p14937 +tp14938 +a(g701 +g972 +tp14939 +a(g701 +g1023 +tp14940 +a(g822 +g974 +tp14941 +a(g431 +g1003 +tp14942 +a(g701 +g972 +tp14943 +a(g822 +g974 +tp14944 +a(g408 +g1132 +tp14945 +a(g822 +g974 +tp14946 +a(g431 +Vneg +p14947 +tp14948 +a(g822 +V\u000a +p14949 +tp14950 +a(g822 +V +p14951 +tp14952 +a(g431 +Vdata +p14953 +tp14954 +a(g822 +g974 +tp14955 +a(g408 +g976 +tp14956 +a(g822 +g974 +tp14957 +a(g744 +VArray +p14958 +tp14959 +a(g701 +g964 +tp14960 +a(g431 +VUint8 +p14961 +tp14962 +a(g701 +g1023 +tp14963 +a(g822 +g974 +tp14964 +a(g431 +Vsz +p14965 +tp14966 +a(g701 +g972 +tp14967 +a(g822 +V\u000a +p14968 +tp14969 +a(g822 +V +p14970 +tp14971 +a(g431 +g998 +tp14972 +a(g822 +g974 +tp14973 +a(g408 +g976 +tp14974 +a(g822 +g974 +tp14975 +a(g431 +Vsz +p14976 +tp14977 +a(g822 +V\u000a +p14978 +tp14979 +a(g822 +V +p14980 +tp14981 +a(g740 +Vif +p14982 +tp14983 +a(g822 +g974 +tp14984 +a(g431 +Vispow2 +p14985 +tp14986 +a(g701 +g964 +tp14987 +a(g431 +g2948 +tp14988 +a(g701 +g972 +tp14989 +a(g822 +V\u000a +p14990 +tp14991 +a(g822 +V +p14992 +tp14993 +a(g431 +Vdigmask +p14994 +tp14995 +a(g822 +g974 +tp14996 +a(g408 +g976 +tp14997 +a(g822 +g974 +tp14998 +a(g431 +g2948 +tp14999 +a(g408 +g2021 +tp15000 +a(g32 +g1134 +tp15001 +a(g822 +V\u000a +p15002 +tp15003 +a(g822 +V +p15004 +tp15005 +a(g431 +Vshift +p15006 +tp15007 +a(g822 +g974 +tp15008 +a(g408 +g976 +tp15009 +a(g822 +g974 +tp15010 +a(g431 +Vtrailing_zeros +p15011 +tp15012 +a(g701 +g964 +tp15013 +a(g431 +g2948 +tp15014 +a(g701 +g972 +tp15015 +a(g822 +V\u000a +p15016 +tp15017 +a(g822 +V +p15018 +tp15019 +a(g740 +Vwhile +p15020 +tp15021 +a(g822 +g974 +tp15022 +a(g431 +g998 +tp15023 +a(g822 +g974 +tp15024 +a(g408 +g1211 +tp15025 +a(g822 +g974 +tp15026 +a(g431 +Vneg +p15027 +tp15028 +a(g822 +V\u000a +p15029 +tp15030 +a(g822 +V +p15031 +tp15032 +a(g431 +Vch +p15033 +tp15034 +a(g822 +g974 +tp15035 +a(g408 +g976 +tp15036 +a(g822 +g974 +tp15037 +a(g431 +g1009 +tp15038 +a(g822 +g974 +tp15039 +a(g408 +V& +p15040 +tp15041 +a(g822 +g974 +tp15042 +a(g431 +Vdigmask +p15043 +tp15044 +a(g822 +V\u000a +p15045 +tp15046 +a(g822 +V +p15047 +tp15048 +a(g431 +Vdata +p15049 +tp15050 +a(g701 +g1125 +tp15051 +a(g431 +g998 +tp15052 +a(g701 +g1128 +tp15053 +a(g822 +g974 +tp15054 +a(g408 +g976 +tp15055 +a(g822 +g974 +tp15056 +a(g431 +V_jl_dig_syms +p15057 +tp15058 +a(g701 +g1125 +tp15059 +a(g431 +Vint +p15060 +tp15061 +a(g701 +g964 +tp15062 +a(g431 +Vch +p15063 +tp15064 +a(g701 +g972 +tp15065 +a(g408 +g1132 +tp15066 +a(g32 +g1134 +tp15067 +a(g701 +g1128 +tp15068 +a(g822 +V\u000a +p15069 +tp15070 +a(g822 +V +p15071 +tp15072 +a(g431 +g1009 +tp15073 +a(g822 +g974 +tp15074 +a(g408 +V>>= +p15075 +tp15076 +a(g822 +g974 +tp15077 +a(g431 +Vshift +p15078 +tp15079 +a(g822 +V\u000a +p15080 +tp15081 +a(g822 +V +p15082 +tp15083 +a(g431 +g998 +tp15084 +a(g822 +g974 +tp15085 +a(g408 +V-= +p15086 +tp15087 +a(g822 +g974 +tp15088 +a(g32 +g1134 +tp15089 +a(g822 +V\u000a +p15090 +tp15091 +a(g822 +V +p15092 +tp15093 +a(g740 +Vend +p15094 +tp15095 +a(g822 +V\u000a +p15096 +tp15097 +a(g822 +V +p15098 +tp15099 +a(g740 +Velse +p15100 +tp15101 +a(g822 +V\u000a +p15102 +tp15103 +a(g822 +V +p15104 +tp15105 +a(g740 +Vwhile +p15106 +tp15107 +a(g822 +g974 +tp15108 +a(g431 +g998 +tp15109 +a(g822 +g974 +tp15110 +a(g408 +g1211 +tp15111 +a(g822 +g974 +tp15112 +a(g431 +Vneg +p15113 +tp15114 +a(g822 +V\u000a +p15115 +tp15116 +a(g822 +V +p15117 +tp15118 +a(g431 +Vch +p15119 +tp15120 +a(g822 +g974 +tp15121 +a(g408 +g976 +tp15122 +a(g822 +g974 +tp15123 +a(g431 +g1009 +tp15124 +a(g822 +g974 +tp15125 +a(g408 +V% +p15126 +tp15127 +a(g822 +g974 +tp15128 +a(g431 +g2948 +tp15129 +a(g822 +V\u000a +p15130 +tp15131 +a(g822 +V +p15132 +tp15133 +a(g431 +Vdata +p15134 +tp15135 +a(g701 +g1125 +tp15136 +a(g431 +g998 +tp15137 +a(g701 +g1128 +tp15138 +a(g822 +g974 +tp15139 +a(g408 +g976 +tp15140 +a(g822 +g974 +tp15141 +a(g431 +V_jl_dig_syms +p15142 +tp15143 +a(g701 +g1125 +tp15144 +a(g431 +Vint +p15145 +tp15146 +a(g701 +g964 +tp15147 +a(g431 +Vch +p15148 +tp15149 +a(g701 +g972 +tp15150 +a(g408 +g1132 +tp15151 +a(g32 +g1134 +tp15152 +a(g701 +g1128 +tp15153 +a(g822 +V\u000a +p15154 +tp15155 +a(g822 +V +p15156 +tp15157 +a(g431 +g1009 +tp15158 +a(g822 +g974 +tp15159 +a(g408 +g976 +tp15160 +a(g822 +g974 +tp15161 +a(g431 +Vdiv +p15162 +tp15163 +a(g701 +g964 +tp15164 +a(g431 +g1009 +tp15165 +a(g701 +g1023 +tp15166 +a(g431 +g2948 +tp15167 +a(g701 +g972 +tp15168 +a(g822 +V\u000a +p15169 +tp15170 +a(g822 +V +p15171 +tp15172 +a(g431 +g998 +tp15173 +a(g822 +g974 +tp15174 +a(g408 +V-= +p15175 +tp15176 +a(g822 +g974 +tp15177 +a(g32 +g1134 +tp15178 +a(g822 +V\u000a +p15179 +tp15180 +a(g822 +V +p15181 +tp15182 +a(g740 +Vend +p15183 +tp15184 +a(g822 +V\u000a +p15185 +tp15186 +a(g822 +V +p15187 +tp15188 +a(g740 +Vend +p15189 +tp15190 +a(g822 +V\u000a +p15191 +tp15192 +a(g822 +V +p15193 +tp15194 +a(g740 +Vif +p15195 +tp15196 +a(g822 +g974 +tp15197 +a(g431 +Vneg +p15198 +tp15199 +a(g822 +V\u000a +p15200 +tp15201 +a(g822 +V +p15202 +tp15203 +a(g431 +Vdata +p15204 +tp15205 +a(g701 +g1125 +tp15206 +a(g32 +g1134 +tp15207 +a(g701 +g1128 +tp15208 +a(g822 +g974 +tp15209 +a(g408 +g976 +tp15210 +a(g822 +g974 +tp15211 +a(g88 +V'-' +p15212 +tp15213 +a(g822 +V\u000a +p15214 +tp15215 +a(g822 +V +p15216 +tp15217 +a(g740 +Vend +p15218 +tp15219 +a(g822 +V\u000a +p15220 +tp15221 +a(g822 +V +p15222 +tp15223 +a(g744 +VASCIIString +p15224 +tp15225 +a(g701 +g964 +tp15226 +a(g431 +Vdata +p15227 +tp15228 +a(g701 +g972 +tp15229 +a(g822 +V\u000a +p15230 +tp15231 +a(g740 +Vend +p15232 +tp15233 +a(g822 +V\u000a +p15234 +tp15235 +a(g431 +Vint2str +p15236 +tp15237 +a(g701 +g964 +tp15238 +a(g431 +g1009 +tp15239 +a(g408 +V:: +p15240 +tp15241 +a(g744 +VInteger +p15242 +tp15243 +a(g701 +g1023 +tp15244 +a(g822 +g974 +tp15245 +a(g431 +g2948 +tp15246 +a(g408 +V:: +p15247 +tp15248 +a(g744 +VInteger +p15249 +tp15250 +a(g701 +g972 +tp15251 +a(g822 +V +p15252 +tp15253 +a(g408 +g976 +tp15254 +a(g822 +g974 +tp15255 +a(g431 +Vint2str +p15256 +tp15257 +a(g701 +g964 +tp15258 +a(g431 +g1009 +tp15259 +a(g701 +g1023 +tp15260 +a(g822 +g974 +tp15261 +a(g431 +g2948 +tp15262 +a(g701 +g1023 +tp15263 +a(g822 +g974 +tp15264 +a(g32 +g1785 +tp15265 +a(g701 +g972 +tp15266 +a(g822 +V\u000a +p15267 +tp15268 +a(g431 +Vint2str +p15269 +tp15270 +a(g701 +g964 +tp15271 +a(g431 +g1009 +tp15272 +a(g408 +V:: +p15273 +tp15274 +a(g744 +VInteger +p15275 +tp15276 +a(g701 +g1023 +tp15277 +a(g822 +g974 +tp15278 +a(g431 +g2948 +tp15279 +a(g408 +V:: +p15280 +tp15281 +a(g744 +VInteger +p15282 +tp15283 +a(g701 +g1023 +tp15284 +a(g822 +g974 +tp15285 +a(g431 +g1003 +tp15286 +a(g408 +V:: +p15287 +tp15288 +a(g744 +VInt +p15289 +tp15290 +a(g701 +g972 +tp15291 +a(g822 +g974 +tp15292 +a(g408 +g976 +tp15293 +a(g822 +g974 +tp15294 +a(g431 +Vint2str +p15295 +tp15296 +a(g701 +g964 +tp15297 +a(g431 +Vint64 +p15298 +tp15299 +a(g701 +g964 +tp15300 +a(g431 +g1009 +tp15301 +a(g701 +g972 +tp15302 +a(g701 +g1023 +tp15303 +a(g822 +g974 +tp15304 +a(g431 +g2948 +tp15305 +a(g701 +g1023 +tp15306 +a(g822 +g974 +tp15307 +a(g431 +g1003 +tp15308 +a(g701 +g972 +tp15309 +a(g822 +V\u000a +p15310 +tp15311 +a(g822 +V\u000a +p15312 +tp15313 +a(g431 +Vstring +p15314 +tp15315 +a(g701 +g964 +tp15316 +a(g431 +g1081 +tp15317 +a(g408 +V:: +p15318 +tp15319 +a(g744 +VSigned +p15320 +tp15321 +a(g701 +g972 +tp15322 +a(g822 +g974 +tp15323 +a(g408 +g976 +tp15324 +a(g822 +g974 +tp15325 +a(g431 +Vdec +p15326 +tp15327 +a(g701 +g964 +tp15328 +a(g431 +Vint64 +p15329 +tp15330 +a(g701 +g964 +tp15331 +a(g431 +g1081 +tp15332 +a(g701 +g972 +tp15333 +a(g701 +g972 +tp15334 +a(g822 +V\u000a +p15335 +tp15336 +a(g431 +Vcstring +p15337 +tp15338 +a(g701 +g964 +tp15339 +a(g431 +g1081 +tp15340 +a(g408 +V:: +p15341 +tp15342 +a(g744 +VSigned +p15343 +tp15344 +a(g701 +g972 +tp15345 +a(g822 +g974 +tp15346 +a(g408 +g976 +tp15347 +a(g822 +g974 +tp15348 +a(g431 +Vdec +p15349 +tp15350 +a(g701 +g964 +tp15351 +a(g431 +Vint64 +p15352 +tp15353 +a(g701 +g964 +tp15354 +a(g431 +g1081 +tp15355 +a(g701 +g972 +tp15356 +a(g701 +g972 +tp15357 +a(g822 +V\u000a +p15358 +tp15359 +a(g822 +V\u000a +p15360 +tp15361 +a(g7 +V## string to float functions ## +p15362 +tp15363 +a(g822 +V\u000a +p15364 +tp15365 +a(g822 +V\u000a +p15366 +tp15367 +a(g740 +Vfunction +p15368 +tp15369 +a(g822 +g974 +tp15370 +a(g431 +Vfloat64_isvalid +p15371 +tp15372 +a(g701 +g964 +tp15373 +a(g431 +g966 +tp15374 +a(g408 +V:: +p15375 +tp15376 +a(g431 +VString +p15377 +tp15378 +a(g701 +g1023 +tp15379 +a(g822 +g974 +tp15380 +a(g431 +Vout +p15381 +tp15382 +a(g408 +V:: +p15383 +tp15384 +a(g744 +VArray +p15385 +tp15386 +a(g701 +g1338 +tp15387 +a(g744 +VFloat64 +p15388 +tp15389 +a(g701 +g1023 +tp15390 +a(g32 +g1134 +tp15391 +a(g701 +g1346 +tp15392 +a(g701 +g972 +tp15393 +a(g822 +V\u000a +p15394 +tp15395 +a(g822 +V +p15396 +tp15397 +a(g431 +g966 +tp15398 +a(g822 +g974 +tp15399 +a(g408 +g976 +tp15400 +a(g822 +g974 +tp15401 +a(g431 +Vcstring +p15402 +tp15403 +a(g701 +g964 +tp15404 +a(g431 +g966 +tp15405 +a(g701 +g972 +tp15406 +a(g822 +V\u000a +p15407 +tp15408 +a(g822 +V +p15409 +tp15410 +a(g740 +Vreturn +p15411 +tp15412 +a(g822 +g974 +tp15413 +a(g701 +g964 +tp15414 +a(g740 +Vccall +p15415 +tp15416 +a(g701 +g964 +tp15417 +a(g408 +g1380 +tp15418 +a(g431 +Vjl_strtod +p15419 +tp15420 +a(g701 +g1023 +tp15421 +a(g822 +g974 +tp15422 +a(g744 +VInt32 +p15423 +tp15424 +a(g701 +g1023 +tp15425 +a(g822 +g974 +tp15426 +a(g701 +g964 +tp15427 +a(g744 +VPtr +p15428 +tp15429 +a(g701 +g1338 +tp15430 +a(g431 +VUint8 +p15431 +tp15432 +a(g701 +g1346 +tp15433 +a(g701 +g1023 +tp15434 +a(g744 +VPtr +p15435 +tp15436 +a(g701 +g1338 +tp15437 +a(g744 +VFloat64 +p15438 +tp15439 +a(g701 +g1346 +tp15440 +a(g701 +g972 +tp15441 +a(g701 +g1023 +tp15442 +a(g822 +g974 +tp15443 +a(g431 +g966 +tp15444 +a(g701 +g1023 +tp15445 +a(g822 +g974 +tp15446 +a(g431 +Vout +p15447 +tp15448 +a(g701 +g972 +tp15449 +a(g408 +V== +p15450 +tp15451 +a(g32 +g1785 +tp15452 +a(g701 +g972 +tp15453 +a(g822 +V\u000a +p15454 +tp15455 +a(g740 +Vend +p15456 +tp15457 +a(g822 +V\u000a +p15458 +tp15459 +a(g822 +V\u000a +p15460 +tp15461 +a(g740 +Vfunction +p15462 +tp15463 +a(g822 +g974 +tp15464 +a(g431 +Vfloat32_isvalid +p15465 +tp15466 +a(g701 +g964 +tp15467 +a(g431 +g966 +tp15468 +a(g408 +V:: +p15469 +tp15470 +a(g431 +VString +p15471 +tp15472 +a(g701 +g1023 +tp15473 +a(g822 +g974 +tp15474 +a(g431 +Vout +p15475 +tp15476 +a(g408 +V:: +p15477 +tp15478 +a(g744 +VArray +p15479 +tp15480 +a(g701 +g1338 +tp15481 +a(g744 +VFloat32 +p15482 +tp15483 +a(g701 +g1023 +tp15484 +a(g32 +g1134 +tp15485 +a(g701 +g1346 +tp15486 +a(g701 +g972 +tp15487 +a(g822 +V\u000a +p15488 +tp15489 +a(g822 +V +p15490 +tp15491 +a(g431 +g966 +tp15492 +a(g822 +g974 +tp15493 +a(g408 +g976 +tp15494 +a(g822 +g974 +tp15495 +a(g431 +Vcstring +p15496 +tp15497 +a(g701 +g964 +tp15498 +a(g431 +g966 +tp15499 +a(g701 +g972 +tp15500 +a(g822 +V\u000a +p15501 +tp15502 +a(g822 +V +p15503 +tp15504 +a(g740 +Vreturn +p15505 +tp15506 +a(g822 +g974 +tp15507 +a(g701 +g964 +tp15508 +a(g740 +Vccall +p15509 +tp15510 +a(g701 +g964 +tp15511 +a(g408 +g1380 +tp15512 +a(g431 +Vjl_strtof +p15513 +tp15514 +a(g701 +g1023 +tp15515 +a(g822 +g974 +tp15516 +a(g744 +VInt32 +p15517 +tp15518 +a(g701 +g1023 +tp15519 +a(g822 +g974 +tp15520 +a(g701 +g964 +tp15521 +a(g744 +VPtr +p15522 +tp15523 +a(g701 +g1338 +tp15524 +a(g431 +VUint8 +p15525 +tp15526 +a(g701 +g1346 +tp15527 +a(g701 +g1023 +tp15528 +a(g744 +VPtr +p15529 +tp15530 +a(g701 +g1338 +tp15531 +a(g744 +VFloat32 +p15532 +tp15533 +a(g701 +g1346 +tp15534 +a(g701 +g972 +tp15535 +a(g701 +g1023 +tp15536 +a(g822 +g974 +tp15537 +a(g431 +g966 +tp15538 +a(g701 +g1023 +tp15539 +a(g822 +g974 +tp15540 +a(g431 +Vout +p15541 +tp15542 +a(g701 +g972 +tp15543 +a(g408 +V== +p15544 +tp15545 +a(g32 +g1785 +tp15546 +a(g701 +g972 +tp15547 +a(g822 +V\u000a +p15548 +tp15549 +a(g740 +Vend +p15550 +tp15551 +a(g822 +V\u000a +p15552 +tp15553 +a(g822 +V\u000a +p15554 +tp15555 +a(g740 +Vbegin +p15556 +tp15557 +a(g822 +V\u000a +p15558 +tp15559 +a(g822 +V +p15560 +tp15561 +a(g805 +Vlocal +p15562 +tp15563 +a(g822 +g974 +tp15564 +a(g431 +Vtmp +p15565 +tp15566 +a(g408 +V:: +p15567 +tp15568 +a(g744 +VArray +p15569 +tp15570 +a(g701 +g1338 +tp15571 +a(g744 +VFloat64 +p15572 +tp15573 +a(g701 +g1023 +tp15574 +a(g32 +g1134 +tp15575 +a(g701 +g1346 +tp15576 +a(g822 +g974 +tp15577 +a(g408 +g976 +tp15578 +a(g822 +g974 +tp15579 +a(g744 +VArray +p15580 +tp15581 +a(g701 +g964 +tp15582 +a(g744 +VFloat64 +p15583 +tp15584 +a(g701 +g1023 +tp15585 +a(g32 +g1134 +tp15586 +a(g701 +g972 +tp15587 +a(g822 +V\u000a +p15588 +tp15589 +a(g822 +V +p15590 +tp15591 +a(g805 +Vlocal +p15592 +tp15593 +a(g822 +g974 +tp15594 +a(g431 +Vtmpf +p15595 +tp15596 +a(g408 +V:: +p15597 +tp15598 +a(g744 +VArray +p15599 +tp15600 +a(g701 +g1338 +tp15601 +a(g744 +VFloat32 +p15602 +tp15603 +a(g701 +g1023 +tp15604 +a(g32 +g1134 +tp15605 +a(g701 +g1346 +tp15606 +a(g822 +g974 +tp15607 +a(g408 +g976 +tp15608 +a(g822 +g974 +tp15609 +a(g744 +VArray +p15610 +tp15611 +a(g701 +g964 +tp15612 +a(g744 +VFloat32 +p15613 +tp15614 +a(g701 +g1023 +tp15615 +a(g32 +g1134 +tp15616 +a(g701 +g972 +tp15617 +a(g822 +V\u000a +p15618 +tp15619 +a(g822 +V +p15620 +tp15621 +a(g805 +Vglobal +p15622 +tp15623 +a(g822 +g974 +tp15624 +a(g431 +Vfloat64 +p15625 +tp15626 +a(g701 +g1023 +tp15627 +a(g822 +g974 +tp15628 +a(g431 +Vfloat32 +p15629 +tp15630 +a(g822 +V\u000a +p15631 +tp15632 +a(g822 +V +p15633 +tp15634 +a(g740 +Vfunction +p15635 +tp15636 +a(g822 +g974 +tp15637 +a(g431 +Vfloat64 +p15638 +tp15639 +a(g701 +g964 +tp15640 +a(g431 +g966 +tp15641 +a(g408 +V:: +p15642 +tp15643 +a(g431 +VString +p15644 +tp15645 +a(g701 +g972 +tp15646 +a(g822 +V\u000a +p15647 +tp15648 +a(g822 +V +p15649 +tp15650 +a(g740 +Vif +p15651 +tp15652 +a(g822 +g974 +tp15653 +a(g408 +g2685 +tp15654 +a(g431 +Vfloat64_isvalid +p15655 +tp15656 +a(g701 +g964 +tp15657 +a(g431 +g966 +tp15658 +a(g701 +g1023 +tp15659 +a(g822 +g974 +tp15660 +a(g431 +Vtmp +p15661 +tp15662 +a(g701 +g972 +tp15663 +a(g822 +V\u000a +p15664 +tp15665 +a(g822 +V +p15666 +tp15667 +a(g431 +Vthrow +p15668 +tp15669 +a(g701 +g964 +tp15670 +a(g744 +VArgumentError +p15671 +tp15672 +a(g701 +g964 +tp15673 +a(g84 +g982 +tp15674 +a(g84 +g1705 +tp15675 +a(g84 +g1003 +tp15676 +a(g84 +g986 +tp15677 +a(g84 +g1709 +tp15678 +a(g84 +g995 +tp15679 +a(g84 +g7144 +tp15680 +a(g84 +g7230 +tp15681 +a(g84 +g964 +tp15682 +a(g84 +g8785 +tp15683 +a(g84 +g995 +tp15684 +a(g84 +g1356 +tp15685 +a(g84 +g998 +tp15686 +a(g84 +g1009 +tp15687 +a(g84 +g1016 +tp15688 +a(g84 +g972 +tp15689 +a(g84 +g1380 +tp15690 +a(g84 +g974 +tp15691 +a(g84 +g998 +tp15692 +a(g84 +g1009 +tp15693 +a(g84 +g6465 +tp15694 +a(g84 +g1709 +tp15695 +a(g84 +g1003 +tp15696 +a(g84 +g998 +tp15697 +a(g84 +g1641 +tp15698 +a(g84 +g974 +tp15699 +a(g84 +g1009 +tp15700 +a(g84 +g988 +tp15701 +a(g84 +g991 +tp15702 +a(g84 +g2948 +tp15703 +a(g84 +g1005 +tp15704 +a(g84 +g1356 +tp15705 +a(g84 +g974 +tp15706 +a(g84 +g1705 +tp15707 +a(g84 +g986 +tp15708 +a(g84 +g1356 +tp15709 +a(g84 +g991 +tp15710 +a(g84 +g1709 +tp15711 +a(g84 +g995 +tp15712 +a(g84 +g982 +tp15713 +a(g701 +g972 +tp15714 +a(g701 +g972 +tp15715 +a(g822 +V\u000a +p15716 +tp15717 +a(g822 +V +p15718 +tp15719 +a(g740 +Vend +p15720 +tp15721 +a(g822 +V\u000a +p15722 +tp15723 +a(g822 +V +p15724 +tp15725 +a(g740 +Vreturn +p15726 +tp15727 +a(g822 +g974 +tp15728 +a(g431 +Vtmp +p15729 +tp15730 +a(g701 +g1125 +tp15731 +a(g32 +g1134 +tp15732 +a(g701 +g1128 +tp15733 +a(g822 +V\u000a +p15734 +tp15735 +a(g822 +V +p15736 +tp15737 +a(g740 +Vend +p15738 +tp15739 +a(g822 +V\u000a +p15740 +tp15741 +a(g822 +V\u000a +p15742 +tp15743 +a(g822 +V +p15744 +tp15745 +a(g740 +Vfunction +p15746 +tp15747 +a(g822 +g974 +tp15748 +a(g431 +Vfloat32 +p15749 +tp15750 +a(g701 +g964 +tp15751 +a(g431 +g966 +tp15752 +a(g408 +V:: +p15753 +tp15754 +a(g431 +VString +p15755 +tp15756 +a(g701 +g972 +tp15757 +a(g822 +V\u000a +p15758 +tp15759 +a(g822 +V +p15760 +tp15761 +a(g740 +Vif +p15762 +tp15763 +a(g822 +g974 +tp15764 +a(g408 +g2685 +tp15765 +a(g431 +Vfloat32_isvalid +p15766 +tp15767 +a(g701 +g964 +tp15768 +a(g431 +g966 +tp15769 +a(g701 +g1023 +tp15770 +a(g822 +g974 +tp15771 +a(g431 +Vtmpf +p15772 +tp15773 +a(g701 +g972 +tp15774 +a(g822 +V\u000a +p15775 +tp15776 +a(g822 +V +p15777 +tp15778 +a(g431 +Vthrow +p15779 +tp15780 +a(g701 +g964 +tp15781 +a(g744 +VArgumentError +p15782 +tp15783 +a(g701 +g964 +tp15784 +a(g84 +g982 +tp15785 +a(g84 +g1705 +tp15786 +a(g84 +g1003 +tp15787 +a(g84 +g986 +tp15788 +a(g84 +g1709 +tp15789 +a(g84 +g995 +tp15790 +a(g84 +g8222 +tp15791 +a(g84 +g7182 +tp15792 +a(g84 +g964 +tp15793 +a(g84 +g8785 +tp15794 +a(g84 +g995 +tp15795 +a(g84 +g1356 +tp15796 +a(g84 +g998 +tp15797 +a(g84 +g1009 +tp15798 +a(g84 +g1016 +tp15799 +a(g84 +g972 +tp15800 +a(g84 +g1380 +tp15801 +a(g84 +g974 +tp15802 +a(g84 +g998 +tp15803 +a(g84 +g1009 +tp15804 +a(g84 +g6465 +tp15805 +a(g84 +g1709 +tp15806 +a(g84 +g1003 +tp15807 +a(g84 +g998 +tp15808 +a(g84 +g1641 +tp15809 +a(g84 +g974 +tp15810 +a(g84 +g1009 +tp15811 +a(g84 +g988 +tp15812 +a(g84 +g991 +tp15813 +a(g84 +g2948 +tp15814 +a(g84 +g1005 +tp15815 +a(g84 +g1356 +tp15816 +a(g84 +g974 +tp15817 +a(g84 +g1705 +tp15818 +a(g84 +g986 +tp15819 +a(g84 +g1356 +tp15820 +a(g84 +g991 +tp15821 +a(g84 +g1709 +tp15822 +a(g84 +g995 +tp15823 +a(g84 +g982 +tp15824 +a(g701 +g972 +tp15825 +a(g701 +g972 +tp15826 +a(g822 +V\u000a +p15827 +tp15828 +a(g822 +V +p15829 +tp15830 +a(g740 +Vend +p15831 +tp15832 +a(g822 +V\u000a +p15833 +tp15834 +a(g822 +V +p15835 +tp15836 +a(g740 +Vreturn +p15837 +tp15838 +a(g822 +g974 +tp15839 +a(g431 +Vtmpf +p15840 +tp15841 +a(g701 +g1125 +tp15842 +a(g32 +g1134 +tp15843 +a(g701 +g1128 +tp15844 +a(g822 +V\u000a +p15845 +tp15846 +a(g822 +V +p15847 +tp15848 +a(g740 +Vend +p15849 +tp15850 +a(g822 +V\u000a +p15851 +tp15852 +a(g740 +Vend +p15853 +tp15854 +a(g822 +V\u000a +p15855 +tp15856 +a(g822 +V\u000a +p15857 +tp15858 +a(g431 +Vfloat +p15859 +tp15860 +a(g701 +g964 +tp15861 +a(g431 +g1081 +tp15862 +a(g408 +V:: +p15863 +tp15864 +a(g431 +VString +p15865 +tp15866 +a(g701 +g972 +tp15867 +a(g822 +g974 +tp15868 +a(g408 +g976 +tp15869 +a(g822 +g974 +tp15870 +a(g431 +Vfloat64 +p15871 +tp15872 +a(g701 +g964 +tp15873 +a(g431 +g1081 +tp15874 +a(g701 +g972 +tp15875 +a(g822 +V\u000a +p15876 +tp15877 +a(g431 +Vparse_float +p15878 +tp15879 +a(g701 +g964 +tp15880 +a(g431 +g1081 +tp15881 +a(g408 +V:: +p15882 +tp15883 +a(g431 +VString +p15884 +tp15885 +a(g701 +g972 +tp15886 +a(g822 +g974 +tp15887 +a(g408 +g976 +tp15888 +a(g822 +g974 +tp15889 +a(g431 +Vfloat64 +p15890 +tp15891 +a(g701 +g964 +tp15892 +a(g431 +g1081 +tp15893 +a(g701 +g972 +tp15894 +a(g822 +V\u000a +p15895 +tp15896 +a(g431 +Vparse_float +p15897 +tp15898 +a(g701 +g964 +tp15899 +a(g408 +V:: +p15900 +tp15901 +a(g744 +VType +p15902 +tp15903 +a(g701 +g1338 +tp15904 +a(g744 +VFloat64 +p15905 +tp15906 +a(g701 +g1346 +tp15907 +a(g701 +g1023 +tp15908 +a(g822 +g974 +tp15909 +a(g431 +g1081 +tp15910 +a(g408 +V:: +p15911 +tp15912 +a(g431 +VString +p15913 +tp15914 +a(g701 +g972 +tp15915 +a(g822 +g974 +tp15916 +a(g408 +g976 +tp15917 +a(g822 +g974 +tp15918 +a(g431 +Vfloat64 +p15919 +tp15920 +a(g701 +g964 +tp15921 +a(g431 +g1081 +tp15922 +a(g701 +g972 +tp15923 +a(g822 +V\u000a +p15924 +tp15925 +a(g431 +Vparse_float +p15926 +tp15927 +a(g701 +g964 +tp15928 +a(g408 +V:: +p15929 +tp15930 +a(g744 +VType +p15931 +tp15932 +a(g701 +g1338 +tp15933 +a(g744 +VFloat32 +p15934 +tp15935 +a(g701 +g1346 +tp15936 +a(g701 +g1023 +tp15937 +a(g822 +g974 +tp15938 +a(g431 +g1081 +tp15939 +a(g408 +V:: +p15940 +tp15941 +a(g431 +VString +p15942 +tp15943 +a(g701 +g972 +tp15944 +a(g822 +g974 +tp15945 +a(g408 +g976 +tp15946 +a(g822 +g974 +tp15947 +a(g431 +Vfloat32 +p15948 +tp15949 +a(g701 +g964 +tp15950 +a(g431 +g1081 +tp15951 +a(g701 +g972 +tp15952 +a(g822 +V\u000a +p15953 +tp15954 +a(g822 +V\u000a +p15955 +tp15956 +a(g7 +V# copying a byte string (generally not needed due to "immutability") +p15957 +tp15958 +a(g822 +V\u000a +p15959 +tp15960 +a(g822 +V\u000a +p15961 +tp15962 +a(g431 +Vstrcpy +p15963 +tp15964 +a(g701 +g1338 +tp15965 +a(g431 +g1340 +tp15966 +a(g408 +V<: +p15967 +tp15968 +a(g431 +VByteString +p15969 +tp15970 +a(g701 +g1346 +tp15971 +a(g701 +g964 +tp15972 +a(g431 +g966 +tp15973 +a(g408 +V:: +p15974 +tp15975 +a(g431 +g1340 +tp15976 +a(g701 +g972 +tp15977 +a(g822 +g974 +tp15978 +a(g408 +g976 +tp15979 +a(g822 +g974 +tp15980 +a(g431 +g1340 +tp15981 +a(g701 +g964 +tp15982 +a(g431 +Vcopy +p15983 +tp15984 +a(g701 +g964 +tp15985 +a(g431 +g966 +tp15986 +a(g408 +g1473 +tp15987 +a(g431 +Vdata +p15988 +tp15989 +a(g701 +g972 +tp15990 +a(g701 +g972 +tp15991 +a(g822 +V\u000a +p15992 +tp15993 +a(g822 +V\u000a +p15994 +tp15995 +a(g7 +V# lexicographically compare byte arrays (used by Latin-1 and UTF-8) +p15996 +tp15997 +a(g822 +V\u000a +p15998 +tp15999 +a(g822 +V\u000a +p16000 +tp16001 +a(g740 +Vfunction +p16002 +tp16003 +a(g822 +g974 +tp16004 +a(g431 +Vlexcmp +p16005 +tp16006 +a(g701 +g964 +tp16007 +a(g431 +g1709 +tp16008 +a(g408 +V:: +p16009 +tp16010 +a(g744 +VArray +p16011 +tp16012 +a(g701 +g1338 +tp16013 +a(g431 +VUint8 +p16014 +tp16015 +a(g701 +g1023 +tp16016 +a(g32 +g1134 +tp16017 +a(g701 +g1346 +tp16018 +a(g701 +g1023 +tp16019 +a(g822 +g974 +tp16020 +a(g431 +g2948 +tp16021 +a(g408 +V:: +p16022 +tp16023 +a(g744 +VArray +p16024 +tp16025 +a(g701 +g1338 +tp16026 +a(g431 +VUint8 +p16027 +tp16028 +a(g701 +g1023 +tp16029 +a(g32 +g1134 +tp16030 +a(g701 +g1346 +tp16031 +a(g701 +g972 +tp16032 +a(g822 +V\u000a +p16033 +tp16034 +a(g822 +V +p16035 +tp16036 +a(g431 +g1451 +tp16037 +a(g822 +g974 +tp16038 +a(g408 +g976 +tp16039 +a(g822 +g974 +tp16040 +a(g740 +Vccall +p16041 +tp16042 +a(g701 +g964 +tp16043 +a(g408 +g1380 +tp16044 +a(g431 +Vmemcmp +p16045 +tp16046 +a(g701 +g1023 +tp16047 +a(g822 +g974 +tp16048 +a(g744 +VInt32 +p16049 +tp16050 +a(g701 +g1023 +tp16051 +a(g822 +g974 +tp16052 +a(g701 +g964 +tp16053 +a(g744 +VPtr +p16054 +tp16055 +a(g701 +g1338 +tp16056 +a(g431 +VUint8 +p16057 +tp16058 +a(g701 +g1346 +tp16059 +a(g701 +g1023 +tp16060 +a(g822 +g974 +tp16061 +a(g744 +VPtr +p16062 +tp16063 +a(g701 +g1338 +tp16064 +a(g431 +VUint8 +p16065 +tp16066 +a(g701 +g1346 +tp16067 +a(g701 +g1023 +tp16068 +a(g822 +g974 +tp16069 +a(g431 +VUint +p16070 +tp16071 +a(g701 +g972 +tp16072 +a(g701 +g1023 +tp16073 +a(g822 +V\u000a +p16074 +tp16075 +a(g822 +V +p16076 +tp16077 +a(g431 +g1709 +tp16078 +a(g701 +g1023 +tp16079 +a(g822 +g974 +tp16080 +a(g431 +g2948 +tp16081 +a(g701 +g1023 +tp16082 +a(g822 +g974 +tp16083 +a(g431 +Vmin +p16084 +tp16085 +a(g701 +g964 +tp16086 +a(g431 +Vlength +p16087 +tp16088 +a(g701 +g964 +tp16089 +a(g431 +g1709 +tp16090 +a(g701 +g972 +tp16091 +a(g701 +g1023 +tp16092 +a(g431 +Vlength +p16093 +tp16094 +a(g701 +g964 +tp16095 +a(g431 +g2948 +tp16096 +a(g701 +g972 +tp16097 +a(g701 +g972 +tp16098 +a(g701 +g972 +tp16099 +a(g822 +V\u000a +p16100 +tp16101 +a(g822 +V +p16102 +tp16103 +a(g431 +g1451 +tp16104 +a(g822 +g974 +tp16105 +a(g408 +g2510 +tp16106 +a(g822 +g974 +tp16107 +a(g32 +g1785 +tp16108 +a(g822 +g974 +tp16109 +a(g408 +g1656 +tp16110 +a(g822 +g974 +tp16111 +a(g408 +g2021 +tp16112 +a(g32 +g1134 +tp16113 +a(g822 +g974 +tp16114 +a(g408 +g1380 +tp16115 +a(g822 +g974 +tp16116 +a(g431 +g1451 +tp16117 +a(g822 +g974 +tp16118 +a(g408 +g1211 +tp16119 +a(g822 +g974 +tp16120 +a(g32 +g1785 +tp16121 +a(g822 +g974 +tp16122 +a(g408 +g1656 +tp16123 +a(g822 +g974 +tp16124 +a(g408 +g1132 +tp16125 +a(g32 +g1134 +tp16126 +a(g822 +g974 +tp16127 +a(g408 +g1380 +tp16128 +a(g822 +g974 +tp16129 +a(g431 +Vcmp +p16130 +tp16131 +a(g701 +g964 +tp16132 +a(g431 +Vlength +p16133 +tp16134 +a(g701 +g964 +tp16135 +a(g431 +g1709 +tp16136 +a(g701 +g972 +tp16137 +a(g701 +g1023 +tp16138 +a(g431 +Vlength +p16139 +tp16140 +a(g701 +g964 +tp16141 +a(g431 +g2948 +tp16142 +a(g701 +g972 +tp16143 +a(g701 +g972 +tp16144 +a(g822 +V\u000a +p16145 +tp16146 +a(g740 +Vend +p16147 +tp16148 +a(g822 +V\u000a +p16149 +tp16150 +a(g822 +V\u000a +p16151 +tp16152 +a(g7 +V# find the index of the first occurrence of a byte value in a byte array +p16153 +tp16154 +a(g822 +V\u000a +p16155 +tp16156 +a(g822 +V\u000a +p16157 +tp16158 +a(g740 +Vfunction +p16159 +tp16160 +a(g822 +g974 +tp16161 +a(g431 +Vmemchr +p16162 +tp16163 +a(g701 +g964 +tp16164 +a(g431 +g1709 +tp16165 +a(g408 +V:: +p16166 +tp16167 +a(g744 +VArray +p16168 +tp16169 +a(g701 +g1338 +tp16170 +a(g431 +VUint8 +p16171 +tp16172 +a(g701 +g1023 +tp16173 +a(g32 +g1134 +tp16174 +a(g701 +g1346 +tp16175 +a(g701 +g1023 +tp16176 +a(g822 +g974 +tp16177 +a(g431 +g2948 +tp16178 +a(g408 +V:: +p16179 +tp16180 +a(g744 +VInteger +p16181 +tp16182 +a(g701 +g972 +tp16183 +a(g822 +V\u000a +p16184 +tp16185 +a(g822 +V +p16186 +tp16187 +a(g431 +g1001 +tp16188 +a(g822 +g974 +tp16189 +a(g408 +g976 +tp16190 +a(g822 +g974 +tp16191 +a(g431 +Vpointer +p16192 +tp16193 +a(g701 +g964 +tp16194 +a(g431 +g1709 +tp16195 +a(g701 +g972 +tp16196 +a(g822 +V\u000a +p16197 +tp16198 +a(g822 +V +p16199 +tp16200 +a(g431 +g8345 +tp16201 +a(g822 +g974 +tp16202 +a(g408 +g976 +tp16203 +a(g822 +g974 +tp16204 +a(g740 +Vccall +p16205 +tp16206 +a(g701 +g964 +tp16207 +a(g408 +g1380 +tp16208 +a(g431 +Vmemchr +p16209 +tp16210 +a(g701 +g1023 +tp16211 +a(g822 +g974 +tp16212 +a(g744 +VPtr +p16213 +tp16214 +a(g701 +g1338 +tp16215 +a(g431 +VUint8 +p16216 +tp16217 +a(g701 +g1346 +tp16218 +a(g701 +g1023 +tp16219 +a(g822 +g974 +tp16220 +a(g701 +g964 +tp16221 +a(g744 +VPtr +p16222 +tp16223 +a(g701 +g1338 +tp16224 +a(g431 +VUint8 +p16225 +tp16226 +a(g701 +g1346 +tp16227 +a(g701 +g1023 +tp16228 +a(g822 +g974 +tp16229 +a(g744 +VInt32 +p16230 +tp16231 +a(g701 +g1023 +tp16232 +a(g822 +g974 +tp16233 +a(g431 +VUint +p16234 +tp16235 +a(g701 +g972 +tp16236 +a(g701 +g1023 +tp16237 +a(g822 +g974 +tp16238 +a(g431 +g1001 +tp16239 +a(g701 +g1023 +tp16240 +a(g822 +g974 +tp16241 +a(g431 +g2948 +tp16242 +a(g701 +g1023 +tp16243 +a(g822 +g974 +tp16244 +a(g431 +Vlength +p16245 +tp16246 +a(g701 +g964 +tp16247 +a(g431 +g1709 +tp16248 +a(g701 +g972 +tp16249 +a(g701 +g972 +tp16250 +a(g822 +V\u000a +p16251 +tp16252 +a(g822 +V +p16253 +tp16254 +a(g431 +g8345 +tp16255 +a(g822 +g974 +tp16256 +a(g408 +V== +p16257 +tp16258 +a(g822 +g974 +tp16259 +a(g677 +VC_NULL +p16260 +tp16261 +a(g822 +g974 +tp16262 +a(g408 +g1656 +tp16263 +a(g822 +g974 +tp16264 +a(g32 +g1785 +tp16265 +a(g822 +g974 +tp16266 +a(g408 +g1380 +tp16267 +a(g822 +g974 +tp16268 +a(g431 +g8345 +tp16269 +a(g822 +g974 +tp16270 +a(g408 +g2021 +tp16271 +a(g822 +g974 +tp16272 +a(g431 +g1001 +tp16273 +a(g822 +g974 +tp16274 +a(g408 +g1132 +tp16275 +a(g822 +g974 +tp16276 +a(g32 +g1134 +tp16277 +a(g822 +V\u000a +p16278 +tp16279 +a(g740 +Vend +p16280 +tp16281 +a(g822 +V\u000a +p16282 +tp16283 +a(g822 +V\u000a +p16284 +tp16285 +a(g7 +V# concatenate byte arrays into a single array +p16286 +tp16287 +a(g822 +V\u000a +p16288 +tp16289 +a(g822 +V\u000a +p16290 +tp16291 +a(g431 +Vmemcat +p16292 +tp16293 +a(g701 +g964 +tp16294 +a(g701 +g972 +tp16295 +a(g822 +g974 +tp16296 +a(g408 +g976 +tp16297 +a(g822 +g974 +tp16298 +a(g744 +VArray +p16299 +tp16300 +a(g701 +g964 +tp16301 +a(g431 +VUint8 +p16302 +tp16303 +a(g701 +g1023 +tp16304 +a(g32 +g1785 +tp16305 +a(g701 +g972 +tp16306 +a(g822 +V\u000a +p16307 +tp16308 +a(g431 +Vmemcat +p16309 +tp16310 +a(g701 +g964 +tp16311 +a(g431 +g1709 +tp16312 +a(g408 +V:: +p16313 +tp16314 +a(g744 +VArray +p16315 +tp16316 +a(g701 +g1338 +tp16317 +a(g431 +VUint8 +p16318 +tp16319 +a(g701 +g1023 +tp16320 +a(g32 +g1134 +tp16321 +a(g701 +g1346 +tp16322 +a(g701 +g972 +tp16323 +a(g822 +g974 +tp16324 +a(g408 +g976 +tp16325 +a(g822 +g974 +tp16326 +a(g431 +Vcopy +p16327 +tp16328 +a(g701 +g964 +tp16329 +a(g431 +g1709 +tp16330 +a(g701 +g972 +tp16331 +a(g822 +V\u000a +p16332 +tp16333 +a(g822 +V\u000a +p16334 +tp16335 +a(g740 +Vfunction +p16336 +tp16337 +a(g822 +g974 +tp16338 +a(g431 +Vmemcat +p16339 +tp16340 +a(g701 +g964 +tp16341 +a(g431 +Varrays +p16342 +tp16343 +a(g408 +V:: +p16344 +tp16345 +a(g744 +VArray +p16346 +tp16347 +a(g701 +g1338 +tp16348 +a(g431 +VUint8 +p16349 +tp16350 +a(g701 +g1023 +tp16351 +a(g32 +g1134 +tp16352 +a(g701 +g1346 +tp16353 +a(g408 +g1473 +tp16354 +a(g408 +g1473 +tp16355 +a(g408 +g1473 +tp16356 +a(g701 +g972 +tp16357 +a(g822 +V\u000a +p16358 +tp16359 +a(g822 +V +p16360 +tp16361 +a(g431 +g1009 +tp16362 +a(g822 +g974 +tp16363 +a(g408 +g976 +tp16364 +a(g822 +g974 +tp16365 +a(g32 +g1785 +tp16366 +a(g822 +V\u000a +p16367 +tp16368 +a(g822 +V +p16369 +tp16370 +a(g740 +Vfor +p16371 +tp16372 +a(g822 +g974 +tp16373 +a(g431 +g1709 +tp16374 +a(g822 +g974 +tp16375 +a(g781 +Vin +p16376 +tp16377 +a(g822 +g974 +tp16378 +a(g431 +Varrays +p16379 +tp16380 +a(g822 +V\u000a +p16381 +tp16382 +a(g822 +V +p16383 +tp16384 +a(g431 +g1009 +tp16385 +a(g822 +g974 +tp16386 +a(g408 +V+= +p16387 +tp16388 +a(g822 +g974 +tp16389 +a(g431 +Vlength +p16390 +tp16391 +a(g701 +g964 +tp16392 +a(g431 +g1709 +tp16393 +a(g701 +g972 +tp16394 +a(g822 +V\u000a +p16395 +tp16396 +a(g822 +V +p16397 +tp16398 +a(g740 +Vend +p16399 +tp16400 +a(g822 +V\u000a +p16401 +tp16402 +a(g822 +V +p16403 +tp16404 +a(g431 +Varr +p16405 +tp16406 +a(g822 +g974 +tp16407 +a(g408 +g976 +tp16408 +a(g822 +g974 +tp16409 +a(g744 +VArray +p16410 +tp16411 +a(g701 +g964 +tp16412 +a(g431 +VUint8 +p16413 +tp16414 +a(g701 +g1023 +tp16415 +a(g822 +g974 +tp16416 +a(g431 +g1009 +tp16417 +a(g701 +g972 +tp16418 +a(g822 +V\u000a +p16419 +tp16420 +a(g822 +V +p16421 +tp16422 +a(g431 +Vptr +p16423 +tp16424 +a(g822 +g974 +tp16425 +a(g408 +g976 +tp16426 +a(g822 +g974 +tp16427 +a(g431 +Vpointer +p16428 +tp16429 +a(g701 +g964 +tp16430 +a(g431 +Varr +p16431 +tp16432 +a(g701 +g972 +tp16433 +a(g822 +V\u000a +p16434 +tp16435 +a(g822 +V +p16436 +tp16437 +a(g431 +Voffset +p16438 +tp16439 +a(g822 +g974 +tp16440 +a(g408 +g976 +tp16441 +a(g822 +g974 +tp16442 +a(g32 +g1785 +tp16443 +a(g822 +V\u000a +p16444 +tp16445 +a(g822 +V +p16446 +tp16447 +a(g740 +Vfor +p16448 +tp16449 +a(g822 +g974 +tp16450 +a(g431 +g1709 +tp16451 +a(g822 +g974 +tp16452 +a(g781 +Vin +p16453 +tp16454 +a(g822 +g974 +tp16455 +a(g431 +Varrays +p16456 +tp16457 +a(g822 +V\u000a +p16458 +tp16459 +a(g822 +V +p16460 +tp16461 +a(g740 +Vccall +p16462 +tp16463 +a(g701 +g964 +tp16464 +a(g408 +g1380 +tp16465 +a(g431 +Vmemcpy +p16466 +tp16467 +a(g701 +g1023 +tp16468 +a(g822 +g974 +tp16469 +a(g744 +VPtr +p16470 +tp16471 +a(g701 +g1338 +tp16472 +a(g431 +VUint8 +p16473 +tp16474 +a(g701 +g1346 +tp16475 +a(g701 +g1023 +tp16476 +a(g822 +g974 +tp16477 +a(g701 +g964 +tp16478 +a(g744 +VPtr +p16479 +tp16480 +a(g701 +g1338 +tp16481 +a(g431 +VUint8 +p16482 +tp16483 +a(g701 +g1346 +tp16484 +a(g701 +g1023 +tp16485 +a(g822 +g974 +tp16486 +a(g744 +VPtr +p16487 +tp16488 +a(g701 +g1338 +tp16489 +a(g431 +VUint8 +p16490 +tp16491 +a(g701 +g1346 +tp16492 +a(g701 +g1023 +tp16493 +a(g822 +g974 +tp16494 +a(g431 +VUint +p16495 +tp16496 +a(g701 +g972 +tp16497 +a(g701 +g1023 +tp16498 +a(g822 +V\u000a +p16499 +tp16500 +a(g822 +V +p16501 +tp16502 +a(g431 +Vptr +p16503 +tp16504 +a(g408 +g1132 +tp16505 +a(g431 +Voffset +p16506 +tp16507 +a(g701 +g1023 +tp16508 +a(g822 +g974 +tp16509 +a(g431 +g1709 +tp16510 +a(g701 +g1023 +tp16511 +a(g822 +g974 +tp16512 +a(g431 +Vlength +p16513 +tp16514 +a(g701 +g964 +tp16515 +a(g431 +g1709 +tp16516 +a(g701 +g972 +tp16517 +a(g701 +g972 +tp16518 +a(g822 +V\u000a +p16519 +tp16520 +a(g822 +V +p16521 +tp16522 +a(g431 +Voffset +p16523 +tp16524 +a(g822 +g974 +tp16525 +a(g408 +V+= +p16526 +tp16527 +a(g822 +g974 +tp16528 +a(g431 +Vlength +p16529 +tp16530 +a(g701 +g964 +tp16531 +a(g431 +g1709 +tp16532 +a(g701 +g972 +tp16533 +a(g822 +V\u000a +p16534 +tp16535 +a(g822 +V +p16536 +tp16537 +a(g740 +Vend +p16538 +tp16539 +a(g822 +V\u000a +p16540 +tp16541 +a(g822 +V +p16542 +tp16543 +a(g740 +Vreturn +p16544 +tp16545 +a(g822 +g974 +tp16546 +a(g431 +Varr +p16547 +tp16548 +a(g822 +V\u000a +p16549 +tp16550 +a(g740 +Vend +p16551 +tp16552 +a(g822 +V\u000a +p16553 +tp16554 +a(g822 +V\u000a +p16555 +tp16556 +a(g7 +V# concatenate the data fields of byte strings +p16557 +tp16558 +a(g822 +V\u000a +p16559 +tp16560 +a(g822 +V\u000a +p16561 +tp16562 +a(g431 +Vmemcat +p16563 +tp16564 +a(g701 +g964 +tp16565 +a(g431 +g966 +tp16566 +a(g408 +V:: +p16567 +tp16568 +a(g431 +VByteString +p16569 +tp16570 +a(g701 +g972 +tp16571 +a(g822 +g974 +tp16572 +a(g408 +g976 +tp16573 +a(g822 +g974 +tp16574 +a(g431 +Vmemcat +p16575 +tp16576 +a(g701 +g964 +tp16577 +a(g431 +g966 +tp16578 +a(g408 +g1473 +tp16579 +a(g431 +Vdata +p16580 +tp16581 +a(g701 +g972 +tp16582 +a(g822 +V\u000a +p16583 +tp16584 +a(g431 +Vmemcat +p16585 +tp16586 +a(g701 +g964 +tp16587 +a(g431 +Vsx +p16588 +tp16589 +a(g408 +V:: +p16590 +tp16591 +a(g431 +VByteString +p16592 +tp16593 +a(g408 +g1473 +tp16594 +a(g408 +g1473 +tp16595 +a(g408 +g1473 +tp16596 +a(g701 +g972 +tp16597 +a(g822 +g974 +tp16598 +a(g408 +g976 +tp16599 +a(g822 +g974 +tp16600 +a(g431 +Vmemcat +p16601 +tp16602 +a(g701 +g964 +tp16603 +a(g431 +Vmap +p16604 +tp16605 +a(g701 +g964 +tp16606 +a(g431 +g966 +tp16607 +a(g408 +g2021 +tp16608 +a(g408 +g1211 +tp16609 +a(g431 +g966 +tp16610 +a(g408 +g1473 +tp16611 +a(g431 +Vdata +p16612 +tp16613 +a(g701 +g1023 +tp16614 +a(g822 +g974 +tp16615 +a(g431 +Vsx +p16616 +tp16617 +a(g701 +g972 +tp16618 +a(g408 +g1473 +tp16619 +a(g408 +g1473 +tp16620 +a(g408 +g1473 +tp16621 +a(g701 +g972 +tp16622 +a(g822 +V\u000a +p16623 +tp16624 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/string_delimiters.d b/tests/examplefiles/output/string_delimiters.d new file mode 100644 index 0000000..52a71d8 --- /dev/null +++ b/tests/examplefiles/output/string_delimiters.d @@ -0,0 +1,2900 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVimport +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g423 +Vstd +p960 +tp961 +a(g693 +V. +p962 +tp963 +a(g423 +Vstdio +p964 +tp965 +a(g693 +V; +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g881 +Vvoid +p972 +tp973 +a(g826 +g958 +tp974 +a(g423 +Vmain +p975 +tp976 +a(g693 +V( +p977 +tp978 +a(g693 +V) +p979 +tp980 +a(g826 +g958 +tp981 +a(g693 +V{ +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g826 +V +p986 +tp987 +a(g745 +V// Nesting delimited strings\u000a +p988 +tp989 +a(g826 +V +p990 +tp991 +a(g7 +Vauto +p992 +tp993 +a(g826 +g958 +tp994 +a(g423 +Va +p995 +tp996 +a(g826 +g958 +tp997 +a(g693 +V= +p998 +tp999 +a(g826 +g958 +tp1000 +a(g76 +Vq"{ +p1001 +tp1002 +a(g76 +Vfoo " +p1003 +tp1004 +a(g76 +g982 +tp1005 +a(g76 +Vbar +p1006 +tp1007 +a(g76 +V} +p1008 +tp1009 +a(g76 +V baz +p1010 +tp1011 +a(g76 +V}" +p1012 +tp1013 +a(g693 +g966 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g826 +V +p1017 +tp1018 +a(g7 +Vauto +p1019 +tp1020 +a(g826 +g958 +tp1021 +a(g423 +Vb +p1022 +tp1023 +a(g826 +g958 +tp1024 +a(g693 +g998 +tp1025 +a(g826 +g958 +tp1026 +a(g76 +Vq"[ +p1027 +tp1028 +a(g76 +Vfoo +p1029 +tp1030 +a(g76 +V[ +p1031 +tp1032 +a(g76 +Vbar +p1033 +tp1034 +a(g76 +V] +p1035 +tp1036 +a(g76 +V " baz +p1037 +tp1038 +a(g76 +V]" +p1039 +tp1040 +a(g693 +g966 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g826 +V +p1044 +tp1045 +a(g7 +Vauto +p1046 +tp1047 +a(g826 +g958 +tp1048 +a(g423 +Vc +p1049 +tp1050 +a(g826 +g958 +tp1051 +a(g693 +g998 +tp1052 +a(g826 +g958 +tp1053 +a(g76 +Vq"( +p1054 +tp1055 +a(g76 +Vfoo " +p1056 +tp1057 +a(g76 +g977 +tp1058 +a(g76 +Vbar +p1059 +tp1060 +a(g76 +g979 +tp1061 +a(g76 +V baz +p1062 +tp1063 +a(g76 +V)" +p1064 +tp1065 +a(g693 +g966 +tp1066 +a(g826 +V\u000a +p1067 +tp1068 +a(g826 +V +p1069 +tp1070 +a(g7 +Vauto +p1071 +tp1072 +a(g826 +g958 +tp1073 +a(g423 +Vd +p1074 +tp1075 +a(g826 +g958 +tp1076 +a(g693 +g998 +tp1077 +a(g826 +g958 +tp1078 +a(g76 +Vq"< +p1079 +tp1080 +a(g76 +Vfoo +p1081 +tp1082 +a(g76 +V< +p1083 +tp1084 +a(g76 +Vbar +p1085 +tp1086 +a(g76 +V> +p1087 +tp1088 +a(g76 +V " baz +p1089 +tp1090 +a(g76 +V>" +p1091 +tp1092 +a(g693 +g966 +tp1093 +a(g826 +V\u000a +p1094 +tp1095 +a(g826 +V +p1096 +tp1097 +a(g745 +V// Non-nesting delimited strings\u000a +p1098 +tp1099 +a(g826 +V +p1100 +tp1101 +a(g7 +Vauto +p1102 +tp1103 +a(g826 +g958 +tp1104 +a(g423 +Ve +p1105 +tp1106 +a(g826 +g958 +tp1107 +a(g693 +g998 +tp1108 +a(g826 +g958 +tp1109 +a(g76 +Vq"/foo " bar/" +p1110 +tp1111 +a(g693 +g966 +tp1112 +a(g826 +V\u000a +p1113 +tp1114 +a(g826 +V +p1115 +tp1116 +a(g7 +Vauto +p1117 +tp1118 +a(g826 +g958 +tp1119 +a(g423 +Vf +p1120 +tp1121 +a(g826 +g958 +tp1122 +a(g693 +g998 +tp1123 +a(g826 +g958 +tp1124 +a(g76 +Vq"-Another " string-" +p1125 +tp1126 +a(g693 +g966 +tp1127 +a(g826 +V\u000a +p1128 +tp1129 +a(g826 +V +p1130 +tp1131 +a(g745 +V// "heredoc" strings\u000a +p1132 +tp1133 +a(g826 +V +p1134 +tp1135 +a(g7 +Vauto +p1136 +tp1137 +a(g826 +g958 +tp1138 +a(g423 +Vg +p1139 +tp1140 +a(g826 +g958 +tp1141 +a(g693 +g998 +tp1142 +a(g826 +g958 +tp1143 +a(g76 +Vq"FOO\u000a This is a string!\u000aFOO" +p1144 +tp1145 +a(g693 +g966 +tp1146 +a(g826 +V\u000a +p1147 +tp1148 +a(g826 +V +p1149 +tp1150 +a(g745 +V// Token strings (only the q{} should be highlighted as a string)\u000a +p1151 +tp1152 +a(g826 +V +p1153 +tp1154 +a(g7 +Vauto +p1155 +tp1156 +a(g826 +g958 +tp1157 +a(g423 +Vh +p1158 +tp1159 +a(g826 +g958 +tp1160 +a(g693 +g998 +tp1161 +a(g826 +g958 +tp1162 +a(g76 +Vq{ +p1163 +tp1164 +a(g826 +V\u000a +p1165 +tp1166 +a(g826 +V +p1167 +tp1168 +a(g881 +Vint +p1169 +tp1170 +a(g826 +g958 +tp1171 +a(g423 +Vi +p1172 +tp1173 +a(g693 +g966 +tp1174 +a(g826 +V\u000a +p1175 +tp1176 +a(g826 +V +p1177 +tp1178 +a(g881 +Vvoid +p1179 +tp1180 +a(g826 +g958 +tp1181 +a(g423 +Vfoo +p1182 +tp1183 +a(g693 +g977 +tp1184 +a(g693 +g979 +tp1185 +a(g826 +g958 +tp1186 +a(g693 +g982 +tp1187 +a(g826 +g958 +tp1188 +a(g423 +Vwritefln +p1189 +tp1190 +a(g693 +g977 +tp1191 +a(g76 +V"Hello, world!" +p1192 +tp1193 +a(g693 +g979 +tp1194 +a(g693 +g966 +tp1195 +a(g826 +g958 +tp1196 +a(g693 +g1008 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g826 +V +p1200 +tp1201 +a(g76 +g1008 +tp1202 +a(g693 +g966 +tp1203 +a(g826 +V\u000a +p1204 +tp1205 +a(g693 +g1008 +tp1206 +a(g826 +V\u000a +p1207 +tp1208 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/stripheredoc.sh b/tests/examplefiles/output/stripheredoc.sh new file mode 100644 index 0000000..30b92cf --- /dev/null +++ b/tests/examplefiles/output/stripheredoc.sh @@ -0,0 +1,2351 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbVcat +p956 +tp957 +a(g7 +V +p958 +tp959 +a(g92 +V<<-EOF\u000a Hello world $PATH\u000a EOF +p960 +tp961 +a(g7 +V\u000a +p962 +tp963 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/subr.el b/tests/examplefiles/output/subr.el new file mode 100644 index 0000000..14e49a0 --- /dev/null +++ b/tests/examplefiles/output/subr.el @@ -0,0 +1,108780 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;;; subr.el --- basic lisp subroutines for Emacs -*- coding: utf-8; lexical-binding:t -*- +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g8 +V;; Copyright (C) 1985-1986, 1992, 1994-1995, 1999-2015 Free Software +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V;; Foundation, Inc. +p964 +tp965 +a(g826 +V\u000a\u000a +p966 +tp967 +a(g8 +V;; Maintainer: emacs-devel@gnu.org +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g8 +V;; Keywords: internal +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V;; Package: emacs +p976 +tp977 +a(g826 +V\u000a\u000a +p978 +tp979 +a(g8 +V;; This file is part of GNU Emacs. +p980 +tp981 +a(g826 +V\u000a\u000a +p982 +tp983 +a(g8 +V;; GNU Emacs is free software: you can redistribute it and/or modify +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g8 +V;; it under the terms of the GNU General Public License as published by +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g8 +V;; the Free Software Foundation, either version 3 of the License, or +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g8 +V;; (at your option) any later version. +p996 +tp997 +a(g826 +V\u000a\u000a +p998 +tp999 +a(g8 +V;; GNU Emacs is distributed in the hope that it will be useful, +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g8 +V;; but WITHOUT ANY WARRANTY; without even the implied warranty of +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g8 +V;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g8 +V;; GNU General Public License for more details. +p1012 +tp1013 +a(g826 +V\u000a\u000a +p1014 +tp1015 +a(g8 +V;; You should have received a copy of the GNU General Public License +p1016 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g8 +V;; along with GNU Emacs. If not, see . +p1020 +tp1021 +a(g826 +V\u000a\u000a +p1022 +tp1023 +a(g8 +V;;; Commentary: +p1024 +tp1025 +a(g826 +V\u000a\u000a +p1026 +tp1027 +a(g8 +V;;; Code: +p1028 +tp1029 +a(g826 +V\u000a\u000a +p1030 +tp1031 +a(g8 +V;; Beware: while this file has tag `utf-8', before it's compiled, it gets +p1032 +tp1033 +a(g826 +V\u000a +p1034 +tp1035 +a(g8 +V;; loaded as "raw-text", so non-ASCII chars won't work right during bootstrap. +p1036 +tp1037 +a(g826 +V\u000a\u000a +p1038 +tp1039 +a(g705 +V( +p1040 +tp1041 +a(g681 +Vdefmacro +p1042 +tp1043 +a(g826 +V +p1044 +tp1045 +a(g681 +Vdeclare-function +p1046 +tp1047 +a(g826 +g1044 +tp1048 +a(g705 +g1040 +tp1049 +a(g440 +V_fn +p1050 +tp1051 +a(g826 +g1044 +tp1052 +a(g440 +V_file +p1053 +tp1054 +a(g826 +g1044 +tp1055 +a(g785 +V&optional +p1056 +tp1057 +a(g826 +g1044 +tp1058 +a(g440 +V_arglist +p1059 +tp1060 +a(g826 +g1044 +tp1061 +a(g440 +V_fileonly +p1062 +tp1063 +a(g705 +V) +p1064 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g89 +V" +p1068 +tp1069 +a(g89 +VTell the byte-compiler that function FN is defined, in FILE.\u000aOptional ARGLIST is the argument list used by the function.\u000aThe FILE argument is not used by the byte-compiler, but by the\u000a +p1070 +tp1071 +a(g117 +V`check-declare' +p1072 +tp1073 +a(g89 +V package, which checks that FILE contains a\u000adefinition for FN. ARGLIST is used by both the byte-compiler\u000aand +p1074 +tp1075 +a(g117 +V`check-declare' +p1076 +tp1077 +a(g89 +V to check for consistency.\u000a\u000aFILE can be either a Lisp file (in which case the +p1078 +tp1079 +a(g89 +V\u005c" +p1080 +tp1081 +a(g89 +V.el +p1082 +tp1083 +a(g89 +V\u005c" +p1084 +tp1085 +a(g89 +V\u000aextension is optional), or a C file. C files are expanded\u000arelative to the Emacs +p1086 +tp1087 +a(g89 +V\u005c" +p1088 +tp1089 +a(g89 +Vsrc/ +p1090 +tp1091 +a(g89 +V\u005c" +p1092 +tp1093 +a(g89 +V directory. Lisp files are\u000asearched for using +p1094 +tp1095 +a(g117 +V`locate-library' +p1096 +tp1097 +a(g89 +V, and if that fails they are\u000aexpanded relative to the location of the file containing the\u000adeclaration. A FILE with an +p1098 +tp1099 +a(g89 +V\u005c" +p1100 +tp1101 +a(g89 +Vext: +p1102 +tp1103 +a(g89 +V\u005c" +p1104 +tp1105 +a(g89 +V prefix is an external file.\u000a +p1106 +tp1107 +a(g117 +V`check-declare' +p1108 +tp1109 +a(g89 +V will check such files if they are found, and skip\u000athem without error if they are not.\u000a\u000aFILEONLY non-nil means that +p1110 +tp1111 +a(g117 +V`check-declare' +p1112 +tp1113 +a(g89 +V will only check that\u000aFILE exists, not that it defines FN. This is intended for\u000afunction-definitions that +p1114 +tp1115 +a(g117 +V`check-declare' +p1116 +tp1117 +a(g89 +V does not recognize, e.g.\u000a +p1118 +tp1119 +a(g117 +V`defstruct' +p1120 +tp1121 +a(g89 +V.\u000a\u000aTo specify a value for FILEONLY without passing an argument list,\u000aset ARGLIST to t. This is necessary because nil means an\u000aempty argument list, rather than an unspecified one.\u000a\u000aNote that for the purposes of +p1122 +tp1123 +a(g117 +V`check-declare' +p1124 +tp1125 +a(g89 +V, this statement\u000amust be the first non-whitespace on a line.\u000a\u000aFor more information, see Info node +p1126 +tp1127 +a(g89 +V` +p1128 +tp1129 +a(g89 +V(elisp)Declaring Functions'. +p1130 +tp1131 +a(g89 +g1068 +tp1132 +a(g826 +V\u000a +p1133 +tp1134 +a(g8 +V;; Does nothing - byte-compile-declare-function does the work. +p1135 +tp1136 +a(g826 +V\u000a +p1137 +tp1138 +a(g565 +Vnil +p1139 +tp1140 +a(g705 +g1064 +tp1141 +a(g826 +V\u000a\u000a \u000a +p1142 +tp1143 +a(g8 +V;;;; Basic Lisp macros. +p1144 +tp1145 +a(g826 +V\u000a\u000a +p1146 +tp1147 +a(g705 +g1040 +tp1148 +a(g681 +Vdefalias +p1149 +tp1150 +a(g826 +g1044 +tp1151 +a(g117 +V'not +p1152 +tp1153 +a(g826 +g1044 +tp1154 +a(g117 +V'null +p1155 +tp1156 +a(g705 +g1064 +tp1157 +a(g826 +V\u000a\u000a +p1158 +tp1159 +a(g705 +g1040 +tp1160 +a(g681 +Vdefmacro +p1161 +tp1162 +a(g826 +g1044 +tp1163 +a(g681 +Vnoreturn +p1164 +tp1165 +a(g826 +g1044 +tp1166 +a(g705 +g1040 +tp1167 +a(g440 +Vform +p1168 +tp1169 +a(g705 +g1064 +tp1170 +a(g826 +V\u000a +p1171 +tp1172 +a(g89 +g1068 +tp1173 +a(g89 +VEvaluate FORM, expecting it not to return.\u000aIf FORM does return, signal an error. +p1174 +tp1175 +a(g89 +g1068 +tp1176 +a(g826 +V\u000a +p1177 +tp1178 +a(g705 +g1040 +tp1179 +a(g681 +Vdeclare +p1180 +tp1181 +a(g826 +g1044 +tp1182 +a(g705 +g1040 +tp1183 +a(g440 +Vdebug +p1184 +tp1185 +a(g826 +g1044 +tp1186 +a(g565 +Vt +p1187 +tp1188 +a(g705 +g1064 +tp1189 +a(g705 +g1064 +tp1190 +a(g826 +V\u000a +p1191 +tp1192 +a(g412 +g1128 +tp1193 +a(g705 +g1040 +tp1194 +a(g744 +Vprog1 +p1195 +tp1196 +a(g826 +g1044 +tp1197 +a(g412 +V, +p1198 +tp1199 +a(g440 +Vform +p1200 +tp1201 +a(g826 +V\u000a +p1202 +tp1203 +a(g705 +g1040 +tp1204 +a(g612 +Verror +p1205 +tp1206 +a(g826 +g1044 +tp1207 +a(g89 +g1068 +tp1208 +a(g89 +VForm marked with +p1209 +tp1210 +a(g117 +V`noreturn' +p1211 +tp1212 +a(g89 +V did return +p1213 +tp1214 +a(g89 +g1068 +tp1215 +a(g705 +g1064 +tp1216 +a(g705 +g1064 +tp1217 +a(g705 +g1064 +tp1218 +a(g826 +V\u000a\u000a +p1219 +tp1220 +a(g705 +g1040 +tp1221 +a(g681 +Vdefmacro +p1222 +tp1223 +a(g826 +g1044 +tp1224 +a(g440 +V1value +p1225 +tp1226 +a(g826 +g1044 +tp1227 +a(g705 +g1040 +tp1228 +a(g440 +Vform +p1229 +tp1230 +a(g705 +g1064 +tp1231 +a(g826 +V\u000a +p1232 +tp1233 +a(g89 +g1068 +tp1234 +a(g89 +VEvaluate FORM, expecting a constant return value.\u000aThis is the global do-nothing version. There is also +p1235 +tp1236 +a(g117 +V`testcover-1value' +p1237 +tp1238 +a(g89 +V\u000athat complains if FORM ever does return differing values. +p1239 +tp1240 +a(g89 +g1068 +tp1241 +a(g826 +V\u000a +p1242 +tp1243 +a(g705 +g1040 +tp1244 +a(g681 +Vdeclare +p1245 +tp1246 +a(g826 +g1044 +tp1247 +a(g705 +g1040 +tp1248 +a(g440 +Vdebug +p1249 +tp1250 +a(g826 +g1044 +tp1251 +a(g565 +g1187 +tp1252 +a(g705 +g1064 +tp1253 +a(g705 +g1064 +tp1254 +a(g826 +V\u000a +p1255 +tp1256 +a(g440 +Vform +p1257 +tp1258 +a(g705 +g1064 +tp1259 +a(g826 +V\u000a\u000a +p1260 +tp1261 +a(g705 +g1040 +tp1262 +a(g681 +Vdefmacro +p1263 +tp1264 +a(g826 +g1044 +tp1265 +a(g681 +Vdef-edebug-spec +p1266 +tp1267 +a(g826 +g1044 +tp1268 +a(g705 +g1040 +tp1269 +a(g440 +Vsymbol +p1270 +tp1271 +a(g826 +g1044 +tp1272 +a(g440 +Vspec +p1273 +tp1274 +a(g705 +g1064 +tp1275 +a(g826 +V\u000a +p1276 +tp1277 +a(g89 +g1068 +tp1278 +a(g89 +VSet the +p1279 +tp1280 +a(g117 +V`edebug-form-spec' +p1281 +tp1282 +a(g89 +V property of SYMBOL according to SPEC.\u000aBoth SYMBOL and SPEC are unevaluated. The SPEC can be:\u000a0 (instrument no arguments); t (instrument all arguments);\u000aa symbol (naming a function with an Edebug specification); or a list.\u000aThe elements of the list describe the argument types; see\u000aInfo node +p1283 +tp1284 +a(g89 +g1128 +tp1285 +a(g89 +V(elisp)Specification List' for details. +p1286 +tp1287 +a(g89 +g1068 +tp1288 +a(g826 +V\u000a +p1289 +tp1290 +a(g412 +g1128 +tp1291 +a(g705 +g1040 +tp1292 +a(g573 +Vput +p1293 +tp1294 +a(g826 +g1044 +tp1295 +a(g705 +g1040 +tp1296 +a(g744 +Vquote +p1297 +tp1298 +a(g826 +g1044 +tp1299 +a(g412 +g1198 +tp1300 +a(g440 +Vsymbol +p1301 +tp1302 +a(g705 +g1064 +tp1303 +a(g826 +g1044 +tp1304 +a(g117 +V'edebug-form-spec +p1305 +tp1306 +a(g826 +g1044 +tp1307 +a(g705 +g1040 +tp1308 +a(g744 +Vquote +p1309 +tp1310 +a(g826 +g1044 +tp1311 +a(g412 +g1198 +tp1312 +a(g440 +Vspec +p1313 +tp1314 +a(g705 +g1064 +tp1315 +a(g705 +g1064 +tp1316 +a(g705 +g1064 +tp1317 +a(g826 +V\u000a\u000a +p1318 +tp1319 +a(g705 +g1040 +tp1320 +a(g681 +Vdefmacro +p1321 +tp1322 +a(g826 +g1044 +tp1323 +a(g681 +Vlambda +p1324 +tp1325 +a(g826 +g1044 +tp1326 +a(g705 +g1040 +tp1327 +a(g785 +V&rest +p1328 +tp1329 +a(g826 +g1044 +tp1330 +a(g573 +Vcdr +p1331 +tp1332 +a(g705 +g1064 +tp1333 +a(g826 +V\u000a +p1334 +tp1335 +a(g89 +g1068 +tp1336 +a(g89 +VReturn a lambda expression.\u000aA call of the form (lambda ARGS DOCSTRING INTERACTIVE BODY) is\u000aself-quoting; the result of evaluating the lambda expression is the\u000aexpression itself. The lambda expression may then be treated as a\u000afunction, i.e., stored as the function value of a symbol, passed to\u000a +p1337 +tp1338 +a(g117 +V`funcall' +p1339 +tp1340 +a(g89 +V or +p1341 +tp1342 +a(g117 +V`mapcar' +p1343 +tp1344 +a(g89 +V, etc.\u000a\u000aARGS should take the same form as an argument list for a +p1345 +tp1346 +a(g117 +V`defun' +p1347 +tp1348 +a(g89 +V.\u000aDOCSTRING is an optional documentation string.\u000a If present, it should describe how to call the function.\u000a But documentation strings are usually not useful in nameless functions.\u000aINTERACTIVE should be a call to the function +p1349 +tp1350 +a(g117 +V`interactive' +p1351 +tp1352 +a(g89 +V, which see.\u000aIt may also be omitted.\u000aBODY should be a list of Lisp expressions.\u000a\u000a +p1353 +tp1354 +a(g89 +V\u005c( +p1355 +tp1356 +a(g89 +Vfn ARGS [DOCSTRING] [INTERACTIVE] BODY) +p1357 +tp1358 +a(g89 +g1068 +tp1359 +a(g826 +V\u000a +p1360 +tp1361 +a(g705 +g1040 +tp1362 +a(g681 +Vdeclare +p1363 +tp1364 +a(g826 +g1044 +tp1365 +a(g705 +g1040 +tp1366 +a(g440 +Vdoc-string +p1367 +tp1368 +a(g826 +g1044 +tp1369 +a(g37 +V2 +p1370 +tp1371 +a(g705 +g1064 +tp1372 +a(g826 +g1044 +tp1373 +a(g705 +g1040 +tp1374 +a(g440 +Vindent +p1375 +tp1376 +a(g826 +g1044 +tp1377 +a(g681 +Vdefun +p1378 +tp1379 +a(g705 +g1064 +tp1380 +a(g826 +V\u000a +p1381 +tp1382 +a(g705 +g1040 +tp1383 +a(g440 +Vdebug +p1384 +tp1385 +a(g826 +g1044 +tp1386 +a(g705 +g1040 +tp1387 +a(g440 +V&define +p1388 +tp1389 +a(g826 +g1044 +tp1390 +a(g440 +Vlambda-list +p1391 +tp1392 +a(g826 +V\u000a +p1393 +tp1394 +a(g705 +V[ +p1395 +tp1396 +a(g785 +V&optional +p1397 +tp1398 +a(g826 +g1044 +tp1399 +a(g573 +Vstringp +p1400 +tp1401 +a(g705 +V] +p1402 +tp1403 +a(g826 +V\u000a +p1404 +tp1405 +a(g705 +g1395 +tp1406 +a(g785 +V&optional +p1407 +tp1408 +a(g826 +g1044 +tp1409 +a(g705 +g1040 +tp1410 +a(g89 +g1068 +tp1411 +a(g89 +Vinteractive +p1412 +tp1413 +a(g89 +g1068 +tp1414 +a(g826 +g1044 +tp1415 +a(g744 +Vinteractive +p1416 +tp1417 +a(g705 +g1064 +tp1418 +a(g705 +g1402 +tp1419 +a(g826 +V\u000a +p1420 +tp1421 +a(g440 +Vdef-body +p1422 +tp1423 +a(g705 +g1064 +tp1424 +a(g705 +g1064 +tp1425 +a(g705 +g1064 +tp1426 +a(g826 +V\u000a +p1427 +tp1428 +a(g8 +V;; Note that this definition should not use backquotes; subr.el should not +p1429 +tp1430 +a(g826 +V\u000a +p1431 +tp1432 +a(g8 +V;; depend on backquote.el. +p1433 +tp1434 +a(g826 +V\u000a +p1435 +tp1436 +a(g705 +g1040 +tp1437 +a(g573 +Vlist +p1438 +tp1439 +a(g826 +g1044 +tp1440 +a(g117 +V'function +p1441 +tp1442 +a(g826 +g1044 +tp1443 +a(g705 +g1040 +tp1444 +a(g573 +Vcons +p1445 +tp1446 +a(g826 +g1044 +tp1447 +a(g117 +V'lambda +p1448 +tp1449 +a(g826 +g1044 +tp1450 +a(g573 +Vcdr +p1451 +tp1452 +a(g705 +g1064 +tp1453 +a(g705 +g1064 +tp1454 +a(g705 +g1064 +tp1455 +a(g826 +V\u000a\u000a +p1456 +tp1457 +a(g705 +g1040 +tp1458 +a(g681 +Vdefmacro +p1459 +tp1460 +a(g826 +g1044 +tp1461 +a(g681 +Vsetq-local +p1462 +tp1463 +a(g826 +g1044 +tp1464 +a(g705 +g1040 +tp1465 +a(g440 +Vvar +p1466 +tp1467 +a(g826 +g1044 +tp1468 +a(g440 +Vval +p1469 +tp1470 +a(g705 +g1064 +tp1471 +a(g826 +V\u000a +p1472 +tp1473 +a(g89 +g1068 +tp1474 +a(g89 +VSet variable VAR to value VAL in current buffer. +p1475 +tp1476 +a(g89 +g1068 +tp1477 +a(g826 +V\u000a +p1478 +tp1479 +a(g8 +V;; Can't use backquote here, it's too early in the bootstrap. +p1480 +tp1481 +a(g826 +V\u000a +p1482 +tp1483 +a(g705 +g1040 +tp1484 +a(g573 +Vlist +p1485 +tp1486 +a(g826 +g1044 +tp1487 +a(g117 +V'set +p1488 +tp1489 +a(g826 +g1044 +tp1490 +a(g705 +g1040 +tp1491 +a(g573 +Vlist +p1492 +tp1493 +a(g826 +g1044 +tp1494 +a(g117 +V'make-local-variable +p1495 +tp1496 +a(g826 +g1044 +tp1497 +a(g705 +g1040 +tp1498 +a(g573 +Vlist +p1499 +tp1500 +a(g826 +g1044 +tp1501 +a(g117 +V'quote +p1502 +tp1503 +a(g826 +g1044 +tp1504 +a(g440 +Vvar +p1505 +tp1506 +a(g705 +g1064 +tp1507 +a(g705 +g1064 +tp1508 +a(g826 +g1044 +tp1509 +a(g440 +Vval +p1510 +tp1511 +a(g705 +g1064 +tp1512 +a(g705 +g1064 +tp1513 +a(g826 +V\u000a\u000a +p1514 +tp1515 +a(g705 +g1040 +tp1516 +a(g681 +Vdefmacro +p1517 +tp1518 +a(g826 +g1044 +tp1519 +a(g681 +Vdefvar-local +p1520 +tp1521 +a(g826 +g1044 +tp1522 +a(g705 +g1040 +tp1523 +a(g440 +Vvar +p1524 +tp1525 +a(g826 +g1044 +tp1526 +a(g440 +Vval +p1527 +tp1528 +a(g826 +g1044 +tp1529 +a(g785 +V&optional +p1530 +tp1531 +a(g826 +g1044 +tp1532 +a(g440 +Vdocstring +p1533 +tp1534 +a(g705 +g1064 +tp1535 +a(g826 +V\u000a +p1536 +tp1537 +a(g89 +g1068 +tp1538 +a(g89 +VDefine VAR as a buffer-local variable with default value VAL.\u000aLike +p1539 +tp1540 +a(g117 +V`defvar' +p1541 +tp1542 +a(g89 +V but additionally marks the variable as being automatically\u000abuffer-local wherever it is set. +p1543 +tp1544 +a(g89 +g1068 +tp1545 +a(g826 +V\u000a +p1546 +tp1547 +a(g705 +g1040 +tp1548 +a(g681 +Vdeclare +p1549 +tp1550 +a(g826 +g1044 +tp1551 +a(g705 +g1040 +tp1552 +a(g440 +Vdebug +p1553 +tp1554 +a(g826 +g1044 +tp1555 +a(g744 +Vdefvar +p1556 +tp1557 +a(g705 +g1064 +tp1558 +a(g826 +g1044 +tp1559 +a(g705 +g1040 +tp1560 +a(g440 +Vdoc-string +p1561 +tp1562 +a(g826 +g1044 +tp1563 +a(g37 +V3 +p1564 +tp1565 +a(g705 +g1064 +tp1566 +a(g705 +g1064 +tp1567 +a(g826 +V\u000a +p1568 +tp1569 +a(g8 +V;; Can't use backquote here, it's too early in the bootstrap. +p1570 +tp1571 +a(g826 +V\u000a +p1572 +tp1573 +a(g705 +g1040 +tp1574 +a(g573 +Vlist +p1575 +tp1576 +a(g826 +g1044 +tp1577 +a(g117 +V'progn +p1578 +tp1579 +a(g826 +g1044 +tp1580 +a(g705 +g1040 +tp1581 +a(g573 +Vlist +p1582 +tp1583 +a(g826 +g1044 +tp1584 +a(g117 +V'defvar +p1585 +tp1586 +a(g826 +g1044 +tp1587 +a(g440 +Vvar +p1588 +tp1589 +a(g826 +g1044 +tp1590 +a(g440 +Vval +p1591 +tp1592 +a(g826 +g1044 +tp1593 +a(g440 +Vdocstring +p1594 +tp1595 +a(g705 +g1064 +tp1596 +a(g826 +V\u000a +p1597 +tp1598 +a(g705 +g1040 +tp1599 +a(g573 +Vlist +p1600 +tp1601 +a(g826 +g1044 +tp1602 +a(g117 +V'make-variable-buffer-local +p1603 +tp1604 +a(g826 +g1044 +tp1605 +a(g705 +g1040 +tp1606 +a(g573 +Vlist +p1607 +tp1608 +a(g826 +g1044 +tp1609 +a(g117 +V'quote +p1610 +tp1611 +a(g826 +g1044 +tp1612 +a(g440 +Vvar +p1613 +tp1614 +a(g705 +g1064 +tp1615 +a(g705 +g1064 +tp1616 +a(g705 +g1064 +tp1617 +a(g705 +g1064 +tp1618 +a(g826 +V\u000a\u000a +p1619 +tp1620 +a(g705 +g1040 +tp1621 +a(g681 +Vdefun +p1622 +tp1623 +a(g826 +g1044 +tp1624 +a(g440 +Vapply-partially +p1625 +tp1626 +a(g826 +g1044 +tp1627 +a(g705 +g1040 +tp1628 +a(g440 +Vfun +p1629 +tp1630 +a(g826 +g1044 +tp1631 +a(g785 +V&rest +p1632 +tp1633 +a(g826 +g1044 +tp1634 +a(g440 +Vargs +p1635 +tp1636 +a(g705 +g1064 +tp1637 +a(g826 +V\u000a +p1638 +tp1639 +a(g89 +g1068 +tp1640 +a(g89 +VReturn a function that is a partial application of FUN to ARGS.\u000aARGS is a list of the first N arguments to pass to FUN.\u000aThe result is a new function which does the same as FUN, except that\u000athe first N arguments are fixed at the values with which this function\u000awas called. +p1641 +tp1642 +a(g89 +g1068 +tp1643 +a(g826 +V\u000a +p1644 +tp1645 +a(g705 +g1040 +tp1646 +a(g681 +Vlambda +p1647 +tp1648 +a(g826 +g1044 +tp1649 +a(g705 +g1040 +tp1650 +a(g785 +V&rest +p1651 +tp1652 +a(g826 +g1044 +tp1653 +a(g440 +Vargs2 +p1654 +tp1655 +a(g705 +g1064 +tp1656 +a(g826 +V\u000a +p1657 +tp1658 +a(g705 +g1040 +tp1659 +a(g573 +Vapply +p1660 +tp1661 +a(g826 +g1044 +tp1662 +a(g440 +Vfun +p1663 +tp1664 +a(g826 +g1044 +tp1665 +a(g705 +g1040 +tp1666 +a(g573 +Vappend +p1667 +tp1668 +a(g826 +g1044 +tp1669 +a(g440 +Vargs +p1670 +tp1671 +a(g826 +g1044 +tp1672 +a(g440 +Vargs2 +p1673 +tp1674 +a(g705 +g1064 +tp1675 +a(g705 +g1064 +tp1676 +a(g705 +g1064 +tp1677 +a(g705 +g1064 +tp1678 +a(g826 +V\u000a\u000a +p1679 +tp1680 +a(g705 +g1040 +tp1681 +a(g681 +Vdefmacro +p1682 +tp1683 +a(g826 +g1044 +tp1684 +a(g681 +Vpush +p1685 +tp1686 +a(g826 +g1044 +tp1687 +a(g705 +g1040 +tp1688 +a(g440 +Vnewelt +p1689 +tp1690 +a(g826 +g1044 +tp1691 +a(g440 +Vplace +p1692 +tp1693 +a(g705 +g1064 +tp1694 +a(g826 +V\u000a +p1695 +tp1696 +a(g89 +g1068 +tp1697 +a(g89 +VAdd NEWELT to the list stored in the generalized variable PLACE.\u000aThis is morally equivalent to (setf PLACE (cons NEWELT PLACE)),\u000aexcept that PLACE is only evaluated once (after NEWELT). +p1698 +tp1699 +a(g89 +g1068 +tp1700 +a(g826 +V\u000a +p1701 +tp1702 +a(g705 +g1040 +tp1703 +a(g681 +Vdeclare +p1704 +tp1705 +a(g826 +g1044 +tp1706 +a(g705 +g1040 +tp1707 +a(g440 +Vdebug +p1708 +tp1709 +a(g826 +g1044 +tp1710 +a(g705 +g1040 +tp1711 +a(g440 +Vform +p1712 +tp1713 +a(g826 +g1044 +tp1714 +a(g440 +Vgv-place +p1715 +tp1716 +a(g705 +g1064 +tp1717 +a(g705 +g1064 +tp1718 +a(g705 +g1064 +tp1719 +a(g826 +V\u000a +p1720 +tp1721 +a(g705 +g1040 +tp1722 +a(g744 +Vif +p1723 +tp1724 +a(g826 +g1044 +tp1725 +a(g705 +g1040 +tp1726 +a(g573 +Vsymbolp +p1727 +tp1728 +a(g826 +g1044 +tp1729 +a(g440 +Vplace +p1730 +tp1731 +a(g705 +g1064 +tp1732 +a(g826 +V\u000a +p1733 +tp1734 +a(g8 +V;; Important special case, to avoid triggering GV too early in +p1735 +tp1736 +a(g826 +V\u000a +p1737 +tp1738 +a(g8 +V;; the bootstrap. +p1739 +tp1740 +a(g826 +V\u000a +p1741 +tp1742 +a(g705 +g1040 +tp1743 +a(g573 +Vlist +p1744 +tp1745 +a(g826 +g1044 +tp1746 +a(g117 +V'setq +p1747 +tp1748 +a(g826 +g1044 +tp1749 +a(g440 +Vplace +p1750 +tp1751 +a(g826 +V\u000a +p1752 +tp1753 +a(g705 +g1040 +tp1754 +a(g573 +Vlist +p1755 +tp1756 +a(g826 +g1044 +tp1757 +a(g117 +V'cons +p1758 +tp1759 +a(g826 +g1044 +tp1760 +a(g440 +Vnewelt +p1761 +tp1762 +a(g826 +g1044 +tp1763 +a(g440 +Vplace +p1764 +tp1765 +a(g705 +g1064 +tp1766 +a(g705 +g1064 +tp1767 +a(g826 +V\u000a +p1768 +tp1769 +a(g705 +g1040 +tp1770 +a(g681 +Vrequire +p1771 +tp1772 +a(g826 +g1044 +tp1773 +a(g117 +V'macroexp +p1774 +tp1775 +a(g705 +g1064 +tp1776 +a(g826 +V\u000a +p1777 +tp1778 +a(g705 +g1040 +tp1779 +a(g440 +Vmacroexp-let2 +p1780 +tp1781 +a(g826 +g1044 +tp1782 +a(g440 +Vmacroexp-copyable-p +p1783 +tp1784 +a(g826 +g1044 +tp1785 +a(g440 +Vv +p1786 +tp1787 +a(g826 +g1044 +tp1788 +a(g440 +Vnewelt +p1789 +tp1790 +a(g826 +V\u000a +p1791 +tp1792 +a(g705 +g1040 +tp1793 +a(g440 +Vgv-letplace +p1794 +tp1795 +a(g826 +g1044 +tp1796 +a(g705 +g1040 +tp1797 +a(g440 +Vgetter +p1798 +tp1799 +a(g826 +g1044 +tp1800 +a(g440 +Vsetter +p1801 +tp1802 +a(g705 +g1064 +tp1803 +a(g826 +g1044 +tp1804 +a(g440 +Vplace +p1805 +tp1806 +a(g826 +V\u000a +p1807 +tp1808 +a(g705 +g1040 +tp1809 +a(g573 +Vfuncall +p1810 +tp1811 +a(g826 +g1044 +tp1812 +a(g440 +Vsetter +p1813 +tp1814 +a(g826 +g1044 +tp1815 +a(g412 +g1128 +tp1816 +a(g705 +g1040 +tp1817 +a(g573 +Vcons +p1818 +tp1819 +a(g826 +g1044 +tp1820 +a(g412 +g1198 +tp1821 +a(g440 +g1786 +tp1822 +a(g826 +g1044 +tp1823 +a(g412 +g1198 +tp1824 +a(g440 +Vgetter +p1825 +tp1826 +a(g705 +g1064 +tp1827 +a(g705 +g1064 +tp1828 +a(g705 +g1064 +tp1829 +a(g705 +g1064 +tp1830 +a(g705 +g1064 +tp1831 +a(g705 +g1064 +tp1832 +a(g826 +V\u000a\u000a +p1833 +tp1834 +a(g705 +g1040 +tp1835 +a(g681 +Vdefmacro +p1836 +tp1837 +a(g826 +g1044 +tp1838 +a(g681 +Vpop +p1839 +tp1840 +a(g826 +g1044 +tp1841 +a(g705 +g1040 +tp1842 +a(g440 +Vplace +p1843 +tp1844 +a(g705 +g1064 +tp1845 +a(g826 +V\u000a +p1846 +tp1847 +a(g89 +g1068 +tp1848 +a(g89 +VReturn the first element of PLACE's value, and remove it from the list.\u000aPLACE must be a generalized variable whose value is a list.\u000aIf the value is nil, +p1849 +tp1850 +a(g117 +V`pop' +p1851 +tp1852 +a(g89 +V returns nil but does not actually\u000achange the list. +p1853 +tp1854 +a(g89 +g1068 +tp1855 +a(g826 +V\u000a +p1856 +tp1857 +a(g705 +g1040 +tp1858 +a(g681 +Vdeclare +p1859 +tp1860 +a(g826 +g1044 +tp1861 +a(g705 +g1040 +tp1862 +a(g440 +Vdebug +p1863 +tp1864 +a(g826 +g1044 +tp1865 +a(g705 +g1040 +tp1866 +a(g440 +Vgv-place +p1867 +tp1868 +a(g705 +g1064 +tp1869 +a(g705 +g1064 +tp1870 +a(g705 +g1064 +tp1871 +a(g826 +V\u000a +p1872 +tp1873 +a(g8 +V;; We use `car-safe' here instead of `car' because the behavior is the same +p1874 +tp1875 +a(g826 +V\u000a +p1876 +tp1877 +a(g8 +V;; (if it's not a cons cell, the `cdr' would have signaled an error already), +p1878 +tp1879 +a(g826 +V\u000a +p1880 +tp1881 +a(g8 +V;; but `car-safe' is total, so the byte-compiler can safely remove it if the +p1882 +tp1883 +a(g826 +V\u000a +p1884 +tp1885 +a(g8 +V;; result is not used. +p1886 +tp1887 +a(g826 +V\u000a +p1888 +tp1889 +a(g412 +g1128 +tp1890 +a(g705 +g1040 +tp1891 +a(g573 +Vcar-safe +p1892 +tp1893 +a(g826 +V\u000a +p1894 +tp1895 +a(g412 +g1198 +tp1896 +a(g705 +g1040 +tp1897 +a(g744 +Vif +p1898 +tp1899 +a(g826 +g1044 +tp1900 +a(g705 +g1040 +tp1901 +a(g573 +Vsymbolp +p1902 +tp1903 +a(g826 +g1044 +tp1904 +a(g440 +Vplace +p1905 +tp1906 +a(g705 +g1064 +tp1907 +a(g826 +V\u000a +p1908 +tp1909 +a(g8 +V;; So we can use `pop' in the bootstrap before `gv' can be used. +p1910 +tp1911 +a(g826 +V\u000a +p1912 +tp1913 +a(g705 +g1040 +tp1914 +a(g573 +Vlist +p1915 +tp1916 +a(g826 +g1044 +tp1917 +a(g117 +V'prog1 +p1918 +tp1919 +a(g826 +g1044 +tp1920 +a(g440 +Vplace +p1921 +tp1922 +a(g826 +g1044 +tp1923 +a(g705 +g1040 +tp1924 +a(g573 +Vlist +p1925 +tp1926 +a(g826 +g1044 +tp1927 +a(g117 +V'setq +p1928 +tp1929 +a(g826 +g1044 +tp1930 +a(g440 +Vplace +p1931 +tp1932 +a(g826 +g1044 +tp1933 +a(g705 +g1040 +tp1934 +a(g573 +Vlist +p1935 +tp1936 +a(g826 +g1044 +tp1937 +a(g117 +V'cdr +p1938 +tp1939 +a(g826 +g1044 +tp1940 +a(g440 +Vplace +p1941 +tp1942 +a(g705 +g1064 +tp1943 +a(g705 +g1064 +tp1944 +a(g705 +g1064 +tp1945 +a(g826 +V\u000a +p1946 +tp1947 +a(g705 +g1040 +tp1948 +a(g440 +Vgv-letplace +p1949 +tp1950 +a(g826 +g1044 +tp1951 +a(g705 +g1040 +tp1952 +a(g440 +Vgetter +p1953 +tp1954 +a(g826 +g1044 +tp1955 +a(g440 +Vsetter +p1956 +tp1957 +a(g705 +g1064 +tp1958 +a(g826 +g1044 +tp1959 +a(g440 +Vplace +p1960 +tp1961 +a(g826 +V\u000a +p1962 +tp1963 +a(g705 +g1040 +tp1964 +a(g440 +Vmacroexp-let2 +p1965 +tp1966 +a(g826 +g1044 +tp1967 +a(g440 +Vmacroexp-copyable-p +p1968 +tp1969 +a(g826 +g1044 +tp1970 +a(g440 +Vx +p1971 +tp1972 +a(g826 +g1044 +tp1973 +a(g440 +Vgetter +p1974 +tp1975 +a(g826 +V\u000a +p1976 +tp1977 +a(g412 +g1128 +tp1978 +a(g705 +g1040 +tp1979 +a(g744 +Vprog1 +p1980 +tp1981 +a(g826 +g1044 +tp1982 +a(g412 +g1198 +tp1983 +a(g440 +g1971 +tp1984 +a(g826 +g1044 +tp1985 +a(g412 +g1198 +tp1986 +a(g705 +g1040 +tp1987 +a(g573 +Vfuncall +p1988 +tp1989 +a(g826 +g1044 +tp1990 +a(g440 +Vsetter +p1991 +tp1992 +a(g826 +g1044 +tp1993 +a(g412 +g1128 +tp1994 +a(g705 +g1040 +tp1995 +a(g573 +Vcdr +p1996 +tp1997 +a(g826 +g1044 +tp1998 +a(g412 +g1198 +tp1999 +a(g440 +g1971 +tp2000 +a(g705 +g1064 +tp2001 +a(g705 +g1064 +tp2002 +a(g705 +g1064 +tp2003 +a(g705 +g1064 +tp2004 +a(g705 +g1064 +tp2005 +a(g705 +g1064 +tp2006 +a(g705 +g1064 +tp2007 +a(g705 +g1064 +tp2008 +a(g826 +V\u000a\u000a +p2009 +tp2010 +a(g705 +g1040 +tp2011 +a(g681 +Vdefmacro +p2012 +tp2013 +a(g826 +g1044 +tp2014 +a(g681 +Vwhen +p2015 +tp2016 +a(g826 +g1044 +tp2017 +a(g705 +g1040 +tp2018 +a(g744 +Vcond +p2019 +tp2020 +a(g826 +g1044 +tp2021 +a(g785 +V&rest +p2022 +tp2023 +a(g826 +g1044 +tp2024 +a(g440 +Vbody +p2025 +tp2026 +a(g705 +g1064 +tp2027 +a(g826 +V\u000a +p2028 +tp2029 +a(g89 +g1068 +tp2030 +a(g89 +VIf COND yields non-nil, do BODY, else return nil.\u000aWhen COND yields non-nil, eval BODY forms sequentially and return\u000avalue of last one, or nil if there are none.\u000a\u000a +p2031 +tp2032 +a(g89 +V\u005c( +p2033 +tp2034 +a(g89 +Vfn COND BODY...) +p2035 +tp2036 +a(g89 +g1068 +tp2037 +a(g826 +V\u000a +p2038 +tp2039 +a(g705 +g1040 +tp2040 +a(g681 +Vdeclare +p2041 +tp2042 +a(g826 +g1044 +tp2043 +a(g705 +g1040 +tp2044 +a(g440 +Vindent +p2045 +tp2046 +a(g826 +g1044 +tp2047 +a(g37 +V1 +p2048 +tp2049 +a(g705 +g1064 +tp2050 +a(g826 +g1044 +tp2051 +a(g705 +g1040 +tp2052 +a(g440 +Vdebug +p2053 +tp2054 +a(g826 +g1044 +tp2055 +a(g565 +g1187 +tp2056 +a(g705 +g1064 +tp2057 +a(g705 +g1064 +tp2058 +a(g826 +V\u000a +p2059 +tp2060 +a(g705 +g1040 +tp2061 +a(g573 +Vlist +p2062 +tp2063 +a(g826 +g1044 +tp2064 +a(g117 +V'if +p2065 +tp2066 +a(g826 +g1044 +tp2067 +a(g744 +Vcond +p2068 +tp2069 +a(g826 +g1044 +tp2070 +a(g705 +g1040 +tp2071 +a(g573 +Vcons +p2072 +tp2073 +a(g826 +g1044 +tp2074 +a(g117 +V'progn +p2075 +tp2076 +a(g826 +g1044 +tp2077 +a(g440 +Vbody +p2078 +tp2079 +a(g705 +g1064 +tp2080 +a(g705 +g1064 +tp2081 +a(g705 +g1064 +tp2082 +a(g826 +V\u000a\u000a +p2083 +tp2084 +a(g705 +g1040 +tp2085 +a(g681 +Vdefmacro +p2086 +tp2087 +a(g826 +g1044 +tp2088 +a(g681 +Vunless +p2089 +tp2090 +a(g826 +g1044 +tp2091 +a(g705 +g1040 +tp2092 +a(g744 +Vcond +p2093 +tp2094 +a(g826 +g1044 +tp2095 +a(g785 +V&rest +p2096 +tp2097 +a(g826 +g1044 +tp2098 +a(g440 +Vbody +p2099 +tp2100 +a(g705 +g1064 +tp2101 +a(g826 +V\u000a +p2102 +tp2103 +a(g89 +g1068 +tp2104 +a(g89 +VIf COND yields nil, do BODY, else return nil.\u000aWhen COND yields nil, eval BODY forms sequentially and return\u000avalue of last one, or nil if there are none.\u000a\u000a +p2105 +tp2106 +a(g89 +V\u005c( +p2107 +tp2108 +a(g89 +Vfn COND BODY...) +p2109 +tp2110 +a(g89 +g1068 +tp2111 +a(g826 +V\u000a +p2112 +tp2113 +a(g705 +g1040 +tp2114 +a(g681 +Vdeclare +p2115 +tp2116 +a(g826 +g1044 +tp2117 +a(g705 +g1040 +tp2118 +a(g440 +Vindent +p2119 +tp2120 +a(g826 +g1044 +tp2121 +a(g37 +g2048 +tp2122 +a(g705 +g1064 +tp2123 +a(g826 +g1044 +tp2124 +a(g705 +g1040 +tp2125 +a(g440 +Vdebug +p2126 +tp2127 +a(g826 +g1044 +tp2128 +a(g565 +g1187 +tp2129 +a(g705 +g1064 +tp2130 +a(g705 +g1064 +tp2131 +a(g826 +V\u000a +p2132 +tp2133 +a(g705 +g1040 +tp2134 +a(g573 +Vcons +p2135 +tp2136 +a(g826 +g1044 +tp2137 +a(g117 +V'if +p2138 +tp2139 +a(g826 +g1044 +tp2140 +a(g705 +g1040 +tp2141 +a(g573 +Vcons +p2142 +tp2143 +a(g826 +g1044 +tp2144 +a(g744 +Vcond +p2145 +tp2146 +a(g826 +g1044 +tp2147 +a(g705 +g1040 +tp2148 +a(g573 +Vcons +p2149 +tp2150 +a(g826 +g1044 +tp2151 +a(g565 +Vnil +p2152 +tp2153 +a(g826 +g1044 +tp2154 +a(g440 +Vbody +p2155 +tp2156 +a(g705 +g1064 +tp2157 +a(g705 +g1064 +tp2158 +a(g705 +g1064 +tp2159 +a(g705 +g1064 +tp2160 +a(g826 +V\u000a\u000a +p2161 +tp2162 +a(g705 +g1040 +tp2163 +a(g681 +Vdefmacro +p2164 +tp2165 +a(g826 +g1044 +tp2166 +a(g681 +Vdolist +p2167 +tp2168 +a(g826 +g1044 +tp2169 +a(g705 +g1040 +tp2170 +a(g440 +Vspec +p2171 +tp2172 +a(g826 +g1044 +tp2173 +a(g785 +V&rest +p2174 +tp2175 +a(g826 +g1044 +tp2176 +a(g440 +Vbody +p2177 +tp2178 +a(g705 +g1064 +tp2179 +a(g826 +V\u000a +p2180 +tp2181 +a(g89 +g1068 +tp2182 +a(g89 +VLoop over a list.\u000aEvaluate BODY with VAR bound to each car from LIST, in turn.\u000aThen evaluate RESULT to get return value, default nil.\u000a\u000a +p2183 +tp2184 +a(g89 +V\u005c( +p2185 +tp2186 +a(g89 +Vfn (VAR LIST [RESULT]) BODY...) +p2187 +tp2188 +a(g89 +g1068 +tp2189 +a(g826 +V\u000a +p2190 +tp2191 +a(g705 +g1040 +tp2192 +a(g681 +Vdeclare +p2193 +tp2194 +a(g826 +g1044 +tp2195 +a(g705 +g1040 +tp2196 +a(g440 +Vindent +p2197 +tp2198 +a(g826 +g1044 +tp2199 +a(g37 +g2048 +tp2200 +a(g705 +g1064 +tp2201 +a(g826 +g1044 +tp2202 +a(g705 +g1040 +tp2203 +a(g440 +Vdebug +p2204 +tp2205 +a(g826 +g1044 +tp2206 +a(g705 +g1040 +tp2207 +a(g705 +g1040 +tp2208 +a(g573 +Vsymbolp +p2209 +tp2210 +a(g826 +g1044 +tp2211 +a(g440 +Vform +p2212 +tp2213 +a(g826 +g1044 +tp2214 +a(g785 +V&optional +p2215 +tp2216 +a(g826 +g1044 +tp2217 +a(g440 +Vform +p2218 +tp2219 +a(g705 +g1064 +tp2220 +a(g826 +g1044 +tp2221 +a(g440 +Vbody +p2222 +tp2223 +a(g705 +g1064 +tp2224 +a(g705 +g1064 +tp2225 +a(g705 +g1064 +tp2226 +a(g826 +V\u000a +p2227 +tp2228 +a(g8 +V;; It would be cleaner to create an uninterned symbol, +p2229 +tp2230 +a(g826 +V\u000a +p2231 +tp2232 +a(g8 +V;; but that uses a lot more space when many functions in many files +p2233 +tp2234 +a(g826 +V\u000a +p2235 +tp2236 +a(g8 +V;; use dolist. +p2237 +tp2238 +a(g826 +V\u000a +p2239 +tp2240 +a(g8 +V;; FIXME: This cost disappears in byte-compiled lexical-binding files. +p2241 +tp2242 +a(g826 +V\u000a +p2243 +tp2244 +a(g705 +g1040 +tp2245 +a(g744 +Vlet +p2246 +tp2247 +a(g826 +g1044 +tp2248 +a(g705 +g1040 +tp2249 +a(g705 +g1040 +tp2250 +a(g440 +Vtemp +p2251 +tp2252 +a(g826 +g1044 +tp2253 +a(g117 +V'--dolist-tail-- +p2254 +tp2255 +a(g705 +g1064 +tp2256 +a(g705 +g1064 +tp2257 +a(g826 +V\u000a +p2258 +tp2259 +a(g8 +V;; This is not a reliable test, but it does not matter because both +p2260 +tp2261 +a(g826 +V\u000a +p2262 +tp2263 +a(g8 +V;; semantics are acceptable, tho one is slightly faster with dynamic +p2264 +tp2265 +a(g826 +V\u000a +p2266 +tp2267 +a(g8 +V;; scoping and the other is slightly faster (and has cleaner semantics) +p2268 +tp2269 +a(g826 +V\u000a +p2270 +tp2271 +a(g8 +V;; with lexical scoping. +p2272 +tp2273 +a(g826 +V\u000a +p2274 +tp2275 +a(g705 +g1040 +tp2276 +a(g744 +Vif +p2277 +tp2278 +a(g826 +g1044 +tp2279 +a(g440 +Vlexical-binding +p2280 +tp2281 +a(g826 +V\u000a +p2282 +tp2283 +a(g412 +g1128 +tp2284 +a(g705 +g1040 +tp2285 +a(g744 +Vlet +p2286 +tp2287 +a(g826 +g1044 +tp2288 +a(g705 +g1040 +tp2289 +a(g705 +g1040 +tp2290 +a(g412 +g1198 +tp2291 +a(g440 +Vtemp +p2292 +tp2293 +a(g826 +g1044 +tp2294 +a(g412 +g1198 +tp2295 +a(g705 +g1040 +tp2296 +a(g573 +Vnth +p2297 +tp2298 +a(g826 +g1044 +tp2299 +a(g37 +g2048 +tp2300 +a(g826 +g1044 +tp2301 +a(g440 +Vspec +p2302 +tp2303 +a(g705 +g1064 +tp2304 +a(g705 +g1064 +tp2305 +a(g705 +g1064 +tp2306 +a(g826 +V\u000a +p2307 +tp2308 +a(g705 +g1040 +tp2309 +a(g744 +Vwhile +p2310 +tp2311 +a(g826 +g1044 +tp2312 +a(g412 +g1198 +tp2313 +a(g440 +Vtemp +p2314 +tp2315 +a(g826 +V\u000a +p2316 +tp2317 +a(g705 +g1040 +tp2318 +a(g744 +Vlet +p2319 +tp2320 +a(g826 +g1044 +tp2321 +a(g705 +g1040 +tp2322 +a(g705 +g1040 +tp2323 +a(g412 +g1198 +tp2324 +a(g705 +g1040 +tp2325 +a(g573 +Vcar +p2326 +tp2327 +a(g826 +g1044 +tp2328 +a(g440 +Vspec +p2329 +tp2330 +a(g705 +g1064 +tp2331 +a(g826 +g1044 +tp2332 +a(g705 +g1040 +tp2333 +a(g573 +Vcar +p2334 +tp2335 +a(g826 +g1044 +tp2336 +a(g412 +g1198 +tp2337 +a(g440 +Vtemp +p2338 +tp2339 +a(g705 +g1064 +tp2340 +a(g705 +g1064 +tp2341 +a(g705 +g1064 +tp2342 +a(g826 +V\u000a +p2343 +tp2344 +a(g412 +V,@ +p2345 +tp2346 +a(g440 +Vbody +p2347 +tp2348 +a(g826 +V\u000a +p2349 +tp2350 +a(g705 +g1040 +tp2351 +a(g744 +Vsetq +p2352 +tp2353 +a(g826 +g1044 +tp2354 +a(g412 +g1198 +tp2355 +a(g440 +Vtemp +p2356 +tp2357 +a(g826 +g1044 +tp2358 +a(g705 +g1040 +tp2359 +a(g573 +Vcdr +p2360 +tp2361 +a(g826 +g1044 +tp2362 +a(g412 +g1198 +tp2363 +a(g440 +Vtemp +p2364 +tp2365 +a(g705 +g1064 +tp2366 +a(g705 +g1064 +tp2367 +a(g705 +g1064 +tp2368 +a(g705 +g1064 +tp2369 +a(g826 +V\u000a +p2370 +tp2371 +a(g412 +V,@ +p2372 +tp2373 +a(g705 +g1040 +tp2374 +a(g573 +Vcdr +p2375 +tp2376 +a(g826 +g1044 +tp2377 +a(g705 +g1040 +tp2378 +a(g573 +Vcdr +p2379 +tp2380 +a(g826 +g1044 +tp2381 +a(g440 +Vspec +p2382 +tp2383 +a(g705 +g1064 +tp2384 +a(g705 +g1064 +tp2385 +a(g705 +g1064 +tp2386 +a(g826 +V\u000a +p2387 +tp2388 +a(g412 +g1128 +tp2389 +a(g705 +g1040 +tp2390 +a(g744 +Vlet +p2391 +tp2392 +a(g826 +g1044 +tp2393 +a(g705 +g1040 +tp2394 +a(g705 +g1040 +tp2395 +a(g412 +g1198 +tp2396 +a(g440 +Vtemp +p2397 +tp2398 +a(g826 +g1044 +tp2399 +a(g412 +g1198 +tp2400 +a(g705 +g1040 +tp2401 +a(g573 +Vnth +p2402 +tp2403 +a(g826 +g1044 +tp2404 +a(g37 +g2048 +tp2405 +a(g826 +g1044 +tp2406 +a(g440 +Vspec +p2407 +tp2408 +a(g705 +g1064 +tp2409 +a(g705 +g1064 +tp2410 +a(g826 +V\u000a +p2411 +tp2412 +a(g412 +g1198 +tp2413 +a(g705 +g1040 +tp2414 +a(g573 +Vcar +p2415 +tp2416 +a(g826 +g1044 +tp2417 +a(g440 +Vspec +p2418 +tp2419 +a(g705 +g1064 +tp2420 +a(g705 +g1064 +tp2421 +a(g826 +V\u000a +p2422 +tp2423 +a(g705 +g1040 +tp2424 +a(g744 +Vwhile +p2425 +tp2426 +a(g826 +g1044 +tp2427 +a(g412 +g1198 +tp2428 +a(g440 +Vtemp +p2429 +tp2430 +a(g826 +V\u000a +p2431 +tp2432 +a(g705 +g1040 +tp2433 +a(g744 +Vsetq +p2434 +tp2435 +a(g826 +g1044 +tp2436 +a(g412 +g1198 +tp2437 +a(g705 +g1040 +tp2438 +a(g573 +Vcar +p2439 +tp2440 +a(g826 +g1044 +tp2441 +a(g440 +Vspec +p2442 +tp2443 +a(g705 +g1064 +tp2444 +a(g826 +g1044 +tp2445 +a(g705 +g1040 +tp2446 +a(g573 +Vcar +p2447 +tp2448 +a(g826 +g1044 +tp2449 +a(g412 +g1198 +tp2450 +a(g440 +Vtemp +p2451 +tp2452 +a(g705 +g1064 +tp2453 +a(g705 +g1064 +tp2454 +a(g826 +V\u000a +p2455 +tp2456 +a(g412 +V,@ +p2457 +tp2458 +a(g440 +Vbody +p2459 +tp2460 +a(g826 +V\u000a +p2461 +tp2462 +a(g705 +g1040 +tp2463 +a(g744 +Vsetq +p2464 +tp2465 +a(g826 +g1044 +tp2466 +a(g412 +g1198 +tp2467 +a(g440 +Vtemp +p2468 +tp2469 +a(g826 +g1044 +tp2470 +a(g705 +g1040 +tp2471 +a(g573 +Vcdr +p2472 +tp2473 +a(g826 +g1044 +tp2474 +a(g412 +g1198 +tp2475 +a(g440 +Vtemp +p2476 +tp2477 +a(g705 +g1064 +tp2478 +a(g705 +g1064 +tp2479 +a(g705 +g1064 +tp2480 +a(g826 +V\u000a +p2481 +tp2482 +a(g412 +V,@ +p2483 +tp2484 +a(g705 +g1040 +tp2485 +a(g744 +Vif +p2486 +tp2487 +a(g826 +g1044 +tp2488 +a(g705 +g1040 +tp2489 +a(g573 +Vcdr +p2490 +tp2491 +a(g826 +g1044 +tp2492 +a(g705 +g1040 +tp2493 +a(g573 +Vcdr +p2494 +tp2495 +a(g826 +g1044 +tp2496 +a(g440 +Vspec +p2497 +tp2498 +a(g705 +g1064 +tp2499 +a(g705 +g1064 +tp2500 +a(g826 +V\u000a +p2501 +tp2502 +a(g412 +g1128 +tp2503 +a(g705 +g1040 +tp2504 +a(g705 +g1040 +tp2505 +a(g744 +Vsetq +p2506 +tp2507 +a(g826 +g1044 +tp2508 +a(g412 +g1198 +tp2509 +a(g705 +g1040 +tp2510 +a(g573 +Vcar +p2511 +tp2512 +a(g826 +g1044 +tp2513 +a(g440 +Vspec +p2514 +tp2515 +a(g705 +g1064 +tp2516 +a(g826 +g1044 +tp2517 +a(g565 +Vnil +p2518 +tp2519 +a(g705 +g1064 +tp2520 +a(g826 +g1044 +tp2521 +a(g412 +V,@ +p2522 +tp2523 +a(g705 +g1040 +tp2524 +a(g573 +Vcdr +p2525 +tp2526 +a(g826 +g1044 +tp2527 +a(g705 +g1040 +tp2528 +a(g573 +Vcdr +p2529 +tp2530 +a(g826 +g1044 +tp2531 +a(g440 +Vspec +p2532 +tp2533 +a(g705 +g1064 +tp2534 +a(g705 +g1064 +tp2535 +a(g705 +g1064 +tp2536 +a(g705 +g1064 +tp2537 +a(g705 +g1064 +tp2538 +a(g705 +g1064 +tp2539 +a(g705 +g1064 +tp2540 +a(g705 +g1064 +tp2541 +a(g826 +V\u000a\u000a +p2542 +tp2543 +a(g705 +g1040 +tp2544 +a(g681 +Vdefmacro +p2545 +tp2546 +a(g826 +g1044 +tp2547 +a(g681 +Vdotimes +p2548 +tp2549 +a(g826 +g1044 +tp2550 +a(g705 +g1040 +tp2551 +a(g440 +Vspec +p2552 +tp2553 +a(g826 +g1044 +tp2554 +a(g785 +V&rest +p2555 +tp2556 +a(g826 +g1044 +tp2557 +a(g440 +Vbody +p2558 +tp2559 +a(g705 +g1064 +tp2560 +a(g826 +V\u000a +p2561 +tp2562 +a(g89 +g1068 +tp2563 +a(g89 +VLoop a certain number of times.\u000aEvaluate BODY with VAR bound to successive integers running from 0,\u000ainclusive, to COUNT, exclusive. Then evaluate RESULT to get\u000athe return value (nil if RESULT is omitted).\u000a\u000a +p2564 +tp2565 +a(g89 +V\u005c( +p2566 +tp2567 +a(g89 +Vfn (VAR COUNT [RESULT]) BODY...) +p2568 +tp2569 +a(g89 +g1068 +tp2570 +a(g826 +V\u000a +p2571 +tp2572 +a(g705 +g1040 +tp2573 +a(g681 +Vdeclare +p2574 +tp2575 +a(g826 +g1044 +tp2576 +a(g705 +g1040 +tp2577 +a(g440 +Vindent +p2578 +tp2579 +a(g826 +g1044 +tp2580 +a(g37 +g2048 +tp2581 +a(g705 +g1064 +tp2582 +a(g826 +g1044 +tp2583 +a(g705 +g1040 +tp2584 +a(g440 +Vdebug +p2585 +tp2586 +a(g826 +g1044 +tp2587 +a(g681 +Vdolist +p2588 +tp2589 +a(g705 +g1064 +tp2590 +a(g705 +g1064 +tp2591 +a(g826 +V\u000a +p2592 +tp2593 +a(g8 +V;; It would be cleaner to create an uninterned symbol, +p2594 +tp2595 +a(g826 +V\u000a +p2596 +tp2597 +a(g8 +V;; but that uses a lot more space when many functions in many files +p2598 +tp2599 +a(g826 +V\u000a +p2600 +tp2601 +a(g8 +V;; use dotimes. +p2602 +tp2603 +a(g826 +V\u000a +p2604 +tp2605 +a(g8 +V;; FIXME: This cost disappears in byte-compiled lexical-binding files. +p2606 +tp2607 +a(g826 +V\u000a +p2608 +tp2609 +a(g705 +g1040 +tp2610 +a(g744 +Vlet +p2611 +tp2612 +a(g826 +g1044 +tp2613 +a(g705 +g1040 +tp2614 +a(g705 +g1040 +tp2615 +a(g440 +Vtemp +p2616 +tp2617 +a(g826 +g1044 +tp2618 +a(g117 +V'--dotimes-limit-- +p2619 +tp2620 +a(g705 +g1064 +tp2621 +a(g826 +V\u000a +p2622 +tp2623 +a(g705 +g1040 +tp2624 +a(g440 +Vstart +p2625 +tp2626 +a(g826 +g1044 +tp2627 +a(g37 +V0 +p2628 +tp2629 +a(g705 +g1064 +tp2630 +a(g826 +V\u000a +p2631 +tp2632 +a(g705 +g1040 +tp2633 +a(g440 +Vend +p2634 +tp2635 +a(g826 +g1044 +tp2636 +a(g705 +g1040 +tp2637 +a(g573 +Vnth +p2638 +tp2639 +a(g826 +g1044 +tp2640 +a(g37 +g2048 +tp2641 +a(g826 +g1044 +tp2642 +a(g440 +Vspec +p2643 +tp2644 +a(g705 +g1064 +tp2645 +a(g705 +g1064 +tp2646 +a(g705 +g1064 +tp2647 +a(g826 +V\u000a +p2648 +tp2649 +a(g8 +V;; This is not a reliable test, but it does not matter because both +p2650 +tp2651 +a(g826 +V\u000a +p2652 +tp2653 +a(g8 +V;; semantics are acceptable, tho one is slightly faster with dynamic +p2654 +tp2655 +a(g826 +V\u000a +p2656 +tp2657 +a(g8 +V;; scoping and the other has cleaner semantics. +p2658 +tp2659 +a(g826 +V\u000a +p2660 +tp2661 +a(g705 +g1040 +tp2662 +a(g744 +Vif +p2663 +tp2664 +a(g826 +g1044 +tp2665 +a(g440 +Vlexical-binding +p2666 +tp2667 +a(g826 +V\u000a +p2668 +tp2669 +a(g705 +g1040 +tp2670 +a(g744 +Vlet +p2671 +tp2672 +a(g826 +g1044 +tp2673 +a(g705 +g1040 +tp2674 +a(g705 +g1040 +tp2675 +a(g440 +Vcounter +p2676 +tp2677 +a(g826 +g1044 +tp2678 +a(g117 +V'--dotimes-counter-- +p2679 +tp2680 +a(g705 +g1064 +tp2681 +a(g705 +g1064 +tp2682 +a(g826 +V\u000a +p2683 +tp2684 +a(g412 +g1128 +tp2685 +a(g705 +g1040 +tp2686 +a(g744 +Vlet +p2687 +tp2688 +a(g826 +g1044 +tp2689 +a(g705 +g1040 +tp2690 +a(g705 +g1040 +tp2691 +a(g412 +g1198 +tp2692 +a(g440 +Vtemp +p2693 +tp2694 +a(g826 +g1044 +tp2695 +a(g412 +g1198 +tp2696 +a(g440 +Vend +p2697 +tp2698 +a(g705 +g1064 +tp2699 +a(g826 +V\u000a +p2700 +tp2701 +a(g705 +g1040 +tp2702 +a(g412 +g1198 +tp2703 +a(g440 +Vcounter +p2704 +tp2705 +a(g826 +g1044 +tp2706 +a(g412 +g1198 +tp2707 +a(g440 +Vstart +p2708 +tp2709 +a(g705 +g1064 +tp2710 +a(g705 +g1064 +tp2711 +a(g826 +V\u000a +p2712 +tp2713 +a(g705 +g1040 +tp2714 +a(g744 +Vwhile +p2715 +tp2716 +a(g826 +g1044 +tp2717 +a(g705 +g1040 +tp2718 +a(g573 +V< +p2719 +tp2720 +a(g826 +g1044 +tp2721 +a(g412 +g1198 +tp2722 +a(g440 +Vcounter +p2723 +tp2724 +a(g826 +g1044 +tp2725 +a(g412 +g1198 +tp2726 +a(g440 +Vtemp +p2727 +tp2728 +a(g705 +g1064 +tp2729 +a(g826 +V\u000a +p2730 +tp2731 +a(g705 +g1040 +tp2732 +a(g744 +Vlet +p2733 +tp2734 +a(g826 +g1044 +tp2735 +a(g705 +g1040 +tp2736 +a(g705 +g1040 +tp2737 +a(g412 +g1198 +tp2738 +a(g705 +g1040 +tp2739 +a(g573 +Vcar +p2740 +tp2741 +a(g826 +g1044 +tp2742 +a(g440 +Vspec +p2743 +tp2744 +a(g705 +g1064 +tp2745 +a(g826 +g1044 +tp2746 +a(g412 +g1198 +tp2747 +a(g440 +Vcounter +p2748 +tp2749 +a(g705 +g1064 +tp2750 +a(g705 +g1064 +tp2751 +a(g826 +V\u000a +p2752 +tp2753 +a(g412 +V,@ +p2754 +tp2755 +a(g440 +Vbody +p2756 +tp2757 +a(g705 +g1064 +tp2758 +a(g826 +V\u000a +p2759 +tp2760 +a(g705 +g1040 +tp2761 +a(g744 +Vsetq +p2762 +tp2763 +a(g826 +g1044 +tp2764 +a(g412 +g1198 +tp2765 +a(g440 +Vcounter +p2766 +tp2767 +a(g826 +g1044 +tp2768 +a(g705 +g1040 +tp2769 +a(g573 +V1+ +p2770 +tp2771 +a(g826 +g1044 +tp2772 +a(g412 +g1198 +tp2773 +a(g440 +Vcounter +p2774 +tp2775 +a(g705 +g1064 +tp2776 +a(g705 +g1064 +tp2777 +a(g705 +g1064 +tp2778 +a(g826 +V\u000a +p2779 +tp2780 +a(g412 +V,@ +p2781 +tp2782 +a(g705 +g1040 +tp2783 +a(g744 +Vif +p2784 +tp2785 +a(g826 +g1044 +tp2786 +a(g705 +g1040 +tp2787 +a(g440 +Vcddr +p2788 +tp2789 +a(g826 +g1044 +tp2790 +a(g440 +Vspec +p2791 +tp2792 +a(g705 +g1064 +tp2793 +a(g826 +V\u000a +p2794 +tp2795 +a(g8 +V;; FIXME: This let often leads to "unused var" warnings. +p2796 +tp2797 +a(g826 +V\u000a +p2798 +tp2799 +a(g412 +g1128 +tp2800 +a(g705 +g1040 +tp2801 +a(g705 +g1040 +tp2802 +a(g744 +Vlet +p2803 +tp2804 +a(g826 +g1044 +tp2805 +a(g705 +g1040 +tp2806 +a(g705 +g1040 +tp2807 +a(g412 +g1198 +tp2808 +a(g705 +g1040 +tp2809 +a(g573 +Vcar +p2810 +tp2811 +a(g826 +g1044 +tp2812 +a(g440 +Vspec +p2813 +tp2814 +a(g705 +g1064 +tp2815 +a(g826 +g1044 +tp2816 +a(g412 +g1198 +tp2817 +a(g440 +Vcounter +p2818 +tp2819 +a(g705 +g1064 +tp2820 +a(g705 +g1064 +tp2821 +a(g826 +g1044 +tp2822 +a(g412 +V,@ +p2823 +tp2824 +a(g705 +g1040 +tp2825 +a(g440 +Vcddr +p2826 +tp2827 +a(g826 +g1044 +tp2828 +a(g440 +Vspec +p2829 +tp2830 +a(g705 +g1064 +tp2831 +a(g705 +g1064 +tp2832 +a(g705 +g1064 +tp2833 +a(g705 +g1064 +tp2834 +a(g705 +g1064 +tp2835 +a(g705 +g1064 +tp2836 +a(g826 +V\u000a +p2837 +tp2838 +a(g412 +g1128 +tp2839 +a(g705 +g1040 +tp2840 +a(g744 +Vlet +p2841 +tp2842 +a(g826 +g1044 +tp2843 +a(g705 +g1040 +tp2844 +a(g705 +g1040 +tp2845 +a(g412 +g1198 +tp2846 +a(g440 +Vtemp +p2847 +tp2848 +a(g826 +g1044 +tp2849 +a(g412 +g1198 +tp2850 +a(g440 +Vend +p2851 +tp2852 +a(g705 +g1064 +tp2853 +a(g826 +V\u000a +p2854 +tp2855 +a(g705 +g1040 +tp2856 +a(g412 +g1198 +tp2857 +a(g705 +g1040 +tp2858 +a(g573 +Vcar +p2859 +tp2860 +a(g826 +g1044 +tp2861 +a(g440 +Vspec +p2862 +tp2863 +a(g705 +g1064 +tp2864 +a(g826 +g1044 +tp2865 +a(g412 +g1198 +tp2866 +a(g440 +Vstart +p2867 +tp2868 +a(g705 +g1064 +tp2869 +a(g705 +g1064 +tp2870 +a(g826 +V\u000a +p2871 +tp2872 +a(g705 +g1040 +tp2873 +a(g744 +Vwhile +p2874 +tp2875 +a(g826 +g1044 +tp2876 +a(g705 +g1040 +tp2877 +a(g573 +g2719 +tp2878 +a(g826 +g1044 +tp2879 +a(g412 +g1198 +tp2880 +a(g705 +g1040 +tp2881 +a(g573 +Vcar +p2882 +tp2883 +a(g826 +g1044 +tp2884 +a(g440 +Vspec +p2885 +tp2886 +a(g705 +g1064 +tp2887 +a(g826 +g1044 +tp2888 +a(g412 +g1198 +tp2889 +a(g440 +Vtemp +p2890 +tp2891 +a(g705 +g1064 +tp2892 +a(g826 +V\u000a +p2893 +tp2894 +a(g412 +V,@ +p2895 +tp2896 +a(g440 +Vbody +p2897 +tp2898 +a(g826 +V\u000a +p2899 +tp2900 +a(g705 +g1040 +tp2901 +a(g744 +Vsetq +p2902 +tp2903 +a(g826 +g1044 +tp2904 +a(g412 +g1198 +tp2905 +a(g705 +g1040 +tp2906 +a(g573 +Vcar +p2907 +tp2908 +a(g826 +g1044 +tp2909 +a(g440 +Vspec +p2910 +tp2911 +a(g705 +g1064 +tp2912 +a(g826 +g1044 +tp2913 +a(g705 +g1040 +tp2914 +a(g573 +V1+ +p2915 +tp2916 +a(g826 +g1044 +tp2917 +a(g412 +g1198 +tp2918 +a(g705 +g1040 +tp2919 +a(g573 +Vcar +p2920 +tp2921 +a(g826 +g1044 +tp2922 +a(g440 +Vspec +p2923 +tp2924 +a(g705 +g1064 +tp2925 +a(g705 +g1064 +tp2926 +a(g705 +g1064 +tp2927 +a(g705 +g1064 +tp2928 +a(g826 +V\u000a +p2929 +tp2930 +a(g412 +V,@ +p2931 +tp2932 +a(g705 +g1040 +tp2933 +a(g573 +Vcdr +p2934 +tp2935 +a(g826 +g1044 +tp2936 +a(g705 +g1040 +tp2937 +a(g573 +Vcdr +p2938 +tp2939 +a(g826 +g1044 +tp2940 +a(g440 +Vspec +p2941 +tp2942 +a(g705 +g1064 +tp2943 +a(g705 +g1064 +tp2944 +a(g705 +g1064 +tp2945 +a(g705 +g1064 +tp2946 +a(g705 +g1064 +tp2947 +a(g705 +g1064 +tp2948 +a(g826 +V\u000a\u000a +p2949 +tp2950 +a(g705 +g1040 +tp2951 +a(g681 +Vdefmacro +p2952 +tp2953 +a(g826 +g1044 +tp2954 +a(g681 +Vdeclare +p2955 +tp2956 +a(g826 +g1044 +tp2957 +a(g705 +g1040 +tp2958 +a(g785 +V&rest +p2959 +tp2960 +a(g826 +g1044 +tp2961 +a(g440 +V_specs +p2962 +tp2963 +a(g705 +g1064 +tp2964 +a(g826 +V\u000a +p2965 +tp2966 +a(g89 +g1068 +tp2967 +a(g89 +VDo not evaluate any arguments, and return nil.\u000aIf a +p2968 +tp2969 +a(g117 +V`declare' +p2970 +tp2971 +a(g89 +V form appears as the first form in the body of a\u000a +p2972 +tp2973 +a(g117 +V`defun' +p2974 +tp2975 +a(g89 +V or +p2976 +tp2977 +a(g117 +V`defmacro' +p2978 +tp2979 +a(g89 +V form, SPECS specifies various additional\u000ainformation about the function or macro; these go into effect\u000aduring the evaluation of the +p2980 +tp2981 +a(g117 +V`defun' +p2982 +tp2983 +a(g89 +V or +p2984 +tp2985 +a(g117 +V`defmacro' +p2986 +tp2987 +a(g89 +V form.\u000a\u000aThe possible values of SPECS are specified by\u000a +p2988 +tp2989 +a(g117 +V`defun-declarations-alist' +p2990 +tp2991 +a(g89 +V and +p2992 +tp2993 +a(g117 +V`macro-declarations-alist' +p2994 +tp2995 +a(g89 +V.\u000a\u000aFor more information, see info node +p2996 +tp2997 +a(g89 +g1128 +tp2998 +a(g89 +V(elisp)Declare Form'. +p2999 +tp3000 +a(g89 +g1068 +tp3001 +a(g826 +V\u000a +p3002 +tp3003 +a(g8 +V;; FIXME: edebug spec should pay attention to defun-declarations-alist. +p3004 +tp3005 +a(g826 +V\u000a +p3006 +tp3007 +a(g565 +Vnil +p3008 +tp3009 +a(g705 +g1064 +tp3010 +a(g826 +V\u000a\u000a +p3011 +tp3012 +a(g705 +g1040 +tp3013 +a(g681 +Vdefmacro +p3014 +tp3015 +a(g826 +g1044 +tp3016 +a(g681 +Vignore-errors +p3017 +tp3018 +a(g826 +g1044 +tp3019 +a(g705 +g1040 +tp3020 +a(g785 +V&rest +p3021 +tp3022 +a(g826 +g1044 +tp3023 +a(g440 +Vbody +p3024 +tp3025 +a(g705 +g1064 +tp3026 +a(g826 +V\u000a +p3027 +tp3028 +a(g89 +g1068 +tp3029 +a(g89 +VExecute BODY; if an error occurs, return nil.\u000aOtherwise, return result of last form in BODY.\u000aSee also +p3030 +tp3031 +a(g117 +V`with-demoted-errors' +p3032 +tp3033 +a(g89 +V that does something similar\u000awithout silencing all errors. +p3034 +tp3035 +a(g89 +g1068 +tp3036 +a(g826 +V\u000a +p3037 +tp3038 +a(g705 +g1040 +tp3039 +a(g681 +Vdeclare +p3040 +tp3041 +a(g826 +g1044 +tp3042 +a(g705 +g1040 +tp3043 +a(g440 +Vdebug +p3044 +tp3045 +a(g826 +g1044 +tp3046 +a(g565 +g1187 +tp3047 +a(g705 +g1064 +tp3048 +a(g826 +g1044 +tp3049 +a(g705 +g1040 +tp3050 +a(g440 +Vindent +p3051 +tp3052 +a(g826 +g1044 +tp3053 +a(g37 +g2628 +tp3054 +a(g705 +g1064 +tp3055 +a(g705 +g1064 +tp3056 +a(g826 +V\u000a +p3057 +tp3058 +a(g412 +g1128 +tp3059 +a(g705 +g1040 +tp3060 +a(g744 +Vcondition-case +p3061 +tp3062 +a(g826 +g1044 +tp3063 +a(g565 +Vnil +p3064 +tp3065 +a(g826 +g1044 +tp3066 +a(g705 +g1040 +tp3067 +a(g744 +Vprogn +p3068 +tp3069 +a(g826 +g1044 +tp3070 +a(g412 +V,@ +p3071 +tp3072 +a(g440 +Vbody +p3073 +tp3074 +a(g705 +g1064 +tp3075 +a(g826 +g1044 +tp3076 +a(g705 +g1040 +tp3077 +a(g612 +Verror +p3078 +tp3079 +a(g826 +g1044 +tp3080 +a(g565 +Vnil +p3081 +tp3082 +a(g705 +g1064 +tp3083 +a(g705 +g1064 +tp3084 +a(g705 +g1064 +tp3085 +a(g826 +V\u000a \u000a +p3086 +tp3087 +a(g8 +V;;;; Basic Lisp functions. +p3088 +tp3089 +a(g826 +V\u000a\u000a +p3090 +tp3091 +a(g705 +g1040 +tp3092 +a(g681 +Vdefun +p3093 +tp3094 +a(g826 +g1044 +tp3095 +a(g440 +Vignore +p3096 +tp3097 +a(g826 +g1044 +tp3098 +a(g705 +g1040 +tp3099 +a(g785 +V&rest +p3100 +tp3101 +a(g826 +g1044 +tp3102 +a(g440 +V_ignore +p3103 +tp3104 +a(g705 +g1064 +tp3105 +a(g826 +V\u000a +p3106 +tp3107 +a(g89 +g1068 +tp3108 +a(g89 +VDo nothing and return nil.\u000aThis function accepts any number of arguments, but ignores them. +p3109 +tp3110 +a(g89 +g1068 +tp3111 +a(g826 +V\u000a +p3112 +tp3113 +a(g705 +g1040 +tp3114 +a(g744 +Vinteractive +p3115 +tp3116 +a(g705 +g1064 +tp3117 +a(g826 +V\u000a +p3118 +tp3119 +a(g565 +Vnil +p3120 +tp3121 +a(g705 +g1064 +tp3122 +a(g826 +V\u000a\u000a +p3123 +tp3124 +a(g8 +V;; Signal a compile-error if the first arg is missing. +p3125 +tp3126 +a(g826 +V\u000a +p3127 +tp3128 +a(g705 +g1040 +tp3129 +a(g681 +Vdefun +p3130 +tp3131 +a(g826 +g1044 +tp3132 +a(g612 +Verror +p3133 +tp3134 +a(g826 +g1044 +tp3135 +a(g705 +g1040 +tp3136 +a(g785 +V&rest +p3137 +tp3138 +a(g826 +g1044 +tp3139 +a(g440 +Vargs +p3140 +tp3141 +a(g705 +g1064 +tp3142 +a(g826 +V\u000a +p3143 +tp3144 +a(g89 +g1068 +tp3145 +a(g89 +VSignal an error, making error message by passing all args to +p3146 +tp3147 +a(g117 +V`format' +p3148 +tp3149 +a(g89 +V.\u000aIn Emacs, the convention is that error messages start with a capital\u000aletter but *do not* end with a period. Please follow this convention\u000afor the sake of consistency. +p3150 +tp3151 +a(g89 +g1068 +tp3152 +a(g826 +V\u000a +p3153 +tp3154 +a(g705 +g1040 +tp3155 +a(g681 +Vdeclare +p3156 +tp3157 +a(g826 +g1044 +tp3158 +a(g705 +g1040 +tp3159 +a(g440 +Vadvertised-calling-convention +p3160 +tp3161 +a(g826 +g1044 +tp3162 +a(g705 +g1040 +tp3163 +a(g573 +Vstring +p3164 +tp3165 +a(g826 +g1044 +tp3166 +a(g785 +V&rest +p3167 +tp3168 +a(g826 +g1044 +tp3169 +a(g440 +Vargs +p3170 +tp3171 +a(g705 +g1064 +tp3172 +a(g826 +g1044 +tp3173 +a(g89 +g1068 +tp3174 +a(g89 +V23.1 +p3175 +tp3176 +a(g89 +g1068 +tp3177 +a(g705 +g1064 +tp3178 +a(g705 +g1064 +tp3179 +a(g826 +V\u000a +p3180 +tp3181 +a(g705 +g1040 +tp3182 +a(g573 +Vsignal +p3183 +tp3184 +a(g826 +g1044 +tp3185 +a(g117 +V'error +p3186 +tp3187 +a(g826 +g1044 +tp3188 +a(g705 +g1040 +tp3189 +a(g573 +Vlist +p3190 +tp3191 +a(g826 +g1044 +tp3192 +a(g705 +g1040 +tp3193 +a(g573 +Vapply +p3194 +tp3195 +a(g826 +g1044 +tp3196 +a(g117 +V'format +p3197 +tp3198 +a(g826 +g1044 +tp3199 +a(g440 +Vargs +p3200 +tp3201 +a(g705 +g1064 +tp3202 +a(g705 +g1064 +tp3203 +a(g705 +g1064 +tp3204 +a(g705 +g1064 +tp3205 +a(g826 +V\u000a\u000a +p3206 +tp3207 +a(g705 +g1040 +tp3208 +a(g681 +Vdefun +p3209 +tp3210 +a(g826 +g1044 +tp3211 +a(g612 +Vuser-error +p3212 +tp3213 +a(g826 +g1044 +tp3214 +a(g705 +g1040 +tp3215 +a(g573 +Vformat +p3216 +tp3217 +a(g826 +g1044 +tp3218 +a(g785 +V&rest +p3219 +tp3220 +a(g826 +g1044 +tp3221 +a(g440 +Vargs +p3222 +tp3223 +a(g705 +g1064 +tp3224 +a(g826 +V\u000a +p3225 +tp3226 +a(g89 +g1068 +tp3227 +a(g89 +VSignal a pilot error, making error message by passing all args to +p3228 +tp3229 +a(g117 +V`format' +p3230 +tp3231 +a(g89 +V.\u000aIn Emacs, the convention is that error messages start with a capital\u000aletter but *do not* end with a period. Please follow this convention\u000afor the sake of consistency.\u000aThis is just like +p3232 +tp3233 +a(g117 +V`error' +p3234 +tp3235 +a(g89 +V except that +p3236 +tp3237 +a(g117 +V`user-error' +p3238 +tp3239 +a(g89 +Vs are expected to be the\u000aresult of an incorrect manipulation on the part of the user, rather than the\u000aresult of an actual problem. +p3240 +tp3241 +a(g89 +g1068 +tp3242 +a(g826 +V\u000a +p3243 +tp3244 +a(g705 +g1040 +tp3245 +a(g573 +Vsignal +p3246 +tp3247 +a(g826 +g1044 +tp3248 +a(g117 +V'user-error +p3249 +tp3250 +a(g826 +g1044 +tp3251 +a(g705 +g1040 +tp3252 +a(g573 +Vlist +p3253 +tp3254 +a(g826 +g1044 +tp3255 +a(g705 +g1040 +tp3256 +a(g573 +Vapply +p3257 +tp3258 +a(g826 +g1044 +tp3259 +a(g573 +V#' +p3260 +tp3261 +a(g573 +Vformat +p3262 +tp3263 +a(g826 +g1044 +tp3264 +a(g573 +Vformat +p3265 +tp3266 +a(g826 +g1044 +tp3267 +a(g440 +Vargs +p3268 +tp3269 +a(g705 +g1064 +tp3270 +a(g705 +g1064 +tp3271 +a(g705 +g1064 +tp3272 +a(g705 +g1064 +tp3273 +a(g826 +V\u000a\u000a +p3274 +tp3275 +a(g705 +g1040 +tp3276 +a(g681 +Vdefun +p3277 +tp3278 +a(g826 +g1044 +tp3279 +a(g440 +Vdefine-error +p3280 +tp3281 +a(g826 +g1044 +tp3282 +a(g705 +g1040 +tp3283 +a(g440 +Vname +p3284 +tp3285 +a(g826 +g1044 +tp3286 +a(g573 +Vmessage +p3287 +tp3288 +a(g826 +g1044 +tp3289 +a(g785 +V&optional +p3290 +tp3291 +a(g826 +g1044 +tp3292 +a(g440 +Vparent +p3293 +tp3294 +a(g705 +g1064 +tp3295 +a(g826 +V\u000a +p3296 +tp3297 +a(g89 +g1068 +tp3298 +a(g89 +VDefine NAME as a new error signal.\u000aMESSAGE is a string that will be output to the echo area if such an error\u000ais signaled without being caught by a +p3299 +tp3300 +a(g117 +V`condition-case' +p3301 +tp3302 +a(g89 +V.\u000aPARENT is either a signal or a list of signals from which it inherits.\u000aDefaults to +p3303 +tp3304 +a(g117 +V`error' +p3305 +tp3306 +a(g89 +V. +p3307 +tp3308 +a(g89 +g1068 +tp3309 +a(g826 +V\u000a +p3310 +tp3311 +a(g705 +g1040 +tp3312 +a(g681 +Vunless +p3313 +tp3314 +a(g826 +g1044 +tp3315 +a(g440 +Vparent +p3316 +tp3317 +a(g826 +g1044 +tp3318 +a(g705 +g1040 +tp3319 +a(g744 +Vsetq +p3320 +tp3321 +a(g826 +g1044 +tp3322 +a(g440 +Vparent +p3323 +tp3324 +a(g826 +g1044 +tp3325 +a(g117 +V'error +p3326 +tp3327 +a(g705 +g1064 +tp3328 +a(g705 +g1064 +tp3329 +a(g826 +V\u000a +p3330 +tp3331 +a(g705 +g1040 +tp3332 +a(g744 +Vlet +p3333 +tp3334 +a(g826 +g1044 +tp3335 +a(g705 +g1040 +tp3336 +a(g705 +g1040 +tp3337 +a(g440 +Vconditions +p3338 +tp3339 +a(g826 +V\u000a +p3340 +tp3341 +a(g705 +g1040 +tp3342 +a(g744 +Vif +p3343 +tp3344 +a(g826 +g1044 +tp3345 +a(g705 +g1040 +tp3346 +a(g573 +Vconsp +p3347 +tp3348 +a(g826 +g1044 +tp3349 +a(g440 +Vparent +p3350 +tp3351 +a(g705 +g1064 +tp3352 +a(g826 +V\u000a +p3353 +tp3354 +a(g705 +g1040 +tp3355 +a(g573 +Vapply +p3356 +tp3357 +a(g826 +g1044 +tp3358 +a(g573 +V#' +p3359 +tp3360 +a(g573 +Vappend +p3361 +tp3362 +a(g826 +V\u000a +p3363 +tp3364 +a(g705 +g1040 +tp3365 +a(g573 +Vmapcar +p3366 +tp3367 +a(g826 +g1044 +tp3368 +a(g705 +g1040 +tp3369 +a(g681 +Vlambda +p3370 +tp3371 +a(g826 +g1044 +tp3372 +a(g705 +g1040 +tp3373 +a(g440 +Vparent +p3374 +tp3375 +a(g705 +g1064 +tp3376 +a(g826 +V\u000a +p3377 +tp3378 +a(g705 +g1040 +tp3379 +a(g573 +Vcons +p3380 +tp3381 +a(g826 +g1044 +tp3382 +a(g440 +Vparent +p3383 +tp3384 +a(g826 +V\u000a +p3385 +tp3386 +a(g705 +g1040 +tp3387 +a(g744 +Vor +p3388 +tp3389 +a(g826 +g1044 +tp3390 +a(g705 +g1040 +tp3391 +a(g573 +Vget +p3392 +tp3393 +a(g826 +g1044 +tp3394 +a(g440 +Vparent +p3395 +tp3396 +a(g826 +g1044 +tp3397 +a(g117 +V'error-conditions +p3398 +tp3399 +a(g705 +g1064 +tp3400 +a(g826 +V\u000a +p3401 +tp3402 +a(g705 +g1040 +tp3403 +a(g612 +Verror +p3404 +tp3405 +a(g826 +g1044 +tp3406 +a(g89 +g1068 +tp3407 +a(g89 +VUnknown signal +p3408 +tp3409 +a(g117 +V`%s' +p3410 +tp3411 +a(g89 +g1068 +tp3412 +a(g826 +g1044 +tp3413 +a(g440 +Vparent +p3414 +tp3415 +a(g705 +g1064 +tp3416 +a(g705 +g1064 +tp3417 +a(g705 +g1064 +tp3418 +a(g705 +g1064 +tp3419 +a(g826 +V\u000a +p3420 +tp3421 +a(g440 +Vparent +p3422 +tp3423 +a(g705 +g1064 +tp3424 +a(g705 +g1064 +tp3425 +a(g826 +V\u000a +p3426 +tp3427 +a(g705 +g1040 +tp3428 +a(g573 +Vcons +p3429 +tp3430 +a(g826 +g1044 +tp3431 +a(g440 +Vparent +p3432 +tp3433 +a(g826 +g1044 +tp3434 +a(g705 +g1040 +tp3435 +a(g573 +Vget +p3436 +tp3437 +a(g826 +g1044 +tp3438 +a(g440 +Vparent +p3439 +tp3440 +a(g826 +g1044 +tp3441 +a(g117 +V'error-conditions +p3442 +tp3443 +a(g705 +g1064 +tp3444 +a(g705 +g1064 +tp3445 +a(g705 +g1064 +tp3446 +a(g705 +g1064 +tp3447 +a(g705 +g1064 +tp3448 +a(g826 +V\u000a +p3449 +tp3450 +a(g705 +g1040 +tp3451 +a(g573 +Vput +p3452 +tp3453 +a(g826 +g1044 +tp3454 +a(g440 +Vname +p3455 +tp3456 +a(g826 +g1044 +tp3457 +a(g117 +V'error-conditions +p3458 +tp3459 +a(g826 +V\u000a +p3460 +tp3461 +a(g705 +g1040 +tp3462 +a(g440 +Vdelete-dups +p3463 +tp3464 +a(g826 +g1044 +tp3465 +a(g705 +g1040 +tp3466 +a(g573 +Vcopy-sequence +p3467 +tp3468 +a(g826 +g1044 +tp3469 +a(g705 +g1040 +tp3470 +a(g573 +Vcons +p3471 +tp3472 +a(g826 +g1044 +tp3473 +a(g440 +Vname +p3474 +tp3475 +a(g826 +g1044 +tp3476 +a(g440 +Vconditions +p3477 +tp3478 +a(g705 +g1064 +tp3479 +a(g705 +g1064 +tp3480 +a(g705 +g1064 +tp3481 +a(g705 +g1064 +tp3482 +a(g826 +V\u000a +p3483 +tp3484 +a(g705 +g1040 +tp3485 +a(g681 +Vwhen +p3486 +tp3487 +a(g826 +g1044 +tp3488 +a(g573 +Vmessage +p3489 +tp3490 +a(g826 +g1044 +tp3491 +a(g705 +g1040 +tp3492 +a(g573 +Vput +p3493 +tp3494 +a(g826 +g1044 +tp3495 +a(g440 +Vname +p3496 +tp3497 +a(g826 +g1044 +tp3498 +a(g117 +V'error-message +p3499 +tp3500 +a(g826 +g1044 +tp3501 +a(g573 +Vmessage +p3502 +tp3503 +a(g705 +g1064 +tp3504 +a(g705 +g1064 +tp3505 +a(g705 +g1064 +tp3506 +a(g705 +g1064 +tp3507 +a(g826 +V\u000a\u000a +p3508 +tp3509 +a(g8 +V;; We put this here instead of in frame.el so that it's defined even on +p3510 +tp3511 +a(g826 +V\u000a +p3512 +tp3513 +a(g8 +V;; systems where frame.el isn't loaded. +p3514 +tp3515 +a(g826 +V\u000a +p3516 +tp3517 +a(g705 +g1040 +tp3518 +a(g681 +Vdefun +p3519 +tp3520 +a(g826 +g1044 +tp3521 +a(g440 +Vframe-configuration-p +p3522 +tp3523 +a(g826 +g1044 +tp3524 +a(g705 +g1040 +tp3525 +a(g440 +Vobject +p3526 +tp3527 +a(g705 +g1064 +tp3528 +a(g826 +V\u000a +p3529 +tp3530 +a(g89 +g1068 +tp3531 +a(g89 +VReturn non-nil if OBJECT seems to be a frame configuration.\u000aAny list whose car is +p3532 +tp3533 +a(g117 +V`frame-configuration' +p3534 +tp3535 +a(g89 +V is assumed to be a frame\u000aconfiguration. +p3536 +tp3537 +a(g89 +g1068 +tp3538 +a(g826 +V\u000a +p3539 +tp3540 +a(g705 +g1040 +tp3541 +a(g744 +Vand +p3542 +tp3543 +a(g826 +g1044 +tp3544 +a(g705 +g1040 +tp3545 +a(g573 +Vconsp +p3546 +tp3547 +a(g826 +g1044 +tp3548 +a(g440 +Vobject +p3549 +tp3550 +a(g705 +g1064 +tp3551 +a(g826 +V\u000a +p3552 +tp3553 +a(g705 +g1040 +tp3554 +a(g573 +Veq +p3555 +tp3556 +a(g826 +g1044 +tp3557 +a(g705 +g1040 +tp3558 +a(g573 +Vcar +p3559 +tp3560 +a(g826 +g1044 +tp3561 +a(g440 +Vobject +p3562 +tp3563 +a(g705 +g1064 +tp3564 +a(g826 +g1044 +tp3565 +a(g117 +V'frame-configuration +p3566 +tp3567 +a(g705 +g1064 +tp3568 +a(g705 +g1064 +tp3569 +a(g705 +g1064 +tp3570 +a(g826 +V\u000a\u000a \u000a +p3571 +tp3572 +a(g8 +V;;;; List functions. +p3573 +tp3574 +a(g826 +V\u000a\u000a +p3575 +tp3576 +a(g705 +g1040 +tp3577 +a(g681 +Vdefsubst +p3578 +tp3579 +a(g826 +g1044 +tp3580 +a(g440 +Vcaar +p3581 +tp3582 +a(g826 +g1044 +tp3583 +a(g705 +g1040 +tp3584 +a(g440 +g1971 +tp3585 +a(g705 +g1064 +tp3586 +a(g826 +V\u000a +p3587 +tp3588 +a(g89 +g1068 +tp3589 +a(g89 +VReturn the car of the car of X. +p3590 +tp3591 +a(g89 +g1068 +tp3592 +a(g826 +V\u000a +p3593 +tp3594 +a(g705 +g1040 +tp3595 +a(g573 +Vcar +p3596 +tp3597 +a(g826 +g1044 +tp3598 +a(g705 +g1040 +tp3599 +a(g573 +Vcar +p3600 +tp3601 +a(g826 +g1044 +tp3602 +a(g440 +g1971 +tp3603 +a(g705 +g1064 +tp3604 +a(g705 +g1064 +tp3605 +a(g705 +g1064 +tp3606 +a(g826 +V\u000a\u000a +p3607 +tp3608 +a(g705 +g1040 +tp3609 +a(g681 +Vdefsubst +p3610 +tp3611 +a(g826 +g1044 +tp3612 +a(g440 +Vcadr +p3613 +tp3614 +a(g826 +g1044 +tp3615 +a(g705 +g1040 +tp3616 +a(g440 +g1971 +tp3617 +a(g705 +g1064 +tp3618 +a(g826 +V\u000a +p3619 +tp3620 +a(g89 +g1068 +tp3621 +a(g89 +VReturn the car of the cdr of X. +p3622 +tp3623 +a(g89 +g1068 +tp3624 +a(g826 +V\u000a +p3625 +tp3626 +a(g705 +g1040 +tp3627 +a(g573 +Vcar +p3628 +tp3629 +a(g826 +g1044 +tp3630 +a(g705 +g1040 +tp3631 +a(g573 +Vcdr +p3632 +tp3633 +a(g826 +g1044 +tp3634 +a(g440 +g1971 +tp3635 +a(g705 +g1064 +tp3636 +a(g705 +g1064 +tp3637 +a(g705 +g1064 +tp3638 +a(g826 +V\u000a\u000a +p3639 +tp3640 +a(g705 +g1040 +tp3641 +a(g681 +Vdefsubst +p3642 +tp3643 +a(g826 +g1044 +tp3644 +a(g440 +Vcdar +p3645 +tp3646 +a(g826 +g1044 +tp3647 +a(g705 +g1040 +tp3648 +a(g440 +g1971 +tp3649 +a(g705 +g1064 +tp3650 +a(g826 +V\u000a +p3651 +tp3652 +a(g89 +g1068 +tp3653 +a(g89 +VReturn the cdr of the car of X. +p3654 +tp3655 +a(g89 +g1068 +tp3656 +a(g826 +V\u000a +p3657 +tp3658 +a(g705 +g1040 +tp3659 +a(g573 +Vcdr +p3660 +tp3661 +a(g826 +g1044 +tp3662 +a(g705 +g1040 +tp3663 +a(g573 +Vcar +p3664 +tp3665 +a(g826 +g1044 +tp3666 +a(g440 +g1971 +tp3667 +a(g705 +g1064 +tp3668 +a(g705 +g1064 +tp3669 +a(g705 +g1064 +tp3670 +a(g826 +V\u000a\u000a +p3671 +tp3672 +a(g705 +g1040 +tp3673 +a(g681 +Vdefsubst +p3674 +tp3675 +a(g826 +g1044 +tp3676 +a(g440 +Vcddr +p3677 +tp3678 +a(g826 +g1044 +tp3679 +a(g705 +g1040 +tp3680 +a(g440 +g1971 +tp3681 +a(g705 +g1064 +tp3682 +a(g826 +V\u000a +p3683 +tp3684 +a(g89 +g1068 +tp3685 +a(g89 +VReturn the cdr of the cdr of X. +p3686 +tp3687 +a(g89 +g1068 +tp3688 +a(g826 +V\u000a +p3689 +tp3690 +a(g705 +g1040 +tp3691 +a(g573 +Vcdr +p3692 +tp3693 +a(g826 +g1044 +tp3694 +a(g705 +g1040 +tp3695 +a(g573 +Vcdr +p3696 +tp3697 +a(g826 +g1044 +tp3698 +a(g440 +g1971 +tp3699 +a(g705 +g1064 +tp3700 +a(g705 +g1064 +tp3701 +a(g705 +g1064 +tp3702 +a(g826 +V\u000a\u000a +p3703 +tp3704 +a(g705 +g1040 +tp3705 +a(g681 +Vdefun +p3706 +tp3707 +a(g826 +g1044 +tp3708 +a(g440 +Vlast +p3709 +tp3710 +a(g826 +g1044 +tp3711 +a(g705 +g1040 +tp3712 +a(g573 +Vlist +p3713 +tp3714 +a(g826 +g1044 +tp3715 +a(g785 +V&optional +p3716 +tp3717 +a(g826 +g1044 +tp3718 +a(g440 +Vn +p3719 +tp3720 +a(g705 +g1064 +tp3721 +a(g826 +V\u000a +p3722 +tp3723 +a(g89 +g1068 +tp3724 +a(g89 +VReturn the last link of LIST. Its car is the last element.\u000aIf LIST is nil, return nil.\u000aIf N is non-nil, return the Nth-to-last link of LIST.\u000aIf N is bigger than the length of LIST, return LIST. +p3725 +tp3726 +a(g89 +g1068 +tp3727 +a(g826 +V\u000a +p3728 +tp3729 +a(g705 +g1040 +tp3730 +a(g744 +Vif +p3731 +tp3732 +a(g826 +g1044 +tp3733 +a(g440 +g3719 +tp3734 +a(g826 +V\u000a +p3735 +tp3736 +a(g705 +g1040 +tp3737 +a(g744 +Vand +p3738 +tp3739 +a(g826 +g1044 +tp3740 +a(g705 +g1040 +tp3741 +a(g573 +V>= +p3742 +tp3743 +a(g826 +g1044 +tp3744 +a(g440 +g3719 +tp3745 +a(g826 +g1044 +tp3746 +a(g37 +g2628 +tp3747 +a(g705 +g1064 +tp3748 +a(g826 +V\u000a +p3749 +tp3750 +a(g705 +g1040 +tp3751 +a(g744 +Vlet +p3752 +tp3753 +a(g826 +g1044 +tp3754 +a(g705 +g1040 +tp3755 +a(g705 +g1040 +tp3756 +a(g440 +Vm +p3757 +tp3758 +a(g826 +g1044 +tp3759 +a(g705 +g1040 +tp3760 +a(g573 +Vsafe-length +p3761 +tp3762 +a(g826 +g1044 +tp3763 +a(g573 +Vlist +p3764 +tp3765 +a(g705 +g1064 +tp3766 +a(g705 +g1064 +tp3767 +a(g705 +g1064 +tp3768 +a(g826 +V\u000a +p3769 +tp3770 +a(g705 +g1040 +tp3771 +a(g744 +Vif +p3772 +tp3773 +a(g826 +g1044 +tp3774 +a(g705 +g1040 +tp3775 +a(g573 +g2719 +tp3776 +a(g826 +g1044 +tp3777 +a(g440 +g3719 +tp3778 +a(g826 +g1044 +tp3779 +a(g440 +g3757 +tp3780 +a(g705 +g1064 +tp3781 +a(g826 +g1044 +tp3782 +a(g705 +g1040 +tp3783 +a(g573 +Vnthcdr +p3784 +tp3785 +a(g826 +g1044 +tp3786 +a(g705 +g1040 +tp3787 +a(g573 +V- +p3788 +tp3789 +a(g826 +g1044 +tp3790 +a(g440 +g3757 +tp3791 +a(g826 +g1044 +tp3792 +a(g440 +g3719 +tp3793 +a(g705 +g1064 +tp3794 +a(g826 +g1044 +tp3795 +a(g573 +Vlist +p3796 +tp3797 +a(g705 +g1064 +tp3798 +a(g826 +g1044 +tp3799 +a(g573 +Vlist +p3800 +tp3801 +a(g705 +g1064 +tp3802 +a(g705 +g1064 +tp3803 +a(g705 +g1064 +tp3804 +a(g826 +V\u000a +p3805 +tp3806 +a(g705 +g1040 +tp3807 +a(g744 +Vand +p3808 +tp3809 +a(g826 +g1044 +tp3810 +a(g573 +Vlist +p3811 +tp3812 +a(g826 +V\u000a +p3813 +tp3814 +a(g705 +g1040 +tp3815 +a(g573 +Vnthcdr +p3816 +tp3817 +a(g826 +g1044 +tp3818 +a(g705 +g1040 +tp3819 +a(g573 +V1- +p3820 +tp3821 +a(g826 +g1044 +tp3822 +a(g705 +g1040 +tp3823 +a(g573 +Vsafe-length +p3824 +tp3825 +a(g826 +g1044 +tp3826 +a(g573 +Vlist +p3827 +tp3828 +a(g705 +g1064 +tp3829 +a(g705 +g1064 +tp3830 +a(g826 +g1044 +tp3831 +a(g573 +Vlist +p3832 +tp3833 +a(g705 +g1064 +tp3834 +a(g705 +g1064 +tp3835 +a(g705 +g1064 +tp3836 +a(g705 +g1064 +tp3837 +a(g826 +V\u000a\u000a +p3838 +tp3839 +a(g705 +g1040 +tp3840 +a(g681 +Vdefun +p3841 +tp3842 +a(g826 +g1044 +tp3843 +a(g440 +Vbutlast +p3844 +tp3845 +a(g826 +g1044 +tp3846 +a(g705 +g1040 +tp3847 +a(g573 +Vlist +p3848 +tp3849 +a(g826 +g1044 +tp3850 +a(g785 +V&optional +p3851 +tp3852 +a(g826 +g1044 +tp3853 +a(g440 +g3719 +tp3854 +a(g705 +g1064 +tp3855 +a(g826 +V\u000a +p3856 +tp3857 +a(g89 +g1068 +tp3858 +a(g89 +VReturn a copy of LIST with the last N elements removed.\u000aIf N is omitted or nil, the last element is removed from the\u000acopy. +p3859 +tp3860 +a(g89 +g1068 +tp3861 +a(g826 +V\u000a +p3862 +tp3863 +a(g705 +g1040 +tp3864 +a(g744 +Vif +p3865 +tp3866 +a(g826 +g1044 +tp3867 +a(g705 +g1040 +tp3868 +a(g744 +Vand +p3869 +tp3870 +a(g826 +g1044 +tp3871 +a(g440 +g3719 +tp3872 +a(g826 +g1044 +tp3873 +a(g705 +g1040 +tp3874 +a(g573 +V<= +p3875 +tp3876 +a(g826 +g1044 +tp3877 +a(g440 +g3719 +tp3878 +a(g826 +g1044 +tp3879 +a(g37 +g2628 +tp3880 +a(g705 +g1064 +tp3881 +a(g705 +g1064 +tp3882 +a(g826 +g1044 +tp3883 +a(g573 +Vlist +p3884 +tp3885 +a(g826 +V\u000a +p3886 +tp3887 +a(g705 +g1040 +tp3888 +a(g440 +Vnbutlast +p3889 +tp3890 +a(g826 +g1044 +tp3891 +a(g705 +g1040 +tp3892 +a(g573 +Vcopy-sequence +p3893 +tp3894 +a(g826 +g1044 +tp3895 +a(g573 +Vlist +p3896 +tp3897 +a(g705 +g1064 +tp3898 +a(g826 +g1044 +tp3899 +a(g440 +g3719 +tp3900 +a(g705 +g1064 +tp3901 +a(g705 +g1064 +tp3902 +a(g705 +g1064 +tp3903 +a(g826 +V\u000a\u000a +p3904 +tp3905 +a(g705 +g1040 +tp3906 +a(g681 +Vdefun +p3907 +tp3908 +a(g826 +g1044 +tp3909 +a(g440 +Vnbutlast +p3910 +tp3911 +a(g826 +g1044 +tp3912 +a(g705 +g1040 +tp3913 +a(g573 +Vlist +p3914 +tp3915 +a(g826 +g1044 +tp3916 +a(g785 +V&optional +p3917 +tp3918 +a(g826 +g1044 +tp3919 +a(g440 +g3719 +tp3920 +a(g705 +g1064 +tp3921 +a(g826 +V\u000a +p3922 +tp3923 +a(g89 +g1068 +tp3924 +a(g89 +VModifies LIST to remove the last N elements.\u000aIf N is omitted or nil, remove the last element. +p3925 +tp3926 +a(g89 +g1068 +tp3927 +a(g826 +V\u000a +p3928 +tp3929 +a(g705 +g1040 +tp3930 +a(g744 +Vlet +p3931 +tp3932 +a(g826 +g1044 +tp3933 +a(g705 +g1040 +tp3934 +a(g705 +g1040 +tp3935 +a(g440 +g3757 +tp3936 +a(g826 +g1044 +tp3937 +a(g705 +g1040 +tp3938 +a(g573 +Vlength +p3939 +tp3940 +a(g826 +g1044 +tp3941 +a(g573 +Vlist +p3942 +tp3943 +a(g705 +g1064 +tp3944 +a(g705 +g1064 +tp3945 +a(g705 +g1064 +tp3946 +a(g826 +V\u000a +p3947 +tp3948 +a(g705 +g1040 +tp3949 +a(g744 +Vor +p3950 +tp3951 +a(g826 +g1044 +tp3952 +a(g440 +g3719 +tp3953 +a(g826 +g1044 +tp3954 +a(g705 +g1040 +tp3955 +a(g744 +Vsetq +p3956 +tp3957 +a(g826 +g1044 +tp3958 +a(g440 +g3719 +tp3959 +a(g826 +g1044 +tp3960 +a(g37 +g2048 +tp3961 +a(g705 +g1064 +tp3962 +a(g705 +g1064 +tp3963 +a(g826 +V\u000a +p3964 +tp3965 +a(g705 +g1040 +tp3966 +a(g744 +Vand +p3967 +tp3968 +a(g826 +g1044 +tp3969 +a(g705 +g1040 +tp3970 +a(g573 +g2719 +tp3971 +a(g826 +g1044 +tp3972 +a(g440 +g3719 +tp3973 +a(g826 +g1044 +tp3974 +a(g440 +g3757 +tp3975 +a(g705 +g1064 +tp3976 +a(g826 +V\u000a +p3977 +tp3978 +a(g705 +g1040 +tp3979 +a(g744 +Vprogn +p3980 +tp3981 +a(g826 +V\u000a +p3982 +tp3983 +a(g705 +g1040 +tp3984 +a(g744 +Vif +p3985 +tp3986 +a(g826 +g1044 +tp3987 +a(g705 +g1040 +tp3988 +a(g573 +V> +p3989 +tp3990 +a(g826 +g1044 +tp3991 +a(g440 +g3719 +tp3992 +a(g826 +g1044 +tp3993 +a(g37 +g2628 +tp3994 +a(g705 +g1064 +tp3995 +a(g826 +g1044 +tp3996 +a(g705 +g1040 +tp3997 +a(g573 +Vsetcdr +p3998 +tp3999 +a(g826 +g1044 +tp4000 +a(g705 +g1040 +tp4001 +a(g573 +Vnthcdr +p4002 +tp4003 +a(g826 +g1044 +tp4004 +a(g705 +g1040 +tp4005 +a(g573 +g3788 +tp4006 +a(g826 +g1044 +tp4007 +a(g705 +g1040 +tp4008 +a(g573 +V1- +p4009 +tp4010 +a(g826 +g1044 +tp4011 +a(g440 +g3757 +tp4012 +a(g705 +g1064 +tp4013 +a(g826 +g1044 +tp4014 +a(g440 +g3719 +tp4015 +a(g705 +g1064 +tp4016 +a(g826 +g1044 +tp4017 +a(g573 +Vlist +p4018 +tp4019 +a(g705 +g1064 +tp4020 +a(g826 +g1044 +tp4021 +a(g565 +Vnil +p4022 +tp4023 +a(g705 +g1064 +tp4024 +a(g705 +g1064 +tp4025 +a(g826 +V\u000a +p4026 +tp4027 +a(g573 +Vlist +p4028 +tp4029 +a(g705 +g1064 +tp4030 +a(g705 +g1064 +tp4031 +a(g705 +g1064 +tp4032 +a(g705 +g1064 +tp4033 +a(g826 +V\u000a\u000a +p4034 +tp4035 +a(g705 +g1040 +tp4036 +a(g681 +Vdefun +p4037 +tp4038 +a(g826 +g1044 +tp4039 +a(g440 +Vzerop +p4040 +tp4041 +a(g826 +g1044 +tp4042 +a(g705 +g1040 +tp4043 +a(g440 +Vnumber +p4044 +tp4045 +a(g705 +g1064 +tp4046 +a(g826 +V\u000a +p4047 +tp4048 +a(g89 +g1068 +tp4049 +a(g89 +VReturn t if NUMBER is zero. +p4050 +tp4051 +a(g89 +g1068 +tp4052 +a(g826 +V\u000a +p4053 +tp4054 +a(g8 +V;; Used to be in C, but it's pointless since (= 0 n) is faster anyway because +p4055 +tp4056 +a(g826 +V\u000a +p4057 +tp4058 +a(g8 +V;; = has a byte-code. +p4059 +tp4060 +a(g826 +V\u000a +p4061 +tp4062 +a(g705 +g1040 +tp4063 +a(g681 +Vdeclare +p4064 +tp4065 +a(g826 +g1044 +tp4066 +a(g705 +g1040 +tp4067 +a(g440 +Vcompiler-macro +p4068 +tp4069 +a(g826 +g1044 +tp4070 +a(g705 +g1040 +tp4071 +a(g681 +Vlambda +p4072 +tp4073 +a(g826 +g1044 +tp4074 +a(g705 +g1040 +tp4075 +a(g440 +V_ +p4076 +tp4077 +a(g705 +g1064 +tp4078 +a(g826 +g1044 +tp4079 +a(g412 +g1128 +tp4080 +a(g705 +g1040 +tp4081 +a(g573 +V= +p4082 +tp4083 +a(g826 +g1044 +tp4084 +a(g37 +g2628 +tp4085 +a(g826 +g1044 +tp4086 +a(g412 +g1198 +tp4087 +a(g440 +Vnumber +p4088 +tp4089 +a(g705 +g1064 +tp4090 +a(g705 +g1064 +tp4091 +a(g705 +g1064 +tp4092 +a(g705 +g1064 +tp4093 +a(g826 +V\u000a +p4094 +tp4095 +a(g705 +g1040 +tp4096 +a(g573 +g4082 +tp4097 +a(g826 +g1044 +tp4098 +a(g37 +g2628 +tp4099 +a(g826 +g1044 +tp4100 +a(g440 +Vnumber +p4101 +tp4102 +a(g705 +g1064 +tp4103 +a(g705 +g1064 +tp4104 +a(g826 +V\u000a\u000a +p4105 +tp4106 +a(g705 +g1040 +tp4107 +a(g681 +Vdefun +p4108 +tp4109 +a(g826 +g1044 +tp4110 +a(g440 +Vdelete-dups +p4111 +tp4112 +a(g826 +g1044 +tp4113 +a(g705 +g1040 +tp4114 +a(g573 +Vlist +p4115 +tp4116 +a(g705 +g1064 +tp4117 +a(g826 +V\u000a +p4118 +tp4119 +a(g89 +g1068 +tp4120 +a(g89 +VDestructively remove +p4121 +tp4122 +a(g117 +V`equal' +p4123 +tp4124 +a(g89 +V duplicates from LIST.\u000aStore the result in LIST and return it. LIST must be a proper list.\u000aOf several +p4125 +tp4126 +a(g117 +V`equal' +p4127 +tp4128 +a(g89 +V occurrences of an element in LIST, the first\u000aone is kept. +p4129 +tp4130 +a(g89 +g1068 +tp4131 +a(g826 +V\u000a +p4132 +tp4133 +a(g705 +g1040 +tp4134 +a(g744 +Vlet +p4135 +tp4136 +a(g826 +g1044 +tp4137 +a(g705 +g1040 +tp4138 +a(g705 +g1040 +tp4139 +a(g440 +Vtail +p4140 +tp4141 +a(g826 +g1044 +tp4142 +a(g573 +Vlist +p4143 +tp4144 +a(g705 +g1064 +tp4145 +a(g705 +g1064 +tp4146 +a(g826 +V\u000a +p4147 +tp4148 +a(g705 +g1040 +tp4149 +a(g744 +Vwhile +p4150 +tp4151 +a(g826 +g1044 +tp4152 +a(g440 +Vtail +p4153 +tp4154 +a(g826 +V\u000a +p4155 +tp4156 +a(g705 +g1040 +tp4157 +a(g573 +Vsetcdr +p4158 +tp4159 +a(g826 +g1044 +tp4160 +a(g440 +Vtail +p4161 +tp4162 +a(g826 +g1044 +tp4163 +a(g705 +g1040 +tp4164 +a(g573 +Vdelete +p4165 +tp4166 +a(g826 +g1044 +tp4167 +a(g705 +g1040 +tp4168 +a(g573 +Vcar +p4169 +tp4170 +a(g826 +g1044 +tp4171 +a(g440 +Vtail +p4172 +tp4173 +a(g705 +g1064 +tp4174 +a(g826 +g1044 +tp4175 +a(g705 +g1040 +tp4176 +a(g573 +Vcdr +p4177 +tp4178 +a(g826 +g1044 +tp4179 +a(g440 +Vtail +p4180 +tp4181 +a(g705 +g1064 +tp4182 +a(g705 +g1064 +tp4183 +a(g705 +g1064 +tp4184 +a(g826 +V\u000a +p4185 +tp4186 +a(g705 +g1040 +tp4187 +a(g744 +Vsetq +p4188 +tp4189 +a(g826 +g1044 +tp4190 +a(g440 +Vtail +p4191 +tp4192 +a(g826 +g1044 +tp4193 +a(g705 +g1040 +tp4194 +a(g573 +Vcdr +p4195 +tp4196 +a(g826 +g1044 +tp4197 +a(g440 +Vtail +p4198 +tp4199 +a(g705 +g1064 +tp4200 +a(g705 +g1064 +tp4201 +a(g705 +g1064 +tp4202 +a(g705 +g1064 +tp4203 +a(g826 +V\u000a +p4204 +tp4205 +a(g573 +Vlist +p4206 +tp4207 +a(g705 +g1064 +tp4208 +a(g826 +V\u000a\u000a +p4209 +tp4210 +a(g8 +V;; See http://lists.gnu.org/archive/html/emacs-devel/2013-05/msg00204.html +p4211 +tp4212 +a(g826 +V\u000a +p4213 +tp4214 +a(g705 +g1040 +tp4215 +a(g681 +Vdefun +p4216 +tp4217 +a(g826 +g1044 +tp4218 +a(g440 +Vdelete-consecutive-dups +p4219 +tp4220 +a(g826 +g1044 +tp4221 +a(g705 +g1040 +tp4222 +a(g573 +Vlist +p4223 +tp4224 +a(g826 +g1044 +tp4225 +a(g785 +V&optional +p4226 +tp4227 +a(g826 +g1044 +tp4228 +a(g440 +Vcircular +p4229 +tp4230 +a(g705 +g1064 +tp4231 +a(g826 +V\u000a +p4232 +tp4233 +a(g89 +g1068 +tp4234 +a(g89 +VDestructively remove +p4235 +tp4236 +a(g117 +V`equal' +p4237 +tp4238 +a(g89 +V consecutive duplicates from LIST.\u000aFirst and last elements are considered consecutive if CIRCULAR is\u000anon-nil. +p4239 +tp4240 +a(g89 +g1068 +tp4241 +a(g826 +V\u000a +p4242 +tp4243 +a(g705 +g1040 +tp4244 +a(g744 +Vlet +p4245 +tp4246 +a(g826 +g1044 +tp4247 +a(g705 +g1040 +tp4248 +a(g705 +g1040 +tp4249 +a(g440 +Vtail +p4250 +tp4251 +a(g826 +g1044 +tp4252 +a(g573 +Vlist +p4253 +tp4254 +a(g705 +g1064 +tp4255 +a(g826 +g1044 +tp4256 +a(g440 +Vlast +p4257 +tp4258 +a(g705 +g1064 +tp4259 +a(g826 +V\u000a +p4260 +tp4261 +a(g705 +g1040 +tp4262 +a(g744 +Vwhile +p4263 +tp4264 +a(g826 +g1044 +tp4265 +a(g705 +g1040 +tp4266 +a(g573 +Vconsp +p4267 +tp4268 +a(g826 +g1044 +tp4269 +a(g440 +Vtail +p4270 +tp4271 +a(g705 +g1064 +tp4272 +a(g826 +V\u000a +p4273 +tp4274 +a(g705 +g1040 +tp4275 +a(g744 +Vif +p4276 +tp4277 +a(g826 +g1044 +tp4278 +a(g705 +g1040 +tp4279 +a(g573 +Vequal +p4280 +tp4281 +a(g826 +g1044 +tp4282 +a(g705 +g1040 +tp4283 +a(g573 +Vcar +p4284 +tp4285 +a(g826 +g1044 +tp4286 +a(g440 +Vtail +p4287 +tp4288 +a(g705 +g1064 +tp4289 +a(g826 +g1044 +tp4290 +a(g705 +g1040 +tp4291 +a(g440 +Vcadr +p4292 +tp4293 +a(g826 +g1044 +tp4294 +a(g440 +Vtail +p4295 +tp4296 +a(g705 +g1064 +tp4297 +a(g705 +g1064 +tp4298 +a(g826 +V\u000a +p4299 +tp4300 +a(g705 +g1040 +tp4301 +a(g573 +Vsetcdr +p4302 +tp4303 +a(g826 +g1044 +tp4304 +a(g440 +Vtail +p4305 +tp4306 +a(g826 +g1044 +tp4307 +a(g705 +g1040 +tp4308 +a(g440 +Vcddr +p4309 +tp4310 +a(g826 +g1044 +tp4311 +a(g440 +Vtail +p4312 +tp4313 +a(g705 +g1064 +tp4314 +a(g705 +g1064 +tp4315 +a(g826 +V\u000a +p4316 +tp4317 +a(g705 +g1040 +tp4318 +a(g744 +Vsetq +p4319 +tp4320 +a(g826 +g1044 +tp4321 +a(g440 +Vlast +p4322 +tp4323 +a(g826 +g1044 +tp4324 +a(g705 +g1040 +tp4325 +a(g573 +Vcar +p4326 +tp4327 +a(g826 +g1044 +tp4328 +a(g440 +Vtail +p4329 +tp4330 +a(g705 +g1064 +tp4331 +a(g826 +V\u000a +p4332 +tp4333 +a(g440 +Vtail +p4334 +tp4335 +a(g826 +g1044 +tp4336 +a(g705 +g1040 +tp4337 +a(g573 +Vcdr +p4338 +tp4339 +a(g826 +g1044 +tp4340 +a(g440 +Vtail +p4341 +tp4342 +a(g705 +g1064 +tp4343 +a(g705 +g1064 +tp4344 +a(g705 +g1064 +tp4345 +a(g705 +g1064 +tp4346 +a(g826 +V\u000a +p4347 +tp4348 +a(g705 +g1040 +tp4349 +a(g744 +Vif +p4350 +tp4351 +a(g826 +g1044 +tp4352 +a(g705 +g1040 +tp4353 +a(g744 +Vand +p4354 +tp4355 +a(g826 +g1044 +tp4356 +a(g440 +Vcircular +p4357 +tp4358 +a(g826 +V\u000a +p4359 +tp4360 +a(g705 +g1040 +tp4361 +a(g573 +Vcdr +p4362 +tp4363 +a(g826 +g1044 +tp4364 +a(g573 +Vlist +p4365 +tp4366 +a(g705 +g1064 +tp4367 +a(g826 +V\u000a +p4368 +tp4369 +a(g705 +g1040 +tp4370 +a(g573 +Vequal +p4371 +tp4372 +a(g826 +g1044 +tp4373 +a(g440 +Vlast +p4374 +tp4375 +a(g826 +g1044 +tp4376 +a(g705 +g1040 +tp4377 +a(g573 +Vcar +p4378 +tp4379 +a(g826 +g1044 +tp4380 +a(g573 +Vlist +p4381 +tp4382 +a(g705 +g1064 +tp4383 +a(g705 +g1064 +tp4384 +a(g705 +g1064 +tp4385 +a(g826 +V\u000a +p4386 +tp4387 +a(g705 +g1040 +tp4388 +a(g440 +Vnbutlast +p4389 +tp4390 +a(g826 +g1044 +tp4391 +a(g573 +Vlist +p4392 +tp4393 +a(g705 +g1064 +tp4394 +a(g826 +V\u000a +p4395 +tp4396 +a(g573 +Vlist +p4397 +tp4398 +a(g705 +g1064 +tp4399 +a(g705 +g1064 +tp4400 +a(g705 +g1064 +tp4401 +a(g826 +V\u000a\u000a +p4402 +tp4403 +a(g705 +g1040 +tp4404 +a(g681 +Vdefun +p4405 +tp4406 +a(g826 +g1044 +tp4407 +a(g440 +Vnumber-sequence +p4408 +tp4409 +a(g826 +g1044 +tp4410 +a(g705 +g1040 +tp4411 +a(g440 +Vfrom +p4412 +tp4413 +a(g826 +g1044 +tp4414 +a(g785 +V&optional +p4415 +tp4416 +a(g826 +g1044 +tp4417 +a(g440 +Vto +p4418 +tp4419 +a(g826 +g1044 +tp4420 +a(g440 +Vinc +p4421 +tp4422 +a(g705 +g1064 +tp4423 +a(g826 +V\u000a +p4424 +tp4425 +a(g89 +g1068 +tp4426 +a(g89 +VReturn a sequence of numbers from FROM to TO (both inclusive) as a list.\u000aINC is the increment used between numbers in the sequence and defaults to 1.\u000aSo, the Nth element of the list is (+ FROM (* N INC)) where N counts from\u000azero. TO is only included if there is an N for which TO = FROM + N * INC.\u000aIf TO is nil or numerically equal to FROM, return (FROM).\u000aIf INC is positive and TO is less than FROM, or INC is negative\u000aand TO is larger than FROM, return nil.\u000aIf INC is zero and TO is neither nil nor numerically equal to\u000aFROM, signal an error.\u000a\u000aThis function is primarily designed for integer arguments.\u000aNevertheless, FROM, TO and INC can be integer or float. However,\u000afloating point arithmetic is inexact. For instance, depending on\u000athe machine, it may quite well happen that\u000a +p4427 +tp4428 +a(g89 +V\u005c( +p4429 +tp4430 +a(g89 +Vnumber-sequence 0.4 0.6 0.2) returns the one element list (0.4),\u000awhereas (number-sequence 0.4 0.8 0.2) returns a list with three\u000aelements. Thus, if some of the arguments are floats and one wants\u000ato make sure that TO is included, one may have to explicitly write\u000aTO as (+ FROM (* N INC)) or use a variable whose value was\u000acomputed with this exact expression. Alternatively, you can,\u000aof course, also replace TO with a slightly larger value\u000a +p4431 +tp4432 +a(g89 +V\u005c( +p4433 +tp4434 +a(g89 +Vor a slightly more negative value if INC is negative). +p4435 +tp4436 +a(g89 +g1068 +tp4437 +a(g826 +V\u000a +p4438 +tp4439 +a(g705 +g1040 +tp4440 +a(g744 +Vif +p4441 +tp4442 +a(g826 +g1044 +tp4443 +a(g705 +g1040 +tp4444 +a(g744 +Vor +p4445 +tp4446 +a(g826 +g1044 +tp4447 +a(g705 +g1040 +tp4448 +a(g440 +Vnot +p4449 +tp4450 +a(g826 +g1044 +tp4451 +a(g440 +Vto +p4452 +tp4453 +a(g705 +g1064 +tp4454 +a(g826 +g1044 +tp4455 +a(g705 +g1040 +tp4456 +a(g573 +g4082 +tp4457 +a(g826 +g1044 +tp4458 +a(g440 +Vfrom +p4459 +tp4460 +a(g826 +g1044 +tp4461 +a(g440 +Vto +p4462 +tp4463 +a(g705 +g1064 +tp4464 +a(g705 +g1064 +tp4465 +a(g826 +V\u000a +p4466 +tp4467 +a(g705 +g1040 +tp4468 +a(g573 +Vlist +p4469 +tp4470 +a(g826 +g1044 +tp4471 +a(g440 +Vfrom +p4472 +tp4473 +a(g705 +g1064 +tp4474 +a(g826 +V\u000a +p4475 +tp4476 +a(g705 +g1040 +tp4477 +a(g744 +Vor +p4478 +tp4479 +a(g826 +g1044 +tp4480 +a(g440 +Vinc +p4481 +tp4482 +a(g826 +g1044 +tp4483 +a(g705 +g1040 +tp4484 +a(g744 +Vsetq +p4485 +tp4486 +a(g826 +g1044 +tp4487 +a(g440 +Vinc +p4488 +tp4489 +a(g826 +g1044 +tp4490 +a(g37 +g2048 +tp4491 +a(g705 +g1064 +tp4492 +a(g705 +g1064 +tp4493 +a(g826 +V\u000a +p4494 +tp4495 +a(g705 +g1040 +tp4496 +a(g681 +Vwhen +p4497 +tp4498 +a(g826 +g1044 +tp4499 +a(g705 +g1040 +tp4500 +a(g440 +Vzerop +p4501 +tp4502 +a(g826 +g1044 +tp4503 +a(g440 +Vinc +p4504 +tp4505 +a(g705 +g1064 +tp4506 +a(g826 +g1044 +tp4507 +a(g705 +g1040 +tp4508 +a(g612 +Verror +p4509 +tp4510 +a(g826 +g1044 +tp4511 +a(g89 +g1068 +tp4512 +a(g89 +VThe increment can not be zero +p4513 +tp4514 +a(g89 +g1068 +tp4515 +a(g705 +g1064 +tp4516 +a(g705 +g1064 +tp4517 +a(g826 +V\u000a +p4518 +tp4519 +a(g705 +g1040 +tp4520 +a(g744 +Vlet +p4521 +tp4522 +a(g826 +g1044 +tp4523 +a(g705 +g1040 +tp4524 +a(g440 +Vseq +p4525 +tp4526 +a(g826 +g1044 +tp4527 +a(g705 +g1040 +tp4528 +a(g440 +g3719 +tp4529 +a(g826 +g1044 +tp4530 +a(g37 +g2628 +tp4531 +a(g705 +g1064 +tp4532 +a(g826 +g1044 +tp4533 +a(g705 +g1040 +tp4534 +a(g440 +Vnext +p4535 +tp4536 +a(g826 +g1044 +tp4537 +a(g440 +Vfrom +p4538 +tp4539 +a(g705 +g1064 +tp4540 +a(g705 +g1064 +tp4541 +a(g826 +V\u000a +p4542 +tp4543 +a(g705 +g1040 +tp4544 +a(g744 +Vif +p4545 +tp4546 +a(g826 +g1044 +tp4547 +a(g705 +g1040 +tp4548 +a(g573 +g3989 +tp4549 +a(g826 +g1044 +tp4550 +a(g440 +Vinc +p4551 +tp4552 +a(g826 +g1044 +tp4553 +a(g37 +g2628 +tp4554 +a(g705 +g1064 +tp4555 +a(g826 +V\u000a +p4556 +tp4557 +a(g705 +g1040 +tp4558 +a(g744 +Vwhile +p4559 +tp4560 +a(g826 +g1044 +tp4561 +a(g705 +g1040 +tp4562 +a(g573 +V<= +p4563 +tp4564 +a(g826 +g1044 +tp4565 +a(g440 +Vnext +p4566 +tp4567 +a(g826 +g1044 +tp4568 +a(g440 +Vto +p4569 +tp4570 +a(g705 +g1064 +tp4571 +a(g826 +V\u000a +p4572 +tp4573 +a(g705 +g1040 +tp4574 +a(g744 +Vsetq +p4575 +tp4576 +a(g826 +g1044 +tp4577 +a(g440 +Vseq +p4578 +tp4579 +a(g826 +g1044 +tp4580 +a(g705 +g1040 +tp4581 +a(g573 +Vcons +p4582 +tp4583 +a(g826 +g1044 +tp4584 +a(g440 +Vnext +p4585 +tp4586 +a(g826 +g1044 +tp4587 +a(g440 +Vseq +p4588 +tp4589 +a(g705 +g1064 +tp4590 +a(g826 +V\u000a +p4591 +tp4592 +a(g440 +g3719 +tp4593 +a(g826 +g1044 +tp4594 +a(g705 +g1040 +tp4595 +a(g573 +V1+ +p4596 +tp4597 +a(g826 +g1044 +tp4598 +a(g440 +g3719 +tp4599 +a(g705 +g1064 +tp4600 +a(g826 +V\u000a +p4601 +tp4602 +a(g440 +Vnext +p4603 +tp4604 +a(g826 +g1044 +tp4605 +a(g705 +g1040 +tp4606 +a(g573 +V+ +p4607 +tp4608 +a(g826 +g1044 +tp4609 +a(g440 +Vfrom +p4610 +tp4611 +a(g826 +g1044 +tp4612 +a(g705 +g1040 +tp4613 +a(g573 +V* +p4614 +tp4615 +a(g826 +g1044 +tp4616 +a(g440 +g3719 +tp4617 +a(g826 +g1044 +tp4618 +a(g440 +Vinc +p4619 +tp4620 +a(g705 +g1064 +tp4621 +a(g705 +g1064 +tp4622 +a(g705 +g1064 +tp4623 +a(g705 +g1064 +tp4624 +a(g826 +V\u000a +p4625 +tp4626 +a(g705 +g1040 +tp4627 +a(g744 +Vwhile +p4628 +tp4629 +a(g826 +g1044 +tp4630 +a(g705 +g1040 +tp4631 +a(g573 +V>= +p4632 +tp4633 +a(g826 +g1044 +tp4634 +a(g440 +Vnext +p4635 +tp4636 +a(g826 +g1044 +tp4637 +a(g440 +Vto +p4638 +tp4639 +a(g705 +g1064 +tp4640 +a(g826 +V\u000a +p4641 +tp4642 +a(g705 +g1040 +tp4643 +a(g744 +Vsetq +p4644 +tp4645 +a(g826 +g1044 +tp4646 +a(g440 +Vseq +p4647 +tp4648 +a(g826 +g1044 +tp4649 +a(g705 +g1040 +tp4650 +a(g573 +Vcons +p4651 +tp4652 +a(g826 +g1044 +tp4653 +a(g440 +Vnext +p4654 +tp4655 +a(g826 +g1044 +tp4656 +a(g440 +Vseq +p4657 +tp4658 +a(g705 +g1064 +tp4659 +a(g826 +V\u000a +p4660 +tp4661 +a(g440 +g3719 +tp4662 +a(g826 +g1044 +tp4663 +a(g705 +g1040 +tp4664 +a(g573 +V1+ +p4665 +tp4666 +a(g826 +g1044 +tp4667 +a(g440 +g3719 +tp4668 +a(g705 +g1064 +tp4669 +a(g826 +V\u000a +p4670 +tp4671 +a(g440 +Vnext +p4672 +tp4673 +a(g826 +g1044 +tp4674 +a(g705 +g1040 +tp4675 +a(g573 +g4607 +tp4676 +a(g826 +g1044 +tp4677 +a(g440 +Vfrom +p4678 +tp4679 +a(g826 +g1044 +tp4680 +a(g705 +g1040 +tp4681 +a(g573 +g4614 +tp4682 +a(g826 +g1044 +tp4683 +a(g440 +g3719 +tp4684 +a(g826 +g1044 +tp4685 +a(g440 +Vinc +p4686 +tp4687 +a(g705 +g1064 +tp4688 +a(g705 +g1064 +tp4689 +a(g705 +g1064 +tp4690 +a(g705 +g1064 +tp4691 +a(g705 +g1064 +tp4692 +a(g826 +V\u000a +p4693 +tp4694 +a(g705 +g1040 +tp4695 +a(g573 +Vnreverse +p4696 +tp4697 +a(g826 +g1044 +tp4698 +a(g440 +Vseq +p4699 +tp4700 +a(g705 +g1064 +tp4701 +a(g705 +g1064 +tp4702 +a(g705 +g1064 +tp4703 +a(g705 +g1064 +tp4704 +a(g826 +V\u000a\u000a +p4705 +tp4706 +a(g705 +g1040 +tp4707 +a(g681 +Vdefun +p4708 +tp4709 +a(g826 +g1044 +tp4710 +a(g440 +Vcopy-tree +p4711 +tp4712 +a(g826 +g1044 +tp4713 +a(g705 +g1040 +tp4714 +a(g440 +Vtree +p4715 +tp4716 +a(g826 +g1044 +tp4717 +a(g785 +V&optional +p4718 +tp4719 +a(g826 +g1044 +tp4720 +a(g440 +Vvecp +p4721 +tp4722 +a(g705 +g1064 +tp4723 +a(g826 +V\u000a +p4724 +tp4725 +a(g89 +g1068 +tp4726 +a(g89 +VMake a copy of TREE.\u000aIf TREE is a cons cell, this recursively copies both its car and its cdr.\u000aContrast to +p4727 +tp4728 +a(g117 +V`copy-sequence' +p4729 +tp4730 +a(g89 +V, which copies only along the cdrs. With second\u000aargument VECP, this copies vectors as well as conses. +p4731 +tp4732 +a(g89 +g1068 +tp4733 +a(g826 +V\u000a +p4734 +tp4735 +a(g705 +g1040 +tp4736 +a(g744 +Vif +p4737 +tp4738 +a(g826 +g1044 +tp4739 +a(g705 +g1040 +tp4740 +a(g573 +Vconsp +p4741 +tp4742 +a(g826 +g1044 +tp4743 +a(g440 +Vtree +p4744 +tp4745 +a(g705 +g1064 +tp4746 +a(g826 +V\u000a +p4747 +tp4748 +a(g705 +g1040 +tp4749 +a(g744 +Vlet +p4750 +tp4751 +a(g826 +g1044 +tp4752 +a(g705 +g1040 +tp4753 +a(g440 +Vresult +p4754 +tp4755 +a(g705 +g1064 +tp4756 +a(g826 +V\u000a +p4757 +tp4758 +a(g705 +g1040 +tp4759 +a(g744 +Vwhile +p4760 +tp4761 +a(g826 +g1044 +tp4762 +a(g705 +g1040 +tp4763 +a(g573 +Vconsp +p4764 +tp4765 +a(g826 +g1044 +tp4766 +a(g440 +Vtree +p4767 +tp4768 +a(g705 +g1064 +tp4769 +a(g826 +V\u000a +p4770 +tp4771 +a(g705 +g1040 +tp4772 +a(g744 +Vlet +p4773 +tp4774 +a(g826 +g1044 +tp4775 +a(g705 +g1040 +tp4776 +a(g705 +g1040 +tp4777 +a(g440 +Vnewcar +p4778 +tp4779 +a(g826 +g1044 +tp4780 +a(g705 +g1040 +tp4781 +a(g573 +Vcar +p4782 +tp4783 +a(g826 +g1044 +tp4784 +a(g440 +Vtree +p4785 +tp4786 +a(g705 +g1064 +tp4787 +a(g705 +g1064 +tp4788 +a(g705 +g1064 +tp4789 +a(g826 +V\u000a +p4790 +tp4791 +a(g705 +g1040 +tp4792 +a(g744 +Vif +p4793 +tp4794 +a(g826 +g1044 +tp4795 +a(g705 +g1040 +tp4796 +a(g744 +Vor +p4797 +tp4798 +a(g826 +g1044 +tp4799 +a(g705 +g1040 +tp4800 +a(g573 +Vconsp +p4801 +tp4802 +a(g826 +g1044 +tp4803 +a(g705 +g1040 +tp4804 +a(g573 +Vcar +p4805 +tp4806 +a(g826 +g1044 +tp4807 +a(g440 +Vtree +p4808 +tp4809 +a(g705 +g1064 +tp4810 +a(g705 +g1064 +tp4811 +a(g826 +g1044 +tp4812 +a(g705 +g1040 +tp4813 +a(g744 +Vand +p4814 +tp4815 +a(g826 +g1044 +tp4816 +a(g440 +Vvecp +p4817 +tp4818 +a(g826 +g1044 +tp4819 +a(g705 +g1040 +tp4820 +a(g573 +Vvectorp +p4821 +tp4822 +a(g826 +g1044 +tp4823 +a(g705 +g1040 +tp4824 +a(g573 +Vcar +p4825 +tp4826 +a(g826 +g1044 +tp4827 +a(g440 +Vtree +p4828 +tp4829 +a(g705 +g1064 +tp4830 +a(g705 +g1064 +tp4831 +a(g705 +g1064 +tp4832 +a(g705 +g1064 +tp4833 +a(g826 +V\u000a +p4834 +tp4835 +a(g705 +g1040 +tp4836 +a(g744 +Vsetq +p4837 +tp4838 +a(g826 +g1044 +tp4839 +a(g440 +Vnewcar +p4840 +tp4841 +a(g826 +g1044 +tp4842 +a(g705 +g1040 +tp4843 +a(g440 +Vcopy-tree +p4844 +tp4845 +a(g826 +g1044 +tp4846 +a(g705 +g1040 +tp4847 +a(g573 +Vcar +p4848 +tp4849 +a(g826 +g1044 +tp4850 +a(g440 +Vtree +p4851 +tp4852 +a(g705 +g1064 +tp4853 +a(g826 +g1044 +tp4854 +a(g440 +Vvecp +p4855 +tp4856 +a(g705 +g1064 +tp4857 +a(g705 +g1064 +tp4858 +a(g705 +g1064 +tp4859 +a(g826 +V\u000a +p4860 +tp4861 +a(g705 +g1040 +tp4862 +a(g681 +Vpush +p4863 +tp4864 +a(g826 +g1044 +tp4865 +a(g440 +Vnewcar +p4866 +tp4867 +a(g826 +g1044 +tp4868 +a(g440 +Vresult +p4869 +tp4870 +a(g705 +g1064 +tp4871 +a(g705 +g1064 +tp4872 +a(g826 +V\u000a +p4873 +tp4874 +a(g705 +g1040 +tp4875 +a(g744 +Vsetq +p4876 +tp4877 +a(g826 +g1044 +tp4878 +a(g440 +Vtree +p4879 +tp4880 +a(g826 +g1044 +tp4881 +a(g705 +g1040 +tp4882 +a(g573 +Vcdr +p4883 +tp4884 +a(g826 +g1044 +tp4885 +a(g440 +Vtree +p4886 +tp4887 +a(g705 +g1064 +tp4888 +a(g705 +g1064 +tp4889 +a(g705 +g1064 +tp4890 +a(g826 +V\u000a +p4891 +tp4892 +a(g705 +g1040 +tp4893 +a(g573 +Vnconc +p4894 +tp4895 +a(g826 +g1044 +tp4896 +a(g705 +g1040 +tp4897 +a(g573 +Vnreverse +p4898 +tp4899 +a(g826 +g1044 +tp4900 +a(g440 +Vresult +p4901 +tp4902 +a(g705 +g1064 +tp4903 +a(g826 +g1044 +tp4904 +a(g440 +Vtree +p4905 +tp4906 +a(g705 +g1064 +tp4907 +a(g705 +g1064 +tp4908 +a(g826 +V\u000a +p4909 +tp4910 +a(g705 +g1040 +tp4911 +a(g744 +Vif +p4912 +tp4913 +a(g826 +g1044 +tp4914 +a(g705 +g1040 +tp4915 +a(g744 +Vand +p4916 +tp4917 +a(g826 +g1044 +tp4918 +a(g440 +Vvecp +p4919 +tp4920 +a(g826 +g1044 +tp4921 +a(g705 +g1040 +tp4922 +a(g573 +Vvectorp +p4923 +tp4924 +a(g826 +g1044 +tp4925 +a(g440 +Vtree +p4926 +tp4927 +a(g705 +g1064 +tp4928 +a(g705 +g1064 +tp4929 +a(g826 +V\u000a +p4930 +tp4931 +a(g705 +g1040 +tp4932 +a(g744 +Vlet +p4933 +tp4934 +a(g826 +g1044 +tp4935 +a(g705 +g1040 +tp4936 +a(g705 +g1040 +tp4937 +a(g440 +Vi +p4938 +tp4939 +a(g826 +g1044 +tp4940 +a(g705 +g1040 +tp4941 +a(g573 +Vlength +p4942 +tp4943 +a(g826 +g1044 +tp4944 +a(g705 +g1040 +tp4945 +a(g744 +Vsetq +p4946 +tp4947 +a(g826 +g1044 +tp4948 +a(g440 +Vtree +p4949 +tp4950 +a(g826 +g1044 +tp4951 +a(g705 +g1040 +tp4952 +a(g573 +Vcopy-sequence +p4953 +tp4954 +a(g826 +g1044 +tp4955 +a(g440 +Vtree +p4956 +tp4957 +a(g705 +g1064 +tp4958 +a(g705 +g1064 +tp4959 +a(g705 +g1064 +tp4960 +a(g705 +g1064 +tp4961 +a(g705 +g1064 +tp4962 +a(g826 +V\u000a +p4963 +tp4964 +a(g705 +g1040 +tp4965 +a(g744 +Vwhile +p4966 +tp4967 +a(g826 +g1044 +tp4968 +a(g705 +g1040 +tp4969 +a(g573 +V>= +p4970 +tp4971 +a(g826 +g1044 +tp4972 +a(g705 +g1040 +tp4973 +a(g744 +Vsetq +p4974 +tp4975 +a(g826 +g1044 +tp4976 +a(g440 +g4938 +tp4977 +a(g826 +g1044 +tp4978 +a(g705 +g1040 +tp4979 +a(g573 +V1- +p4980 +tp4981 +a(g826 +g1044 +tp4982 +a(g440 +g4938 +tp4983 +a(g705 +g1064 +tp4984 +a(g705 +g1064 +tp4985 +a(g826 +g1044 +tp4986 +a(g37 +g2628 +tp4987 +a(g705 +g1064 +tp4988 +a(g826 +V\u000a +p4989 +tp4990 +a(g705 +g1040 +tp4991 +a(g573 +Vaset +p4992 +tp4993 +a(g826 +g1044 +tp4994 +a(g440 +Vtree +p4995 +tp4996 +a(g826 +g1044 +tp4997 +a(g440 +g4938 +tp4998 +a(g826 +g1044 +tp4999 +a(g705 +g1040 +tp5000 +a(g440 +Vcopy-tree +p5001 +tp5002 +a(g826 +g1044 +tp5003 +a(g705 +g1040 +tp5004 +a(g573 +Varef +p5005 +tp5006 +a(g826 +g1044 +tp5007 +a(g440 +Vtree +p5008 +tp5009 +a(g826 +g1044 +tp5010 +a(g440 +g4938 +tp5011 +a(g705 +g1064 +tp5012 +a(g826 +g1044 +tp5013 +a(g440 +Vvecp +p5014 +tp5015 +a(g705 +g1064 +tp5016 +a(g705 +g1064 +tp5017 +a(g705 +g1064 +tp5018 +a(g826 +V\u000a +p5019 +tp5020 +a(g440 +Vtree +p5021 +tp5022 +a(g705 +g1064 +tp5023 +a(g826 +V\u000a +p5024 +tp5025 +a(g440 +Vtree +p5026 +tp5027 +a(g705 +g1064 +tp5028 +a(g705 +g1064 +tp5029 +a(g705 +g1064 +tp5030 +a(g826 +V\u000a \u000a +p5031 +tp5032 +a(g8 +V;;;; Various list-search functions. +p5033 +tp5034 +a(g826 +V\u000a\u000a +p5035 +tp5036 +a(g705 +g1040 +tp5037 +a(g681 +Vdefun +p5038 +tp5039 +a(g826 +g1044 +tp5040 +a(g440 +Vassoc-default +p5041 +tp5042 +a(g826 +g1044 +tp5043 +a(g705 +g1040 +tp5044 +a(g440 +Vkey +p5045 +tp5046 +a(g826 +g1044 +tp5047 +a(g440 +Valist +p5048 +tp5049 +a(g826 +g1044 +tp5050 +a(g785 +V&optional +p5051 +tp5052 +a(g826 +g1044 +tp5053 +a(g440 +Vtest +p5054 +tp5055 +a(g826 +g1044 +tp5056 +a(g440 +Vdefault +p5057 +tp5058 +a(g705 +g1064 +tp5059 +a(g826 +V\u000a +p5060 +tp5061 +a(g89 +g1068 +tp5062 +a(g89 +VFind object KEY in a pseudo-alist ALIST.\u000aALIST is a list of conses or objects. Each element\u000a (or the element's car, if it is a cons) is compared with KEY by\u000a calling TEST, with two arguments: (i) the element or its car,\u000a and (ii) KEY.\u000aIf that is non-nil, the element matches; then +p5063 +tp5064 +a(g117 +V`assoc-default' +p5065 +tp5066 +a(g89 +V\u000a returns the element's cdr, if it is a cons, or DEFAULT if the\u000a element is not a cons.\u000a\u000aIf no element matches, the value is nil.\u000aIf TEST is omitted or nil, +p5067 +tp5068 +a(g117 +V`equal' +p5069 +tp5070 +a(g89 +V is used. +p5071 +tp5072 +a(g89 +g1068 +tp5073 +a(g826 +V\u000a +p5074 +tp5075 +a(g705 +g1040 +tp5076 +a(g744 +Vlet +p5077 +tp5078 +a(g826 +g1044 +tp5079 +a(g705 +g1040 +tp5080 +a(g440 +Vfound +p5081 +tp5082 +a(g826 +g1044 +tp5083 +a(g705 +g1040 +tp5084 +a(g440 +Vtail +p5085 +tp5086 +a(g826 +g1044 +tp5087 +a(g440 +Valist +p5088 +tp5089 +a(g705 +g1064 +tp5090 +a(g826 +g1044 +tp5091 +a(g440 +Vvalue +p5092 +tp5093 +a(g705 +g1064 +tp5094 +a(g826 +V\u000a +p5095 +tp5096 +a(g705 +g1040 +tp5097 +a(g744 +Vwhile +p5098 +tp5099 +a(g826 +g1044 +tp5100 +a(g705 +g1040 +tp5101 +a(g744 +Vand +p5102 +tp5103 +a(g826 +g1044 +tp5104 +a(g440 +Vtail +p5105 +tp5106 +a(g826 +g1044 +tp5107 +a(g705 +g1040 +tp5108 +a(g440 +Vnot +p5109 +tp5110 +a(g826 +g1044 +tp5111 +a(g440 +Vfound +p5112 +tp5113 +a(g705 +g1064 +tp5114 +a(g705 +g1064 +tp5115 +a(g826 +V\u000a +p5116 +tp5117 +a(g705 +g1040 +tp5118 +a(g744 +Vlet +p5119 +tp5120 +a(g826 +g1044 +tp5121 +a(g705 +g1040 +tp5122 +a(g705 +g1040 +tp5123 +a(g573 +Velt +p5124 +tp5125 +a(g826 +g1044 +tp5126 +a(g705 +g1040 +tp5127 +a(g573 +Vcar +p5128 +tp5129 +a(g826 +g1044 +tp5130 +a(g440 +Vtail +p5131 +tp5132 +a(g705 +g1064 +tp5133 +a(g705 +g1064 +tp5134 +a(g705 +g1064 +tp5135 +a(g826 +V\u000a +p5136 +tp5137 +a(g705 +g1040 +tp5138 +a(g681 +Vwhen +p5139 +tp5140 +a(g826 +g1044 +tp5141 +a(g705 +g1040 +tp5142 +a(g573 +Vfuncall +p5143 +tp5144 +a(g826 +g1044 +tp5145 +a(g705 +g1040 +tp5146 +a(g744 +Vor +p5147 +tp5148 +a(g826 +g1044 +tp5149 +a(g440 +Vtest +p5150 +tp5151 +a(g826 +g1044 +tp5152 +a(g117 +V'equal +p5153 +tp5154 +a(g705 +g1064 +tp5155 +a(g826 +g1044 +tp5156 +a(g705 +g1040 +tp5157 +a(g744 +Vif +p5158 +tp5159 +a(g826 +g1044 +tp5160 +a(g705 +g1040 +tp5161 +a(g573 +Vconsp +p5162 +tp5163 +a(g826 +g1044 +tp5164 +a(g573 +Velt +p5165 +tp5166 +a(g705 +g1064 +tp5167 +a(g826 +g1044 +tp5168 +a(g705 +g1040 +tp5169 +a(g573 +Vcar +p5170 +tp5171 +a(g826 +g1044 +tp5172 +a(g573 +Velt +p5173 +tp5174 +a(g705 +g1064 +tp5175 +a(g826 +g1044 +tp5176 +a(g573 +Velt +p5177 +tp5178 +a(g705 +g1064 +tp5179 +a(g826 +g1044 +tp5180 +a(g440 +Vkey +p5181 +tp5182 +a(g705 +g1064 +tp5183 +a(g826 +V\u000a +p5184 +tp5185 +a(g705 +g1040 +tp5186 +a(g744 +Vsetq +p5187 +tp5188 +a(g826 +g1044 +tp5189 +a(g440 +Vfound +p5190 +tp5191 +a(g826 +g1044 +tp5192 +a(g565 +g1187 +tp5193 +a(g826 +g1044 +tp5194 +a(g440 +Vvalue +p5195 +tp5196 +a(g826 +g1044 +tp5197 +a(g705 +g1040 +tp5198 +a(g744 +Vif +p5199 +tp5200 +a(g826 +g1044 +tp5201 +a(g705 +g1040 +tp5202 +a(g573 +Vconsp +p5203 +tp5204 +a(g826 +g1044 +tp5205 +a(g573 +Velt +p5206 +tp5207 +a(g705 +g1064 +tp5208 +a(g826 +g1044 +tp5209 +a(g705 +g1040 +tp5210 +a(g573 +Vcdr +p5211 +tp5212 +a(g826 +g1044 +tp5213 +a(g573 +Velt +p5214 +tp5215 +a(g705 +g1064 +tp5216 +a(g826 +g1044 +tp5217 +a(g440 +Vdefault +p5218 +tp5219 +a(g705 +g1064 +tp5220 +a(g705 +g1064 +tp5221 +a(g705 +g1064 +tp5222 +a(g705 +g1064 +tp5223 +a(g826 +V\u000a +p5224 +tp5225 +a(g705 +g1040 +tp5226 +a(g744 +Vsetq +p5227 +tp5228 +a(g826 +g1044 +tp5229 +a(g440 +Vtail +p5230 +tp5231 +a(g826 +g1044 +tp5232 +a(g705 +g1040 +tp5233 +a(g573 +Vcdr +p5234 +tp5235 +a(g826 +g1044 +tp5236 +a(g440 +Vtail +p5237 +tp5238 +a(g705 +g1064 +tp5239 +a(g705 +g1064 +tp5240 +a(g705 +g1064 +tp5241 +a(g826 +V\u000a +p5242 +tp5243 +a(g440 +Vvalue +p5244 +tp5245 +a(g705 +g1064 +tp5246 +a(g705 +g1064 +tp5247 +a(g826 +V\u000a\u000a +p5248 +tp5249 +a(g705 +g1040 +tp5250 +a(g681 +Vdefun +p5251 +tp5252 +a(g826 +g1044 +tp5253 +a(g440 +Vassoc-ignore-case +p5254 +tp5255 +a(g826 +g1044 +tp5256 +a(g705 +g1040 +tp5257 +a(g440 +Vkey +p5258 +tp5259 +a(g826 +g1044 +tp5260 +a(g440 +Valist +p5261 +tp5262 +a(g705 +g1064 +tp5263 +a(g826 +V\u000a +p5264 +tp5265 +a(g89 +g1068 +tp5266 +a(g89 +VLike +p5267 +tp5268 +a(g117 +V`assoc' +p5269 +tp5270 +a(g89 +V, but ignores differences in case and text representation.\u000aKEY must be a string. Upper-case and lower-case letters are treated as equal.\u000aUnibyte strings are converted to multibyte for comparison. +p5271 +tp5272 +a(g89 +g1068 +tp5273 +a(g826 +V\u000a +p5274 +tp5275 +a(g705 +g1040 +tp5276 +a(g681 +Vdeclare +p5277 +tp5278 +a(g826 +g1044 +tp5279 +a(g705 +g1040 +tp5280 +a(g440 +Vobsolete +p5281 +tp5282 +a(g826 +g1044 +tp5283 +a(g573 +Vassoc-string +p5284 +tp5285 +a(g826 +g1044 +tp5286 +a(g89 +g1068 +tp5287 +a(g89 +V22.1 +p5288 +tp5289 +a(g89 +g1068 +tp5290 +a(g705 +g1064 +tp5291 +a(g705 +g1064 +tp5292 +a(g826 +V\u000a +p5293 +tp5294 +a(g705 +g1040 +tp5295 +a(g573 +Vassoc-string +p5296 +tp5297 +a(g826 +g1044 +tp5298 +a(g440 +Vkey +p5299 +tp5300 +a(g826 +g1044 +tp5301 +a(g440 +Valist +p5302 +tp5303 +a(g826 +g1044 +tp5304 +a(g565 +g1187 +tp5305 +a(g705 +g1064 +tp5306 +a(g705 +g1064 +tp5307 +a(g826 +V\u000a\u000a +p5308 +tp5309 +a(g705 +g1040 +tp5310 +a(g681 +Vdefun +p5311 +tp5312 +a(g826 +g1044 +tp5313 +a(g440 +Vassoc-ignore-representation +p5314 +tp5315 +a(g826 +g1044 +tp5316 +a(g705 +g1040 +tp5317 +a(g440 +Vkey +p5318 +tp5319 +a(g826 +g1044 +tp5320 +a(g440 +Valist +p5321 +tp5322 +a(g705 +g1064 +tp5323 +a(g826 +V\u000a +p5324 +tp5325 +a(g89 +g1068 +tp5326 +a(g89 +VLike +p5327 +tp5328 +a(g117 +V`assoc' +p5329 +tp5330 +a(g89 +V, but ignores differences in text representation.\u000aKEY must be a string.\u000aUnibyte strings are converted to multibyte for comparison. +p5331 +tp5332 +a(g89 +g1068 +tp5333 +a(g826 +V\u000a +p5334 +tp5335 +a(g705 +g1040 +tp5336 +a(g681 +Vdeclare +p5337 +tp5338 +a(g826 +g1044 +tp5339 +a(g705 +g1040 +tp5340 +a(g440 +Vobsolete +p5341 +tp5342 +a(g826 +g1044 +tp5343 +a(g573 +Vassoc-string +p5344 +tp5345 +a(g826 +g1044 +tp5346 +a(g89 +g1068 +tp5347 +a(g89 +V22.1 +p5348 +tp5349 +a(g89 +g1068 +tp5350 +a(g705 +g1064 +tp5351 +a(g705 +g1064 +tp5352 +a(g826 +V\u000a +p5353 +tp5354 +a(g705 +g1040 +tp5355 +a(g573 +Vassoc-string +p5356 +tp5357 +a(g826 +g1044 +tp5358 +a(g440 +Vkey +p5359 +tp5360 +a(g826 +g1044 +tp5361 +a(g440 +Valist +p5362 +tp5363 +a(g826 +g1044 +tp5364 +a(g565 +Vnil +p5365 +tp5366 +a(g705 +g1064 +tp5367 +a(g705 +g1064 +tp5368 +a(g826 +V\u000a\u000a +p5369 +tp5370 +a(g705 +g1040 +tp5371 +a(g681 +Vdefun +p5372 +tp5373 +a(g826 +g1044 +tp5374 +a(g440 +Vmember-ignore-case +p5375 +tp5376 +a(g826 +g1044 +tp5377 +a(g705 +g1040 +tp5378 +a(g573 +Velt +p5379 +tp5380 +a(g826 +g1044 +tp5381 +a(g573 +Vlist +p5382 +tp5383 +a(g705 +g1064 +tp5384 +a(g826 +V\u000a +p5385 +tp5386 +a(g89 +g1068 +tp5387 +a(g89 +VLike +p5388 +tp5389 +a(g117 +V`member' +p5390 +tp5391 +a(g89 +V, but ignore differences in case and text representation.\u000aELT must be a string. Upper-case and lower-case letters are treated as equal.\u000aUnibyte strings are converted to multibyte for comparison.\u000aNon-strings in LIST are ignored. +p5392 +tp5393 +a(g89 +g1068 +tp5394 +a(g826 +V\u000a +p5395 +tp5396 +a(g705 +g1040 +tp5397 +a(g744 +Vwhile +p5398 +tp5399 +a(g826 +g1044 +tp5400 +a(g705 +g1040 +tp5401 +a(g744 +Vand +p5402 +tp5403 +a(g826 +g1044 +tp5404 +a(g573 +Vlist +p5405 +tp5406 +a(g826 +V\u000a +p5407 +tp5408 +a(g705 +g1040 +tp5409 +a(g440 +Vnot +p5410 +tp5411 +a(g826 +g1044 +tp5412 +a(g705 +g1040 +tp5413 +a(g744 +Vand +p5414 +tp5415 +a(g826 +g1044 +tp5416 +a(g705 +g1040 +tp5417 +a(g573 +Vstringp +p5418 +tp5419 +a(g826 +g1044 +tp5420 +a(g705 +g1040 +tp5421 +a(g573 +Vcar +p5422 +tp5423 +a(g826 +g1044 +tp5424 +a(g573 +Vlist +p5425 +tp5426 +a(g705 +g1064 +tp5427 +a(g705 +g1064 +tp5428 +a(g826 +V\u000a +p5429 +tp5430 +a(g705 +g1040 +tp5431 +a(g573 +Veq +p5432 +tp5433 +a(g826 +g1044 +tp5434 +a(g565 +g1187 +tp5435 +a(g826 +g1044 +tp5436 +a(g705 +g1040 +tp5437 +a(g573 +Vcompare-strings +p5438 +tp5439 +a(g826 +g1044 +tp5440 +a(g573 +Velt +p5441 +tp5442 +a(g826 +g1044 +tp5443 +a(g37 +g2628 +tp5444 +a(g826 +g1044 +tp5445 +a(g565 +Vnil +p5446 +tp5447 +a(g826 +g1044 +tp5448 +a(g705 +g1040 +tp5449 +a(g573 +Vcar +p5450 +tp5451 +a(g826 +g1044 +tp5452 +a(g573 +Vlist +p5453 +tp5454 +a(g705 +g1064 +tp5455 +a(g826 +g1044 +tp5456 +a(g37 +g2628 +tp5457 +a(g826 +g1044 +tp5458 +a(g565 +Vnil +p5459 +tp5460 +a(g826 +g1044 +tp5461 +a(g565 +g1187 +tp5462 +a(g705 +g1064 +tp5463 +a(g705 +g1064 +tp5464 +a(g705 +g1064 +tp5465 +a(g705 +g1064 +tp5466 +a(g705 +g1064 +tp5467 +a(g826 +V\u000a +p5468 +tp5469 +a(g705 +g1040 +tp5470 +a(g744 +Vsetq +p5471 +tp5472 +a(g826 +g1044 +tp5473 +a(g573 +Vlist +p5474 +tp5475 +a(g826 +g1044 +tp5476 +a(g705 +g1040 +tp5477 +a(g573 +Vcdr +p5478 +tp5479 +a(g826 +g1044 +tp5480 +a(g573 +Vlist +p5481 +tp5482 +a(g705 +g1064 +tp5483 +a(g705 +g1064 +tp5484 +a(g705 +g1064 +tp5485 +a(g826 +V\u000a +p5486 +tp5487 +a(g573 +Vlist +p5488 +tp5489 +a(g705 +g1064 +tp5490 +a(g826 +V\u000a\u000a +p5491 +tp5492 +a(g705 +g1040 +tp5493 +a(g681 +Vdefun +p5494 +tp5495 +a(g826 +g1044 +tp5496 +a(g440 +Vassq-delete-all +p5497 +tp5498 +a(g826 +g1044 +tp5499 +a(g705 +g1040 +tp5500 +a(g440 +Vkey +p5501 +tp5502 +a(g826 +g1044 +tp5503 +a(g440 +Valist +p5504 +tp5505 +a(g705 +g1064 +tp5506 +a(g826 +V\u000a +p5507 +tp5508 +a(g89 +g1068 +tp5509 +a(g89 +VDelete from ALIST all elements whose car is +p5510 +tp5511 +a(g117 +V`eq' +p5512 +tp5513 +a(g89 +V to KEY.\u000aReturn the modified alist.\u000aElements of ALIST that are not conses are ignored. +p5514 +tp5515 +a(g89 +g1068 +tp5516 +a(g826 +V\u000a +p5517 +tp5518 +a(g705 +g1040 +tp5519 +a(g744 +Vwhile +p5520 +tp5521 +a(g826 +g1044 +tp5522 +a(g705 +g1040 +tp5523 +a(g744 +Vand +p5524 +tp5525 +a(g826 +g1044 +tp5526 +a(g705 +g1040 +tp5527 +a(g573 +Vconsp +p5528 +tp5529 +a(g826 +g1044 +tp5530 +a(g705 +g1040 +tp5531 +a(g573 +Vcar +p5532 +tp5533 +a(g826 +g1044 +tp5534 +a(g440 +Valist +p5535 +tp5536 +a(g705 +g1064 +tp5537 +a(g705 +g1064 +tp5538 +a(g826 +V\u000a +p5539 +tp5540 +a(g705 +g1040 +tp5541 +a(g573 +Veq +p5542 +tp5543 +a(g826 +g1044 +tp5544 +a(g705 +g1040 +tp5545 +a(g573 +Vcar +p5546 +tp5547 +a(g826 +g1044 +tp5548 +a(g705 +g1040 +tp5549 +a(g573 +Vcar +p5550 +tp5551 +a(g826 +g1044 +tp5552 +a(g440 +Valist +p5553 +tp5554 +a(g705 +g1064 +tp5555 +a(g705 +g1064 +tp5556 +a(g826 +g1044 +tp5557 +a(g440 +Vkey +p5558 +tp5559 +a(g705 +g1064 +tp5560 +a(g705 +g1064 +tp5561 +a(g826 +V\u000a +p5562 +tp5563 +a(g705 +g1040 +tp5564 +a(g744 +Vsetq +p5565 +tp5566 +a(g826 +g1044 +tp5567 +a(g440 +Valist +p5568 +tp5569 +a(g826 +g1044 +tp5570 +a(g705 +g1040 +tp5571 +a(g573 +Vcdr +p5572 +tp5573 +a(g826 +g1044 +tp5574 +a(g440 +Valist +p5575 +tp5576 +a(g705 +g1064 +tp5577 +a(g705 +g1064 +tp5578 +a(g705 +g1064 +tp5579 +a(g826 +V\u000a +p5580 +tp5581 +a(g705 +g1040 +tp5582 +a(g744 +Vlet +p5583 +tp5584 +a(g826 +g1044 +tp5585 +a(g705 +g1040 +tp5586 +a(g705 +g1040 +tp5587 +a(g440 +Vtail +p5588 +tp5589 +a(g826 +g1044 +tp5590 +a(g440 +Valist +p5591 +tp5592 +a(g705 +g1064 +tp5593 +a(g826 +g1044 +tp5594 +a(g440 +Vtail-cdr +p5595 +tp5596 +a(g705 +g1064 +tp5597 +a(g826 +V\u000a +p5598 +tp5599 +a(g705 +g1040 +tp5600 +a(g744 +Vwhile +p5601 +tp5602 +a(g826 +g1044 +tp5603 +a(g705 +g1040 +tp5604 +a(g744 +Vsetq +p5605 +tp5606 +a(g826 +g1044 +tp5607 +a(g440 +Vtail-cdr +p5608 +tp5609 +a(g826 +g1044 +tp5610 +a(g705 +g1040 +tp5611 +a(g573 +Vcdr +p5612 +tp5613 +a(g826 +g1044 +tp5614 +a(g440 +Vtail +p5615 +tp5616 +a(g705 +g1064 +tp5617 +a(g705 +g1064 +tp5618 +a(g826 +V\u000a +p5619 +tp5620 +a(g705 +g1040 +tp5621 +a(g744 +Vif +p5622 +tp5623 +a(g826 +g1044 +tp5624 +a(g705 +g1040 +tp5625 +a(g744 +Vand +p5626 +tp5627 +a(g826 +g1044 +tp5628 +a(g705 +g1040 +tp5629 +a(g573 +Vconsp +p5630 +tp5631 +a(g826 +g1044 +tp5632 +a(g705 +g1040 +tp5633 +a(g573 +Vcar +p5634 +tp5635 +a(g826 +g1044 +tp5636 +a(g440 +Vtail-cdr +p5637 +tp5638 +a(g705 +g1064 +tp5639 +a(g705 +g1064 +tp5640 +a(g826 +V\u000a +p5641 +tp5642 +a(g705 +g1040 +tp5643 +a(g573 +Veq +p5644 +tp5645 +a(g826 +g1044 +tp5646 +a(g705 +g1040 +tp5647 +a(g573 +Vcar +p5648 +tp5649 +a(g826 +g1044 +tp5650 +a(g705 +g1040 +tp5651 +a(g573 +Vcar +p5652 +tp5653 +a(g826 +g1044 +tp5654 +a(g440 +Vtail-cdr +p5655 +tp5656 +a(g705 +g1064 +tp5657 +a(g705 +g1064 +tp5658 +a(g826 +g1044 +tp5659 +a(g440 +Vkey +p5660 +tp5661 +a(g705 +g1064 +tp5662 +a(g705 +g1064 +tp5663 +a(g826 +V\u000a +p5664 +tp5665 +a(g705 +g1040 +tp5666 +a(g573 +Vsetcdr +p5667 +tp5668 +a(g826 +g1044 +tp5669 +a(g440 +Vtail +p5670 +tp5671 +a(g826 +g1044 +tp5672 +a(g705 +g1040 +tp5673 +a(g573 +Vcdr +p5674 +tp5675 +a(g826 +g1044 +tp5676 +a(g440 +Vtail-cdr +p5677 +tp5678 +a(g705 +g1064 +tp5679 +a(g705 +g1064 +tp5680 +a(g826 +V\u000a +p5681 +tp5682 +a(g705 +g1040 +tp5683 +a(g744 +Vsetq +p5684 +tp5685 +a(g826 +g1044 +tp5686 +a(g440 +Vtail +p5687 +tp5688 +a(g826 +g1044 +tp5689 +a(g440 +Vtail-cdr +p5690 +tp5691 +a(g705 +g1064 +tp5692 +a(g705 +g1064 +tp5693 +a(g705 +g1064 +tp5694 +a(g705 +g1064 +tp5695 +a(g826 +V\u000a +p5696 +tp5697 +a(g440 +Valist +p5698 +tp5699 +a(g705 +g1064 +tp5700 +a(g826 +V\u000a\u000a +p5701 +tp5702 +a(g705 +g1040 +tp5703 +a(g681 +Vdefun +p5704 +tp5705 +a(g826 +g1044 +tp5706 +a(g440 +Vrassq-delete-all +p5707 +tp5708 +a(g826 +g1044 +tp5709 +a(g705 +g1040 +tp5710 +a(g440 +Vvalue +p5711 +tp5712 +a(g826 +g1044 +tp5713 +a(g440 +Valist +p5714 +tp5715 +a(g705 +g1064 +tp5716 +a(g826 +V\u000a +p5717 +tp5718 +a(g89 +g1068 +tp5719 +a(g89 +VDelete from ALIST all elements whose cdr is +p5720 +tp5721 +a(g117 +V`eq' +p5722 +tp5723 +a(g89 +V to VALUE.\u000aReturn the modified alist.\u000aElements of ALIST that are not conses are ignored. +p5724 +tp5725 +a(g89 +g1068 +tp5726 +a(g826 +V\u000a +p5727 +tp5728 +a(g705 +g1040 +tp5729 +a(g744 +Vwhile +p5730 +tp5731 +a(g826 +g1044 +tp5732 +a(g705 +g1040 +tp5733 +a(g744 +Vand +p5734 +tp5735 +a(g826 +g1044 +tp5736 +a(g705 +g1040 +tp5737 +a(g573 +Vconsp +p5738 +tp5739 +a(g826 +g1044 +tp5740 +a(g705 +g1040 +tp5741 +a(g573 +Vcar +p5742 +tp5743 +a(g826 +g1044 +tp5744 +a(g440 +Valist +p5745 +tp5746 +a(g705 +g1064 +tp5747 +a(g705 +g1064 +tp5748 +a(g826 +V\u000a +p5749 +tp5750 +a(g705 +g1040 +tp5751 +a(g573 +Veq +p5752 +tp5753 +a(g826 +g1044 +tp5754 +a(g705 +g1040 +tp5755 +a(g573 +Vcdr +p5756 +tp5757 +a(g826 +g1044 +tp5758 +a(g705 +g1040 +tp5759 +a(g573 +Vcar +p5760 +tp5761 +a(g826 +g1044 +tp5762 +a(g440 +Valist +p5763 +tp5764 +a(g705 +g1064 +tp5765 +a(g705 +g1064 +tp5766 +a(g826 +g1044 +tp5767 +a(g440 +Vvalue +p5768 +tp5769 +a(g705 +g1064 +tp5770 +a(g705 +g1064 +tp5771 +a(g826 +V\u000a +p5772 +tp5773 +a(g705 +g1040 +tp5774 +a(g744 +Vsetq +p5775 +tp5776 +a(g826 +g1044 +tp5777 +a(g440 +Valist +p5778 +tp5779 +a(g826 +g1044 +tp5780 +a(g705 +g1040 +tp5781 +a(g573 +Vcdr +p5782 +tp5783 +a(g826 +g1044 +tp5784 +a(g440 +Valist +p5785 +tp5786 +a(g705 +g1064 +tp5787 +a(g705 +g1064 +tp5788 +a(g705 +g1064 +tp5789 +a(g826 +V\u000a +p5790 +tp5791 +a(g705 +g1040 +tp5792 +a(g744 +Vlet +p5793 +tp5794 +a(g826 +g1044 +tp5795 +a(g705 +g1040 +tp5796 +a(g705 +g1040 +tp5797 +a(g440 +Vtail +p5798 +tp5799 +a(g826 +g1044 +tp5800 +a(g440 +Valist +p5801 +tp5802 +a(g705 +g1064 +tp5803 +a(g826 +g1044 +tp5804 +a(g440 +Vtail-cdr +p5805 +tp5806 +a(g705 +g1064 +tp5807 +a(g826 +V\u000a +p5808 +tp5809 +a(g705 +g1040 +tp5810 +a(g744 +Vwhile +p5811 +tp5812 +a(g826 +g1044 +tp5813 +a(g705 +g1040 +tp5814 +a(g744 +Vsetq +p5815 +tp5816 +a(g826 +g1044 +tp5817 +a(g440 +Vtail-cdr +p5818 +tp5819 +a(g826 +g1044 +tp5820 +a(g705 +g1040 +tp5821 +a(g573 +Vcdr +p5822 +tp5823 +a(g826 +g1044 +tp5824 +a(g440 +Vtail +p5825 +tp5826 +a(g705 +g1064 +tp5827 +a(g705 +g1064 +tp5828 +a(g826 +V\u000a +p5829 +tp5830 +a(g705 +g1040 +tp5831 +a(g744 +Vif +p5832 +tp5833 +a(g826 +g1044 +tp5834 +a(g705 +g1040 +tp5835 +a(g744 +Vand +p5836 +tp5837 +a(g826 +g1044 +tp5838 +a(g705 +g1040 +tp5839 +a(g573 +Vconsp +p5840 +tp5841 +a(g826 +g1044 +tp5842 +a(g705 +g1040 +tp5843 +a(g573 +Vcar +p5844 +tp5845 +a(g826 +g1044 +tp5846 +a(g440 +Vtail-cdr +p5847 +tp5848 +a(g705 +g1064 +tp5849 +a(g705 +g1064 +tp5850 +a(g826 +V\u000a +p5851 +tp5852 +a(g705 +g1040 +tp5853 +a(g573 +Veq +p5854 +tp5855 +a(g826 +g1044 +tp5856 +a(g705 +g1040 +tp5857 +a(g573 +Vcdr +p5858 +tp5859 +a(g826 +g1044 +tp5860 +a(g705 +g1040 +tp5861 +a(g573 +Vcar +p5862 +tp5863 +a(g826 +g1044 +tp5864 +a(g440 +Vtail-cdr +p5865 +tp5866 +a(g705 +g1064 +tp5867 +a(g705 +g1064 +tp5868 +a(g826 +g1044 +tp5869 +a(g440 +Vvalue +p5870 +tp5871 +a(g705 +g1064 +tp5872 +a(g705 +g1064 +tp5873 +a(g826 +V\u000a +p5874 +tp5875 +a(g705 +g1040 +tp5876 +a(g573 +Vsetcdr +p5877 +tp5878 +a(g826 +g1044 +tp5879 +a(g440 +Vtail +p5880 +tp5881 +a(g826 +g1044 +tp5882 +a(g705 +g1040 +tp5883 +a(g573 +Vcdr +p5884 +tp5885 +a(g826 +g1044 +tp5886 +a(g440 +Vtail-cdr +p5887 +tp5888 +a(g705 +g1064 +tp5889 +a(g705 +g1064 +tp5890 +a(g826 +V\u000a +p5891 +tp5892 +a(g705 +g1040 +tp5893 +a(g744 +Vsetq +p5894 +tp5895 +a(g826 +g1044 +tp5896 +a(g440 +Vtail +p5897 +tp5898 +a(g826 +g1044 +tp5899 +a(g440 +Vtail-cdr +p5900 +tp5901 +a(g705 +g1064 +tp5902 +a(g705 +g1064 +tp5903 +a(g705 +g1064 +tp5904 +a(g705 +g1064 +tp5905 +a(g826 +V\u000a +p5906 +tp5907 +a(g440 +Valist +p5908 +tp5909 +a(g705 +g1064 +tp5910 +a(g826 +V\u000a\u000a +p5911 +tp5912 +a(g705 +g1040 +tp5913 +a(g681 +Vdefun +p5914 +tp5915 +a(g826 +g1044 +tp5916 +a(g440 +Valist-get +p5917 +tp5918 +a(g826 +g1044 +tp5919 +a(g705 +g1040 +tp5920 +a(g440 +Vkey +p5921 +tp5922 +a(g826 +g1044 +tp5923 +a(g440 +Valist +p5924 +tp5925 +a(g826 +g1044 +tp5926 +a(g785 +V&optional +p5927 +tp5928 +a(g826 +g1044 +tp5929 +a(g440 +Vdefault +p5930 +tp5931 +a(g826 +g1044 +tp5932 +a(g440 +Vremove +p5933 +tp5934 +a(g705 +g1064 +tp5935 +a(g826 +V\u000a +p5936 +tp5937 +a(g89 +g1068 +tp5938 +a(g89 +VGet the value associated to KEY in ALIST.\u000aDEFAULT is the value to return if KEY is not found in ALIST.\u000aREMOVE, if non-nil, means that when setting this element, we should\u000aremove the entry if the new value is +p5939 +tp5940 +a(g117 +V`eql' +p5941 +tp5942 +a(g89 +V to DEFAULT. +p5943 +tp5944 +a(g89 +g1068 +tp5945 +a(g826 +V\u000a +p5946 +tp5947 +a(g705 +g1040 +tp5948 +a(g440 +Vignore +p5949 +tp5950 +a(g826 +g1044 +tp5951 +a(g440 +Vremove +p5952 +tp5953 +a(g705 +g1064 +tp5954 +a(g826 +g1044 +tp5955 +a(g8 +V;;Silence byte-compiler. +p5956 +tp5957 +a(g826 +V\u000a +p5958 +tp5959 +a(g705 +g1040 +tp5960 +a(g744 +Vlet +p5961 +tp5962 +a(g826 +g1044 +tp5963 +a(g705 +g1040 +tp5964 +a(g705 +g1040 +tp5965 +a(g440 +g1971 +tp5966 +a(g826 +g1044 +tp5967 +a(g705 +g1040 +tp5968 +a(g573 +Vassq +p5969 +tp5970 +a(g826 +g1044 +tp5971 +a(g440 +Vkey +p5972 +tp5973 +a(g826 +g1044 +tp5974 +a(g440 +Valist +p5975 +tp5976 +a(g705 +g1064 +tp5977 +a(g705 +g1064 +tp5978 +a(g705 +g1064 +tp5979 +a(g826 +V\u000a +p5980 +tp5981 +a(g705 +g1040 +tp5982 +a(g744 +Vif +p5983 +tp5984 +a(g826 +g1044 +tp5985 +a(g440 +g1971 +tp5986 +a(g826 +g1044 +tp5987 +a(g705 +g1040 +tp5988 +a(g573 +Vcdr +p5989 +tp5990 +a(g826 +g1044 +tp5991 +a(g440 +g1971 +tp5992 +a(g705 +g1064 +tp5993 +a(g826 +g1044 +tp5994 +a(g440 +Vdefault +p5995 +tp5996 +a(g705 +g1064 +tp5997 +a(g705 +g1064 +tp5998 +a(g705 +g1064 +tp5999 +a(g826 +V\u000a\u000a +p6000 +tp6001 +a(g705 +g1040 +tp6002 +a(g681 +Vdefun +p6003 +tp6004 +a(g826 +g1044 +tp6005 +a(g440 +Vremove +p6006 +tp6007 +a(g826 +g1044 +tp6008 +a(g705 +g1040 +tp6009 +a(g573 +Velt +p6010 +tp6011 +a(g826 +g1044 +tp6012 +a(g440 +Vseq +p6013 +tp6014 +a(g705 +g1064 +tp6015 +a(g826 +V\u000a +p6016 +tp6017 +a(g89 +g1068 +tp6018 +a(g89 +VReturn a copy of SEQ with all occurrences of ELT removed.\u000aSEQ must be a list, vector, or string. The comparison is done with +p6019 +tp6020 +a(g117 +V`equal' +p6021 +tp6022 +a(g89 +g3307 +tp6023 +a(g89 +g1068 +tp6024 +a(g826 +V\u000a +p6025 +tp6026 +a(g705 +g1040 +tp6027 +a(g744 +Vif +p6028 +tp6029 +a(g826 +g1044 +tp6030 +a(g705 +g1040 +tp6031 +a(g573 +Vnlistp +p6032 +tp6033 +a(g826 +g1044 +tp6034 +a(g440 +Vseq +p6035 +tp6036 +a(g705 +g1064 +tp6037 +a(g826 +V\u000a +p6038 +tp6039 +a(g8 +V;; If SEQ isn't a list, there's no need to copy SEQ because +p6040 +tp6041 +a(g826 +V\u000a +p6042 +tp6043 +a(g8 +V;; `delete' will return a new object. +p6044 +tp6045 +a(g826 +V\u000a +p6046 +tp6047 +a(g705 +g1040 +tp6048 +a(g573 +Vdelete +p6049 +tp6050 +a(g826 +g1044 +tp6051 +a(g573 +Velt +p6052 +tp6053 +a(g826 +g1044 +tp6054 +a(g440 +Vseq +p6055 +tp6056 +a(g705 +g1064 +tp6057 +a(g826 +V\u000a +p6058 +tp6059 +a(g705 +g1040 +tp6060 +a(g573 +Vdelete +p6061 +tp6062 +a(g826 +g1044 +tp6063 +a(g573 +Velt +p6064 +tp6065 +a(g826 +g1044 +tp6066 +a(g705 +g1040 +tp6067 +a(g573 +Vcopy-sequence +p6068 +tp6069 +a(g826 +g1044 +tp6070 +a(g440 +Vseq +p6071 +tp6072 +a(g705 +g1064 +tp6073 +a(g705 +g1064 +tp6074 +a(g705 +g1064 +tp6075 +a(g705 +g1064 +tp6076 +a(g826 +V\u000a\u000a +p6077 +tp6078 +a(g705 +g1040 +tp6079 +a(g681 +Vdefun +p6080 +tp6081 +a(g826 +g1044 +tp6082 +a(g440 +Vremq +p6083 +tp6084 +a(g826 +g1044 +tp6085 +a(g705 +g1040 +tp6086 +a(g573 +Velt +p6087 +tp6088 +a(g826 +g1044 +tp6089 +a(g573 +Vlist +p6090 +tp6091 +a(g705 +g1064 +tp6092 +a(g826 +V\u000a +p6093 +tp6094 +a(g89 +g1068 +tp6095 +a(g89 +VReturn LIST with all occurrences of ELT removed.\u000aThe comparison is done with +p6096 +tp6097 +a(g117 +V`eq' +p6098 +tp6099 +a(g89 +V. Contrary to +p6100 +tp6101 +a(g117 +V`delq' +p6102 +tp6103 +a(g89 +V, this does not use\u000aside-effects, and the argument LIST is not modified. +p6104 +tp6105 +a(g89 +g1068 +tp6106 +a(g826 +V\u000a +p6107 +tp6108 +a(g705 +g1040 +tp6109 +a(g744 +Vwhile +p6110 +tp6111 +a(g826 +g1044 +tp6112 +a(g705 +g1040 +tp6113 +a(g744 +Vand +p6114 +tp6115 +a(g826 +g1044 +tp6116 +a(g705 +g1040 +tp6117 +a(g573 +Veq +p6118 +tp6119 +a(g826 +g1044 +tp6120 +a(g573 +Velt +p6121 +tp6122 +a(g826 +g1044 +tp6123 +a(g705 +g1040 +tp6124 +a(g573 +Vcar +p6125 +tp6126 +a(g826 +g1044 +tp6127 +a(g573 +Vlist +p6128 +tp6129 +a(g705 +g1064 +tp6130 +a(g705 +g1064 +tp6131 +a(g826 +g1044 +tp6132 +a(g705 +g1040 +tp6133 +a(g744 +Vsetq +p6134 +tp6135 +a(g826 +g1044 +tp6136 +a(g573 +Vlist +p6137 +tp6138 +a(g826 +g1044 +tp6139 +a(g705 +g1040 +tp6140 +a(g573 +Vcdr +p6141 +tp6142 +a(g826 +g1044 +tp6143 +a(g573 +Vlist +p6144 +tp6145 +a(g705 +g1064 +tp6146 +a(g705 +g1064 +tp6147 +a(g705 +g1064 +tp6148 +a(g705 +g1064 +tp6149 +a(g826 +V\u000a +p6150 +tp6151 +a(g705 +g1040 +tp6152 +a(g744 +Vif +p6153 +tp6154 +a(g826 +g1044 +tp6155 +a(g705 +g1040 +tp6156 +a(g573 +Vmemq +p6157 +tp6158 +a(g826 +g1044 +tp6159 +a(g573 +Velt +p6160 +tp6161 +a(g826 +g1044 +tp6162 +a(g573 +Vlist +p6163 +tp6164 +a(g705 +g1064 +tp6165 +a(g826 +V\u000a +p6166 +tp6167 +a(g705 +g1040 +tp6168 +a(g573 +Vdelq +p6169 +tp6170 +a(g826 +g1044 +tp6171 +a(g573 +Velt +p6172 +tp6173 +a(g826 +g1044 +tp6174 +a(g705 +g1040 +tp6175 +a(g573 +Vcopy-sequence +p6176 +tp6177 +a(g826 +g1044 +tp6178 +a(g573 +Vlist +p6179 +tp6180 +a(g705 +g1064 +tp6181 +a(g705 +g1064 +tp6182 +a(g826 +V\u000a +p6183 +tp6184 +a(g573 +Vlist +p6185 +tp6186 +a(g705 +g1064 +tp6187 +a(g705 +g1064 +tp6188 +a(g826 +V\u000a \u000a +p6189 +tp6190 +a(g8 +V;;;; Keymap support. +p6191 +tp6192 +a(g826 +V\u000a\u000a +p6193 +tp6194 +a(g705 +g1040 +tp6195 +a(g681 +Vdefun +p6196 +tp6197 +a(g826 +g1044 +tp6198 +a(g440 +Vkbd +p6199 +tp6200 +a(g826 +g1044 +tp6201 +a(g705 +g1040 +tp6202 +a(g440 +Vkeys +p6203 +tp6204 +a(g705 +g1064 +tp6205 +a(g826 +V\u000a +p6206 +tp6207 +a(g89 +g1068 +tp6208 +a(g89 +VConvert KEYS to the internal Emacs key representation.\u000aKEYS should be a string constant in the format used for\u000asaving keyboard macros (see +p6209 +tp6210 +a(g117 +V`edmacro-mode' +p6211 +tp6212 +a(g89 +V). +p6213 +tp6214 +a(g89 +g1068 +tp6215 +a(g826 +V\u000a +p6216 +tp6217 +a(g8 +V;; Don't use a defalias, since the `pure' property is only true for +p6218 +tp6219 +a(g826 +V\u000a +p6220 +tp6221 +a(g8 +V;; the calling convention of `kbd'. +p6222 +tp6223 +a(g826 +V\u000a +p6224 +tp6225 +a(g705 +g1040 +tp6226 +a(g440 +Vread-kbd-macro +p6227 +tp6228 +a(g826 +g1044 +tp6229 +a(g440 +Vkeys +p6230 +tp6231 +a(g705 +g1064 +tp6232 +a(g705 +g1064 +tp6233 +a(g826 +V\u000a +p6234 +tp6235 +a(g705 +g1040 +tp6236 +a(g573 +Vput +p6237 +tp6238 +a(g826 +g1044 +tp6239 +a(g117 +V'kbd +p6240 +tp6241 +a(g826 +g1044 +tp6242 +a(g117 +V'pure +p6243 +tp6244 +a(g826 +g1044 +tp6245 +a(g565 +g1187 +tp6246 +a(g705 +g1064 +tp6247 +a(g826 +V\u000a\u000a +p6248 +tp6249 +a(g705 +g1040 +tp6250 +a(g681 +Vdefun +p6251 +tp6252 +a(g826 +g1044 +tp6253 +a(g440 +Vundefined +p6254 +tp6255 +a(g826 +g1044 +tp6256 +a(g705 +g1040 +tp6257 +a(g705 +g1064 +tp6258 +a(g826 +V\u000a +p6259 +tp6260 +a(g89 +g1068 +tp6261 +a(g89 +VBeep to tell the user this binding is undefined. +p6262 +tp6263 +a(g89 +g1068 +tp6264 +a(g826 +V\u000a +p6265 +tp6266 +a(g705 +g1040 +tp6267 +a(g744 +Vinteractive +p6268 +tp6269 +a(g705 +g1064 +tp6270 +a(g826 +V\u000a +p6271 +tp6272 +a(g705 +g1040 +tp6273 +a(g573 +Vding +p6274 +tp6275 +a(g705 +g1064 +tp6276 +a(g826 +V\u000a +p6277 +tp6278 +a(g705 +g1040 +tp6279 +a(g573 +Vmessage +p6280 +tp6281 +a(g826 +g1044 +tp6282 +a(g89 +g1068 +tp6283 +a(g89 +V%s is undefined +p6284 +tp6285 +a(g89 +g1068 +tp6286 +a(g826 +g1044 +tp6287 +a(g705 +g1040 +tp6288 +a(g573 +Vkey-description +p6289 +tp6290 +a(g826 +g1044 +tp6291 +a(g705 +g1040 +tp6292 +a(g573 +Vthis-single-command-keys +p6293 +tp6294 +a(g705 +g1064 +tp6295 +a(g705 +g1064 +tp6296 +a(g705 +g1064 +tp6297 +a(g826 +V\u000a +p6298 +tp6299 +a(g705 +g1040 +tp6300 +a(g744 +Vsetq +p6301 +tp6302 +a(g826 +g1044 +tp6303 +a(g440 +Vdefining-kbd-macro +p6304 +tp6305 +a(g826 +g1044 +tp6306 +a(g565 +Vnil +p6307 +tp6308 +a(g705 +g1064 +tp6309 +a(g826 +V\u000a +p6310 +tp6311 +a(g705 +g1040 +tp6312 +a(g573 +Vforce-mode-line-update +p6313 +tp6314 +a(g705 +g1064 +tp6315 +a(g826 +V\u000a +p6316 +tp6317 +a(g8 +V;; If this is a down-mouse event, don't reset prefix-arg; +p6318 +tp6319 +a(g826 +V\u000a +p6320 +tp6321 +a(g8 +V;; pass it to the command run by the up event. +p6322 +tp6323 +a(g826 +V\u000a +p6324 +tp6325 +a(g705 +g1040 +tp6326 +a(g744 +Vsetq +p6327 +tp6328 +a(g826 +g1044 +tp6329 +a(g440 +Vprefix-arg +p6330 +tp6331 +a(g826 +V\u000a +p6332 +tp6333 +a(g705 +g1040 +tp6334 +a(g681 +Vwhen +p6335 +tp6336 +a(g826 +g1044 +tp6337 +a(g705 +g1040 +tp6338 +a(g573 +Vmemq +p6339 +tp6340 +a(g826 +g1044 +tp6341 +a(g117 +V'down +p6342 +tp6343 +a(g826 +g1044 +tp6344 +a(g705 +g1040 +tp6345 +a(g440 +Vevent-modifiers +p6346 +tp6347 +a(g826 +g1044 +tp6348 +a(g440 +Vlast-command-event +p6349 +tp6350 +a(g705 +g1064 +tp6351 +a(g705 +g1064 +tp6352 +a(g826 +V\u000a +p6353 +tp6354 +a(g440 +Vcurrent-prefix-arg +p6355 +tp6356 +a(g705 +g1064 +tp6357 +a(g705 +g1064 +tp6358 +a(g705 +g1064 +tp6359 +a(g826 +V\u000a\u000a +p6360 +tp6361 +a(g8 +V;; Prevent the \u005c{...} documentation construct +p6362 +tp6363 +a(g826 +V\u000a +p6364 +tp6365 +a(g8 +V;; from mentioning keys that run this command. +p6366 +tp6367 +a(g826 +V\u000a +p6368 +tp6369 +a(g705 +g1040 +tp6370 +a(g573 +Vput +p6371 +tp6372 +a(g826 +g1044 +tp6373 +a(g117 +V'undefined +p6374 +tp6375 +a(g826 +g1044 +tp6376 +a(g117 +V'suppress-keymap +p6377 +tp6378 +a(g826 +g1044 +tp6379 +a(g565 +g1187 +tp6380 +a(g705 +g1064 +tp6381 +a(g826 +V\u000a\u000a +p6382 +tp6383 +a(g705 +g1040 +tp6384 +a(g681 +Vdefun +p6385 +tp6386 +a(g826 +g1044 +tp6387 +a(g440 +Vsuppress-keymap +p6388 +tp6389 +a(g826 +g1044 +tp6390 +a(g705 +g1040 +tp6391 +a(g440 +Vmap +p6392 +tp6393 +a(g826 +g1044 +tp6394 +a(g785 +V&optional +p6395 +tp6396 +a(g826 +g1044 +tp6397 +a(g440 +Vnodigits +p6398 +tp6399 +a(g705 +g1064 +tp6400 +a(g826 +V\u000a +p6401 +tp6402 +a(g89 +g1068 +tp6403 +a(g89 +VMake MAP override all normally self-inserting keys to be undefined.\u000aNormally, as an exception, digits and minus-sign are set to make prefix args,\u000abut optional second arg NODIGITS non-nil treats them like other chars. +p6404 +tp6405 +a(g89 +g1068 +tp6406 +a(g826 +V\u000a +p6407 +tp6408 +a(g705 +g1040 +tp6409 +a(g573 +Vdefine-key +p6410 +tp6411 +a(g826 +g1044 +tp6412 +a(g440 +Vmap +p6413 +tp6414 +a(g826 +g1044 +tp6415 +a(g705 +g1395 +tp6416 +a(g440 +Vremap +p6417 +tp6418 +a(g826 +g1044 +tp6419 +a(g573 +Vself-insert-command +p6420 +tp6421 +a(g705 +g1402 +tp6422 +a(g826 +g1044 +tp6423 +a(g117 +V'undefined +p6424 +tp6425 +a(g705 +g1064 +tp6426 +a(g826 +V\u000a +p6427 +tp6428 +a(g705 +g1040 +tp6429 +a(g744 +Vor +p6430 +tp6431 +a(g826 +g1044 +tp6432 +a(g440 +Vnodigits +p6433 +tp6434 +a(g826 +V\u000a +p6435 +tp6436 +a(g705 +g1040 +tp6437 +a(g744 +Vlet +p6438 +tp6439 +a(g826 +g1044 +tp6440 +a(g705 +g1040 +tp6441 +a(g681 +Vloop +p6442 +tp6443 +a(g705 +g1064 +tp6444 +a(g826 +V\u000a +p6445 +tp6446 +a(g705 +g1040 +tp6447 +a(g573 +Vdefine-key +p6448 +tp6449 +a(g826 +g1044 +tp6450 +a(g440 +Vmap +p6451 +tp6452 +a(g826 +g1044 +tp6453 +a(g89 +g1068 +tp6454 +a(g89 +g3788 +tp6455 +a(g89 +g1068 +tp6456 +a(g826 +g1044 +tp6457 +a(g117 +V'negative-argument +p6458 +tp6459 +a(g705 +g1064 +tp6460 +a(g826 +V\u000a +p6461 +tp6462 +a(g8 +V;; Make plain numbers do numeric args. +p6463 +tp6464 +a(g826 +V\u000a +p6465 +tp6466 +a(g705 +g1040 +tp6467 +a(g744 +Vsetq +p6468 +tp6469 +a(g826 +g1044 +tp6470 +a(g681 +Vloop +p6471 +tp6472 +a(g826 +g1044 +tp6473 +a(g93 +V?0 +p6474 +tp6475 +a(g705 +g1064 +tp6476 +a(g826 +V\u000a +p6477 +tp6478 +a(g705 +g1040 +tp6479 +a(g744 +Vwhile +p6480 +tp6481 +a(g826 +g1044 +tp6482 +a(g705 +g1040 +tp6483 +a(g573 +V<= +p6484 +tp6485 +a(g826 +g1044 +tp6486 +a(g681 +Vloop +p6487 +tp6488 +a(g826 +g1044 +tp6489 +a(g93 +V?9 +p6490 +tp6491 +a(g705 +g1064 +tp6492 +a(g826 +V\u000a +p6493 +tp6494 +a(g705 +g1040 +tp6495 +a(g573 +Vdefine-key +p6496 +tp6497 +a(g826 +g1044 +tp6498 +a(g440 +Vmap +p6499 +tp6500 +a(g826 +g1044 +tp6501 +a(g705 +g1040 +tp6502 +a(g573 +Vchar-to-string +p6503 +tp6504 +a(g826 +g1044 +tp6505 +a(g681 +Vloop +p6506 +tp6507 +a(g705 +g1064 +tp6508 +a(g826 +g1044 +tp6509 +a(g117 +V'digit-argument +p6510 +tp6511 +a(g705 +g1064 +tp6512 +a(g826 +V\u000a +p6513 +tp6514 +a(g705 +g1040 +tp6515 +a(g744 +Vsetq +p6516 +tp6517 +a(g826 +g1044 +tp6518 +a(g681 +Vloop +p6519 +tp6520 +a(g826 +g1044 +tp6521 +a(g705 +g1040 +tp6522 +a(g573 +V1+ +p6523 +tp6524 +a(g826 +g1044 +tp6525 +a(g681 +Vloop +p6526 +tp6527 +a(g705 +g1064 +tp6528 +a(g705 +g1064 +tp6529 +a(g705 +g1064 +tp6530 +a(g705 +g1064 +tp6531 +a(g705 +g1064 +tp6532 +a(g705 +g1064 +tp6533 +a(g826 +V\u000a\u000a +p6534 +tp6535 +a(g705 +g1040 +tp6536 +a(g681 +Vdefun +p6537 +tp6538 +a(g826 +g1044 +tp6539 +a(g440 +Vmake-composed-keymap +p6540 +tp6541 +a(g826 +g1044 +tp6542 +a(g705 +g1040 +tp6543 +a(g440 +Vmaps +p6544 +tp6545 +a(g826 +g1044 +tp6546 +a(g785 +V&optional +p6547 +tp6548 +a(g826 +g1044 +tp6549 +a(g440 +Vparent +p6550 +tp6551 +a(g705 +g1064 +tp6552 +a(g826 +V\u000a +p6553 +tp6554 +a(g89 +g1068 +tp6555 +a(g89 +VConstruct a new keymap composed of MAPS and inheriting from PARENT.\u000aWhen looking up a key in the returned map, the key is looked in each\u000akeymap of MAPS in turn until a binding is found.\u000aIf no binding is found in MAPS, the lookup continues in PARENT, if non-nil.\u000aAs always with keymap inheritance, a nil binding in MAPS overrides\u000aany corresponding binding in PARENT, but it does not override corresponding\u000abindings in other keymaps of MAPS.\u000aMAPS can be a list of keymaps or a single keymap.\u000aPARENT if non-nil should be a keymap. +p6556 +tp6557 +a(g89 +g1068 +tp6558 +a(g826 +V\u000a +p6559 +tp6560 +a(g412 +g1128 +tp6561 +a(g705 +g1040 +tp6562 +a(g440 +Vkeymap +p6563 +tp6564 +a(g826 +V\u000a +p6565 +tp6566 +a(g412 +V,@ +p6567 +tp6568 +a(g705 +g1040 +tp6569 +a(g744 +Vif +p6570 +tp6571 +a(g826 +g1044 +tp6572 +a(g705 +g1040 +tp6573 +a(g573 +Vkeymapp +p6574 +tp6575 +a(g826 +g1044 +tp6576 +a(g440 +Vmaps +p6577 +tp6578 +a(g705 +g1064 +tp6579 +a(g826 +g1044 +tp6580 +a(g705 +g1040 +tp6581 +a(g573 +Vlist +p6582 +tp6583 +a(g826 +g1044 +tp6584 +a(g440 +Vmaps +p6585 +tp6586 +a(g705 +g1064 +tp6587 +a(g826 +g1044 +tp6588 +a(g440 +Vmaps +p6589 +tp6590 +a(g705 +g1064 +tp6591 +a(g826 +V\u000a +p6592 +tp6593 +a(g412 +V,@ +p6594 +tp6595 +a(g440 +Vparent +p6596 +tp6597 +a(g705 +g1064 +tp6598 +a(g705 +g1064 +tp6599 +a(g826 +V\u000a\u000a +p6600 +tp6601 +a(g705 +g1040 +tp6602 +a(g681 +Vdefun +p6603 +tp6604 +a(g826 +g1044 +tp6605 +a(g440 +Vdefine-key-after +p6606 +tp6607 +a(g826 +g1044 +tp6608 +a(g705 +g1040 +tp6609 +a(g440 +Vkeymap +p6610 +tp6611 +a(g826 +g1044 +tp6612 +a(g440 +Vkey +p6613 +tp6614 +a(g826 +g1044 +tp6615 +a(g440 +Vdefinition +p6616 +tp6617 +a(g826 +g1044 +tp6618 +a(g785 +V&optional +p6619 +tp6620 +a(g826 +g1044 +tp6621 +a(g440 +Vafter +p6622 +tp6623 +a(g705 +g1064 +tp6624 +a(g826 +V\u000a +p6625 +tp6626 +a(g89 +g1068 +tp6627 +a(g89 +VAdd binding in KEYMAP for KEY => DEFINITION, right after AFTER's binding.\u000aThis is like +p6628 +tp6629 +a(g117 +V`define-key' +p6630 +tp6631 +a(g89 +V except that the binding for KEY is placed\u000ajust after the binding for the event AFTER, instead of at the beginning\u000aof the map. Note that AFTER must be an event type (like KEY), NOT a command\u000a +p6632 +tp6633 +a(g89 +V\u005c( +p6634 +tp6635 +a(g89 +Vlike DEFINITION).\u000a\u000aIf AFTER is t or omitted, the new binding goes at the end of the keymap.\u000aAFTER should be a single event type--a symbol or a character, not a sequence.\u000a\u000aBindings are always added before any inherited map.\u000a\u000aThe order of bindings in a keymap only matters when it is used as\u000aa menu, so this function is not useful for non-menu keymaps. +p6636 +tp6637 +a(g89 +g1068 +tp6638 +a(g826 +V\u000a +p6639 +tp6640 +a(g705 +g1040 +tp6641 +a(g681 +Vunless +p6642 +tp6643 +a(g826 +g1044 +tp6644 +a(g440 +Vafter +p6645 +tp6646 +a(g826 +g1044 +tp6647 +a(g705 +g1040 +tp6648 +a(g744 +Vsetq +p6649 +tp6650 +a(g826 +g1044 +tp6651 +a(g440 +Vafter +p6652 +tp6653 +a(g826 +g1044 +tp6654 +a(g565 +g1187 +tp6655 +a(g705 +g1064 +tp6656 +a(g705 +g1064 +tp6657 +a(g826 +V\u000a +p6658 +tp6659 +a(g705 +g1040 +tp6660 +a(g744 +Vor +p6661 +tp6662 +a(g826 +g1044 +tp6663 +a(g705 +g1040 +tp6664 +a(g573 +Vkeymapp +p6665 +tp6666 +a(g826 +g1044 +tp6667 +a(g440 +Vkeymap +p6668 +tp6669 +a(g705 +g1064 +tp6670 +a(g826 +V\u000a +p6671 +tp6672 +a(g705 +g1040 +tp6673 +a(g573 +Vsignal +p6674 +tp6675 +a(g826 +g1044 +tp6676 +a(g117 +V'wrong-type-argument +p6677 +tp6678 +a(g826 +g1044 +tp6679 +a(g705 +g1040 +tp6680 +a(g573 +Vlist +p6681 +tp6682 +a(g826 +g1044 +tp6683 +a(g117 +V'keymapp +p6684 +tp6685 +a(g826 +g1044 +tp6686 +a(g440 +Vkeymap +p6687 +tp6688 +a(g705 +g1064 +tp6689 +a(g705 +g1064 +tp6690 +a(g705 +g1064 +tp6691 +a(g826 +V\u000a +p6692 +tp6693 +a(g705 +g1040 +tp6694 +a(g744 +Vsetq +p6695 +tp6696 +a(g826 +g1044 +tp6697 +a(g440 +Vkey +p6698 +tp6699 +a(g826 +V\u000a +p6700 +tp6701 +a(g705 +g1040 +tp6702 +a(g744 +Vif +p6703 +tp6704 +a(g826 +g1044 +tp6705 +a(g705 +g1040 +tp6706 +a(g573 +V<= +p6707 +tp6708 +a(g826 +g1044 +tp6709 +a(g705 +g1040 +tp6710 +a(g573 +Vlength +p6711 +tp6712 +a(g826 +g1044 +tp6713 +a(g440 +Vkey +p6714 +tp6715 +a(g705 +g1064 +tp6716 +a(g826 +g1044 +tp6717 +a(g37 +g2048 +tp6718 +a(g705 +g1064 +tp6719 +a(g826 +g1044 +tp6720 +a(g705 +g1040 +tp6721 +a(g573 +Varef +p6722 +tp6723 +a(g826 +g1044 +tp6724 +a(g440 +Vkey +p6725 +tp6726 +a(g826 +g1044 +tp6727 +a(g37 +g2628 +tp6728 +a(g705 +g1064 +tp6729 +a(g826 +V\u000a +p6730 +tp6731 +a(g705 +g1040 +tp6732 +a(g744 +Vsetq +p6733 +tp6734 +a(g826 +g1044 +tp6735 +a(g440 +Vkeymap +p6736 +tp6737 +a(g826 +g1044 +tp6738 +a(g705 +g1040 +tp6739 +a(g573 +Vlookup-key +p6740 +tp6741 +a(g826 +g1044 +tp6742 +a(g440 +Vkeymap +p6743 +tp6744 +a(g826 +V\u000a +p6745 +tp6746 +a(g705 +g1040 +tp6747 +a(g573 +Vapply +p6748 +tp6749 +a(g826 +g1044 +tp6750 +a(g117 +V'vector +p6751 +tp6752 +a(g826 +V\u000a +p6753 +tp6754 +a(g705 +g1040 +tp6755 +a(g440 +Vbutlast +p6756 +tp6757 +a(g826 +g1044 +tp6758 +a(g705 +g1040 +tp6759 +a(g573 +Vmapcar +p6760 +tp6761 +a(g826 +g1044 +tp6762 +a(g117 +V'identity +p6763 +tp6764 +a(g826 +g1044 +tp6765 +a(g440 +Vkey +p6766 +tp6767 +a(g705 +g1064 +tp6768 +a(g705 +g1064 +tp6769 +a(g705 +g1064 +tp6770 +a(g705 +g1064 +tp6771 +a(g705 +g1064 +tp6772 +a(g826 +V\u000a +p6773 +tp6774 +a(g705 +g1040 +tp6775 +a(g573 +Varef +p6776 +tp6777 +a(g826 +g1044 +tp6778 +a(g440 +Vkey +p6779 +tp6780 +a(g826 +g1044 +tp6781 +a(g705 +g1040 +tp6782 +a(g573 +V1- +p6783 +tp6784 +a(g826 +g1044 +tp6785 +a(g705 +g1040 +tp6786 +a(g573 +Vlength +p6787 +tp6788 +a(g826 +g1044 +tp6789 +a(g440 +Vkey +p6790 +tp6791 +a(g705 +g1064 +tp6792 +a(g705 +g1064 +tp6793 +a(g705 +g1064 +tp6794 +a(g705 +g1064 +tp6795 +a(g705 +g1064 +tp6796 +a(g826 +V\u000a +p6797 +tp6798 +a(g705 +g1040 +tp6799 +a(g744 +Vlet +p6800 +tp6801 +a(g826 +g1044 +tp6802 +a(g705 +g1040 +tp6803 +a(g705 +g1040 +tp6804 +a(g440 +Vtail +p6805 +tp6806 +a(g826 +g1044 +tp6807 +a(g440 +Vkeymap +p6808 +tp6809 +a(g705 +g1064 +tp6810 +a(g826 +g1044 +tp6811 +a(g440 +Vdone +p6812 +tp6813 +a(g826 +g1044 +tp6814 +a(g440 +Vinserted +p6815 +tp6816 +a(g705 +g1064 +tp6817 +a(g826 +V\u000a +p6818 +tp6819 +a(g705 +g1040 +tp6820 +a(g744 +Vwhile +p6821 +tp6822 +a(g826 +g1044 +tp6823 +a(g705 +g1040 +tp6824 +a(g744 +Vand +p6825 +tp6826 +a(g826 +g1044 +tp6827 +a(g705 +g1040 +tp6828 +a(g440 +Vnot +p6829 +tp6830 +a(g826 +g1044 +tp6831 +a(g440 +Vdone +p6832 +tp6833 +a(g705 +g1064 +tp6834 +a(g826 +g1044 +tp6835 +a(g440 +Vtail +p6836 +tp6837 +a(g705 +g1064 +tp6838 +a(g826 +V\u000a +p6839 +tp6840 +a(g8 +V;; Delete any earlier bindings for the same key. +p6841 +tp6842 +a(g826 +V\u000a +p6843 +tp6844 +a(g705 +g1040 +tp6845 +a(g744 +Vif +p6846 +tp6847 +a(g826 +g1044 +tp6848 +a(g705 +g1040 +tp6849 +a(g573 +Veq +p6850 +tp6851 +a(g826 +g1044 +tp6852 +a(g705 +g1040 +tp6853 +a(g573 +Vcar-safe +p6854 +tp6855 +a(g826 +g1044 +tp6856 +a(g705 +g1040 +tp6857 +a(g573 +Vcar +p6858 +tp6859 +a(g826 +g1044 +tp6860 +a(g705 +g1040 +tp6861 +a(g573 +Vcdr +p6862 +tp6863 +a(g826 +g1044 +tp6864 +a(g440 +Vtail +p6865 +tp6866 +a(g705 +g1064 +tp6867 +a(g705 +g1064 +tp6868 +a(g705 +g1064 +tp6869 +a(g826 +g1044 +tp6870 +a(g440 +Vkey +p6871 +tp6872 +a(g705 +g1064 +tp6873 +a(g826 +V\u000a +p6874 +tp6875 +a(g705 +g1040 +tp6876 +a(g573 +Vsetcdr +p6877 +tp6878 +a(g826 +g1044 +tp6879 +a(g440 +Vtail +p6880 +tp6881 +a(g826 +g1044 +tp6882 +a(g705 +g1040 +tp6883 +a(g573 +Vcdr +p6884 +tp6885 +a(g826 +g1044 +tp6886 +a(g705 +g1040 +tp6887 +a(g573 +Vcdr +p6888 +tp6889 +a(g826 +g1044 +tp6890 +a(g440 +Vtail +p6891 +tp6892 +a(g705 +g1064 +tp6893 +a(g705 +g1064 +tp6894 +a(g705 +g1064 +tp6895 +a(g705 +g1064 +tp6896 +a(g826 +V\u000a +p6897 +tp6898 +a(g8 +V;; If we hit an included map, go down that one. +p6899 +tp6900 +a(g826 +V\u000a +p6901 +tp6902 +a(g705 +g1040 +tp6903 +a(g744 +Vif +p6904 +tp6905 +a(g826 +g1044 +tp6906 +a(g705 +g1040 +tp6907 +a(g573 +Vkeymapp +p6908 +tp6909 +a(g826 +g1044 +tp6910 +a(g705 +g1040 +tp6911 +a(g573 +Vcar +p6912 +tp6913 +a(g826 +g1044 +tp6914 +a(g440 +Vtail +p6915 +tp6916 +a(g705 +g1064 +tp6917 +a(g705 +g1064 +tp6918 +a(g826 +g1044 +tp6919 +a(g705 +g1040 +tp6920 +a(g744 +Vsetq +p6921 +tp6922 +a(g826 +g1044 +tp6923 +a(g440 +Vtail +p6924 +tp6925 +a(g826 +g1044 +tp6926 +a(g705 +g1040 +tp6927 +a(g573 +Vcar +p6928 +tp6929 +a(g826 +g1044 +tp6930 +a(g440 +Vtail +p6931 +tp6932 +a(g705 +g1064 +tp6933 +a(g705 +g1064 +tp6934 +a(g705 +g1064 +tp6935 +a(g826 +V\u000a +p6936 +tp6937 +a(g8 +V;; When we reach AFTER's binding, insert the new binding after. +p6938 +tp6939 +a(g826 +V\u000a +p6940 +tp6941 +a(g8 +V;; If we reach an inherited keymap, insert just before that. +p6942 +tp6943 +a(g826 +V\u000a +p6944 +tp6945 +a(g8 +V;; If we reach the end of this keymap, insert at the end. +p6946 +tp6947 +a(g826 +V\u000a +p6948 +tp6949 +a(g705 +g1040 +tp6950 +a(g744 +Vif +p6951 +tp6952 +a(g826 +g1044 +tp6953 +a(g705 +g1040 +tp6954 +a(g744 +Vor +p6955 +tp6956 +a(g826 +g1044 +tp6957 +a(g705 +g1040 +tp6958 +a(g744 +Vand +p6959 +tp6960 +a(g826 +g1044 +tp6961 +a(g705 +g1040 +tp6962 +a(g573 +Veq +p6963 +tp6964 +a(g826 +g1044 +tp6965 +a(g705 +g1040 +tp6966 +a(g573 +Vcar-safe +p6967 +tp6968 +a(g826 +g1044 +tp6969 +a(g705 +g1040 +tp6970 +a(g573 +Vcar +p6971 +tp6972 +a(g826 +g1044 +tp6973 +a(g440 +Vtail +p6974 +tp6975 +a(g705 +g1064 +tp6976 +a(g705 +g1064 +tp6977 +a(g826 +g1044 +tp6978 +a(g440 +Vafter +p6979 +tp6980 +a(g705 +g1064 +tp6981 +a(g826 +V\u000a +p6982 +tp6983 +a(g705 +g1040 +tp6984 +a(g440 +Vnot +p6985 +tp6986 +a(g826 +g1044 +tp6987 +a(g705 +g1040 +tp6988 +a(g573 +Veq +p6989 +tp6990 +a(g826 +g1044 +tp6991 +a(g440 +Vafter +p6992 +tp6993 +a(g826 +g1044 +tp6994 +a(g565 +g1187 +tp6995 +a(g705 +g1064 +tp6996 +a(g705 +g1064 +tp6997 +a(g705 +g1064 +tp6998 +a(g826 +V\u000a +p6999 +tp7000 +a(g705 +g1040 +tp7001 +a(g573 +Veq +p7002 +tp7003 +a(g826 +g1044 +tp7004 +a(g705 +g1040 +tp7005 +a(g573 +Vcar +p7006 +tp7007 +a(g826 +g1044 +tp7008 +a(g705 +g1040 +tp7009 +a(g573 +Vcdr +p7010 +tp7011 +a(g826 +g1044 +tp7012 +a(g440 +Vtail +p7013 +tp7014 +a(g705 +g1064 +tp7015 +a(g705 +g1064 +tp7016 +a(g826 +g1044 +tp7017 +a(g117 +V'keymap +p7018 +tp7019 +a(g705 +g1064 +tp7020 +a(g826 +V\u000a +p7021 +tp7022 +a(g705 +g1040 +tp7023 +a(g573 +Vnull +p7024 +tp7025 +a(g826 +g1044 +tp7026 +a(g705 +g1040 +tp7027 +a(g573 +Vcdr +p7028 +tp7029 +a(g826 +g1044 +tp7030 +a(g440 +Vtail +p7031 +tp7032 +a(g705 +g1064 +tp7033 +a(g705 +g1064 +tp7034 +a(g705 +g1064 +tp7035 +a(g826 +V\u000a +p7036 +tp7037 +a(g705 +g1040 +tp7038 +a(g744 +Vprogn +p7039 +tp7040 +a(g826 +V\u000a +p7041 +tp7042 +a(g8 +V;; Stop the scan only if we find a parent keymap. +p7043 +tp7044 +a(g826 +V\u000a +p7045 +tp7046 +a(g8 +V;; Keep going past the inserted element +p7047 +tp7048 +a(g826 +V\u000a +p7049 +tp7050 +a(g8 +V;; so we can delete any duplications that come later. +p7051 +tp7052 +a(g826 +V\u000a +p7053 +tp7054 +a(g705 +g1040 +tp7055 +a(g744 +Vif +p7056 +tp7057 +a(g826 +g1044 +tp7058 +a(g705 +g1040 +tp7059 +a(g573 +Veq +p7060 +tp7061 +a(g826 +g1044 +tp7062 +a(g705 +g1040 +tp7063 +a(g573 +Vcar +p7064 +tp7065 +a(g826 +g1044 +tp7066 +a(g705 +g1040 +tp7067 +a(g573 +Vcdr +p7068 +tp7069 +a(g826 +g1044 +tp7070 +a(g440 +Vtail +p7071 +tp7072 +a(g705 +g1064 +tp7073 +a(g705 +g1064 +tp7074 +a(g826 +g1044 +tp7075 +a(g117 +V'keymap +p7076 +tp7077 +a(g705 +g1064 +tp7078 +a(g826 +V\u000a +p7079 +tp7080 +a(g705 +g1040 +tp7081 +a(g744 +Vsetq +p7082 +tp7083 +a(g826 +g1044 +tp7084 +a(g440 +Vdone +p7085 +tp7086 +a(g826 +g1044 +tp7087 +a(g565 +g1187 +tp7088 +a(g705 +g1064 +tp7089 +a(g705 +g1064 +tp7090 +a(g826 +V\u000a +p7091 +tp7092 +a(g8 +V;; Don't insert more than once. +p7093 +tp7094 +a(g826 +V\u000a +p7095 +tp7096 +a(g705 +g1040 +tp7097 +a(g744 +Vor +p7098 +tp7099 +a(g826 +g1044 +tp7100 +a(g440 +Vinserted +p7101 +tp7102 +a(g826 +V\u000a +p7103 +tp7104 +a(g705 +g1040 +tp7105 +a(g573 +Vsetcdr +p7106 +tp7107 +a(g826 +g1044 +tp7108 +a(g440 +Vtail +p7109 +tp7110 +a(g826 +g1044 +tp7111 +a(g705 +g1040 +tp7112 +a(g573 +Vcons +p7113 +tp7114 +a(g826 +g1044 +tp7115 +a(g705 +g1040 +tp7116 +a(g573 +Vcons +p7117 +tp7118 +a(g826 +g1044 +tp7119 +a(g440 +Vkey +p7120 +tp7121 +a(g826 +g1044 +tp7122 +a(g440 +Vdefinition +p7123 +tp7124 +a(g705 +g1064 +tp7125 +a(g826 +g1044 +tp7126 +a(g705 +g1040 +tp7127 +a(g573 +Vcdr +p7128 +tp7129 +a(g826 +g1044 +tp7130 +a(g440 +Vtail +p7131 +tp7132 +a(g705 +g1064 +tp7133 +a(g705 +g1064 +tp7134 +a(g705 +g1064 +tp7135 +a(g705 +g1064 +tp7136 +a(g826 +V\u000a +p7137 +tp7138 +a(g705 +g1040 +tp7139 +a(g744 +Vsetq +p7140 +tp7141 +a(g826 +g1044 +tp7142 +a(g440 +Vinserted +p7143 +tp7144 +a(g826 +g1044 +tp7145 +a(g565 +g1187 +tp7146 +a(g705 +g1064 +tp7147 +a(g705 +g1064 +tp7148 +a(g705 +g1064 +tp7149 +a(g826 +V\u000a +p7150 +tp7151 +a(g705 +g1040 +tp7152 +a(g744 +Vsetq +p7153 +tp7154 +a(g826 +g1044 +tp7155 +a(g440 +Vtail +p7156 +tp7157 +a(g826 +g1044 +tp7158 +a(g705 +g1040 +tp7159 +a(g573 +Vcdr +p7160 +tp7161 +a(g826 +g1044 +tp7162 +a(g440 +Vtail +p7163 +tp7164 +a(g705 +g1064 +tp7165 +a(g705 +g1064 +tp7166 +a(g705 +g1064 +tp7167 +a(g705 +g1064 +tp7168 +a(g705 +g1064 +tp7169 +a(g826 +V\u000a\u000a +p7170 +tp7171 +a(g705 +g1040 +tp7172 +a(g681 +Vdefun +p7173 +tp7174 +a(g826 +g1044 +tp7175 +a(g440 +Vmap-keymap-sorted +p7176 +tp7177 +a(g826 +g1044 +tp7178 +a(g705 +g1040 +tp7179 +a(g744 +Vfunction +p7180 +tp7181 +a(g826 +g1044 +tp7182 +a(g440 +Vkeymap +p7183 +tp7184 +a(g705 +g1064 +tp7185 +a(g826 +V\u000a +p7186 +tp7187 +a(g89 +g1068 +tp7188 +a(g89 +VImplement +p7189 +tp7190 +a(g117 +V`map-keymap' +p7191 +tp7192 +a(g89 +V with sorting.\u000aDon't call this function; it is for internal use only. +p7193 +tp7194 +a(g89 +g1068 +tp7195 +a(g826 +V\u000a +p7196 +tp7197 +a(g705 +g1040 +tp7198 +a(g744 +Vlet +p7199 +tp7200 +a(g826 +g1044 +tp7201 +a(g705 +g1040 +tp7202 +a(g573 +Vlist +p7203 +tp7204 +a(g705 +g1064 +tp7205 +a(g826 +V\u000a +p7206 +tp7207 +a(g705 +g1040 +tp7208 +a(g573 +Vmap-keymap +p7209 +tp7210 +a(g826 +g1044 +tp7211 +a(g705 +g1040 +tp7212 +a(g681 +Vlambda +p7213 +tp7214 +a(g826 +g1044 +tp7215 +a(g705 +g1040 +tp7216 +a(g440 +Va +p7217 +tp7218 +a(g826 +g1044 +tp7219 +a(g440 +Vb +p7220 +tp7221 +a(g705 +g1064 +tp7222 +a(g826 +g1044 +tp7223 +a(g705 +g1040 +tp7224 +a(g681 +Vpush +p7225 +tp7226 +a(g826 +g1044 +tp7227 +a(g705 +g1040 +tp7228 +a(g573 +Vcons +p7229 +tp7230 +a(g826 +g1044 +tp7231 +a(g440 +g7217 +tp7232 +a(g826 +g1044 +tp7233 +a(g440 +g7220 +tp7234 +a(g705 +g1064 +tp7235 +a(g826 +g1044 +tp7236 +a(g573 +Vlist +p7237 +tp7238 +a(g705 +g1064 +tp7239 +a(g705 +g1064 +tp7240 +a(g826 +V\u000a +p7241 +tp7242 +a(g440 +Vkeymap +p7243 +tp7244 +a(g705 +g1064 +tp7245 +a(g826 +V\u000a +p7246 +tp7247 +a(g705 +g1040 +tp7248 +a(g744 +Vsetq +p7249 +tp7250 +a(g826 +g1044 +tp7251 +a(g573 +Vlist +p7252 +tp7253 +a(g826 +g1044 +tp7254 +a(g705 +g1040 +tp7255 +a(g573 +Vsort +p7256 +tp7257 +a(g826 +g1044 +tp7258 +a(g573 +Vlist +p7259 +tp7260 +a(g826 +V\u000a +p7261 +tp7262 +a(g705 +g1040 +tp7263 +a(g681 +Vlambda +p7264 +tp7265 +a(g826 +g1044 +tp7266 +a(g705 +g1040 +tp7267 +a(g440 +g7217 +tp7268 +a(g826 +g1044 +tp7269 +a(g440 +g7220 +tp7270 +a(g705 +g1064 +tp7271 +a(g826 +V\u000a +p7272 +tp7273 +a(g705 +g1040 +tp7274 +a(g744 +Vsetq +p7275 +tp7276 +a(g826 +g1044 +tp7277 +a(g440 +g7217 +tp7278 +a(g826 +g1044 +tp7279 +a(g705 +g1040 +tp7280 +a(g573 +Vcar +p7281 +tp7282 +a(g826 +g1044 +tp7283 +a(g440 +g7217 +tp7284 +a(g705 +g1064 +tp7285 +a(g826 +g1044 +tp7286 +a(g440 +g7220 +tp7287 +a(g826 +g1044 +tp7288 +a(g705 +g1040 +tp7289 +a(g573 +Vcar +p7290 +tp7291 +a(g826 +g1044 +tp7292 +a(g440 +g7220 +tp7293 +a(g705 +g1064 +tp7294 +a(g705 +g1064 +tp7295 +a(g826 +V\u000a +p7296 +tp7297 +a(g705 +g1040 +tp7298 +a(g744 +Vif +p7299 +tp7300 +a(g826 +g1044 +tp7301 +a(g705 +g1040 +tp7302 +a(g573 +Vintegerp +p7303 +tp7304 +a(g826 +g1044 +tp7305 +a(g440 +g7217 +tp7306 +a(g705 +g1064 +tp7307 +a(g826 +V\u000a +p7308 +tp7309 +a(g705 +g1040 +tp7310 +a(g744 +Vif +p7311 +tp7312 +a(g826 +g1044 +tp7313 +a(g705 +g1040 +tp7314 +a(g573 +Vintegerp +p7315 +tp7316 +a(g826 +g1044 +tp7317 +a(g440 +g7220 +tp7318 +a(g705 +g1064 +tp7319 +a(g826 +g1044 +tp7320 +a(g705 +g1040 +tp7321 +a(g573 +g2719 +tp7322 +a(g826 +g1044 +tp7323 +a(g440 +g7217 +tp7324 +a(g826 +g1044 +tp7325 +a(g440 +g7220 +tp7326 +a(g705 +g1064 +tp7327 +a(g826 +V\u000a +p7328 +tp7329 +a(g565 +g1187 +tp7330 +a(g705 +g1064 +tp7331 +a(g826 +V\u000a +p7332 +tp7333 +a(g705 +g1040 +tp7334 +a(g744 +Vif +p7335 +tp7336 +a(g826 +g1044 +tp7337 +a(g705 +g1040 +tp7338 +a(g573 +Vintegerp +p7339 +tp7340 +a(g826 +g1044 +tp7341 +a(g440 +g7220 +tp7342 +a(g705 +g1064 +tp7343 +a(g826 +g1044 +tp7344 +a(g565 +g1187 +tp7345 +a(g826 +V\u000a +p7346 +tp7347 +a(g8 +V;; string< also accepts symbols. +p7348 +tp7349 +a(g826 +V\u000a +p7350 +tp7351 +a(g705 +g1040 +tp7352 +a(g440 +Vstring< +p7353 +tp7354 +a(g826 +g1044 +tp7355 +a(g440 +g7217 +tp7356 +a(g826 +g1044 +tp7357 +a(g440 +g7220 +tp7358 +a(g705 +g1064 +tp7359 +a(g705 +g1064 +tp7360 +a(g705 +g1064 +tp7361 +a(g705 +g1064 +tp7362 +a(g705 +g1064 +tp7363 +a(g705 +g1064 +tp7364 +a(g826 +V\u000a +p7365 +tp7366 +a(g705 +g1040 +tp7367 +a(g681 +Vdolist +p7368 +tp7369 +a(g826 +g1044 +tp7370 +a(g705 +g1040 +tp7371 +a(g440 +Vp +p7372 +tp7373 +a(g826 +g1044 +tp7374 +a(g573 +Vlist +p7375 +tp7376 +a(g705 +g1064 +tp7377 +a(g826 +V\u000a +p7378 +tp7379 +a(g705 +g1040 +tp7380 +a(g573 +Vfuncall +p7381 +tp7382 +a(g826 +g1044 +tp7383 +a(g744 +Vfunction +p7384 +tp7385 +a(g826 +g1044 +tp7386 +a(g705 +g1040 +tp7387 +a(g573 +Vcar +p7388 +tp7389 +a(g826 +g1044 +tp7390 +a(g440 +g7372 +tp7391 +a(g705 +g1064 +tp7392 +a(g826 +g1044 +tp7393 +a(g705 +g1040 +tp7394 +a(g573 +Vcdr +p7395 +tp7396 +a(g826 +g1044 +tp7397 +a(g440 +g7372 +tp7398 +a(g705 +g1064 +tp7399 +a(g705 +g1064 +tp7400 +a(g705 +g1064 +tp7401 +a(g705 +g1064 +tp7402 +a(g705 +g1064 +tp7403 +a(g826 +V\u000a\u000a +p7404 +tp7405 +a(g705 +g1040 +tp7406 +a(g681 +Vdefun +p7407 +tp7408 +a(g826 +g1044 +tp7409 +a(g440 +Vkeymap--menu-item-binding +p7410 +tp7411 +a(g826 +g1044 +tp7412 +a(g705 +g1040 +tp7413 +a(g440 +Vval +p7414 +tp7415 +a(g705 +g1064 +tp7416 +a(g826 +V\u000a +p7417 +tp7418 +a(g89 +g1068 +tp7419 +a(g89 +VReturn the binding part of a menu-item. +p7420 +tp7421 +a(g89 +g1068 +tp7422 +a(g826 +V\u000a +p7423 +tp7424 +a(g705 +g1040 +tp7425 +a(g744 +Vcond +p7426 +tp7427 +a(g826 +V\u000a +p7428 +tp7429 +a(g705 +g1040 +tp7430 +a(g705 +g1040 +tp7431 +a(g440 +Vnot +p7432 +tp7433 +a(g826 +g1044 +tp7434 +a(g705 +g1040 +tp7435 +a(g573 +Vconsp +p7436 +tp7437 +a(g826 +g1044 +tp7438 +a(g440 +Vval +p7439 +tp7440 +a(g705 +g1064 +tp7441 +a(g705 +g1064 +tp7442 +a(g826 +g1044 +tp7443 +a(g440 +Vval +p7444 +tp7445 +a(g705 +g1064 +tp7446 +a(g826 +V +p7447 +tp7448 +a(g8 +V;Not a menu-item. +p7449 +tp7450 +a(g826 +V\u000a +p7451 +tp7452 +a(g705 +g1040 +tp7453 +a(g705 +g1040 +tp7454 +a(g573 +Veq +p7455 +tp7456 +a(g826 +g1044 +tp7457 +a(g117 +V'menu-item +p7458 +tp7459 +a(g826 +g1044 +tp7460 +a(g705 +g1040 +tp7461 +a(g573 +Vcar +p7462 +tp7463 +a(g826 +g1044 +tp7464 +a(g440 +Vval +p7465 +tp7466 +a(g705 +g1064 +tp7467 +a(g705 +g1064 +tp7468 +a(g826 +V\u000a +p7469 +tp7470 +a(g705 +g1040 +tp7471 +a(g744 +Vlet* +p7472 +tp7473 +a(g826 +g1044 +tp7474 +a(g705 +g1040 +tp7475 +a(g705 +g1040 +tp7476 +a(g440 +Vbinding +p7477 +tp7478 +a(g826 +g1044 +tp7479 +a(g705 +g1040 +tp7480 +a(g573 +Vnth +p7481 +tp7482 +a(g826 +g1044 +tp7483 +a(g37 +g1370 +tp7484 +a(g826 +g1044 +tp7485 +a(g440 +Vval +p7486 +tp7487 +a(g705 +g1064 +tp7488 +a(g705 +g1064 +tp7489 +a(g826 +V\u000a +p7490 +tp7491 +a(g705 +g1040 +tp7492 +a(g440 +Vplist +p7493 +tp7494 +a(g826 +g1044 +tp7495 +a(g705 +g1040 +tp7496 +a(g573 +Vnthcdr +p7497 +tp7498 +a(g826 +g1044 +tp7499 +a(g37 +g1564 +tp7500 +a(g826 +g1044 +tp7501 +a(g440 +Vval +p7502 +tp7503 +a(g705 +g1064 +tp7504 +a(g705 +g1064 +tp7505 +a(g826 +V\u000a +p7506 +tp7507 +a(g705 +g1040 +tp7508 +a(g440 +Vfilter +p7509 +tp7510 +a(g826 +g1044 +tp7511 +a(g705 +g1040 +tp7512 +a(g573 +Vplist-get +p7513 +tp7514 +a(g826 +g1044 +tp7515 +a(g440 +Vplist +p7516 +tp7517 +a(g826 +g1044 +tp7518 +a(g681 +V:filter +p7519 +tp7520 +a(g705 +g1064 +tp7521 +a(g705 +g1064 +tp7522 +a(g705 +g1064 +tp7523 +a(g826 +V\u000a +p7524 +tp7525 +a(g705 +g1040 +tp7526 +a(g744 +Vif +p7527 +tp7528 +a(g826 +g1044 +tp7529 +a(g440 +Vfilter +p7530 +tp7531 +a(g826 +g1044 +tp7532 +a(g705 +g1040 +tp7533 +a(g573 +Vfuncall +p7534 +tp7535 +a(g826 +g1044 +tp7536 +a(g440 +Vfilter +p7537 +tp7538 +a(g826 +g1044 +tp7539 +a(g440 +Vbinding +p7540 +tp7541 +a(g705 +g1064 +tp7542 +a(g826 +V\u000a +p7543 +tp7544 +a(g440 +Vbinding +p7545 +tp7546 +a(g705 +g1064 +tp7547 +a(g705 +g1064 +tp7548 +a(g705 +g1064 +tp7549 +a(g826 +V\u000a +p7550 +tp7551 +a(g705 +g1040 +tp7552 +a(g705 +g1040 +tp7553 +a(g744 +Vand +p7554 +tp7555 +a(g826 +g1044 +tp7556 +a(g705 +g1040 +tp7557 +a(g573 +Vconsp +p7558 +tp7559 +a(g826 +g1044 +tp7560 +a(g705 +g1040 +tp7561 +a(g573 +Vcdr +p7562 +tp7563 +a(g826 +g1044 +tp7564 +a(g440 +Vval +p7565 +tp7566 +a(g705 +g1064 +tp7567 +a(g705 +g1064 +tp7568 +a(g826 +g1044 +tp7569 +a(g705 +g1040 +tp7570 +a(g573 +Vstringp +p7571 +tp7572 +a(g826 +g1044 +tp7573 +a(g705 +g1040 +tp7574 +a(g440 +Vcadr +p7575 +tp7576 +a(g826 +g1044 +tp7577 +a(g440 +Vval +p7578 +tp7579 +a(g705 +g1064 +tp7580 +a(g705 +g1064 +tp7581 +a(g705 +g1064 +tp7582 +a(g826 +V\u000a +p7583 +tp7584 +a(g705 +g1040 +tp7585 +a(g440 +Vcddr +p7586 +tp7587 +a(g826 +g1044 +tp7588 +a(g440 +Vval +p7589 +tp7590 +a(g705 +g1064 +tp7591 +a(g705 +g1064 +tp7592 +a(g826 +V\u000a +p7593 +tp7594 +a(g705 +g1040 +tp7595 +a(g705 +g1040 +tp7596 +a(g573 +Vstringp +p7597 +tp7598 +a(g826 +g1044 +tp7599 +a(g705 +g1040 +tp7600 +a(g573 +Vcar +p7601 +tp7602 +a(g826 +g1044 +tp7603 +a(g440 +Vval +p7604 +tp7605 +a(g705 +g1064 +tp7606 +a(g705 +g1064 +tp7607 +a(g826 +V\u000a +p7608 +tp7609 +a(g705 +g1040 +tp7610 +a(g573 +Vcdr +p7611 +tp7612 +a(g826 +g1044 +tp7613 +a(g440 +Vval +p7614 +tp7615 +a(g705 +g1064 +tp7616 +a(g705 +g1064 +tp7617 +a(g826 +V\u000a +p7618 +tp7619 +a(g705 +g1040 +tp7620 +a(g565 +g1187 +tp7621 +a(g826 +g1044 +tp7622 +a(g440 +Vval +p7623 +tp7624 +a(g705 +g1064 +tp7625 +a(g705 +g1064 +tp7626 +a(g705 +g1064 +tp7627 +a(g826 +V +p7628 +tp7629 +a(g8 +V;Not a menu-item either. +p7630 +tp7631 +a(g826 +V\u000a\u000a +p7632 +tp7633 +a(g705 +g1040 +tp7634 +a(g681 +Vdefun +p7635 +tp7636 +a(g826 +g1044 +tp7637 +a(g440 +Vkeymap--menu-item-with-binding +p7638 +tp7639 +a(g826 +g1044 +tp7640 +a(g705 +g1040 +tp7641 +a(g440 +Vitem +p7642 +tp7643 +a(g826 +g1044 +tp7644 +a(g440 +Vbinding +p7645 +tp7646 +a(g705 +g1064 +tp7647 +a(g826 +V\u000a +p7648 +tp7649 +a(g89 +g1068 +tp7650 +a(g89 +VBuild a menu-item like ITEM but with its binding changed to BINDING. +p7651 +tp7652 +a(g89 +g1068 +tp7653 +a(g826 +V\u000a +p7654 +tp7655 +a(g705 +g1040 +tp7656 +a(g744 +Vcond +p7657 +tp7658 +a(g826 +V\u000a +p7659 +tp7660 +a(g705 +g1040 +tp7661 +a(g705 +g1040 +tp7662 +a(g440 +Vnot +p7663 +tp7664 +a(g826 +g1044 +tp7665 +a(g705 +g1040 +tp7666 +a(g573 +Vconsp +p7667 +tp7668 +a(g826 +g1044 +tp7669 +a(g440 +Vitem +p7670 +tp7671 +a(g705 +g1064 +tp7672 +a(g705 +g1064 +tp7673 +a(g826 +g1044 +tp7674 +a(g440 +Vbinding +p7675 +tp7676 +a(g705 +g1064 +tp7677 +a(g826 +V +p7678 +tp7679 +a(g8 +V;Not a menu-item. +p7680 +tp7681 +a(g826 +V\u000a +p7682 +tp7683 +a(g705 +g1040 +tp7684 +a(g705 +g1040 +tp7685 +a(g573 +Veq +p7686 +tp7687 +a(g826 +g1044 +tp7688 +a(g117 +V'menu-item +p7689 +tp7690 +a(g826 +g1044 +tp7691 +a(g705 +g1040 +tp7692 +a(g573 +Vcar +p7693 +tp7694 +a(g826 +g1044 +tp7695 +a(g440 +Vitem +p7696 +tp7697 +a(g705 +g1064 +tp7698 +a(g705 +g1064 +tp7699 +a(g826 +V\u000a +p7700 +tp7701 +a(g705 +g1040 +tp7702 +a(g744 +Vsetq +p7703 +tp7704 +a(g826 +g1044 +tp7705 +a(g440 +Vitem +p7706 +tp7707 +a(g826 +g1044 +tp7708 +a(g705 +g1040 +tp7709 +a(g573 +Vcopy-sequence +p7710 +tp7711 +a(g826 +g1044 +tp7712 +a(g440 +Vitem +p7713 +tp7714 +a(g705 +g1064 +tp7715 +a(g705 +g1064 +tp7716 +a(g826 +V\u000a +p7717 +tp7718 +a(g705 +g1040 +tp7719 +a(g744 +Vlet +p7720 +tp7721 +a(g826 +g1044 +tp7722 +a(g705 +g1040 +tp7723 +a(g705 +g1040 +tp7724 +a(g440 +Vtail +p7725 +tp7726 +a(g826 +g1044 +tp7727 +a(g705 +g1040 +tp7728 +a(g573 +Vnthcdr +p7729 +tp7730 +a(g826 +g1044 +tp7731 +a(g37 +g1370 +tp7732 +a(g826 +g1044 +tp7733 +a(g440 +Vitem +p7734 +tp7735 +a(g705 +g1064 +tp7736 +a(g705 +g1064 +tp7737 +a(g705 +g1064 +tp7738 +a(g826 +V\u000a +p7739 +tp7740 +a(g705 +g1040 +tp7741 +a(g573 +Vsetcar +p7742 +tp7743 +a(g826 +g1044 +tp7744 +a(g440 +Vtail +p7745 +tp7746 +a(g826 +g1044 +tp7747 +a(g440 +Vbinding +p7748 +tp7749 +a(g705 +g1064 +tp7750 +a(g826 +V\u000a +p7751 +tp7752 +a(g8 +V;; Remove any potential filter. +p7753 +tp7754 +a(g826 +V\u000a +p7755 +tp7756 +a(g705 +g1040 +tp7757 +a(g744 +Vif +p7758 +tp7759 +a(g826 +g1044 +tp7760 +a(g705 +g1040 +tp7761 +a(g573 +Vplist-get +p7762 +tp7763 +a(g826 +g1044 +tp7764 +a(g705 +g1040 +tp7765 +a(g573 +Vcdr +p7766 +tp7767 +a(g826 +g1044 +tp7768 +a(g440 +Vtail +p7769 +tp7770 +a(g705 +g1064 +tp7771 +a(g826 +g1044 +tp7772 +a(g681 +V:filter +p7773 +tp7774 +a(g705 +g1064 +tp7775 +a(g826 +V\u000a +p7776 +tp7777 +a(g705 +g1040 +tp7778 +a(g573 +Vsetcdr +p7779 +tp7780 +a(g826 +g1044 +tp7781 +a(g440 +Vtail +p7782 +tp7783 +a(g826 +g1044 +tp7784 +a(g705 +g1040 +tp7785 +a(g573 +Vplist-put +p7786 +tp7787 +a(g826 +g1044 +tp7788 +a(g705 +g1040 +tp7789 +a(g573 +Vcdr +p7790 +tp7791 +a(g826 +g1044 +tp7792 +a(g440 +Vtail +p7793 +tp7794 +a(g705 +g1064 +tp7795 +a(g826 +g1044 +tp7796 +a(g681 +V:filter +p7797 +tp7798 +a(g826 +g1044 +tp7799 +a(g565 +Vnil +p7800 +tp7801 +a(g705 +g1064 +tp7802 +a(g705 +g1064 +tp7803 +a(g705 +g1064 +tp7804 +a(g705 +g1064 +tp7805 +a(g826 +V\u000a +p7806 +tp7807 +a(g440 +Vitem +p7808 +tp7809 +a(g705 +g1064 +tp7810 +a(g826 +V\u000a +p7811 +tp7812 +a(g705 +g1040 +tp7813 +a(g705 +g1040 +tp7814 +a(g744 +Vand +p7815 +tp7816 +a(g826 +g1044 +tp7817 +a(g705 +g1040 +tp7818 +a(g573 +Vconsp +p7819 +tp7820 +a(g826 +g1044 +tp7821 +a(g705 +g1040 +tp7822 +a(g573 +Vcdr +p7823 +tp7824 +a(g826 +g1044 +tp7825 +a(g440 +Vitem +p7826 +tp7827 +a(g705 +g1064 +tp7828 +a(g705 +g1064 +tp7829 +a(g826 +g1044 +tp7830 +a(g705 +g1040 +tp7831 +a(g573 +Vstringp +p7832 +tp7833 +a(g826 +g1044 +tp7834 +a(g705 +g1040 +tp7835 +a(g440 +Vcadr +p7836 +tp7837 +a(g826 +g1044 +tp7838 +a(g440 +Vitem +p7839 +tp7840 +a(g705 +g1064 +tp7841 +a(g705 +g1064 +tp7842 +a(g705 +g1064 +tp7843 +a(g826 +V\u000a +p7844 +tp7845 +a(g705 +g1040 +tp7846 +a(g573 +Vcons +p7847 +tp7848 +a(g826 +g1044 +tp7849 +a(g705 +g1040 +tp7850 +a(g573 +Vcar +p7851 +tp7852 +a(g826 +g1044 +tp7853 +a(g440 +Vitem +p7854 +tp7855 +a(g705 +g1064 +tp7856 +a(g826 +g1044 +tp7857 +a(g705 +g1040 +tp7858 +a(g573 +Vcons +p7859 +tp7860 +a(g826 +g1044 +tp7861 +a(g705 +g1040 +tp7862 +a(g440 +Vcadr +p7863 +tp7864 +a(g826 +g1044 +tp7865 +a(g440 +Vitem +p7866 +tp7867 +a(g705 +g1064 +tp7868 +a(g826 +g1044 +tp7869 +a(g440 +Vbinding +p7870 +tp7871 +a(g705 +g1064 +tp7872 +a(g705 +g1064 +tp7873 +a(g705 +g1064 +tp7874 +a(g826 +V\u000a +p7875 +tp7876 +a(g705 +g1040 +tp7877 +a(g565 +g1187 +tp7878 +a(g826 +g1044 +tp7879 +a(g705 +g1040 +tp7880 +a(g573 +Vcons +p7881 +tp7882 +a(g826 +g1044 +tp7883 +a(g705 +g1040 +tp7884 +a(g573 +Vcar +p7885 +tp7886 +a(g826 +g1044 +tp7887 +a(g440 +Vitem +p7888 +tp7889 +a(g705 +g1064 +tp7890 +a(g826 +g1044 +tp7891 +a(g440 +Vbinding +p7892 +tp7893 +a(g705 +g1064 +tp7894 +a(g705 +g1064 +tp7895 +a(g705 +g1064 +tp7896 +a(g705 +g1064 +tp7897 +a(g826 +V\u000a\u000a +p7898 +tp7899 +a(g705 +g1040 +tp7900 +a(g681 +Vdefun +p7901 +tp7902 +a(g826 +g1044 +tp7903 +a(g440 +Vkeymap--merge-bindings +p7904 +tp7905 +a(g826 +g1044 +tp7906 +a(g705 +g1040 +tp7907 +a(g440 +Vval1 +p7908 +tp7909 +a(g826 +g1044 +tp7910 +a(g440 +Vval2 +p7911 +tp7912 +a(g705 +g1064 +tp7913 +a(g826 +V\u000a +p7914 +tp7915 +a(g89 +g1068 +tp7916 +a(g89 +VMerge bindings VAL1 and VAL2. +p7917 +tp7918 +a(g89 +g1068 +tp7919 +a(g826 +V\u000a +p7920 +tp7921 +a(g705 +g1040 +tp7922 +a(g744 +Vlet +p7923 +tp7924 +a(g826 +g1044 +tp7925 +a(g705 +g1040 +tp7926 +a(g705 +g1040 +tp7927 +a(g440 +Vmap1 +p7928 +tp7929 +a(g826 +g1044 +tp7930 +a(g705 +g1040 +tp7931 +a(g440 +Vkeymap--menu-item-binding +p7932 +tp7933 +a(g826 +g1044 +tp7934 +a(g440 +Vval1 +p7935 +tp7936 +a(g705 +g1064 +tp7937 +a(g705 +g1064 +tp7938 +a(g826 +V\u000a +p7939 +tp7940 +a(g705 +g1040 +tp7941 +a(g440 +Vmap2 +p7942 +tp7943 +a(g826 +g1044 +tp7944 +a(g705 +g1040 +tp7945 +a(g440 +Vkeymap--menu-item-binding +p7946 +tp7947 +a(g826 +g1044 +tp7948 +a(g440 +Vval2 +p7949 +tp7950 +a(g705 +g1064 +tp7951 +a(g705 +g1064 +tp7952 +a(g705 +g1064 +tp7953 +a(g826 +V\u000a +p7954 +tp7955 +a(g705 +g1040 +tp7956 +a(g744 +Vif +p7957 +tp7958 +a(g826 +g1044 +tp7959 +a(g705 +g1040 +tp7960 +a(g440 +Vnot +p7961 +tp7962 +a(g826 +g1044 +tp7963 +a(g705 +g1040 +tp7964 +a(g744 +Vand +p7965 +tp7966 +a(g826 +g1044 +tp7967 +a(g705 +g1040 +tp7968 +a(g573 +Vkeymapp +p7969 +tp7970 +a(g826 +g1044 +tp7971 +a(g440 +Vmap1 +p7972 +tp7973 +a(g705 +g1064 +tp7974 +a(g826 +g1044 +tp7975 +a(g705 +g1040 +tp7976 +a(g573 +Vkeymapp +p7977 +tp7978 +a(g826 +g1044 +tp7979 +a(g440 +Vmap2 +p7980 +tp7981 +a(g705 +g1064 +tp7982 +a(g705 +g1064 +tp7983 +a(g705 +g1064 +tp7984 +a(g826 +V\u000a +p7985 +tp7986 +a(g8 +V;; There's nothing to merge: val1 takes precedence. +p7987 +tp7988 +a(g826 +V\u000a +p7989 +tp7990 +a(g440 +Vval1 +p7991 +tp7992 +a(g826 +V\u000a +p7993 +tp7994 +a(g705 +g1040 +tp7995 +a(g744 +Vlet +p7996 +tp7997 +a(g826 +g1044 +tp7998 +a(g705 +g1040 +tp7999 +a(g705 +g1040 +tp8000 +a(g440 +Vmap +p8001 +tp8002 +a(g826 +g1044 +tp8003 +a(g705 +g1040 +tp8004 +a(g573 +Vlist +p8005 +tp8006 +a(g826 +g1044 +tp8007 +a(g117 +V'keymap +p8008 +tp8009 +a(g826 +g1044 +tp8010 +a(g440 +Vmap1 +p8011 +tp8012 +a(g826 +g1044 +tp8013 +a(g440 +Vmap2 +p8014 +tp8015 +a(g705 +g1064 +tp8016 +a(g705 +g1064 +tp8017 +a(g826 +V\u000a +p8018 +tp8019 +a(g705 +g1040 +tp8020 +a(g440 +Vitem +p8021 +tp8022 +a(g826 +g1044 +tp8023 +a(g705 +g1040 +tp8024 +a(g744 +Vif +p8025 +tp8026 +a(g826 +g1044 +tp8027 +a(g705 +g1040 +tp8028 +a(g573 +Vkeymapp +p8029 +tp8030 +a(g826 +g1044 +tp8031 +a(g440 +Vval1 +p8032 +tp8033 +a(g705 +g1064 +tp8034 +a(g826 +g1044 +tp8035 +a(g705 +g1040 +tp8036 +a(g744 +Vif +p8037 +tp8038 +a(g826 +g1044 +tp8039 +a(g705 +g1040 +tp8040 +a(g573 +Vkeymapp +p8041 +tp8042 +a(g826 +g1044 +tp8043 +a(g440 +Vval2 +p8044 +tp8045 +a(g705 +g1064 +tp8046 +a(g826 +g1044 +tp8047 +a(g565 +Vnil +p8048 +tp8049 +a(g826 +g1044 +tp8050 +a(g440 +Vval2 +p8051 +tp8052 +a(g705 +g1064 +tp8053 +a(g826 +g1044 +tp8054 +a(g440 +Vval1 +p8055 +tp8056 +a(g705 +g1064 +tp8057 +a(g705 +g1064 +tp8058 +a(g705 +g1064 +tp8059 +a(g826 +V\u000a +p8060 +tp8061 +a(g705 +g1040 +tp8062 +a(g440 +Vkeymap--menu-item-with-binding +p8063 +tp8064 +a(g826 +g1044 +tp8065 +a(g440 +Vitem +p8066 +tp8067 +a(g826 +g1044 +tp8068 +a(g440 +Vmap +p8069 +tp8070 +a(g705 +g1064 +tp8071 +a(g705 +g1064 +tp8072 +a(g705 +g1064 +tp8073 +a(g705 +g1064 +tp8074 +a(g705 +g1064 +tp8075 +a(g826 +V\u000a\u000a +p8076 +tp8077 +a(g705 +g1040 +tp8078 +a(g681 +Vdefun +p8079 +tp8080 +a(g826 +g1044 +tp8081 +a(g440 +Vkeymap-canonicalize +p8082 +tp8083 +a(g826 +g1044 +tp8084 +a(g705 +g1040 +tp8085 +a(g440 +Vmap +p8086 +tp8087 +a(g705 +g1064 +tp8088 +a(g826 +V\u000a +p8089 +tp8090 +a(g89 +g1068 +tp8091 +a(g89 +VReturn a simpler equivalent keymap.\u000aThis resolves inheritance and redefinitions. The returned keymap\u000ashould behave identically to a copy of KEYMAP w.r.t +p8092 +tp8093 +a(g117 +V`lookup-key' +p8094 +tp8095 +a(g89 +V\u000aand use in active keymaps and menus.\u000aSubkeymaps may be modified but are not canonicalized. +p8096 +tp8097 +a(g89 +g1068 +tp8098 +a(g826 +V\u000a +p8099 +tp8100 +a(g8 +V;; FIXME: Problem with the difference between a nil binding +p8101 +tp8102 +a(g826 +V\u000a +p8103 +tp8104 +a(g8 +V;; that hides a binding in an inherited map and a nil binding that's ignored +p8105 +tp8106 +a(g826 +V\u000a +p8107 +tp8108 +a(g8 +V;; to let some further binding visible. Currently a nil binding hides all. +p8109 +tp8110 +a(g826 +V\u000a +p8111 +tp8112 +a(g8 +V;; FIXME: we may want to carefully (re)order elements in case they're +p8113 +tp8114 +a(g826 +V\u000a +p8115 +tp8116 +a(g8 +V;; menu-entries. +p8117 +tp8118 +a(g826 +V\u000a +p8119 +tp8120 +a(g705 +g1040 +tp8121 +a(g744 +Vlet +p8122 +tp8123 +a(g826 +g1044 +tp8124 +a(g705 +g1040 +tp8125 +a(g705 +g1040 +tp8126 +a(g440 +Vbindings +p8127 +tp8128 +a(g826 +g1044 +tp8129 +a(g705 +g1040 +tp8130 +a(g705 +g1064 +tp8131 +a(g705 +g1064 +tp8132 +a(g826 +V\u000a +p8133 +tp8134 +a(g705 +g1040 +tp8135 +a(g440 +Vranges +p8136 +tp8137 +a(g826 +g1044 +tp8138 +a(g705 +g1040 +tp8139 +a(g705 +g1064 +tp8140 +a(g705 +g1064 +tp8141 +a(g826 +V\u000a +p8142 +tp8143 +a(g705 +g1040 +tp8144 +a(g440 +Vprompt +p8145 +tp8146 +a(g826 +g1044 +tp8147 +a(g705 +g1040 +tp8148 +a(g573 +Vkeymap-prompt +p8149 +tp8150 +a(g826 +g1044 +tp8151 +a(g440 +Vmap +p8152 +tp8153 +a(g705 +g1064 +tp8154 +a(g705 +g1064 +tp8155 +a(g705 +g1064 +tp8156 +a(g826 +V\u000a +p8157 +tp8158 +a(g705 +g1040 +tp8159 +a(g744 +Vwhile +p8160 +tp8161 +a(g826 +g1044 +tp8162 +a(g705 +g1040 +tp8163 +a(g573 +Vkeymapp +p8164 +tp8165 +a(g826 +g1044 +tp8166 +a(g440 +Vmap +p8167 +tp8168 +a(g705 +g1064 +tp8169 +a(g826 +V\u000a +p8170 +tp8171 +a(g705 +g1040 +tp8172 +a(g744 +Vsetq +p8173 +tp8174 +a(g826 +g1044 +tp8175 +a(g440 +Vmap +p8176 +tp8177 +a(g826 +g1044 +tp8178 +a(g705 +g1040 +tp8179 +a(g573 +Vmap-keymap +p8180 +tp8181 +a(g826 +g1044 +tp8182 +a(g8 +V;; -internal +p8183 +tp8184 +a(g826 +V\u000a +p8185 +tp8186 +a(g705 +g1040 +tp8187 +a(g681 +Vlambda +p8188 +tp8189 +a(g826 +g1044 +tp8190 +a(g705 +g1040 +tp8191 +a(g440 +Vkey +p8192 +tp8193 +a(g826 +g1044 +tp8194 +a(g440 +Vitem +p8195 +tp8196 +a(g705 +g1064 +tp8197 +a(g826 +V\u000a +p8198 +tp8199 +a(g705 +g1040 +tp8200 +a(g744 +Vif +p8201 +tp8202 +a(g826 +g1044 +tp8203 +a(g705 +g1040 +tp8204 +a(g573 +Vconsp +p8205 +tp8206 +a(g826 +g1044 +tp8207 +a(g440 +Vkey +p8208 +tp8209 +a(g705 +g1064 +tp8210 +a(g826 +V\u000a +p8211 +tp8212 +a(g8 +V;; Treat char-ranges specially. +p8213 +tp8214 +a(g826 +V\u000a +p8215 +tp8216 +a(g705 +g1040 +tp8217 +a(g681 +Vpush +p8218 +tp8219 +a(g826 +g1044 +tp8220 +a(g705 +g1040 +tp8221 +a(g573 +Vcons +p8222 +tp8223 +a(g826 +g1044 +tp8224 +a(g440 +Vkey +p8225 +tp8226 +a(g826 +g1044 +tp8227 +a(g440 +Vitem +p8228 +tp8229 +a(g705 +g1064 +tp8230 +a(g826 +g1044 +tp8231 +a(g440 +Vranges +p8232 +tp8233 +a(g705 +g1064 +tp8234 +a(g826 +V\u000a +p8235 +tp8236 +a(g705 +g1040 +tp8237 +a(g681 +Vpush +p8238 +tp8239 +a(g826 +g1044 +tp8240 +a(g705 +g1040 +tp8241 +a(g573 +Vcons +p8242 +tp8243 +a(g826 +g1044 +tp8244 +a(g440 +Vkey +p8245 +tp8246 +a(g826 +g1044 +tp8247 +a(g440 +Vitem +p8248 +tp8249 +a(g705 +g1064 +tp8250 +a(g826 +g1044 +tp8251 +a(g440 +Vbindings +p8252 +tp8253 +a(g705 +g1064 +tp8254 +a(g705 +g1064 +tp8255 +a(g705 +g1064 +tp8256 +a(g826 +V\u000a +p8257 +tp8258 +a(g440 +Vmap +p8259 +tp8260 +a(g705 +g1064 +tp8261 +a(g705 +g1064 +tp8262 +a(g705 +g1064 +tp8263 +a(g826 +V\u000a +p8264 +tp8265 +a(g8 +V;; Create the new map. +p8266 +tp8267 +a(g826 +V\u000a +p8268 +tp8269 +a(g705 +g1040 +tp8270 +a(g744 +Vsetq +p8271 +tp8272 +a(g826 +g1044 +tp8273 +a(g440 +Vmap +p8274 +tp8275 +a(g826 +g1044 +tp8276 +a(g705 +g1040 +tp8277 +a(g573 +Vfuncall +p8278 +tp8279 +a(g826 +g1044 +tp8280 +a(g705 +g1040 +tp8281 +a(g744 +Vif +p8282 +tp8283 +a(g826 +g1044 +tp8284 +a(g440 +Vranges +p8285 +tp8286 +a(g826 +g1044 +tp8287 +a(g117 +V'make-keymap +p8288 +tp8289 +a(g826 +g1044 +tp8290 +a(g117 +V'make-sparse-keymap +p8291 +tp8292 +a(g705 +g1064 +tp8293 +a(g826 +g1044 +tp8294 +a(g440 +Vprompt +p8295 +tp8296 +a(g705 +g1064 +tp8297 +a(g705 +g1064 +tp8298 +a(g826 +V\u000a +p8299 +tp8300 +a(g705 +g1040 +tp8301 +a(g681 +Vdolist +p8302 +tp8303 +a(g826 +g1044 +tp8304 +a(g705 +g1040 +tp8305 +a(g440 +Vbinding +p8306 +tp8307 +a(g826 +g1044 +tp8308 +a(g440 +Vranges +p8309 +tp8310 +a(g705 +g1064 +tp8311 +a(g826 +V\u000a +p8312 +tp8313 +a(g8 +V;; Treat char-ranges specially. FIXME: need to merge as well. +p8314 +tp8315 +a(g826 +V\u000a +p8316 +tp8317 +a(g705 +g1040 +tp8318 +a(g573 +Vdefine-key +p8319 +tp8320 +a(g826 +g1044 +tp8321 +a(g440 +Vmap +p8322 +tp8323 +a(g826 +g1044 +tp8324 +a(g705 +g1040 +tp8325 +a(g573 +Vvector +p8326 +tp8327 +a(g826 +g1044 +tp8328 +a(g705 +g1040 +tp8329 +a(g573 +Vcar +p8330 +tp8331 +a(g826 +g1044 +tp8332 +a(g440 +Vbinding +p8333 +tp8334 +a(g705 +g1064 +tp8335 +a(g705 +g1064 +tp8336 +a(g826 +g1044 +tp8337 +a(g705 +g1040 +tp8338 +a(g573 +Vcdr +p8339 +tp8340 +a(g826 +g1044 +tp8341 +a(g440 +Vbinding +p8342 +tp8343 +a(g705 +g1064 +tp8344 +a(g705 +g1064 +tp8345 +a(g705 +g1064 +tp8346 +a(g826 +V\u000a +p8347 +tp8348 +a(g8 +V;; Process the bindings starting from the end. +p8349 +tp8350 +a(g826 +V\u000a +p8351 +tp8352 +a(g705 +g1040 +tp8353 +a(g681 +Vdolist +p8354 +tp8355 +a(g826 +g1044 +tp8356 +a(g705 +g1040 +tp8357 +a(g440 +Vbinding +p8358 +tp8359 +a(g826 +g1044 +tp8360 +a(g705 +g1040 +tp8361 +a(g744 +Vprog1 +p8362 +tp8363 +a(g826 +g1044 +tp8364 +a(g440 +Vbindings +p8365 +tp8366 +a(g826 +g1044 +tp8367 +a(g705 +g1040 +tp8368 +a(g744 +Vsetq +p8369 +tp8370 +a(g826 +g1044 +tp8371 +a(g440 +Vbindings +p8372 +tp8373 +a(g826 +g1044 +tp8374 +a(g705 +g1040 +tp8375 +a(g705 +g1064 +tp8376 +a(g705 +g1064 +tp8377 +a(g705 +g1064 +tp8378 +a(g705 +g1064 +tp8379 +a(g826 +V\u000a +p8380 +tp8381 +a(g705 +g1040 +tp8382 +a(g744 +Vlet* +p8383 +tp8384 +a(g826 +g1044 +tp8385 +a(g705 +g1040 +tp8386 +a(g705 +g1040 +tp8387 +a(g440 +Vkey +p8388 +tp8389 +a(g826 +g1044 +tp8390 +a(g705 +g1040 +tp8391 +a(g573 +Vcar +p8392 +tp8393 +a(g826 +g1044 +tp8394 +a(g440 +Vbinding +p8395 +tp8396 +a(g705 +g1064 +tp8397 +a(g705 +g1064 +tp8398 +a(g826 +V\u000a +p8399 +tp8400 +a(g705 +g1040 +tp8401 +a(g440 +Voldbind +p8402 +tp8403 +a(g826 +g1044 +tp8404 +a(g705 +g1040 +tp8405 +a(g573 +Vassq +p8406 +tp8407 +a(g826 +g1044 +tp8408 +a(g440 +Vkey +p8409 +tp8410 +a(g826 +g1044 +tp8411 +a(g440 +Vbindings +p8412 +tp8413 +a(g705 +g1064 +tp8414 +a(g705 +g1064 +tp8415 +a(g705 +g1064 +tp8416 +a(g826 +V\u000a +p8417 +tp8418 +a(g705 +g1040 +tp8419 +a(g681 +Vpush +p8420 +tp8421 +a(g826 +g1044 +tp8422 +a(g705 +g1040 +tp8423 +a(g744 +Vif +p8424 +tp8425 +a(g826 +g1044 +tp8426 +a(g705 +g1040 +tp8427 +a(g440 +Vnot +p8428 +tp8429 +a(g826 +g1044 +tp8430 +a(g440 +Voldbind +p8431 +tp8432 +a(g705 +g1064 +tp8433 +a(g826 +V\u000a +p8434 +tp8435 +a(g8 +V;; The normal case: no duplicate bindings. +p8436 +tp8437 +a(g826 +V\u000a +p8438 +tp8439 +a(g440 +Vbinding +p8440 +tp8441 +a(g826 +V\u000a +p8442 +tp8443 +a(g8 +V;; This is the second binding for this key. +p8444 +tp8445 +a(g826 +V\u000a +p8446 +tp8447 +a(g705 +g1040 +tp8448 +a(g744 +Vsetq +p8449 +tp8450 +a(g826 +g1044 +tp8451 +a(g440 +Vbindings +p8452 +tp8453 +a(g826 +g1044 +tp8454 +a(g705 +g1040 +tp8455 +a(g573 +Vdelq +p8456 +tp8457 +a(g826 +g1044 +tp8458 +a(g440 +Voldbind +p8459 +tp8460 +a(g826 +g1044 +tp8461 +a(g440 +Vbindings +p8462 +tp8463 +a(g705 +g1064 +tp8464 +a(g705 +g1064 +tp8465 +a(g826 +V\u000a +p8466 +tp8467 +a(g705 +g1040 +tp8468 +a(g573 +Vcons +p8469 +tp8470 +a(g826 +g1044 +tp8471 +a(g440 +Vkey +p8472 +tp8473 +a(g826 +g1044 +tp8474 +a(g705 +g1040 +tp8475 +a(g440 +Vkeymap--merge-bindings +p8476 +tp8477 +a(g826 +g1044 +tp8478 +a(g705 +g1040 +tp8479 +a(g573 +Vcdr +p8480 +tp8481 +a(g826 +g1044 +tp8482 +a(g440 +Vbinding +p8483 +tp8484 +a(g705 +g1064 +tp8485 +a(g826 +V\u000a +p8486 +tp8487 +a(g705 +g1040 +tp8488 +a(g573 +Vcdr +p8489 +tp8490 +a(g826 +g1044 +tp8491 +a(g440 +Voldbind +p8492 +tp8493 +a(g705 +g1064 +tp8494 +a(g705 +g1064 +tp8495 +a(g705 +g1064 +tp8496 +a(g705 +g1064 +tp8497 +a(g826 +V\u000a +p8498 +tp8499 +a(g440 +Vbindings +p8500 +tp8501 +a(g705 +g1064 +tp8502 +a(g705 +g1064 +tp8503 +a(g705 +g1064 +tp8504 +a(g826 +V\u000a +p8505 +tp8506 +a(g705 +g1040 +tp8507 +a(g573 +Vnconc +p8508 +tp8509 +a(g826 +g1044 +tp8510 +a(g440 +Vmap +p8511 +tp8512 +a(g826 +g1044 +tp8513 +a(g440 +Vbindings +p8514 +tp8515 +a(g705 +g1064 +tp8516 +a(g705 +g1064 +tp8517 +a(g705 +g1064 +tp8518 +a(g826 +V\u000a\u000a +p8519 +tp8520 +a(g705 +g1040 +tp8521 +a(g573 +Vput +p8522 +tp8523 +a(g826 +g1044 +tp8524 +a(g117 +V'keyboard-translate-table +p8525 +tp8526 +a(g826 +g1044 +tp8527 +a(g117 +V'char-table-extra-slots +p8528 +tp8529 +a(g826 +g1044 +tp8530 +a(g37 +g2628 +tp8531 +a(g705 +g1064 +tp8532 +a(g826 +V\u000a\u000a +p8533 +tp8534 +a(g705 +g1040 +tp8535 +a(g681 +Vdefun +p8536 +tp8537 +a(g826 +g1044 +tp8538 +a(g440 +Vkeyboard-translate +p8539 +tp8540 +a(g826 +g1044 +tp8541 +a(g705 +g1040 +tp8542 +a(g440 +Vfrom +p8543 +tp8544 +a(g826 +g1044 +tp8545 +a(g440 +Vto +p8546 +tp8547 +a(g705 +g1064 +tp8548 +a(g826 +V\u000a +p8549 +tp8550 +a(g89 +g1068 +tp8551 +a(g89 +VTranslate character FROM to TO on the current terminal.\u000aThis function creates a +p8552 +tp8553 +a(g117 +V`keyboard-translate-table' +p8554 +tp8555 +a(g89 +V if necessary\u000aand then modifies one entry in it. +p8556 +tp8557 +a(g89 +g1068 +tp8558 +a(g826 +V\u000a +p8559 +tp8560 +a(g705 +g1040 +tp8561 +a(g744 +Vor +p8562 +tp8563 +a(g826 +g1044 +tp8564 +a(g705 +g1040 +tp8565 +a(g573 +Vchar-table-p +p8566 +tp8567 +a(g826 +g1044 +tp8568 +a(g440 +Vkeyboard-translate-table +p8569 +tp8570 +a(g705 +g1064 +tp8571 +a(g826 +V\u000a +p8572 +tp8573 +a(g705 +g1040 +tp8574 +a(g744 +Vsetq +p8575 +tp8576 +a(g826 +g1044 +tp8577 +a(g440 +Vkeyboard-translate-table +p8578 +tp8579 +a(g826 +V\u000a +p8580 +tp8581 +a(g705 +g1040 +tp8582 +a(g573 +Vmake-char-table +p8583 +tp8584 +a(g826 +g1044 +tp8585 +a(g117 +V'keyboard-translate-table +p8586 +tp8587 +a(g826 +g1044 +tp8588 +a(g565 +Vnil +p8589 +tp8590 +a(g705 +g1064 +tp8591 +a(g705 +g1064 +tp8592 +a(g705 +g1064 +tp8593 +a(g826 +V\u000a +p8594 +tp8595 +a(g705 +g1040 +tp8596 +a(g573 +Vaset +p8597 +tp8598 +a(g826 +g1044 +tp8599 +a(g440 +Vkeyboard-translate-table +p8600 +tp8601 +a(g826 +g1044 +tp8602 +a(g440 +Vfrom +p8603 +tp8604 +a(g826 +g1044 +tp8605 +a(g440 +Vto +p8606 +tp8607 +a(g705 +g1064 +tp8608 +a(g705 +g1064 +tp8609 +a(g826 +V\u000a \u000a +p8610 +tp8611 +a(g8 +V;;;; Key binding commands. +p8612 +tp8613 +a(g826 +V\u000a\u000a +p8614 +tp8615 +a(g705 +g1040 +tp8616 +a(g681 +Vdefun +p8617 +tp8618 +a(g826 +g1044 +tp8619 +a(g440 +Vglobal-set-key +p8620 +tp8621 +a(g826 +g1044 +tp8622 +a(g705 +g1040 +tp8623 +a(g440 +Vkey +p8624 +tp8625 +a(g826 +g1044 +tp8626 +a(g440 +Vcommand +p8627 +tp8628 +a(g705 +g1064 +tp8629 +a(g826 +V\u000a +p8630 +tp8631 +a(g89 +g1068 +tp8632 +a(g89 +VGive KEY a global binding as COMMAND.\u000aCOMMAND is the command definition to use; usually it is\u000aa symbol naming an interactively-callable function.\u000aKEY is a key sequence; noninteractively, it is a string or vector\u000aof characters or event types, and non-ASCII characters with codes\u000aabove 127 (such as ISO Latin-1) can be included if you use a vector.\u000a\u000aNote that if KEY has a local binding in the current buffer,\u000athat local binding will continue to shadow any global binding\u000athat you make with this function. +p8633 +tp8634 +a(g89 +g1068 +tp8635 +a(g826 +V\u000a +p8636 +tp8637 +a(g705 +g1040 +tp8638 +a(g744 +Vinteractive +p8639 +tp8640 +a(g826 +g1044 +tp8641 +a(g89 +g1068 +tp8642 +a(g89 +VKSet key globally: +p8643 +tp8644 +a(g89 +V\u005cn +p8645 +tp8646 +a(g89 +VCSet key %s to command: +p8647 +tp8648 +a(g89 +g1068 +tp8649 +a(g705 +g1064 +tp8650 +a(g826 +V\u000a +p8651 +tp8652 +a(g705 +g1040 +tp8653 +a(g744 +Vor +p8654 +tp8655 +a(g826 +g1044 +tp8656 +a(g705 +g1040 +tp8657 +a(g573 +Vvectorp +p8658 +tp8659 +a(g826 +g1044 +tp8660 +a(g440 +Vkey +p8661 +tp8662 +a(g705 +g1064 +tp8663 +a(g826 +g1044 +tp8664 +a(g705 +g1040 +tp8665 +a(g573 +Vstringp +p8666 +tp8667 +a(g826 +g1044 +tp8668 +a(g440 +Vkey +p8669 +tp8670 +a(g705 +g1064 +tp8671 +a(g826 +V\u000a +p8672 +tp8673 +a(g705 +g1040 +tp8674 +a(g573 +Vsignal +p8675 +tp8676 +a(g826 +g1044 +tp8677 +a(g117 +V'wrong-type-argument +p8678 +tp8679 +a(g826 +g1044 +tp8680 +a(g705 +g1040 +tp8681 +a(g573 +Vlist +p8682 +tp8683 +a(g826 +g1044 +tp8684 +a(g117 +V'arrayp +p8685 +tp8686 +a(g826 +g1044 +tp8687 +a(g440 +Vkey +p8688 +tp8689 +a(g705 +g1064 +tp8690 +a(g705 +g1064 +tp8691 +a(g705 +g1064 +tp8692 +a(g826 +V\u000a +p8693 +tp8694 +a(g705 +g1040 +tp8695 +a(g573 +Vdefine-key +p8696 +tp8697 +a(g826 +g1044 +tp8698 +a(g705 +g1040 +tp8699 +a(g573 +Vcurrent-global-map +p8700 +tp8701 +a(g705 +g1064 +tp8702 +a(g826 +g1044 +tp8703 +a(g440 +Vkey +p8704 +tp8705 +a(g826 +g1044 +tp8706 +a(g440 +Vcommand +p8707 +tp8708 +a(g705 +g1064 +tp8709 +a(g705 +g1064 +tp8710 +a(g826 +V\u000a\u000a +p8711 +tp8712 +a(g705 +g1040 +tp8713 +a(g681 +Vdefun +p8714 +tp8715 +a(g826 +g1044 +tp8716 +a(g440 +Vlocal-set-key +p8717 +tp8718 +a(g826 +g1044 +tp8719 +a(g705 +g1040 +tp8720 +a(g440 +Vkey +p8721 +tp8722 +a(g826 +g1044 +tp8723 +a(g440 +Vcommand +p8724 +tp8725 +a(g705 +g1064 +tp8726 +a(g826 +V\u000a +p8727 +tp8728 +a(g89 +g1068 +tp8729 +a(g89 +VGive KEY a local binding as COMMAND.\u000aCOMMAND is the command definition to use; usually it is\u000aa symbol naming an interactively-callable function.\u000aKEY is a key sequence; noninteractively, it is a string or vector\u000aof characters or event types, and non-ASCII characters with codes\u000aabove 127 (such as ISO Latin-1) can be included if you use a vector.\u000a\u000aThe binding goes in the current buffer's local map, which in most\u000acases is shared with all other buffers in the same major mode. +p8730 +tp8731 +a(g89 +g1068 +tp8732 +a(g826 +V\u000a +p8733 +tp8734 +a(g705 +g1040 +tp8735 +a(g744 +Vinteractive +p8736 +tp8737 +a(g826 +g1044 +tp8738 +a(g89 +g1068 +tp8739 +a(g89 +VKSet key locally: +p8740 +tp8741 +a(g89 +V\u005cn +p8742 +tp8743 +a(g89 +VCSet key %s locally to command: +p8744 +tp8745 +a(g89 +g1068 +tp8746 +a(g705 +g1064 +tp8747 +a(g826 +V\u000a +p8748 +tp8749 +a(g705 +g1040 +tp8750 +a(g744 +Vlet +p8751 +tp8752 +a(g826 +g1044 +tp8753 +a(g705 +g1040 +tp8754 +a(g705 +g1040 +tp8755 +a(g440 +Vmap +p8756 +tp8757 +a(g826 +g1044 +tp8758 +a(g705 +g1040 +tp8759 +a(g573 +Vcurrent-local-map +p8760 +tp8761 +a(g705 +g1064 +tp8762 +a(g705 +g1064 +tp8763 +a(g705 +g1064 +tp8764 +a(g826 +V\u000a +p8765 +tp8766 +a(g705 +g1040 +tp8767 +a(g744 +Vor +p8768 +tp8769 +a(g826 +g1044 +tp8770 +a(g440 +Vmap +p8771 +tp8772 +a(g826 +V\u000a +p8773 +tp8774 +a(g705 +g1040 +tp8775 +a(g573 +Vuse-local-map +p8776 +tp8777 +a(g826 +g1044 +tp8778 +a(g705 +g1040 +tp8779 +a(g744 +Vsetq +p8780 +tp8781 +a(g826 +g1044 +tp8782 +a(g440 +Vmap +p8783 +tp8784 +a(g826 +g1044 +tp8785 +a(g705 +g1040 +tp8786 +a(g573 +Vmake-sparse-keymap +p8787 +tp8788 +a(g705 +g1064 +tp8789 +a(g705 +g1064 +tp8790 +a(g705 +g1064 +tp8791 +a(g705 +g1064 +tp8792 +a(g826 +V\u000a +p8793 +tp8794 +a(g705 +g1040 +tp8795 +a(g744 +Vor +p8796 +tp8797 +a(g826 +g1044 +tp8798 +a(g705 +g1040 +tp8799 +a(g573 +Vvectorp +p8800 +tp8801 +a(g826 +g1044 +tp8802 +a(g440 +Vkey +p8803 +tp8804 +a(g705 +g1064 +tp8805 +a(g826 +g1044 +tp8806 +a(g705 +g1040 +tp8807 +a(g573 +Vstringp +p8808 +tp8809 +a(g826 +g1044 +tp8810 +a(g440 +Vkey +p8811 +tp8812 +a(g705 +g1064 +tp8813 +a(g826 +V\u000a +p8814 +tp8815 +a(g705 +g1040 +tp8816 +a(g573 +Vsignal +p8817 +tp8818 +a(g826 +g1044 +tp8819 +a(g117 +V'wrong-type-argument +p8820 +tp8821 +a(g826 +g1044 +tp8822 +a(g705 +g1040 +tp8823 +a(g573 +Vlist +p8824 +tp8825 +a(g826 +g1044 +tp8826 +a(g117 +V'arrayp +p8827 +tp8828 +a(g826 +g1044 +tp8829 +a(g440 +Vkey +p8830 +tp8831 +a(g705 +g1064 +tp8832 +a(g705 +g1064 +tp8833 +a(g705 +g1064 +tp8834 +a(g826 +V\u000a +p8835 +tp8836 +a(g705 +g1040 +tp8837 +a(g573 +Vdefine-key +p8838 +tp8839 +a(g826 +g1044 +tp8840 +a(g440 +Vmap +p8841 +tp8842 +a(g826 +g1044 +tp8843 +a(g440 +Vkey +p8844 +tp8845 +a(g826 +g1044 +tp8846 +a(g440 +Vcommand +p8847 +tp8848 +a(g705 +g1064 +tp8849 +a(g705 +g1064 +tp8850 +a(g705 +g1064 +tp8851 +a(g826 +V\u000a\u000a +p8852 +tp8853 +a(g705 +g1040 +tp8854 +a(g681 +Vdefun +p8855 +tp8856 +a(g826 +g1044 +tp8857 +a(g440 +Vglobal-unset-key +p8858 +tp8859 +a(g826 +g1044 +tp8860 +a(g705 +g1040 +tp8861 +a(g440 +Vkey +p8862 +tp8863 +a(g705 +g1064 +tp8864 +a(g826 +V\u000a +p8865 +tp8866 +a(g89 +g1068 +tp8867 +a(g89 +VRemove global binding of KEY.\u000aKEY is a string or vector representing a sequence of keystrokes. +p8868 +tp8869 +a(g89 +g1068 +tp8870 +a(g826 +V\u000a +p8871 +tp8872 +a(g705 +g1040 +tp8873 +a(g744 +Vinteractive +p8874 +tp8875 +a(g826 +g1044 +tp8876 +a(g89 +g1068 +tp8877 +a(g89 +VkUnset key globally: +p8878 +tp8879 +a(g89 +g1068 +tp8880 +a(g705 +g1064 +tp8881 +a(g826 +V\u000a +p8882 +tp8883 +a(g705 +g1040 +tp8884 +a(g440 +Vglobal-set-key +p8885 +tp8886 +a(g826 +g1044 +tp8887 +a(g440 +Vkey +p8888 +tp8889 +a(g826 +g1044 +tp8890 +a(g565 +Vnil +p8891 +tp8892 +a(g705 +g1064 +tp8893 +a(g705 +g1064 +tp8894 +a(g826 +V\u000a\u000a +p8895 +tp8896 +a(g705 +g1040 +tp8897 +a(g681 +Vdefun +p8898 +tp8899 +a(g826 +g1044 +tp8900 +a(g440 +Vlocal-unset-key +p8901 +tp8902 +a(g826 +g1044 +tp8903 +a(g705 +g1040 +tp8904 +a(g440 +Vkey +p8905 +tp8906 +a(g705 +g1064 +tp8907 +a(g826 +V\u000a +p8908 +tp8909 +a(g89 +g1068 +tp8910 +a(g89 +VRemove local binding of KEY.\u000aKEY is a string or vector representing a sequence of keystrokes. +p8911 +tp8912 +a(g89 +g1068 +tp8913 +a(g826 +V\u000a +p8914 +tp8915 +a(g705 +g1040 +tp8916 +a(g744 +Vinteractive +p8917 +tp8918 +a(g826 +g1044 +tp8919 +a(g89 +g1068 +tp8920 +a(g89 +VkUnset key locally: +p8921 +tp8922 +a(g89 +g1068 +tp8923 +a(g705 +g1064 +tp8924 +a(g826 +V\u000a +p8925 +tp8926 +a(g705 +g1040 +tp8927 +a(g744 +Vif +p8928 +tp8929 +a(g826 +g1044 +tp8930 +a(g705 +g1040 +tp8931 +a(g573 +Vcurrent-local-map +p8932 +tp8933 +a(g705 +g1064 +tp8934 +a(g826 +V\u000a +p8935 +tp8936 +a(g705 +g1040 +tp8937 +a(g440 +Vlocal-set-key +p8938 +tp8939 +a(g826 +g1044 +tp8940 +a(g440 +Vkey +p8941 +tp8942 +a(g826 +g1044 +tp8943 +a(g565 +Vnil +p8944 +tp8945 +a(g705 +g1064 +tp8946 +a(g705 +g1064 +tp8947 +a(g826 +V\u000a +p8948 +tp8949 +a(g565 +Vnil +p8950 +tp8951 +a(g705 +g1064 +tp8952 +a(g826 +V\u000a \u000a +p8953 +tp8954 +a(g8 +V;;;; substitute-key-definition and its subroutines. +p8955 +tp8956 +a(g826 +V\u000a\u000a +p8957 +tp8958 +a(g705 +g1040 +tp8959 +a(g744 +Vdefvar +p8960 +tp8961 +a(g826 +g1044 +tp8962 +a(g440 +Vkey-substitution-in-progress +p8963 +tp8964 +a(g826 +g1044 +tp8965 +a(g565 +Vnil +p8966 +tp8967 +a(g826 +V\u000a +p8968 +tp8969 +a(g89 +g1068 +tp8970 +a(g89 +VUsed internally by +p8971 +tp8972 +a(g117 +V`substitute-key-definition' +p8973 +tp8974 +a(g89 +g3307 +tp8975 +a(g89 +g1068 +tp8976 +a(g705 +g1064 +tp8977 +a(g826 +V\u000a\u000a +p8978 +tp8979 +a(g705 +g1040 +tp8980 +a(g681 +Vdefun +p8981 +tp8982 +a(g826 +g1044 +tp8983 +a(g440 +Vsubstitute-key-definition +p8984 +tp8985 +a(g826 +g1044 +tp8986 +a(g705 +g1040 +tp8987 +a(g440 +Volddef +p8988 +tp8989 +a(g826 +g1044 +tp8990 +a(g440 +Vnewdef +p8991 +tp8992 +a(g826 +g1044 +tp8993 +a(g440 +Vkeymap +p8994 +tp8995 +a(g826 +g1044 +tp8996 +a(g785 +V&optional +p8997 +tp8998 +a(g826 +g1044 +tp8999 +a(g440 +Voldmap +p9000 +tp9001 +a(g826 +g1044 +tp9002 +a(g440 +Vprefix +p9003 +tp9004 +a(g705 +g1064 +tp9005 +a(g826 +V\u000a +p9006 +tp9007 +a(g89 +g1068 +tp9008 +a(g89 +VReplace OLDDEF with NEWDEF for any keys in KEYMAP now defined as OLDDEF.\u000aIn other words, OLDDEF is replaced with NEWDEF where ever it appears.\u000aAlternatively, if optional fourth argument OLDMAP is specified, we redefine\u000ain KEYMAP as NEWDEF those keys which are defined as OLDDEF in OLDMAP.\u000a\u000aIf you don't specify OLDMAP, you can usually get the same results\u000ain a cleaner way with command remapping, like this:\u000a (define-key KEYMAP [remap OLDDEF] NEWDEF)\u000a +p9009 +tp9010 +a(g89 +V\u005cn +p9011 +tp9012 +a(g89 +V(fn OLDDEF NEWDEF KEYMAP &optional OLDMAP) +p9013 +tp9014 +a(g89 +g1068 +tp9015 +a(g826 +V\u000a +p9016 +tp9017 +a(g8 +V;; Don't document PREFIX in the doc string because we don't want to +p9018 +tp9019 +a(g826 +V\u000a +p9020 +tp9021 +a(g8 +V;; advertise it. It's meant for recursive calls only. Here's its +p9022 +tp9023 +a(g826 +V\u000a +p9024 +tp9025 +a(g8 +V;; meaning +p9026 +tp9027 +a(g826 +V\u000a\u000a +p9028 +tp9029 +a(g8 +V;; If optional argument PREFIX is specified, it should be a key +p9030 +tp9031 +a(g826 +V\u000a +p9032 +tp9033 +a(g8 +V;; prefix, a string. Redefined bindings will then be bound to the +p9034 +tp9035 +a(g826 +V\u000a +p9036 +tp9037 +a(g8 +V;; original key, with PREFIX added at the front. +p9038 +tp9039 +a(g826 +V\u000a +p9040 +tp9041 +a(g705 +g1040 +tp9042 +a(g744 +Vor +p9043 +tp9044 +a(g826 +g1044 +tp9045 +a(g440 +Vprefix +p9046 +tp9047 +a(g826 +g1044 +tp9048 +a(g705 +g1040 +tp9049 +a(g744 +Vsetq +p9050 +tp9051 +a(g826 +g1044 +tp9052 +a(g440 +Vprefix +p9053 +tp9054 +a(g826 +g1044 +tp9055 +a(g89 +g1068 +tp9056 +a(g89 +g1068 +tp9057 +a(g705 +g1064 +tp9058 +a(g705 +g1064 +tp9059 +a(g826 +V\u000a +p9060 +tp9061 +a(g705 +g1040 +tp9062 +a(g744 +Vlet* +p9063 +tp9064 +a(g826 +g1044 +tp9065 +a(g705 +g1040 +tp9066 +a(g705 +g1040 +tp9067 +a(g440 +Vscan +p9068 +tp9069 +a(g826 +g1044 +tp9070 +a(g705 +g1040 +tp9071 +a(g744 +Vor +p9072 +tp9073 +a(g826 +g1044 +tp9074 +a(g440 +Voldmap +p9075 +tp9076 +a(g826 +g1044 +tp9077 +a(g440 +Vkeymap +p9078 +tp9079 +a(g705 +g1064 +tp9080 +a(g705 +g1064 +tp9081 +a(g826 +V\u000a +p9082 +tp9083 +a(g705 +g1040 +tp9084 +a(g440 +Vprefix1 +p9085 +tp9086 +a(g826 +g1044 +tp9087 +a(g705 +g1040 +tp9088 +a(g573 +Vvconcat +p9089 +tp9090 +a(g826 +g1044 +tp9091 +a(g440 +Vprefix +p9092 +tp9093 +a(g826 +g1044 +tp9094 +a(g705 +g1395 +tp9095 +a(g565 +Vnil +p9096 +tp9097 +a(g705 +g1402 +tp9098 +a(g705 +g1064 +tp9099 +a(g705 +g1064 +tp9100 +a(g826 +V\u000a +p9101 +tp9102 +a(g705 +g1040 +tp9103 +a(g440 +Vkey-substitution-in-progress +p9104 +tp9105 +a(g826 +V\u000a +p9106 +tp9107 +a(g705 +g1040 +tp9108 +a(g573 +Vcons +p9109 +tp9110 +a(g826 +g1044 +tp9111 +a(g440 +Vscan +p9112 +tp9113 +a(g826 +g1044 +tp9114 +a(g440 +Vkey-substitution-in-progress +p9115 +tp9116 +a(g705 +g1064 +tp9117 +a(g705 +g1064 +tp9118 +a(g705 +g1064 +tp9119 +a(g826 +V\u000a +p9120 +tp9121 +a(g8 +V;; Scan OLDMAP, finding each char or event-symbol that +p9122 +tp9123 +a(g826 +V\u000a +p9124 +tp9125 +a(g8 +V;; has any definition, and act on it with hack-key. +p9126 +tp9127 +a(g826 +V\u000a +p9128 +tp9129 +a(g705 +g1040 +tp9130 +a(g573 +Vmap-keymap +p9131 +tp9132 +a(g826 +V\u000a +p9133 +tp9134 +a(g705 +g1040 +tp9135 +a(g681 +Vlambda +p9136 +tp9137 +a(g826 +g1044 +tp9138 +a(g705 +g1040 +tp9139 +a(g440 +Vchar +p9140 +tp9141 +a(g826 +g1044 +tp9142 +a(g440 +Vdefn +p9143 +tp9144 +a(g705 +g1064 +tp9145 +a(g826 +V\u000a +p9146 +tp9147 +a(g705 +g1040 +tp9148 +a(g573 +Vaset +p9149 +tp9150 +a(g826 +g1044 +tp9151 +a(g440 +Vprefix1 +p9152 +tp9153 +a(g826 +g1044 +tp9154 +a(g705 +g1040 +tp9155 +a(g573 +Vlength +p9156 +tp9157 +a(g826 +g1044 +tp9158 +a(g440 +Vprefix +p9159 +tp9160 +a(g705 +g1064 +tp9161 +a(g826 +g1044 +tp9162 +a(g440 +Vchar +p9163 +tp9164 +a(g705 +g1064 +tp9165 +a(g826 +V\u000a +p9166 +tp9167 +a(g705 +g1040 +tp9168 +a(g440 +Vsubstitute-key-definition-key +p9169 +tp9170 +a(g826 +g1044 +tp9171 +a(g440 +Vdefn +p9172 +tp9173 +a(g826 +g1044 +tp9174 +a(g440 +Volddef +p9175 +tp9176 +a(g826 +g1044 +tp9177 +a(g440 +Vnewdef +p9178 +tp9179 +a(g826 +g1044 +tp9180 +a(g440 +Vprefix1 +p9181 +tp9182 +a(g826 +g1044 +tp9183 +a(g440 +Vkeymap +p9184 +tp9185 +a(g705 +g1064 +tp9186 +a(g705 +g1064 +tp9187 +a(g826 +V\u000a +p9188 +tp9189 +a(g440 +Vscan +p9190 +tp9191 +a(g705 +g1064 +tp9192 +a(g705 +g1064 +tp9193 +a(g705 +g1064 +tp9194 +a(g826 +V\u000a\u000a +p9195 +tp9196 +a(g705 +g1040 +tp9197 +a(g681 +Vdefun +p9198 +tp9199 +a(g826 +g1044 +tp9200 +a(g440 +Vsubstitute-key-definition-key +p9201 +tp9202 +a(g826 +g1044 +tp9203 +a(g705 +g1040 +tp9204 +a(g440 +Vdefn +p9205 +tp9206 +a(g826 +g1044 +tp9207 +a(g440 +Volddef +p9208 +tp9209 +a(g826 +g1044 +tp9210 +a(g440 +Vnewdef +p9211 +tp9212 +a(g826 +g1044 +tp9213 +a(g440 +Vprefix +p9214 +tp9215 +a(g826 +g1044 +tp9216 +a(g440 +Vkeymap +p9217 +tp9218 +a(g705 +g1064 +tp9219 +a(g826 +V\u000a +p9220 +tp9221 +a(g705 +g1040 +tp9222 +a(g744 +Vlet +p9223 +tp9224 +a(g826 +g1044 +tp9225 +a(g705 +g1040 +tp9226 +a(g440 +Vinner-def +p9227 +tp9228 +a(g826 +g1044 +tp9229 +a(g440 +Vskipped +p9230 +tp9231 +a(g826 +g1044 +tp9232 +a(g440 +Vmenu-item +p9233 +tp9234 +a(g705 +g1064 +tp9235 +a(g826 +V\u000a +p9236 +tp9237 +a(g8 +V;; Find the actual command name within the binding. +p9238 +tp9239 +a(g826 +V\u000a +p9240 +tp9241 +a(g705 +g1040 +tp9242 +a(g744 +Vif +p9243 +tp9244 +a(g826 +g1044 +tp9245 +a(g705 +g1040 +tp9246 +a(g573 +Veq +p9247 +tp9248 +a(g826 +g1044 +tp9249 +a(g705 +g1040 +tp9250 +a(g573 +Vcar-safe +p9251 +tp9252 +a(g826 +g1044 +tp9253 +a(g440 +Vdefn +p9254 +tp9255 +a(g705 +g1064 +tp9256 +a(g826 +g1044 +tp9257 +a(g117 +V'menu-item +p9258 +tp9259 +a(g705 +g1064 +tp9260 +a(g826 +V\u000a +p9261 +tp9262 +a(g705 +g1040 +tp9263 +a(g744 +Vsetq +p9264 +tp9265 +a(g826 +g1044 +tp9266 +a(g440 +Vmenu-item +p9267 +tp9268 +a(g826 +g1044 +tp9269 +a(g440 +Vdefn +p9270 +tp9271 +a(g826 +g1044 +tp9272 +a(g440 +Vdefn +p9273 +tp9274 +a(g826 +g1044 +tp9275 +a(g705 +g1040 +tp9276 +a(g573 +Vnth +p9277 +tp9278 +a(g826 +g1044 +tp9279 +a(g37 +g1370 +tp9280 +a(g826 +g1044 +tp9281 +a(g440 +Vdefn +p9282 +tp9283 +a(g705 +g1064 +tp9284 +a(g705 +g1064 +tp9285 +a(g826 +V\u000a +p9286 +tp9287 +a(g8 +V;; Skip past menu-prompt. +p9288 +tp9289 +a(g826 +V\u000a +p9290 +tp9291 +a(g705 +g1040 +tp9292 +a(g744 +Vwhile +p9293 +tp9294 +a(g826 +g1044 +tp9295 +a(g705 +g1040 +tp9296 +a(g573 +Vstringp +p9297 +tp9298 +a(g826 +g1044 +tp9299 +a(g705 +g1040 +tp9300 +a(g573 +Vcar-safe +p9301 +tp9302 +a(g826 +g1044 +tp9303 +a(g440 +Vdefn +p9304 +tp9305 +a(g705 +g1064 +tp9306 +a(g705 +g1064 +tp9307 +a(g826 +V\u000a +p9308 +tp9309 +a(g705 +g1040 +tp9310 +a(g681 +Vpush +p9311 +tp9312 +a(g826 +g1044 +tp9313 +a(g705 +g1040 +tp9314 +a(g681 +Vpop +p9315 +tp9316 +a(g826 +g1044 +tp9317 +a(g440 +Vdefn +p9318 +tp9319 +a(g705 +g1064 +tp9320 +a(g826 +g1044 +tp9321 +a(g440 +Vskipped +p9322 +tp9323 +a(g705 +g1064 +tp9324 +a(g705 +g1064 +tp9325 +a(g826 +V\u000a +p9326 +tp9327 +a(g8 +V;; Skip past cached key-equivalence data for menu items. +p9328 +tp9329 +a(g826 +V\u000a +p9330 +tp9331 +a(g705 +g1040 +tp9332 +a(g744 +Vif +p9333 +tp9334 +a(g826 +g1044 +tp9335 +a(g705 +g1040 +tp9336 +a(g573 +Vconsp +p9337 +tp9338 +a(g826 +g1044 +tp9339 +a(g705 +g1040 +tp9340 +a(g573 +Vcar-safe +p9341 +tp9342 +a(g826 +g1044 +tp9343 +a(g440 +Vdefn +p9344 +tp9345 +a(g705 +g1064 +tp9346 +a(g705 +g1064 +tp9347 +a(g826 +V\u000a +p9348 +tp9349 +a(g705 +g1040 +tp9350 +a(g744 +Vsetq +p9351 +tp9352 +a(g826 +g1044 +tp9353 +a(g440 +Vdefn +p9354 +tp9355 +a(g826 +g1044 +tp9356 +a(g705 +g1040 +tp9357 +a(g573 +Vcdr +p9358 +tp9359 +a(g826 +g1044 +tp9360 +a(g440 +Vdefn +p9361 +tp9362 +a(g705 +g1064 +tp9363 +a(g705 +g1064 +tp9364 +a(g705 +g1064 +tp9365 +a(g705 +g1064 +tp9366 +a(g826 +V\u000a +p9367 +tp9368 +a(g705 +g1040 +tp9369 +a(g744 +Vif +p9370 +tp9371 +a(g826 +g1044 +tp9372 +a(g705 +g1040 +tp9373 +a(g744 +Vor +p9374 +tp9375 +a(g826 +g1044 +tp9376 +a(g705 +g1040 +tp9377 +a(g573 +Veq +p9378 +tp9379 +a(g826 +g1044 +tp9380 +a(g440 +Vdefn +p9381 +tp9382 +a(g826 +g1044 +tp9383 +a(g440 +Volddef +p9384 +tp9385 +a(g705 +g1064 +tp9386 +a(g826 +V\u000a +p9387 +tp9388 +a(g8 +V;; Compare with equal if definition is a key sequence. +p9389 +tp9390 +a(g826 +V\u000a +p9391 +tp9392 +a(g8 +V;; That is useful for operating on function-key-map. +p9393 +tp9394 +a(g826 +V\u000a +p9395 +tp9396 +a(g705 +g1040 +tp9397 +a(g744 +Vand +p9398 +tp9399 +a(g826 +g1044 +tp9400 +a(g705 +g1040 +tp9401 +a(g744 +Vor +p9402 +tp9403 +a(g826 +g1044 +tp9404 +a(g705 +g1040 +tp9405 +a(g573 +Vstringp +p9406 +tp9407 +a(g826 +g1044 +tp9408 +a(g440 +Vdefn +p9409 +tp9410 +a(g705 +g1064 +tp9411 +a(g826 +g1044 +tp9412 +a(g705 +g1040 +tp9413 +a(g573 +Vvectorp +p9414 +tp9415 +a(g826 +g1044 +tp9416 +a(g440 +Vdefn +p9417 +tp9418 +a(g705 +g1064 +tp9419 +a(g705 +g1064 +tp9420 +a(g826 +V\u000a +p9421 +tp9422 +a(g705 +g1040 +tp9423 +a(g573 +Vequal +p9424 +tp9425 +a(g826 +g1044 +tp9426 +a(g440 +Vdefn +p9427 +tp9428 +a(g826 +g1044 +tp9429 +a(g440 +Volddef +p9430 +tp9431 +a(g705 +g1064 +tp9432 +a(g705 +g1064 +tp9433 +a(g705 +g1064 +tp9434 +a(g826 +V\u000a +p9435 +tp9436 +a(g705 +g1040 +tp9437 +a(g573 +Vdefine-key +p9438 +tp9439 +a(g826 +g1044 +tp9440 +a(g440 +Vkeymap +p9441 +tp9442 +a(g826 +g1044 +tp9443 +a(g440 +Vprefix +p9444 +tp9445 +a(g826 +V\u000a +p9446 +tp9447 +a(g705 +g1040 +tp9448 +a(g744 +Vif +p9449 +tp9450 +a(g826 +g1044 +tp9451 +a(g440 +Vmenu-item +p9452 +tp9453 +a(g826 +V\u000a +p9454 +tp9455 +a(g705 +g1040 +tp9456 +a(g744 +Vlet +p9457 +tp9458 +a(g826 +g1044 +tp9459 +a(g705 +g1040 +tp9460 +a(g705 +g1040 +tp9461 +a(g440 +Vcopy +p9462 +tp9463 +a(g826 +g1044 +tp9464 +a(g705 +g1040 +tp9465 +a(g573 +Vcopy-sequence +p9466 +tp9467 +a(g826 +g1044 +tp9468 +a(g440 +Vmenu-item +p9469 +tp9470 +a(g705 +g1064 +tp9471 +a(g705 +g1064 +tp9472 +a(g705 +g1064 +tp9473 +a(g826 +V\u000a +p9474 +tp9475 +a(g705 +g1040 +tp9476 +a(g573 +Vsetcar +p9477 +tp9478 +a(g826 +g1044 +tp9479 +a(g705 +g1040 +tp9480 +a(g573 +Vnthcdr +p9481 +tp9482 +a(g826 +g1044 +tp9483 +a(g37 +g1370 +tp9484 +a(g826 +g1044 +tp9485 +a(g440 +Vcopy +p9486 +tp9487 +a(g705 +g1064 +tp9488 +a(g826 +g1044 +tp9489 +a(g440 +Vnewdef +p9490 +tp9491 +a(g705 +g1064 +tp9492 +a(g826 +V\u000a +p9493 +tp9494 +a(g440 +Vcopy +p9495 +tp9496 +a(g705 +g1064 +tp9497 +a(g826 +V\u000a +p9498 +tp9499 +a(g705 +g1040 +tp9500 +a(g573 +Vnconc +p9501 +tp9502 +a(g826 +g1044 +tp9503 +a(g705 +g1040 +tp9504 +a(g573 +Vnreverse +p9505 +tp9506 +a(g826 +g1044 +tp9507 +a(g440 +Vskipped +p9508 +tp9509 +a(g705 +g1064 +tp9510 +a(g826 +g1044 +tp9511 +a(g440 +Vnewdef +p9512 +tp9513 +a(g705 +g1064 +tp9514 +a(g705 +g1064 +tp9515 +a(g705 +g1064 +tp9516 +a(g826 +V\u000a +p9517 +tp9518 +a(g8 +V;; Look past a symbol that names a keymap. +p9519 +tp9520 +a(g826 +V\u000a +p9521 +tp9522 +a(g705 +g1040 +tp9523 +a(g744 +Vsetq +p9524 +tp9525 +a(g826 +g1044 +tp9526 +a(g440 +Vinner-def +p9527 +tp9528 +a(g826 +V\u000a +p9529 +tp9530 +a(g705 +g1040 +tp9531 +a(g744 +Vor +p9532 +tp9533 +a(g826 +g1044 +tp9534 +a(g705 +g1040 +tp9535 +a(g573 +Vindirect-function +p9536 +tp9537 +a(g826 +g1044 +tp9538 +a(g440 +Vdefn +p9539 +tp9540 +a(g826 +g1044 +tp9541 +a(g565 +g1187 +tp9542 +a(g705 +g1064 +tp9543 +a(g826 +g1044 +tp9544 +a(g440 +Vdefn +p9545 +tp9546 +a(g705 +g1064 +tp9547 +a(g705 +g1064 +tp9548 +a(g826 +V\u000a +p9549 +tp9550 +a(g8 +V;; For nested keymaps, we use `inner-def' rather than `defn' so as to +p9551 +tp9552 +a(g826 +V\u000a +p9553 +tp9554 +a(g8 +V;; avoid autoloading a keymap. This is mostly done to preserve the +p9555 +tp9556 +a(g826 +V\u000a +p9557 +tp9558 +a(g8 +V;; original non-autoloading behavior of pre-map-keymap times. +p9559 +tp9560 +a(g826 +V\u000a +p9561 +tp9562 +a(g705 +g1040 +tp9563 +a(g744 +Vif +p9564 +tp9565 +a(g826 +g1044 +tp9566 +a(g705 +g1040 +tp9567 +a(g744 +Vand +p9568 +tp9569 +a(g826 +g1044 +tp9570 +a(g705 +g1040 +tp9571 +a(g573 +Vkeymapp +p9572 +tp9573 +a(g826 +g1044 +tp9574 +a(g440 +Vinner-def +p9575 +tp9576 +a(g705 +g1064 +tp9577 +a(g826 +V\u000a +p9578 +tp9579 +a(g8 +V;; Avoid recursively scanning +p9580 +tp9581 +a(g826 +V\u000a +p9582 +tp9583 +a(g8 +V;; where KEYMAP does not have a submap. +p9584 +tp9585 +a(g826 +V\u000a +p9586 +tp9587 +a(g705 +g1040 +tp9588 +a(g744 +Vlet +p9589 +tp9590 +a(g826 +g1044 +tp9591 +a(g705 +g1040 +tp9592 +a(g705 +g1040 +tp9593 +a(g573 +Velt +p9594 +tp9595 +a(g826 +g1044 +tp9596 +a(g705 +g1040 +tp9597 +a(g573 +Vlookup-key +p9598 +tp9599 +a(g826 +g1044 +tp9600 +a(g440 +Vkeymap +p9601 +tp9602 +a(g826 +g1044 +tp9603 +a(g440 +Vprefix +p9604 +tp9605 +a(g705 +g1064 +tp9606 +a(g705 +g1064 +tp9607 +a(g705 +g1064 +tp9608 +a(g826 +V\u000a +p9609 +tp9610 +a(g705 +g1040 +tp9611 +a(g744 +Vor +p9612 +tp9613 +a(g826 +g1044 +tp9614 +a(g705 +g1040 +tp9615 +a(g573 +Vnull +p9616 +tp9617 +a(g826 +g1044 +tp9618 +a(g573 +Velt +p9619 +tp9620 +a(g705 +g1064 +tp9621 +a(g826 +g1044 +tp9622 +a(g705 +g1040 +tp9623 +a(g573 +Vnatnump +p9624 +tp9625 +a(g826 +g1044 +tp9626 +a(g573 +Velt +p9627 +tp9628 +a(g705 +g1064 +tp9629 +a(g826 +g1044 +tp9630 +a(g705 +g1040 +tp9631 +a(g573 +Vkeymapp +p9632 +tp9633 +a(g826 +g1044 +tp9634 +a(g573 +Velt +p9635 +tp9636 +a(g705 +g1064 +tp9637 +a(g705 +g1064 +tp9638 +a(g705 +g1064 +tp9639 +a(g826 +V\u000a +p9640 +tp9641 +a(g8 +V;; Avoid recursively rescanning keymap being scanned. +p9642 +tp9643 +a(g826 +V\u000a +p9644 +tp9645 +a(g705 +g1040 +tp9646 +a(g440 +Vnot +p9647 +tp9648 +a(g826 +g1044 +tp9649 +a(g705 +g1040 +tp9650 +a(g573 +Vmemq +p9651 +tp9652 +a(g826 +g1044 +tp9653 +a(g440 +Vinner-def +p9654 +tp9655 +a(g826 +g1044 +tp9656 +a(g440 +Vkey-substitution-in-progress +p9657 +tp9658 +a(g705 +g1064 +tp9659 +a(g705 +g1064 +tp9660 +a(g705 +g1064 +tp9661 +a(g826 +V\u000a +p9662 +tp9663 +a(g8 +V;; If this one isn't being scanned already, scan it now. +p9664 +tp9665 +a(g826 +V\u000a +p9666 +tp9667 +a(g705 +g1040 +tp9668 +a(g440 +Vsubstitute-key-definition +p9669 +tp9670 +a(g826 +g1044 +tp9671 +a(g440 +Volddef +p9672 +tp9673 +a(g826 +g1044 +tp9674 +a(g440 +Vnewdef +p9675 +tp9676 +a(g826 +g1044 +tp9677 +a(g440 +Vkeymap +p9678 +tp9679 +a(g826 +g1044 +tp9680 +a(g440 +Vinner-def +p9681 +tp9682 +a(g826 +g1044 +tp9683 +a(g440 +Vprefix +p9684 +tp9685 +a(g705 +g1064 +tp9686 +a(g705 +g1064 +tp9687 +a(g705 +g1064 +tp9688 +a(g705 +g1064 +tp9689 +a(g705 +g1064 +tp9690 +a(g826 +V\u000a\u000a \u000a +p9691 +tp9692 +a(g8 +V;;;; The global keymap tree. +p9693 +tp9694 +a(g826 +V\u000a\u000a +p9695 +tp9696 +a(g8 +V;; global-map, esc-map, and ctl-x-map have their values set up in +p9697 +tp9698 +a(g826 +V\u000a +p9699 +tp9700 +a(g8 +V;; keymap.c; we just give them docstrings here. +p9701 +tp9702 +a(g826 +V\u000a\u000a +p9703 +tp9704 +a(g705 +g1040 +tp9705 +a(g744 +Vdefvar +p9706 +tp9707 +a(g826 +g1044 +tp9708 +a(g440 +Vglobal-map +p9709 +tp9710 +a(g826 +g1044 +tp9711 +a(g565 +Vnil +p9712 +tp9713 +a(g826 +V\u000a +p9714 +tp9715 +a(g89 +g1068 +tp9716 +a(g89 +VDefault global keymap mapping Emacs keyboard input into commands.\u000aThe value is a keymap which is usually (but not necessarily) Emacs's\u000aglobal map. +p9717 +tp9718 +a(g89 +g1068 +tp9719 +a(g705 +g1064 +tp9720 +a(g826 +V\u000a\u000a +p9721 +tp9722 +a(g705 +g1040 +tp9723 +a(g744 +Vdefvar +p9724 +tp9725 +a(g826 +g1044 +tp9726 +a(g440 +Vesc-map +p9727 +tp9728 +a(g826 +g1044 +tp9729 +a(g565 +Vnil +p9730 +tp9731 +a(g826 +V\u000a +p9732 +tp9733 +a(g89 +g1068 +tp9734 +a(g89 +VDefault keymap for ESC (meta) commands.\u000aThe normal global definition of the character ESC indirects to this keymap. +p9735 +tp9736 +a(g89 +g1068 +tp9737 +a(g705 +g1064 +tp9738 +a(g826 +V\u000a\u000a +p9739 +tp9740 +a(g705 +g1040 +tp9741 +a(g744 +Vdefvar +p9742 +tp9743 +a(g826 +g1044 +tp9744 +a(g440 +Vctl-x-map +p9745 +tp9746 +a(g826 +g1044 +tp9747 +a(g565 +Vnil +p9748 +tp9749 +a(g826 +V\u000a +p9750 +tp9751 +a(g89 +g1068 +tp9752 +a(g89 +VDefault keymap for C-x commands.\u000aThe normal global definition of the character C-x indirects to this keymap. +p9753 +tp9754 +a(g89 +g1068 +tp9755 +a(g705 +g1064 +tp9756 +a(g826 +V\u000a\u000a +p9757 +tp9758 +a(g705 +g1040 +tp9759 +a(g744 +Vdefvar +p9760 +tp9761 +a(g826 +g1044 +tp9762 +a(g440 +Vctl-x-4-map +p9763 +tp9764 +a(g826 +g1044 +tp9765 +a(g705 +g1040 +tp9766 +a(g573 +Vmake-sparse-keymap +p9767 +tp9768 +a(g705 +g1064 +tp9769 +a(g826 +V\u000a +p9770 +tp9771 +a(g89 +g1068 +tp9772 +a(g89 +VKeymap for subcommands of C-x 4. +p9773 +tp9774 +a(g89 +g1068 +tp9775 +a(g705 +g1064 +tp9776 +a(g826 +V\u000a +p9777 +tp9778 +a(g705 +g1040 +tp9779 +a(g681 +Vdefalias +p9780 +tp9781 +a(g826 +g1044 +tp9782 +a(g117 +V'ctl-x-4-prefix +p9783 +tp9784 +a(g826 +g1044 +tp9785 +a(g440 +Vctl-x-4-map +p9786 +tp9787 +a(g705 +g1064 +tp9788 +a(g826 +V\u000a +p9789 +tp9790 +a(g705 +g1040 +tp9791 +a(g573 +Vdefine-key +p9792 +tp9793 +a(g826 +g1044 +tp9794 +a(g440 +Vctl-x-map +p9795 +tp9796 +a(g826 +g1044 +tp9797 +a(g89 +g1068 +tp9798 +a(g89 +V4 +p9799 +tp9800 +a(g89 +g1068 +tp9801 +a(g826 +g1044 +tp9802 +a(g117 +V'ctl-x-4-prefix +p9803 +tp9804 +a(g705 +g1064 +tp9805 +a(g826 +V\u000a\u000a +p9806 +tp9807 +a(g705 +g1040 +tp9808 +a(g744 +Vdefvar +p9809 +tp9810 +a(g826 +g1044 +tp9811 +a(g440 +Vctl-x-5-map +p9812 +tp9813 +a(g826 +g1044 +tp9814 +a(g705 +g1040 +tp9815 +a(g573 +Vmake-sparse-keymap +p9816 +tp9817 +a(g705 +g1064 +tp9818 +a(g826 +V\u000a +p9819 +tp9820 +a(g89 +g1068 +tp9821 +a(g89 +VKeymap for frame commands. +p9822 +tp9823 +a(g89 +g1068 +tp9824 +a(g705 +g1064 +tp9825 +a(g826 +V\u000a +p9826 +tp9827 +a(g705 +g1040 +tp9828 +a(g681 +Vdefalias +p9829 +tp9830 +a(g826 +g1044 +tp9831 +a(g117 +V'ctl-x-5-prefix +p9832 +tp9833 +a(g826 +g1044 +tp9834 +a(g440 +Vctl-x-5-map +p9835 +tp9836 +a(g705 +g1064 +tp9837 +a(g826 +V\u000a +p9838 +tp9839 +a(g705 +g1040 +tp9840 +a(g573 +Vdefine-key +p9841 +tp9842 +a(g826 +g1044 +tp9843 +a(g440 +Vctl-x-map +p9844 +tp9845 +a(g826 +g1044 +tp9846 +a(g89 +g1068 +tp9847 +a(g89 +V5 +p9848 +tp9849 +a(g89 +g1068 +tp9850 +a(g826 +g1044 +tp9851 +a(g117 +V'ctl-x-5-prefix +p9852 +tp9853 +a(g705 +g1064 +tp9854 +a(g826 +V\u000a\u000a \u000a +p9855 +tp9856 +a(g8 +V;;;; Event manipulation functions. +p9857 +tp9858 +a(g826 +V\u000a\u000a +p9859 +tp9860 +a(g705 +g1040 +tp9861 +a(g744 +Vdefconst +p9862 +tp9863 +a(g826 +g1044 +tp9864 +a(g440 +Vlistify-key-sequence-1 +p9865 +tp9866 +a(g826 +g1044 +tp9867 +a(g705 +g1040 +tp9868 +a(g573 +Vlogior +p9869 +tp9870 +a(g826 +g1044 +tp9871 +a(g37 +V128 +p9872 +tp9873 +a(g826 +g1044 +tp9874 +a(g93 +V?\u005cM +p9875 +tp9876 +a(g440 +V-\u005cC-@ +p9877 +tp9878 +a(g705 +g1064 +tp9879 +a(g705 +g1064 +tp9880 +a(g826 +V\u000a\u000a +p9881 +tp9882 +a(g705 +g1040 +tp9883 +a(g681 +Vdefun +p9884 +tp9885 +a(g826 +g1044 +tp9886 +a(g440 +Vlistify-key-sequence +p9887 +tp9888 +a(g826 +g1044 +tp9889 +a(g705 +g1040 +tp9890 +a(g440 +Vkey +p9891 +tp9892 +a(g705 +g1064 +tp9893 +a(g826 +V\u000a +p9894 +tp9895 +a(g89 +g1068 +tp9896 +a(g89 +VConvert a key sequence to a list of events. +p9897 +tp9898 +a(g89 +g1068 +tp9899 +a(g826 +V\u000a +p9900 +tp9901 +a(g705 +g1040 +tp9902 +a(g744 +Vif +p9903 +tp9904 +a(g826 +g1044 +tp9905 +a(g705 +g1040 +tp9906 +a(g573 +Vvectorp +p9907 +tp9908 +a(g826 +g1044 +tp9909 +a(g440 +Vkey +p9910 +tp9911 +a(g705 +g1064 +tp9912 +a(g826 +V\u000a +p9913 +tp9914 +a(g705 +g1040 +tp9915 +a(g573 +Vappend +p9916 +tp9917 +a(g826 +g1044 +tp9918 +a(g440 +Vkey +p9919 +tp9920 +a(g826 +g1044 +tp9921 +a(g565 +Vnil +p9922 +tp9923 +a(g705 +g1064 +tp9924 +a(g826 +V\u000a +p9925 +tp9926 +a(g705 +g1040 +tp9927 +a(g573 +Vmapcar +p9928 +tp9929 +a(g826 +g1044 +tp9930 +a(g705 +g1040 +tp9931 +a(g744 +Vfunction +p9932 +tp9933 +a(g826 +g1044 +tp9934 +a(g705 +g1040 +tp9935 +a(g681 +Vlambda +p9936 +tp9937 +a(g826 +g1044 +tp9938 +a(g705 +g1040 +tp9939 +a(g440 +Vc +p9940 +tp9941 +a(g705 +g1064 +tp9942 +a(g826 +V\u000a +p9943 +tp9944 +a(g705 +g1040 +tp9945 +a(g744 +Vif +p9946 +tp9947 +a(g826 +g1044 +tp9948 +a(g705 +g1040 +tp9949 +a(g573 +g3989 +tp9950 +a(g826 +g1044 +tp9951 +a(g440 +g9940 +tp9952 +a(g826 +g1044 +tp9953 +a(g37 +V127 +p9954 +tp9955 +a(g705 +g1064 +tp9956 +a(g826 +V\u000a +p9957 +tp9958 +a(g705 +g1040 +tp9959 +a(g573 +Vlogxor +p9960 +tp9961 +a(g826 +g1044 +tp9962 +a(g440 +g9940 +tp9963 +a(g826 +g1044 +tp9964 +a(g440 +Vlistify-key-sequence-1 +p9965 +tp9966 +a(g705 +g1064 +tp9967 +a(g826 +V\u000a +p9968 +tp9969 +a(g440 +g9940 +tp9970 +a(g705 +g1064 +tp9971 +a(g705 +g1064 +tp9972 +a(g705 +g1064 +tp9973 +a(g826 +V\u000a +p9974 +tp9975 +a(g440 +Vkey +p9976 +tp9977 +a(g705 +g1064 +tp9978 +a(g705 +g1064 +tp9979 +a(g705 +g1064 +tp9980 +a(g826 +V\u000a\u000a +p9981 +tp9982 +a(g705 +g1040 +tp9983 +a(g681 +Vdefun +p9984 +tp9985 +a(g826 +g1044 +tp9986 +a(g440 +Veventp +p9987 +tp9988 +a(g826 +g1044 +tp9989 +a(g705 +g1040 +tp9990 +a(g440 +Vobj +p9991 +tp9992 +a(g705 +g1064 +tp9993 +a(g826 +V\u000a +p9994 +tp9995 +a(g89 +g1068 +tp9996 +a(g89 +VTrue if the argument is an event object. +p9997 +tp9998 +a(g89 +g1068 +tp9999 +a(g826 +V\u000a +p10000 +tp10001 +a(g705 +g1040 +tp10002 +a(g681 +Vwhen +p10003 +tp10004 +a(g826 +g1044 +tp10005 +a(g440 +Vobj +p10006 +tp10007 +a(g826 +V\u000a +p10008 +tp10009 +a(g705 +g1040 +tp10010 +a(g744 +Vor +p10011 +tp10012 +a(g826 +g1044 +tp10013 +a(g705 +g1040 +tp10014 +a(g573 +Vintegerp +p10015 +tp10016 +a(g826 +g1044 +tp10017 +a(g440 +Vobj +p10018 +tp10019 +a(g705 +g1064 +tp10020 +a(g826 +V\u000a +p10021 +tp10022 +a(g705 +g1040 +tp10023 +a(g744 +Vand +p10024 +tp10025 +a(g826 +g1044 +tp10026 +a(g705 +g1040 +tp10027 +a(g573 +Vsymbolp +p10028 +tp10029 +a(g826 +g1044 +tp10030 +a(g440 +Vobj +p10031 +tp10032 +a(g705 +g1064 +tp10033 +a(g826 +g1044 +tp10034 +a(g440 +Vobj +p10035 +tp10036 +a(g826 +g1044 +tp10037 +a(g705 +g1040 +tp10038 +a(g440 +Vnot +p10039 +tp10040 +a(g826 +g1044 +tp10041 +a(g705 +g1040 +tp10042 +a(g573 +Vkeywordp +p10043 +tp10044 +a(g826 +g1044 +tp10045 +a(g440 +Vobj +p10046 +tp10047 +a(g705 +g1064 +tp10048 +a(g705 +g1064 +tp10049 +a(g705 +g1064 +tp10050 +a(g826 +V\u000a +p10051 +tp10052 +a(g705 +g1040 +tp10053 +a(g744 +Vand +p10054 +tp10055 +a(g826 +g1044 +tp10056 +a(g705 +g1040 +tp10057 +a(g573 +Vconsp +p10058 +tp10059 +a(g826 +g1044 +tp10060 +a(g440 +Vobj +p10061 +tp10062 +a(g705 +g1064 +tp10063 +a(g826 +g1044 +tp10064 +a(g705 +g1040 +tp10065 +a(g573 +Vsymbolp +p10066 +tp10067 +a(g826 +g1044 +tp10068 +a(g705 +g1040 +tp10069 +a(g573 +Vcar +p10070 +tp10071 +a(g826 +g1044 +tp10072 +a(g440 +Vobj +p10073 +tp10074 +a(g705 +g1064 +tp10075 +a(g705 +g1064 +tp10076 +a(g705 +g1064 +tp10077 +a(g705 +g1064 +tp10078 +a(g705 +g1064 +tp10079 +a(g705 +g1064 +tp10080 +a(g826 +V\u000a\u000a +p10081 +tp10082 +a(g705 +g1040 +tp10083 +a(g681 +Vdefun +p10084 +tp10085 +a(g826 +g1044 +tp10086 +a(g440 +Vevent-modifiers +p10087 +tp10088 +a(g826 +g1044 +tp10089 +a(g705 +g1040 +tp10090 +a(g440 +Vevent +p10091 +tp10092 +a(g705 +g1064 +tp10093 +a(g826 +V\u000a +p10094 +tp10095 +a(g89 +g1068 +tp10096 +a(g89 +VReturn a list of symbols representing the modifier keys in event EVENT.\u000aThe elements of the list may include +p10097 +tp10098 +a(g117 +V`meta' +p10099 +tp10100 +a(g89 +V, +p10101 +tp10102 +a(g117 +V`control' +p10103 +tp10104 +a(g89 +V,\u000a +p10105 +tp10106 +a(g117 +V`shift' +p10107 +tp10108 +a(g89 +V, +p10109 +tp10110 +a(g117 +V`hyper' +p10111 +tp10112 +a(g89 +V, +p10113 +tp10114 +a(g117 +V`super' +p10115 +tp10116 +a(g89 +V, +p10117 +tp10118 +a(g117 +V`alt' +p10119 +tp10120 +a(g89 +V, +p10121 +tp10122 +a(g117 +V`click' +p10123 +tp10124 +a(g89 +V, +p10125 +tp10126 +a(g117 +V`double' +p10127 +tp10128 +a(g89 +V, +p10129 +tp10130 +a(g117 +V`triple' +p10131 +tp10132 +a(g89 +V, +p10133 +tp10134 +a(g117 +V`drag' +p10135 +tp10136 +a(g89 +V,\u000aand +p10137 +tp10138 +a(g117 +V`down' +p10139 +tp10140 +a(g89 +V.\u000aEVENT may be an event or an event type. If EVENT is a symbol\u000athat has never been used in an event that has been read as input\u000ain the current Emacs session, then this function may fail to include\u000athe +p10141 +tp10142 +a(g117 +V`click' +p10143 +tp10144 +a(g89 +V modifier. +p10145 +tp10146 +a(g89 +g1068 +tp10147 +a(g826 +V\u000a +p10148 +tp10149 +a(g705 +g1040 +tp10150 +a(g744 +Vlet +p10151 +tp10152 +a(g826 +g1044 +tp10153 +a(g705 +g1040 +tp10154 +a(g705 +g1040 +tp10155 +a(g440 +Vtype +p10156 +tp10157 +a(g826 +g1044 +tp10158 +a(g440 +Vevent +p10159 +tp10160 +a(g705 +g1064 +tp10161 +a(g705 +g1064 +tp10162 +a(g826 +V\u000a +p10163 +tp10164 +a(g705 +g1040 +tp10165 +a(g744 +Vif +p10166 +tp10167 +a(g826 +g1044 +tp10168 +a(g705 +g1040 +tp10169 +a(g573 +Vlistp +p10170 +tp10171 +a(g826 +g1044 +tp10172 +a(g440 +Vtype +p10173 +tp10174 +a(g705 +g1064 +tp10175 +a(g826 +V\u000a +p10176 +tp10177 +a(g705 +g1040 +tp10178 +a(g744 +Vsetq +p10179 +tp10180 +a(g826 +g1044 +tp10181 +a(g440 +Vtype +p10182 +tp10183 +a(g826 +g1044 +tp10184 +a(g705 +g1040 +tp10185 +a(g573 +Vcar +p10186 +tp10187 +a(g826 +g1044 +tp10188 +a(g440 +Vtype +p10189 +tp10190 +a(g705 +g1064 +tp10191 +a(g705 +g1064 +tp10192 +a(g705 +g1064 +tp10193 +a(g826 +V\u000a +p10194 +tp10195 +a(g705 +g1040 +tp10196 +a(g744 +Vif +p10197 +tp10198 +a(g826 +g1044 +tp10199 +a(g705 +g1040 +tp10200 +a(g573 +Vsymbolp +p10201 +tp10202 +a(g826 +g1044 +tp10203 +a(g440 +Vtype +p10204 +tp10205 +a(g705 +g1064 +tp10206 +a(g826 +V\u000a +p10207 +tp10208 +a(g8 +V;; Don't read event-symbol-elements directly since we're not +p10209 +tp10210 +a(g826 +V\u000a +p10211 +tp10212 +a(g8 +V;; sure the symbol has already been parsed. +p10213 +tp10214 +a(g826 +V\u000a +p10215 +tp10216 +a(g705 +g1040 +tp10217 +a(g573 +Vcdr +p10218 +tp10219 +a(g826 +g1044 +tp10220 +a(g705 +g1040 +tp10221 +a(g573 +Vinternal-event-symbol-parse-modifiers +p10222 +tp10223 +a(g826 +g1044 +tp10224 +a(g440 +Vtype +p10225 +tp10226 +a(g705 +g1064 +tp10227 +a(g705 +g1064 +tp10228 +a(g826 +V\u000a +p10229 +tp10230 +a(g705 +g1040 +tp10231 +a(g744 +Vlet +p10232 +tp10233 +a(g826 +g1044 +tp10234 +a(g705 +g1040 +tp10235 +a(g705 +g1040 +tp10236 +a(g573 +Vlist +p10237 +tp10238 +a(g826 +g1044 +tp10239 +a(g565 +Vnil +p10240 +tp10241 +a(g705 +g1064 +tp10242 +a(g826 +V\u000a +p10243 +tp10244 +a(g705 +g1040 +tp10245 +a(g440 +Vchar +p10246 +tp10247 +a(g826 +g1044 +tp10248 +a(g705 +g1040 +tp10249 +a(g573 +Vlogand +p10250 +tp10251 +a(g826 +g1044 +tp10252 +a(g440 +Vtype +p10253 +tp10254 +a(g826 +g1044 +tp10255 +a(g705 +g1040 +tp10256 +a(g573 +Vlognot +p10257 +tp10258 +a(g826 +g1044 +tp10259 +a(g705 +g1040 +tp10260 +a(g573 +Vlogior +p10261 +tp10262 +a(g826 +g1044 +tp10263 +a(g93 +V?\u005cM +p10264 +tp10265 +a(g440 +V-\u005c^@ +p10266 +tp10267 +a(g826 +g1044 +tp10268 +a(g93 +V?\u005cC +p10269 +tp10270 +a(g440 +V-\u005c^@ +p10271 +tp10272 +a(g826 +g1044 +tp10273 +a(g93 +V?\u005cS +p10274 +tp10275 +a(g440 +V-\u005c^@ +p10276 +tp10277 +a(g826 +V\u000a +p10278 +tp10279 +a(g93 +V?\u005cH +p10280 +tp10281 +a(g440 +V-\u005c^@ +p10282 +tp10283 +a(g826 +g1044 +tp10284 +a(g93 +V?\u005cs +p10285 +tp10286 +a(g440 +V-\u005c^@ +p10287 +tp10288 +a(g826 +g1044 +tp10289 +a(g93 +V?\u005cA +p10290 +tp10291 +a(g440 +V-\u005c^@ +p10292 +tp10293 +a(g705 +g1064 +tp10294 +a(g705 +g1064 +tp10295 +a(g705 +g1064 +tp10296 +a(g705 +g1064 +tp10297 +a(g705 +g1064 +tp10298 +a(g826 +V\u000a +p10299 +tp10300 +a(g705 +g1040 +tp10301 +a(g744 +Vif +p10302 +tp10303 +a(g826 +g1044 +tp10304 +a(g705 +g1040 +tp10305 +a(g440 +Vnot +p10306 +tp10307 +a(g826 +g1044 +tp10308 +a(g705 +g1040 +tp10309 +a(g440 +Vzerop +p10310 +tp10311 +a(g826 +g1044 +tp10312 +a(g705 +g1040 +tp10313 +a(g573 +Vlogand +p10314 +tp10315 +a(g826 +g1044 +tp10316 +a(g440 +Vtype +p10317 +tp10318 +a(g826 +g1044 +tp10319 +a(g93 +V?\u005cM +p10320 +tp10321 +a(g440 +V-\u005c^@ +p10322 +tp10323 +a(g705 +g1064 +tp10324 +a(g705 +g1064 +tp10325 +a(g705 +g1064 +tp10326 +a(g826 +V\u000a +p10327 +tp10328 +a(g705 +g1040 +tp10329 +a(g681 +Vpush +p10330 +tp10331 +a(g826 +g1044 +tp10332 +a(g117 +V'meta +p10333 +tp10334 +a(g826 +g1044 +tp10335 +a(g573 +Vlist +p10336 +tp10337 +a(g705 +g1064 +tp10338 +a(g705 +g1064 +tp10339 +a(g826 +V\u000a +p10340 +tp10341 +a(g705 +g1040 +tp10342 +a(g744 +Vif +p10343 +tp10344 +a(g826 +g1044 +tp10345 +a(g705 +g1040 +tp10346 +a(g744 +Vor +p10347 +tp10348 +a(g826 +g1044 +tp10349 +a(g705 +g1040 +tp10350 +a(g440 +Vnot +p10351 +tp10352 +a(g826 +g1044 +tp10353 +a(g705 +g1040 +tp10354 +a(g440 +Vzerop +p10355 +tp10356 +a(g826 +g1044 +tp10357 +a(g705 +g1040 +tp10358 +a(g573 +Vlogand +p10359 +tp10360 +a(g826 +g1044 +tp10361 +a(g440 +Vtype +p10362 +tp10363 +a(g826 +g1044 +tp10364 +a(g93 +V?\u005cC +p10365 +tp10366 +a(g440 +V-\u005c^@ +p10367 +tp10368 +a(g705 +g1064 +tp10369 +a(g705 +g1064 +tp10370 +a(g705 +g1064 +tp10371 +a(g826 +V\u000a +p10372 +tp10373 +a(g705 +g1040 +tp10374 +a(g573 +g2719 +tp10375 +a(g826 +g1044 +tp10376 +a(g440 +Vchar +p10377 +tp10378 +a(g826 +g1044 +tp10379 +a(g37 +V32 +p10380 +tp10381 +a(g705 +g1064 +tp10382 +a(g705 +g1064 +tp10383 +a(g826 +V\u000a +p10384 +tp10385 +a(g705 +g1040 +tp10386 +a(g681 +Vpush +p10387 +tp10388 +a(g826 +g1044 +tp10389 +a(g117 +V'control +p10390 +tp10391 +a(g826 +g1044 +tp10392 +a(g573 +Vlist +p10393 +tp10394 +a(g705 +g1064 +tp10395 +a(g705 +g1064 +tp10396 +a(g826 +V\u000a +p10397 +tp10398 +a(g705 +g1040 +tp10399 +a(g744 +Vif +p10400 +tp10401 +a(g826 +g1044 +tp10402 +a(g705 +g1040 +tp10403 +a(g744 +Vor +p10404 +tp10405 +a(g826 +g1044 +tp10406 +a(g705 +g1040 +tp10407 +a(g440 +Vnot +p10408 +tp10409 +a(g826 +g1044 +tp10410 +a(g705 +g1040 +tp10411 +a(g440 +Vzerop +p10412 +tp10413 +a(g826 +g1044 +tp10414 +a(g705 +g1040 +tp10415 +a(g573 +Vlogand +p10416 +tp10417 +a(g826 +g1044 +tp10418 +a(g440 +Vtype +p10419 +tp10420 +a(g826 +g1044 +tp10421 +a(g93 +V?\u005cS +p10422 +tp10423 +a(g440 +V-\u005c^@ +p10424 +tp10425 +a(g705 +g1064 +tp10426 +a(g705 +g1064 +tp10427 +a(g705 +g1064 +tp10428 +a(g826 +V\u000a +p10429 +tp10430 +a(g705 +g1040 +tp10431 +a(g573 +V/= +p10432 +tp10433 +a(g826 +g1044 +tp10434 +a(g440 +Vchar +p10435 +tp10436 +a(g826 +g1044 +tp10437 +a(g705 +g1040 +tp10438 +a(g573 +Vdowncase +p10439 +tp10440 +a(g826 +g1044 +tp10441 +a(g440 +Vchar +p10442 +tp10443 +a(g705 +g1064 +tp10444 +a(g705 +g1064 +tp10445 +a(g705 +g1064 +tp10446 +a(g826 +V\u000a +p10447 +tp10448 +a(g705 +g1040 +tp10449 +a(g681 +Vpush +p10450 +tp10451 +a(g826 +g1044 +tp10452 +a(g117 +V'shift +p10453 +tp10454 +a(g826 +g1044 +tp10455 +a(g573 +Vlist +p10456 +tp10457 +a(g705 +g1064 +tp10458 +a(g705 +g1064 +tp10459 +a(g826 +V\u000a +p10460 +tp10461 +a(g705 +g1040 +tp10462 +a(g744 +Vor +p10463 +tp10464 +a(g826 +g1044 +tp10465 +a(g705 +g1040 +tp10466 +a(g440 +Vzerop +p10467 +tp10468 +a(g826 +g1044 +tp10469 +a(g705 +g1040 +tp10470 +a(g573 +Vlogand +p10471 +tp10472 +a(g826 +g1044 +tp10473 +a(g440 +Vtype +p10474 +tp10475 +a(g826 +g1044 +tp10476 +a(g93 +V?\u005cH +p10477 +tp10478 +a(g440 +V-\u005c^@ +p10479 +tp10480 +a(g705 +g1064 +tp10481 +a(g705 +g1064 +tp10482 +a(g826 +V\u000a +p10483 +tp10484 +a(g705 +g1040 +tp10485 +a(g681 +Vpush +p10486 +tp10487 +a(g826 +g1044 +tp10488 +a(g117 +V'hyper +p10489 +tp10490 +a(g826 +g1044 +tp10491 +a(g573 +Vlist +p10492 +tp10493 +a(g705 +g1064 +tp10494 +a(g705 +g1064 +tp10495 +a(g826 +V\u000a +p10496 +tp10497 +a(g705 +g1040 +tp10498 +a(g744 +Vor +p10499 +tp10500 +a(g826 +g1044 +tp10501 +a(g705 +g1040 +tp10502 +a(g440 +Vzerop +p10503 +tp10504 +a(g826 +g1044 +tp10505 +a(g705 +g1040 +tp10506 +a(g573 +Vlogand +p10507 +tp10508 +a(g826 +g1044 +tp10509 +a(g440 +Vtype +p10510 +tp10511 +a(g826 +g1044 +tp10512 +a(g93 +V?\u005cs +p10513 +tp10514 +a(g440 +V-\u005c^@ +p10515 +tp10516 +a(g705 +g1064 +tp10517 +a(g705 +g1064 +tp10518 +a(g826 +V\u000a +p10519 +tp10520 +a(g705 +g1040 +tp10521 +a(g681 +Vpush +p10522 +tp10523 +a(g826 +g1044 +tp10524 +a(g117 +V'super +p10525 +tp10526 +a(g826 +g1044 +tp10527 +a(g573 +Vlist +p10528 +tp10529 +a(g705 +g1064 +tp10530 +a(g705 +g1064 +tp10531 +a(g826 +V\u000a +p10532 +tp10533 +a(g705 +g1040 +tp10534 +a(g744 +Vor +p10535 +tp10536 +a(g826 +g1044 +tp10537 +a(g705 +g1040 +tp10538 +a(g440 +Vzerop +p10539 +tp10540 +a(g826 +g1044 +tp10541 +a(g705 +g1040 +tp10542 +a(g573 +Vlogand +p10543 +tp10544 +a(g826 +g1044 +tp10545 +a(g440 +Vtype +p10546 +tp10547 +a(g826 +g1044 +tp10548 +a(g93 +V?\u005cA +p10549 +tp10550 +a(g440 +V-\u005c^@ +p10551 +tp10552 +a(g705 +g1064 +tp10553 +a(g705 +g1064 +tp10554 +a(g826 +V\u000a +p10555 +tp10556 +a(g705 +g1040 +tp10557 +a(g681 +Vpush +p10558 +tp10559 +a(g826 +g1044 +tp10560 +a(g117 +V'alt +p10561 +tp10562 +a(g826 +g1044 +tp10563 +a(g573 +Vlist +p10564 +tp10565 +a(g705 +g1064 +tp10566 +a(g705 +g1064 +tp10567 +a(g826 +V\u000a +p10568 +tp10569 +a(g573 +Vlist +p10570 +tp10571 +a(g705 +g1064 +tp10572 +a(g705 +g1064 +tp10573 +a(g705 +g1064 +tp10574 +a(g705 +g1064 +tp10575 +a(g826 +V\u000a\u000a +p10576 +tp10577 +a(g705 +g1040 +tp10578 +a(g681 +Vdefun +p10579 +tp10580 +a(g826 +g1044 +tp10581 +a(g440 +Vevent-basic-type +p10582 +tp10583 +a(g826 +g1044 +tp10584 +a(g705 +g1040 +tp10585 +a(g440 +Vevent +p10586 +tp10587 +a(g705 +g1064 +tp10588 +a(g826 +V\u000a +p10589 +tp10590 +a(g89 +g1068 +tp10591 +a(g89 +VReturn the basic type of the given event (all modifiers removed).\u000aThe value is a printing character (not upper case) or a symbol.\u000aEVENT may be an event or an event type. If EVENT is a symbol\u000athat has never been used in an event that has been read as input\u000ain the current Emacs session, then this function may return nil. +p10592 +tp10593 +a(g89 +g1068 +tp10594 +a(g826 +V\u000a +p10595 +tp10596 +a(g705 +g1040 +tp10597 +a(g744 +Vif +p10598 +tp10599 +a(g826 +g1044 +tp10600 +a(g705 +g1040 +tp10601 +a(g573 +Vconsp +p10602 +tp10603 +a(g826 +g1044 +tp10604 +a(g440 +Vevent +p10605 +tp10606 +a(g705 +g1064 +tp10607 +a(g826 +V\u000a +p10608 +tp10609 +a(g705 +g1040 +tp10610 +a(g744 +Vsetq +p10611 +tp10612 +a(g826 +g1044 +tp10613 +a(g440 +Vevent +p10614 +tp10615 +a(g826 +g1044 +tp10616 +a(g705 +g1040 +tp10617 +a(g573 +Vcar +p10618 +tp10619 +a(g826 +g1044 +tp10620 +a(g440 +Vevent +p10621 +tp10622 +a(g705 +g1064 +tp10623 +a(g705 +g1064 +tp10624 +a(g705 +g1064 +tp10625 +a(g826 +V\u000a +p10626 +tp10627 +a(g705 +g1040 +tp10628 +a(g744 +Vif +p10629 +tp10630 +a(g826 +g1044 +tp10631 +a(g705 +g1040 +tp10632 +a(g573 +Vsymbolp +p10633 +tp10634 +a(g826 +g1044 +tp10635 +a(g440 +Vevent +p10636 +tp10637 +a(g705 +g1064 +tp10638 +a(g826 +V\u000a +p10639 +tp10640 +a(g705 +g1040 +tp10641 +a(g573 +Vcar +p10642 +tp10643 +a(g826 +g1044 +tp10644 +a(g705 +g1040 +tp10645 +a(g573 +Vget +p10646 +tp10647 +a(g826 +g1044 +tp10648 +a(g440 +Vevent +p10649 +tp10650 +a(g826 +g1044 +tp10651 +a(g117 +V'event-symbol-elements +p10652 +tp10653 +a(g705 +g1064 +tp10654 +a(g705 +g1064 +tp10655 +a(g826 +V\u000a +p10656 +tp10657 +a(g705 +g1040 +tp10658 +a(g744 +Vlet* +p10659 +tp10660 +a(g826 +g1044 +tp10661 +a(g705 +g1040 +tp10662 +a(g705 +g1040 +tp10663 +a(g440 +Vbase +p10664 +tp10665 +a(g826 +g1044 +tp10666 +a(g705 +g1040 +tp10667 +a(g573 +Vlogand +p10668 +tp10669 +a(g826 +g1044 +tp10670 +a(g440 +Vevent +p10671 +tp10672 +a(g826 +g1044 +tp10673 +a(g705 +g1040 +tp10674 +a(g573 +V1- +p10675 +tp10676 +a(g826 +g1044 +tp10677 +a(g93 +V?\u005cA +p10678 +tp10679 +a(g440 +V-\u005c^@ +p10680 +tp10681 +a(g705 +g1064 +tp10682 +a(g705 +g1064 +tp10683 +a(g705 +g1064 +tp10684 +a(g826 +V\u000a +p10685 +tp10686 +a(g705 +g1040 +tp10687 +a(g440 +Vuncontrolled +p10688 +tp10689 +a(g826 +g1044 +tp10690 +a(g705 +g1040 +tp10691 +a(g744 +Vif +p10692 +tp10693 +a(g826 +g1044 +tp10694 +a(g705 +g1040 +tp10695 +a(g573 +g2719 +tp10696 +a(g826 +g1044 +tp10697 +a(g440 +Vbase +p10698 +tp10699 +a(g826 +g1044 +tp10700 +a(g37 +V32 +p10701 +tp10702 +a(g705 +g1064 +tp10703 +a(g826 +g1044 +tp10704 +a(g705 +g1040 +tp10705 +a(g573 +Vlogior +p10706 +tp10707 +a(g826 +g1044 +tp10708 +a(g440 +Vbase +p10709 +tp10710 +a(g826 +g1044 +tp10711 +a(g37 +V64 +p10712 +tp10713 +a(g705 +g1064 +tp10714 +a(g826 +g1044 +tp10715 +a(g440 +Vbase +p10716 +tp10717 +a(g705 +g1064 +tp10718 +a(g705 +g1064 +tp10719 +a(g705 +g1064 +tp10720 +a(g826 +V\u000a +p10721 +tp10722 +a(g8 +V;; There are some numbers that are invalid characters and +p10723 +tp10724 +a(g826 +V\u000a +p10725 +tp10726 +a(g8 +V;; cause `downcase' to get an error. +p10727 +tp10728 +a(g826 +V\u000a +p10729 +tp10730 +a(g705 +g1040 +tp10731 +a(g744 +Vcondition-case +p10732 +tp10733 +a(g826 +g1044 +tp10734 +a(g705 +g1040 +tp10735 +a(g705 +g1064 +tp10736 +a(g826 +V\u000a +p10737 +tp10738 +a(g705 +g1040 +tp10739 +a(g573 +Vdowncase +p10740 +tp10741 +a(g826 +g1044 +tp10742 +a(g440 +Vuncontrolled +p10743 +tp10744 +a(g705 +g1064 +tp10745 +a(g826 +V\u000a +p10746 +tp10747 +a(g705 +g1040 +tp10748 +a(g612 +Verror +p10749 +tp10750 +a(g826 +g1044 +tp10751 +a(g440 +Vuncontrolled +p10752 +tp10753 +a(g705 +g1064 +tp10754 +a(g705 +g1064 +tp10755 +a(g705 +g1064 +tp10756 +a(g705 +g1064 +tp10757 +a(g705 +g1064 +tp10758 +a(g826 +V\u000a\u000a +p10759 +tp10760 +a(g705 +g1040 +tp10761 +a(g681 +Vdefsubst +p10762 +tp10763 +a(g826 +g1044 +tp10764 +a(g440 +Vmouse-movement-p +p10765 +tp10766 +a(g826 +g1044 +tp10767 +a(g705 +g1040 +tp10768 +a(g440 +Vobject +p10769 +tp10770 +a(g705 +g1064 +tp10771 +a(g826 +V\u000a +p10772 +tp10773 +a(g89 +g1068 +tp10774 +a(g89 +VReturn non-nil if OBJECT is a mouse movement event. +p10775 +tp10776 +a(g89 +g1068 +tp10777 +a(g826 +V\u000a +p10778 +tp10779 +a(g705 +g1040 +tp10780 +a(g573 +Veq +p10781 +tp10782 +a(g826 +g1044 +tp10783 +a(g705 +g1040 +tp10784 +a(g573 +Vcar-safe +p10785 +tp10786 +a(g826 +g1044 +tp10787 +a(g440 +Vobject +p10788 +tp10789 +a(g705 +g1064 +tp10790 +a(g826 +g1044 +tp10791 +a(g117 +V'mouse-movement +p10792 +tp10793 +a(g705 +g1064 +tp10794 +a(g705 +g1064 +tp10795 +a(g826 +V\u000a\u000a +p10796 +tp10797 +a(g705 +g1040 +tp10798 +a(g681 +Vdefun +p10799 +tp10800 +a(g826 +g1044 +tp10801 +a(g440 +Vmouse-event-p +p10802 +tp10803 +a(g826 +g1044 +tp10804 +a(g705 +g1040 +tp10805 +a(g440 +Vobject +p10806 +tp10807 +a(g705 +g1064 +tp10808 +a(g826 +V\u000a +p10809 +tp10810 +a(g89 +g1068 +tp10811 +a(g89 +VReturn non-nil if OBJECT is a mouse click event. +p10812 +tp10813 +a(g89 +g1068 +tp10814 +a(g826 +V\u000a +p10815 +tp10816 +a(g8 +V;; is this really correct? maybe remove mouse-movement? +p10817 +tp10818 +a(g826 +V\u000a +p10819 +tp10820 +a(g705 +g1040 +tp10821 +a(g573 +Vmemq +p10822 +tp10823 +a(g826 +g1044 +tp10824 +a(g705 +g1040 +tp10825 +a(g440 +Vevent-basic-type +p10826 +tp10827 +a(g826 +g1044 +tp10828 +a(g440 +Vobject +p10829 +tp10830 +a(g705 +g1064 +tp10831 +a(g826 +g1044 +tp10832 +a(g412 +V' +p10833 +tp10834 +a(g705 +g1040 +tp10835 +a(g440 +Vmouse-1 +p10836 +tp10837 +a(g826 +g1044 +tp10838 +a(g440 +Vmouse-2 +p10839 +tp10840 +a(g826 +g1044 +tp10841 +a(g440 +Vmouse-3 +p10842 +tp10843 +a(g826 +g1044 +tp10844 +a(g440 +Vmouse-movement +p10845 +tp10846 +a(g705 +g1064 +tp10847 +a(g705 +g1064 +tp10848 +a(g705 +g1064 +tp10849 +a(g826 +V\u000a\u000a +p10850 +tp10851 +a(g705 +g1040 +tp10852 +a(g681 +Vdefun +p10853 +tp10854 +a(g826 +g1044 +tp10855 +a(g440 +Vevent-start +p10856 +tp10857 +a(g826 +g1044 +tp10858 +a(g705 +g1040 +tp10859 +a(g440 +Vevent +p10860 +tp10861 +a(g705 +g1064 +tp10862 +a(g826 +V\u000a +p10863 +tp10864 +a(g89 +g1068 +tp10865 +a(g89 +VReturn the starting position of EVENT.\u000aEVENT should be a mouse click, drag, or key press event. If\u000aEVENT is nil, the value of +p10866 +tp10867 +a(g117 +V`posn-at-point' +p10868 +tp10869 +a(g89 +V is used instead.\u000a\u000aThe following accessor functions are used to access the elements\u000aof the position:\u000a\u000a +p10870 +tp10871 +a(g117 +V`posn-window' +p10872 +tp10873 +a(g89 +V: The window the event is in.\u000a +p10874 +tp10875 +a(g117 +V`posn-area' +p10876 +tp10877 +a(g89 +V: A symbol identifying the area the event occurred in,\u000aor nil if the event occurred in the text area.\u000a +p10878 +tp10879 +a(g117 +V`posn-point' +p10880 +tp10881 +a(g89 +V: The buffer position of the event.\u000a +p10882 +tp10883 +a(g117 +V`posn-x-y' +p10884 +tp10885 +a(g89 +V: The pixel-based coordinates of the event.\u000a +p10886 +tp10887 +a(g117 +V`posn-col-row' +p10888 +tp10889 +a(g89 +V: The estimated column and row corresponding to the\u000aposition of the event.\u000a +p10890 +tp10891 +a(g117 +V`posn-actual-col-row' +p10892 +tp10893 +a(g89 +V: The actual column and row corresponding to the\u000aposition of the event.\u000a +p10894 +tp10895 +a(g117 +V`posn-string' +p10896 +tp10897 +a(g89 +V: The string object of the event, which is either\u000anil or (STRING . POSITION)'.\u000a +p10898 +tp10899 +a(g117 +V`posn-image' +p10900 +tp10901 +a(g89 +V: The image object of the event, if any.\u000a +p10902 +tp10903 +a(g117 +V`posn-object' +p10904 +tp10905 +a(g89 +V: The image or string object of the event, if any.\u000a +p10906 +tp10907 +a(g117 +V`posn-timestamp' +p10908 +tp10909 +a(g89 +V: The time the event occurred, in milliseconds.\u000a\u000aFor more information, see Info node +p10910 +tp10911 +a(g89 +g1128 +tp10912 +a(g89 +V(elisp)Click Events'. +p10913 +tp10914 +a(g89 +g1068 +tp10915 +a(g826 +V\u000a +p10916 +tp10917 +a(g705 +g1040 +tp10918 +a(g744 +Vif +p10919 +tp10920 +a(g826 +g1044 +tp10921 +a(g705 +g1040 +tp10922 +a(g573 +Vconsp +p10923 +tp10924 +a(g826 +g1044 +tp10925 +a(g440 +Vevent +p10926 +tp10927 +a(g705 +g1064 +tp10928 +a(g826 +g1044 +tp10929 +a(g705 +g1040 +tp10930 +a(g573 +Vnth +p10931 +tp10932 +a(g826 +g1044 +tp10933 +a(g37 +g2048 +tp10934 +a(g826 +g1044 +tp10935 +a(g440 +Vevent +p10936 +tp10937 +a(g705 +g1064 +tp10938 +a(g826 +V\u000a +p10939 +tp10940 +a(g705 +g1040 +tp10941 +a(g744 +Vor +p10942 +tp10943 +a(g826 +g1044 +tp10944 +a(g705 +g1040 +tp10945 +a(g573 +Vposn-at-point +p10946 +tp10947 +a(g705 +g1064 +tp10948 +a(g826 +V\u000a +p10949 +tp10950 +a(g705 +g1040 +tp10951 +a(g573 +Vlist +p10952 +tp10953 +a(g826 +g1044 +tp10954 +a(g705 +g1040 +tp10955 +a(g573 +Vselected-window +p10956 +tp10957 +a(g705 +g1064 +tp10958 +a(g826 +g1044 +tp10959 +a(g705 +g1040 +tp10960 +a(g573 +Vpoint +p10961 +tp10962 +a(g705 +g1064 +tp10963 +a(g826 +g1044 +tp10964 +a(g412 +g10833 +tp10965 +a(g705 +g1040 +tp10966 +a(g37 +g2628 +tp10967 +a(g826 +g1044 +tp10968 +a(g412 +g3307 +tp10969 +a(g826 +g1044 +tp10970 +a(g37 +g2628 +tp10971 +a(g705 +g1064 +tp10972 +a(g826 +g1044 +tp10973 +a(g37 +g2628 +tp10974 +a(g705 +g1064 +tp10975 +a(g705 +g1064 +tp10976 +a(g705 +g1064 +tp10977 +a(g705 +g1064 +tp10978 +a(g826 +V\u000a\u000a +p10979 +tp10980 +a(g705 +g1040 +tp10981 +a(g681 +Vdefun +p10982 +tp10983 +a(g826 +g1044 +tp10984 +a(g440 +Vevent-end +p10985 +tp10986 +a(g826 +g1044 +tp10987 +a(g705 +g1040 +tp10988 +a(g440 +Vevent +p10989 +tp10990 +a(g705 +g1064 +tp10991 +a(g826 +V\u000a +p10992 +tp10993 +a(g89 +g1068 +tp10994 +a(g89 +VReturn the ending position of EVENT.\u000aEVENT should be a click, drag, or key press event.\u000a\u000aSee +p10995 +tp10996 +a(g117 +V`event-start' +p10997 +tp10998 +a(g89 +V for a description of the value returned. +p10999 +tp11000 +a(g89 +g1068 +tp11001 +a(g826 +V\u000a +p11002 +tp11003 +a(g705 +g1040 +tp11004 +a(g744 +Vif +p11005 +tp11006 +a(g826 +g1044 +tp11007 +a(g705 +g1040 +tp11008 +a(g573 +Vconsp +p11009 +tp11010 +a(g826 +g1044 +tp11011 +a(g440 +Vevent +p11012 +tp11013 +a(g705 +g1064 +tp11014 +a(g826 +g1044 +tp11015 +a(g705 +g1040 +tp11016 +a(g573 +Vnth +p11017 +tp11018 +a(g826 +g1044 +tp11019 +a(g705 +g1040 +tp11020 +a(g744 +Vif +p11021 +tp11022 +a(g826 +g1044 +tp11023 +a(g705 +g1040 +tp11024 +a(g573 +Vconsp +p11025 +tp11026 +a(g826 +g1044 +tp11027 +a(g705 +g1040 +tp11028 +a(g573 +Vnth +p11029 +tp11030 +a(g826 +g1044 +tp11031 +a(g37 +g1370 +tp11032 +a(g826 +g1044 +tp11033 +a(g440 +Vevent +p11034 +tp11035 +a(g705 +g1064 +tp11036 +a(g705 +g1064 +tp11037 +a(g826 +g1044 +tp11038 +a(g37 +g1370 +tp11039 +a(g826 +g1044 +tp11040 +a(g37 +g2048 +tp11041 +a(g705 +g1064 +tp11042 +a(g826 +g1044 +tp11043 +a(g440 +Vevent +p11044 +tp11045 +a(g705 +g1064 +tp11046 +a(g826 +V\u000a +p11047 +tp11048 +a(g705 +g1040 +tp11049 +a(g744 +Vor +p11050 +tp11051 +a(g826 +g1044 +tp11052 +a(g705 +g1040 +tp11053 +a(g573 +Vposn-at-point +p11054 +tp11055 +a(g705 +g1064 +tp11056 +a(g826 +V\u000a +p11057 +tp11058 +a(g705 +g1040 +tp11059 +a(g573 +Vlist +p11060 +tp11061 +a(g826 +g1044 +tp11062 +a(g705 +g1040 +tp11063 +a(g573 +Vselected-window +p11064 +tp11065 +a(g705 +g1064 +tp11066 +a(g826 +g1044 +tp11067 +a(g705 +g1040 +tp11068 +a(g573 +Vpoint +p11069 +tp11070 +a(g705 +g1064 +tp11071 +a(g826 +g1044 +tp11072 +a(g412 +g10833 +tp11073 +a(g705 +g1040 +tp11074 +a(g37 +g2628 +tp11075 +a(g826 +g1044 +tp11076 +a(g412 +g3307 +tp11077 +a(g826 +g1044 +tp11078 +a(g37 +g2628 +tp11079 +a(g705 +g1064 +tp11080 +a(g826 +g1044 +tp11081 +a(g37 +g2628 +tp11082 +a(g705 +g1064 +tp11083 +a(g705 +g1064 +tp11084 +a(g705 +g1064 +tp11085 +a(g705 +g1064 +tp11086 +a(g826 +V\u000a\u000a +p11087 +tp11088 +a(g705 +g1040 +tp11089 +a(g681 +Vdefsubst +p11090 +tp11091 +a(g826 +g1044 +tp11092 +a(g440 +Vevent-click-count +p11093 +tp11094 +a(g826 +g1044 +tp11095 +a(g705 +g1040 +tp11096 +a(g440 +Vevent +p11097 +tp11098 +a(g705 +g1064 +tp11099 +a(g826 +V\u000a +p11100 +tp11101 +a(g89 +g1068 +tp11102 +a(g89 +VReturn the multi-click count of EVENT, a click or drag event.\u000aThe return value is a positive integer. +p11103 +tp11104 +a(g89 +g1068 +tp11105 +a(g826 +V\u000a +p11106 +tp11107 +a(g705 +g1040 +tp11108 +a(g744 +Vif +p11109 +tp11110 +a(g826 +g1044 +tp11111 +a(g705 +g1040 +tp11112 +a(g744 +Vand +p11113 +tp11114 +a(g826 +g1044 +tp11115 +a(g705 +g1040 +tp11116 +a(g573 +Vconsp +p11117 +tp11118 +a(g826 +g1044 +tp11119 +a(g440 +Vevent +p11120 +tp11121 +a(g705 +g1064 +tp11122 +a(g826 +g1044 +tp11123 +a(g705 +g1040 +tp11124 +a(g573 +Vintegerp +p11125 +tp11126 +a(g826 +g1044 +tp11127 +a(g705 +g1040 +tp11128 +a(g573 +Vnth +p11129 +tp11130 +a(g826 +g1044 +tp11131 +a(g37 +g1370 +tp11132 +a(g826 +g1044 +tp11133 +a(g440 +Vevent +p11134 +tp11135 +a(g705 +g1064 +tp11136 +a(g705 +g1064 +tp11137 +a(g705 +g1064 +tp11138 +a(g826 +g1044 +tp11139 +a(g705 +g1040 +tp11140 +a(g573 +Vnth +p11141 +tp11142 +a(g826 +g1044 +tp11143 +a(g37 +g1370 +tp11144 +a(g826 +g1044 +tp11145 +a(g440 +Vevent +p11146 +tp11147 +a(g705 +g1064 +tp11148 +a(g826 +g1044 +tp11149 +a(g37 +g2048 +tp11150 +a(g705 +g1064 +tp11151 +a(g705 +g1064 +tp11152 +a(g826 +V\u000a \u000a +p11153 +tp11154 +a(g8 +V;;;; Extracting fields of the positions in an event. +p11155 +tp11156 +a(g826 +V\u000a\u000a +p11157 +tp11158 +a(g705 +g1040 +tp11159 +a(g681 +Vdefun +p11160 +tp11161 +a(g826 +g1044 +tp11162 +a(g440 +Vposnp +p11163 +tp11164 +a(g826 +g1044 +tp11165 +a(g705 +g1040 +tp11166 +a(g440 +Vobj +p11167 +tp11168 +a(g705 +g1064 +tp11169 +a(g826 +V\u000a +p11170 +tp11171 +a(g89 +g1068 +tp11172 +a(g89 +VReturn non-nil if OBJ appears to be a valid +p11173 +tp11174 +a(g117 +V`posn' +p11175 +tp11176 +a(g89 +V object specifying a window.\u000aIf OBJ is a valid +p11177 +tp11178 +a(g117 +V`posn' +p11179 +tp11180 +a(g89 +V object, but specifies a frame rather\u000athan a window, return nil. +p11181 +tp11182 +a(g89 +g1068 +tp11183 +a(g826 +V\u000a +p11184 +tp11185 +a(g8 +V;; FIXME: Correct the behavior of this function so that all valid +p11186 +tp11187 +a(g826 +V\u000a +p11188 +tp11189 +a(g8 +V;; `posn' objects are recognized, after updating other code that +p11190 +tp11191 +a(g826 +V\u000a +p11192 +tp11193 +a(g8 +V;; depends on its present behavior. +p11194 +tp11195 +a(g826 +V\u000a +p11196 +tp11197 +a(g705 +g1040 +tp11198 +a(g744 +Vand +p11199 +tp11200 +a(g826 +g1044 +tp11201 +a(g705 +g1040 +tp11202 +a(g573 +Vwindowp +p11203 +tp11204 +a(g826 +g1044 +tp11205 +a(g705 +g1040 +tp11206 +a(g573 +Vcar-safe +p11207 +tp11208 +a(g826 +g1044 +tp11209 +a(g440 +Vobj +p11210 +tp11211 +a(g705 +g1064 +tp11212 +a(g705 +g1064 +tp11213 +a(g826 +V\u000a +p11214 +tp11215 +a(g705 +g1040 +tp11216 +a(g573 +Vatom +p11217 +tp11218 +a(g826 +g1044 +tp11219 +a(g705 +g1040 +tp11220 +a(g573 +Vcar-safe +p11221 +tp11222 +a(g826 +g1044 +tp11223 +a(g705 +g1040 +tp11224 +a(g744 +Vsetq +p11225 +tp11226 +a(g826 +g1044 +tp11227 +a(g440 +Vobj +p11228 +tp11229 +a(g826 +g1044 +tp11230 +a(g705 +g1040 +tp11231 +a(g573 +Vcdr +p11232 +tp11233 +a(g826 +g1044 +tp11234 +a(g440 +Vobj +p11235 +tp11236 +a(g705 +g1064 +tp11237 +a(g705 +g1064 +tp11238 +a(g705 +g1064 +tp11239 +a(g705 +g1064 +tp11240 +a(g826 +V +p11241 +tp11242 +a(g8 +V;AREA-OR-POS. +p11243 +tp11244 +a(g826 +V\u000a +p11245 +tp11246 +a(g705 +g1040 +tp11247 +a(g573 +Vintegerp +p11248 +tp11249 +a(g826 +g1044 +tp11250 +a(g705 +g1040 +tp11251 +a(g573 +Vcar-safe +p11252 +tp11253 +a(g826 +g1044 +tp11254 +a(g705 +g1040 +tp11255 +a(g573 +Vcar-safe +p11256 +tp11257 +a(g826 +g1044 +tp11258 +a(g705 +g1040 +tp11259 +a(g744 +Vsetq +p11260 +tp11261 +a(g826 +g1044 +tp11262 +a(g440 +Vobj +p11263 +tp11264 +a(g826 +g1044 +tp11265 +a(g705 +g1040 +tp11266 +a(g573 +Vcdr +p11267 +tp11268 +a(g826 +g1044 +tp11269 +a(g440 +Vobj +p11270 +tp11271 +a(g705 +g1064 +tp11272 +a(g705 +g1064 +tp11273 +a(g705 +g1064 +tp11274 +a(g705 +g1064 +tp11275 +a(g705 +g1064 +tp11276 +a(g826 +g1044 +tp11277 +a(g8 +V;XOFFSET. +p11278 +tp11279 +a(g826 +V\u000a +p11280 +tp11281 +a(g705 +g1040 +tp11282 +a(g573 +Vintegerp +p11283 +tp11284 +a(g826 +g1044 +tp11285 +a(g705 +g1040 +tp11286 +a(g573 +Vcar-safe +p11287 +tp11288 +a(g826 +g1044 +tp11289 +a(g705 +g1040 +tp11290 +a(g573 +Vcdr +p11291 +tp11292 +a(g826 +g1044 +tp11293 +a(g440 +Vobj +p11294 +tp11295 +a(g705 +g1064 +tp11296 +a(g705 +g1064 +tp11297 +a(g705 +g1064 +tp11298 +a(g705 +g1064 +tp11299 +a(g705 +g1064 +tp11300 +a(g826 +V +p11301 +tp11302 +a(g8 +V;TIMESTAMP. +p11303 +tp11304 +a(g826 +V\u000a\u000a +p11305 +tp11306 +a(g705 +g1040 +tp11307 +a(g681 +Vdefsubst +p11308 +tp11309 +a(g826 +g1044 +tp11310 +a(g440 +Vposn-window +p11311 +tp11312 +a(g826 +g1044 +tp11313 +a(g705 +g1040 +tp11314 +a(g440 +Vposition +p11315 +tp11316 +a(g705 +g1064 +tp11317 +a(g826 +V\u000a +p11318 +tp11319 +a(g89 +g1068 +tp11320 +a(g89 +VReturn the window in POSITION.\u000aPOSITION should be a list of the form returned by the +p11321 +tp11322 +a(g117 +V`event-start' +p11323 +tp11324 +a(g89 +V\u000aand +p11325 +tp11326 +a(g117 +V`event-end' +p11327 +tp11328 +a(g89 +V functions. +p11329 +tp11330 +a(g89 +g1068 +tp11331 +a(g826 +V\u000a +p11332 +tp11333 +a(g705 +g1040 +tp11334 +a(g573 +Vnth +p11335 +tp11336 +a(g826 +g1044 +tp11337 +a(g37 +g2628 +tp11338 +a(g826 +g1044 +tp11339 +a(g440 +Vposition +p11340 +tp11341 +a(g705 +g1064 +tp11342 +a(g705 +g1064 +tp11343 +a(g826 +V\u000a\u000a +p11344 +tp11345 +a(g705 +g1040 +tp11346 +a(g681 +Vdefsubst +p11347 +tp11348 +a(g826 +g1044 +tp11349 +a(g440 +Vposn-area +p11350 +tp11351 +a(g826 +g1044 +tp11352 +a(g705 +g1040 +tp11353 +a(g440 +Vposition +p11354 +tp11355 +a(g705 +g1064 +tp11356 +a(g826 +V\u000a +p11357 +tp11358 +a(g89 +g1068 +tp11359 +a(g89 +VReturn the window area recorded in POSITION, or nil for the text area.\u000aPOSITION should be a list of the form returned by the +p11360 +tp11361 +a(g117 +V`event-start' +p11362 +tp11363 +a(g89 +V\u000aand +p11364 +tp11365 +a(g117 +V`event-end' +p11366 +tp11367 +a(g89 +V functions. +p11368 +tp11369 +a(g89 +g1068 +tp11370 +a(g826 +V\u000a +p11371 +tp11372 +a(g705 +g1040 +tp11373 +a(g744 +Vlet +p11374 +tp11375 +a(g826 +g1044 +tp11376 +a(g705 +g1040 +tp11377 +a(g705 +g1040 +tp11378 +a(g440 +Varea +p11379 +tp11380 +a(g826 +g1044 +tp11381 +a(g705 +g1040 +tp11382 +a(g744 +Vif +p11383 +tp11384 +a(g826 +g1044 +tp11385 +a(g705 +g1040 +tp11386 +a(g573 +Vconsp +p11387 +tp11388 +a(g826 +g1044 +tp11389 +a(g705 +g1040 +tp11390 +a(g573 +Vnth +p11391 +tp11392 +a(g826 +g1044 +tp11393 +a(g37 +g2048 +tp11394 +a(g826 +g1044 +tp11395 +a(g440 +Vposition +p11396 +tp11397 +a(g705 +g1064 +tp11398 +a(g705 +g1064 +tp11399 +a(g826 +V\u000a +p11400 +tp11401 +a(g705 +g1040 +tp11402 +a(g573 +Vcar +p11403 +tp11404 +a(g826 +g1044 +tp11405 +a(g705 +g1040 +tp11406 +a(g573 +Vnth +p11407 +tp11408 +a(g826 +g1044 +tp11409 +a(g37 +g2048 +tp11410 +a(g826 +g1044 +tp11411 +a(g440 +Vposition +p11412 +tp11413 +a(g705 +g1064 +tp11414 +a(g705 +g1064 +tp11415 +a(g826 +V\u000a +p11416 +tp11417 +a(g705 +g1040 +tp11418 +a(g573 +Vnth +p11419 +tp11420 +a(g826 +g1044 +tp11421 +a(g37 +g2048 +tp11422 +a(g826 +g1044 +tp11423 +a(g440 +Vposition +p11424 +tp11425 +a(g705 +g1064 +tp11426 +a(g705 +g1064 +tp11427 +a(g705 +g1064 +tp11428 +a(g705 +g1064 +tp11429 +a(g826 +V\u000a +p11430 +tp11431 +a(g705 +g1040 +tp11432 +a(g744 +Vand +p11433 +tp11434 +a(g826 +g1044 +tp11435 +a(g705 +g1040 +tp11436 +a(g573 +Vsymbolp +p11437 +tp11438 +a(g826 +g1044 +tp11439 +a(g440 +Varea +p11440 +tp11441 +a(g705 +g1064 +tp11442 +a(g826 +g1044 +tp11443 +a(g440 +Varea +p11444 +tp11445 +a(g705 +g1064 +tp11446 +a(g705 +g1064 +tp11447 +a(g705 +g1064 +tp11448 +a(g826 +V\u000a\u000a +p11449 +tp11450 +a(g705 +g1040 +tp11451 +a(g681 +Vdefun +p11452 +tp11453 +a(g826 +g1044 +tp11454 +a(g440 +Vposn-point +p11455 +tp11456 +a(g826 +g1044 +tp11457 +a(g705 +g1040 +tp11458 +a(g440 +Vposition +p11459 +tp11460 +a(g705 +g1064 +tp11461 +a(g826 +V\u000a +p11462 +tp11463 +a(g89 +g1068 +tp11464 +a(g89 +VReturn the buffer location in POSITION.\u000aPOSITION should be a list of the form returned by the +p11465 +tp11466 +a(g117 +V`event-start' +p11467 +tp11468 +a(g89 +V\u000aand +p11469 +tp11470 +a(g117 +V`event-end' +p11471 +tp11472 +a(g89 +V functions.\u000aReturns nil if POSITION does not correspond to any buffer location (e.g.\u000aa click on a scroll bar). +p11473 +tp11474 +a(g89 +g1068 +tp11475 +a(g826 +V\u000a +p11476 +tp11477 +a(g705 +g1040 +tp11478 +a(g744 +Vor +p11479 +tp11480 +a(g826 +g1044 +tp11481 +a(g705 +g1040 +tp11482 +a(g573 +Vnth +p11483 +tp11484 +a(g826 +g1044 +tp11485 +a(g37 +g9848 +tp11486 +a(g826 +g1044 +tp11487 +a(g440 +Vposition +p11488 +tp11489 +a(g705 +g1064 +tp11490 +a(g826 +V\u000a +p11491 +tp11492 +a(g705 +g1040 +tp11493 +a(g744 +Vlet +p11494 +tp11495 +a(g826 +g1044 +tp11496 +a(g705 +g1040 +tp11497 +a(g705 +g1040 +tp11498 +a(g440 +Vpt +p11499 +tp11500 +a(g826 +g1044 +tp11501 +a(g705 +g1040 +tp11502 +a(g573 +Vnth +p11503 +tp11504 +a(g826 +g1044 +tp11505 +a(g37 +g2048 +tp11506 +a(g826 +g1044 +tp11507 +a(g440 +Vposition +p11508 +tp11509 +a(g705 +g1064 +tp11510 +a(g705 +g1064 +tp11511 +a(g705 +g1064 +tp11512 +a(g826 +V\u000a +p11513 +tp11514 +a(g705 +g1040 +tp11515 +a(g744 +Vor +p11516 +tp11517 +a(g826 +g1044 +tp11518 +a(g705 +g1040 +tp11519 +a(g573 +Vcar-safe +p11520 +tp11521 +a(g826 +g1044 +tp11522 +a(g440 +Vpt +p11523 +tp11524 +a(g705 +g1064 +tp11525 +a(g826 +V\u000a +p11526 +tp11527 +a(g8 +V;; Apparently this can also be `vertical-scroll-bar' (bug#13979). +p11528 +tp11529 +a(g826 +V\u000a +p11530 +tp11531 +a(g705 +g1040 +tp11532 +a(g744 +Vif +p11533 +tp11534 +a(g826 +g1044 +tp11535 +a(g705 +g1040 +tp11536 +a(g573 +Vintegerp +p11537 +tp11538 +a(g826 +g1044 +tp11539 +a(g440 +Vpt +p11540 +tp11541 +a(g705 +g1064 +tp11542 +a(g826 +g1044 +tp11543 +a(g440 +Vpt +p11544 +tp11545 +a(g705 +g1064 +tp11546 +a(g705 +g1064 +tp11547 +a(g705 +g1064 +tp11548 +a(g705 +g1064 +tp11549 +a(g705 +g1064 +tp11550 +a(g826 +V\u000a\u000a +p11551 +tp11552 +a(g705 +g1040 +tp11553 +a(g681 +Vdefun +p11554 +tp11555 +a(g826 +g1044 +tp11556 +a(g440 +Vposn-set-point +p11557 +tp11558 +a(g826 +g1044 +tp11559 +a(g705 +g1040 +tp11560 +a(g440 +Vposition +p11561 +tp11562 +a(g705 +g1064 +tp11563 +a(g826 +V\u000a +p11564 +tp11565 +a(g89 +g1068 +tp11566 +a(g89 +VMove point to POSITION.\u000aSelect the corresponding window as well. +p11567 +tp11568 +a(g89 +g1068 +tp11569 +a(g826 +V\u000a +p11570 +tp11571 +a(g705 +g1040 +tp11572 +a(g744 +Vif +p11573 +tp11574 +a(g826 +g1044 +tp11575 +a(g705 +g1040 +tp11576 +a(g440 +Vnot +p11577 +tp11578 +a(g826 +g1044 +tp11579 +a(g705 +g1040 +tp11580 +a(g573 +Vwindowp +p11581 +tp11582 +a(g826 +g1044 +tp11583 +a(g705 +g1040 +tp11584 +a(g440 +Vposn-window +p11585 +tp11586 +a(g826 +g1044 +tp11587 +a(g440 +Vposition +p11588 +tp11589 +a(g705 +g1064 +tp11590 +a(g705 +g1064 +tp11591 +a(g705 +g1064 +tp11592 +a(g826 +V\u000a +p11593 +tp11594 +a(g705 +g1040 +tp11595 +a(g612 +Verror +p11596 +tp11597 +a(g826 +g1044 +tp11598 +a(g89 +g1068 +tp11599 +a(g89 +VPosition not in text area of window +p11600 +tp11601 +a(g89 +g1068 +tp11602 +a(g705 +g1064 +tp11603 +a(g705 +g1064 +tp11604 +a(g826 +V\u000a +p11605 +tp11606 +a(g705 +g1040 +tp11607 +a(g573 +Vselect-window +p11608 +tp11609 +a(g826 +g1044 +tp11610 +a(g705 +g1040 +tp11611 +a(g440 +Vposn-window +p11612 +tp11613 +a(g826 +g1044 +tp11614 +a(g440 +Vposition +p11615 +tp11616 +a(g705 +g1064 +tp11617 +a(g705 +g1064 +tp11618 +a(g826 +V\u000a +p11619 +tp11620 +a(g705 +g1040 +tp11621 +a(g744 +Vif +p11622 +tp11623 +a(g826 +g1044 +tp11624 +a(g705 +g1040 +tp11625 +a(g573 +Vnumberp +p11626 +tp11627 +a(g826 +g1044 +tp11628 +a(g705 +g1040 +tp11629 +a(g440 +Vposn-point +p11630 +tp11631 +a(g826 +g1044 +tp11632 +a(g440 +Vposition +p11633 +tp11634 +a(g705 +g1064 +tp11635 +a(g705 +g1064 +tp11636 +a(g826 +V\u000a +p11637 +tp11638 +a(g705 +g1040 +tp11639 +a(g573 +Vgoto-char +p11640 +tp11641 +a(g826 +g1044 +tp11642 +a(g705 +g1040 +tp11643 +a(g440 +Vposn-point +p11644 +tp11645 +a(g826 +g1044 +tp11646 +a(g440 +Vposition +p11647 +tp11648 +a(g705 +g1064 +tp11649 +a(g705 +g1064 +tp11650 +a(g705 +g1064 +tp11651 +a(g705 +g1064 +tp11652 +a(g826 +V\u000a\u000a +p11653 +tp11654 +a(g705 +g1040 +tp11655 +a(g681 +Vdefsubst +p11656 +tp11657 +a(g826 +g1044 +tp11658 +a(g440 +Vposn-x-y +p11659 +tp11660 +a(g826 +g1044 +tp11661 +a(g705 +g1040 +tp11662 +a(g440 +Vposition +p11663 +tp11664 +a(g705 +g1064 +tp11665 +a(g826 +V\u000a +p11666 +tp11667 +a(g89 +g1068 +tp11668 +a(g89 +VReturn the x and y coordinates in POSITION.\u000aThe return value has the form (X . Y), where X and Y are given in\u000apixels. POSITION should be a list of the form returned by\u000a +p11669 +tp11670 +a(g117 +V`event-start' +p11671 +tp11672 +a(g89 +V and +p11673 +tp11674 +a(g117 +V`event-end' +p11675 +tp11676 +a(g89 +g3307 +tp11677 +a(g89 +g1068 +tp11678 +a(g826 +V\u000a +p11679 +tp11680 +a(g705 +g1040 +tp11681 +a(g573 +Vnth +p11682 +tp11683 +a(g826 +g1044 +tp11684 +a(g37 +g1370 +tp11685 +a(g826 +g1044 +tp11686 +a(g440 +Vposition +p11687 +tp11688 +a(g705 +g1064 +tp11689 +a(g705 +g1064 +tp11690 +a(g826 +V\u000a\u000a +p11691 +tp11692 +a(g705 +g1040 +tp11693 +a(g681 +Vdeclare-function +p11694 +tp11695 +a(g826 +g1044 +tp11696 +a(g440 +Vscroll-bar-scale +p11697 +tp11698 +a(g826 +g1044 +tp11699 +a(g89 +g1068 +tp11700 +a(g89 +Vscroll-bar +p11701 +tp11702 +a(g89 +g1068 +tp11703 +a(g826 +g1044 +tp11704 +a(g705 +g1040 +tp11705 +a(g440 +Vnum-denom +p11706 +tp11707 +a(g826 +g1044 +tp11708 +a(g440 +Vwhole +p11709 +tp11710 +a(g705 +g1064 +tp11711 +a(g705 +g1064 +tp11712 +a(g826 +V\u000a\u000a +p11713 +tp11714 +a(g705 +g1040 +tp11715 +a(g681 +Vdefun +p11716 +tp11717 +a(g826 +g1044 +tp11718 +a(g440 +Vposn-col-row +p11719 +tp11720 +a(g826 +g1044 +tp11721 +a(g705 +g1040 +tp11722 +a(g440 +Vposition +p11723 +tp11724 +a(g705 +g1064 +tp11725 +a(g826 +V\u000a +p11726 +tp11727 +a(g89 +g1068 +tp11728 +a(g89 +VReturn the nominal column and row in POSITION, measured in characters.\u000aThe column and row values are approximations calculated from the x\u000aand y coordinates in POSITION and the frame's default character width\u000aand default line height, including spacing.\u000aFor a scroll-bar event, the result column is 0, and the row\u000acorresponds to the vertical position of the click in the scroll bar.\u000aPOSITION should be a list of the form returned by the +p11729 +tp11730 +a(g117 +V`event-start' +p11731 +tp11732 +a(g89 +V\u000aand +p11733 +tp11734 +a(g117 +V`event-end' +p11735 +tp11736 +a(g89 +V functions. +p11737 +tp11738 +a(g89 +g1068 +tp11739 +a(g826 +V\u000a +p11740 +tp11741 +a(g705 +g1040 +tp11742 +a(g744 +Vlet* +p11743 +tp11744 +a(g826 +g1044 +tp11745 +a(g705 +g1040 +tp11746 +a(g705 +g1040 +tp11747 +a(g440 +Vpair +p11748 +tp11749 +a(g826 +V +p11750 +tp11751 +a(g705 +g1040 +tp11752 +a(g440 +Vposn-x-y +p11753 +tp11754 +a(g826 +g1044 +tp11755 +a(g440 +Vposition +p11756 +tp11757 +a(g705 +g1064 +tp11758 +a(g705 +g1064 +tp11759 +a(g826 +V\u000a +p11760 +tp11761 +a(g705 +g1040 +tp11762 +a(g440 +Vframe-or-window +p11763 +tp11764 +a(g826 +g1044 +tp11765 +a(g705 +g1040 +tp11766 +a(g440 +Vposn-window +p11767 +tp11768 +a(g826 +g1044 +tp11769 +a(g440 +Vposition +p11770 +tp11771 +a(g705 +g1064 +tp11772 +a(g705 +g1064 +tp11773 +a(g826 +V\u000a +p11774 +tp11775 +a(g705 +g1040 +tp11776 +a(g440 +Vframe +p11777 +tp11778 +a(g826 +V +p11779 +tp11780 +a(g705 +g1040 +tp11781 +a(g744 +Vif +p11782 +tp11783 +a(g826 +g1044 +tp11784 +a(g705 +g1040 +tp11785 +a(g573 +Vframep +p11786 +tp11787 +a(g826 +g1044 +tp11788 +a(g440 +Vframe-or-window +p11789 +tp11790 +a(g705 +g1064 +tp11791 +a(g826 +V\u000a +p11792 +tp11793 +a(g440 +Vframe-or-window +p11794 +tp11795 +a(g826 +V\u000a +p11796 +tp11797 +a(g705 +g1040 +tp11798 +a(g573 +Vwindow-frame +p11799 +tp11800 +a(g826 +g1044 +tp11801 +a(g440 +Vframe-or-window +p11802 +tp11803 +a(g705 +g1064 +tp11804 +a(g705 +g1064 +tp11805 +a(g705 +g1064 +tp11806 +a(g826 +V\u000a +p11807 +tp11808 +a(g705 +g1040 +tp11809 +a(g440 +Vwindow +p11810 +tp11811 +a(g826 +V +p11812 +tp11813 +a(g705 +g1040 +tp11814 +a(g681 +Vwhen +p11815 +tp11816 +a(g826 +g1044 +tp11817 +a(g705 +g1040 +tp11818 +a(g573 +Vwindowp +p11819 +tp11820 +a(g826 +g1044 +tp11821 +a(g440 +Vframe-or-window +p11822 +tp11823 +a(g705 +g1064 +tp11824 +a(g826 +g1044 +tp11825 +a(g440 +Vframe-or-window +p11826 +tp11827 +a(g705 +g1064 +tp11828 +a(g705 +g1064 +tp11829 +a(g826 +V\u000a +p11830 +tp11831 +a(g705 +g1040 +tp11832 +a(g440 +Varea +p11833 +tp11834 +a(g826 +V +p11835 +tp11836 +a(g705 +g1040 +tp11837 +a(g440 +Vposn-area +p11838 +tp11839 +a(g826 +g1044 +tp11840 +a(g440 +Vposition +p11841 +tp11842 +a(g705 +g1064 +tp11843 +a(g705 +g1064 +tp11844 +a(g705 +g1064 +tp11845 +a(g826 +V\u000a +p11846 +tp11847 +a(g705 +g1040 +tp11848 +a(g744 +Vcond +p11849 +tp11850 +a(g826 +V\u000a +p11851 +tp11852 +a(g705 +g1040 +tp11853 +a(g705 +g1040 +tp11854 +a(g573 +Vnull +p11855 +tp11856 +a(g826 +g1044 +tp11857 +a(g440 +Vframe-or-window +p11858 +tp11859 +a(g705 +g1064 +tp11860 +a(g826 +V\u000a +p11861 +tp11862 +a(g412 +g10833 +tp11863 +a(g705 +g1040 +tp11864 +a(g37 +g2628 +tp11865 +a(g826 +g1044 +tp11866 +a(g412 +g3307 +tp11867 +a(g826 +g1044 +tp11868 +a(g37 +g2628 +tp11869 +a(g705 +g1064 +tp11870 +a(g705 +g1064 +tp11871 +a(g826 +V\u000a +p11872 +tp11873 +a(g705 +g1040 +tp11874 +a(g705 +g1040 +tp11875 +a(g573 +Veq +p11876 +tp11877 +a(g826 +g1044 +tp11878 +a(g440 +Varea +p11879 +tp11880 +a(g826 +g1044 +tp11881 +a(g117 +V'vertical-scroll-bar +p11882 +tp11883 +a(g705 +g1064 +tp11884 +a(g826 +V\u000a +p11885 +tp11886 +a(g705 +g1040 +tp11887 +a(g573 +Vcons +p11888 +tp11889 +a(g826 +g1044 +tp11890 +a(g37 +g2628 +tp11891 +a(g826 +g1044 +tp11892 +a(g705 +g1040 +tp11893 +a(g440 +Vscroll-bar-scale +p11894 +tp11895 +a(g826 +g1044 +tp11896 +a(g440 +Vpair +p11897 +tp11898 +a(g826 +g1044 +tp11899 +a(g705 +g1040 +tp11900 +a(g573 +V1- +p11901 +tp11902 +a(g826 +g1044 +tp11903 +a(g705 +g1040 +tp11904 +a(g440 +Vwindow-height +p11905 +tp11906 +a(g826 +g1044 +tp11907 +a(g440 +Vwindow +p11908 +tp11909 +a(g705 +g1064 +tp11910 +a(g705 +g1064 +tp11911 +a(g705 +g1064 +tp11912 +a(g705 +g1064 +tp11913 +a(g705 +g1064 +tp11914 +a(g826 +V\u000a +p11915 +tp11916 +a(g705 +g1040 +tp11917 +a(g705 +g1040 +tp11918 +a(g573 +Veq +p11919 +tp11920 +a(g826 +g1044 +tp11921 +a(g440 +Varea +p11922 +tp11923 +a(g826 +g1044 +tp11924 +a(g117 +V'horizontal-scroll-bar +p11925 +tp11926 +a(g705 +g1064 +tp11927 +a(g826 +V\u000a +p11928 +tp11929 +a(g705 +g1040 +tp11930 +a(g573 +Vcons +p11931 +tp11932 +a(g826 +g1044 +tp11933 +a(g705 +g1040 +tp11934 +a(g440 +Vscroll-bar-scale +p11935 +tp11936 +a(g826 +g1044 +tp11937 +a(g440 +Vpair +p11938 +tp11939 +a(g826 +g1044 +tp11940 +a(g705 +g1040 +tp11941 +a(g440 +Vwindow-width +p11942 +tp11943 +a(g826 +g1044 +tp11944 +a(g440 +Vwindow +p11945 +tp11946 +a(g705 +g1064 +tp11947 +a(g705 +g1064 +tp11948 +a(g826 +g1044 +tp11949 +a(g37 +g2628 +tp11950 +a(g705 +g1064 +tp11951 +a(g705 +g1064 +tp11952 +a(g826 +V\u000a +p11953 +tp11954 +a(g705 +g1040 +tp11955 +a(g565 +g1187 +tp11956 +a(g826 +V\u000a +p11957 +tp11958 +a(g8 +V;; FIXME: This should take line-spacing properties on +p11959 +tp11960 +a(g826 +V\u000a +p11961 +tp11962 +a(g8 +V;; newlines into account. +p11963 +tp11964 +a(g826 +V\u000a +p11965 +tp11966 +a(g705 +g1040 +tp11967 +a(g744 +Vlet* +p11968 +tp11969 +a(g826 +g1044 +tp11970 +a(g705 +g1040 +tp11971 +a(g705 +g1040 +tp11972 +a(g440 +Vspacing +p11973 +tp11974 +a(g826 +g1044 +tp11975 +a(g705 +g1040 +tp11976 +a(g681 +Vwhen +p11977 +tp11978 +a(g826 +g1044 +tp11979 +a(g705 +g1040 +tp11980 +a(g440 +Vdisplay-graphic-p +p11981 +tp11982 +a(g826 +g1044 +tp11983 +a(g440 +Vframe +p11984 +tp11985 +a(g705 +g1064 +tp11986 +a(g826 +V\u000a +p11987 +tp11988 +a(g705 +g1040 +tp11989 +a(g744 +Vor +p11990 +tp11991 +a(g826 +g1044 +tp11992 +a(g705 +g1040 +tp11993 +a(g681 +Vwith-current-buffer +p11994 +tp11995 +a(g826 +V\u000a +p11996 +tp11997 +a(g705 +g1040 +tp11998 +a(g573 +Vwindow-buffer +p11999 +tp12000 +a(g826 +g1044 +tp12001 +a(g705 +g1040 +tp12002 +a(g573 +Vframe-selected-window +p12003 +tp12004 +a(g826 +g1044 +tp12005 +a(g440 +Vframe +p12006 +tp12007 +a(g705 +g1064 +tp12008 +a(g705 +g1064 +tp12009 +a(g826 +V\u000a +p12010 +tp12011 +a(g440 +Vline-spacing +p12012 +tp12013 +a(g705 +g1064 +tp12014 +a(g826 +V\u000a +p12015 +tp12016 +a(g705 +g1040 +tp12017 +a(g573 +Vframe-parameter +p12018 +tp12019 +a(g826 +g1044 +tp12020 +a(g440 +Vframe +p12021 +tp12022 +a(g826 +g1044 +tp12023 +a(g117 +V'line-spacing +p12024 +tp12025 +a(g705 +g1064 +tp12026 +a(g705 +g1064 +tp12027 +a(g705 +g1064 +tp12028 +a(g705 +g1064 +tp12029 +a(g705 +g1064 +tp12030 +a(g826 +V\u000a +p12031 +tp12032 +a(g705 +g1040 +tp12033 +a(g744 +Vcond +p12034 +tp12035 +a(g826 +g1044 +tp12036 +a(g705 +g1040 +tp12037 +a(g705 +g1040 +tp12038 +a(g573 +Vfloatp +p12039 +tp12040 +a(g826 +g1044 +tp12041 +a(g440 +Vspacing +p12042 +tp12043 +a(g705 +g1064 +tp12044 +a(g826 +V\u000a +p12045 +tp12046 +a(g705 +g1040 +tp12047 +a(g744 +Vsetq +p12048 +tp12049 +a(g826 +g1044 +tp12050 +a(g440 +Vspacing +p12051 +tp12052 +a(g826 +g1044 +tp12053 +a(g705 +g1040 +tp12054 +a(g573 +Vtruncate +p12055 +tp12056 +a(g826 +g1044 +tp12057 +a(g705 +g1040 +tp12058 +a(g573 +g4614 +tp12059 +a(g826 +g1044 +tp12060 +a(g440 +Vspacing +p12061 +tp12062 +a(g826 +V\u000a +p12063 +tp12064 +a(g705 +g1040 +tp12065 +a(g573 +Vframe-char-height +p12066 +tp12067 +a(g826 +g1044 +tp12068 +a(g440 +Vframe +p12069 +tp12070 +a(g705 +g1064 +tp12071 +a(g705 +g1064 +tp12072 +a(g705 +g1064 +tp12073 +a(g705 +g1064 +tp12074 +a(g705 +g1064 +tp12075 +a(g826 +V\u000a +p12076 +tp12077 +a(g705 +g1040 +tp12078 +a(g705 +g1040 +tp12079 +a(g573 +Vnull +p12080 +tp12081 +a(g826 +g1044 +tp12082 +a(g440 +Vspacing +p12083 +tp12084 +a(g705 +g1064 +tp12085 +a(g826 +V\u000a +p12086 +tp12087 +a(g705 +g1040 +tp12088 +a(g744 +Vsetq +p12089 +tp12090 +a(g826 +g1044 +tp12091 +a(g440 +Vspacing +p12092 +tp12093 +a(g826 +g1044 +tp12094 +a(g37 +g2628 +tp12095 +a(g705 +g1064 +tp12096 +a(g705 +g1064 +tp12097 +a(g705 +g1064 +tp12098 +a(g826 +V\u000a +p12099 +tp12100 +a(g705 +g1040 +tp12101 +a(g573 +Vcons +p12102 +tp12103 +a(g826 +g1044 +tp12104 +a(g705 +g1040 +tp12105 +a(g573 +V/ +p12106 +tp12107 +a(g826 +g1044 +tp12108 +a(g705 +g1040 +tp12109 +a(g573 +Vcar +p12110 +tp12111 +a(g826 +g1044 +tp12112 +a(g440 +Vpair +p12113 +tp12114 +a(g705 +g1064 +tp12115 +a(g826 +g1044 +tp12116 +a(g705 +g1040 +tp12117 +a(g573 +Vframe-char-width +p12118 +tp12119 +a(g826 +g1044 +tp12120 +a(g440 +Vframe +p12121 +tp12122 +a(g705 +g1064 +tp12123 +a(g705 +g1064 +tp12124 +a(g826 +V\u000a +p12125 +tp12126 +a(g705 +g1040 +tp12127 +a(g573 +g12106 +tp12128 +a(g826 +g1044 +tp12129 +a(g705 +g1040 +tp12130 +a(g573 +Vcdr +p12131 +tp12132 +a(g826 +g1044 +tp12133 +a(g440 +Vpair +p12134 +tp12135 +a(g705 +g1064 +tp12136 +a(g826 +g1044 +tp12137 +a(g705 +g1040 +tp12138 +a(g573 +g4607 +tp12139 +a(g826 +g1044 +tp12140 +a(g705 +g1040 +tp12141 +a(g573 +Vframe-char-height +p12142 +tp12143 +a(g826 +g1044 +tp12144 +a(g440 +Vframe +p12145 +tp12146 +a(g705 +g1064 +tp12147 +a(g826 +g1044 +tp12148 +a(g440 +Vspacing +p12149 +tp12150 +a(g705 +g1064 +tp12151 +a(g705 +g1064 +tp12152 +a(g705 +g1064 +tp12153 +a(g705 +g1064 +tp12154 +a(g705 +g1064 +tp12155 +a(g705 +g1064 +tp12156 +a(g705 +g1064 +tp12157 +a(g705 +g1064 +tp12158 +a(g826 +V\u000a\u000a +p12159 +tp12160 +a(g705 +g1040 +tp12161 +a(g681 +Vdefun +p12162 +tp12163 +a(g826 +g1044 +tp12164 +a(g440 +Vposn-actual-col-row +p12165 +tp12166 +a(g826 +g1044 +tp12167 +a(g705 +g1040 +tp12168 +a(g440 +Vposition +p12169 +tp12170 +a(g705 +g1064 +tp12171 +a(g826 +V\u000a +p12172 +tp12173 +a(g89 +g1068 +tp12174 +a(g89 +VReturn the window row number in POSITION and character number in that row.\u000a\u000aReturn nil if POSITION does not contain the actual position; in that case\u000a +p12175 +tp12176 +a(g89 +V\u005c` +p12177 +tp12178 +a(g89 +Vposn-col-row' can be used to get approximate values.\u000aPOSITION should be a list of the form returned by the +p12179 +tp12180 +a(g117 +V`event-start' +p12181 +tp12182 +a(g89 +V\u000aand +p12183 +tp12184 +a(g117 +V`event-end' +p12185 +tp12186 +a(g89 +V functions.\u000a\u000aThis function does not account for the width on display, like the\u000anumber of visual columns taken by a TAB or image. If you need\u000athe coordinates of POSITION in character units, you should use\u000a +p12187 +tp12188 +a(g89 +V\u005c` +p12189 +tp12190 +a(g89 +Vposn-col-row', not this function. +p12191 +tp12192 +a(g89 +g1068 +tp12193 +a(g826 +V\u000a +p12194 +tp12195 +a(g705 +g1040 +tp12196 +a(g573 +Vnth +p12197 +tp12198 +a(g826 +g1044 +tp12199 +a(g37 +V6 +p12200 +tp12201 +a(g826 +g1044 +tp12202 +a(g440 +Vposition +p12203 +tp12204 +a(g705 +g1064 +tp12205 +a(g705 +g1064 +tp12206 +a(g826 +V\u000a\u000a +p12207 +tp12208 +a(g705 +g1040 +tp12209 +a(g681 +Vdefsubst +p12210 +tp12211 +a(g826 +g1044 +tp12212 +a(g440 +Vposn-timestamp +p12213 +tp12214 +a(g826 +g1044 +tp12215 +a(g705 +g1040 +tp12216 +a(g440 +Vposition +p12217 +tp12218 +a(g705 +g1064 +tp12219 +a(g826 +V\u000a +p12220 +tp12221 +a(g89 +g1068 +tp12222 +a(g89 +VReturn the timestamp of POSITION.\u000aPOSITION should be a list of the form returned by the +p12223 +tp12224 +a(g117 +V`event-start' +p12225 +tp12226 +a(g89 +V\u000aand +p12227 +tp12228 +a(g117 +V`event-end' +p12229 +tp12230 +a(g89 +V functions. +p12231 +tp12232 +a(g89 +g1068 +tp12233 +a(g826 +V\u000a +p12234 +tp12235 +a(g705 +g1040 +tp12236 +a(g573 +Vnth +p12237 +tp12238 +a(g826 +g1044 +tp12239 +a(g37 +g1564 +tp12240 +a(g826 +g1044 +tp12241 +a(g440 +Vposition +p12242 +tp12243 +a(g705 +g1064 +tp12244 +a(g705 +g1064 +tp12245 +a(g826 +V\u000a\u000a +p12246 +tp12247 +a(g705 +g1040 +tp12248 +a(g681 +Vdefun +p12249 +tp12250 +a(g826 +g1044 +tp12251 +a(g440 +Vposn-string +p12252 +tp12253 +a(g826 +g1044 +tp12254 +a(g705 +g1040 +tp12255 +a(g440 +Vposition +p12256 +tp12257 +a(g705 +g1064 +tp12258 +a(g826 +V\u000a +p12259 +tp12260 +a(g89 +g1068 +tp12261 +a(g89 +VReturn the string object of POSITION.\u000aValue is a cons (STRING . STRING-POS), or nil if not a string.\u000aPOSITION should be a list of the form returned by the +p12262 +tp12263 +a(g117 +V`event-start' +p12264 +tp12265 +a(g89 +V\u000aand +p12266 +tp12267 +a(g117 +V`event-end' +p12268 +tp12269 +a(g89 +V functions. +p12270 +tp12271 +a(g89 +g1068 +tp12272 +a(g826 +V\u000a +p12273 +tp12274 +a(g705 +g1040 +tp12275 +a(g744 +Vlet +p12276 +tp12277 +a(g826 +g1044 +tp12278 +a(g705 +g1040 +tp12279 +a(g705 +g1040 +tp12280 +a(g440 +g1971 +tp12281 +a(g826 +g1044 +tp12282 +a(g705 +g1040 +tp12283 +a(g573 +Vnth +p12284 +tp12285 +a(g826 +g1044 +tp12286 +a(g37 +g9799 +tp12287 +a(g826 +g1044 +tp12288 +a(g440 +Vposition +p12289 +tp12290 +a(g705 +g1064 +tp12291 +a(g705 +g1064 +tp12292 +a(g705 +g1064 +tp12293 +a(g826 +V\u000a +p12294 +tp12295 +a(g8 +V;; Apparently this can also be `handle' or `below-handle' (bug#13979). +p12296 +tp12297 +a(g826 +V\u000a +p12298 +tp12299 +a(g705 +g1040 +tp12300 +a(g681 +Vwhen +p12301 +tp12302 +a(g826 +g1044 +tp12303 +a(g705 +g1040 +tp12304 +a(g573 +Vconsp +p12305 +tp12306 +a(g826 +g1044 +tp12307 +a(g440 +g1971 +tp12308 +a(g705 +g1064 +tp12309 +a(g826 +g1044 +tp12310 +a(g440 +g1971 +tp12311 +a(g705 +g1064 +tp12312 +a(g705 +g1064 +tp12313 +a(g705 +g1064 +tp12314 +a(g826 +V\u000a\u000a +p12315 +tp12316 +a(g705 +g1040 +tp12317 +a(g681 +Vdefsubst +p12318 +tp12319 +a(g826 +g1044 +tp12320 +a(g440 +Vposn-image +p12321 +tp12322 +a(g826 +g1044 +tp12323 +a(g705 +g1040 +tp12324 +a(g440 +Vposition +p12325 +tp12326 +a(g705 +g1064 +tp12327 +a(g826 +V\u000a +p12328 +tp12329 +a(g89 +g1068 +tp12330 +a(g89 +VReturn the image object of POSITION.\u000aValue is a list (image ...), or nil if not an image.\u000aPOSITION should be a list of the form returned by the +p12331 +tp12332 +a(g117 +V`event-start' +p12333 +tp12334 +a(g89 +V\u000aand +p12335 +tp12336 +a(g117 +V`event-end' +p12337 +tp12338 +a(g89 +V functions. +p12339 +tp12340 +a(g89 +g1068 +tp12341 +a(g826 +V\u000a +p12342 +tp12343 +a(g705 +g1040 +tp12344 +a(g573 +Vnth +p12345 +tp12346 +a(g826 +g1044 +tp12347 +a(g37 +V7 +p12348 +tp12349 +a(g826 +g1044 +tp12350 +a(g440 +Vposition +p12351 +tp12352 +a(g705 +g1064 +tp12353 +a(g705 +g1064 +tp12354 +a(g826 +V\u000a\u000a +p12355 +tp12356 +a(g705 +g1040 +tp12357 +a(g681 +Vdefsubst +p12358 +tp12359 +a(g826 +g1044 +tp12360 +a(g440 +Vposn-object +p12361 +tp12362 +a(g826 +g1044 +tp12363 +a(g705 +g1040 +tp12364 +a(g440 +Vposition +p12365 +tp12366 +a(g705 +g1064 +tp12367 +a(g826 +V\u000a +p12368 +tp12369 +a(g89 +g1068 +tp12370 +a(g89 +VReturn the object (image or string) of POSITION.\u000aValue is a list (image ...) for an image object, a cons cell\u000a +p12371 +tp12372 +a(g89 +V\u005c( +p12373 +tp12374 +a(g89 +VSTRING . STRING-POS) for a string object, and nil for a buffer position.\u000aPOSITION should be a list of the form returned by the +p12375 +tp12376 +a(g117 +V`event-start' +p12377 +tp12378 +a(g89 +V\u000aand +p12379 +tp12380 +a(g117 +V`event-end' +p12381 +tp12382 +a(g89 +V functions. +p12383 +tp12384 +a(g89 +g1068 +tp12385 +a(g826 +V\u000a +p12386 +tp12387 +a(g705 +g1040 +tp12388 +a(g744 +Vor +p12389 +tp12390 +a(g826 +g1044 +tp12391 +a(g705 +g1040 +tp12392 +a(g440 +Vposn-image +p12393 +tp12394 +a(g826 +g1044 +tp12395 +a(g440 +Vposition +p12396 +tp12397 +a(g705 +g1064 +tp12398 +a(g826 +g1044 +tp12399 +a(g705 +g1040 +tp12400 +a(g440 +Vposn-string +p12401 +tp12402 +a(g826 +g1044 +tp12403 +a(g440 +Vposition +p12404 +tp12405 +a(g705 +g1064 +tp12406 +a(g705 +g1064 +tp12407 +a(g705 +g1064 +tp12408 +a(g826 +V\u000a\u000a +p12409 +tp12410 +a(g705 +g1040 +tp12411 +a(g681 +Vdefsubst +p12412 +tp12413 +a(g826 +g1044 +tp12414 +a(g440 +Vposn-object-x-y +p12415 +tp12416 +a(g826 +g1044 +tp12417 +a(g705 +g1040 +tp12418 +a(g440 +Vposition +p12419 +tp12420 +a(g705 +g1064 +tp12421 +a(g826 +V\u000a +p12422 +tp12423 +a(g89 +g1068 +tp12424 +a(g89 +VReturn the x and y coordinates relative to the object of POSITION.\u000aThe return value has the form (DX . DY), where DX and DY are\u000agiven in pixels. POSITION should be a list of the form returned\u000aby +p12425 +tp12426 +a(g117 +V`event-start' +p12427 +tp12428 +a(g89 +V and +p12429 +tp12430 +a(g117 +V`event-end' +p12431 +tp12432 +a(g89 +g3307 +tp12433 +a(g89 +g1068 +tp12434 +a(g826 +V\u000a +p12435 +tp12436 +a(g705 +g1040 +tp12437 +a(g573 +Vnth +p12438 +tp12439 +a(g826 +g1044 +tp12440 +a(g37 +V8 +p12441 +tp12442 +a(g826 +g1044 +tp12443 +a(g440 +Vposition +p12444 +tp12445 +a(g705 +g1064 +tp12446 +a(g705 +g1064 +tp12447 +a(g826 +V\u000a\u000a +p12448 +tp12449 +a(g705 +g1040 +tp12450 +a(g681 +Vdefsubst +p12451 +tp12452 +a(g826 +g1044 +tp12453 +a(g440 +Vposn-object-width-height +p12454 +tp12455 +a(g826 +g1044 +tp12456 +a(g705 +g1040 +tp12457 +a(g440 +Vposition +p12458 +tp12459 +a(g705 +g1064 +tp12460 +a(g826 +V\u000a +p12461 +tp12462 +a(g89 +g1068 +tp12463 +a(g89 +VReturn the pixel width and height of the object of POSITION.\u000aThe return value has the form (WIDTH . HEIGHT). POSITION should\u000abe a list of the form returned by +p12464 +tp12465 +a(g117 +V`event-start' +p12466 +tp12467 +a(g89 +V and +p12468 +tp12469 +a(g117 +V`event-end' +p12470 +tp12471 +a(g89 +g3307 +tp12472 +a(g89 +g1068 +tp12473 +a(g826 +V\u000a +p12474 +tp12475 +a(g705 +g1040 +tp12476 +a(g573 +Vnth +p12477 +tp12478 +a(g826 +g1044 +tp12479 +a(g37 +V9 +p12480 +tp12481 +a(g826 +g1044 +tp12482 +a(g440 +Vposition +p12483 +tp12484 +a(g705 +g1064 +tp12485 +a(g705 +g1064 +tp12486 +a(g826 +V\u000a\u000a \u000a +p12487 +tp12488 +a(g8 +V;;;; Obsolescent names for functions. +p12489 +tp12490 +a(g826 +V\u000a\u000a +p12491 +tp12492 +a(g705 +g1040 +tp12493 +a(g681 +Vdefine-obsolete-function-alias +p12494 +tp12495 +a(g826 +g1044 +tp12496 +a(g117 +V'window-dot +p12497 +tp12498 +a(g826 +g1044 +tp12499 +a(g117 +V'window-point +p12500 +tp12501 +a(g826 +g1044 +tp12502 +a(g89 +g1068 +tp12503 +a(g89 +V22.1 +p12504 +tp12505 +a(g89 +g1068 +tp12506 +a(g705 +g1064 +tp12507 +a(g826 +V\u000a +p12508 +tp12509 +a(g705 +g1040 +tp12510 +a(g681 +Vdefine-obsolete-function-alias +p12511 +tp12512 +a(g826 +g1044 +tp12513 +a(g117 +V'set-window-dot +p12514 +tp12515 +a(g826 +g1044 +tp12516 +a(g117 +V'set-window-point +p12517 +tp12518 +a(g826 +g1044 +tp12519 +a(g89 +g1068 +tp12520 +a(g89 +V22.1 +p12521 +tp12522 +a(g89 +g1068 +tp12523 +a(g705 +g1064 +tp12524 +a(g826 +V\u000a +p12525 +tp12526 +a(g705 +g1040 +tp12527 +a(g681 +Vdefine-obsolete-function-alias +p12528 +tp12529 +a(g826 +g1044 +tp12530 +a(g117 +V'read-input +p12531 +tp12532 +a(g826 +g1044 +tp12533 +a(g117 +V'read-string +p12534 +tp12535 +a(g826 +g1044 +tp12536 +a(g89 +g1068 +tp12537 +a(g89 +V22.1 +p12538 +tp12539 +a(g89 +g1068 +tp12540 +a(g705 +g1064 +tp12541 +a(g826 +V\u000a +p12542 +tp12543 +a(g705 +g1040 +tp12544 +a(g681 +Vdefine-obsolete-function-alias +p12545 +tp12546 +a(g826 +g1044 +tp12547 +a(g117 +V'show-buffer +p12548 +tp12549 +a(g826 +g1044 +tp12550 +a(g117 +V'set-window-buffer +p12551 +tp12552 +a(g826 +g1044 +tp12553 +a(g89 +g1068 +tp12554 +a(g89 +V22.1 +p12555 +tp12556 +a(g89 +g1068 +tp12557 +a(g705 +g1064 +tp12558 +a(g826 +V\u000a +p12559 +tp12560 +a(g705 +g1040 +tp12561 +a(g681 +Vdefine-obsolete-function-alias +p12562 +tp12563 +a(g826 +g1044 +tp12564 +a(g117 +V'eval-current-buffer +p12565 +tp12566 +a(g826 +g1044 +tp12567 +a(g117 +V'eval-buffer +p12568 +tp12569 +a(g826 +g1044 +tp12570 +a(g89 +g1068 +tp12571 +a(g89 +V22.1 +p12572 +tp12573 +a(g89 +g1068 +tp12574 +a(g705 +g1064 +tp12575 +a(g826 +V\u000a +p12576 +tp12577 +a(g705 +g1040 +tp12578 +a(g681 +Vdefine-obsolete-function-alias +p12579 +tp12580 +a(g826 +g1044 +tp12581 +a(g117 +V'string-to-int +p12582 +tp12583 +a(g826 +g1044 +tp12584 +a(g117 +V'string-to-number +p12585 +tp12586 +a(g826 +g1044 +tp12587 +a(g89 +g1068 +tp12588 +a(g89 +V22.1 +p12589 +tp12590 +a(g89 +g1068 +tp12591 +a(g705 +g1064 +tp12592 +a(g826 +V\u000a\u000a +p12593 +tp12594 +a(g705 +g1040 +tp12595 +a(g440 +Vmake-obsolete +p12596 +tp12597 +a(g826 +g1044 +tp12598 +a(g117 +V'forward-point +p12599 +tp12600 +a(g826 +g1044 +tp12601 +a(g89 +g1068 +tp12602 +a(g89 +Vuse (+ (point) N) instead. +p12603 +tp12604 +a(g89 +g1068 +tp12605 +a(g826 +g1044 +tp12606 +a(g89 +g1068 +tp12607 +a(g89 +V23.1 +p12608 +tp12609 +a(g89 +g1068 +tp12610 +a(g705 +g1064 +tp12611 +a(g826 +V\u000a +p12612 +tp12613 +a(g705 +g1040 +tp12614 +a(g440 +Vmake-obsolete +p12615 +tp12616 +a(g826 +g1044 +tp12617 +a(g117 +V'buffer-has-markers-at +p12618 +tp12619 +a(g826 +g1044 +tp12620 +a(g565 +Vnil +p12621 +tp12622 +a(g826 +g1044 +tp12623 +a(g89 +g1068 +tp12624 +a(g89 +V24.3 +p12625 +tp12626 +a(g89 +g1068 +tp12627 +a(g705 +g1064 +tp12628 +a(g826 +V\u000a\u000a +p12629 +tp12630 +a(g705 +g1040 +tp12631 +a(g681 +Vdefun +p12632 +tp12633 +a(g826 +g1044 +tp12634 +a(g440 +Vinsert-string +p12635 +tp12636 +a(g826 +g1044 +tp12637 +a(g705 +g1040 +tp12638 +a(g785 +V&rest +p12639 +tp12640 +a(g826 +g1044 +tp12641 +a(g440 +Vargs +p12642 +tp12643 +a(g705 +g1064 +tp12644 +a(g826 +V\u000a +p12645 +tp12646 +a(g89 +g1068 +tp12647 +a(g89 +VMocklisp-compatibility insert function.\u000aLike the function +p12648 +tp12649 +a(g117 +V`insert' +p12650 +tp12651 +a(g89 +V except that any argument that is a number\u000ais converted into a string by expressing it in decimal. +p12652 +tp12653 +a(g89 +g1068 +tp12654 +a(g826 +V\u000a +p12655 +tp12656 +a(g705 +g1040 +tp12657 +a(g681 +Vdeclare +p12658 +tp12659 +a(g826 +g1044 +tp12660 +a(g705 +g1040 +tp12661 +a(g440 +Vobsolete +p12662 +tp12663 +a(g826 +g1044 +tp12664 +a(g573 +Vinsert +p12665 +tp12666 +a(g826 +g1044 +tp12667 +a(g89 +g1068 +tp12668 +a(g89 +V22.1 +p12669 +tp12670 +a(g89 +g1068 +tp12671 +a(g705 +g1064 +tp12672 +a(g705 +g1064 +tp12673 +a(g826 +V\u000a +p12674 +tp12675 +a(g705 +g1040 +tp12676 +a(g681 +Vdolist +p12677 +tp12678 +a(g826 +g1044 +tp12679 +a(g705 +g1040 +tp12680 +a(g440 +Vel +p12681 +tp12682 +a(g826 +g1044 +tp12683 +a(g440 +Vargs +p12684 +tp12685 +a(g705 +g1064 +tp12686 +a(g826 +V\u000a +p12687 +tp12688 +a(g705 +g1040 +tp12689 +a(g573 +Vinsert +p12690 +tp12691 +a(g826 +g1044 +tp12692 +a(g705 +g1040 +tp12693 +a(g744 +Vif +p12694 +tp12695 +a(g826 +g1044 +tp12696 +a(g705 +g1040 +tp12697 +a(g573 +Vintegerp +p12698 +tp12699 +a(g826 +g1044 +tp12700 +a(g440 +Vel +p12701 +tp12702 +a(g705 +g1064 +tp12703 +a(g826 +g1044 +tp12704 +a(g705 +g1040 +tp12705 +a(g573 +Vnumber-to-string +p12706 +tp12707 +a(g826 +g1044 +tp12708 +a(g440 +Vel +p12709 +tp12710 +a(g705 +g1064 +tp12711 +a(g826 +g1044 +tp12712 +a(g440 +Vel +p12713 +tp12714 +a(g705 +g1064 +tp12715 +a(g705 +g1064 +tp12716 +a(g705 +g1064 +tp12717 +a(g705 +g1064 +tp12718 +a(g826 +V\u000a\u000a +p12719 +tp12720 +a(g705 +g1040 +tp12721 +a(g681 +Vdefun +p12722 +tp12723 +a(g826 +g1044 +tp12724 +a(g440 +Vmakehash +p12725 +tp12726 +a(g826 +g1044 +tp12727 +a(g705 +g1040 +tp12728 +a(g785 +V&optional +p12729 +tp12730 +a(g826 +g1044 +tp12731 +a(g440 +Vtest +p12732 +tp12733 +a(g705 +g1064 +tp12734 +a(g826 +V\u000a +p12735 +tp12736 +a(g705 +g1040 +tp12737 +a(g681 +Vdeclare +p12738 +tp12739 +a(g826 +g1044 +tp12740 +a(g705 +g1040 +tp12741 +a(g440 +Vobsolete +p12742 +tp12743 +a(g826 +g1044 +tp12744 +a(g573 +Vmake-hash-table +p12745 +tp12746 +a(g826 +g1044 +tp12747 +a(g89 +g1068 +tp12748 +a(g89 +V22.1 +p12749 +tp12750 +a(g89 +g1068 +tp12751 +a(g705 +g1064 +tp12752 +a(g705 +g1064 +tp12753 +a(g826 +V\u000a +p12754 +tp12755 +a(g705 +g1040 +tp12756 +a(g573 +Vmake-hash-table +p12757 +tp12758 +a(g826 +g1044 +tp12759 +a(g681 +V:test +p12760 +tp12761 +a(g826 +g1044 +tp12762 +a(g705 +g1040 +tp12763 +a(g744 +Vor +p12764 +tp12765 +a(g826 +g1044 +tp12766 +a(g440 +Vtest +p12767 +tp12768 +a(g826 +g1044 +tp12769 +a(g117 +V'eql +p12770 +tp12771 +a(g705 +g1064 +tp12772 +a(g705 +g1064 +tp12773 +a(g705 +g1064 +tp12774 +a(g826 +V\u000a\u000a +p12775 +tp12776 +a(g705 +g1040 +tp12777 +a(g681 +Vdefun +p12778 +tp12779 +a(g826 +g1044 +tp12780 +a(g440 +Vlog10 +p12781 +tp12782 +a(g826 +g1044 +tp12783 +a(g705 +g1040 +tp12784 +a(g440 +g1971 +tp12785 +a(g705 +g1064 +tp12786 +a(g826 +V\u000a +p12787 +tp12788 +a(g89 +g1068 +tp12789 +a(g89 +VReturn (log X 10), the log base 10 of X. +p12790 +tp12791 +a(g89 +g1068 +tp12792 +a(g826 +V\u000a +p12793 +tp12794 +a(g705 +g1040 +tp12795 +a(g681 +Vdeclare +p12796 +tp12797 +a(g826 +g1044 +tp12798 +a(g705 +g1040 +tp12799 +a(g440 +Vobsolete +p12800 +tp12801 +a(g826 +g1044 +tp12802 +a(g573 +Vlog +p12803 +tp12804 +a(g826 +g1044 +tp12805 +a(g89 +g1068 +tp12806 +a(g89 +V24.4 +p12807 +tp12808 +a(g89 +g1068 +tp12809 +a(g705 +g1064 +tp12810 +a(g705 +g1064 +tp12811 +a(g826 +V\u000a +p12812 +tp12813 +a(g705 +g1040 +tp12814 +a(g573 +Vlog +p12815 +tp12816 +a(g826 +g1044 +tp12817 +a(g440 +g1971 +tp12818 +a(g826 +g1044 +tp12819 +a(g37 +V10 +p12820 +tp12821 +a(g705 +g1064 +tp12822 +a(g705 +g1064 +tp12823 +a(g826 +V\u000a\u000a +p12824 +tp12825 +a(g8 +V;; These are used by VM and some old programs +p12826 +tp12827 +a(g826 +V\u000a +p12828 +tp12829 +a(g705 +g1040 +tp12830 +a(g681 +Vdefalias +p12831 +tp12832 +a(g826 +g1044 +tp12833 +a(g117 +V'focus-frame +p12834 +tp12835 +a(g826 +g1044 +tp12836 +a(g117 +V'ignore +p12837 +tp12838 +a(g826 +g1044 +tp12839 +a(g89 +g1068 +tp12840 +a(g89 +g1068 +tp12841 +a(g705 +g1064 +tp12842 +a(g826 +V\u000a +p12843 +tp12844 +a(g705 +g1040 +tp12845 +a(g440 +Vmake-obsolete +p12846 +tp12847 +a(g826 +g1044 +tp12848 +a(g117 +V'focus-frame +p12849 +tp12850 +a(g826 +g1044 +tp12851 +a(g89 +g1068 +tp12852 +a(g89 +Vit does nothing. +p12853 +tp12854 +a(g89 +g1068 +tp12855 +a(g826 +g1044 +tp12856 +a(g89 +g1068 +tp12857 +a(g89 +V22.1 +p12858 +tp12859 +a(g89 +g1068 +tp12860 +a(g705 +g1064 +tp12861 +a(g826 +V\u000a +p12862 +tp12863 +a(g705 +g1040 +tp12864 +a(g681 +Vdefalias +p12865 +tp12866 +a(g826 +g1044 +tp12867 +a(g117 +V'unfocus-frame +p12868 +tp12869 +a(g826 +g1044 +tp12870 +a(g117 +V'ignore +p12871 +tp12872 +a(g826 +g1044 +tp12873 +a(g89 +g1068 +tp12874 +a(g89 +g1068 +tp12875 +a(g705 +g1064 +tp12876 +a(g826 +V\u000a +p12877 +tp12878 +a(g705 +g1040 +tp12879 +a(g440 +Vmake-obsolete +p12880 +tp12881 +a(g826 +g1044 +tp12882 +a(g117 +V'unfocus-frame +p12883 +tp12884 +a(g826 +g1044 +tp12885 +a(g89 +g1068 +tp12886 +a(g89 +Vit does nothing. +p12887 +tp12888 +a(g89 +g1068 +tp12889 +a(g826 +g1044 +tp12890 +a(g89 +g1068 +tp12891 +a(g89 +V22.1 +p12892 +tp12893 +a(g89 +g1068 +tp12894 +a(g705 +g1064 +tp12895 +a(g826 +V\u000a +p12896 +tp12897 +a(g705 +g1040 +tp12898 +a(g440 +Vmake-obsolete +p12899 +tp12900 +a(g826 +g1044 +tp12901 +a(g117 +V'make-variable-frame-local +p12902 +tp12903 +a(g826 +V\u000a +p12904 +tp12905 +a(g89 +g1068 +tp12906 +a(g89 +Vexplicitly check for a frame-parameter instead. +p12907 +tp12908 +a(g89 +g1068 +tp12909 +a(g826 +g1044 +tp12910 +a(g89 +g1068 +tp12911 +a(g89 +V22.2 +p12912 +tp12913 +a(g89 +g1068 +tp12914 +a(g705 +g1064 +tp12915 +a(g826 +V\u000a +p12916 +tp12917 +a(g705 +g1040 +tp12918 +a(g440 +Vset-advertised-calling-convention +p12919 +tp12920 +a(g826 +V\u000a +p12921 +tp12922 +a(g117 +V'all-completions +p12923 +tp12924 +a(g826 +g1044 +tp12925 +a(g412 +g10833 +tp12926 +a(g705 +g1040 +tp12927 +a(g573 +Vstring +p12928 +tp12929 +a(g826 +g1044 +tp12930 +a(g440 +Vcollection +p12931 +tp12932 +a(g826 +g1044 +tp12933 +a(g785 +V&optional +p12934 +tp12935 +a(g826 +g1044 +tp12936 +a(g440 +Vpredicate +p12937 +tp12938 +a(g705 +g1064 +tp12939 +a(g826 +g1044 +tp12940 +a(g89 +g1068 +tp12941 +a(g89 +V23.1 +p12942 +tp12943 +a(g89 +g1068 +tp12944 +a(g705 +g1064 +tp12945 +a(g826 +V\u000a +p12946 +tp12947 +a(g705 +g1040 +tp12948 +a(g440 +Vset-advertised-calling-convention +p12949 +tp12950 +a(g826 +g1044 +tp12951 +a(g117 +V'unintern +p12952 +tp12953 +a(g826 +g1044 +tp12954 +a(g412 +g10833 +tp12955 +a(g705 +g1040 +tp12956 +a(g440 +Vname +p12957 +tp12958 +a(g826 +g1044 +tp12959 +a(g440 +Vobarray +p12960 +tp12961 +a(g705 +g1064 +tp12962 +a(g826 +g1044 +tp12963 +a(g89 +g1068 +tp12964 +a(g89 +V23.3 +p12965 +tp12966 +a(g89 +g1068 +tp12967 +a(g705 +g1064 +tp12968 +a(g826 +V\u000a +p12969 +tp12970 +a(g705 +g1040 +tp12971 +a(g440 +Vset-advertised-calling-convention +p12972 +tp12973 +a(g826 +g1044 +tp12974 +a(g117 +V'indirect-function +p12975 +tp12976 +a(g826 +g1044 +tp12977 +a(g412 +g10833 +tp12978 +a(g705 +g1040 +tp12979 +a(g440 +Vobject +p12980 +tp12981 +a(g705 +g1064 +tp12982 +a(g826 +g1044 +tp12983 +a(g89 +g1068 +tp12984 +a(g89 +V25.1 +p12985 +tp12986 +a(g89 +g1068 +tp12987 +a(g705 +g1064 +tp12988 +a(g826 +V\u000a +p12989 +tp12990 +a(g705 +g1040 +tp12991 +a(g440 +Vset-advertised-calling-convention +p12992 +tp12993 +a(g826 +g1044 +tp12994 +a(g117 +V'redirect-frame-focus +p12995 +tp12996 +a(g826 +g1044 +tp12997 +a(g412 +g10833 +tp12998 +a(g705 +g1040 +tp12999 +a(g440 +Vframe +p13000 +tp13001 +a(g826 +g1044 +tp13002 +a(g440 +Vfocus-frame +p13003 +tp13004 +a(g705 +g1064 +tp13005 +a(g826 +g1044 +tp13006 +a(g89 +g1068 +tp13007 +a(g89 +V24.3 +p13008 +tp13009 +a(g89 +g1068 +tp13010 +a(g705 +g1064 +tp13011 +a(g826 +V\u000a +p13012 +tp13013 +a(g705 +g1040 +tp13014 +a(g440 +Vset-advertised-calling-convention +p13015 +tp13016 +a(g826 +g1044 +tp13017 +a(g117 +V'decode-char +p13018 +tp13019 +a(g826 +g1044 +tp13020 +a(g412 +g10833 +tp13021 +a(g705 +g1040 +tp13022 +a(g440 +Vch +p13023 +tp13024 +a(g826 +g1044 +tp13025 +a(g440 +Vcharset +p13026 +tp13027 +a(g705 +g1064 +tp13028 +a(g826 +g1044 +tp13029 +a(g89 +g1068 +tp13030 +a(g89 +V21.4 +p13031 +tp13032 +a(g89 +g1068 +tp13033 +a(g705 +g1064 +tp13034 +a(g826 +V\u000a +p13035 +tp13036 +a(g705 +g1040 +tp13037 +a(g440 +Vset-advertised-calling-convention +p13038 +tp13039 +a(g826 +g1044 +tp13040 +a(g117 +V'encode-char +p13041 +tp13042 +a(g826 +g1044 +tp13043 +a(g412 +g10833 +tp13044 +a(g705 +g1040 +tp13045 +a(g440 +Vch +p13046 +tp13047 +a(g826 +g1044 +tp13048 +a(g440 +Vcharset +p13049 +tp13050 +a(g705 +g1064 +tp13051 +a(g826 +g1044 +tp13052 +a(g89 +g1068 +tp13053 +a(g89 +V21.4 +p13054 +tp13055 +a(g89 +g1068 +tp13056 +a(g705 +g1064 +tp13057 +a(g826 +V\u000a \u000a +p13058 +tp13059 +a(g8 +V;;;; Obsolescence declarations for variables, and aliases. +p13060 +tp13061 +a(g826 +V\u000a\u000a +p13062 +tp13063 +a(g8 +V;; Special "default-FOO" variables which contain the default value of +p13064 +tp13065 +a(g826 +V\u000a +p13066 +tp13067 +a(g8 +V;; the "FOO" variable are nasty. Their implementation is brittle, and +p13068 +tp13069 +a(g826 +V\u000a +p13070 +tp13071 +a(g8 +V;; slows down several unrelated variable operations; furthermore, they +p13072 +tp13073 +a(g826 +V\u000a +p13074 +tp13075 +a(g8 +V;; can lead to really odd behavior if you decide to make them +p13076 +tp13077 +a(g826 +V\u000a +p13078 +tp13079 +a(g8 +V;; buffer-local. +p13080 +tp13081 +a(g826 +V\u000a\u000a +p13082 +tp13083 +a(g8 +V;; Not used at all in Emacs, last time I checked: +p13084 +tp13085 +a(g826 +V\u000a +p13086 +tp13087 +a(g705 +g1040 +tp13088 +a(g440 +Vmake-obsolete-variable +p13089 +tp13090 +a(g826 +g1044 +tp13091 +a(g117 +V'default-mode-line-format +p13092 +tp13093 +a(g826 +g1044 +tp13094 +a(g117 +V'mode-line-format +p13095 +tp13096 +a(g826 +g1044 +tp13097 +a(g89 +g1068 +tp13098 +a(g89 +V23.2 +p13099 +tp13100 +a(g89 +g1068 +tp13101 +a(g705 +g1064 +tp13102 +a(g826 +V\u000a +p13103 +tp13104 +a(g705 +g1040 +tp13105 +a(g440 +Vmake-obsolete-variable +p13106 +tp13107 +a(g826 +g1044 +tp13108 +a(g117 +V'default-header-line-format +p13109 +tp13110 +a(g826 +g1044 +tp13111 +a(g117 +V'header-line-format +p13112 +tp13113 +a(g826 +g1044 +tp13114 +a(g89 +g1068 +tp13115 +a(g89 +V23.2 +p13116 +tp13117 +a(g89 +g1068 +tp13118 +a(g705 +g1064 +tp13119 +a(g826 +V\u000a +p13120 +tp13121 +a(g705 +g1040 +tp13122 +a(g440 +Vmake-obsolete-variable +p13123 +tp13124 +a(g826 +g1044 +tp13125 +a(g117 +V'default-line-spacing +p13126 +tp13127 +a(g826 +g1044 +tp13128 +a(g117 +V'line-spacing +p13129 +tp13130 +a(g826 +g1044 +tp13131 +a(g89 +g1068 +tp13132 +a(g89 +V23.2 +p13133 +tp13134 +a(g89 +g1068 +tp13135 +a(g705 +g1064 +tp13136 +a(g826 +V\u000a +p13137 +tp13138 +a(g705 +g1040 +tp13139 +a(g440 +Vmake-obsolete-variable +p13140 +tp13141 +a(g826 +g1044 +tp13142 +a(g117 +V'default-abbrev-mode +p13143 +tp13144 +a(g826 +g1044 +tp13145 +a(g117 +V'abbrev-mode +p13146 +tp13147 +a(g826 +g1044 +tp13148 +a(g89 +g1068 +tp13149 +a(g89 +V23.2 +p13150 +tp13151 +a(g89 +g1068 +tp13152 +a(g705 +g1064 +tp13153 +a(g826 +V\u000a +p13154 +tp13155 +a(g705 +g1040 +tp13156 +a(g440 +Vmake-obsolete-variable +p13157 +tp13158 +a(g826 +g1044 +tp13159 +a(g117 +V'default-ctl-arrow +p13160 +tp13161 +a(g826 +g1044 +tp13162 +a(g117 +V'ctl-arrow +p13163 +tp13164 +a(g826 +g1044 +tp13165 +a(g89 +g1068 +tp13166 +a(g89 +V23.2 +p13167 +tp13168 +a(g89 +g1068 +tp13169 +a(g705 +g1064 +tp13170 +a(g826 +V\u000a +p13171 +tp13172 +a(g705 +g1040 +tp13173 +a(g440 +Vmake-obsolete-variable +p13174 +tp13175 +a(g826 +g1044 +tp13176 +a(g117 +V'default-truncate-lines +p13177 +tp13178 +a(g826 +g1044 +tp13179 +a(g117 +V'truncate-lines +p13180 +tp13181 +a(g826 +g1044 +tp13182 +a(g89 +g1068 +tp13183 +a(g89 +V23.2 +p13184 +tp13185 +a(g89 +g1068 +tp13186 +a(g705 +g1064 +tp13187 +a(g826 +V\u000a +p13188 +tp13189 +a(g705 +g1040 +tp13190 +a(g440 +Vmake-obsolete-variable +p13191 +tp13192 +a(g826 +g1044 +tp13193 +a(g117 +V'default-left-margin +p13194 +tp13195 +a(g826 +g1044 +tp13196 +a(g117 +V'left-margin +p13197 +tp13198 +a(g826 +g1044 +tp13199 +a(g89 +g1068 +tp13200 +a(g89 +V23.2 +p13201 +tp13202 +a(g89 +g1068 +tp13203 +a(g705 +g1064 +tp13204 +a(g826 +V\u000a +p13205 +tp13206 +a(g705 +g1040 +tp13207 +a(g440 +Vmake-obsolete-variable +p13208 +tp13209 +a(g826 +g1044 +tp13210 +a(g117 +V'default-tab-width +p13211 +tp13212 +a(g826 +g1044 +tp13213 +a(g117 +V'tab-width +p13214 +tp13215 +a(g826 +g1044 +tp13216 +a(g89 +g1068 +tp13217 +a(g89 +V23.2 +p13218 +tp13219 +a(g89 +g1068 +tp13220 +a(g705 +g1064 +tp13221 +a(g826 +V\u000a +p13222 +tp13223 +a(g705 +g1040 +tp13224 +a(g440 +Vmake-obsolete-variable +p13225 +tp13226 +a(g826 +g1044 +tp13227 +a(g117 +V'default-case-fold-search +p13228 +tp13229 +a(g826 +g1044 +tp13230 +a(g117 +V'case-fold-search +p13231 +tp13232 +a(g826 +g1044 +tp13233 +a(g89 +g1068 +tp13234 +a(g89 +V23.2 +p13235 +tp13236 +a(g89 +g1068 +tp13237 +a(g705 +g1064 +tp13238 +a(g826 +V\u000a +p13239 +tp13240 +a(g705 +g1040 +tp13241 +a(g440 +Vmake-obsolete-variable +p13242 +tp13243 +a(g826 +g1044 +tp13244 +a(g117 +V'default-left-margin-width +p13245 +tp13246 +a(g826 +g1044 +tp13247 +a(g117 +V'left-margin-width +p13248 +tp13249 +a(g826 +g1044 +tp13250 +a(g89 +g1068 +tp13251 +a(g89 +V23.2 +p13252 +tp13253 +a(g89 +g1068 +tp13254 +a(g705 +g1064 +tp13255 +a(g826 +V\u000a +p13256 +tp13257 +a(g705 +g1040 +tp13258 +a(g440 +Vmake-obsolete-variable +p13259 +tp13260 +a(g826 +g1044 +tp13261 +a(g117 +V'default-right-margin-width +p13262 +tp13263 +a(g826 +g1044 +tp13264 +a(g117 +V'right-margin-width +p13265 +tp13266 +a(g826 +g1044 +tp13267 +a(g89 +g1068 +tp13268 +a(g89 +V23.2 +p13269 +tp13270 +a(g89 +g1068 +tp13271 +a(g705 +g1064 +tp13272 +a(g826 +V\u000a +p13273 +tp13274 +a(g705 +g1040 +tp13275 +a(g440 +Vmake-obsolete-variable +p13276 +tp13277 +a(g826 +g1044 +tp13278 +a(g117 +V'default-left-fringe-width +p13279 +tp13280 +a(g826 +g1044 +tp13281 +a(g117 +V'left-fringe-width +p13282 +tp13283 +a(g826 +g1044 +tp13284 +a(g89 +g1068 +tp13285 +a(g89 +V23.2 +p13286 +tp13287 +a(g89 +g1068 +tp13288 +a(g705 +g1064 +tp13289 +a(g826 +V\u000a +p13290 +tp13291 +a(g705 +g1040 +tp13292 +a(g440 +Vmake-obsolete-variable +p13293 +tp13294 +a(g826 +g1044 +tp13295 +a(g117 +V'default-right-fringe-width +p13296 +tp13297 +a(g826 +g1044 +tp13298 +a(g117 +V'right-fringe-width +p13299 +tp13300 +a(g826 +g1044 +tp13301 +a(g89 +g1068 +tp13302 +a(g89 +V23.2 +p13303 +tp13304 +a(g89 +g1068 +tp13305 +a(g705 +g1064 +tp13306 +a(g826 +V\u000a +p13307 +tp13308 +a(g705 +g1040 +tp13309 +a(g440 +Vmake-obsolete-variable +p13310 +tp13311 +a(g826 +g1044 +tp13312 +a(g117 +V'default-fringes-outside-margins +p13313 +tp13314 +a(g826 +g1044 +tp13315 +a(g117 +V'fringes-outside-margins +p13316 +tp13317 +a(g826 +g1044 +tp13318 +a(g89 +g1068 +tp13319 +a(g89 +V23.2 +p13320 +tp13321 +a(g89 +g1068 +tp13322 +a(g705 +g1064 +tp13323 +a(g826 +V\u000a +p13324 +tp13325 +a(g705 +g1040 +tp13326 +a(g440 +Vmake-obsolete-variable +p13327 +tp13328 +a(g826 +g1044 +tp13329 +a(g117 +V'default-scroll-bar-width +p13330 +tp13331 +a(g826 +g1044 +tp13332 +a(g117 +V'scroll-bar-width +p13333 +tp13334 +a(g826 +g1044 +tp13335 +a(g89 +g1068 +tp13336 +a(g89 +V23.2 +p13337 +tp13338 +a(g89 +g1068 +tp13339 +a(g705 +g1064 +tp13340 +a(g826 +V\u000a +p13341 +tp13342 +a(g705 +g1040 +tp13343 +a(g440 +Vmake-obsolete-variable +p13344 +tp13345 +a(g826 +g1044 +tp13346 +a(g117 +V'default-vertical-scroll-bar +p13347 +tp13348 +a(g826 +g1044 +tp13349 +a(g117 +V'vertical-scroll-bar +p13350 +tp13351 +a(g826 +g1044 +tp13352 +a(g89 +g1068 +tp13353 +a(g89 +V23.2 +p13354 +tp13355 +a(g89 +g1068 +tp13356 +a(g705 +g1064 +tp13357 +a(g826 +V\u000a +p13358 +tp13359 +a(g705 +g1040 +tp13360 +a(g440 +Vmake-obsolete-variable +p13361 +tp13362 +a(g826 +g1044 +tp13363 +a(g117 +V'default-indicate-empty-lines +p13364 +tp13365 +a(g826 +g1044 +tp13366 +a(g117 +V'indicate-empty-lines +p13367 +tp13368 +a(g826 +g1044 +tp13369 +a(g89 +g1068 +tp13370 +a(g89 +V23.2 +p13371 +tp13372 +a(g89 +g1068 +tp13373 +a(g705 +g1064 +tp13374 +a(g826 +V\u000a +p13375 +tp13376 +a(g705 +g1040 +tp13377 +a(g440 +Vmake-obsolete-variable +p13378 +tp13379 +a(g826 +g1044 +tp13380 +a(g117 +V'default-indicate-buffer-boundaries +p13381 +tp13382 +a(g826 +g1044 +tp13383 +a(g117 +V'indicate-buffer-boundaries +p13384 +tp13385 +a(g826 +g1044 +tp13386 +a(g89 +g1068 +tp13387 +a(g89 +V23.2 +p13388 +tp13389 +a(g89 +g1068 +tp13390 +a(g705 +g1064 +tp13391 +a(g826 +V\u000a +p13392 +tp13393 +a(g705 +g1040 +tp13394 +a(g440 +Vmake-obsolete-variable +p13395 +tp13396 +a(g826 +g1044 +tp13397 +a(g117 +V'default-fringe-indicator-alist +p13398 +tp13399 +a(g826 +g1044 +tp13400 +a(g117 +V'fringe-indicator-alist +p13401 +tp13402 +a(g826 +g1044 +tp13403 +a(g89 +g1068 +tp13404 +a(g89 +V23.2 +p13405 +tp13406 +a(g89 +g1068 +tp13407 +a(g705 +g1064 +tp13408 +a(g826 +V\u000a +p13409 +tp13410 +a(g705 +g1040 +tp13411 +a(g440 +Vmake-obsolete-variable +p13412 +tp13413 +a(g826 +g1044 +tp13414 +a(g117 +V'default-fringe-cursor-alist +p13415 +tp13416 +a(g826 +g1044 +tp13417 +a(g117 +V'fringe-cursor-alist +p13418 +tp13419 +a(g826 +g1044 +tp13420 +a(g89 +g1068 +tp13421 +a(g89 +V23.2 +p13422 +tp13423 +a(g89 +g1068 +tp13424 +a(g705 +g1064 +tp13425 +a(g826 +V\u000a +p13426 +tp13427 +a(g705 +g1040 +tp13428 +a(g440 +Vmake-obsolete-variable +p13429 +tp13430 +a(g826 +g1044 +tp13431 +a(g117 +V'default-scroll-up-aggressively +p13432 +tp13433 +a(g826 +g1044 +tp13434 +a(g117 +V'scroll-up-aggressively +p13435 +tp13436 +a(g826 +g1044 +tp13437 +a(g89 +g1068 +tp13438 +a(g89 +V23.2 +p13439 +tp13440 +a(g89 +g1068 +tp13441 +a(g705 +g1064 +tp13442 +a(g826 +V\u000a +p13443 +tp13444 +a(g705 +g1040 +tp13445 +a(g440 +Vmake-obsolete-variable +p13446 +tp13447 +a(g826 +g1044 +tp13448 +a(g117 +V'default-scroll-down-aggressively +p13449 +tp13450 +a(g826 +g1044 +tp13451 +a(g117 +V'scroll-down-aggressively +p13452 +tp13453 +a(g826 +g1044 +tp13454 +a(g89 +g1068 +tp13455 +a(g89 +V23.2 +p13456 +tp13457 +a(g89 +g1068 +tp13458 +a(g705 +g1064 +tp13459 +a(g826 +V\u000a +p13460 +tp13461 +a(g705 +g1040 +tp13462 +a(g440 +Vmake-obsolete-variable +p13463 +tp13464 +a(g826 +g1044 +tp13465 +a(g117 +V'default-fill-column +p13466 +tp13467 +a(g826 +g1044 +tp13468 +a(g117 +V'fill-column +p13469 +tp13470 +a(g826 +g1044 +tp13471 +a(g89 +g1068 +tp13472 +a(g89 +V23.2 +p13473 +tp13474 +a(g89 +g1068 +tp13475 +a(g705 +g1064 +tp13476 +a(g826 +V\u000a +p13477 +tp13478 +a(g705 +g1040 +tp13479 +a(g440 +Vmake-obsolete-variable +p13480 +tp13481 +a(g826 +g1044 +tp13482 +a(g117 +V'default-cursor-type +p13483 +tp13484 +a(g826 +g1044 +tp13485 +a(g117 +V'cursor-type +p13486 +tp13487 +a(g826 +g1044 +tp13488 +a(g89 +g1068 +tp13489 +a(g89 +V23.2 +p13490 +tp13491 +a(g89 +g1068 +tp13492 +a(g705 +g1064 +tp13493 +a(g826 +V\u000a +p13494 +tp13495 +a(g705 +g1040 +tp13496 +a(g440 +Vmake-obsolete-variable +p13497 +tp13498 +a(g826 +g1044 +tp13499 +a(g117 +V'default-cursor-in-non-selected-windows +p13500 +tp13501 +a(g826 +g1044 +tp13502 +a(g117 +V'cursor-in-non-selected-windows +p13503 +tp13504 +a(g826 +g1044 +tp13505 +a(g89 +g1068 +tp13506 +a(g89 +V23.2 +p13507 +tp13508 +a(g89 +g1068 +tp13509 +a(g705 +g1064 +tp13510 +a(g826 +V\u000a +p13511 +tp13512 +a(g705 +g1040 +tp13513 +a(g440 +Vmake-obsolete-variable +p13514 +tp13515 +a(g826 +g1044 +tp13516 +a(g117 +V'default-buffer-file-coding-system +p13517 +tp13518 +a(g826 +g1044 +tp13519 +a(g117 +V'buffer-file-coding-system +p13520 +tp13521 +a(g826 +g1044 +tp13522 +a(g89 +g1068 +tp13523 +a(g89 +V23.2 +p13524 +tp13525 +a(g89 +g1068 +tp13526 +a(g705 +g1064 +tp13527 +a(g826 +V\u000a +p13528 +tp13529 +a(g705 +g1040 +tp13530 +a(g440 +Vmake-obsolete-variable +p13531 +tp13532 +a(g826 +g1044 +tp13533 +a(g117 +V'default-major-mode +p13534 +tp13535 +a(g826 +g1044 +tp13536 +a(g117 +V'major-mode +p13537 +tp13538 +a(g826 +g1044 +tp13539 +a(g89 +g1068 +tp13540 +a(g89 +V23.2 +p13541 +tp13542 +a(g89 +g1068 +tp13543 +a(g705 +g1064 +tp13544 +a(g826 +V\u000a +p13545 +tp13546 +a(g705 +g1040 +tp13547 +a(g440 +Vmake-obsolete-variable +p13548 +tp13549 +a(g826 +g1044 +tp13550 +a(g117 +V'default-enable-multibyte-characters +p13551 +tp13552 +a(g826 +V\u000a +p13553 +tp13554 +a(g89 +g1068 +tp13555 +a(g89 +Vuse enable-multibyte-characters or set-buffer-multibyte instead +p13556 +tp13557 +a(g89 +g1068 +tp13558 +a(g826 +g1044 +tp13559 +a(g89 +g1068 +tp13560 +a(g89 +V23.2 +p13561 +tp13562 +a(g89 +g1068 +tp13563 +a(g705 +g1064 +tp13564 +a(g826 +V\u000a\u000a +p13565 +tp13566 +a(g705 +g1040 +tp13567 +a(g440 +Vmake-obsolete-variable +p13568 +tp13569 +a(g826 +g1044 +tp13570 +a(g117 +V'define-key-rebound-commands +p13571 +tp13572 +a(g826 +g1044 +tp13573 +a(g565 +Vnil +p13574 +tp13575 +a(g826 +g1044 +tp13576 +a(g89 +g1068 +tp13577 +a(g89 +V23.2 +p13578 +tp13579 +a(g89 +g1068 +tp13580 +a(g705 +g1064 +tp13581 +a(g826 +V\u000a +p13582 +tp13583 +a(g705 +g1040 +tp13584 +a(g440 +Vmake-obsolete-variable +p13585 +tp13586 +a(g826 +g1044 +tp13587 +a(g117 +V'redisplay-end-trigger-functions +p13588 +tp13589 +a(g826 +g1044 +tp13590 +a(g117 +V'jit-lock-register +p13591 +tp13592 +a(g826 +g1044 +tp13593 +a(g89 +g1068 +tp13594 +a(g89 +V23.1 +p13595 +tp13596 +a(g89 +g1068 +tp13597 +a(g705 +g1064 +tp13598 +a(g826 +V\u000a +p13599 +tp13600 +a(g705 +g1040 +tp13601 +a(g440 +Vmake-obsolete-variable +p13602 +tp13603 +a(g826 +g1044 +tp13604 +a(g117 +V'deferred-action-list +p13605 +tp13606 +a(g826 +g1044 +tp13607 +a(g117 +V'post-command-hook +p13608 +tp13609 +a(g826 +g1044 +tp13610 +a(g89 +g1068 +tp13611 +a(g89 +V24.1 +p13612 +tp13613 +a(g89 +g1068 +tp13614 +a(g705 +g1064 +tp13615 +a(g826 +V\u000a +p13616 +tp13617 +a(g705 +g1040 +tp13618 +a(g440 +Vmake-obsolete-variable +p13619 +tp13620 +a(g826 +g1044 +tp13621 +a(g117 +V'deferred-action-function +p13622 +tp13623 +a(g826 +g1044 +tp13624 +a(g117 +V'post-command-hook +p13625 +tp13626 +a(g826 +g1044 +tp13627 +a(g89 +g1068 +tp13628 +a(g89 +V24.1 +p13629 +tp13630 +a(g89 +g1068 +tp13631 +a(g705 +g1064 +tp13632 +a(g826 +V\u000a +p13633 +tp13634 +a(g705 +g1040 +tp13635 +a(g440 +Vmake-obsolete-variable +p13636 +tp13637 +a(g826 +g1044 +tp13638 +a(g117 +V'redisplay-dont-pause +p13639 +tp13640 +a(g826 +g1044 +tp13641 +a(g565 +Vnil +p13642 +tp13643 +a(g826 +g1044 +tp13644 +a(g89 +g1068 +tp13645 +a(g89 +V24.5 +p13646 +tp13647 +a(g89 +g1068 +tp13648 +a(g705 +g1064 +tp13649 +a(g826 +V\u000a +p13650 +tp13651 +a(g705 +g1040 +tp13652 +a(g440 +Vmake-obsolete +p13653 +tp13654 +a(g826 +g1044 +tp13655 +a(g117 +V'window-redisplay-end-trigger +p13656 +tp13657 +a(g826 +g1044 +tp13658 +a(g565 +Vnil +p13659 +tp13660 +a(g826 +g1044 +tp13661 +a(g89 +g1068 +tp13662 +a(g89 +V23.1 +p13663 +tp13664 +a(g89 +g1068 +tp13665 +a(g705 +g1064 +tp13666 +a(g826 +V\u000a +p13667 +tp13668 +a(g705 +g1040 +tp13669 +a(g440 +Vmake-obsolete +p13670 +tp13671 +a(g826 +g1044 +tp13672 +a(g117 +V'set-window-redisplay-end-trigger +p13673 +tp13674 +a(g826 +g1044 +tp13675 +a(g565 +Vnil +p13676 +tp13677 +a(g826 +g1044 +tp13678 +a(g89 +g1068 +tp13679 +a(g89 +V23.1 +p13680 +tp13681 +a(g89 +g1068 +tp13682 +a(g705 +g1064 +tp13683 +a(g826 +V\u000a\u000a +p13684 +tp13685 +a(g705 +g1040 +tp13686 +a(g440 +Vmake-obsolete +p13687 +tp13688 +a(g826 +g1044 +tp13689 +a(g117 +V'process-filter-multibyte-p +p13690 +tp13691 +a(g826 +g1044 +tp13692 +a(g565 +Vnil +p13693 +tp13694 +a(g826 +g1044 +tp13695 +a(g89 +g1068 +tp13696 +a(g89 +V23.1 +p13697 +tp13698 +a(g89 +g1068 +tp13699 +a(g705 +g1064 +tp13700 +a(g826 +V\u000a +p13701 +tp13702 +a(g705 +g1040 +tp13703 +a(g440 +Vmake-obsolete +p13704 +tp13705 +a(g826 +g1044 +tp13706 +a(g117 +V'set-process-filter-multibyte +p13707 +tp13708 +a(g826 +g1044 +tp13709 +a(g565 +Vnil +p13710 +tp13711 +a(g826 +g1044 +tp13712 +a(g89 +g1068 +tp13713 +a(g89 +V23.1 +p13714 +tp13715 +a(g89 +g1068 +tp13716 +a(g705 +g1064 +tp13717 +a(g826 +V\u000a\u000a +p13718 +tp13719 +a(g8 +V;; Lisp manual only updated in 22.1. +p13720 +tp13721 +a(g826 +V\u000a +p13722 +tp13723 +a(g705 +g1040 +tp13724 +a(g681 +Vdefine-obsolete-variable-alias +p13725 +tp13726 +a(g826 +g1044 +tp13727 +a(g117 +V'executing-macro +p13728 +tp13729 +a(g826 +g1044 +tp13730 +a(g117 +V'executing-kbd-macro +p13731 +tp13732 +a(g826 +V\u000a +p13733 +tp13734 +a(g89 +g1068 +tp13735 +a(g89 +Vbefore 19.34 +p13736 +tp13737 +a(g89 +g1068 +tp13738 +a(g705 +g1064 +tp13739 +a(g826 +V\u000a\u000a +p13740 +tp13741 +a(g705 +g1040 +tp13742 +a(g681 +Vdefine-obsolete-variable-alias +p13743 +tp13744 +a(g826 +g1044 +tp13745 +a(g117 +V'x-lost-selection-hooks +p13746 +tp13747 +a(g826 +V\u000a +p13748 +tp13749 +a(g117 +V'x-lost-selection-functions +p13750 +tp13751 +a(g826 +g1044 +tp13752 +a(g89 +g1068 +tp13753 +a(g89 +V22.1 +p13754 +tp13755 +a(g89 +g1068 +tp13756 +a(g705 +g1064 +tp13757 +a(g826 +V\u000a +p13758 +tp13759 +a(g705 +g1040 +tp13760 +a(g681 +Vdefine-obsolete-variable-alias +p13761 +tp13762 +a(g826 +g1044 +tp13763 +a(g117 +V'x-sent-selection-hooks +p13764 +tp13765 +a(g826 +V\u000a +p13766 +tp13767 +a(g117 +V'x-sent-selection-functions +p13768 +tp13769 +a(g826 +g1044 +tp13770 +a(g89 +g1068 +tp13771 +a(g89 +V22.1 +p13772 +tp13773 +a(g89 +g1068 +tp13774 +a(g705 +g1064 +tp13775 +a(g826 +V\u000a\u000a +p13776 +tp13777 +a(g8 +V;; This was introduced in 21.4 for pre-unicode unification. That +p13778 +tp13779 +a(g826 +V\u000a +p13780 +tp13781 +a(g8 +V;; usage was rendered obsolete in 23.1 which uses Unicode internally. +p13782 +tp13783 +a(g826 +V\u000a +p13784 +tp13785 +a(g8 +V;; Other uses are possible, so this variable is not _really_ obsolete, +p13786 +tp13787 +a(g826 +V\u000a +p13788 +tp13789 +a(g8 +V;; but Stefan insists to mark it so. +p13790 +tp13791 +a(g826 +V\u000a +p13792 +tp13793 +a(g705 +g1040 +tp13794 +a(g440 +Vmake-obsolete-variable +p13795 +tp13796 +a(g826 +g1044 +tp13797 +a(g117 +V'translation-table-for-input +p13798 +tp13799 +a(g826 +g1044 +tp13800 +a(g565 +Vnil +p13801 +tp13802 +a(g826 +g1044 +tp13803 +a(g89 +g1068 +tp13804 +a(g89 +V23.1 +p13805 +tp13806 +a(g89 +g1068 +tp13807 +a(g705 +g1064 +tp13808 +a(g826 +V\u000a\u000a +p13809 +tp13810 +a(g705 +g1040 +tp13811 +a(g681 +Vdefvaralias +p13812 +tp13813 +a(g826 +g1044 +tp13814 +a(g117 +V'messages-buffer-max-lines +p13815 +tp13816 +a(g826 +g1044 +tp13817 +a(g117 +V'message-log-max +p13818 +tp13819 +a(g705 +g1064 +tp13820 +a(g826 +V\u000a \u000a +p13821 +tp13822 +a(g8 +V;;;; Alternate names for functions - these are not being phased out. +p13823 +tp13824 +a(g826 +V\u000a\u000a +p13825 +tp13826 +a(g705 +g1040 +tp13827 +a(g681 +Vdefalias +p13828 +tp13829 +a(g826 +g1044 +tp13830 +a(g117 +V'send-string +p13831 +tp13832 +a(g826 +g1044 +tp13833 +a(g117 +V'process-send-string +p13834 +tp13835 +a(g705 +g1064 +tp13836 +a(g826 +V\u000a +p13837 +tp13838 +a(g705 +g1040 +tp13839 +a(g681 +Vdefalias +p13840 +tp13841 +a(g826 +g1044 +tp13842 +a(g117 +V'send-region +p13843 +tp13844 +a(g826 +g1044 +tp13845 +a(g117 +V'process-send-region +p13846 +tp13847 +a(g705 +g1064 +tp13848 +a(g826 +V\u000a +p13849 +tp13850 +a(g705 +g1040 +tp13851 +a(g681 +Vdefalias +p13852 +tp13853 +a(g826 +g1044 +tp13854 +a(g117 +V'string= +p13855 +tp13856 +a(g826 +g1044 +tp13857 +a(g117 +V'string-equal +p13858 +tp13859 +a(g705 +g1064 +tp13860 +a(g826 +V\u000a +p13861 +tp13862 +a(g705 +g1040 +tp13863 +a(g681 +Vdefalias +p13864 +tp13865 +a(g826 +g1044 +tp13866 +a(g117 +V'string< +p13867 +tp13868 +a(g826 +g1044 +tp13869 +a(g117 +V'string-lessp +p13870 +tp13871 +a(g705 +g1064 +tp13872 +a(g826 +V\u000a +p13873 +tp13874 +a(g705 +g1040 +tp13875 +a(g681 +Vdefalias +p13876 +tp13877 +a(g826 +g1044 +tp13878 +a(g117 +V'move-marker +p13879 +tp13880 +a(g826 +g1044 +tp13881 +a(g117 +V'set-marker +p13882 +tp13883 +a(g705 +g1064 +tp13884 +a(g826 +V\u000a +p13885 +tp13886 +a(g705 +g1040 +tp13887 +a(g681 +Vdefalias +p13888 +tp13889 +a(g826 +g1044 +tp13890 +a(g117 +V'rplaca +p13891 +tp13892 +a(g826 +g1044 +tp13893 +a(g117 +V'setcar +p13894 +tp13895 +a(g705 +g1064 +tp13896 +a(g826 +V\u000a +p13897 +tp13898 +a(g705 +g1040 +tp13899 +a(g681 +Vdefalias +p13900 +tp13901 +a(g826 +g1044 +tp13902 +a(g117 +V'rplacd +p13903 +tp13904 +a(g826 +g1044 +tp13905 +a(g117 +V'setcdr +p13906 +tp13907 +a(g705 +g1064 +tp13908 +a(g826 +V\u000a +p13909 +tp13910 +a(g705 +g1040 +tp13911 +a(g681 +Vdefalias +p13912 +tp13913 +a(g826 +g1044 +tp13914 +a(g117 +V'beep +p13915 +tp13916 +a(g826 +g1044 +tp13917 +a(g117 +V'ding +p13918 +tp13919 +a(g705 +g1064 +tp13920 +a(g826 +g1044 +tp13921 +a(g8 +V;preserve lingual purity +p13922 +tp13923 +a(g826 +V\u000a +p13924 +tp13925 +a(g705 +g1040 +tp13926 +a(g681 +Vdefalias +p13927 +tp13928 +a(g826 +g1044 +tp13929 +a(g117 +V'indent-to-column +p13930 +tp13931 +a(g826 +g1044 +tp13932 +a(g117 +V'indent-to +p13933 +tp13934 +a(g705 +g1064 +tp13935 +a(g826 +V\u000a +p13936 +tp13937 +a(g705 +g1040 +tp13938 +a(g681 +Vdefalias +p13939 +tp13940 +a(g826 +g1044 +tp13941 +a(g117 +V'backward-delete-char +p13942 +tp13943 +a(g826 +g1044 +tp13944 +a(g117 +V'delete-backward-char +p13945 +tp13946 +a(g705 +g1064 +tp13947 +a(g826 +V\u000a +p13948 +tp13949 +a(g705 +g1040 +tp13950 +a(g681 +Vdefalias +p13951 +tp13952 +a(g826 +g1044 +tp13953 +a(g117 +V'search-forward-regexp +p13954 +tp13955 +a(g826 +g1044 +tp13956 +a(g705 +g1040 +tp13957 +a(g573 +Vsymbol-function +p13958 +tp13959 +a(g826 +g1044 +tp13960 +a(g117 +V're-search-forward +p13961 +tp13962 +a(g705 +g1064 +tp13963 +a(g705 +g1064 +tp13964 +a(g826 +V\u000a +p13965 +tp13966 +a(g705 +g1040 +tp13967 +a(g681 +Vdefalias +p13968 +tp13969 +a(g826 +g1044 +tp13970 +a(g117 +V'search-backward-regexp +p13971 +tp13972 +a(g826 +g1044 +tp13973 +a(g705 +g1040 +tp13974 +a(g573 +Vsymbol-function +p13975 +tp13976 +a(g826 +g1044 +tp13977 +a(g117 +V're-search-backward +p13978 +tp13979 +a(g705 +g1064 +tp13980 +a(g705 +g1064 +tp13981 +a(g826 +V\u000a +p13982 +tp13983 +a(g705 +g1040 +tp13984 +a(g681 +Vdefalias +p13985 +tp13986 +a(g826 +g1044 +tp13987 +a(g117 +V'int-to-string +p13988 +tp13989 +a(g826 +g1044 +tp13990 +a(g117 +V'number-to-string +p13991 +tp13992 +a(g705 +g1064 +tp13993 +a(g826 +V\u000a +p13994 +tp13995 +a(g705 +g1040 +tp13996 +a(g681 +Vdefalias +p13997 +tp13998 +a(g826 +g1044 +tp13999 +a(g117 +V'store-match-data +p14000 +tp14001 +a(g826 +g1044 +tp14002 +a(g117 +V'set-match-data +p14003 +tp14004 +a(g705 +g1064 +tp14005 +a(g826 +V\u000a +p14006 +tp14007 +a(g705 +g1040 +tp14008 +a(g681 +Vdefalias +p14009 +tp14010 +a(g826 +g1044 +tp14011 +a(g117 +V'chmod +p14012 +tp14013 +a(g826 +g1044 +tp14014 +a(g117 +V'set-file-modes +p14015 +tp14016 +a(g705 +g1064 +tp14017 +a(g826 +V\u000a +p14018 +tp14019 +a(g705 +g1040 +tp14020 +a(g681 +Vdefalias +p14021 +tp14022 +a(g826 +g1044 +tp14023 +a(g117 +V'mkdir +p14024 +tp14025 +a(g826 +g1044 +tp14026 +a(g117 +V'make-directory +p14027 +tp14028 +a(g705 +g1064 +tp14029 +a(g826 +V\u000a +p14030 +tp14031 +a(g8 +V;; These are the XEmacs names: +p14032 +tp14033 +a(g826 +V\u000a +p14034 +tp14035 +a(g705 +g1040 +tp14036 +a(g681 +Vdefalias +p14037 +tp14038 +a(g826 +g1044 +tp14039 +a(g117 +V'point-at-eol +p14040 +tp14041 +a(g826 +g1044 +tp14042 +a(g117 +V'line-end-position +p14043 +tp14044 +a(g705 +g1064 +tp14045 +a(g826 +V\u000a +p14046 +tp14047 +a(g705 +g1040 +tp14048 +a(g681 +Vdefalias +p14049 +tp14050 +a(g826 +g1044 +tp14051 +a(g117 +V'point-at-bol +p14052 +tp14053 +a(g826 +g1044 +tp14054 +a(g117 +V'line-beginning-position +p14055 +tp14056 +a(g705 +g1064 +tp14057 +a(g826 +V\u000a\u000a +p14058 +tp14059 +a(g705 +g1040 +tp14060 +a(g681 +Vdefalias +p14061 +tp14062 +a(g826 +g1044 +tp14063 +a(g117 +V'user-original-login-name +p14064 +tp14065 +a(g826 +g1044 +tp14066 +a(g117 +V'user-login-name +p14067 +tp14068 +a(g705 +g1064 +tp14069 +a(g826 +V\u000a\u000a \u000a +p14070 +tp14071 +a(g8 +V;;;; Hook manipulation functions. +p14072 +tp14073 +a(g826 +V\u000a\u000a +p14074 +tp14075 +a(g705 +g1040 +tp14076 +a(g681 +Vdefun +p14077 +tp14078 +a(g826 +g1044 +tp14079 +a(g440 +Vadd-hook +p14080 +tp14081 +a(g826 +g1044 +tp14082 +a(g705 +g1040 +tp14083 +a(g440 +Vhook +p14084 +tp14085 +a(g826 +g1044 +tp14086 +a(g744 +Vfunction +p14087 +tp14088 +a(g826 +g1044 +tp14089 +a(g785 +V&optional +p14090 +tp14091 +a(g826 +g1044 +tp14092 +a(g573 +Vappend +p14093 +tp14094 +a(g826 +g1044 +tp14095 +a(g440 +Vlocal +p14096 +tp14097 +a(g705 +g1064 +tp14098 +a(g826 +V\u000a +p14099 +tp14100 +a(g89 +g1068 +tp14101 +a(g89 +VAdd to the value of HOOK the function FUNCTION.\u000aFUNCTION is not added if already present.\u000aFUNCTION is added (if necessary) at the beginning of the hook list\u000aunless the optional argument APPEND is non-nil, in which case\u000aFUNCTION is added at the end.\u000a\u000aThe optional fourth argument, LOCAL, if non-nil, says to modify\u000athe hook's buffer-local value rather than its global value.\u000aThis makes the hook buffer-local, and it makes t a member of the\u000abuffer-local value. That acts as a flag to run the hook\u000afunctions of the global value as well as in the local value.\u000a\u000aHOOK should be a symbol, and FUNCTION may be any valid function. If\u000aHOOK is void, it is first set to nil. If HOOK's value is a single\u000afunction, it is changed to a list of functions. +p14102 +tp14103 +a(g89 +g1068 +tp14104 +a(g826 +V\u000a +p14105 +tp14106 +a(g705 +g1040 +tp14107 +a(g744 +Vor +p14108 +tp14109 +a(g826 +g1044 +tp14110 +a(g705 +g1040 +tp14111 +a(g573 +Vboundp +p14112 +tp14113 +a(g826 +g1044 +tp14114 +a(g440 +Vhook +p14115 +tp14116 +a(g705 +g1064 +tp14117 +a(g826 +g1044 +tp14118 +a(g705 +g1040 +tp14119 +a(g573 +Vset +p14120 +tp14121 +a(g826 +g1044 +tp14122 +a(g440 +Vhook +p14123 +tp14124 +a(g826 +g1044 +tp14125 +a(g565 +Vnil +p14126 +tp14127 +a(g705 +g1064 +tp14128 +a(g705 +g1064 +tp14129 +a(g826 +V\u000a +p14130 +tp14131 +a(g705 +g1040 +tp14132 +a(g744 +Vor +p14133 +tp14134 +a(g826 +g1044 +tp14135 +a(g705 +g1040 +tp14136 +a(g573 +Vdefault-boundp +p14137 +tp14138 +a(g826 +g1044 +tp14139 +a(g440 +Vhook +p14140 +tp14141 +a(g705 +g1064 +tp14142 +a(g826 +g1044 +tp14143 +a(g705 +g1040 +tp14144 +a(g573 +Vset-default +p14145 +tp14146 +a(g826 +g1044 +tp14147 +a(g440 +Vhook +p14148 +tp14149 +a(g826 +g1044 +tp14150 +a(g565 +Vnil +p14151 +tp14152 +a(g705 +g1064 +tp14153 +a(g705 +g1064 +tp14154 +a(g826 +V\u000a +p14155 +tp14156 +a(g705 +g1040 +tp14157 +a(g744 +Vif +p14158 +tp14159 +a(g826 +g1044 +tp14160 +a(g440 +Vlocal +p14161 +tp14162 +a(g826 +g1044 +tp14163 +a(g705 +g1040 +tp14164 +a(g681 +Vunless +p14165 +tp14166 +a(g826 +g1044 +tp14167 +a(g705 +g1040 +tp14168 +a(g573 +Vlocal-variable-if-set-p +p14169 +tp14170 +a(g826 +g1044 +tp14171 +a(g440 +Vhook +p14172 +tp14173 +a(g705 +g1064 +tp14174 +a(g826 +V\u000a +p14175 +tp14176 +a(g705 +g1040 +tp14177 +a(g573 +Vset +p14178 +tp14179 +a(g826 +g1044 +tp14180 +a(g705 +g1040 +tp14181 +a(g573 +Vmake-local-variable +p14182 +tp14183 +a(g826 +g1044 +tp14184 +a(g440 +Vhook +p14185 +tp14186 +a(g705 +g1064 +tp14187 +a(g826 +g1044 +tp14188 +a(g705 +g1040 +tp14189 +a(g573 +Vlist +p14190 +tp14191 +a(g826 +g1044 +tp14192 +a(g565 +g1187 +tp14193 +a(g705 +g1064 +tp14194 +a(g705 +g1064 +tp14195 +a(g705 +g1064 +tp14196 +a(g826 +V\u000a +p14197 +tp14198 +a(g8 +V;; Detect the case where make-local-variable was used on a hook +p14199 +tp14200 +a(g826 +V\u000a +p14201 +tp14202 +a(g8 +V;; and do what we used to do. +p14203 +tp14204 +a(g826 +V\u000a +p14205 +tp14206 +a(g705 +g1040 +tp14207 +a(g681 +Vunless +p14208 +tp14209 +a(g826 +g1044 +tp14210 +a(g705 +g1040 +tp14211 +a(g744 +Vand +p14212 +tp14213 +a(g826 +g1044 +tp14214 +a(g705 +g1040 +tp14215 +a(g573 +Vconsp +p14216 +tp14217 +a(g826 +g1044 +tp14218 +a(g705 +g1040 +tp14219 +a(g573 +Vsymbol-value +p14220 +tp14221 +a(g826 +g1044 +tp14222 +a(g440 +Vhook +p14223 +tp14224 +a(g705 +g1064 +tp14225 +a(g705 +g1064 +tp14226 +a(g826 +g1044 +tp14227 +a(g705 +g1040 +tp14228 +a(g573 +Vmemq +p14229 +tp14230 +a(g826 +g1044 +tp14231 +a(g565 +g1187 +tp14232 +a(g826 +g1044 +tp14233 +a(g705 +g1040 +tp14234 +a(g573 +Vsymbol-value +p14235 +tp14236 +a(g826 +g1044 +tp14237 +a(g440 +Vhook +p14238 +tp14239 +a(g705 +g1064 +tp14240 +a(g705 +g1064 +tp14241 +a(g705 +g1064 +tp14242 +a(g826 +V\u000a +p14243 +tp14244 +a(g705 +g1040 +tp14245 +a(g744 +Vsetq +p14246 +tp14247 +a(g826 +g1044 +tp14248 +a(g440 +Vlocal +p14249 +tp14250 +a(g826 +g1044 +tp14251 +a(g565 +g1187 +tp14252 +a(g705 +g1064 +tp14253 +a(g705 +g1064 +tp14254 +a(g705 +g1064 +tp14255 +a(g826 +V\u000a +p14256 +tp14257 +a(g705 +g1040 +tp14258 +a(g744 +Vlet +p14259 +tp14260 +a(g826 +g1044 +tp14261 +a(g705 +g1040 +tp14262 +a(g705 +g1040 +tp14263 +a(g440 +Vhook-value +p14264 +tp14265 +a(g826 +g1044 +tp14266 +a(g705 +g1040 +tp14267 +a(g744 +Vif +p14268 +tp14269 +a(g826 +g1044 +tp14270 +a(g440 +Vlocal +p14271 +tp14272 +a(g826 +g1044 +tp14273 +a(g705 +g1040 +tp14274 +a(g573 +Vsymbol-value +p14275 +tp14276 +a(g826 +g1044 +tp14277 +a(g440 +Vhook +p14278 +tp14279 +a(g705 +g1064 +tp14280 +a(g826 +g1044 +tp14281 +a(g705 +g1040 +tp14282 +a(g573 +Vdefault-value +p14283 +tp14284 +a(g826 +g1044 +tp14285 +a(g440 +Vhook +p14286 +tp14287 +a(g705 +g1064 +tp14288 +a(g705 +g1064 +tp14289 +a(g705 +g1064 +tp14290 +a(g705 +g1064 +tp14291 +a(g826 +V\u000a +p14292 +tp14293 +a(g8 +V;; If the hook value is a single function, turn it into a list. +p14294 +tp14295 +a(g826 +V\u000a +p14296 +tp14297 +a(g705 +g1040 +tp14298 +a(g681 +Vwhen +p14299 +tp14300 +a(g826 +g1044 +tp14301 +a(g705 +g1040 +tp14302 +a(g744 +Vor +p14303 +tp14304 +a(g826 +g1044 +tp14305 +a(g705 +g1040 +tp14306 +a(g440 +Vnot +p14307 +tp14308 +a(g826 +g1044 +tp14309 +a(g705 +g1040 +tp14310 +a(g573 +Vlistp +p14311 +tp14312 +a(g826 +g1044 +tp14313 +a(g440 +Vhook-value +p14314 +tp14315 +a(g705 +g1064 +tp14316 +a(g705 +g1064 +tp14317 +a(g826 +g1044 +tp14318 +a(g705 +g1040 +tp14319 +a(g573 +Vfunctionp +p14320 +tp14321 +a(g826 +g1044 +tp14322 +a(g440 +Vhook-value +p14323 +tp14324 +a(g705 +g1064 +tp14325 +a(g705 +g1064 +tp14326 +a(g826 +V\u000a +p14327 +tp14328 +a(g705 +g1040 +tp14329 +a(g744 +Vsetq +p14330 +tp14331 +a(g826 +g1044 +tp14332 +a(g440 +Vhook-value +p14333 +tp14334 +a(g826 +g1044 +tp14335 +a(g705 +g1040 +tp14336 +a(g573 +Vlist +p14337 +tp14338 +a(g826 +g1044 +tp14339 +a(g440 +Vhook-value +p14340 +tp14341 +a(g705 +g1064 +tp14342 +a(g705 +g1064 +tp14343 +a(g705 +g1064 +tp14344 +a(g826 +V\u000a +p14345 +tp14346 +a(g8 +V;; Do the actual addition if necessary +p14347 +tp14348 +a(g826 +V\u000a +p14349 +tp14350 +a(g705 +g1040 +tp14351 +a(g681 +Vunless +p14352 +tp14353 +a(g826 +g1044 +tp14354 +a(g705 +g1040 +tp14355 +a(g573 +Vmember +p14356 +tp14357 +a(g826 +g1044 +tp14358 +a(g744 +Vfunction +p14359 +tp14360 +a(g826 +g1044 +tp14361 +a(g440 +Vhook-value +p14362 +tp14363 +a(g705 +g1064 +tp14364 +a(g826 +V\u000a +p14365 +tp14366 +a(g705 +g1040 +tp14367 +a(g681 +Vwhen +p14368 +tp14369 +a(g826 +g1044 +tp14370 +a(g705 +g1040 +tp14371 +a(g573 +Vstringp +p14372 +tp14373 +a(g826 +g1044 +tp14374 +a(g744 +Vfunction +p14375 +tp14376 +a(g705 +g1064 +tp14377 +a(g826 +V\u000a +p14378 +tp14379 +a(g705 +g1040 +tp14380 +a(g744 +Vsetq +p14381 +tp14382 +a(g826 +g1044 +tp14383 +a(g744 +Vfunction +p14384 +tp14385 +a(g826 +g1044 +tp14386 +a(g705 +g1040 +tp14387 +a(g573 +Vpurecopy +p14388 +tp14389 +a(g826 +g1044 +tp14390 +a(g744 +Vfunction +p14391 +tp14392 +a(g705 +g1064 +tp14393 +a(g705 +g1064 +tp14394 +a(g705 +g1064 +tp14395 +a(g826 +V\u000a +p14396 +tp14397 +a(g705 +g1040 +tp14398 +a(g744 +Vsetq +p14399 +tp14400 +a(g826 +g1044 +tp14401 +a(g440 +Vhook-value +p14402 +tp14403 +a(g826 +V\u000a +p14404 +tp14405 +a(g705 +g1040 +tp14406 +a(g744 +Vif +p14407 +tp14408 +a(g826 +g1044 +tp14409 +a(g573 +Vappend +p14410 +tp14411 +a(g826 +V\u000a +p14412 +tp14413 +a(g705 +g1040 +tp14414 +a(g573 +Vappend +p14415 +tp14416 +a(g826 +g1044 +tp14417 +a(g440 +Vhook-value +p14418 +tp14419 +a(g826 +g1044 +tp14420 +a(g705 +g1040 +tp14421 +a(g573 +Vlist +p14422 +tp14423 +a(g826 +g1044 +tp14424 +a(g744 +Vfunction +p14425 +tp14426 +a(g705 +g1064 +tp14427 +a(g705 +g1064 +tp14428 +a(g826 +V\u000a +p14429 +tp14430 +a(g705 +g1040 +tp14431 +a(g573 +Vcons +p14432 +tp14433 +a(g826 +g1044 +tp14434 +a(g744 +Vfunction +p14435 +tp14436 +a(g826 +g1044 +tp14437 +a(g440 +Vhook-value +p14438 +tp14439 +a(g705 +g1064 +tp14440 +a(g705 +g1064 +tp14441 +a(g705 +g1064 +tp14442 +a(g705 +g1064 +tp14443 +a(g826 +V\u000a +p14444 +tp14445 +a(g8 +V;; Set the actual variable +p14446 +tp14447 +a(g826 +V\u000a +p14448 +tp14449 +a(g705 +g1040 +tp14450 +a(g744 +Vif +p14451 +tp14452 +a(g826 +g1044 +tp14453 +a(g440 +Vlocal +p14454 +tp14455 +a(g826 +V\u000a +p14456 +tp14457 +a(g705 +g1040 +tp14458 +a(g744 +Vprogn +p14459 +tp14460 +a(g826 +V\u000a +p14461 +tp14462 +a(g8 +V;; If HOOK isn't a permanent local, +p14463 +tp14464 +a(g826 +V\u000a +p14465 +tp14466 +a(g8 +V;; but FUNCTION wants to survive a change of modes, +p14467 +tp14468 +a(g826 +V\u000a +p14469 +tp14470 +a(g8 +V;; mark HOOK as partially permanent. +p14471 +tp14472 +a(g826 +V\u000a +p14473 +tp14474 +a(g705 +g1040 +tp14475 +a(g744 +Vand +p14476 +tp14477 +a(g826 +g1044 +tp14478 +a(g705 +g1040 +tp14479 +a(g573 +Vsymbolp +p14480 +tp14481 +a(g826 +g1044 +tp14482 +a(g744 +Vfunction +p14483 +tp14484 +a(g705 +g1064 +tp14485 +a(g826 +V\u000a +p14486 +tp14487 +a(g705 +g1040 +tp14488 +a(g573 +Vget +p14489 +tp14490 +a(g826 +g1044 +tp14491 +a(g744 +Vfunction +p14492 +tp14493 +a(g826 +g1044 +tp14494 +a(g117 +V'permanent-local-hook +p14495 +tp14496 +a(g705 +g1064 +tp14497 +a(g826 +V\u000a +p14498 +tp14499 +a(g705 +g1040 +tp14500 +a(g440 +Vnot +p14501 +tp14502 +a(g826 +g1044 +tp14503 +a(g705 +g1040 +tp14504 +a(g573 +Vget +p14505 +tp14506 +a(g826 +g1044 +tp14507 +a(g440 +Vhook +p14508 +tp14509 +a(g826 +g1044 +tp14510 +a(g117 +V'permanent-local +p14511 +tp14512 +a(g705 +g1064 +tp14513 +a(g705 +g1064 +tp14514 +a(g826 +V\u000a +p14515 +tp14516 +a(g705 +g1040 +tp14517 +a(g573 +Vput +p14518 +tp14519 +a(g826 +g1044 +tp14520 +a(g440 +Vhook +p14521 +tp14522 +a(g826 +g1044 +tp14523 +a(g117 +V'permanent-local +p14524 +tp14525 +a(g826 +g1044 +tp14526 +a(g117 +V'permanent-local-hook +p14527 +tp14528 +a(g705 +g1064 +tp14529 +a(g705 +g1064 +tp14530 +a(g826 +V\u000a +p14531 +tp14532 +a(g705 +g1040 +tp14533 +a(g573 +Vset +p14534 +tp14535 +a(g826 +g1044 +tp14536 +a(g440 +Vhook +p14537 +tp14538 +a(g826 +g1044 +tp14539 +a(g440 +Vhook-value +p14540 +tp14541 +a(g705 +g1064 +tp14542 +a(g705 +g1064 +tp14543 +a(g826 +V\u000a +p14544 +tp14545 +a(g705 +g1040 +tp14546 +a(g573 +Vset-default +p14547 +tp14548 +a(g826 +g1044 +tp14549 +a(g440 +Vhook +p14550 +tp14551 +a(g826 +g1044 +tp14552 +a(g440 +Vhook-value +p14553 +tp14554 +a(g705 +g1064 +tp14555 +a(g705 +g1064 +tp14556 +a(g705 +g1064 +tp14557 +a(g705 +g1064 +tp14558 +a(g826 +V\u000a\u000a +p14559 +tp14560 +a(g705 +g1040 +tp14561 +a(g681 +Vdefun +p14562 +tp14563 +a(g826 +g1044 +tp14564 +a(g440 +Vremove-hook +p14565 +tp14566 +a(g826 +g1044 +tp14567 +a(g705 +g1040 +tp14568 +a(g440 +Vhook +p14569 +tp14570 +a(g826 +g1044 +tp14571 +a(g744 +Vfunction +p14572 +tp14573 +a(g826 +g1044 +tp14574 +a(g785 +V&optional +p14575 +tp14576 +a(g826 +g1044 +tp14577 +a(g440 +Vlocal +p14578 +tp14579 +a(g705 +g1064 +tp14580 +a(g826 +V\u000a +p14581 +tp14582 +a(g89 +g1068 +tp14583 +a(g89 +VRemove from the value of HOOK the function FUNCTION.\u000aHOOK should be a symbol, and FUNCTION may be any valid function. If\u000aFUNCTION isn't the value of HOOK, or, if FUNCTION doesn't appear in the\u000alist of hooks to run in HOOK, then nothing is done. See +p14584 +tp14585 +a(g117 +V`add-hook' +p14586 +tp14587 +a(g89 +V.\u000a\u000aThe optional third argument, LOCAL, if non-nil, says to modify\u000athe hook's buffer-local value rather than its default value. +p14588 +tp14589 +a(g89 +g1068 +tp14590 +a(g826 +V\u000a +p14591 +tp14592 +a(g705 +g1040 +tp14593 +a(g744 +Vor +p14594 +tp14595 +a(g826 +g1044 +tp14596 +a(g705 +g1040 +tp14597 +a(g573 +Vboundp +p14598 +tp14599 +a(g826 +g1044 +tp14600 +a(g440 +Vhook +p14601 +tp14602 +a(g705 +g1064 +tp14603 +a(g826 +g1044 +tp14604 +a(g705 +g1040 +tp14605 +a(g573 +Vset +p14606 +tp14607 +a(g826 +g1044 +tp14608 +a(g440 +Vhook +p14609 +tp14610 +a(g826 +g1044 +tp14611 +a(g565 +Vnil +p14612 +tp14613 +a(g705 +g1064 +tp14614 +a(g705 +g1064 +tp14615 +a(g826 +V\u000a +p14616 +tp14617 +a(g705 +g1040 +tp14618 +a(g744 +Vor +p14619 +tp14620 +a(g826 +g1044 +tp14621 +a(g705 +g1040 +tp14622 +a(g573 +Vdefault-boundp +p14623 +tp14624 +a(g826 +g1044 +tp14625 +a(g440 +Vhook +p14626 +tp14627 +a(g705 +g1064 +tp14628 +a(g826 +g1044 +tp14629 +a(g705 +g1040 +tp14630 +a(g573 +Vset-default +p14631 +tp14632 +a(g826 +g1044 +tp14633 +a(g440 +Vhook +p14634 +tp14635 +a(g826 +g1044 +tp14636 +a(g565 +Vnil +p14637 +tp14638 +a(g705 +g1064 +tp14639 +a(g705 +g1064 +tp14640 +a(g826 +V\u000a +p14641 +tp14642 +a(g8 +V;; Do nothing if LOCAL is t but this hook has no local binding. +p14643 +tp14644 +a(g826 +V\u000a +p14645 +tp14646 +a(g705 +g1040 +tp14647 +a(g681 +Vunless +p14648 +tp14649 +a(g826 +g1044 +tp14650 +a(g705 +g1040 +tp14651 +a(g744 +Vand +p14652 +tp14653 +a(g826 +g1044 +tp14654 +a(g440 +Vlocal +p14655 +tp14656 +a(g826 +g1044 +tp14657 +a(g705 +g1040 +tp14658 +a(g440 +Vnot +p14659 +tp14660 +a(g826 +g1044 +tp14661 +a(g705 +g1040 +tp14662 +a(g573 +Vlocal-variable-p +p14663 +tp14664 +a(g826 +g1044 +tp14665 +a(g440 +Vhook +p14666 +tp14667 +a(g705 +g1064 +tp14668 +a(g705 +g1064 +tp14669 +a(g705 +g1064 +tp14670 +a(g826 +V\u000a +p14671 +tp14672 +a(g8 +V;; Detect the case where make-local-variable was used on a hook +p14673 +tp14674 +a(g826 +V\u000a +p14675 +tp14676 +a(g8 +V;; and do what we used to do. +p14677 +tp14678 +a(g826 +V\u000a +p14679 +tp14680 +a(g705 +g1040 +tp14681 +a(g681 +Vwhen +p14682 +tp14683 +a(g826 +g1044 +tp14684 +a(g705 +g1040 +tp14685 +a(g744 +Vand +p14686 +tp14687 +a(g826 +g1044 +tp14688 +a(g705 +g1040 +tp14689 +a(g573 +Vlocal-variable-p +p14690 +tp14691 +a(g826 +g1044 +tp14692 +a(g440 +Vhook +p14693 +tp14694 +a(g705 +g1064 +tp14695 +a(g826 +V\u000a +p14696 +tp14697 +a(g705 +g1040 +tp14698 +a(g440 +Vnot +p14699 +tp14700 +a(g826 +g1044 +tp14701 +a(g705 +g1040 +tp14702 +a(g744 +Vand +p14703 +tp14704 +a(g826 +g1044 +tp14705 +a(g705 +g1040 +tp14706 +a(g573 +Vconsp +p14707 +tp14708 +a(g826 +g1044 +tp14709 +a(g705 +g1040 +tp14710 +a(g573 +Vsymbol-value +p14711 +tp14712 +a(g826 +g1044 +tp14713 +a(g440 +Vhook +p14714 +tp14715 +a(g705 +g1064 +tp14716 +a(g705 +g1064 +tp14717 +a(g826 +V\u000a +p14718 +tp14719 +a(g705 +g1040 +tp14720 +a(g573 +Vmemq +p14721 +tp14722 +a(g826 +g1044 +tp14723 +a(g565 +g1187 +tp14724 +a(g826 +g1044 +tp14725 +a(g705 +g1040 +tp14726 +a(g573 +Vsymbol-value +p14727 +tp14728 +a(g826 +g1044 +tp14729 +a(g440 +Vhook +p14730 +tp14731 +a(g705 +g1064 +tp14732 +a(g705 +g1064 +tp14733 +a(g705 +g1064 +tp14734 +a(g705 +g1064 +tp14735 +a(g705 +g1064 +tp14736 +a(g826 +V\u000a +p14737 +tp14738 +a(g705 +g1040 +tp14739 +a(g744 +Vsetq +p14740 +tp14741 +a(g826 +g1044 +tp14742 +a(g440 +Vlocal +p14743 +tp14744 +a(g826 +g1044 +tp14745 +a(g565 +g1187 +tp14746 +a(g705 +g1064 +tp14747 +a(g705 +g1064 +tp14748 +a(g826 +V\u000a +p14749 +tp14750 +a(g705 +g1040 +tp14751 +a(g744 +Vlet +p14752 +tp14753 +a(g826 +g1044 +tp14754 +a(g705 +g1040 +tp14755 +a(g705 +g1040 +tp14756 +a(g440 +Vhook-value +p14757 +tp14758 +a(g826 +g1044 +tp14759 +a(g705 +g1040 +tp14760 +a(g744 +Vif +p14761 +tp14762 +a(g826 +g1044 +tp14763 +a(g440 +Vlocal +p14764 +tp14765 +a(g826 +g1044 +tp14766 +a(g705 +g1040 +tp14767 +a(g573 +Vsymbol-value +p14768 +tp14769 +a(g826 +g1044 +tp14770 +a(g440 +Vhook +p14771 +tp14772 +a(g705 +g1064 +tp14773 +a(g826 +g1044 +tp14774 +a(g705 +g1040 +tp14775 +a(g573 +Vdefault-value +p14776 +tp14777 +a(g826 +g1044 +tp14778 +a(g440 +Vhook +p14779 +tp14780 +a(g705 +g1064 +tp14781 +a(g705 +g1064 +tp14782 +a(g705 +g1064 +tp14783 +a(g705 +g1064 +tp14784 +a(g826 +V\u000a +p14785 +tp14786 +a(g8 +V;; Remove the function, for both the list and the non-list cases. +p14787 +tp14788 +a(g826 +V\u000a +p14789 +tp14790 +a(g705 +g1040 +tp14791 +a(g744 +Vif +p14792 +tp14793 +a(g826 +g1044 +tp14794 +a(g705 +g1040 +tp14795 +a(g744 +Vor +p14796 +tp14797 +a(g826 +g1044 +tp14798 +a(g705 +g1040 +tp14799 +a(g440 +Vnot +p14800 +tp14801 +a(g826 +g1044 +tp14802 +a(g705 +g1040 +tp14803 +a(g573 +Vlistp +p14804 +tp14805 +a(g826 +g1044 +tp14806 +a(g440 +Vhook-value +p14807 +tp14808 +a(g705 +g1064 +tp14809 +a(g705 +g1064 +tp14810 +a(g826 +g1044 +tp14811 +a(g705 +g1040 +tp14812 +a(g573 +Veq +p14813 +tp14814 +a(g826 +g1044 +tp14815 +a(g705 +g1040 +tp14816 +a(g573 +Vcar +p14817 +tp14818 +a(g826 +g1044 +tp14819 +a(g440 +Vhook-value +p14820 +tp14821 +a(g705 +g1064 +tp14822 +a(g826 +g1044 +tp14823 +a(g117 +V'lambda +p14824 +tp14825 +a(g705 +g1064 +tp14826 +a(g705 +g1064 +tp14827 +a(g826 +V\u000a +p14828 +tp14829 +a(g705 +g1040 +tp14830 +a(g744 +Vif +p14831 +tp14832 +a(g826 +g1044 +tp14833 +a(g705 +g1040 +tp14834 +a(g573 +Vequal +p14835 +tp14836 +a(g826 +g1044 +tp14837 +a(g440 +Vhook-value +p14838 +tp14839 +a(g826 +g1044 +tp14840 +a(g744 +Vfunction +p14841 +tp14842 +a(g705 +g1064 +tp14843 +a(g826 +g1044 +tp14844 +a(g705 +g1040 +tp14845 +a(g744 +Vsetq +p14846 +tp14847 +a(g826 +g1044 +tp14848 +a(g440 +Vhook-value +p14849 +tp14850 +a(g826 +g1044 +tp14851 +a(g565 +Vnil +p14852 +tp14853 +a(g705 +g1064 +tp14854 +a(g705 +g1064 +tp14855 +a(g826 +V\u000a +p14856 +tp14857 +a(g705 +g1040 +tp14858 +a(g744 +Vsetq +p14859 +tp14860 +a(g826 +g1044 +tp14861 +a(g440 +Vhook-value +p14862 +tp14863 +a(g826 +g1044 +tp14864 +a(g705 +g1040 +tp14865 +a(g573 +Vdelete +p14866 +tp14867 +a(g826 +g1044 +tp14868 +a(g744 +Vfunction +p14869 +tp14870 +a(g826 +g1044 +tp14871 +a(g705 +g1040 +tp14872 +a(g573 +Vcopy-sequence +p14873 +tp14874 +a(g826 +g1044 +tp14875 +a(g440 +Vhook-value +p14876 +tp14877 +a(g705 +g1064 +tp14878 +a(g705 +g1064 +tp14879 +a(g705 +g1064 +tp14880 +a(g705 +g1064 +tp14881 +a(g826 +V\u000a +p14882 +tp14883 +a(g8 +V;; If the function is on the global hook, we need to shadow it locally +p14884 +tp14885 +a(g826 +V\u000a +p14886 +tp14887 +a(g8 +V;;(when (and local (member function (default-value hook)) +p14888 +tp14889 +a(g826 +V\u000a +p14890 +tp14891 +a(g8 +V;; (not (member (cons 'not function) hook-value))) +p14892 +tp14893 +a(g826 +V\u000a +p14894 +tp14895 +a(g8 +V;; (push (cons 'not function) hook-value)) +p14896 +tp14897 +a(g826 +V\u000a +p14898 +tp14899 +a(g8 +V;; Set the actual variable +p14900 +tp14901 +a(g826 +V\u000a +p14902 +tp14903 +a(g705 +g1040 +tp14904 +a(g744 +Vif +p14905 +tp14906 +a(g826 +g1044 +tp14907 +a(g705 +g1040 +tp14908 +a(g440 +Vnot +p14909 +tp14910 +a(g826 +g1044 +tp14911 +a(g440 +Vlocal +p14912 +tp14913 +a(g705 +g1064 +tp14914 +a(g826 +V\u000a +p14915 +tp14916 +a(g705 +g1040 +tp14917 +a(g573 +Vset-default +p14918 +tp14919 +a(g826 +g1044 +tp14920 +a(g440 +Vhook +p14921 +tp14922 +a(g826 +g1044 +tp14923 +a(g440 +Vhook-value +p14924 +tp14925 +a(g705 +g1064 +tp14926 +a(g826 +V\u000a +p14927 +tp14928 +a(g705 +g1040 +tp14929 +a(g744 +Vif +p14930 +tp14931 +a(g826 +g1044 +tp14932 +a(g705 +g1040 +tp14933 +a(g573 +Vequal +p14934 +tp14935 +a(g826 +g1044 +tp14936 +a(g440 +Vhook-value +p14937 +tp14938 +a(g826 +g1044 +tp14939 +a(g412 +g10833 +tp14940 +a(g705 +g1040 +tp14941 +a(g565 +g1187 +tp14942 +a(g705 +g1064 +tp14943 +a(g705 +g1064 +tp14944 +a(g826 +V\u000a +p14945 +tp14946 +a(g705 +g1040 +tp14947 +a(g573 +Vkill-local-variable +p14948 +tp14949 +a(g826 +g1044 +tp14950 +a(g440 +Vhook +p14951 +tp14952 +a(g705 +g1064 +tp14953 +a(g826 +V\u000a +p14954 +tp14955 +a(g705 +g1040 +tp14956 +a(g573 +Vset +p14957 +tp14958 +a(g826 +g1044 +tp14959 +a(g440 +Vhook +p14960 +tp14961 +a(g826 +g1044 +tp14962 +a(g440 +Vhook-value +p14963 +tp14964 +a(g705 +g1064 +tp14965 +a(g705 +g1064 +tp14966 +a(g705 +g1064 +tp14967 +a(g705 +g1064 +tp14968 +a(g705 +g1064 +tp14969 +a(g705 +g1064 +tp14970 +a(g826 +V\u000a\u000a +p14971 +tp14972 +a(g705 +g1040 +tp14973 +a(g681 +Vdefmacro +p14974 +tp14975 +a(g826 +g1044 +tp14976 +a(g681 +Vletrec +p14977 +tp14978 +a(g826 +g1044 +tp14979 +a(g705 +g1040 +tp14980 +a(g440 +Vbinders +p14981 +tp14982 +a(g826 +g1044 +tp14983 +a(g785 +V&rest +p14984 +tp14985 +a(g826 +g1044 +tp14986 +a(g440 +Vbody +p14987 +tp14988 +a(g705 +g1064 +tp14989 +a(g826 +V\u000a +p14990 +tp14991 +a(g89 +g1068 +tp14992 +a(g89 +VBind variables according to BINDERS then eval BODY.\u000aThe value of the last form in BODY is returned.\u000aEach element of BINDERS is a list (SYMBOL VALUEFORM) which binds\u000aSYMBOL to the value of VALUEFORM.\u000aAll symbols are bound before the VALUEFORMs are evalled. +p14993 +tp14994 +a(g89 +g1068 +tp14995 +a(g826 +V\u000a +p14996 +tp14997 +a(g8 +V;; Only useful in lexical-binding mode. +p14998 +tp14999 +a(g826 +V\u000a +p15000 +tp15001 +a(g8 +V;; As a special-form, we could implement it more efficiently (and cleanly, +p15002 +tp15003 +a(g826 +V\u000a +p15004 +tp15005 +a(g8 +V;; making the vars actually unbound during evaluation of the binders). +p15006 +tp15007 +a(g826 +V\u000a +p15008 +tp15009 +a(g705 +g1040 +tp15010 +a(g681 +Vdeclare +p15011 +tp15012 +a(g826 +g1044 +tp15013 +a(g705 +g1040 +tp15014 +a(g440 +Vdebug +p15015 +tp15016 +a(g826 +g1044 +tp15017 +a(g744 +Vlet +p15018 +tp15019 +a(g705 +g1064 +tp15020 +a(g826 +g1044 +tp15021 +a(g705 +g1040 +tp15022 +a(g440 +Vindent +p15023 +tp15024 +a(g826 +g1044 +tp15025 +a(g37 +g2048 +tp15026 +a(g705 +g1064 +tp15027 +a(g705 +g1064 +tp15028 +a(g826 +V\u000a +p15029 +tp15030 +a(g412 +g1128 +tp15031 +a(g705 +g1040 +tp15032 +a(g744 +Vlet +p15033 +tp15034 +a(g826 +g1044 +tp15035 +a(g412 +g1198 +tp15036 +a(g705 +g1040 +tp15037 +a(g573 +Vmapcar +p15038 +tp15039 +a(g826 +g1044 +tp15040 +a(g573 +V#' +p15041 +tp15042 +a(g573 +Vcar +p15043 +tp15044 +a(g826 +g1044 +tp15045 +a(g440 +Vbinders +p15046 +tp15047 +a(g705 +g1064 +tp15048 +a(g826 +V\u000a +p15049 +tp15050 +a(g412 +V,@ +p15051 +tp15052 +a(g705 +g1040 +tp15053 +a(g573 +Vmapcar +p15054 +tp15055 +a(g826 +g1044 +tp15056 +a(g705 +g1040 +tp15057 +a(g681 +Vlambda +p15058 +tp15059 +a(g826 +g1044 +tp15060 +a(g705 +g1040 +tp15061 +a(g440 +Vbinder +p15062 +tp15063 +a(g705 +g1064 +tp15064 +a(g826 +g1044 +tp15065 +a(g412 +g1128 +tp15066 +a(g705 +g1040 +tp15067 +a(g744 +Vsetq +p15068 +tp15069 +a(g826 +g1044 +tp15070 +a(g412 +V,@ +p15071 +tp15072 +a(g440 +Vbinder +p15073 +tp15074 +a(g705 +g1064 +tp15075 +a(g705 +g1064 +tp15076 +a(g826 +g1044 +tp15077 +a(g440 +Vbinders +p15078 +tp15079 +a(g705 +g1064 +tp15080 +a(g826 +V\u000a +p15081 +tp15082 +a(g412 +V,@ +p15083 +tp15084 +a(g440 +Vbody +p15085 +tp15086 +a(g705 +g1064 +tp15087 +a(g705 +g1064 +tp15088 +a(g826 +V\u000a\u000a +p15089 +tp15090 +a(g705 +g1040 +tp15091 +a(g681 +Vdefmacro +p15092 +tp15093 +a(g826 +g1044 +tp15094 +a(g681 +Vwith-wrapper-hook +p15095 +tp15096 +a(g826 +g1044 +tp15097 +a(g705 +g1040 +tp15098 +a(g440 +Vhook +p15099 +tp15100 +a(g826 +g1044 +tp15101 +a(g440 +Vargs +p15102 +tp15103 +a(g826 +g1044 +tp15104 +a(g785 +V&rest +p15105 +tp15106 +a(g826 +g1044 +tp15107 +a(g440 +Vbody +p15108 +tp15109 +a(g705 +g1064 +tp15110 +a(g826 +V\u000a +p15111 +tp15112 +a(g89 +g1068 +tp15113 +a(g89 +VRun BODY, using wrapper functions from HOOK with additional ARGS.\u000aHOOK is an abnormal hook. Each hook function in HOOK +p15114 +tp15115 +a(g89 +V\u005c" +p15116 +tp15117 +a(g89 +Vwraps +p15118 +tp15119 +a(g89 +V\u005c" +p15120 +tp15121 +a(g89 +V\u000aaround the preceding ones, like a set of nested +p15122 +tp15123 +a(g117 +V`around' +p15124 +tp15125 +a(g89 +V advices.\u000a\u000aEach hook function should accept an argument list consisting of a\u000afunction FUN, followed by the additional arguments in ARGS.\u000a\u000aThe first hook function in HOOK is passed a FUN that, if it is called\u000awith arguments ARGS, performs BODY (i.e., the default operation).\u000aThe FUN passed to each successive hook function is defined based\u000aon the preceding hook functions; if called with arguments ARGS,\u000ait does what the +p15126 +tp15127 +a(g117 +V`with-wrapper-hook' +p15128 +tp15129 +a(g89 +V call would do if the\u000apreceding hook functions were the only ones present in HOOK.\u000a\u000aEach hook function may call its FUN argument as many times as it wishes,\u000aincluding never. In that case, such a hook function acts to replace\u000athe default definition altogether, and any preceding hook functions.\u000aOf course, a subsequent hook function may do the same thing.\u000a\u000aEach hook function definition is used to construct the FUN passed\u000ato the next hook function, if any. The last (or +p15130 +tp15131 +a(g89 +V\u005c" +p15132 +tp15133 +a(g89 +Voutermost +p15134 +tp15135 +a(g89 +V\u005c" +p15136 +tp15137 +a(g89 +V)\u000aFUN is then called once. +p15138 +tp15139 +a(g89 +g1068 +tp15140 +a(g826 +V\u000a +p15141 +tp15142 +a(g705 +g1040 +tp15143 +a(g681 +Vdeclare +p15144 +tp15145 +a(g826 +g1044 +tp15146 +a(g705 +g1040 +tp15147 +a(g440 +Vindent +p15148 +tp15149 +a(g826 +g1044 +tp15150 +a(g37 +g1370 +tp15151 +a(g705 +g1064 +tp15152 +a(g826 +g1044 +tp15153 +a(g705 +g1040 +tp15154 +a(g440 +Vdebug +p15155 +tp15156 +a(g826 +g1044 +tp15157 +a(g705 +g1040 +tp15158 +a(g440 +Vform +p15159 +tp15160 +a(g826 +g1044 +tp15161 +a(g440 +Vsexp +p15162 +tp15163 +a(g826 +g1044 +tp15164 +a(g440 +Vbody +p15165 +tp15166 +a(g705 +g1064 +tp15167 +a(g705 +g1064 +tp15168 +a(g826 +V\u000a +p15169 +tp15170 +a(g705 +g1040 +tp15171 +a(g440 +Vobsolete +p15172 +tp15173 +a(g826 +g1044 +tp15174 +a(g89 +g1068 +tp15175 +a(g89 +Vuse a -function variable modified by +p15176 +tp15177 +a(g117 +V`add-function' +p15178 +tp15179 +a(g89 +g3307 +tp15180 +a(g89 +g1068 +tp15181 +a(g826 +V\u000a +p15182 +tp15183 +a(g89 +g1068 +tp15184 +a(g89 +V24.4 +p15185 +tp15186 +a(g89 +g1068 +tp15187 +a(g705 +g1064 +tp15188 +a(g705 +g1064 +tp15189 +a(g826 +V\u000a +p15190 +tp15191 +a(g8 +V;; We need those two gensyms because CL's lexical scoping is not available +p15192 +tp15193 +a(g826 +V\u000a +p15194 +tp15195 +a(g8 +V;; for function arguments :-( +p15196 +tp15197 +a(g826 +V\u000a +p15198 +tp15199 +a(g705 +g1040 +tp15200 +a(g744 +Vlet +p15201 +tp15202 +a(g826 +g1044 +tp15203 +a(g705 +g1040 +tp15204 +a(g705 +g1040 +tp15205 +a(g440 +Vfuns +p15206 +tp15207 +a(g826 +g1044 +tp15208 +a(g705 +g1040 +tp15209 +a(g573 +Vmake-symbol +p15210 +tp15211 +a(g826 +g1044 +tp15212 +a(g89 +g1068 +tp15213 +a(g89 +Vfuns +p15214 +tp15215 +a(g89 +g1068 +tp15216 +a(g705 +g1064 +tp15217 +a(g705 +g1064 +tp15218 +a(g826 +V\u000a +p15219 +tp15220 +a(g705 +g1040 +tp15221 +a(g440 +Vglobal +p15222 +tp15223 +a(g826 +g1044 +tp15224 +a(g705 +g1040 +tp15225 +a(g573 +Vmake-symbol +p15226 +tp15227 +a(g826 +g1044 +tp15228 +a(g89 +g1068 +tp15229 +a(g89 +Vglobal +p15230 +tp15231 +a(g89 +g1068 +tp15232 +a(g705 +g1064 +tp15233 +a(g705 +g1064 +tp15234 +a(g826 +V\u000a +p15235 +tp15236 +a(g705 +g1040 +tp15237 +a(g440 +Vargssym +p15238 +tp15239 +a(g826 +g1044 +tp15240 +a(g705 +g1040 +tp15241 +a(g573 +Vmake-symbol +p15242 +tp15243 +a(g826 +g1044 +tp15244 +a(g89 +g1068 +tp15245 +a(g89 +Vargs +p15246 +tp15247 +a(g89 +g1068 +tp15248 +a(g705 +g1064 +tp15249 +a(g705 +g1064 +tp15250 +a(g826 +V\u000a +p15251 +tp15252 +a(g705 +g1040 +tp15253 +a(g440 +Vrunrestofhook +p15254 +tp15255 +a(g826 +g1044 +tp15256 +a(g705 +g1040 +tp15257 +a(g573 +Vmake-symbol +p15258 +tp15259 +a(g826 +g1044 +tp15260 +a(g89 +g1068 +tp15261 +a(g89 +Vrunrestofhook +p15262 +tp15263 +a(g89 +g1068 +tp15264 +a(g705 +g1064 +tp15265 +a(g705 +g1064 +tp15266 +a(g705 +g1064 +tp15267 +a(g826 +V\u000a +p15268 +tp15269 +a(g8 +V;; Since the hook is a wrapper, the loop has to be done via +p15270 +tp15271 +a(g826 +V\u000a +p15272 +tp15273 +a(g8 +V;; recursion: a given hook function will call its parameter in order to +p15274 +tp15275 +a(g826 +V\u000a +p15276 +tp15277 +a(g8 +V;; continue looping. +p15278 +tp15279 +a(g826 +V\u000a +p15280 +tp15281 +a(g412 +g1128 +tp15282 +a(g705 +g1040 +tp15283 +a(g681 +Vletrec +p15284 +tp15285 +a(g826 +g1044 +tp15286 +a(g705 +g1040 +tp15287 +a(g705 +g1040 +tp15288 +a(g412 +g1198 +tp15289 +a(g440 +Vrunrestofhook +p15290 +tp15291 +a(g826 +V\u000a +p15292 +tp15293 +a(g705 +g1040 +tp15294 +a(g681 +Vlambda +p15295 +tp15296 +a(g826 +g1044 +tp15297 +a(g705 +g1040 +tp15298 +a(g412 +g1198 +tp15299 +a(g440 +Vfuns +p15300 +tp15301 +a(g826 +g1044 +tp15302 +a(g412 +g1198 +tp15303 +a(g440 +Vglobal +p15304 +tp15305 +a(g826 +g1044 +tp15306 +a(g412 +g1198 +tp15307 +a(g440 +Vargssym +p15308 +tp15309 +a(g705 +g1064 +tp15310 +a(g826 +V\u000a +p15311 +tp15312 +a(g8 +V;; `funs' holds the functions left on the hook and `global' +p15313 +tp15314 +a(g826 +V\u000a +p15315 +tp15316 +a(g8 +V;; holds the functions left on the global part of the hook +p15317 +tp15318 +a(g826 +V\u000a +p15319 +tp15320 +a(g8 +V;; (in case the hook is local). +p15321 +tp15322 +a(g826 +V\u000a +p15323 +tp15324 +a(g705 +g1040 +tp15325 +a(g744 +Vif +p15326 +tp15327 +a(g826 +g1044 +tp15328 +a(g705 +g1040 +tp15329 +a(g573 +Vconsp +p15330 +tp15331 +a(g826 +g1044 +tp15332 +a(g412 +g1198 +tp15333 +a(g440 +Vfuns +p15334 +tp15335 +a(g705 +g1064 +tp15336 +a(g826 +V\u000a +p15337 +tp15338 +a(g705 +g1040 +tp15339 +a(g744 +Vif +p15340 +tp15341 +a(g826 +g1044 +tp15342 +a(g705 +g1040 +tp15343 +a(g573 +Veq +p15344 +tp15345 +a(g826 +g1044 +tp15346 +a(g565 +g1187 +tp15347 +a(g826 +g1044 +tp15348 +a(g705 +g1040 +tp15349 +a(g573 +Vcar +p15350 +tp15351 +a(g826 +g1044 +tp15352 +a(g412 +g1198 +tp15353 +a(g440 +Vfuns +p15354 +tp15355 +a(g705 +g1064 +tp15356 +a(g705 +g1064 +tp15357 +a(g826 +V\u000a +p15358 +tp15359 +a(g705 +g1040 +tp15360 +a(g573 +Vfuncall +p15361 +tp15362 +a(g826 +g1044 +tp15363 +a(g412 +g1198 +tp15364 +a(g440 +Vrunrestofhook +p15365 +tp15366 +a(g826 +V\u000a +p15367 +tp15368 +a(g705 +g1040 +tp15369 +a(g573 +Vappend +p15370 +tp15371 +a(g826 +g1044 +tp15372 +a(g412 +g1198 +tp15373 +a(g440 +Vglobal +p15374 +tp15375 +a(g826 +g1044 +tp15376 +a(g705 +g1040 +tp15377 +a(g573 +Vcdr +p15378 +tp15379 +a(g826 +g1044 +tp15380 +a(g412 +g1198 +tp15381 +a(g440 +Vfuns +p15382 +tp15383 +a(g705 +g1064 +tp15384 +a(g705 +g1064 +tp15385 +a(g826 +g1044 +tp15386 +a(g565 +Vnil +p15387 +tp15388 +a(g826 +g1044 +tp15389 +a(g412 +g1198 +tp15390 +a(g440 +Vargssym +p15391 +tp15392 +a(g705 +g1064 +tp15393 +a(g826 +V\u000a +p15394 +tp15395 +a(g705 +g1040 +tp15396 +a(g573 +Vapply +p15397 +tp15398 +a(g826 +g1044 +tp15399 +a(g705 +g1040 +tp15400 +a(g573 +Vcar +p15401 +tp15402 +a(g826 +g1044 +tp15403 +a(g412 +g1198 +tp15404 +a(g440 +Vfuns +p15405 +tp15406 +a(g705 +g1064 +tp15407 +a(g826 +V\u000a +p15408 +tp15409 +a(g705 +g1040 +tp15410 +a(g440 +Vapply-partially +p15411 +tp15412 +a(g826 +V\u000a +p15413 +tp15414 +a(g705 +g1040 +tp15415 +a(g681 +Vlambda +p15416 +tp15417 +a(g826 +g1044 +tp15418 +a(g705 +g1040 +tp15419 +a(g412 +g1198 +tp15420 +a(g440 +Vfuns +p15421 +tp15422 +a(g826 +g1044 +tp15423 +a(g412 +g1198 +tp15424 +a(g440 +Vglobal +p15425 +tp15426 +a(g826 +g1044 +tp15427 +a(g785 +V&rest +p15428 +tp15429 +a(g826 +g1044 +tp15430 +a(g412 +g1198 +tp15431 +a(g440 +Vargssym +p15432 +tp15433 +a(g705 +g1064 +tp15434 +a(g826 +V\u000a +p15435 +tp15436 +a(g705 +g1040 +tp15437 +a(g573 +Vfuncall +p15438 +tp15439 +a(g826 +g1044 +tp15440 +a(g412 +g1198 +tp15441 +a(g440 +Vrunrestofhook +p15442 +tp15443 +a(g826 +g1044 +tp15444 +a(g412 +g1198 +tp15445 +a(g440 +Vfuns +p15446 +tp15447 +a(g826 +g1044 +tp15448 +a(g412 +g1198 +tp15449 +a(g440 +Vglobal +p15450 +tp15451 +a(g826 +g1044 +tp15452 +a(g412 +g1198 +tp15453 +a(g440 +Vargssym +p15454 +tp15455 +a(g705 +g1064 +tp15456 +a(g705 +g1064 +tp15457 +a(g826 +V\u000a +p15458 +tp15459 +a(g705 +g1040 +tp15460 +a(g573 +Vcdr +p15461 +tp15462 +a(g826 +g1044 +tp15463 +a(g412 +g1198 +tp15464 +a(g440 +Vfuns +p15465 +tp15466 +a(g705 +g1064 +tp15467 +a(g826 +g1044 +tp15468 +a(g412 +g1198 +tp15469 +a(g440 +Vglobal +p15470 +tp15471 +a(g705 +g1064 +tp15472 +a(g826 +V\u000a +p15473 +tp15474 +a(g412 +g1198 +tp15475 +a(g440 +Vargssym +p15476 +tp15477 +a(g705 +g1064 +tp15478 +a(g705 +g1064 +tp15479 +a(g826 +V\u000a +p15480 +tp15481 +a(g8 +V;; Once there are no more functions on the hook, run +p15482 +tp15483 +a(g826 +V\u000a +p15484 +tp15485 +a(g8 +V;; the original body. +p15486 +tp15487 +a(g826 +V\u000a +p15488 +tp15489 +a(g705 +g1040 +tp15490 +a(g573 +Vapply +p15491 +tp15492 +a(g826 +g1044 +tp15493 +a(g705 +g1040 +tp15494 +a(g681 +Vlambda +p15495 +tp15496 +a(g826 +g1044 +tp15497 +a(g412 +g1198 +tp15498 +a(g440 +Vargs +p15499 +tp15500 +a(g826 +g1044 +tp15501 +a(g412 +V,@ +p15502 +tp15503 +a(g440 +Vbody +p15504 +tp15505 +a(g705 +g1064 +tp15506 +a(g826 +g1044 +tp15507 +a(g412 +g1198 +tp15508 +a(g440 +Vargssym +p15509 +tp15510 +a(g705 +g1064 +tp15511 +a(g705 +g1064 +tp15512 +a(g705 +g1064 +tp15513 +a(g705 +g1064 +tp15514 +a(g705 +g1064 +tp15515 +a(g826 +V\u000a +p15516 +tp15517 +a(g705 +g1040 +tp15518 +a(g573 +Vfuncall +p15519 +tp15520 +a(g826 +g1044 +tp15521 +a(g412 +g1198 +tp15522 +a(g440 +Vrunrestofhook +p15523 +tp15524 +a(g826 +g1044 +tp15525 +a(g412 +g1198 +tp15526 +a(g440 +Vhook +p15527 +tp15528 +a(g826 +V\u000a +p15529 +tp15530 +a(g8 +V;; The global part of the hook, if any. +p15531 +tp15532 +a(g826 +V\u000a +p15533 +tp15534 +a(g412 +g1198 +tp15535 +a(g705 +g1040 +tp15536 +a(g744 +Vif +p15537 +tp15538 +a(g826 +g1044 +tp15539 +a(g705 +g1040 +tp15540 +a(g573 +Vsymbolp +p15541 +tp15542 +a(g826 +g1044 +tp15543 +a(g440 +Vhook +p15544 +tp15545 +a(g705 +g1064 +tp15546 +a(g826 +V\u000a +p15547 +tp15548 +a(g412 +g1128 +tp15549 +a(g705 +g1040 +tp15550 +a(g744 +Vif +p15551 +tp15552 +a(g826 +g1044 +tp15553 +a(g705 +g1040 +tp15554 +a(g573 +Vlocal-variable-p +p15555 +tp15556 +a(g826 +g1044 +tp15557 +a(g117 +V',hook +p15558 +tp15559 +a(g705 +g1064 +tp15560 +a(g826 +V\u000a +p15561 +tp15562 +a(g705 +g1040 +tp15563 +a(g573 +Vdefault-value +p15564 +tp15565 +a(g826 +g1044 +tp15566 +a(g117 +V',hook +p15567 +tp15568 +a(g705 +g1064 +tp15569 +a(g705 +g1064 +tp15570 +a(g705 +g1064 +tp15571 +a(g826 +V\u000a +p15572 +tp15573 +a(g705 +g1040 +tp15574 +a(g573 +Vlist +p15575 +tp15576 +a(g826 +g1044 +tp15577 +a(g412 +V,@ +p15578 +tp15579 +a(g440 +Vargs +p15580 +tp15581 +a(g705 +g1064 +tp15582 +a(g705 +g1064 +tp15583 +a(g705 +g1064 +tp15584 +a(g705 +g1064 +tp15585 +a(g705 +g1064 +tp15586 +a(g826 +V\u000a\u000a +p15587 +tp15588 +a(g705 +g1040 +tp15589 +a(g681 +Vdefun +p15590 +tp15591 +a(g826 +g1044 +tp15592 +a(g440 +Vadd-to-list +p15593 +tp15594 +a(g826 +g1044 +tp15595 +a(g705 +g1040 +tp15596 +a(g440 +Vlist-var +p15597 +tp15598 +a(g826 +g1044 +tp15599 +a(g440 +Velement +p15600 +tp15601 +a(g826 +g1044 +tp15602 +a(g785 +V&optional +p15603 +tp15604 +a(g826 +g1044 +tp15605 +a(g573 +Vappend +p15606 +tp15607 +a(g826 +g1044 +tp15608 +a(g440 +Vcompare-fn +p15609 +tp15610 +a(g705 +g1064 +tp15611 +a(g826 +V\u000a +p15612 +tp15613 +a(g89 +g1068 +tp15614 +a(g89 +VAdd ELEMENT to the value of LIST-VAR if it isn't there yet.\u000aThe test for presence of ELEMENT is done with +p15615 +tp15616 +a(g117 +V`equal' +p15617 +tp15618 +a(g89 +V, or with\u000aCOMPARE-FN if that's non-nil.\u000aIf ELEMENT is added, it is added at the beginning of the list,\u000aunless the optional argument APPEND is non-nil, in which case\u000aELEMENT is added at the end.\u000a\u000aThe return value is the new value of LIST-VAR.\u000a\u000aThis is handy to add some elements to configuration variables,\u000abut please do not abuse it in Elisp code, where you are usually\u000abetter off using +p15619 +tp15620 +a(g117 +V`push' +p15621 +tp15622 +a(g89 +V or +p15623 +tp15624 +a(g117 +V`cl-pushnew' +p15625 +tp15626 +a(g89 +V.\u000a\u000aIf you want to use +p15627 +tp15628 +a(g117 +V`add-to-list' +p15629 +tp15630 +a(g89 +V on a variable that is not\u000adefined until a certain package is loaded, you should put the\u000acall to +p15631 +tp15632 +a(g117 +V`add-to-list' +p15633 +tp15634 +a(g89 +V into a hook function that will be run only\u000aafter loading the package. +p15635 +tp15636 +a(g117 +V`eval-after-load' +p15637 +tp15638 +a(g89 +V provides one way to\u000ado this. In some cases other hooks, such as major mode hooks,\u000acan do the job. +p15639 +tp15640 +a(g89 +g1068 +tp15641 +a(g826 +V\u000a +p15642 +tp15643 +a(g705 +g1040 +tp15644 +a(g681 +Vdeclare +p15645 +tp15646 +a(g826 +V\u000a +p15647 +tp15648 +a(g705 +g1040 +tp15649 +a(g440 +Vcompiler-macro +p15650 +tp15651 +a(g826 +V\u000a +p15652 +tp15653 +a(g705 +g1040 +tp15654 +a(g681 +Vlambda +p15655 +tp15656 +a(g826 +g1044 +tp15657 +a(g705 +g1040 +tp15658 +a(g573 +Vexp +p15659 +tp15660 +a(g705 +g1064 +tp15661 +a(g826 +V\u000a +p15662 +tp15663 +a(g8 +V;; FIXME: Something like this could be used for `set' as well. +p15664 +tp15665 +a(g826 +V\u000a +p15666 +tp15667 +a(g705 +g1040 +tp15668 +a(g744 +Vif +p15669 +tp15670 +a(g826 +g1044 +tp15671 +a(g705 +g1040 +tp15672 +a(g744 +Vor +p15673 +tp15674 +a(g826 +g1044 +tp15675 +a(g705 +g1040 +tp15676 +a(g440 +Vnot +p15677 +tp15678 +a(g826 +g1044 +tp15679 +a(g705 +g1040 +tp15680 +a(g573 +Veq +p15681 +tp15682 +a(g826 +g1044 +tp15683 +a(g117 +V'quote +p15684 +tp15685 +a(g826 +g1044 +tp15686 +a(g705 +g1040 +tp15687 +a(g573 +Vcar-safe +p15688 +tp15689 +a(g826 +g1044 +tp15690 +a(g440 +Vlist-var +p15691 +tp15692 +a(g705 +g1064 +tp15693 +a(g705 +g1064 +tp15694 +a(g705 +g1064 +tp15695 +a(g826 +V\u000a +p15696 +tp15697 +a(g705 +g1040 +tp15698 +a(g573 +Vspecial-variable-p +p15699 +tp15700 +a(g826 +g1044 +tp15701 +a(g705 +g1040 +tp15702 +a(g440 +Vcadr +p15703 +tp15704 +a(g826 +g1044 +tp15705 +a(g440 +Vlist-var +p15706 +tp15707 +a(g705 +g1064 +tp15708 +a(g705 +g1064 +tp15709 +a(g826 +V\u000a +p15710 +tp15711 +a(g705 +g1040 +tp15712 +a(g440 +Vnot +p15713 +tp15714 +a(g826 +g1044 +tp15715 +a(g705 +g1040 +tp15716 +a(g440 +Vmacroexp-const-p +p15717 +tp15718 +a(g826 +g1044 +tp15719 +a(g573 +Vappend +p15720 +tp15721 +a(g705 +g1064 +tp15722 +a(g705 +g1064 +tp15723 +a(g705 +g1064 +tp15724 +a(g826 +V\u000a +p15725 +tp15726 +a(g573 +Vexp +p15727 +tp15728 +a(g826 +V\u000a +p15729 +tp15730 +a(g705 +g1040 +tp15731 +a(g744 +Vlet* +p15732 +tp15733 +a(g826 +g1044 +tp15734 +a(g705 +g1040 +tp15735 +a(g705 +g1040 +tp15736 +a(g440 +Vsym +p15737 +tp15738 +a(g826 +g1044 +tp15739 +a(g705 +g1040 +tp15740 +a(g440 +Vcadr +p15741 +tp15742 +a(g826 +g1044 +tp15743 +a(g440 +Vlist-var +p15744 +tp15745 +a(g705 +g1064 +tp15746 +a(g705 +g1064 +tp15747 +a(g826 +V\u000a +p15748 +tp15749 +a(g705 +g1040 +tp15750 +a(g573 +Vappend +p15751 +tp15752 +a(g826 +g1044 +tp15753 +a(g705 +g1040 +tp15754 +a(g573 +Veval +p15755 +tp15756 +a(g826 +g1044 +tp15757 +a(g573 +Vappend +p15758 +tp15759 +a(g705 +g1064 +tp15760 +a(g705 +g1064 +tp15761 +a(g826 +V\u000a +p15762 +tp15763 +a(g705 +g1040 +tp15764 +a(g440 +Vmsg +p15765 +tp15766 +a(g826 +g1044 +tp15767 +a(g705 +g1040 +tp15768 +a(g573 +Vformat +p15769 +tp15770 +a(g826 +g1044 +tp15771 +a(g89 +g1068 +tp15772 +a(g117 +V`add-to-list' +p15773 +tp15774 +a(g89 +V can't use lexical var +p15775 +tp15776 +a(g117 +V`%s' +p15777 +tp15778 +a(g89 +V; use +p15779 +tp15780 +a(g117 +V`push' +p15781 +tp15782 +a(g89 +V or +p15783 +tp15784 +a(g117 +V`cl-pushnew' +p15785 +tp15786 +a(g89 +g1068 +tp15787 +a(g826 +V\u000a +p15788 +tp15789 +a(g440 +Vsym +p15790 +tp15791 +a(g705 +g1064 +tp15792 +a(g705 +g1064 +tp15793 +a(g826 +V\u000a +p15794 +tp15795 +a(g8 +V;; Big ugly hack so we only output a warning during +p15796 +tp15797 +a(g826 +V\u000a +p15798 +tp15799 +a(g8 +V;; byte-compilation, and so we can use +p15800 +tp15801 +a(g826 +V\u000a +p15802 +tp15803 +a(g8 +V;; byte-compile-not-lexical-var-p to silence the warning +p15804 +tp15805 +a(g826 +V\u000a +p15806 +tp15807 +a(g8 +V;; when a defvar has been seen but not yet executed. +p15808 +tp15809 +a(g826 +V\u000a +p15810 +tp15811 +a(g705 +g1040 +tp15812 +a(g440 +Vwarnfun +p15813 +tp15814 +a(g826 +g1044 +tp15815 +a(g705 +g1040 +tp15816 +a(g681 +Vlambda +p15817 +tp15818 +a(g826 +g1044 +tp15819 +a(g705 +g1040 +tp15820 +a(g705 +g1064 +tp15821 +a(g826 +V\u000a +p15822 +tp15823 +a(g8 +V;; FIXME: We should also emit a warning for let-bound +p15824 +tp15825 +a(g826 +V\u000a +p15826 +tp15827 +a(g8 +V;; variables with dynamic binding. +p15828 +tp15829 +a(g826 +V\u000a +p15830 +tp15831 +a(g705 +g1040 +tp15832 +a(g681 +Vwhen +p15833 +tp15834 +a(g826 +g1044 +tp15835 +a(g705 +g1040 +tp15836 +a(g573 +Vassq +p15837 +tp15838 +a(g826 +g1044 +tp15839 +a(g440 +Vsym +p15840 +tp15841 +a(g826 +g1044 +tp15842 +a(g440 +Vbyte-compile--lexical-environment +p15843 +tp15844 +a(g705 +g1064 +tp15845 +a(g826 +V\u000a +p15846 +tp15847 +a(g705 +g1040 +tp15848 +a(g440 +Vbyte-compile-log-warning +p15849 +tp15850 +a(g826 +g1044 +tp15851 +a(g440 +Vmsg +p15852 +tp15853 +a(g826 +g1044 +tp15854 +a(g565 +g1187 +tp15855 +a(g826 +g1044 +tp15856 +a(g681 +V:error +p15857 +tp15858 +a(g705 +g1064 +tp15859 +a(g705 +g1064 +tp15860 +a(g705 +g1064 +tp15861 +a(g705 +g1064 +tp15862 +a(g826 +V\u000a +p15863 +tp15864 +a(g705 +g1040 +tp15865 +a(g440 +Vcode +p15866 +tp15867 +a(g826 +V\u000a +p15868 +tp15869 +a(g705 +g1040 +tp15870 +a(g440 +Vmacroexp-let2 +p15871 +tp15872 +a(g826 +g1044 +tp15873 +a(g440 +Vmacroexp-copyable-p +p15874 +tp15875 +a(g826 +g1044 +tp15876 +a(g440 +g1971 +tp15877 +a(g826 +g1044 +tp15878 +a(g440 +Velement +p15879 +tp15880 +a(g826 +V\u000a +p15881 +tp15882 +a(g412 +g1128 +tp15883 +a(g705 +g1040 +tp15884 +a(g744 +Vif +p15885 +tp15886 +a(g826 +g1044 +tp15887 +a(g412 +g1198 +tp15888 +a(g705 +g1040 +tp15889 +a(g744 +Vif +p15890 +tp15891 +a(g826 +g1044 +tp15892 +a(g440 +Vcompare-fn +p15893 +tp15894 +a(g826 +V\u000a +p15895 +tp15896 +a(g705 +g1040 +tp15897 +a(g744 +Vprogn +p15898 +tp15899 +a(g826 +V\u000a +p15900 +tp15901 +a(g705 +g1040 +tp15902 +a(g681 +Vrequire +p15903 +tp15904 +a(g826 +g1044 +tp15905 +a(g117 +V'cl-lib +p15906 +tp15907 +a(g705 +g1064 +tp15908 +a(g826 +V\u000a +p15909 +tp15910 +a(g412 +g1128 +tp15911 +a(g705 +g1040 +tp15912 +a(g440 +Vcl-member +p15913 +tp15914 +a(g826 +g1044 +tp15915 +a(g412 +g1198 +tp15916 +a(g440 +g1971 +tp15917 +a(g826 +g1044 +tp15918 +a(g412 +g1198 +tp15919 +a(g440 +Vsym +p15920 +tp15921 +a(g826 +g1044 +tp15922 +a(g681 +V:test +p15923 +tp15924 +a(g826 +g1044 +tp15925 +a(g412 +g1198 +tp15926 +a(g440 +Vcompare-fn +p15927 +tp15928 +a(g705 +g1064 +tp15929 +a(g705 +g1064 +tp15930 +a(g826 +V\u000a +p15931 +tp15932 +a(g8 +V;; For bootstrapping reasons, don't rely on +p15933 +tp15934 +a(g826 +V\u000a +p15935 +tp15936 +a(g8 +V;; cl--compiler-macro-member for the base case. +p15937 +tp15938 +a(g826 +V\u000a +p15939 +tp15940 +a(g412 +g1128 +tp15941 +a(g705 +g1040 +tp15942 +a(g573 +Vmember +p15943 +tp15944 +a(g826 +g1044 +tp15945 +a(g412 +g1198 +tp15946 +a(g440 +g1971 +tp15947 +a(g826 +g1044 +tp15948 +a(g412 +g1198 +tp15949 +a(g440 +Vsym +p15950 +tp15951 +a(g705 +g1064 +tp15952 +a(g705 +g1064 +tp15953 +a(g826 +V\u000a +p15954 +tp15955 +a(g412 +g1198 +tp15956 +a(g440 +Vsym +p15957 +tp15958 +a(g826 +V\u000a +p15959 +tp15960 +a(g412 +g1198 +tp15961 +a(g705 +g1040 +tp15962 +a(g744 +Vif +p15963 +tp15964 +a(g826 +g1044 +tp15965 +a(g573 +Vappend +p15966 +tp15967 +a(g826 +V\u000a +p15968 +tp15969 +a(g412 +g1128 +tp15970 +a(g705 +g1040 +tp15971 +a(g744 +Vsetq +p15972 +tp15973 +a(g826 +g1044 +tp15974 +a(g412 +g1198 +tp15975 +a(g440 +Vsym +p15976 +tp15977 +a(g826 +g1044 +tp15978 +a(g705 +g1040 +tp15979 +a(g573 +Vappend +p15980 +tp15981 +a(g826 +g1044 +tp15982 +a(g412 +g1198 +tp15983 +a(g440 +Vsym +p15984 +tp15985 +a(g826 +g1044 +tp15986 +a(g705 +g1040 +tp15987 +a(g573 +Vlist +p15988 +tp15989 +a(g826 +g1044 +tp15990 +a(g412 +g1198 +tp15991 +a(g440 +g1971 +tp15992 +a(g705 +g1064 +tp15993 +a(g705 +g1064 +tp15994 +a(g705 +g1064 +tp15995 +a(g826 +V\u000a +p15996 +tp15997 +a(g412 +g1128 +tp15998 +a(g705 +g1040 +tp15999 +a(g681 +Vpush +p16000 +tp16001 +a(g826 +g1044 +tp16002 +a(g412 +g1198 +tp16003 +a(g440 +g1971 +tp16004 +a(g826 +g1044 +tp16005 +a(g412 +g1198 +tp16006 +a(g440 +Vsym +p16007 +tp16008 +a(g705 +g1064 +tp16009 +a(g705 +g1064 +tp16010 +a(g705 +g1064 +tp16011 +a(g705 +g1064 +tp16012 +a(g705 +g1064 +tp16013 +a(g705 +g1064 +tp16014 +a(g826 +V\u000a +p16015 +tp16016 +a(g705 +g1040 +tp16017 +a(g744 +Vif +p16018 +tp16019 +a(g826 +g1044 +tp16020 +a(g705 +g1040 +tp16021 +a(g440 +Vnot +p16022 +tp16023 +a(g826 +g1044 +tp16024 +a(g705 +g1040 +tp16025 +a(g440 +Vmacroexp--compiling-p +p16026 +tp16027 +a(g705 +g1064 +tp16028 +a(g705 +g1064 +tp16029 +a(g826 +V\u000a +p16030 +tp16031 +a(g440 +Vcode +p16032 +tp16033 +a(g826 +V\u000a +p16034 +tp16035 +a(g412 +g1128 +tp16036 +a(g705 +g1040 +tp16037 +a(g744 +Vprogn +p16038 +tp16039 +a(g826 +V\u000a +p16040 +tp16041 +a(g705 +g1040 +tp16042 +a(g440 +Vmacroexp--funcall-if-compiled +p16043 +tp16044 +a(g826 +g1044 +tp16045 +a(g117 +V',warnfun +p16046 +tp16047 +a(g705 +g1064 +tp16048 +a(g826 +V\u000a +p16049 +tp16050 +a(g412 +g1198 +tp16051 +a(g440 +Vcode +p16052 +tp16053 +a(g705 +g1064 +tp16054 +a(g705 +g1064 +tp16055 +a(g705 +g1064 +tp16056 +a(g705 +g1064 +tp16057 +a(g705 +g1064 +tp16058 +a(g705 +g1064 +tp16059 +a(g705 +g1064 +tp16060 +a(g826 +V\u000a +p16061 +tp16062 +a(g705 +g1040 +tp16063 +a(g744 +Vif +p16064 +tp16065 +a(g826 +g1044 +tp16066 +a(g705 +g1040 +tp16067 +a(g744 +Vcond +p16068 +tp16069 +a(g826 +V\u000a +p16070 +tp16071 +a(g705 +g1040 +tp16072 +a(g705 +g1040 +tp16073 +a(g573 +Vnull +p16074 +tp16075 +a(g826 +g1044 +tp16076 +a(g440 +Vcompare-fn +p16077 +tp16078 +a(g705 +g1064 +tp16079 +a(g826 +V\u000a +p16080 +tp16081 +a(g705 +g1040 +tp16082 +a(g573 +Vmember +p16083 +tp16084 +a(g826 +g1044 +tp16085 +a(g440 +Velement +p16086 +tp16087 +a(g826 +g1044 +tp16088 +a(g705 +g1040 +tp16089 +a(g573 +Vsymbol-value +p16090 +tp16091 +a(g826 +g1044 +tp16092 +a(g440 +Vlist-var +p16093 +tp16094 +a(g705 +g1064 +tp16095 +a(g705 +g1064 +tp16096 +a(g705 +g1064 +tp16097 +a(g826 +V\u000a +p16098 +tp16099 +a(g705 +g1040 +tp16100 +a(g705 +g1040 +tp16101 +a(g573 +Veq +p16102 +tp16103 +a(g826 +g1044 +tp16104 +a(g440 +Vcompare-fn +p16105 +tp16106 +a(g826 +g1044 +tp16107 +a(g117 +V'eq +p16108 +tp16109 +a(g705 +g1064 +tp16110 +a(g826 +V\u000a +p16111 +tp16112 +a(g705 +g1040 +tp16113 +a(g573 +Vmemq +p16114 +tp16115 +a(g826 +g1044 +tp16116 +a(g440 +Velement +p16117 +tp16118 +a(g826 +g1044 +tp16119 +a(g705 +g1040 +tp16120 +a(g573 +Vsymbol-value +p16121 +tp16122 +a(g826 +g1044 +tp16123 +a(g440 +Vlist-var +p16124 +tp16125 +a(g705 +g1064 +tp16126 +a(g705 +g1064 +tp16127 +a(g705 +g1064 +tp16128 +a(g826 +V\u000a +p16129 +tp16130 +a(g705 +g1040 +tp16131 +a(g705 +g1040 +tp16132 +a(g573 +Veq +p16133 +tp16134 +a(g826 +g1044 +tp16135 +a(g440 +Vcompare-fn +p16136 +tp16137 +a(g826 +g1044 +tp16138 +a(g117 +V'eql +p16139 +tp16140 +a(g705 +g1064 +tp16141 +a(g826 +V\u000a +p16142 +tp16143 +a(g705 +g1040 +tp16144 +a(g573 +Vmemql +p16145 +tp16146 +a(g826 +g1044 +tp16147 +a(g440 +Velement +p16148 +tp16149 +a(g826 +g1044 +tp16150 +a(g705 +g1040 +tp16151 +a(g573 +Vsymbol-value +p16152 +tp16153 +a(g826 +g1044 +tp16154 +a(g440 +Vlist-var +p16155 +tp16156 +a(g705 +g1064 +tp16157 +a(g705 +g1064 +tp16158 +a(g705 +g1064 +tp16159 +a(g826 +V\u000a +p16160 +tp16161 +a(g705 +g1040 +tp16162 +a(g565 +g1187 +tp16163 +a(g826 +V\u000a +p16164 +tp16165 +a(g705 +g1040 +tp16166 +a(g744 +Vlet +p16167 +tp16168 +a(g826 +g1044 +tp16169 +a(g705 +g1040 +tp16170 +a(g705 +g1040 +tp16171 +a(g440 +Vlst +p16172 +tp16173 +a(g826 +g1044 +tp16174 +a(g705 +g1040 +tp16175 +a(g573 +Vsymbol-value +p16176 +tp16177 +a(g826 +g1044 +tp16178 +a(g440 +Vlist-var +p16179 +tp16180 +a(g705 +g1064 +tp16181 +a(g705 +g1064 +tp16182 +a(g705 +g1064 +tp16183 +a(g826 +V\u000a +p16184 +tp16185 +a(g705 +g1040 +tp16186 +a(g744 +Vwhile +p16187 +tp16188 +a(g826 +g1044 +tp16189 +a(g705 +g1040 +tp16190 +a(g744 +Vand +p16191 +tp16192 +a(g826 +g1044 +tp16193 +a(g440 +Vlst +p16194 +tp16195 +a(g826 +V\u000a +p16196 +tp16197 +a(g705 +g1040 +tp16198 +a(g440 +Vnot +p16199 +tp16200 +a(g826 +g1044 +tp16201 +a(g705 +g1040 +tp16202 +a(g573 +Vfuncall +p16203 +tp16204 +a(g826 +g1044 +tp16205 +a(g440 +Vcompare-fn +p16206 +tp16207 +a(g826 +g1044 +tp16208 +a(g440 +Velement +p16209 +tp16210 +a(g826 +g1044 +tp16211 +a(g705 +g1040 +tp16212 +a(g573 +Vcar +p16213 +tp16214 +a(g826 +g1044 +tp16215 +a(g440 +Vlst +p16216 +tp16217 +a(g705 +g1064 +tp16218 +a(g705 +g1064 +tp16219 +a(g705 +g1064 +tp16220 +a(g705 +g1064 +tp16221 +a(g826 +V\u000a +p16222 +tp16223 +a(g705 +g1040 +tp16224 +a(g744 +Vsetq +p16225 +tp16226 +a(g826 +g1044 +tp16227 +a(g440 +Vlst +p16228 +tp16229 +a(g826 +g1044 +tp16230 +a(g705 +g1040 +tp16231 +a(g573 +Vcdr +p16232 +tp16233 +a(g826 +g1044 +tp16234 +a(g440 +Vlst +p16235 +tp16236 +a(g705 +g1064 +tp16237 +a(g705 +g1064 +tp16238 +a(g705 +g1064 +tp16239 +a(g826 +V\u000a +p16240 +tp16241 +a(g440 +Vlst +p16242 +tp16243 +a(g705 +g1064 +tp16244 +a(g705 +g1064 +tp16245 +a(g705 +g1064 +tp16246 +a(g826 +V\u000a +p16247 +tp16248 +a(g705 +g1040 +tp16249 +a(g573 +Vsymbol-value +p16250 +tp16251 +a(g826 +g1044 +tp16252 +a(g440 +Vlist-var +p16253 +tp16254 +a(g705 +g1064 +tp16255 +a(g826 +V\u000a +p16256 +tp16257 +a(g705 +g1040 +tp16258 +a(g573 +Vset +p16259 +tp16260 +a(g826 +g1044 +tp16261 +a(g440 +Vlist-var +p16262 +tp16263 +a(g826 +V\u000a +p16264 +tp16265 +a(g705 +g1040 +tp16266 +a(g744 +Vif +p16267 +tp16268 +a(g826 +g1044 +tp16269 +a(g573 +Vappend +p16270 +tp16271 +a(g826 +V\u000a +p16272 +tp16273 +a(g705 +g1040 +tp16274 +a(g573 +Vappend +p16275 +tp16276 +a(g826 +g1044 +tp16277 +a(g705 +g1040 +tp16278 +a(g573 +Vsymbol-value +p16279 +tp16280 +a(g826 +g1044 +tp16281 +a(g440 +Vlist-var +p16282 +tp16283 +a(g705 +g1064 +tp16284 +a(g826 +g1044 +tp16285 +a(g705 +g1040 +tp16286 +a(g573 +Vlist +p16287 +tp16288 +a(g826 +g1044 +tp16289 +a(g440 +Velement +p16290 +tp16291 +a(g705 +g1064 +tp16292 +a(g705 +g1064 +tp16293 +a(g826 +V\u000a +p16294 +tp16295 +a(g705 +g1040 +tp16296 +a(g573 +Vcons +p16297 +tp16298 +a(g826 +g1044 +tp16299 +a(g440 +Velement +p16300 +tp16301 +a(g826 +g1044 +tp16302 +a(g705 +g1040 +tp16303 +a(g573 +Vsymbol-value +p16304 +tp16305 +a(g826 +g1044 +tp16306 +a(g440 +Vlist-var +p16307 +tp16308 +a(g705 +g1064 +tp16309 +a(g705 +g1064 +tp16310 +a(g705 +g1064 +tp16311 +a(g705 +g1064 +tp16312 +a(g705 +g1064 +tp16313 +a(g705 +g1064 +tp16314 +a(g826 +V\u000a\u000a\u000a +p16315 +tp16316 +a(g705 +g1040 +tp16317 +a(g681 +Vdefun +p16318 +tp16319 +a(g826 +g1044 +tp16320 +a(g440 +Vadd-to-ordered-list +p16321 +tp16322 +a(g826 +g1044 +tp16323 +a(g705 +g1040 +tp16324 +a(g440 +Vlist-var +p16325 +tp16326 +a(g826 +g1044 +tp16327 +a(g440 +Velement +p16328 +tp16329 +a(g826 +g1044 +tp16330 +a(g785 +V&optional +p16331 +tp16332 +a(g826 +g1044 +tp16333 +a(g440 +Vorder +p16334 +tp16335 +a(g705 +g1064 +tp16336 +a(g826 +V\u000a +p16337 +tp16338 +a(g89 +g1068 +tp16339 +a(g89 +VAdd ELEMENT to the value of LIST-VAR if it isn't there yet.\u000aThe test for presence of ELEMENT is done with +p16340 +tp16341 +a(g117 +V`eq' +p16342 +tp16343 +a(g89 +V.\u000a\u000aThe resulting list is reordered so that the elements are in the\u000aorder given by each element's numeric list order. Elements\u000awithout a numeric list order are placed at the end of the list.\u000a\u000aIf the third optional argument ORDER is a number (integer or\u000afloat), set the element's list order to the given value. If\u000aORDER is nil or omitted, do not change the numeric order of\u000aELEMENT. If ORDER has any other value, remove the numeric order\u000aof ELEMENT if it has one.\u000a\u000aThe list order for each element is stored in LIST-VAR's\u000a +p16344 +tp16345 +a(g117 +V`list-order' +p16346 +tp16347 +a(g89 +V property.\u000a\u000aThe return value is the new value of LIST-VAR. +p16348 +tp16349 +a(g89 +g1068 +tp16350 +a(g826 +V\u000a +p16351 +tp16352 +a(g705 +g1040 +tp16353 +a(g744 +Vlet +p16354 +tp16355 +a(g826 +g1044 +tp16356 +a(g705 +g1040 +tp16357 +a(g705 +g1040 +tp16358 +a(g440 +Vordering +p16359 +tp16360 +a(g826 +g1044 +tp16361 +a(g705 +g1040 +tp16362 +a(g573 +Vget +p16363 +tp16364 +a(g826 +g1044 +tp16365 +a(g440 +Vlist-var +p16366 +tp16367 +a(g826 +g1044 +tp16368 +a(g117 +V'list-order +p16369 +tp16370 +a(g705 +g1064 +tp16371 +a(g705 +g1064 +tp16372 +a(g705 +g1064 +tp16373 +a(g826 +V\u000a +p16374 +tp16375 +a(g705 +g1040 +tp16376 +a(g681 +Vunless +p16377 +tp16378 +a(g826 +g1044 +tp16379 +a(g440 +Vordering +p16380 +tp16381 +a(g826 +V\u000a +p16382 +tp16383 +a(g705 +g1040 +tp16384 +a(g573 +Vput +p16385 +tp16386 +a(g826 +g1044 +tp16387 +a(g440 +Vlist-var +p16388 +tp16389 +a(g826 +g1044 +tp16390 +a(g117 +V'list-order +p16391 +tp16392 +a(g826 +V\u000a +p16393 +tp16394 +a(g705 +g1040 +tp16395 +a(g744 +Vsetq +p16396 +tp16397 +a(g826 +g1044 +tp16398 +a(g440 +Vordering +p16399 +tp16400 +a(g826 +g1044 +tp16401 +a(g705 +g1040 +tp16402 +a(g573 +Vmake-hash-table +p16403 +tp16404 +a(g826 +g1044 +tp16405 +a(g681 +V:weakness +p16406 +tp16407 +a(g826 +g1044 +tp16408 +a(g117 +V'key +p16409 +tp16410 +a(g826 +g1044 +tp16411 +a(g681 +V:test +p16412 +tp16413 +a(g826 +g1044 +tp16414 +a(g117 +V'eq +p16415 +tp16416 +a(g705 +g1064 +tp16417 +a(g705 +g1064 +tp16418 +a(g705 +g1064 +tp16419 +a(g705 +g1064 +tp16420 +a(g826 +V\u000a +p16421 +tp16422 +a(g705 +g1040 +tp16423 +a(g681 +Vwhen +p16424 +tp16425 +a(g826 +g1044 +tp16426 +a(g440 +Vorder +p16427 +tp16428 +a(g826 +V\u000a +p16429 +tp16430 +a(g705 +g1040 +tp16431 +a(g573 +Vputhash +p16432 +tp16433 +a(g826 +g1044 +tp16434 +a(g440 +Velement +p16435 +tp16436 +a(g826 +g1044 +tp16437 +a(g705 +g1040 +tp16438 +a(g744 +Vand +p16439 +tp16440 +a(g826 +g1044 +tp16441 +a(g705 +g1040 +tp16442 +a(g573 +Vnumberp +p16443 +tp16444 +a(g826 +g1044 +tp16445 +a(g440 +Vorder +p16446 +tp16447 +a(g705 +g1064 +tp16448 +a(g826 +g1044 +tp16449 +a(g440 +Vorder +p16450 +tp16451 +a(g705 +g1064 +tp16452 +a(g826 +g1044 +tp16453 +a(g440 +Vordering +p16454 +tp16455 +a(g705 +g1064 +tp16456 +a(g705 +g1064 +tp16457 +a(g826 +V\u000a +p16458 +tp16459 +a(g705 +g1040 +tp16460 +a(g681 +Vunless +p16461 +tp16462 +a(g826 +g1044 +tp16463 +a(g705 +g1040 +tp16464 +a(g573 +Vmemq +p16465 +tp16466 +a(g826 +g1044 +tp16467 +a(g440 +Velement +p16468 +tp16469 +a(g826 +g1044 +tp16470 +a(g705 +g1040 +tp16471 +a(g573 +Vsymbol-value +p16472 +tp16473 +a(g826 +g1044 +tp16474 +a(g440 +Vlist-var +p16475 +tp16476 +a(g705 +g1064 +tp16477 +a(g705 +g1064 +tp16478 +a(g826 +V\u000a +p16479 +tp16480 +a(g705 +g1040 +tp16481 +a(g573 +Vset +p16482 +tp16483 +a(g826 +g1044 +tp16484 +a(g440 +Vlist-var +p16485 +tp16486 +a(g826 +g1044 +tp16487 +a(g705 +g1040 +tp16488 +a(g573 +Vcons +p16489 +tp16490 +a(g826 +g1044 +tp16491 +a(g440 +Velement +p16492 +tp16493 +a(g826 +g1044 +tp16494 +a(g705 +g1040 +tp16495 +a(g573 +Vsymbol-value +p16496 +tp16497 +a(g826 +g1044 +tp16498 +a(g440 +Vlist-var +p16499 +tp16500 +a(g705 +g1064 +tp16501 +a(g705 +g1064 +tp16502 +a(g705 +g1064 +tp16503 +a(g705 +g1064 +tp16504 +a(g826 +V\u000a +p16505 +tp16506 +a(g705 +g1040 +tp16507 +a(g573 +Vset +p16508 +tp16509 +a(g826 +g1044 +tp16510 +a(g440 +Vlist-var +p16511 +tp16512 +a(g826 +g1044 +tp16513 +a(g705 +g1040 +tp16514 +a(g573 +Vsort +p16515 +tp16516 +a(g826 +g1044 +tp16517 +a(g705 +g1040 +tp16518 +a(g573 +Vsymbol-value +p16519 +tp16520 +a(g826 +g1044 +tp16521 +a(g440 +Vlist-var +p16522 +tp16523 +a(g705 +g1064 +tp16524 +a(g826 +V\u000a +p16525 +tp16526 +a(g705 +g1040 +tp16527 +a(g681 +Vlambda +p16528 +tp16529 +a(g826 +g1044 +tp16530 +a(g705 +g1040 +tp16531 +a(g440 +g7217 +tp16532 +a(g826 +g1044 +tp16533 +a(g440 +g7220 +tp16534 +a(g705 +g1064 +tp16535 +a(g826 +V\u000a +p16536 +tp16537 +a(g705 +g1040 +tp16538 +a(g744 +Vlet +p16539 +tp16540 +a(g826 +g1044 +tp16541 +a(g705 +g1040 +tp16542 +a(g705 +g1040 +tp16543 +a(g440 +Voa +p16544 +tp16545 +a(g826 +g1044 +tp16546 +a(g705 +g1040 +tp16547 +a(g573 +Vgethash +p16548 +tp16549 +a(g826 +g1044 +tp16550 +a(g440 +g7217 +tp16551 +a(g826 +g1044 +tp16552 +a(g440 +Vordering +p16553 +tp16554 +a(g705 +g1064 +tp16555 +a(g705 +g1064 +tp16556 +a(g826 +V\u000a +p16557 +tp16558 +a(g705 +g1040 +tp16559 +a(g440 +Vob +p16560 +tp16561 +a(g826 +g1044 +tp16562 +a(g705 +g1040 +tp16563 +a(g573 +Vgethash +p16564 +tp16565 +a(g826 +g1044 +tp16566 +a(g440 +g7220 +tp16567 +a(g826 +g1044 +tp16568 +a(g440 +Vordering +p16569 +tp16570 +a(g705 +g1064 +tp16571 +a(g705 +g1064 +tp16572 +a(g705 +g1064 +tp16573 +a(g826 +V\u000a +p16574 +tp16575 +a(g705 +g1040 +tp16576 +a(g744 +Vif +p16577 +tp16578 +a(g826 +g1044 +tp16579 +a(g705 +g1040 +tp16580 +a(g744 +Vand +p16581 +tp16582 +a(g826 +g1044 +tp16583 +a(g440 +Voa +p16584 +tp16585 +a(g826 +g1044 +tp16586 +a(g440 +Vob +p16587 +tp16588 +a(g705 +g1064 +tp16589 +a(g826 +V\u000a +p16590 +tp16591 +a(g705 +g1040 +tp16592 +a(g573 +g2719 +tp16593 +a(g826 +g1044 +tp16594 +a(g440 +Voa +p16595 +tp16596 +a(g826 +g1044 +tp16597 +a(g440 +Vob +p16598 +tp16599 +a(g705 +g1064 +tp16600 +a(g826 +V\u000a +p16601 +tp16602 +a(g440 +Voa +p16603 +tp16604 +a(g705 +g1064 +tp16605 +a(g705 +g1064 +tp16606 +a(g705 +g1064 +tp16607 +a(g705 +g1064 +tp16608 +a(g705 +g1064 +tp16609 +a(g705 +g1064 +tp16610 +a(g705 +g1064 +tp16611 +a(g826 +V\u000a\u000a +p16612 +tp16613 +a(g705 +g1040 +tp16614 +a(g681 +Vdefun +p16615 +tp16616 +a(g826 +g1044 +tp16617 +a(g440 +Vadd-to-history +p16618 +tp16619 +a(g826 +g1044 +tp16620 +a(g705 +g1040 +tp16621 +a(g440 +Vhistory-var +p16622 +tp16623 +a(g826 +g1044 +tp16624 +a(g440 +Vnewelt +p16625 +tp16626 +a(g826 +g1044 +tp16627 +a(g785 +V&optional +p16628 +tp16629 +a(g826 +g1044 +tp16630 +a(g440 +Vmaxelt +p16631 +tp16632 +a(g826 +g1044 +tp16633 +a(g440 +Vkeep-all +p16634 +tp16635 +a(g705 +g1064 +tp16636 +a(g826 +V\u000a +p16637 +tp16638 +a(g89 +g1068 +tp16639 +a(g89 +VAdd NEWELT to the history list stored in the variable HISTORY-VAR.\u000aReturn the new history list.\u000aIf MAXELT is non-nil, it specifies the maximum length of the history.\u000aOtherwise, the maximum history length is the value of the +p16640 +tp16641 +a(g117 +V`history-length' +p16642 +tp16643 +a(g89 +V\u000aproperty on symbol HISTORY-VAR, if set, or the value of the +p16644 +tp16645 +a(g117 +V`history-length' +p16646 +tp16647 +a(g89 +V\u000avariable.\u000aRemove duplicates of NEWELT if +p16648 +tp16649 +a(g117 +V`history-delete-duplicates' +p16650 +tp16651 +a(g89 +V is non-nil.\u000aIf optional fourth arg KEEP-ALL is non-nil, add NEWELT to history even\u000aif it is empty or a duplicate. +p16652 +tp16653 +a(g89 +g1068 +tp16654 +a(g826 +V\u000a +p16655 +tp16656 +a(g705 +g1040 +tp16657 +a(g681 +Vunless +p16658 +tp16659 +a(g826 +g1044 +tp16660 +a(g440 +Vmaxelt +p16661 +tp16662 +a(g826 +V\u000a +p16663 +tp16664 +a(g705 +g1040 +tp16665 +a(g744 +Vsetq +p16666 +tp16667 +a(g826 +g1044 +tp16668 +a(g440 +Vmaxelt +p16669 +tp16670 +a(g826 +g1044 +tp16671 +a(g705 +g1040 +tp16672 +a(g744 +Vor +p16673 +tp16674 +a(g826 +g1044 +tp16675 +a(g705 +g1040 +tp16676 +a(g573 +Vget +p16677 +tp16678 +a(g826 +g1044 +tp16679 +a(g440 +Vhistory-var +p16680 +tp16681 +a(g826 +g1044 +tp16682 +a(g117 +V'history-length +p16683 +tp16684 +a(g705 +g1064 +tp16685 +a(g826 +V\u000a +p16686 +tp16687 +a(g440 +Vhistory-length +p16688 +tp16689 +a(g705 +g1064 +tp16690 +a(g705 +g1064 +tp16691 +a(g705 +g1064 +tp16692 +a(g826 +V\u000a +p16693 +tp16694 +a(g705 +g1040 +tp16695 +a(g744 +Vlet +p16696 +tp16697 +a(g826 +g1044 +tp16698 +a(g705 +g1040 +tp16699 +a(g705 +g1040 +tp16700 +a(g440 +Vhistory +p16701 +tp16702 +a(g826 +g1044 +tp16703 +a(g705 +g1040 +tp16704 +a(g573 +Vsymbol-value +p16705 +tp16706 +a(g826 +g1044 +tp16707 +a(g440 +Vhistory-var +p16708 +tp16709 +a(g705 +g1064 +tp16710 +a(g705 +g1064 +tp16711 +a(g826 +V\u000a +p16712 +tp16713 +a(g440 +Vtail +p16714 +tp16715 +a(g705 +g1064 +tp16716 +a(g826 +V\u000a +p16717 +tp16718 +a(g705 +g1040 +tp16719 +a(g681 +Vwhen +p16720 +tp16721 +a(g826 +g1044 +tp16722 +a(g705 +g1040 +tp16723 +a(g744 +Vand +p16724 +tp16725 +a(g826 +g1044 +tp16726 +a(g705 +g1040 +tp16727 +a(g573 +Vlistp +p16728 +tp16729 +a(g826 +g1044 +tp16730 +a(g440 +Vhistory +p16731 +tp16732 +a(g705 +g1064 +tp16733 +a(g826 +V\u000a +p16734 +tp16735 +a(g705 +g1040 +tp16736 +a(g744 +Vor +p16737 +tp16738 +a(g826 +g1044 +tp16739 +a(g440 +Vkeep-all +p16740 +tp16741 +a(g826 +V\u000a +p16742 +tp16743 +a(g705 +g1040 +tp16744 +a(g440 +Vnot +p16745 +tp16746 +a(g826 +g1044 +tp16747 +a(g705 +g1040 +tp16748 +a(g573 +Vstringp +p16749 +tp16750 +a(g826 +g1044 +tp16751 +a(g440 +Vnewelt +p16752 +tp16753 +a(g705 +g1064 +tp16754 +a(g705 +g1064 +tp16755 +a(g826 +V\u000a +p16756 +tp16757 +a(g705 +g1040 +tp16758 +a(g573 +g3989 +tp16759 +a(g826 +g1044 +tp16760 +a(g705 +g1040 +tp16761 +a(g573 +Vlength +p16762 +tp16763 +a(g826 +g1044 +tp16764 +a(g440 +Vnewelt +p16765 +tp16766 +a(g705 +g1064 +tp16767 +a(g826 +g1044 +tp16768 +a(g37 +g2628 +tp16769 +a(g705 +g1064 +tp16770 +a(g705 +g1064 +tp16771 +a(g826 +V\u000a +p16772 +tp16773 +a(g705 +g1040 +tp16774 +a(g744 +Vor +p16775 +tp16776 +a(g826 +g1044 +tp16777 +a(g440 +Vkeep-all +p16778 +tp16779 +a(g826 +V\u000a +p16780 +tp16781 +a(g705 +g1040 +tp16782 +a(g440 +Vnot +p16783 +tp16784 +a(g826 +g1044 +tp16785 +a(g705 +g1040 +tp16786 +a(g573 +Vequal +p16787 +tp16788 +a(g826 +g1044 +tp16789 +a(g705 +g1040 +tp16790 +a(g573 +Vcar +p16791 +tp16792 +a(g826 +g1044 +tp16793 +a(g440 +Vhistory +p16794 +tp16795 +a(g705 +g1064 +tp16796 +a(g826 +g1044 +tp16797 +a(g440 +Vnewelt +p16798 +tp16799 +a(g705 +g1064 +tp16800 +a(g705 +g1064 +tp16801 +a(g705 +g1064 +tp16802 +a(g705 +g1064 +tp16803 +a(g826 +V\u000a +p16804 +tp16805 +a(g705 +g1040 +tp16806 +a(g744 +Vif +p16807 +tp16808 +a(g826 +g1044 +tp16809 +a(g440 +Vhistory-delete-duplicates +p16810 +tp16811 +a(g826 +V\u000a +p16812 +tp16813 +a(g705 +g1040 +tp16814 +a(g744 +Vsetq +p16815 +tp16816 +a(g826 +g1044 +tp16817 +a(g440 +Vhistory +p16818 +tp16819 +a(g826 +g1044 +tp16820 +a(g705 +g1040 +tp16821 +a(g573 +Vdelete +p16822 +tp16823 +a(g826 +g1044 +tp16824 +a(g440 +Vnewelt +p16825 +tp16826 +a(g826 +g1044 +tp16827 +a(g440 +Vhistory +p16828 +tp16829 +a(g705 +g1064 +tp16830 +a(g705 +g1064 +tp16831 +a(g705 +g1064 +tp16832 +a(g826 +V\u000a +p16833 +tp16834 +a(g705 +g1040 +tp16835 +a(g744 +Vsetq +p16836 +tp16837 +a(g826 +g1044 +tp16838 +a(g440 +Vhistory +p16839 +tp16840 +a(g826 +g1044 +tp16841 +a(g705 +g1040 +tp16842 +a(g573 +Vcons +p16843 +tp16844 +a(g826 +g1044 +tp16845 +a(g440 +Vnewelt +p16846 +tp16847 +a(g826 +g1044 +tp16848 +a(g440 +Vhistory +p16849 +tp16850 +a(g705 +g1064 +tp16851 +a(g705 +g1064 +tp16852 +a(g826 +V\u000a +p16853 +tp16854 +a(g705 +g1040 +tp16855 +a(g681 +Vwhen +p16856 +tp16857 +a(g826 +g1044 +tp16858 +a(g705 +g1040 +tp16859 +a(g573 +Vintegerp +p16860 +tp16861 +a(g826 +g1044 +tp16862 +a(g440 +Vmaxelt +p16863 +tp16864 +a(g705 +g1064 +tp16865 +a(g826 +V\u000a +p16866 +tp16867 +a(g705 +g1040 +tp16868 +a(g744 +Vif +p16869 +tp16870 +a(g826 +g1044 +tp16871 +a(g705 +g1040 +tp16872 +a(g573 +g4082 +tp16873 +a(g826 +g1044 +tp16874 +a(g37 +g2628 +tp16875 +a(g826 +g1044 +tp16876 +a(g440 +Vmaxelt +p16877 +tp16878 +a(g705 +g1064 +tp16879 +a(g826 +V\u000a +p16880 +tp16881 +a(g705 +g1040 +tp16882 +a(g744 +Vsetq +p16883 +tp16884 +a(g826 +g1044 +tp16885 +a(g440 +Vhistory +p16886 +tp16887 +a(g826 +g1044 +tp16888 +a(g565 +Vnil +p16889 +tp16890 +a(g705 +g1064 +tp16891 +a(g826 +V\u000a +p16892 +tp16893 +a(g705 +g1040 +tp16894 +a(g744 +Vsetq +p16895 +tp16896 +a(g826 +g1044 +tp16897 +a(g440 +Vtail +p16898 +tp16899 +a(g826 +g1044 +tp16900 +a(g705 +g1040 +tp16901 +a(g573 +Vnthcdr +p16902 +tp16903 +a(g826 +g1044 +tp16904 +a(g705 +g1040 +tp16905 +a(g573 +V1- +p16906 +tp16907 +a(g826 +g1044 +tp16908 +a(g440 +Vmaxelt +p16909 +tp16910 +a(g705 +g1064 +tp16911 +a(g826 +g1044 +tp16912 +a(g440 +Vhistory +p16913 +tp16914 +a(g705 +g1064 +tp16915 +a(g705 +g1064 +tp16916 +a(g826 +V\u000a +p16917 +tp16918 +a(g705 +g1040 +tp16919 +a(g681 +Vwhen +p16920 +tp16921 +a(g826 +g1044 +tp16922 +a(g705 +g1040 +tp16923 +a(g573 +Vconsp +p16924 +tp16925 +a(g826 +g1044 +tp16926 +a(g440 +Vtail +p16927 +tp16928 +a(g705 +g1064 +tp16929 +a(g826 +V\u000a +p16930 +tp16931 +a(g705 +g1040 +tp16932 +a(g573 +Vsetcdr +p16933 +tp16934 +a(g826 +g1044 +tp16935 +a(g440 +Vtail +p16936 +tp16937 +a(g826 +g1044 +tp16938 +a(g565 +Vnil +p16939 +tp16940 +a(g705 +g1064 +tp16941 +a(g705 +g1064 +tp16942 +a(g705 +g1064 +tp16943 +a(g705 +g1064 +tp16944 +a(g705 +g1064 +tp16945 +a(g826 +V\u000a +p16946 +tp16947 +a(g705 +g1040 +tp16948 +a(g573 +Vset +p16949 +tp16950 +a(g826 +g1044 +tp16951 +a(g440 +Vhistory-var +p16952 +tp16953 +a(g826 +g1044 +tp16954 +a(g440 +Vhistory +p16955 +tp16956 +a(g705 +g1064 +tp16957 +a(g705 +g1064 +tp16958 +a(g705 +g1064 +tp16959 +a(g826 +V\u000a\u000a \u000a +p16960 +tp16961 +a(g8 +V;;;; Mode hooks. +p16962 +tp16963 +a(g826 +V\u000a\u000a +p16964 +tp16965 +a(g705 +g1040 +tp16966 +a(g744 +Vdefvar +p16967 +tp16968 +a(g826 +g1044 +tp16969 +a(g681 +Vdelay-mode-hooks +p16970 +tp16971 +a(g826 +g1044 +tp16972 +a(g565 +Vnil +p16973 +tp16974 +a(g826 +V\u000a +p16975 +tp16976 +a(g89 +g1068 +tp16977 +a(g89 +VIf non-nil, +p16978 +tp16979 +a(g117 +V`run-mode-hooks' +p16980 +tp16981 +a(g89 +V should delay running the hooks. +p16982 +tp16983 +a(g89 +g1068 +tp16984 +a(g705 +g1064 +tp16985 +a(g826 +V\u000a +p16986 +tp16987 +a(g705 +g1040 +tp16988 +a(g744 +Vdefvar +p16989 +tp16990 +a(g826 +g1044 +tp16991 +a(g440 +Vdelayed-mode-hooks +p16992 +tp16993 +a(g826 +g1044 +tp16994 +a(g565 +Vnil +p16995 +tp16996 +a(g826 +V\u000a +p16997 +tp16998 +a(g89 +g1068 +tp16999 +a(g89 +VList of delayed mode hooks waiting to be run. +p17000 +tp17001 +a(g89 +g1068 +tp17002 +a(g705 +g1064 +tp17003 +a(g826 +V\u000a +p17004 +tp17005 +a(g705 +g1040 +tp17006 +a(g573 +Vmake-variable-buffer-local +p17007 +tp17008 +a(g826 +g1044 +tp17009 +a(g117 +V'delayed-mode-hooks +p17010 +tp17011 +a(g705 +g1064 +tp17012 +a(g826 +V\u000a +p17013 +tp17014 +a(g705 +g1040 +tp17015 +a(g573 +Vput +p17016 +tp17017 +a(g826 +g1044 +tp17018 +a(g117 +V'delay-mode-hooks +p17019 +tp17020 +a(g826 +g1044 +tp17021 +a(g117 +V'permanent-local +p17022 +tp17023 +a(g826 +g1044 +tp17024 +a(g565 +g1187 +tp17025 +a(g705 +g1064 +tp17026 +a(g826 +V\u000a\u000a +p17027 +tp17028 +a(g705 +g1040 +tp17029 +a(g744 +Vdefvar +p17030 +tp17031 +a(g826 +g1044 +tp17032 +a(g440 +Vchange-major-mode-after-body-hook +p17033 +tp17034 +a(g826 +g1044 +tp17035 +a(g565 +Vnil +p17036 +tp17037 +a(g826 +V\u000a +p17038 +tp17039 +a(g89 +g1068 +tp17040 +a(g89 +VNormal hook run in major mode functions, before the mode hooks. +p17041 +tp17042 +a(g89 +g1068 +tp17043 +a(g705 +g1064 +tp17044 +a(g826 +V\u000a\u000a +p17045 +tp17046 +a(g705 +g1040 +tp17047 +a(g744 +Vdefvar +p17048 +tp17049 +a(g826 +g1044 +tp17050 +a(g440 +Vafter-change-major-mode-hook +p17051 +tp17052 +a(g826 +g1044 +tp17053 +a(g565 +Vnil +p17054 +tp17055 +a(g826 +V\u000a +p17056 +tp17057 +a(g89 +g1068 +tp17058 +a(g89 +VNormal hook run at the very end of major mode functions. +p17059 +tp17060 +a(g89 +g1068 +tp17061 +a(g705 +g1064 +tp17062 +a(g826 +V\u000a\u000a +p17063 +tp17064 +a(g705 +g1040 +tp17065 +a(g681 +Vdefun +p17066 +tp17067 +a(g826 +g1044 +tp17068 +a(g440 +Vrun-mode-hooks +p17069 +tp17070 +a(g826 +g1044 +tp17071 +a(g705 +g1040 +tp17072 +a(g785 +V&rest +p17073 +tp17074 +a(g826 +g1044 +tp17075 +a(g440 +Vhooks +p17076 +tp17077 +a(g705 +g1064 +tp17078 +a(g826 +V\u000a +p17079 +tp17080 +a(g89 +g1068 +tp17081 +a(g89 +VRun mode hooks +p17082 +tp17083 +a(g117 +V`delayed-mode-hooks' +p17084 +tp17085 +a(g89 +V and HOOKS, or delay HOOKS.\u000aIf the variable +p17086 +tp17087 +a(g117 +V`delay-mode-hooks' +p17088 +tp17089 +a(g89 +V is non-nil, does not run any hooks,\u000ajust adds the HOOKS to the list +p17090 +tp17091 +a(g117 +V`delayed-mode-hooks' +p17092 +tp17093 +a(g89 +V.\u000aOtherwise, runs hooks in the sequence: +p17094 +tp17095 +a(g117 +V`change-major-mode-after-body-hook' +p17096 +tp17097 +a(g89 +V,\u000a +p17098 +tp17099 +a(g117 +V`delayed-mode-hooks' +p17100 +tp17101 +a(g89 +V (in reverse order), HOOKS, and finally\u000a +p17102 +tp17103 +a(g117 +V`after-change-major-mode-hook' +p17104 +tp17105 +a(g89 +V. Major mode functions should use\u000athis instead of +p17106 +tp17107 +a(g117 +V`run-hooks' +p17108 +tp17109 +a(g89 +V when running their FOO-mode-hook. +p17110 +tp17111 +a(g89 +g1068 +tp17112 +a(g826 +V\u000a +p17113 +tp17114 +a(g705 +g1040 +tp17115 +a(g744 +Vif +p17116 +tp17117 +a(g826 +g1044 +tp17118 +a(g681 +Vdelay-mode-hooks +p17119 +tp17120 +a(g826 +V\u000a +p17121 +tp17122 +a(g8 +V;; Delaying case. +p17123 +tp17124 +a(g826 +V\u000a +p17125 +tp17126 +a(g705 +g1040 +tp17127 +a(g681 +Vdolist +p17128 +tp17129 +a(g826 +g1044 +tp17130 +a(g705 +g1040 +tp17131 +a(g440 +Vhook +p17132 +tp17133 +a(g826 +g1044 +tp17134 +a(g440 +Vhooks +p17135 +tp17136 +a(g705 +g1064 +tp17137 +a(g826 +V\u000a +p17138 +tp17139 +a(g705 +g1040 +tp17140 +a(g681 +Vpush +p17141 +tp17142 +a(g826 +g1044 +tp17143 +a(g440 +Vhook +p17144 +tp17145 +a(g826 +g1044 +tp17146 +a(g440 +Vdelayed-mode-hooks +p17147 +tp17148 +a(g705 +g1064 +tp17149 +a(g705 +g1064 +tp17150 +a(g826 +V\u000a +p17151 +tp17152 +a(g8 +V;; Normal case, just run the hook as before plus any delayed hooks. +p17153 +tp17154 +a(g826 +V\u000a +p17155 +tp17156 +a(g705 +g1040 +tp17157 +a(g744 +Vsetq +p17158 +tp17159 +a(g826 +g1044 +tp17160 +a(g440 +Vhooks +p17161 +tp17162 +a(g826 +g1044 +tp17163 +a(g705 +g1040 +tp17164 +a(g573 +Vnconc +p17165 +tp17166 +a(g826 +g1044 +tp17167 +a(g705 +g1040 +tp17168 +a(g573 +Vnreverse +p17169 +tp17170 +a(g826 +g1044 +tp17171 +a(g440 +Vdelayed-mode-hooks +p17172 +tp17173 +a(g705 +g1064 +tp17174 +a(g826 +g1044 +tp17175 +a(g440 +Vhooks +p17176 +tp17177 +a(g705 +g1064 +tp17178 +a(g705 +g1064 +tp17179 +a(g826 +V\u000a +p17180 +tp17181 +a(g705 +g1040 +tp17182 +a(g744 +Vsetq +p17183 +tp17184 +a(g826 +g1044 +tp17185 +a(g440 +Vdelayed-mode-hooks +p17186 +tp17187 +a(g826 +g1044 +tp17188 +a(g565 +Vnil +p17189 +tp17190 +a(g705 +g1064 +tp17191 +a(g826 +V\u000a +p17192 +tp17193 +a(g705 +g1040 +tp17194 +a(g573 +Vapply +p17195 +tp17196 +a(g826 +g1044 +tp17197 +a(g117 +V'run-hooks +p17198 +tp17199 +a(g826 +g1044 +tp17200 +a(g705 +g1040 +tp17201 +a(g573 +Vcons +p17202 +tp17203 +a(g826 +g1044 +tp17204 +a(g117 +V'change-major-mode-after-body-hook +p17205 +tp17206 +a(g826 +g1044 +tp17207 +a(g440 +Vhooks +p17208 +tp17209 +a(g705 +g1064 +tp17210 +a(g705 +g1064 +tp17211 +a(g826 +V\u000a +p17212 +tp17213 +a(g705 +g1040 +tp17214 +a(g573 +Vrun-hooks +p17215 +tp17216 +a(g826 +g1044 +tp17217 +a(g117 +V'after-change-major-mode-hook +p17218 +tp17219 +a(g705 +g1064 +tp17220 +a(g705 +g1064 +tp17221 +a(g705 +g1064 +tp17222 +a(g826 +V\u000a\u000a +p17223 +tp17224 +a(g705 +g1040 +tp17225 +a(g681 +Vdefmacro +p17226 +tp17227 +a(g826 +g1044 +tp17228 +a(g681 +Vdelay-mode-hooks +p17229 +tp17230 +a(g826 +g1044 +tp17231 +a(g705 +g1040 +tp17232 +a(g785 +V&rest +p17233 +tp17234 +a(g826 +g1044 +tp17235 +a(g440 +Vbody +p17236 +tp17237 +a(g705 +g1064 +tp17238 +a(g826 +V\u000a +p17239 +tp17240 +a(g89 +g1068 +tp17241 +a(g89 +VExecute BODY, but delay any +p17242 +tp17243 +a(g117 +V`run-mode-hooks' +p17244 +tp17245 +a(g89 +V.\u000aThese hooks will be executed by the first following call to\u000a +p17246 +tp17247 +a(g117 +V`run-mode-hooks' +p17248 +tp17249 +a(g89 +V that occurs outside any +p17250 +tp17251 +a(g117 +V`delayed-mode-hooks' +p17252 +tp17253 +a(g89 +V form.\u000aOnly affects hooks run in the current buffer. +p17254 +tp17255 +a(g89 +g1068 +tp17256 +a(g826 +V\u000a +p17257 +tp17258 +a(g705 +g1040 +tp17259 +a(g681 +Vdeclare +p17260 +tp17261 +a(g826 +g1044 +tp17262 +a(g705 +g1040 +tp17263 +a(g440 +Vdebug +p17264 +tp17265 +a(g826 +g1044 +tp17266 +a(g565 +g1187 +tp17267 +a(g705 +g1064 +tp17268 +a(g826 +g1044 +tp17269 +a(g705 +g1040 +tp17270 +a(g440 +Vindent +p17271 +tp17272 +a(g826 +g1044 +tp17273 +a(g37 +g2628 +tp17274 +a(g705 +g1064 +tp17275 +a(g705 +g1064 +tp17276 +a(g826 +V\u000a +p17277 +tp17278 +a(g412 +g1128 +tp17279 +a(g705 +g1040 +tp17280 +a(g744 +Vprogn +p17281 +tp17282 +a(g826 +V\u000a +p17283 +tp17284 +a(g705 +g1040 +tp17285 +a(g573 +Vmake-local-variable +p17286 +tp17287 +a(g826 +g1044 +tp17288 +a(g117 +V'delay-mode-hooks +p17289 +tp17290 +a(g705 +g1064 +tp17291 +a(g826 +V\u000a +p17292 +tp17293 +a(g705 +g1040 +tp17294 +a(g744 +Vlet +p17295 +tp17296 +a(g826 +g1044 +tp17297 +a(g705 +g1040 +tp17298 +a(g705 +g1040 +tp17299 +a(g681 +Vdelay-mode-hooks +p17300 +tp17301 +a(g826 +g1044 +tp17302 +a(g565 +g1187 +tp17303 +a(g705 +g1064 +tp17304 +a(g705 +g1064 +tp17305 +a(g826 +V\u000a +p17306 +tp17307 +a(g412 +V,@ +p17308 +tp17309 +a(g440 +Vbody +p17310 +tp17311 +a(g705 +g1064 +tp17312 +a(g705 +g1064 +tp17313 +a(g705 +g1064 +tp17314 +a(g826 +V\u000a\u000a +p17315 +tp17316 +a(g8 +V;; PUBLIC: find if the current mode derives from another. +p17317 +tp17318 +a(g826 +V\u000a\u000a +p17319 +tp17320 +a(g705 +g1040 +tp17321 +a(g681 +Vdefun +p17322 +tp17323 +a(g826 +g1044 +tp17324 +a(g440 +Vderived-mode-p +p17325 +tp17326 +a(g826 +g1044 +tp17327 +a(g705 +g1040 +tp17328 +a(g785 +V&rest +p17329 +tp17330 +a(g826 +g1044 +tp17331 +a(g440 +Vmodes +p17332 +tp17333 +a(g705 +g1064 +tp17334 +a(g826 +V\u000a +p17335 +tp17336 +a(g89 +g1068 +tp17337 +a(g89 +VNon-nil if the current major mode is derived from one of MODES.\u000aUses the +p17338 +tp17339 +a(g117 +V`derived-mode-parent' +p17340 +tp17341 +a(g89 +V property of the symbol to trace backwards. +p17342 +tp17343 +a(g89 +g1068 +tp17344 +a(g826 +V\u000a +p17345 +tp17346 +a(g705 +g1040 +tp17347 +a(g744 +Vlet +p17348 +tp17349 +a(g826 +g1044 +tp17350 +a(g705 +g1040 +tp17351 +a(g705 +g1040 +tp17352 +a(g440 +Vparent +p17353 +tp17354 +a(g826 +g1044 +tp17355 +a(g440 +Vmajor-mode +p17356 +tp17357 +a(g705 +g1064 +tp17358 +a(g705 +g1064 +tp17359 +a(g826 +V\u000a +p17360 +tp17361 +a(g705 +g1040 +tp17362 +a(g744 +Vwhile +p17363 +tp17364 +a(g826 +g1044 +tp17365 +a(g705 +g1040 +tp17366 +a(g744 +Vand +p17367 +tp17368 +a(g826 +g1044 +tp17369 +a(g705 +g1040 +tp17370 +a(g440 +Vnot +p17371 +tp17372 +a(g826 +g1044 +tp17373 +a(g705 +g1040 +tp17374 +a(g573 +Vmemq +p17375 +tp17376 +a(g826 +g1044 +tp17377 +a(g440 +Vparent +p17378 +tp17379 +a(g826 +g1044 +tp17380 +a(g440 +Vmodes +p17381 +tp17382 +a(g705 +g1064 +tp17383 +a(g705 +g1064 +tp17384 +a(g826 +V\u000a +p17385 +tp17386 +a(g705 +g1040 +tp17387 +a(g744 +Vsetq +p17388 +tp17389 +a(g826 +g1044 +tp17390 +a(g440 +Vparent +p17391 +tp17392 +a(g826 +g1044 +tp17393 +a(g705 +g1040 +tp17394 +a(g573 +Vget +p17395 +tp17396 +a(g826 +g1044 +tp17397 +a(g440 +Vparent +p17398 +tp17399 +a(g826 +g1044 +tp17400 +a(g117 +V'derived-mode-parent +p17401 +tp17402 +a(g705 +g1064 +tp17403 +a(g705 +g1064 +tp17404 +a(g705 +g1064 +tp17405 +a(g705 +g1064 +tp17406 +a(g826 +V\u000a +p17407 +tp17408 +a(g440 +Vparent +p17409 +tp17410 +a(g705 +g1064 +tp17411 +a(g705 +g1064 +tp17412 +a(g826 +V\u000a \u000a +p17413 +tp17414 +a(g8 +V;;;; Minor modes. +p17415 +tp17416 +a(g826 +V\u000a\u000a +p17417 +tp17418 +a(g8 +V;; If a minor mode is not defined with define-minor-mode, +p17419 +tp17420 +a(g826 +V\u000a +p17421 +tp17422 +a(g8 +V;; add it here explicitly. +p17423 +tp17424 +a(g826 +V\u000a +p17425 +tp17426 +a(g8 +V;; isearch-mode is deliberately excluded, since you should +p17427 +tp17428 +a(g826 +V\u000a +p17429 +tp17430 +a(g8 +V;; not call it yourself. +p17431 +tp17432 +a(g826 +V\u000a +p17433 +tp17434 +a(g705 +g1040 +tp17435 +a(g744 +Vdefvar +p17436 +tp17437 +a(g826 +g1044 +tp17438 +a(g440 +Vminor-mode-list +p17439 +tp17440 +a(g826 +g1044 +tp17441 +a(g412 +g10833 +tp17442 +a(g705 +g1040 +tp17443 +a(g440 +Vauto-save-mode +p17444 +tp17445 +a(g826 +g1044 +tp17446 +a(g440 +Vauto-fill-mode +p17447 +tp17448 +a(g826 +g1044 +tp17449 +a(g440 +Vabbrev-mode +p17450 +tp17451 +a(g826 +V\u000a +p17452 +tp17453 +a(g440 +Voverwrite-mode +p17454 +tp17455 +a(g826 +g1044 +tp17456 +a(g440 +Vview-mode +p17457 +tp17458 +a(g826 +V\u000a +p17459 +tp17460 +a(g440 +Vhs-minor-mode +p17461 +tp17462 +a(g705 +g1064 +tp17463 +a(g826 +V\u000a +p17464 +tp17465 +a(g89 +g1068 +tp17466 +a(g89 +VList of all minor mode functions. +p17467 +tp17468 +a(g89 +g1068 +tp17469 +a(g705 +g1064 +tp17470 +a(g826 +V\u000a\u000a +p17471 +tp17472 +a(g705 +g1040 +tp17473 +a(g681 +Vdefun +p17474 +tp17475 +a(g826 +g1044 +tp17476 +a(g440 +Vadd-minor-mode +p17477 +tp17478 +a(g826 +g1044 +tp17479 +a(g705 +g1040 +tp17480 +a(g440 +Vtoggle +p17481 +tp17482 +a(g826 +g1044 +tp17483 +a(g440 +Vname +p17484 +tp17485 +a(g826 +g1044 +tp17486 +a(g785 +V&optional +p17487 +tp17488 +a(g826 +g1044 +tp17489 +a(g440 +Vkeymap +p17490 +tp17491 +a(g826 +g1044 +tp17492 +a(g440 +Vafter +p17493 +tp17494 +a(g826 +g1044 +tp17495 +a(g440 +Vtoggle-fun +p17496 +tp17497 +a(g705 +g1064 +tp17498 +a(g826 +V\u000a +p17499 +tp17500 +a(g89 +g1068 +tp17501 +a(g89 +VRegister a new minor mode.\u000a\u000aThis is an XEmacs-compatibility function. Use +p17502 +tp17503 +a(g117 +V`define-minor-mode' +p17504 +tp17505 +a(g89 +V instead.\u000a\u000aTOGGLE is a symbol which is the name of a buffer-local variable that\u000ais toggled on or off to say whether the minor mode is active or not.\u000a\u000aNAME specifies what will appear in the mode line when the minor mode\u000ais active. NAME should be either a string starting with a space, or a\u000asymbol whose value is such a string.\u000a\u000aOptional KEYMAP is the keymap for the minor mode that will be added\u000ato +p17506 +tp17507 +a(g117 +V`minor-mode-map-alist' +p17508 +tp17509 +a(g89 +V.\u000a\u000aOptional AFTER specifies that TOGGLE should be added after AFTER\u000ain +p17510 +tp17511 +a(g117 +V`minor-mode-alist' +p17512 +tp17513 +a(g89 +V.\u000a\u000aOptional TOGGLE-FUN is an interactive function to toggle the mode.\u000aIt defaults to (and should by convention be) TOGGLE.\u000a\u000aIf TOGGLE has a non-nil +p17514 +tp17515 +a(g89 +g1128 +tp17516 +a(g89 +V:included' property, an entry for the mode is\u000aincluded in the mode-line minor mode menu.\u000aIf TOGGLE has a +p17517 +tp17518 +a(g89 +g1128 +tp17519 +a(g89 +V:menu-tag', that is used for the menu item's label. +p17520 +tp17521 +a(g89 +g1068 +tp17522 +a(g826 +V\u000a +p17523 +tp17524 +a(g705 +g1040 +tp17525 +a(g681 +Vunless +p17526 +tp17527 +a(g826 +g1044 +tp17528 +a(g705 +g1040 +tp17529 +a(g573 +Vmemq +p17530 +tp17531 +a(g826 +g1044 +tp17532 +a(g440 +Vtoggle +p17533 +tp17534 +a(g826 +g1044 +tp17535 +a(g440 +Vminor-mode-list +p17536 +tp17537 +a(g705 +g1064 +tp17538 +a(g826 +V\u000a +p17539 +tp17540 +a(g705 +g1040 +tp17541 +a(g681 +Vpush +p17542 +tp17543 +a(g826 +g1044 +tp17544 +a(g440 +Vtoggle +p17545 +tp17546 +a(g826 +g1044 +tp17547 +a(g440 +Vminor-mode-list +p17548 +tp17549 +a(g705 +g1064 +tp17550 +a(g705 +g1064 +tp17551 +a(g826 +V\u000a\u000a +p17552 +tp17553 +a(g705 +g1040 +tp17554 +a(g681 +Vunless +p17555 +tp17556 +a(g826 +g1044 +tp17557 +a(g440 +Vtoggle-fun +p17558 +tp17559 +a(g826 +g1044 +tp17560 +a(g705 +g1040 +tp17561 +a(g744 +Vsetq +p17562 +tp17563 +a(g826 +g1044 +tp17564 +a(g440 +Vtoggle-fun +p17565 +tp17566 +a(g826 +g1044 +tp17567 +a(g440 +Vtoggle +p17568 +tp17569 +a(g705 +g1064 +tp17570 +a(g705 +g1064 +tp17571 +a(g826 +V\u000a +p17572 +tp17573 +a(g705 +g1040 +tp17574 +a(g681 +Vunless +p17575 +tp17576 +a(g826 +g1044 +tp17577 +a(g705 +g1040 +tp17578 +a(g573 +Veq +p17579 +tp17580 +a(g826 +g1044 +tp17581 +a(g440 +Vtoggle-fun +p17582 +tp17583 +a(g826 +g1044 +tp17584 +a(g440 +Vtoggle +p17585 +tp17586 +a(g705 +g1064 +tp17587 +a(g826 +V\u000a +p17588 +tp17589 +a(g705 +g1040 +tp17590 +a(g573 +Vput +p17591 +tp17592 +a(g826 +g1044 +tp17593 +a(g440 +Vtoggle +p17594 +tp17595 +a(g826 +g1044 +tp17596 +a(g681 +V:minor-mode-function +p17597 +tp17598 +a(g826 +g1044 +tp17599 +a(g440 +Vtoggle-fun +p17600 +tp17601 +a(g705 +g1064 +tp17602 +a(g705 +g1064 +tp17603 +a(g826 +V\u000a +p17604 +tp17605 +a(g8 +V;; Add the name to the minor-mode-alist. +p17606 +tp17607 +a(g826 +V\u000a +p17608 +tp17609 +a(g705 +g1040 +tp17610 +a(g681 +Vwhen +p17611 +tp17612 +a(g826 +g1044 +tp17613 +a(g440 +Vname +p17614 +tp17615 +a(g826 +V\u000a +p17616 +tp17617 +a(g705 +g1040 +tp17618 +a(g744 +Vlet +p17619 +tp17620 +a(g826 +g1044 +tp17621 +a(g705 +g1040 +tp17622 +a(g705 +g1040 +tp17623 +a(g440 +Vexisting +p17624 +tp17625 +a(g826 +g1044 +tp17626 +a(g705 +g1040 +tp17627 +a(g573 +Vassq +p17628 +tp17629 +a(g826 +g1044 +tp17630 +a(g440 +Vtoggle +p17631 +tp17632 +a(g826 +g1044 +tp17633 +a(g440 +Vminor-mode-alist +p17634 +tp17635 +a(g705 +g1064 +tp17636 +a(g705 +g1064 +tp17637 +a(g705 +g1064 +tp17638 +a(g826 +V\u000a +p17639 +tp17640 +a(g705 +g1040 +tp17641 +a(g744 +Vif +p17642 +tp17643 +a(g826 +g1044 +tp17644 +a(g440 +Vexisting +p17645 +tp17646 +a(g826 +V\u000a +p17647 +tp17648 +a(g705 +g1040 +tp17649 +a(g573 +Vsetcdr +p17650 +tp17651 +a(g826 +g1044 +tp17652 +a(g440 +Vexisting +p17653 +tp17654 +a(g826 +g1044 +tp17655 +a(g705 +g1040 +tp17656 +a(g573 +Vlist +p17657 +tp17658 +a(g826 +g1044 +tp17659 +a(g440 +Vname +p17660 +tp17661 +a(g705 +g1064 +tp17662 +a(g705 +g1064 +tp17663 +a(g826 +V\u000a +p17664 +tp17665 +a(g705 +g1040 +tp17666 +a(g744 +Vlet +p17667 +tp17668 +a(g826 +g1044 +tp17669 +a(g705 +g1040 +tp17670 +a(g705 +g1040 +tp17671 +a(g440 +Vtail +p17672 +tp17673 +a(g826 +g1044 +tp17674 +a(g440 +Vminor-mode-alist +p17675 +tp17676 +a(g705 +g1064 +tp17677 +a(g826 +g1044 +tp17678 +a(g440 +Vfound +p17679 +tp17680 +a(g705 +g1064 +tp17681 +a(g826 +V\u000a +p17682 +tp17683 +a(g705 +g1040 +tp17684 +a(g744 +Vwhile +p17685 +tp17686 +a(g826 +g1044 +tp17687 +a(g705 +g1040 +tp17688 +a(g744 +Vand +p17689 +tp17690 +a(g826 +g1044 +tp17691 +a(g440 +Vtail +p17692 +tp17693 +a(g826 +g1044 +tp17694 +a(g705 +g1040 +tp17695 +a(g440 +Vnot +p17696 +tp17697 +a(g826 +g1044 +tp17698 +a(g440 +Vfound +p17699 +tp17700 +a(g705 +g1064 +tp17701 +a(g705 +g1064 +tp17702 +a(g826 +V\u000a +p17703 +tp17704 +a(g705 +g1040 +tp17705 +a(g744 +Vif +p17706 +tp17707 +a(g826 +g1044 +tp17708 +a(g705 +g1040 +tp17709 +a(g573 +Veq +p17710 +tp17711 +a(g826 +g1044 +tp17712 +a(g440 +Vafter +p17713 +tp17714 +a(g826 +g1044 +tp17715 +a(g705 +g1040 +tp17716 +a(g440 +Vcaar +p17717 +tp17718 +a(g826 +g1044 +tp17719 +a(g440 +Vtail +p17720 +tp17721 +a(g705 +g1064 +tp17722 +a(g705 +g1064 +tp17723 +a(g826 +V\u000a +p17724 +tp17725 +a(g705 +g1040 +tp17726 +a(g744 +Vsetq +p17727 +tp17728 +a(g826 +g1044 +tp17729 +a(g440 +Vfound +p17730 +tp17731 +a(g826 +g1044 +tp17732 +a(g440 +Vtail +p17733 +tp17734 +a(g705 +g1064 +tp17735 +a(g826 +V\u000a +p17736 +tp17737 +a(g705 +g1040 +tp17738 +a(g744 +Vsetq +p17739 +tp17740 +a(g826 +g1044 +tp17741 +a(g440 +Vtail +p17742 +tp17743 +a(g826 +g1044 +tp17744 +a(g705 +g1040 +tp17745 +a(g573 +Vcdr +p17746 +tp17747 +a(g826 +g1044 +tp17748 +a(g440 +Vtail +p17749 +tp17750 +a(g705 +g1064 +tp17751 +a(g705 +g1064 +tp17752 +a(g705 +g1064 +tp17753 +a(g705 +g1064 +tp17754 +a(g826 +V\u000a +p17755 +tp17756 +a(g705 +g1040 +tp17757 +a(g744 +Vif +p17758 +tp17759 +a(g826 +g1044 +tp17760 +a(g440 +Vfound +p17761 +tp17762 +a(g826 +V\u000a +p17763 +tp17764 +a(g705 +g1040 +tp17765 +a(g744 +Vlet +p17766 +tp17767 +a(g826 +g1044 +tp17768 +a(g705 +g1040 +tp17769 +a(g705 +g1040 +tp17770 +a(g440 +Vrest +p17771 +tp17772 +a(g826 +g1044 +tp17773 +a(g705 +g1040 +tp17774 +a(g573 +Vcdr +p17775 +tp17776 +a(g826 +g1044 +tp17777 +a(g440 +Vfound +p17778 +tp17779 +a(g705 +g1064 +tp17780 +a(g705 +g1064 +tp17781 +a(g705 +g1064 +tp17782 +a(g826 +V\u000a +p17783 +tp17784 +a(g705 +g1040 +tp17785 +a(g573 +Vsetcdr +p17786 +tp17787 +a(g826 +g1044 +tp17788 +a(g440 +Vfound +p17789 +tp17790 +a(g826 +g1044 +tp17791 +a(g565 +Vnil +p17792 +tp17793 +a(g705 +g1064 +tp17794 +a(g826 +V\u000a +p17795 +tp17796 +a(g705 +g1040 +tp17797 +a(g573 +Vnconc +p17798 +tp17799 +a(g826 +g1044 +tp17800 +a(g440 +Vfound +p17801 +tp17802 +a(g826 +g1044 +tp17803 +a(g705 +g1040 +tp17804 +a(g573 +Vlist +p17805 +tp17806 +a(g826 +g1044 +tp17807 +a(g705 +g1040 +tp17808 +a(g573 +Vlist +p17809 +tp17810 +a(g826 +g1044 +tp17811 +a(g440 +Vtoggle +p17812 +tp17813 +a(g826 +g1044 +tp17814 +a(g440 +Vname +p17815 +tp17816 +a(g705 +g1064 +tp17817 +a(g705 +g1064 +tp17818 +a(g826 +g1044 +tp17819 +a(g440 +Vrest +p17820 +tp17821 +a(g705 +g1064 +tp17822 +a(g705 +g1064 +tp17823 +a(g826 +V\u000a +p17824 +tp17825 +a(g705 +g1040 +tp17826 +a(g681 +Vpush +p17827 +tp17828 +a(g826 +g1044 +tp17829 +a(g705 +g1040 +tp17830 +a(g573 +Vlist +p17831 +tp17832 +a(g826 +g1044 +tp17833 +a(g440 +Vtoggle +p17834 +tp17835 +a(g826 +g1044 +tp17836 +a(g440 +Vname +p17837 +tp17838 +a(g705 +g1064 +tp17839 +a(g826 +g1044 +tp17840 +a(g440 +Vminor-mode-alist +p17841 +tp17842 +a(g705 +g1064 +tp17843 +a(g705 +g1064 +tp17844 +a(g705 +g1064 +tp17845 +a(g705 +g1064 +tp17846 +a(g705 +g1064 +tp17847 +a(g705 +g1064 +tp17848 +a(g826 +V\u000a +p17849 +tp17850 +a(g8 +V;; Add the toggle to the minor-modes menu if requested. +p17851 +tp17852 +a(g826 +V\u000a +p17853 +tp17854 +a(g705 +g1040 +tp17855 +a(g681 +Vwhen +p17856 +tp17857 +a(g826 +g1044 +tp17858 +a(g705 +g1040 +tp17859 +a(g573 +Vget +p17860 +tp17861 +a(g826 +g1044 +tp17862 +a(g440 +Vtoggle +p17863 +tp17864 +a(g826 +g1044 +tp17865 +a(g681 +V:included +p17866 +tp17867 +a(g705 +g1064 +tp17868 +a(g826 +V\u000a +p17869 +tp17870 +a(g705 +g1040 +tp17871 +a(g573 +Vdefine-key +p17872 +tp17873 +a(g826 +g1044 +tp17874 +a(g440 +Vmode-line-mode-menu +p17875 +tp17876 +a(g826 +V\u000a +p17877 +tp17878 +a(g705 +g1040 +tp17879 +a(g573 +Vvector +p17880 +tp17881 +a(g826 +g1044 +tp17882 +a(g440 +Vtoggle +p17883 +tp17884 +a(g705 +g1064 +tp17885 +a(g826 +V\u000a +p17886 +tp17887 +a(g705 +g1040 +tp17888 +a(g573 +Vlist +p17889 +tp17890 +a(g826 +g1044 +tp17891 +a(g117 +V'menu-item +p17892 +tp17893 +a(g826 +V\u000a +p17894 +tp17895 +a(g705 +g1040 +tp17896 +a(g573 +Vconcat +p17897 +tp17898 +a(g826 +V\u000a +p17899 +tp17900 +a(g705 +g1040 +tp17901 +a(g744 +Vor +p17902 +tp17903 +a(g826 +g1044 +tp17904 +a(g705 +g1040 +tp17905 +a(g573 +Vget +p17906 +tp17907 +a(g826 +g1044 +tp17908 +a(g440 +Vtoggle +p17909 +tp17910 +a(g826 +g1044 +tp17911 +a(g681 +V:menu-tag +p17912 +tp17913 +a(g705 +g1064 +tp17914 +a(g826 +V\u000a +p17915 +tp17916 +a(g705 +g1040 +tp17917 +a(g744 +Vif +p17918 +tp17919 +a(g826 +g1044 +tp17920 +a(g705 +g1040 +tp17921 +a(g573 +Vstringp +p17922 +tp17923 +a(g826 +g1044 +tp17924 +a(g440 +Vname +p17925 +tp17926 +a(g705 +g1064 +tp17927 +a(g826 +g1044 +tp17928 +a(g440 +Vname +p17929 +tp17930 +a(g826 +g1044 +tp17931 +a(g705 +g1040 +tp17932 +a(g573 +Vsymbol-name +p17933 +tp17934 +a(g826 +g1044 +tp17935 +a(g440 +Vtoggle +p17936 +tp17937 +a(g705 +g1064 +tp17938 +a(g705 +g1064 +tp17939 +a(g705 +g1064 +tp17940 +a(g826 +V\u000a +p17941 +tp17942 +a(g705 +g1040 +tp17943 +a(g744 +Vlet +p17944 +tp17945 +a(g826 +g1044 +tp17946 +a(g705 +g1040 +tp17947 +a(g705 +g1040 +tp17948 +a(g440 +Vmode-name +p17949 +tp17950 +a(g826 +g1044 +tp17951 +a(g705 +g1040 +tp17952 +a(g744 +Vif +p17953 +tp17954 +a(g826 +g1044 +tp17955 +a(g705 +g1040 +tp17956 +a(g573 +Vsymbolp +p17957 +tp17958 +a(g826 +g1044 +tp17959 +a(g440 +Vname +p17960 +tp17961 +a(g705 +g1064 +tp17962 +a(g826 +g1044 +tp17963 +a(g705 +g1040 +tp17964 +a(g573 +Vsymbol-value +p17965 +tp17966 +a(g826 +g1044 +tp17967 +a(g440 +Vname +p17968 +tp17969 +a(g705 +g1064 +tp17970 +a(g705 +g1064 +tp17971 +a(g705 +g1064 +tp17972 +a(g705 +g1064 +tp17973 +a(g826 +V\u000a +p17974 +tp17975 +a(g705 +g1040 +tp17976 +a(g744 +Vif +p17977 +tp17978 +a(g826 +g1044 +tp17979 +a(g705 +g1040 +tp17980 +a(g744 +Vand +p17981 +tp17982 +a(g826 +g1044 +tp17983 +a(g705 +g1040 +tp17984 +a(g573 +Vstringp +p17985 +tp17986 +a(g826 +g1044 +tp17987 +a(g440 +Vmode-name +p17988 +tp17989 +a(g705 +g1064 +tp17990 +a(g826 +g1044 +tp17991 +a(g705 +g1040 +tp17992 +a(g573 +Vstring-match +p17993 +tp17994 +a(g826 +g1044 +tp17995 +a(g89 +g1068 +tp17996 +a(g89 +V[^ ]+ +p17997 +tp17998 +a(g89 +g1068 +tp17999 +a(g826 +g1044 +tp18000 +a(g440 +Vmode-name +p18001 +tp18002 +a(g705 +g1064 +tp18003 +a(g705 +g1064 +tp18004 +a(g826 +V\u000a +p18005 +tp18006 +a(g705 +g1040 +tp18007 +a(g573 +Vconcat +p18008 +tp18009 +a(g826 +g1044 +tp18010 +a(g89 +g1068 +tp18011 +a(g89 +V ( +p18012 +tp18013 +a(g89 +g1068 +tp18014 +a(g826 +g1044 +tp18015 +a(g705 +g1040 +tp18016 +a(g440 +Vmatch-string +p18017 +tp18018 +a(g826 +g1044 +tp18019 +a(g37 +g2628 +tp18020 +a(g826 +g1044 +tp18021 +a(g440 +Vmode-name +p18022 +tp18023 +a(g705 +g1064 +tp18024 +a(g826 +g1044 +tp18025 +a(g89 +g1068 +tp18026 +a(g89 +g1064 +tp18027 +a(g89 +g1068 +tp18028 +a(g705 +g1064 +tp18029 +a(g705 +g1064 +tp18030 +a(g705 +g1064 +tp18031 +a(g705 +g1064 +tp18032 +a(g826 +V\u000a +p18033 +tp18034 +a(g440 +Vtoggle-fun +p18035 +tp18036 +a(g826 +V\u000a +p18037 +tp18038 +a(g681 +V:button +p18039 +tp18040 +a(g826 +g1044 +tp18041 +a(g705 +g1040 +tp18042 +a(g573 +Vcons +p18043 +tp18044 +a(g826 +g1044 +tp18045 +a(g681 +V:toggle +p18046 +tp18047 +a(g826 +g1044 +tp18048 +a(g440 +Vtoggle +p18049 +tp18050 +a(g705 +g1064 +tp18051 +a(g705 +g1064 +tp18052 +a(g705 +g1064 +tp18053 +a(g705 +g1064 +tp18054 +a(g826 +V\u000a\u000a +p18055 +tp18056 +a(g8 +V;; Add the map to the minor-mode-map-alist. +p18057 +tp18058 +a(g826 +V\u000a +p18059 +tp18060 +a(g705 +g1040 +tp18061 +a(g681 +Vwhen +p18062 +tp18063 +a(g826 +g1044 +tp18064 +a(g440 +Vkeymap +p18065 +tp18066 +a(g826 +V\u000a +p18067 +tp18068 +a(g705 +g1040 +tp18069 +a(g744 +Vlet +p18070 +tp18071 +a(g826 +g1044 +tp18072 +a(g705 +g1040 +tp18073 +a(g705 +g1040 +tp18074 +a(g440 +Vexisting +p18075 +tp18076 +a(g826 +g1044 +tp18077 +a(g705 +g1040 +tp18078 +a(g573 +Vassq +p18079 +tp18080 +a(g826 +g1044 +tp18081 +a(g440 +Vtoggle +p18082 +tp18083 +a(g826 +g1044 +tp18084 +a(g440 +Vminor-mode-map-alist +p18085 +tp18086 +a(g705 +g1064 +tp18087 +a(g705 +g1064 +tp18088 +a(g705 +g1064 +tp18089 +a(g826 +V\u000a +p18090 +tp18091 +a(g705 +g1040 +tp18092 +a(g744 +Vif +p18093 +tp18094 +a(g826 +g1044 +tp18095 +a(g440 +Vexisting +p18096 +tp18097 +a(g826 +V\u000a +p18098 +tp18099 +a(g705 +g1040 +tp18100 +a(g573 +Vsetcdr +p18101 +tp18102 +a(g826 +g1044 +tp18103 +a(g440 +Vexisting +p18104 +tp18105 +a(g826 +g1044 +tp18106 +a(g440 +Vkeymap +p18107 +tp18108 +a(g705 +g1064 +tp18109 +a(g826 +V\u000a +p18110 +tp18111 +a(g705 +g1040 +tp18112 +a(g744 +Vlet +p18113 +tp18114 +a(g826 +g1044 +tp18115 +a(g705 +g1040 +tp18116 +a(g705 +g1040 +tp18117 +a(g440 +Vtail +p18118 +tp18119 +a(g826 +g1044 +tp18120 +a(g440 +Vminor-mode-map-alist +p18121 +tp18122 +a(g705 +g1064 +tp18123 +a(g826 +g1044 +tp18124 +a(g440 +Vfound +p18125 +tp18126 +a(g705 +g1064 +tp18127 +a(g826 +V\u000a +p18128 +tp18129 +a(g705 +g1040 +tp18130 +a(g744 +Vwhile +p18131 +tp18132 +a(g826 +g1044 +tp18133 +a(g705 +g1040 +tp18134 +a(g744 +Vand +p18135 +tp18136 +a(g826 +g1044 +tp18137 +a(g440 +Vtail +p18138 +tp18139 +a(g826 +g1044 +tp18140 +a(g705 +g1040 +tp18141 +a(g440 +Vnot +p18142 +tp18143 +a(g826 +g1044 +tp18144 +a(g440 +Vfound +p18145 +tp18146 +a(g705 +g1064 +tp18147 +a(g705 +g1064 +tp18148 +a(g826 +V\u000a +p18149 +tp18150 +a(g705 +g1040 +tp18151 +a(g744 +Vif +p18152 +tp18153 +a(g826 +g1044 +tp18154 +a(g705 +g1040 +tp18155 +a(g573 +Veq +p18156 +tp18157 +a(g826 +g1044 +tp18158 +a(g440 +Vafter +p18159 +tp18160 +a(g826 +g1044 +tp18161 +a(g705 +g1040 +tp18162 +a(g440 +Vcaar +p18163 +tp18164 +a(g826 +g1044 +tp18165 +a(g440 +Vtail +p18166 +tp18167 +a(g705 +g1064 +tp18168 +a(g705 +g1064 +tp18169 +a(g826 +V\u000a +p18170 +tp18171 +a(g705 +g1040 +tp18172 +a(g744 +Vsetq +p18173 +tp18174 +a(g826 +g1044 +tp18175 +a(g440 +Vfound +p18176 +tp18177 +a(g826 +g1044 +tp18178 +a(g440 +Vtail +p18179 +tp18180 +a(g705 +g1064 +tp18181 +a(g826 +V\u000a +p18182 +tp18183 +a(g705 +g1040 +tp18184 +a(g744 +Vsetq +p18185 +tp18186 +a(g826 +g1044 +tp18187 +a(g440 +Vtail +p18188 +tp18189 +a(g826 +g1044 +tp18190 +a(g705 +g1040 +tp18191 +a(g573 +Vcdr +p18192 +tp18193 +a(g826 +g1044 +tp18194 +a(g440 +Vtail +p18195 +tp18196 +a(g705 +g1064 +tp18197 +a(g705 +g1064 +tp18198 +a(g705 +g1064 +tp18199 +a(g705 +g1064 +tp18200 +a(g826 +V\u000a +p18201 +tp18202 +a(g705 +g1040 +tp18203 +a(g744 +Vif +p18204 +tp18205 +a(g826 +g1044 +tp18206 +a(g440 +Vfound +p18207 +tp18208 +a(g826 +V\u000a +p18209 +tp18210 +a(g705 +g1040 +tp18211 +a(g744 +Vlet +p18212 +tp18213 +a(g826 +g1044 +tp18214 +a(g705 +g1040 +tp18215 +a(g705 +g1040 +tp18216 +a(g440 +Vrest +p18217 +tp18218 +a(g826 +g1044 +tp18219 +a(g705 +g1040 +tp18220 +a(g573 +Vcdr +p18221 +tp18222 +a(g826 +g1044 +tp18223 +a(g440 +Vfound +p18224 +tp18225 +a(g705 +g1064 +tp18226 +a(g705 +g1064 +tp18227 +a(g705 +g1064 +tp18228 +a(g826 +V\u000a +p18229 +tp18230 +a(g705 +g1040 +tp18231 +a(g573 +Vsetcdr +p18232 +tp18233 +a(g826 +g1044 +tp18234 +a(g440 +Vfound +p18235 +tp18236 +a(g826 +g1044 +tp18237 +a(g565 +Vnil +p18238 +tp18239 +a(g705 +g1064 +tp18240 +a(g826 +V\u000a +p18241 +tp18242 +a(g705 +g1040 +tp18243 +a(g573 +Vnconc +p18244 +tp18245 +a(g826 +g1044 +tp18246 +a(g440 +Vfound +p18247 +tp18248 +a(g826 +g1044 +tp18249 +a(g705 +g1040 +tp18250 +a(g573 +Vlist +p18251 +tp18252 +a(g826 +g1044 +tp18253 +a(g705 +g1040 +tp18254 +a(g573 +Vcons +p18255 +tp18256 +a(g826 +g1044 +tp18257 +a(g440 +Vtoggle +p18258 +tp18259 +a(g826 +g1044 +tp18260 +a(g440 +Vkeymap +p18261 +tp18262 +a(g705 +g1064 +tp18263 +a(g705 +g1064 +tp18264 +a(g826 +g1044 +tp18265 +a(g440 +Vrest +p18266 +tp18267 +a(g705 +g1064 +tp18268 +a(g705 +g1064 +tp18269 +a(g826 +V\u000a +p18270 +tp18271 +a(g705 +g1040 +tp18272 +a(g681 +Vpush +p18273 +tp18274 +a(g826 +g1044 +tp18275 +a(g705 +g1040 +tp18276 +a(g573 +Vcons +p18277 +tp18278 +a(g826 +g1044 +tp18279 +a(g440 +Vtoggle +p18280 +tp18281 +a(g826 +g1044 +tp18282 +a(g440 +Vkeymap +p18283 +tp18284 +a(g705 +g1064 +tp18285 +a(g826 +g1044 +tp18286 +a(g440 +Vminor-mode-map-alist +p18287 +tp18288 +a(g705 +g1064 +tp18289 +a(g705 +g1064 +tp18290 +a(g705 +g1064 +tp18291 +a(g705 +g1064 +tp18292 +a(g705 +g1064 +tp18293 +a(g705 +g1064 +tp18294 +a(g705 +g1064 +tp18295 +a(g826 +V\u000a \u000a +p18296 +tp18297 +a(g8 +V;;;; Load history +p18298 +tp18299 +a(g826 +V\u000a\u000a +p18300 +tp18301 +a(g705 +g1040 +tp18302 +a(g681 +Vdefsubst +p18303 +tp18304 +a(g826 +g1044 +tp18305 +a(g440 +Vautoloadp +p18306 +tp18307 +a(g826 +g1044 +tp18308 +a(g705 +g1040 +tp18309 +a(g440 +Vobject +p18310 +tp18311 +a(g705 +g1064 +tp18312 +a(g826 +V\u000a +p18313 +tp18314 +a(g89 +g1068 +tp18315 +a(g89 +VNon-nil if OBJECT is an autoload. +p18316 +tp18317 +a(g89 +g1068 +tp18318 +a(g826 +V\u000a +p18319 +tp18320 +a(g705 +g1040 +tp18321 +a(g573 +Veq +p18322 +tp18323 +a(g826 +g1044 +tp18324 +a(g117 +V'autoload +p18325 +tp18326 +a(g826 +g1044 +tp18327 +a(g705 +g1040 +tp18328 +a(g573 +Vcar-safe +p18329 +tp18330 +a(g826 +g1044 +tp18331 +a(g440 +Vobject +p18332 +tp18333 +a(g705 +g1064 +tp18334 +a(g705 +g1064 +tp18335 +a(g705 +g1064 +tp18336 +a(g826 +V\u000a\u000a +p18337 +tp18338 +a(g8 +V;; (defun autoload-type (object) +p18339 +tp18340 +a(g826 +V\u000a +p18341 +tp18342 +a(g8 +V;; "Returns the type of OBJECT or `function' or `command' if the type is nil. +p18343 +tp18344 +a(g826 +V\u000a +p18345 +tp18346 +a(g8 +V;; OBJECT should be an autoload object." +p18347 +tp18348 +a(g826 +V\u000a +p18349 +tp18350 +a(g8 +V;; (when (autoloadp object) +p18351 +tp18352 +a(g826 +V\u000a +p18353 +tp18354 +a(g8 +V;; (let ((type (nth 3 object))) +p18355 +tp18356 +a(g826 +V\u000a +p18357 +tp18358 +a(g8 +V;; (cond ((null type) (if (nth 2 object) 'command 'function)) +p18359 +tp18360 +a(g826 +V\u000a +p18361 +tp18362 +a(g8 +V;; ((eq 'keymap t) 'macro) +p18363 +tp18364 +a(g826 +V\u000a +p18365 +tp18366 +a(g8 +V;; (type))))) +p18367 +tp18368 +a(g826 +V\u000a\u000a +p18369 +tp18370 +a(g8 +V;; (defalias 'autoload-file #'cadr +p18371 +tp18372 +a(g826 +V\u000a +p18373 +tp18374 +a(g8 +V;; "Return the name of the file from which AUTOLOAD will be loaded. +p18375 +tp18376 +a(g826 +V\u000a +p18377 +tp18378 +a(g8 +V;; \u005cn\u005c(fn AUTOLOAD)") +p18379 +tp18380 +a(g826 +V\u000a\u000a +p18381 +tp18382 +a(g705 +g1040 +tp18383 +a(g681 +Vdefun +p18384 +tp18385 +a(g826 +g1044 +tp18386 +a(g440 +Vsymbol-file +p18387 +tp18388 +a(g826 +g1044 +tp18389 +a(g705 +g1040 +tp18390 +a(g440 +Vsymbol +p18391 +tp18392 +a(g826 +g1044 +tp18393 +a(g785 +V&optional +p18394 +tp18395 +a(g826 +g1044 +tp18396 +a(g440 +Vtype +p18397 +tp18398 +a(g705 +g1064 +tp18399 +a(g826 +V\u000a +p18400 +tp18401 +a(g89 +g1068 +tp18402 +a(g89 +VReturn the name of the file that defined SYMBOL.\u000aThe value is normally an absolute file name. It can also be nil,\u000aif the definition is not associated with any file. If SYMBOL\u000aspecifies an autoloaded function, the value can be a relative\u000afile name without extension.\u000a\u000aIf TYPE is nil, then any kind of definition is acceptable. If\u000aTYPE is +p18403 +tp18404 +a(g117 +V`defun' +p18405 +tp18406 +a(g89 +V, +p18407 +tp18408 +a(g117 +V`defvar' +p18409 +tp18410 +a(g89 +V, or +p18411 +tp18412 +a(g117 +V`defface' +p18413 +tp18414 +a(g89 +V, that specifies function\u000adefinition, variable definition, or face definition only. +p18415 +tp18416 +a(g89 +g1068 +tp18417 +a(g826 +V\u000a +p18418 +tp18419 +a(g705 +g1040 +tp18420 +a(g744 +Vif +p18421 +tp18422 +a(g826 +g1044 +tp18423 +a(g705 +g1040 +tp18424 +a(g744 +Vand +p18425 +tp18426 +a(g826 +g1044 +tp18427 +a(g705 +g1040 +tp18428 +a(g744 +Vor +p18429 +tp18430 +a(g826 +g1044 +tp18431 +a(g705 +g1040 +tp18432 +a(g573 +Vnull +p18433 +tp18434 +a(g826 +g1044 +tp18435 +a(g440 +Vtype +p18436 +tp18437 +a(g705 +g1064 +tp18438 +a(g826 +g1044 +tp18439 +a(g705 +g1040 +tp18440 +a(g573 +Veq +p18441 +tp18442 +a(g826 +g1044 +tp18443 +a(g440 +Vtype +p18444 +tp18445 +a(g826 +g1044 +tp18446 +a(g117 +V'defun +p18447 +tp18448 +a(g705 +g1064 +tp18449 +a(g705 +g1064 +tp18450 +a(g826 +V\u000a +p18451 +tp18452 +a(g705 +g1040 +tp18453 +a(g573 +Vsymbolp +p18454 +tp18455 +a(g826 +g1044 +tp18456 +a(g440 +Vsymbol +p18457 +tp18458 +a(g705 +g1064 +tp18459 +a(g826 +V\u000a +p18460 +tp18461 +a(g705 +g1040 +tp18462 +a(g440 +Vautoloadp +p18463 +tp18464 +a(g826 +g1044 +tp18465 +a(g705 +g1040 +tp18466 +a(g573 +Vsymbol-function +p18467 +tp18468 +a(g826 +g1044 +tp18469 +a(g440 +Vsymbol +p18470 +tp18471 +a(g705 +g1064 +tp18472 +a(g705 +g1064 +tp18473 +a(g705 +g1064 +tp18474 +a(g826 +V\u000a +p18475 +tp18476 +a(g705 +g1040 +tp18477 +a(g573 +Vnth +p18478 +tp18479 +a(g826 +g1044 +tp18480 +a(g37 +g2048 +tp18481 +a(g826 +g1044 +tp18482 +a(g705 +g1040 +tp18483 +a(g573 +Vsymbol-function +p18484 +tp18485 +a(g826 +g1044 +tp18486 +a(g440 +Vsymbol +p18487 +tp18488 +a(g705 +g1064 +tp18489 +a(g705 +g1064 +tp18490 +a(g826 +V\u000a +p18491 +tp18492 +a(g705 +g1040 +tp18493 +a(g744 +Vlet +p18494 +tp18495 +a(g826 +g1044 +tp18496 +a(g705 +g1040 +tp18497 +a(g705 +g1040 +tp18498 +a(g440 +Vfiles +p18499 +tp18500 +a(g826 +g1044 +tp18501 +a(g440 +Vload-history +p18502 +tp18503 +a(g705 +g1064 +tp18504 +a(g826 +V\u000a +p18505 +tp18506 +a(g440 +Vfile +p18507 +tp18508 +a(g705 +g1064 +tp18509 +a(g826 +V\u000a +p18510 +tp18511 +a(g705 +g1040 +tp18512 +a(g744 +Vwhile +p18513 +tp18514 +a(g826 +g1044 +tp18515 +a(g440 +Vfiles +p18516 +tp18517 +a(g826 +V\u000a +p18518 +tp18519 +a(g705 +g1040 +tp18520 +a(g744 +Vif +p18521 +tp18522 +a(g826 +g1044 +tp18523 +a(g705 +g1040 +tp18524 +a(g744 +Vif +p18525 +tp18526 +a(g826 +g1044 +tp18527 +a(g440 +Vtype +p18528 +tp18529 +a(g826 +V\u000a +p18530 +tp18531 +a(g705 +g1040 +tp18532 +a(g744 +Vif +p18533 +tp18534 +a(g826 +g1044 +tp18535 +a(g705 +g1040 +tp18536 +a(g573 +Veq +p18537 +tp18538 +a(g826 +g1044 +tp18539 +a(g440 +Vtype +p18540 +tp18541 +a(g826 +g1044 +tp18542 +a(g117 +V'defvar +p18543 +tp18544 +a(g705 +g1064 +tp18545 +a(g826 +V\u000a +p18546 +tp18547 +a(g8 +V;; Variables are present just as their names. +p18548 +tp18549 +a(g826 +V\u000a +p18550 +tp18551 +a(g705 +g1040 +tp18552 +a(g573 +Vmember +p18553 +tp18554 +a(g826 +g1044 +tp18555 +a(g440 +Vsymbol +p18556 +tp18557 +a(g826 +g1044 +tp18558 +a(g705 +g1040 +tp18559 +a(g573 +Vcdr +p18560 +tp18561 +a(g826 +g1044 +tp18562 +a(g705 +g1040 +tp18563 +a(g573 +Vcar +p18564 +tp18565 +a(g826 +g1044 +tp18566 +a(g440 +Vfiles +p18567 +tp18568 +a(g705 +g1064 +tp18569 +a(g705 +g1064 +tp18570 +a(g705 +g1064 +tp18571 +a(g826 +V\u000a +p18572 +tp18573 +a(g8 +V;; Other types are represented as (TYPE . NAME). +p18574 +tp18575 +a(g826 +V\u000a +p18576 +tp18577 +a(g705 +g1040 +tp18578 +a(g573 +Vmember +p18579 +tp18580 +a(g826 +g1044 +tp18581 +a(g705 +g1040 +tp18582 +a(g573 +Vcons +p18583 +tp18584 +a(g826 +g1044 +tp18585 +a(g440 +Vtype +p18586 +tp18587 +a(g826 +g1044 +tp18588 +a(g440 +Vsymbol +p18589 +tp18590 +a(g705 +g1064 +tp18591 +a(g826 +g1044 +tp18592 +a(g705 +g1040 +tp18593 +a(g573 +Vcdr +p18594 +tp18595 +a(g826 +g1044 +tp18596 +a(g705 +g1040 +tp18597 +a(g573 +Vcar +p18598 +tp18599 +a(g826 +g1044 +tp18600 +a(g440 +Vfiles +p18601 +tp18602 +a(g705 +g1064 +tp18603 +a(g705 +g1064 +tp18604 +a(g705 +g1064 +tp18605 +a(g705 +g1064 +tp18606 +a(g826 +V\u000a +p18607 +tp18608 +a(g8 +V;; We accept all types, so look for variable def +p18609 +tp18610 +a(g826 +V\u000a +p18611 +tp18612 +a(g8 +V;; and then for any other kind. +p18613 +tp18614 +a(g826 +V\u000a +p18615 +tp18616 +a(g705 +g1040 +tp18617 +a(g744 +Vor +p18618 +tp18619 +a(g826 +g1044 +tp18620 +a(g705 +g1040 +tp18621 +a(g573 +Vmember +p18622 +tp18623 +a(g826 +g1044 +tp18624 +a(g440 +Vsymbol +p18625 +tp18626 +a(g826 +g1044 +tp18627 +a(g705 +g1040 +tp18628 +a(g573 +Vcdr +p18629 +tp18630 +a(g826 +g1044 +tp18631 +a(g705 +g1040 +tp18632 +a(g573 +Vcar +p18633 +tp18634 +a(g826 +g1044 +tp18635 +a(g440 +Vfiles +p18636 +tp18637 +a(g705 +g1064 +tp18638 +a(g705 +g1064 +tp18639 +a(g705 +g1064 +tp18640 +a(g826 +V\u000a +p18641 +tp18642 +a(g705 +g1040 +tp18643 +a(g573 +Vrassq +p18644 +tp18645 +a(g826 +g1044 +tp18646 +a(g440 +Vsymbol +p18647 +tp18648 +a(g826 +g1044 +tp18649 +a(g705 +g1040 +tp18650 +a(g573 +Vcdr +p18651 +tp18652 +a(g826 +g1044 +tp18653 +a(g705 +g1040 +tp18654 +a(g573 +Vcar +p18655 +tp18656 +a(g826 +g1044 +tp18657 +a(g440 +Vfiles +p18658 +tp18659 +a(g705 +g1064 +tp18660 +a(g705 +g1064 +tp18661 +a(g705 +g1064 +tp18662 +a(g705 +g1064 +tp18663 +a(g705 +g1064 +tp18664 +a(g826 +V\u000a +p18665 +tp18666 +a(g705 +g1040 +tp18667 +a(g744 +Vsetq +p18668 +tp18669 +a(g826 +g1044 +tp18670 +a(g440 +Vfile +p18671 +tp18672 +a(g826 +g1044 +tp18673 +a(g705 +g1040 +tp18674 +a(g573 +Vcar +p18675 +tp18676 +a(g826 +g1044 +tp18677 +a(g705 +g1040 +tp18678 +a(g573 +Vcar +p18679 +tp18680 +a(g826 +g1044 +tp18681 +a(g440 +Vfiles +p18682 +tp18683 +a(g705 +g1064 +tp18684 +a(g705 +g1064 +tp18685 +a(g826 +g1044 +tp18686 +a(g440 +Vfiles +p18687 +tp18688 +a(g826 +g1044 +tp18689 +a(g565 +Vnil +p18690 +tp18691 +a(g705 +g1064 +tp18692 +a(g705 +g1064 +tp18693 +a(g826 +V\u000a +p18694 +tp18695 +a(g705 +g1040 +tp18696 +a(g744 +Vsetq +p18697 +tp18698 +a(g826 +g1044 +tp18699 +a(g440 +Vfiles +p18700 +tp18701 +a(g826 +g1044 +tp18702 +a(g705 +g1040 +tp18703 +a(g573 +Vcdr +p18704 +tp18705 +a(g826 +g1044 +tp18706 +a(g440 +Vfiles +p18707 +tp18708 +a(g705 +g1064 +tp18709 +a(g705 +g1064 +tp18710 +a(g705 +g1064 +tp18711 +a(g826 +V\u000a +p18712 +tp18713 +a(g440 +Vfile +p18714 +tp18715 +a(g705 +g1064 +tp18716 +a(g705 +g1064 +tp18717 +a(g705 +g1064 +tp18718 +a(g826 +V\u000a\u000a +p18719 +tp18720 +a(g705 +g1040 +tp18721 +a(g681 +Vdefun +p18722 +tp18723 +a(g826 +g1044 +tp18724 +a(g440 +Vlocate-library +p18725 +tp18726 +a(g826 +g1044 +tp18727 +a(g705 +g1040 +tp18728 +a(g440 +Vlibrary +p18729 +tp18730 +a(g826 +g1044 +tp18731 +a(g785 +V&optional +p18732 +tp18733 +a(g826 +g1044 +tp18734 +a(g440 +Vnosuffix +p18735 +tp18736 +a(g826 +g1044 +tp18737 +a(g440 +Vpath +p18738 +tp18739 +a(g826 +g1044 +tp18740 +a(g440 +Vinteractive-call +p18741 +tp18742 +a(g705 +g1064 +tp18743 +a(g826 +V\u000a +p18744 +tp18745 +a(g89 +g1068 +tp18746 +a(g89 +VShow the precise file name of Emacs library LIBRARY.\u000aLIBRARY should be a relative file name of the library, a string.\u000aIt can omit the suffix (a.k.a. file-name extension) if NOSUFFIX is\u000anil (which is the default, see below).\u000aThis command searches the directories in +p18747 +tp18748 +a(g117 +V`load-path' +p18749 +tp18750 +a(g89 +V like +p18751 +tp18752 +a(g89 +g1128 +tp18753 +a(g89 +V\u005c\u005c +p18754 +tp18755 +a(g89 +V[load-library]'\u000ato find the file that +p18756 +tp18757 +a(g89 +g1128 +tp18758 +a(g89 +V\u005c\u005c +p18759 +tp18760 +a(g89 +V[load-library] RET LIBRARY RET' would load.\u000aOptional second arg NOSUFFIX non-nil means don't add suffixes +p18761 +tp18762 +a(g117 +V`load-suffixes' +p18763 +tp18764 +a(g89 +V\u000ato the specified name LIBRARY.\u000a\u000aIf the optional third arg PATH is specified, that list of directories\u000ais used instead of +p18765 +tp18766 +a(g117 +V`load-path' +p18767 +tp18768 +a(g89 +V.\u000a\u000aWhen called from a program, the file name is normally returned as a\u000astring. When run interactively, the argument INTERACTIVE-CALL is t,\u000aand the file name is displayed in the echo area. +p18769 +tp18770 +a(g89 +g1068 +tp18771 +a(g826 +V\u000a +p18772 +tp18773 +a(g705 +g1040 +tp18774 +a(g744 +Vinteractive +p18775 +tp18776 +a(g826 +g1044 +tp18777 +a(g705 +g1040 +tp18778 +a(g573 +Vlist +p18779 +tp18780 +a(g826 +g1044 +tp18781 +a(g705 +g1040 +tp18782 +a(g573 +Vcompleting-read +p18783 +tp18784 +a(g826 +g1044 +tp18785 +a(g89 +g1068 +tp18786 +a(g89 +VLocate library: +p18787 +tp18788 +a(g89 +g1068 +tp18789 +a(g826 +V\u000a +p18790 +tp18791 +a(g705 +g1040 +tp18792 +a(g440 +Vapply-partially +p18793 +tp18794 +a(g826 +V\u000a +p18795 +tp18796 +a(g117 +V'locate-file-completion-table +p18797 +tp18798 +a(g826 +V\u000a +p18799 +tp18800 +a(g440 +Vload-path +p18801 +tp18802 +a(g826 +g1044 +tp18803 +a(g705 +g1040 +tp18804 +a(g573 +Vget-load-suffixes +p18805 +tp18806 +a(g705 +g1064 +tp18807 +a(g705 +g1064 +tp18808 +a(g705 +g1064 +tp18809 +a(g826 +V\u000a +p18810 +tp18811 +a(g565 +Vnil +p18812 +tp18813 +a(g826 +g1044 +tp18814 +a(g565 +Vnil +p18815 +tp18816 +a(g826 +V\u000a +p18817 +tp18818 +a(g565 +g1187 +tp18819 +a(g705 +g1064 +tp18820 +a(g705 +g1064 +tp18821 +a(g826 +V\u000a +p18822 +tp18823 +a(g705 +g1040 +tp18824 +a(g744 +Vlet +p18825 +tp18826 +a(g826 +g1044 +tp18827 +a(g705 +g1040 +tp18828 +a(g705 +g1040 +tp18829 +a(g440 +Vfile +p18830 +tp18831 +a(g826 +g1044 +tp18832 +a(g705 +g1040 +tp18833 +a(g440 +Vlocate-file +p18834 +tp18835 +a(g826 +g1044 +tp18836 +a(g440 +Vlibrary +p18837 +tp18838 +a(g826 +V\u000a +p18839 +tp18840 +a(g705 +g1040 +tp18841 +a(g744 +Vor +p18842 +tp18843 +a(g826 +g1044 +tp18844 +a(g440 +Vpath +p18845 +tp18846 +a(g826 +g1044 +tp18847 +a(g440 +Vload-path +p18848 +tp18849 +a(g705 +g1064 +tp18850 +a(g826 +V\u000a +p18851 +tp18852 +a(g705 +g1040 +tp18853 +a(g573 +Vappend +p18854 +tp18855 +a(g826 +g1044 +tp18856 +a(g705 +g1040 +tp18857 +a(g681 +Vunless +p18858 +tp18859 +a(g826 +g1044 +tp18860 +a(g440 +Vnosuffix +p18861 +tp18862 +a(g826 +g1044 +tp18863 +a(g705 +g1040 +tp18864 +a(g573 +Vget-load-suffixes +p18865 +tp18866 +a(g705 +g1064 +tp18867 +a(g705 +g1064 +tp18868 +a(g826 +V\u000a +p18869 +tp18870 +a(g440 +Vload-file-rep-suffixes +p18871 +tp18872 +a(g705 +g1064 +tp18873 +a(g705 +g1064 +tp18874 +a(g705 +g1064 +tp18875 +a(g705 +g1064 +tp18876 +a(g826 +V\u000a +p18877 +tp18878 +a(g705 +g1040 +tp18879 +a(g744 +Vif +p18880 +tp18881 +a(g826 +g1044 +tp18882 +a(g440 +Vinteractive-call +p18883 +tp18884 +a(g826 +V\u000a +p18885 +tp18886 +a(g705 +g1040 +tp18887 +a(g744 +Vif +p18888 +tp18889 +a(g826 +g1044 +tp18890 +a(g440 +Vfile +p18891 +tp18892 +a(g826 +V\u000a +p18893 +tp18894 +a(g705 +g1040 +tp18895 +a(g573 +Vmessage +p18896 +tp18897 +a(g826 +g1044 +tp18898 +a(g89 +g1068 +tp18899 +a(g89 +VLibrary is file %s +p18900 +tp18901 +a(g89 +g1068 +tp18902 +a(g826 +g1044 +tp18903 +a(g705 +g1040 +tp18904 +a(g440 +Vabbreviate-file-name +p18905 +tp18906 +a(g826 +g1044 +tp18907 +a(g440 +Vfile +p18908 +tp18909 +a(g705 +g1064 +tp18910 +a(g705 +g1064 +tp18911 +a(g826 +V\u000a +p18912 +tp18913 +a(g705 +g1040 +tp18914 +a(g573 +Vmessage +p18915 +tp18916 +a(g826 +g1044 +tp18917 +a(g89 +g1068 +tp18918 +a(g89 +VNo library %s in search path +p18919 +tp18920 +a(g89 +g1068 +tp18921 +a(g826 +g1044 +tp18922 +a(g440 +Vlibrary +p18923 +tp18924 +a(g705 +g1064 +tp18925 +a(g705 +g1064 +tp18926 +a(g705 +g1064 +tp18927 +a(g826 +V\u000a +p18928 +tp18929 +a(g440 +Vfile +p18930 +tp18931 +a(g705 +g1064 +tp18932 +a(g705 +g1064 +tp18933 +a(g826 +V\u000a\u000a \u000a +p18934 +tp18935 +a(g8 +V;;;; Process stuff. +p18936 +tp18937 +a(g826 +V\u000a\u000a +p18938 +tp18939 +a(g705 +g1040 +tp18940 +a(g681 +Vdefun +p18941 +tp18942 +a(g826 +g1044 +tp18943 +a(g440 +Vprocess-lines +p18944 +tp18945 +a(g826 +g1044 +tp18946 +a(g705 +g1040 +tp18947 +a(g440 +Vprogram +p18948 +tp18949 +a(g826 +g1044 +tp18950 +a(g785 +V&rest +p18951 +tp18952 +a(g826 +g1044 +tp18953 +a(g440 +Vargs +p18954 +tp18955 +a(g705 +g1064 +tp18956 +a(g826 +V\u000a +p18957 +tp18958 +a(g89 +g1068 +tp18959 +a(g89 +VExecute PROGRAM with ARGS, returning its output as a list of lines.\u000aSignal an error if the program returns with a non-zero exit status. +p18960 +tp18961 +a(g89 +g1068 +tp18962 +a(g826 +V\u000a +p18963 +tp18964 +a(g705 +g1040 +tp18965 +a(g681 +Vwith-temp-buffer +p18966 +tp18967 +a(g826 +V\u000a +p18968 +tp18969 +a(g705 +g1040 +tp18970 +a(g744 +Vlet +p18971 +tp18972 +a(g826 +g1044 +tp18973 +a(g705 +g1040 +tp18974 +a(g705 +g1040 +tp18975 +a(g440 +Vstatus +p18976 +tp18977 +a(g826 +g1044 +tp18978 +a(g705 +g1040 +tp18979 +a(g573 +Vapply +p18980 +tp18981 +a(g826 +g1044 +tp18982 +a(g117 +V'call-process +p18983 +tp18984 +a(g826 +g1044 +tp18985 +a(g440 +Vprogram +p18986 +tp18987 +a(g826 +g1044 +tp18988 +a(g565 +Vnil +p18989 +tp18990 +a(g826 +g1044 +tp18991 +a(g705 +g1040 +tp18992 +a(g573 +Vcurrent-buffer +p18993 +tp18994 +a(g705 +g1064 +tp18995 +a(g826 +g1044 +tp18996 +a(g565 +Vnil +p18997 +tp18998 +a(g826 +g1044 +tp18999 +a(g440 +Vargs +p19000 +tp19001 +a(g705 +g1064 +tp19002 +a(g705 +g1064 +tp19003 +a(g705 +g1064 +tp19004 +a(g826 +V\u000a +p19005 +tp19006 +a(g705 +g1040 +tp19007 +a(g681 +Vunless +p19008 +tp19009 +a(g826 +g1044 +tp19010 +a(g705 +g1040 +tp19011 +a(g573 +Veq +p19012 +tp19013 +a(g826 +g1044 +tp19014 +a(g440 +Vstatus +p19015 +tp19016 +a(g826 +g1044 +tp19017 +a(g37 +g2628 +tp19018 +a(g705 +g1064 +tp19019 +a(g826 +V\u000a +p19020 +tp19021 +a(g705 +g1040 +tp19022 +a(g612 +Verror +p19023 +tp19024 +a(g826 +g1044 +tp19025 +a(g89 +g1068 +tp19026 +a(g89 +V%s exited with status %s +p19027 +tp19028 +a(g89 +g1068 +tp19029 +a(g826 +g1044 +tp19030 +a(g440 +Vprogram +p19031 +tp19032 +a(g826 +g1044 +tp19033 +a(g440 +Vstatus +p19034 +tp19035 +a(g705 +g1064 +tp19036 +a(g705 +g1064 +tp19037 +a(g826 +V\u000a +p19038 +tp19039 +a(g705 +g1040 +tp19040 +a(g573 +Vgoto-char +p19041 +tp19042 +a(g826 +g1044 +tp19043 +a(g705 +g1040 +tp19044 +a(g573 +Vpoint-min +p19045 +tp19046 +a(g705 +g1064 +tp19047 +a(g705 +g1064 +tp19048 +a(g826 +V\u000a +p19049 +tp19050 +a(g705 +g1040 +tp19051 +a(g744 +Vlet +p19052 +tp19053 +a(g826 +g1044 +tp19054 +a(g705 +g1040 +tp19055 +a(g440 +Vlines +p19056 +tp19057 +a(g705 +g1064 +tp19058 +a(g826 +V\u000a +p19059 +tp19060 +a(g705 +g1040 +tp19061 +a(g744 +Vwhile +p19062 +tp19063 +a(g826 +g1044 +tp19064 +a(g705 +g1040 +tp19065 +a(g440 +Vnot +p19066 +tp19067 +a(g826 +g1044 +tp19068 +a(g705 +g1040 +tp19069 +a(g573 +Veobp +p19070 +tp19071 +a(g705 +g1064 +tp19072 +a(g705 +g1064 +tp19073 +a(g826 +V\u000a +p19074 +tp19075 +a(g705 +g1040 +tp19076 +a(g744 +Vsetq +p19077 +tp19078 +a(g826 +g1044 +tp19079 +a(g440 +Vlines +p19080 +tp19081 +a(g826 +g1044 +tp19082 +a(g705 +g1040 +tp19083 +a(g573 +Vcons +p19084 +tp19085 +a(g826 +g1044 +tp19086 +a(g705 +g1040 +tp19087 +a(g573 +Vbuffer-substring-no-properties +p19088 +tp19089 +a(g826 +V\u000a +p19090 +tp19091 +a(g705 +g1040 +tp19092 +a(g573 +Vline-beginning-position +p19093 +tp19094 +a(g705 +g1064 +tp19095 +a(g826 +V\u000a +p19096 +tp19097 +a(g705 +g1040 +tp19098 +a(g573 +Vline-end-position +p19099 +tp19100 +a(g705 +g1064 +tp19101 +a(g705 +g1064 +tp19102 +a(g826 +V\u000a +p19103 +tp19104 +a(g440 +Vlines +p19105 +tp19106 +a(g705 +g1064 +tp19107 +a(g705 +g1064 +tp19108 +a(g826 +V\u000a +p19109 +tp19110 +a(g705 +g1040 +tp19111 +a(g573 +Vforward-line +p19112 +tp19113 +a(g826 +g1044 +tp19114 +a(g37 +g2048 +tp19115 +a(g705 +g1064 +tp19116 +a(g705 +g1064 +tp19117 +a(g826 +V\u000a +p19118 +tp19119 +a(g705 +g1040 +tp19120 +a(g573 +Vnreverse +p19121 +tp19122 +a(g826 +g1044 +tp19123 +a(g440 +Vlines +p19124 +tp19125 +a(g705 +g1064 +tp19126 +a(g705 +g1064 +tp19127 +a(g705 +g1064 +tp19128 +a(g705 +g1064 +tp19129 +a(g705 +g1064 +tp19130 +a(g826 +V\u000a\u000a +p19131 +tp19132 +a(g705 +g1040 +tp19133 +a(g681 +Vdefun +p19134 +tp19135 +a(g826 +g1044 +tp19136 +a(g440 +Vprocess-live-p +p19137 +tp19138 +a(g826 +g1044 +tp19139 +a(g705 +g1040 +tp19140 +a(g440 +Vprocess +p19141 +tp19142 +a(g705 +g1064 +tp19143 +a(g826 +V\u000a +p19144 +tp19145 +a(g89 +g1068 +tp19146 +a(g89 +VReturns non-nil if PROCESS is alive.\u000aA process is considered alive if its status is +p19147 +tp19148 +a(g117 +V`run' +p19149 +tp19150 +a(g89 +V, +p19151 +tp19152 +a(g117 +V`open' +p19153 +tp19154 +a(g89 +V,\u000a +p19155 +tp19156 +a(g117 +V`listen' +p19157 +tp19158 +a(g89 +V, +p19159 +tp19160 +a(g117 +V`connect' +p19161 +tp19162 +a(g89 +V or +p19163 +tp19164 +a(g117 +V`stop' +p19165 +tp19166 +a(g89 +V. Value is nil if PROCESS is not a\u000aprocess. +p19167 +tp19168 +a(g89 +g1068 +tp19169 +a(g826 +V\u000a +p19170 +tp19171 +a(g705 +g1040 +tp19172 +a(g744 +Vand +p19173 +tp19174 +a(g826 +g1044 +tp19175 +a(g705 +g1040 +tp19176 +a(g573 +Vprocessp +p19177 +tp19178 +a(g826 +g1044 +tp19179 +a(g440 +Vprocess +p19180 +tp19181 +a(g705 +g1064 +tp19182 +a(g826 +V\u000a +p19183 +tp19184 +a(g705 +g1040 +tp19185 +a(g573 +Vmemq +p19186 +tp19187 +a(g826 +g1044 +tp19188 +a(g705 +g1040 +tp19189 +a(g573 +Vprocess-status +p19190 +tp19191 +a(g826 +g1044 +tp19192 +a(g440 +Vprocess +p19193 +tp19194 +a(g705 +g1064 +tp19195 +a(g826 +V\u000a +p19196 +tp19197 +a(g412 +g10833 +tp19198 +a(g705 +g1040 +tp19199 +a(g440 +Vrun +p19200 +tp19201 +a(g826 +g1044 +tp19202 +a(g440 +Vopen +p19203 +tp19204 +a(g826 +g1044 +tp19205 +a(g440 +Vlisten +p19206 +tp19207 +a(g826 +g1044 +tp19208 +a(g440 +Vconnect +p19209 +tp19210 +a(g826 +g1044 +tp19211 +a(g440 +Vstop +p19212 +tp19213 +a(g705 +g1064 +tp19214 +a(g705 +g1064 +tp19215 +a(g705 +g1064 +tp19216 +a(g705 +g1064 +tp19217 +a(g826 +V\u000a\u000a +p19218 +tp19219 +a(g8 +V;; compatibility +p19220 +tp19221 +a(g826 +V\u000a\u000a +p19222 +tp19223 +a(g705 +g1040 +tp19224 +a(g440 +Vmake-obsolete +p19225 +tp19226 +a(g826 +V\u000a +p19227 +tp19228 +a(g117 +V'process-kill-without-query +p19229 +tp19230 +a(g826 +V\u000a +p19231 +tp19232 +a(g89 +g1068 +tp19233 +a(g89 +Vuse +p19234 +tp19235 +a(g117 +V`process-query-on-exit-flag' +p19236 +tp19237 +a(g89 +V or +p19238 +tp19239 +a(g117 +V`set-process-query-on-exit-flag' +p19240 +tp19241 +a(g89 +g3307 +tp19242 +a(g89 +g1068 +tp19243 +a(g826 +V\u000a +p19244 +tp19245 +a(g89 +g1068 +tp19246 +a(g89 +V22.1 +p19247 +tp19248 +a(g89 +g1068 +tp19249 +a(g705 +g1064 +tp19250 +a(g826 +V\u000a +p19251 +tp19252 +a(g705 +g1040 +tp19253 +a(g681 +Vdefun +p19254 +tp19255 +a(g826 +g1044 +tp19256 +a(g440 +Vprocess-kill-without-query +p19257 +tp19258 +a(g826 +g1044 +tp19259 +a(g705 +g1040 +tp19260 +a(g440 +Vprocess +p19261 +tp19262 +a(g826 +g1044 +tp19263 +a(g785 +V&optional +p19264 +tp19265 +a(g826 +g1044 +tp19266 +a(g440 +V_flag +p19267 +tp19268 +a(g705 +g1064 +tp19269 +a(g826 +V\u000a +p19270 +tp19271 +a(g89 +g1068 +tp19272 +a(g89 +VSay no query needed if PROCESS is running when Emacs is exited.\u000aOptional second argument if non-nil says to require a query.\u000aValue is t if a query was formerly required. +p19273 +tp19274 +a(g89 +g1068 +tp19275 +a(g826 +V\u000a +p19276 +tp19277 +a(g705 +g1040 +tp19278 +a(g744 +Vlet +p19279 +tp19280 +a(g826 +g1044 +tp19281 +a(g705 +g1040 +tp19282 +a(g705 +g1040 +tp19283 +a(g440 +Vold +p19284 +tp19285 +a(g826 +g1044 +tp19286 +a(g705 +g1040 +tp19287 +a(g573 +Vprocess-query-on-exit-flag +p19288 +tp19289 +a(g826 +g1044 +tp19290 +a(g440 +Vprocess +p19291 +tp19292 +a(g705 +g1064 +tp19293 +a(g705 +g1064 +tp19294 +a(g705 +g1064 +tp19295 +a(g826 +V\u000a +p19296 +tp19297 +a(g705 +g1040 +tp19298 +a(g573 +Vset-process-query-on-exit-flag +p19299 +tp19300 +a(g826 +g1044 +tp19301 +a(g440 +Vprocess +p19302 +tp19303 +a(g826 +g1044 +tp19304 +a(g565 +Vnil +p19305 +tp19306 +a(g705 +g1064 +tp19307 +a(g826 +V\u000a +p19308 +tp19309 +a(g440 +Vold +p19310 +tp19311 +a(g705 +g1064 +tp19312 +a(g705 +g1064 +tp19313 +a(g826 +V\u000a\u000a +p19314 +tp19315 +a(g705 +g1040 +tp19316 +a(g681 +Vdefun +p19317 +tp19318 +a(g826 +g1044 +tp19319 +a(g440 +Vprocess-kill-buffer-query-function +p19320 +tp19321 +a(g826 +g1044 +tp19322 +a(g705 +g1040 +tp19323 +a(g705 +g1064 +tp19324 +a(g826 +V\u000a +p19325 +tp19326 +a(g89 +g1068 +tp19327 +a(g89 +VAsk before killing a buffer that has a running process. +p19328 +tp19329 +a(g89 +g1068 +tp19330 +a(g826 +V\u000a +p19331 +tp19332 +a(g705 +g1040 +tp19333 +a(g744 +Vlet +p19334 +tp19335 +a(g826 +g1044 +tp19336 +a(g705 +g1040 +tp19337 +a(g705 +g1040 +tp19338 +a(g440 +Vprocess +p19339 +tp19340 +a(g826 +g1044 +tp19341 +a(g705 +g1040 +tp19342 +a(g573 +Vget-buffer-process +p19343 +tp19344 +a(g826 +g1044 +tp19345 +a(g705 +g1040 +tp19346 +a(g573 +Vcurrent-buffer +p19347 +tp19348 +a(g705 +g1064 +tp19349 +a(g705 +g1064 +tp19350 +a(g705 +g1064 +tp19351 +a(g705 +g1064 +tp19352 +a(g826 +V\u000a +p19353 +tp19354 +a(g705 +g1040 +tp19355 +a(g744 +Vor +p19356 +tp19357 +a(g826 +g1044 +tp19358 +a(g705 +g1040 +tp19359 +a(g440 +Vnot +p19360 +tp19361 +a(g826 +g1044 +tp19362 +a(g440 +Vprocess +p19363 +tp19364 +a(g705 +g1064 +tp19365 +a(g826 +V\u000a +p19366 +tp19367 +a(g705 +g1040 +tp19368 +a(g440 +Vnot +p19369 +tp19370 +a(g826 +g1044 +tp19371 +a(g705 +g1040 +tp19372 +a(g573 +Vmemq +p19373 +tp19374 +a(g826 +g1044 +tp19375 +a(g705 +g1040 +tp19376 +a(g573 +Vprocess-status +p19377 +tp19378 +a(g826 +g1044 +tp19379 +a(g440 +Vprocess +p19380 +tp19381 +a(g705 +g1064 +tp19382 +a(g826 +g1044 +tp19383 +a(g412 +g10833 +tp19384 +a(g705 +g1040 +tp19385 +a(g440 +Vrun +p19386 +tp19387 +a(g826 +g1044 +tp19388 +a(g440 +Vstop +p19389 +tp19390 +a(g826 +g1044 +tp19391 +a(g440 +Vopen +p19392 +tp19393 +a(g826 +g1044 +tp19394 +a(g440 +Vlisten +p19395 +tp19396 +a(g705 +g1064 +tp19397 +a(g705 +g1064 +tp19398 +a(g705 +g1064 +tp19399 +a(g826 +V\u000a +p19400 +tp19401 +a(g705 +g1040 +tp19402 +a(g440 +Vnot +p19403 +tp19404 +a(g826 +g1044 +tp19405 +a(g705 +g1040 +tp19406 +a(g573 +Vprocess-query-on-exit-flag +p19407 +tp19408 +a(g826 +g1044 +tp19409 +a(g440 +Vprocess +p19410 +tp19411 +a(g705 +g1064 +tp19412 +a(g705 +g1064 +tp19413 +a(g826 +V\u000a +p19414 +tp19415 +a(g705 +g1040 +tp19416 +a(g573 +Vyes-or-no-p +p19417 +tp19418 +a(g826 +V\u000a +p19419 +tp19420 +a(g705 +g1040 +tp19421 +a(g573 +Vformat +p19422 +tp19423 +a(g826 +g1044 +tp19424 +a(g89 +g1068 +tp19425 +a(g89 +VBuffer %S has a running process; kill it? +p19426 +tp19427 +a(g89 +g1068 +tp19428 +a(g826 +V\u000a +p19429 +tp19430 +a(g705 +g1040 +tp19431 +a(g573 +Vbuffer-name +p19432 +tp19433 +a(g826 +g1044 +tp19434 +a(g705 +g1040 +tp19435 +a(g573 +Vcurrent-buffer +p19436 +tp19437 +a(g705 +g1064 +tp19438 +a(g705 +g1064 +tp19439 +a(g705 +g1064 +tp19440 +a(g705 +g1064 +tp19441 +a(g705 +g1064 +tp19442 +a(g705 +g1064 +tp19443 +a(g705 +g1064 +tp19444 +a(g826 +V\u000a\u000a +p19445 +tp19446 +a(g705 +g1040 +tp19447 +a(g440 +Vadd-hook +p19448 +tp19449 +a(g826 +g1044 +tp19450 +a(g117 +V'kill-buffer-query-functions +p19451 +tp19452 +a(g826 +g1044 +tp19453 +a(g117 +V'process-kill-buffer-query-function +p19454 +tp19455 +a(g705 +g1064 +tp19456 +a(g826 +V\u000a\u000a +p19457 +tp19458 +a(g8 +V;; process plist management +p19459 +tp19460 +a(g826 +V\u000a\u000a +p19461 +tp19462 +a(g705 +g1040 +tp19463 +a(g681 +Vdefun +p19464 +tp19465 +a(g826 +g1044 +tp19466 +a(g440 +Vprocess-get +p19467 +tp19468 +a(g826 +g1044 +tp19469 +a(g705 +g1040 +tp19470 +a(g440 +Vprocess +p19471 +tp19472 +a(g826 +g1044 +tp19473 +a(g440 +Vpropname +p19474 +tp19475 +a(g705 +g1064 +tp19476 +a(g826 +V\u000a +p19477 +tp19478 +a(g89 +g1068 +tp19479 +a(g89 +VReturn the value of PROCESS' PROPNAME property.\u000aThis is the last value stored with +p19480 +tp19481 +a(g89 +g1128 +tp19482 +a(g89 +V(process-put PROCESS PROPNAME VALUE)'. +p19483 +tp19484 +a(g89 +g1068 +tp19485 +a(g826 +V\u000a +p19486 +tp19487 +a(g705 +g1040 +tp19488 +a(g573 +Vplist-get +p19489 +tp19490 +a(g826 +g1044 +tp19491 +a(g705 +g1040 +tp19492 +a(g573 +Vprocess-plist +p19493 +tp19494 +a(g826 +g1044 +tp19495 +a(g440 +Vprocess +p19496 +tp19497 +a(g705 +g1064 +tp19498 +a(g826 +g1044 +tp19499 +a(g440 +Vpropname +p19500 +tp19501 +a(g705 +g1064 +tp19502 +a(g705 +g1064 +tp19503 +a(g826 +V\u000a\u000a +p19504 +tp19505 +a(g705 +g1040 +tp19506 +a(g681 +Vdefun +p19507 +tp19508 +a(g826 +g1044 +tp19509 +a(g440 +Vprocess-put +p19510 +tp19511 +a(g826 +g1044 +tp19512 +a(g705 +g1040 +tp19513 +a(g440 +Vprocess +p19514 +tp19515 +a(g826 +g1044 +tp19516 +a(g440 +Vpropname +p19517 +tp19518 +a(g826 +g1044 +tp19519 +a(g440 +Vvalue +p19520 +tp19521 +a(g705 +g1064 +tp19522 +a(g826 +V\u000a +p19523 +tp19524 +a(g89 +g1068 +tp19525 +a(g89 +VChange PROCESS' PROPNAME property to VALUE.\u000aIt can be retrieved with +p19526 +tp19527 +a(g89 +g1128 +tp19528 +a(g89 +V(process-get PROCESS PROPNAME)'. +p19529 +tp19530 +a(g89 +g1068 +tp19531 +a(g826 +V\u000a +p19532 +tp19533 +a(g705 +g1040 +tp19534 +a(g573 +Vset-process-plist +p19535 +tp19536 +a(g826 +g1044 +tp19537 +a(g440 +Vprocess +p19538 +tp19539 +a(g826 +V\u000a +p19540 +tp19541 +a(g705 +g1040 +tp19542 +a(g573 +Vplist-put +p19543 +tp19544 +a(g826 +g1044 +tp19545 +a(g705 +g1040 +tp19546 +a(g573 +Vprocess-plist +p19547 +tp19548 +a(g826 +g1044 +tp19549 +a(g440 +Vprocess +p19550 +tp19551 +a(g705 +g1064 +tp19552 +a(g826 +g1044 +tp19553 +a(g440 +Vpropname +p19554 +tp19555 +a(g826 +g1044 +tp19556 +a(g440 +Vvalue +p19557 +tp19558 +a(g705 +g1064 +tp19559 +a(g705 +g1064 +tp19560 +a(g705 +g1064 +tp19561 +a(g826 +V\u000a\u000a \u000a +p19562 +tp19563 +a(g8 +V;;;; Input and display facilities. +p19564 +tp19565 +a(g826 +V\u000a\u000a +p19566 +tp19567 +a(g705 +g1040 +tp19568 +a(g744 +Vdefconst +p19569 +tp19570 +a(g826 +g1044 +tp19571 +a(g440 +Vread-key-empty-map +p19572 +tp19573 +a(g826 +g1044 +tp19574 +a(g705 +g1040 +tp19575 +a(g573 +Vmake-sparse-keymap +p19576 +tp19577 +a(g705 +g1064 +tp19578 +a(g705 +g1064 +tp19579 +a(g826 +V\u000a\u000a +p19580 +tp19581 +a(g705 +g1040 +tp19582 +a(g744 +Vdefvar +p19583 +tp19584 +a(g826 +g1044 +tp19585 +a(g440 +Vread-key-delay +p19586 +tp19587 +a(g826 +g1044 +tp19588 +a(g314 +V0.01 +p19589 +tp19590 +a(g705 +g1064 +tp19591 +a(g826 +g1044 +tp19592 +a(g8 +V;Fast enough for 100Hz repeat rate, hopefully. +p19593 +tp19594 +a(g826 +V\u000a\u000a +p19595 +tp19596 +a(g705 +g1040 +tp19597 +a(g681 +Vdefun +p19598 +tp19599 +a(g826 +g1044 +tp19600 +a(g440 +Vread-key +p19601 +tp19602 +a(g826 +g1044 +tp19603 +a(g705 +g1040 +tp19604 +a(g785 +V&optional +p19605 +tp19606 +a(g826 +g1044 +tp19607 +a(g440 +Vprompt +p19608 +tp19609 +a(g705 +g1064 +tp19610 +a(g826 +V\u000a +p19611 +tp19612 +a(g89 +g1068 +tp19613 +a(g89 +VRead a key from the keyboard.\u000aContrary to +p19614 +tp19615 +a(g117 +V`read-event' +p19616 +tp19617 +a(g89 +V this will not return a raw event but instead will\u000aobey the input decoding and translations usually done by +p19618 +tp19619 +a(g117 +V`read-key-sequence' +p19620 +tp19621 +a(g89 +V.\u000aSo escape sequences and keyboard encoding are taken into account.\u000aWhen there's an ambiguity because the key looks like the prefix of\u000asome sort of escape sequence, the ambiguity is resolved via +p19622 +tp19623 +a(g117 +V`read-key-delay' +p19624 +tp19625 +a(g89 +g3307 +tp19626 +a(g89 +g1068 +tp19627 +a(g826 +V\u000a +p19628 +tp19629 +a(g8 +V;; This overriding-terminal-local-map binding also happens to +p19630 +tp19631 +a(g826 +V\u000a +p19632 +tp19633 +a(g8 +V;; disable quail's input methods, so although read-key-sequence +p19634 +tp19635 +a(g826 +V\u000a +p19636 +tp19637 +a(g8 +V;; always inherits the input method, in practice read-key does not +p19638 +tp19639 +a(g826 +V\u000a +p19640 +tp19641 +a(g8 +V;; inherit the input method (at least not if it's based on quail). +p19642 +tp19643 +a(g826 +V\u000a +p19644 +tp19645 +a(g705 +g1040 +tp19646 +a(g744 +Vlet +p19647 +tp19648 +a(g826 +g1044 +tp19649 +a(g705 +g1040 +tp19650 +a(g705 +g1040 +tp19651 +a(g440 +Voverriding-terminal-local-map +p19652 +tp19653 +a(g826 +g1044 +tp19654 +a(g565 +Vnil +p19655 +tp19656 +a(g705 +g1064 +tp19657 +a(g826 +V\u000a +p19658 +tp19659 +a(g705 +g1040 +tp19660 +a(g440 +Voverriding-local-map +p19661 +tp19662 +a(g826 +g1044 +tp19663 +a(g440 +Vread-key-empty-map +p19664 +tp19665 +a(g705 +g1064 +tp19666 +a(g826 +V\u000a +p19667 +tp19668 +a(g705 +g1040 +tp19669 +a(g440 +Vecho-keystrokes +p19670 +tp19671 +a(g826 +g1044 +tp19672 +a(g37 +g2628 +tp19673 +a(g705 +g1064 +tp19674 +a(g826 +V\u000a +p19675 +tp19676 +a(g705 +g1040 +tp19677 +a(g440 +Vold-global-map +p19678 +tp19679 +a(g826 +g1044 +tp19680 +a(g705 +g1040 +tp19681 +a(g573 +Vcurrent-global-map +p19682 +tp19683 +a(g705 +g1064 +tp19684 +a(g705 +g1064 +tp19685 +a(g826 +V\u000a +p19686 +tp19687 +a(g705 +g1040 +tp19688 +a(g440 +Vtimer +p19689 +tp19690 +a(g826 +g1044 +tp19691 +a(g705 +g1040 +tp19692 +a(g440 +Vrun-with-idle-timer +p19693 +tp19694 +a(g826 +V\u000a +p19695 +tp19696 +a(g8 +V;; Wait long enough that Emacs has the time to receive and +p19697 +tp19698 +a(g826 +V\u000a +p19699 +tp19700 +a(g8 +V;; process all the raw events associated with the single-key. +p19701 +tp19702 +a(g826 +V\u000a +p19703 +tp19704 +a(g8 +V;; But don't wait too long, or the user may find the delay +p19705 +tp19706 +a(g826 +V\u000a +p19707 +tp19708 +a(g8 +V;; annoying (or keep hitting more keys which may then get +p19709 +tp19710 +a(g826 +V\u000a +p19711 +tp19712 +a(g8 +V;; lost or misinterpreted). +p19713 +tp19714 +a(g826 +V\u000a +p19715 +tp19716 +a(g8 +V;; This is only relevant for keys which Emacs perceives as +p19717 +tp19718 +a(g826 +V\u000a +p19719 +tp19720 +a(g8 +V;; "prefixes", such as C-x (because of the C-x 8 map in +p19721 +tp19722 +a(g826 +V\u000a +p19723 +tp19724 +a(g8 +V;; key-translate-table and the C-x @ map in function-key-map) +p19725 +tp19726 +a(g826 +V\u000a +p19727 +tp19728 +a(g8 +V;; or ESC (because of terminal escape sequences in +p19729 +tp19730 +a(g826 +V\u000a +p19731 +tp19732 +a(g8 +V;; input-decode-map). +p19733 +tp19734 +a(g826 +V\u000a +p19735 +tp19736 +a(g440 +Vread-key-delay +p19737 +tp19738 +a(g826 +g1044 +tp19739 +a(g565 +g1187 +tp19740 +a(g826 +V\u000a +p19741 +tp19742 +a(g705 +g1040 +tp19743 +a(g681 +Vlambda +p19744 +tp19745 +a(g826 +g1044 +tp19746 +a(g705 +g1040 +tp19747 +a(g705 +g1064 +tp19748 +a(g826 +V\u000a +p19749 +tp19750 +a(g705 +g1040 +tp19751 +a(g744 +Vlet +p19752 +tp19753 +a(g826 +g1044 +tp19754 +a(g705 +g1040 +tp19755 +a(g705 +g1040 +tp19756 +a(g440 +Vkeys +p19757 +tp19758 +a(g826 +g1044 +tp19759 +a(g705 +g1040 +tp19760 +a(g573 +Vthis-command-keys-vector +p19761 +tp19762 +a(g705 +g1064 +tp19763 +a(g705 +g1064 +tp19764 +a(g705 +g1064 +tp19765 +a(g826 +V\u000a +p19766 +tp19767 +a(g705 +g1040 +tp19768 +a(g681 +Vunless +p19769 +tp19770 +a(g826 +g1044 +tp19771 +a(g705 +g1040 +tp19772 +a(g440 +Vzerop +p19773 +tp19774 +a(g826 +g1044 +tp19775 +a(g705 +g1040 +tp19776 +a(g573 +Vlength +p19777 +tp19778 +a(g826 +g1044 +tp19779 +a(g440 +Vkeys +p19780 +tp19781 +a(g705 +g1064 +tp19782 +a(g705 +g1064 +tp19783 +a(g826 +V\u000a +p19784 +tp19785 +a(g8 +V;; `keys' is non-empty, so the user has hit at least +p19786 +tp19787 +a(g826 +V\u000a +p19788 +tp19789 +a(g8 +V;; one key; there's no point waiting any longer, even +p19790 +tp19791 +a(g826 +V\u000a +p19792 +tp19793 +a(g8 +V;; though read-key-sequence thinks we should wait +p19794 +tp19795 +a(g826 +V\u000a +p19796 +tp19797 +a(g8 +V;; for more input to decide how to interpret the +p19798 +tp19799 +a(g826 +V\u000a +p19800 +tp19801 +a(g8 +V;; current input. +p19802 +tp19803 +a(g826 +V\u000a +p19804 +tp19805 +a(g705 +g1040 +tp19806 +a(g681 +Vthrow +p19807 +tp19808 +a(g826 +g1044 +tp19809 +a(g117 +V'read-key +p19810 +tp19811 +a(g826 +g1044 +tp19812 +a(g440 +Vkeys +p19813 +tp19814 +a(g705 +g1064 +tp19815 +a(g705 +g1064 +tp19816 +a(g705 +g1064 +tp19817 +a(g705 +g1064 +tp19818 +a(g705 +g1064 +tp19819 +a(g705 +g1064 +tp19820 +a(g705 +g1064 +tp19821 +a(g826 +V\u000a +p19822 +tp19823 +a(g705 +g1040 +tp19824 +a(g744 +Vunwind-protect +p19825 +tp19826 +a(g826 +V\u000a +p19827 +tp19828 +a(g705 +g1040 +tp19829 +a(g744 +Vprogn +p19830 +tp19831 +a(g826 +V\u000a +p19832 +tp19833 +a(g705 +g1040 +tp19834 +a(g573 +Vuse-global-map +p19835 +tp19836 +a(g826 +V\u000a +p19837 +tp19838 +a(g705 +g1040 +tp19839 +a(g744 +Vlet +p19840 +tp19841 +a(g826 +g1044 +tp19842 +a(g705 +g1040 +tp19843 +a(g705 +g1040 +tp19844 +a(g440 +Vmap +p19845 +tp19846 +a(g826 +g1044 +tp19847 +a(g705 +g1040 +tp19848 +a(g573 +Vmake-sparse-keymap +p19849 +tp19850 +a(g705 +g1064 +tp19851 +a(g705 +g1064 +tp19852 +a(g705 +g1064 +tp19853 +a(g826 +V\u000a +p19854 +tp19855 +a(g8 +V;; Don't hide the menu-bar and tool-bar entries. +p19856 +tp19857 +a(g826 +V\u000a +p19858 +tp19859 +a(g705 +g1040 +tp19860 +a(g573 +Vdefine-key +p19861 +tp19862 +a(g826 +g1044 +tp19863 +a(g440 +Vmap +p19864 +tp19865 +a(g826 +g1044 +tp19866 +a(g705 +g1395 +tp19867 +a(g440 +Vmenu-bar +p19868 +tp19869 +a(g705 +g1402 +tp19870 +a(g826 +g1044 +tp19871 +a(g705 +g1040 +tp19872 +a(g573 +Vlookup-key +p19873 +tp19874 +a(g826 +g1044 +tp19875 +a(g440 +Vglobal-map +p19876 +tp19877 +a(g826 +g1044 +tp19878 +a(g705 +g1395 +tp19879 +a(g440 +Vmenu-bar +p19880 +tp19881 +a(g705 +g1402 +tp19882 +a(g705 +g1064 +tp19883 +a(g705 +g1064 +tp19884 +a(g826 +V\u000a +p19885 +tp19886 +a(g705 +g1040 +tp19887 +a(g573 +Vdefine-key +p19888 +tp19889 +a(g826 +g1044 +tp19890 +a(g440 +Vmap +p19891 +tp19892 +a(g826 +g1044 +tp19893 +a(g705 +g1395 +tp19894 +a(g440 +Vtool-bar +p19895 +tp19896 +a(g705 +g1402 +tp19897 +a(g826 +V\u000a +p19898 +tp19899 +a(g8 +V;; This hack avoids evaluating the :filter (Bug#9922). +p19900 +tp19901 +a(g826 +V\u000a +p19902 +tp19903 +a(g705 +g1040 +tp19904 +a(g744 +Vor +p19905 +tp19906 +a(g826 +g1044 +tp19907 +a(g705 +g1040 +tp19908 +a(g573 +Vcdr +p19909 +tp19910 +a(g826 +g1044 +tp19911 +a(g705 +g1040 +tp19912 +a(g573 +Vassq +p19913 +tp19914 +a(g826 +g1044 +tp19915 +a(g117 +V'tool-bar +p19916 +tp19917 +a(g826 +g1044 +tp19918 +a(g440 +Vglobal-map +p19919 +tp19920 +a(g705 +g1064 +tp19921 +a(g705 +g1064 +tp19922 +a(g826 +V\u000a +p19923 +tp19924 +a(g705 +g1040 +tp19925 +a(g573 +Vlookup-key +p19926 +tp19927 +a(g826 +g1044 +tp19928 +a(g440 +Vglobal-map +p19929 +tp19930 +a(g826 +g1044 +tp19931 +a(g705 +g1395 +tp19932 +a(g440 +Vtool-bar +p19933 +tp19934 +a(g705 +g1402 +tp19935 +a(g705 +g1064 +tp19936 +a(g705 +g1064 +tp19937 +a(g705 +g1064 +tp19938 +a(g826 +V\u000a +p19939 +tp19940 +a(g440 +Vmap +p19941 +tp19942 +a(g705 +g1064 +tp19943 +a(g705 +g1064 +tp19944 +a(g826 +V\u000a +p19945 +tp19946 +a(g705 +g1040 +tp19947 +a(g744 +Vlet* +p19948 +tp19949 +a(g826 +g1044 +tp19950 +a(g705 +g1040 +tp19951 +a(g705 +g1040 +tp19952 +a(g440 +Vkeys +p19953 +tp19954 +a(g826 +V\u000a +p19955 +tp19956 +a(g705 +g1040 +tp19957 +a(g744 +Vcatch +p19958 +tp19959 +a(g826 +g1044 +tp19960 +a(g117 +V'read-key +p19961 +tp19962 +a(g826 +g1044 +tp19963 +a(g705 +g1040 +tp19964 +a(g573 +Vread-key-sequence-vector +p19965 +tp19966 +a(g826 +g1044 +tp19967 +a(g440 +Vprompt +p19968 +tp19969 +a(g826 +g1044 +tp19970 +a(g565 +Vnil +p19971 +tp19972 +a(g826 +g1044 +tp19973 +a(g565 +g1187 +tp19974 +a(g705 +g1064 +tp19975 +a(g705 +g1064 +tp19976 +a(g705 +g1064 +tp19977 +a(g826 +V\u000a +p19978 +tp19979 +a(g705 +g1040 +tp19980 +a(g440 +Vkey +p19981 +tp19982 +a(g826 +g1044 +tp19983 +a(g705 +g1040 +tp19984 +a(g573 +Varef +p19985 +tp19986 +a(g826 +g1044 +tp19987 +a(g440 +Vkeys +p19988 +tp19989 +a(g826 +g1044 +tp19990 +a(g37 +g2628 +tp19991 +a(g705 +g1064 +tp19992 +a(g705 +g1064 +tp19993 +a(g705 +g1064 +tp19994 +a(g826 +V\u000a +p19995 +tp19996 +a(g705 +g1040 +tp19997 +a(g744 +Vif +p19998 +tp19999 +a(g826 +g1044 +tp20000 +a(g705 +g1040 +tp20001 +a(g744 +Vand +p20002 +tp20003 +a(g826 +g1044 +tp20004 +a(g705 +g1040 +tp20005 +a(g573 +g3989 +tp20006 +a(g826 +g1044 +tp20007 +a(g705 +g1040 +tp20008 +a(g573 +Vlength +p20009 +tp20010 +a(g826 +g1044 +tp20011 +a(g440 +Vkeys +p20012 +tp20013 +a(g705 +g1064 +tp20014 +a(g826 +g1044 +tp20015 +a(g37 +g2048 +tp20016 +a(g705 +g1064 +tp20017 +a(g826 +V\u000a +p20018 +tp20019 +a(g705 +g1040 +tp20020 +a(g573 +Vmemq +p20021 +tp20022 +a(g826 +g1044 +tp20023 +a(g440 +Vkey +p20024 +tp20025 +a(g826 +g1044 +tp20026 +a(g412 +g10833 +tp20027 +a(g705 +g1040 +tp20028 +a(g440 +Vmode-line +p20029 +tp20030 +a(g826 +g1044 +tp20031 +a(g440 +Vheader-line +p20032 +tp20033 +a(g826 +V\u000a +p20034 +tp20035 +a(g440 +Vleft-fringe +p20036 +tp20037 +a(g826 +g1044 +tp20038 +a(g440 +Vright-fringe +p20039 +tp20040 +a(g705 +g1064 +tp20041 +a(g705 +g1064 +tp20042 +a(g705 +g1064 +tp20043 +a(g826 +V\u000a +p20044 +tp20045 +a(g705 +g1040 +tp20046 +a(g573 +Varef +p20047 +tp20048 +a(g826 +g1044 +tp20049 +a(g440 +Vkeys +p20050 +tp20051 +a(g826 +g1044 +tp20052 +a(g37 +g2048 +tp20053 +a(g705 +g1064 +tp20054 +a(g826 +V\u000a +p20055 +tp20056 +a(g440 +Vkey +p20057 +tp20058 +a(g705 +g1064 +tp20059 +a(g705 +g1064 +tp20060 +a(g705 +g1064 +tp20061 +a(g826 +V\u000a +p20062 +tp20063 +a(g705 +g1040 +tp20064 +a(g440 +Vcancel-timer +p20065 +tp20066 +a(g826 +g1044 +tp20067 +a(g440 +Vtimer +p20068 +tp20069 +a(g705 +g1064 +tp20070 +a(g826 +V\u000a +p20071 +tp20072 +a(g705 +g1040 +tp20073 +a(g573 +Vuse-global-map +p20074 +tp20075 +a(g826 +g1044 +tp20076 +a(g440 +Vold-global-map +p20077 +tp20078 +a(g705 +g1064 +tp20079 +a(g705 +g1064 +tp20080 +a(g705 +g1064 +tp20081 +a(g705 +g1064 +tp20082 +a(g826 +V\u000a\u000a +p20083 +tp20084 +a(g705 +g1040 +tp20085 +a(g744 +Vdefvar +p20086 +tp20087 +a(g826 +g1044 +tp20088 +a(g440 +Vread-passwd-map +p20089 +tp20090 +a(g826 +V\u000a +p20091 +tp20092 +a(g8 +V;; BEWARE: `defconst' would purecopy it, breaking the sharing with +p20093 +tp20094 +a(g826 +V\u000a +p20095 +tp20096 +a(g8 +V;; minibuffer-local-map along the way! +p20097 +tp20098 +a(g826 +V\u000a +p20099 +tp20100 +a(g705 +g1040 +tp20101 +a(g744 +Vlet +p20102 +tp20103 +a(g826 +g1044 +tp20104 +a(g705 +g1040 +tp20105 +a(g705 +g1040 +tp20106 +a(g440 +Vmap +p20107 +tp20108 +a(g826 +g1044 +tp20109 +a(g705 +g1040 +tp20110 +a(g573 +Vmake-sparse-keymap +p20111 +tp20112 +a(g705 +g1064 +tp20113 +a(g705 +g1064 +tp20114 +a(g705 +g1064 +tp20115 +a(g826 +V\u000a +p20116 +tp20117 +a(g705 +g1040 +tp20118 +a(g573 +Vset-keymap-parent +p20119 +tp20120 +a(g826 +g1044 +tp20121 +a(g440 +Vmap +p20122 +tp20123 +a(g826 +g1044 +tp20124 +a(g440 +Vminibuffer-local-map +p20125 +tp20126 +a(g705 +g1064 +tp20127 +a(g826 +V\u000a +p20128 +tp20129 +a(g705 +g1040 +tp20130 +a(g573 +Vdefine-key +p20131 +tp20132 +a(g826 +g1044 +tp20133 +a(g440 +Vmap +p20134 +tp20135 +a(g826 +g1044 +tp20136 +a(g89 +g1068 +tp20137 +a(g89 +V\u005cC +p20138 +tp20139 +a(g89 +V-u +p20140 +tp20141 +a(g89 +g1068 +tp20142 +a(g826 +g1044 +tp20143 +a(g573 +V#' +p20144 +tp20145 +a(g440 +Vdelete-minibuffer-contents +p20146 +tp20147 +a(g705 +g1064 +tp20148 +a(g826 +g1044 +tp20149 +a(g8 +V;bug#12570 +p20150 +tp20151 +a(g826 +V\u000a +p20152 +tp20153 +a(g440 +Vmap +p20154 +tp20155 +a(g705 +g1064 +tp20156 +a(g826 +V\u000a +p20157 +tp20158 +a(g89 +g1068 +tp20159 +a(g89 +VKeymap used while reading passwords. +p20160 +tp20161 +a(g89 +g1068 +tp20162 +a(g705 +g1064 +tp20163 +a(g826 +V\u000a\u000a +p20164 +tp20165 +a(g705 +g1040 +tp20166 +a(g681 +Vdefun +p20167 +tp20168 +a(g826 +g1044 +tp20169 +a(g440 +Vread-passwd +p20170 +tp20171 +a(g826 +g1044 +tp20172 +a(g705 +g1040 +tp20173 +a(g440 +Vprompt +p20174 +tp20175 +a(g826 +g1044 +tp20176 +a(g785 +V&optional +p20177 +tp20178 +a(g826 +g1044 +tp20179 +a(g440 +Vconfirm +p20180 +tp20181 +a(g826 +g1044 +tp20182 +a(g440 +Vdefault +p20183 +tp20184 +a(g705 +g1064 +tp20185 +a(g826 +V\u000a +p20186 +tp20187 +a(g89 +g1068 +tp20188 +a(g89 +VRead a password, prompting with PROMPT, and return it.\u000aIf optional CONFIRM is non-nil, read the password twice to make sure.\u000aOptional DEFAULT is a default password to use instead of empty input.\u000a\u000aThis function echoes +p20189 +tp20190 +a(g117 +V`.' +p20191 +tp20192 +a(g89 +V for each character that the user types.\u000aYou could let-bind +p20193 +tp20194 +a(g117 +V`read-hide-char' +p20195 +tp20196 +a(g89 +V to another hiding character, though.\u000a\u000aOnce the caller uses the password, it can erase the password\u000aby doing (clear-string STRING). +p20197 +tp20198 +a(g89 +g1068 +tp20199 +a(g826 +V\u000a +p20200 +tp20201 +a(g705 +g1040 +tp20202 +a(g744 +Vif +p20203 +tp20204 +a(g826 +g1044 +tp20205 +a(g440 +Vconfirm +p20206 +tp20207 +a(g826 +V\u000a +p20208 +tp20209 +a(g705 +g1040 +tp20210 +a(g744 +Vlet +p20211 +tp20212 +a(g826 +g1044 +tp20213 +a(g705 +g1040 +tp20214 +a(g440 +Vsuccess +p20215 +tp20216 +a(g705 +g1064 +tp20217 +a(g826 +V\u000a +p20218 +tp20219 +a(g705 +g1040 +tp20220 +a(g744 +Vwhile +p20221 +tp20222 +a(g826 +g1044 +tp20223 +a(g705 +g1040 +tp20224 +a(g440 +Vnot +p20225 +tp20226 +a(g826 +g1044 +tp20227 +a(g440 +Vsuccess +p20228 +tp20229 +a(g705 +g1064 +tp20230 +a(g826 +V\u000a +p20231 +tp20232 +a(g705 +g1040 +tp20233 +a(g744 +Vlet +p20234 +tp20235 +a(g826 +g1044 +tp20236 +a(g705 +g1040 +tp20237 +a(g705 +g1040 +tp20238 +a(g440 +Vfirst +p20239 +tp20240 +a(g826 +g1044 +tp20241 +a(g705 +g1040 +tp20242 +a(g440 +Vread-passwd +p20243 +tp20244 +a(g826 +g1044 +tp20245 +a(g440 +Vprompt +p20246 +tp20247 +a(g826 +g1044 +tp20248 +a(g565 +Vnil +p20249 +tp20250 +a(g826 +g1044 +tp20251 +a(g440 +Vdefault +p20252 +tp20253 +a(g705 +g1064 +tp20254 +a(g705 +g1064 +tp20255 +a(g826 +V\u000a +p20256 +tp20257 +a(g705 +g1040 +tp20258 +a(g440 +Vsecond +p20259 +tp20260 +a(g826 +g1044 +tp20261 +a(g705 +g1040 +tp20262 +a(g440 +Vread-passwd +p20263 +tp20264 +a(g826 +g1044 +tp20265 +a(g89 +g1068 +tp20266 +a(g89 +VConfirm password: +p20267 +tp20268 +a(g89 +g1068 +tp20269 +a(g826 +g1044 +tp20270 +a(g565 +Vnil +p20271 +tp20272 +a(g826 +g1044 +tp20273 +a(g440 +Vdefault +p20274 +tp20275 +a(g705 +g1064 +tp20276 +a(g705 +g1064 +tp20277 +a(g705 +g1064 +tp20278 +a(g826 +V\u000a +p20279 +tp20280 +a(g705 +g1040 +tp20281 +a(g744 +Vif +p20282 +tp20283 +a(g826 +g1044 +tp20284 +a(g705 +g1040 +tp20285 +a(g573 +Vequal +p20286 +tp20287 +a(g826 +g1044 +tp20288 +a(g440 +Vfirst +p20289 +tp20290 +a(g826 +g1044 +tp20291 +a(g440 +Vsecond +p20292 +tp20293 +a(g705 +g1064 +tp20294 +a(g826 +V\u000a +p20295 +tp20296 +a(g705 +g1040 +tp20297 +a(g744 +Vprogn +p20298 +tp20299 +a(g826 +V\u000a +p20300 +tp20301 +a(g705 +g1040 +tp20302 +a(g744 +Vand +p20303 +tp20304 +a(g826 +g1044 +tp20305 +a(g705 +g1040 +tp20306 +a(g573 +Varrayp +p20307 +tp20308 +a(g826 +g1044 +tp20309 +a(g440 +Vsecond +p20310 +tp20311 +a(g705 +g1064 +tp20312 +a(g826 +g1044 +tp20313 +a(g705 +g1040 +tp20314 +a(g573 +Vclear-string +p20315 +tp20316 +a(g826 +g1044 +tp20317 +a(g440 +Vsecond +p20318 +tp20319 +a(g705 +g1064 +tp20320 +a(g705 +g1064 +tp20321 +a(g826 +V\u000a +p20322 +tp20323 +a(g705 +g1040 +tp20324 +a(g744 +Vsetq +p20325 +tp20326 +a(g826 +g1044 +tp20327 +a(g440 +Vsuccess +p20328 +tp20329 +a(g826 +g1044 +tp20330 +a(g440 +Vfirst +p20331 +tp20332 +a(g705 +g1064 +tp20333 +a(g705 +g1064 +tp20334 +a(g826 +V\u000a +p20335 +tp20336 +a(g705 +g1040 +tp20337 +a(g744 +Vand +p20338 +tp20339 +a(g826 +g1044 +tp20340 +a(g705 +g1040 +tp20341 +a(g573 +Varrayp +p20342 +tp20343 +a(g826 +g1044 +tp20344 +a(g440 +Vfirst +p20345 +tp20346 +a(g705 +g1064 +tp20347 +a(g826 +g1044 +tp20348 +a(g705 +g1040 +tp20349 +a(g573 +Vclear-string +p20350 +tp20351 +a(g826 +g1044 +tp20352 +a(g440 +Vfirst +p20353 +tp20354 +a(g705 +g1064 +tp20355 +a(g705 +g1064 +tp20356 +a(g826 +V\u000a +p20357 +tp20358 +a(g705 +g1040 +tp20359 +a(g744 +Vand +p20360 +tp20361 +a(g826 +g1044 +tp20362 +a(g705 +g1040 +tp20363 +a(g573 +Varrayp +p20364 +tp20365 +a(g826 +g1044 +tp20366 +a(g440 +Vsecond +p20367 +tp20368 +a(g705 +g1064 +tp20369 +a(g826 +g1044 +tp20370 +a(g705 +g1040 +tp20371 +a(g573 +Vclear-string +p20372 +tp20373 +a(g826 +g1044 +tp20374 +a(g440 +Vsecond +p20375 +tp20376 +a(g705 +g1064 +tp20377 +a(g705 +g1064 +tp20378 +a(g826 +V\u000a +p20379 +tp20380 +a(g705 +g1040 +tp20381 +a(g573 +Vmessage +p20382 +tp20383 +a(g826 +g1044 +tp20384 +a(g89 +g1068 +tp20385 +a(g89 +VPassword not repeated accurately; please start over +p20386 +tp20387 +a(g89 +g1068 +tp20388 +a(g705 +g1064 +tp20389 +a(g826 +V\u000a +p20390 +tp20391 +a(g705 +g1040 +tp20392 +a(g440 +Vsit-for +p20393 +tp20394 +a(g826 +g1044 +tp20395 +a(g37 +g2048 +tp20396 +a(g705 +g1064 +tp20397 +a(g705 +g1064 +tp20398 +a(g705 +g1064 +tp20399 +a(g705 +g1064 +tp20400 +a(g826 +V\u000a +p20401 +tp20402 +a(g440 +Vsuccess +p20403 +tp20404 +a(g705 +g1064 +tp20405 +a(g826 +V\u000a +p20406 +tp20407 +a(g705 +g1040 +tp20408 +a(g744 +Vlet +p20409 +tp20410 +a(g826 +g1044 +tp20411 +a(g705 +g1040 +tp20412 +a(g705 +g1040 +tp20413 +a(g440 +Vhide-chars-fun +p20414 +tp20415 +a(g826 +V\u000a +p20416 +tp20417 +a(g705 +g1040 +tp20418 +a(g681 +Vlambda +p20419 +tp20420 +a(g826 +g1044 +tp20421 +a(g705 +g1040 +tp20422 +a(g440 +Vbeg +p20423 +tp20424 +a(g826 +g1044 +tp20425 +a(g440 +Vend +p20426 +tp20427 +a(g826 +g1044 +tp20428 +a(g440 +V_len +p20429 +tp20430 +a(g705 +g1064 +tp20431 +a(g826 +V\u000a +p20432 +tp20433 +a(g705 +g1040 +tp20434 +a(g573 +Vclear-this-command-keys +p20435 +tp20436 +a(g705 +g1064 +tp20437 +a(g826 +V\u000a +p20438 +tp20439 +a(g705 +g1040 +tp20440 +a(g744 +Vsetq +p20441 +tp20442 +a(g826 +g1044 +tp20443 +a(g440 +Vbeg +p20444 +tp20445 +a(g826 +g1044 +tp20446 +a(g705 +g1040 +tp20447 +a(g573 +Vmin +p20448 +tp20449 +a(g826 +g1044 +tp20450 +a(g440 +Vend +p20451 +tp20452 +a(g826 +g1044 +tp20453 +a(g705 +g1040 +tp20454 +a(g573 +Vmax +p20455 +tp20456 +a(g826 +g1044 +tp20457 +a(g705 +g1040 +tp20458 +a(g573 +Vminibuffer-prompt-end +p20459 +tp20460 +a(g705 +g1064 +tp20461 +a(g826 +V\u000a +p20462 +tp20463 +a(g440 +Vbeg +p20464 +tp20465 +a(g705 +g1064 +tp20466 +a(g705 +g1064 +tp20467 +a(g705 +g1064 +tp20468 +a(g826 +V\u000a +p20469 +tp20470 +a(g705 +g1040 +tp20471 +a(g681 +Vdotimes +p20472 +tp20473 +a(g826 +g1044 +tp20474 +a(g705 +g1040 +tp20475 +a(g440 +g4938 +tp20476 +a(g826 +g1044 +tp20477 +a(g705 +g1040 +tp20478 +a(g573 +g3788 +tp20479 +a(g826 +g1044 +tp20480 +a(g440 +Vend +p20481 +tp20482 +a(g826 +g1044 +tp20483 +a(g440 +Vbeg +p20484 +tp20485 +a(g705 +g1064 +tp20486 +a(g705 +g1064 +tp20487 +a(g826 +V\u000a +p20488 +tp20489 +a(g705 +g1040 +tp20490 +a(g573 +Vput-text-property +p20491 +tp20492 +a(g826 +g1044 +tp20493 +a(g705 +g1040 +tp20494 +a(g573 +g4607 +tp20495 +a(g826 +g1044 +tp20496 +a(g440 +g4938 +tp20497 +a(g826 +g1044 +tp20498 +a(g440 +Vbeg +p20499 +tp20500 +a(g705 +g1064 +tp20501 +a(g826 +g1044 +tp20502 +a(g705 +g1040 +tp20503 +a(g573 +g4607 +tp20504 +a(g826 +g1044 +tp20505 +a(g37 +g2048 +tp20506 +a(g826 +g1044 +tp20507 +a(g440 +g4938 +tp20508 +a(g826 +g1044 +tp20509 +a(g440 +Vbeg +p20510 +tp20511 +a(g705 +g1064 +tp20512 +a(g826 +V\u000a +p20513 +tp20514 +a(g117 +V'display +p20515 +tp20516 +a(g826 +g1044 +tp20517 +a(g705 +g1040 +tp20518 +a(g573 +Vstring +p20519 +tp20520 +a(g826 +g1044 +tp20521 +a(g705 +g1040 +tp20522 +a(g744 +Vor +p20523 +tp20524 +a(g826 +g1044 +tp20525 +a(g440 +Vread-hide-char +p20526 +tp20527 +a(g826 +g1044 +tp20528 +a(g93 +V?. +p20529 +tp20530 +a(g705 +g1064 +tp20531 +a(g705 +g1064 +tp20532 +a(g705 +g1064 +tp20533 +a(g705 +g1064 +tp20534 +a(g705 +g1064 +tp20535 +a(g705 +g1064 +tp20536 +a(g826 +V\u000a +p20537 +tp20538 +a(g440 +Vminibuf +p20539 +tp20540 +a(g705 +g1064 +tp20541 +a(g826 +V\u000a +p20542 +tp20543 +a(g705 +g1040 +tp20544 +a(g440 +Vminibuffer-with-setup-hook +p20545 +tp20546 +a(g826 +V\u000a +p20547 +tp20548 +a(g705 +g1040 +tp20549 +a(g681 +Vlambda +p20550 +tp20551 +a(g826 +g1044 +tp20552 +a(g705 +g1040 +tp20553 +a(g705 +g1064 +tp20554 +a(g826 +V\u000a +p20555 +tp20556 +a(g705 +g1040 +tp20557 +a(g744 +Vsetq +p20558 +tp20559 +a(g826 +g1044 +tp20560 +a(g440 +Vminibuf +p20561 +tp20562 +a(g826 +g1044 +tp20563 +a(g705 +g1040 +tp20564 +a(g573 +Vcurrent-buffer +p20565 +tp20566 +a(g705 +g1064 +tp20567 +a(g705 +g1064 +tp20568 +a(g826 +V\u000a +p20569 +tp20570 +a(g8 +V;; Turn off electricity. +p20571 +tp20572 +a(g826 +V\u000a +p20573 +tp20574 +a(g705 +g1040 +tp20575 +a(g681 +Vsetq-local +p20576 +tp20577 +a(g826 +g1044 +tp20578 +a(g440 +Vpost-self-insert-hook +p20579 +tp20580 +a(g826 +g1044 +tp20581 +a(g565 +Vnil +p20582 +tp20583 +a(g705 +g1064 +tp20584 +a(g826 +V\u000a +p20585 +tp20586 +a(g705 +g1040 +tp20587 +a(g681 +Vsetq-local +p20588 +tp20589 +a(g826 +g1044 +tp20590 +a(g440 +Vbuffer-undo-list +p20591 +tp20592 +a(g826 +g1044 +tp20593 +a(g565 +g1187 +tp20594 +a(g705 +g1064 +tp20595 +a(g826 +V\u000a +p20596 +tp20597 +a(g705 +g1040 +tp20598 +a(g681 +Vsetq-local +p20599 +tp20600 +a(g826 +g1044 +tp20601 +a(g440 +Vselect-active-regions +p20602 +tp20603 +a(g826 +g1044 +tp20604 +a(g565 +Vnil +p20605 +tp20606 +a(g705 +g1064 +tp20607 +a(g826 +V\u000a +p20608 +tp20609 +a(g705 +g1040 +tp20610 +a(g573 +Vuse-local-map +p20611 +tp20612 +a(g826 +g1044 +tp20613 +a(g440 +Vread-passwd-map +p20614 +tp20615 +a(g705 +g1064 +tp20616 +a(g826 +V\u000a +p20617 +tp20618 +a(g705 +g1040 +tp20619 +a(g681 +Vsetq-local +p20620 +tp20621 +a(g826 +g1044 +tp20622 +a(g440 +Vinhibit-modification-hooks +p20623 +tp20624 +a(g826 +g1044 +tp20625 +a(g565 +Vnil +p20626 +tp20627 +a(g705 +g1064 +tp20628 +a(g826 +g1044 +tp20629 +a(g8 +V;bug#15501. +p20630 +tp20631 +a(g826 +V\u000a +p20632 +tp20633 +a(g705 +g1040 +tp20634 +a(g681 +Vsetq-local +p20635 +tp20636 +a(g826 +g1044 +tp20637 +a(g440 +Vshow-paren-mode +p20638 +tp20639 +a(g826 +g1044 +tp20640 +a(g565 +Vnil +p20641 +tp20642 +a(g705 +g1064 +tp20643 +a(g826 +V +p20644 +tp20645 +a(g8 +V;bug#16091. +p20646 +tp20647 +a(g826 +V\u000a +p20648 +tp20649 +a(g705 +g1040 +tp20650 +a(g440 +Vadd-hook +p20651 +tp20652 +a(g826 +g1044 +tp20653 +a(g117 +V'after-change-functions +p20654 +tp20655 +a(g826 +g1044 +tp20656 +a(g440 +Vhide-chars-fun +p20657 +tp20658 +a(g826 +g1044 +tp20659 +a(g565 +Vnil +p20660 +tp20661 +a(g826 +g1044 +tp20662 +a(g117 +V'local +p20663 +tp20664 +a(g705 +g1064 +tp20665 +a(g705 +g1064 +tp20666 +a(g826 +V\u000a +p20667 +tp20668 +a(g705 +g1040 +tp20669 +a(g744 +Vunwind-protect +p20670 +tp20671 +a(g826 +V\u000a +p20672 +tp20673 +a(g705 +g1040 +tp20674 +a(g744 +Vlet +p20675 +tp20676 +a(g826 +g1044 +tp20677 +a(g705 +g1040 +tp20678 +a(g705 +g1040 +tp20679 +a(g440 +Venable-recursive-minibuffers +p20680 +tp20681 +a(g826 +g1044 +tp20682 +a(g565 +g1187 +tp20683 +a(g705 +g1064 +tp20684 +a(g826 +V\u000a +p20685 +tp20686 +a(g705 +g1040 +tp20687 +a(g440 +Vread-hide-char +p20688 +tp20689 +a(g826 +g1044 +tp20690 +a(g705 +g1040 +tp20691 +a(g744 +Vor +p20692 +tp20693 +a(g826 +g1044 +tp20694 +a(g440 +Vread-hide-char +p20695 +tp20696 +a(g826 +g1044 +tp20697 +a(g93 +V?. +p20698 +tp20699 +a(g705 +g1064 +tp20700 +a(g705 +g1064 +tp20701 +a(g705 +g1064 +tp20702 +a(g826 +V\u000a +p20703 +tp20704 +a(g705 +g1040 +tp20705 +a(g573 +Vread-string +p20706 +tp20707 +a(g826 +g1044 +tp20708 +a(g440 +Vprompt +p20709 +tp20710 +a(g826 +g1044 +tp20711 +a(g565 +Vnil +p20712 +tp20713 +a(g826 +g1044 +tp20714 +a(g565 +g1187 +tp20715 +a(g826 +g1044 +tp20716 +a(g440 +Vdefault +p20717 +tp20718 +a(g705 +g1064 +tp20719 +a(g705 +g1064 +tp20720 +a(g826 +g1044 +tp20721 +a(g8 +V; t = "no history" +p20722 +tp20723 +a(g826 +V\u000a +p20724 +tp20725 +a(g705 +g1040 +tp20726 +a(g681 +Vwhen +p20727 +tp20728 +a(g826 +g1044 +tp20729 +a(g705 +g1040 +tp20730 +a(g573 +Vbuffer-live-p +p20731 +tp20732 +a(g826 +g1044 +tp20733 +a(g440 +Vminibuf +p20734 +tp20735 +a(g705 +g1064 +tp20736 +a(g826 +V\u000a +p20737 +tp20738 +a(g705 +g1040 +tp20739 +a(g681 +Vwith-current-buffer +p20740 +tp20741 +a(g826 +g1044 +tp20742 +a(g440 +Vminibuf +p20743 +tp20744 +a(g826 +V\u000a +p20745 +tp20746 +a(g8 +V;; Not sure why but it seems that there might be cases where the +p20747 +tp20748 +a(g826 +V\u000a +p20749 +tp20750 +a(g8 +V;; minibuffer is not always properly reset later on, so undo +p20751 +tp20752 +a(g826 +V\u000a +p20753 +tp20754 +a(g8 +V;; whatever we've done here (bug#11392). +p20755 +tp20756 +a(g826 +V\u000a +p20757 +tp20758 +a(g705 +g1040 +tp20759 +a(g440 +Vremove-hook +p20760 +tp20761 +a(g826 +g1044 +tp20762 +a(g117 +V'after-change-functions +p20763 +tp20764 +a(g826 +g1044 +tp20765 +a(g440 +Vhide-chars-fun +p20766 +tp20767 +a(g826 +g1044 +tp20768 +a(g117 +V'local +p20769 +tp20770 +a(g705 +g1064 +tp20771 +a(g826 +V\u000a +p20772 +tp20773 +a(g705 +g1040 +tp20774 +a(g573 +Vkill-local-variable +p20775 +tp20776 +a(g826 +g1044 +tp20777 +a(g117 +V'post-self-insert-hook +p20778 +tp20779 +a(g705 +g1064 +tp20780 +a(g826 +V\u000a +p20781 +tp20782 +a(g8 +V;; And of course, don't keep the sensitive data around. +p20783 +tp20784 +a(g826 +V\u000a +p20785 +tp20786 +a(g705 +g1040 +tp20787 +a(g573 +Verase-buffer +p20788 +tp20789 +a(g705 +g1064 +tp20790 +a(g705 +g1064 +tp20791 +a(g705 +g1064 +tp20792 +a(g705 +g1064 +tp20793 +a(g705 +g1064 +tp20794 +a(g705 +g1064 +tp20795 +a(g705 +g1064 +tp20796 +a(g705 +g1064 +tp20797 +a(g826 +V\u000a\u000a +p20798 +tp20799 +a(g705 +g1040 +tp20800 +a(g681 +Vdefun +p20801 +tp20802 +a(g826 +g1044 +tp20803 +a(g440 +Vread-number +p20804 +tp20805 +a(g826 +g1044 +tp20806 +a(g705 +g1040 +tp20807 +a(g440 +Vprompt +p20808 +tp20809 +a(g826 +g1044 +tp20810 +a(g785 +V&optional +p20811 +tp20812 +a(g826 +g1044 +tp20813 +a(g440 +Vdefault +p20814 +tp20815 +a(g705 +g1064 +tp20816 +a(g826 +V\u000a +p20817 +tp20818 +a(g89 +g1068 +tp20819 +a(g89 +VRead a numeric value in the minibuffer, prompting with PROMPT.\u000aDEFAULT specifies a default value to return if the user just types RET.\u000aThe value of DEFAULT is inserted into PROMPT.\u000aThis function is used by the +p20820 +tp20821 +a(g117 +V`interactive' +p20822 +tp20823 +a(g89 +V code letter +p20824 +tp20825 +a(g117 +V`n' +p20826 +tp20827 +a(g89 +g3307 +tp20828 +a(g89 +g1068 +tp20829 +a(g826 +V\u000a +p20830 +tp20831 +a(g705 +g1040 +tp20832 +a(g744 +Vlet +p20833 +tp20834 +a(g826 +g1044 +tp20835 +a(g705 +g1040 +tp20836 +a(g705 +g1040 +tp20837 +a(g440 +g3719 +tp20838 +a(g826 +g1044 +tp20839 +a(g565 +Vnil +p20840 +tp20841 +a(g705 +g1064 +tp20842 +a(g826 +V\u000a +p20843 +tp20844 +a(g705 +g1040 +tp20845 +a(g440 +Vdefault1 +p20846 +tp20847 +a(g826 +g1044 +tp20848 +a(g705 +g1040 +tp20849 +a(g744 +Vif +p20850 +tp20851 +a(g826 +g1044 +tp20852 +a(g705 +g1040 +tp20853 +a(g573 +Vconsp +p20854 +tp20855 +a(g826 +g1044 +tp20856 +a(g440 +Vdefault +p20857 +tp20858 +a(g705 +g1064 +tp20859 +a(g826 +g1044 +tp20860 +a(g705 +g1040 +tp20861 +a(g573 +Vcar +p20862 +tp20863 +a(g826 +g1044 +tp20864 +a(g440 +Vdefault +p20865 +tp20866 +a(g705 +g1064 +tp20867 +a(g826 +g1044 +tp20868 +a(g440 +Vdefault +p20869 +tp20870 +a(g705 +g1064 +tp20871 +a(g705 +g1064 +tp20872 +a(g705 +g1064 +tp20873 +a(g826 +V\u000a +p20874 +tp20875 +a(g705 +g1040 +tp20876 +a(g681 +Vwhen +p20877 +tp20878 +a(g826 +g1044 +tp20879 +a(g440 +Vdefault1 +p20880 +tp20881 +a(g826 +V\u000a +p20882 +tp20883 +a(g705 +g1040 +tp20884 +a(g744 +Vsetq +p20885 +tp20886 +a(g826 +g1044 +tp20887 +a(g440 +Vprompt +p20888 +tp20889 +a(g826 +V\u000a +p20890 +tp20891 +a(g705 +g1040 +tp20892 +a(g744 +Vif +p20893 +tp20894 +a(g826 +g1044 +tp20895 +a(g705 +g1040 +tp20896 +a(g573 +Vstring-match +p20897 +tp20898 +a(g826 +g1044 +tp20899 +a(g89 +g1068 +tp20900 +a(g89 +V\u005c\u005c +p20901 +tp20902 +a(g89 +g1040 +tp20903 +a(g89 +V\u005c\u005c +p20904 +tp20905 +a(g89 +V):[ +p20906 +tp20907 +a(g89 +V\u005ct +p20908 +tp20909 +a(g89 +V]* +p20910 +tp20911 +a(g89 +V\u005c\u005c +p20912 +tp20913 +a(g89 +g10833 +tp20914 +a(g89 +g1068 +tp20915 +a(g826 +g1044 +tp20916 +a(g440 +Vprompt +p20917 +tp20918 +a(g705 +g1064 +tp20919 +a(g826 +V\u000a +p20920 +tp20921 +a(g705 +g1040 +tp20922 +a(g573 +Vreplace-match +p20923 +tp20924 +a(g826 +g1044 +tp20925 +a(g705 +g1040 +tp20926 +a(g573 +Vformat +p20927 +tp20928 +a(g826 +g1044 +tp20929 +a(g89 +g1068 +tp20930 +a(g89 +V (default %s) +p20931 +tp20932 +a(g89 +g1068 +tp20933 +a(g826 +g1044 +tp20934 +a(g440 +Vdefault1 +p20935 +tp20936 +a(g705 +g1064 +tp20937 +a(g826 +g1044 +tp20938 +a(g565 +g1187 +tp20939 +a(g826 +g1044 +tp20940 +a(g565 +g1187 +tp20941 +a(g826 +g1044 +tp20942 +a(g440 +Vprompt +p20943 +tp20944 +a(g826 +g1044 +tp20945 +a(g37 +g2048 +tp20946 +a(g705 +g1064 +tp20947 +a(g826 +V\u000a +p20948 +tp20949 +a(g705 +g1040 +tp20950 +a(g440 +Vreplace-regexp-in-string +p20951 +tp20952 +a(g826 +g1044 +tp20953 +a(g89 +g1068 +tp20954 +a(g89 +V[ +p20955 +tp20956 +a(g89 +V\u005ct +p20957 +tp20958 +a(g89 +V]* +p20959 +tp20960 +a(g89 +V\u005c\u005c +p20961 +tp20962 +a(g89 +g10833 +tp20963 +a(g89 +g1068 +tp20964 +a(g826 +V\u000a +p20965 +tp20966 +a(g705 +g1040 +tp20967 +a(g573 +Vformat +p20968 +tp20969 +a(g826 +g1044 +tp20970 +a(g89 +g1068 +tp20971 +a(g89 +V (default %s) +p20972 +tp20973 +a(g89 +g1068 +tp20974 +a(g826 +g1044 +tp20975 +a(g440 +Vdefault1 +p20976 +tp20977 +a(g705 +g1064 +tp20978 +a(g826 +V\u000a +p20979 +tp20980 +a(g440 +Vprompt +p20981 +tp20982 +a(g826 +g1044 +tp20983 +a(g565 +g1187 +tp20984 +a(g826 +g1044 +tp20985 +a(g565 +g1187 +tp20986 +a(g705 +g1064 +tp20987 +a(g705 +g1064 +tp20988 +a(g705 +g1064 +tp20989 +a(g705 +g1064 +tp20990 +a(g826 +V\u000a +p20991 +tp20992 +a(g705 +g1040 +tp20993 +a(g744 +Vwhile +p20994 +tp20995 +a(g826 +V\u000a +p20996 +tp20997 +a(g705 +g1040 +tp20998 +a(g744 +Vprogn +p20999 +tp21000 +a(g826 +V\u000a +p21001 +tp21002 +a(g705 +g1040 +tp21003 +a(g744 +Vlet +p21004 +tp21005 +a(g826 +g1044 +tp21006 +a(g705 +g1040 +tp21007 +a(g705 +g1040 +tp21008 +a(g440 +Vstr +p21009 +tp21010 +a(g826 +g1044 +tp21011 +a(g705 +g1040 +tp21012 +a(g573 +Vread-from-minibuffer +p21013 +tp21014 +a(g826 +V\u000a +p21015 +tp21016 +a(g440 +Vprompt +p21017 +tp21018 +a(g826 +g1044 +tp21019 +a(g565 +Vnil +p21020 +tp21021 +a(g826 +g1044 +tp21022 +a(g565 +Vnil +p21023 +tp21024 +a(g826 +g1044 +tp21025 +a(g565 +Vnil +p21026 +tp21027 +a(g826 +g1044 +tp21028 +a(g565 +Vnil +p21029 +tp21030 +a(g826 +V\u000a +p21031 +tp21032 +a(g705 +g1040 +tp21033 +a(g681 +Vwhen +p21034 +tp21035 +a(g826 +g1044 +tp21036 +a(g440 +Vdefault +p21037 +tp21038 +a(g826 +V\u000a +p21039 +tp21040 +a(g705 +g1040 +tp21041 +a(g744 +Vif +p21042 +tp21043 +a(g826 +g1044 +tp21044 +a(g705 +g1040 +tp21045 +a(g573 +Vconsp +p21046 +tp21047 +a(g826 +g1044 +tp21048 +a(g440 +Vdefault +p21049 +tp21050 +a(g705 +g1064 +tp21051 +a(g826 +V\u000a +p21052 +tp21053 +a(g705 +g1040 +tp21054 +a(g573 +Vmapcar +p21055 +tp21056 +a(g826 +g1044 +tp21057 +a(g117 +V'number-to-string +p21058 +tp21059 +a(g826 +g1044 +tp21060 +a(g705 +g1040 +tp21061 +a(g573 +Vdelq +p21062 +tp21063 +a(g826 +g1044 +tp21064 +a(g565 +Vnil +p21065 +tp21066 +a(g826 +g1044 +tp21067 +a(g440 +Vdefault +p21068 +tp21069 +a(g705 +g1064 +tp21070 +a(g705 +g1064 +tp21071 +a(g826 +V\u000a +p21072 +tp21073 +a(g705 +g1040 +tp21074 +a(g573 +Vnumber-to-string +p21075 +tp21076 +a(g826 +g1044 +tp21077 +a(g440 +Vdefault +p21078 +tp21079 +a(g705 +g1064 +tp21080 +a(g705 +g1064 +tp21081 +a(g705 +g1064 +tp21082 +a(g705 +g1064 +tp21083 +a(g705 +g1064 +tp21084 +a(g705 +g1064 +tp21085 +a(g826 +V\u000a +p21086 +tp21087 +a(g705 +g1040 +tp21088 +a(g744 +Vcondition-case +p21089 +tp21090 +a(g826 +g1044 +tp21091 +a(g565 +Vnil +p21092 +tp21093 +a(g826 +V\u000a +p21094 +tp21095 +a(g705 +g1040 +tp21096 +a(g744 +Vsetq +p21097 +tp21098 +a(g826 +g1044 +tp21099 +a(g440 +g3719 +tp21100 +a(g826 +g1044 +tp21101 +a(g705 +g1040 +tp21102 +a(g744 +Vcond +p21103 +tp21104 +a(g826 +V\u000a +p21105 +tp21106 +a(g705 +g1040 +tp21107 +a(g705 +g1040 +tp21108 +a(g440 +Vzerop +p21109 +tp21110 +a(g826 +g1044 +tp21111 +a(g705 +g1040 +tp21112 +a(g573 +Vlength +p21113 +tp21114 +a(g826 +g1044 +tp21115 +a(g440 +Vstr +p21116 +tp21117 +a(g705 +g1064 +tp21118 +a(g705 +g1064 +tp21119 +a(g826 +g1044 +tp21120 +a(g440 +Vdefault1 +p21121 +tp21122 +a(g705 +g1064 +tp21123 +a(g826 +V\u000a +p21124 +tp21125 +a(g705 +g1040 +tp21126 +a(g705 +g1040 +tp21127 +a(g573 +Vstringp +p21128 +tp21129 +a(g826 +g1044 +tp21130 +a(g440 +Vstr +p21131 +tp21132 +a(g705 +g1064 +tp21133 +a(g826 +g1044 +tp21134 +a(g705 +g1040 +tp21135 +a(g573 +Vread +p21136 +tp21137 +a(g826 +g1044 +tp21138 +a(g440 +Vstr +p21139 +tp21140 +a(g705 +g1064 +tp21141 +a(g705 +g1064 +tp21142 +a(g705 +g1064 +tp21143 +a(g705 +g1064 +tp21144 +a(g826 +V\u000a +p21145 +tp21146 +a(g705 +g1040 +tp21147 +a(g612 +Verror +p21148 +tp21149 +a(g826 +g1044 +tp21150 +a(g565 +Vnil +p21151 +tp21152 +a(g705 +g1064 +tp21153 +a(g705 +g1064 +tp21154 +a(g705 +g1064 +tp21155 +a(g826 +V\u000a +p21156 +tp21157 +a(g705 +g1040 +tp21158 +a(g681 +Vunless +p21159 +tp21160 +a(g826 +g1044 +tp21161 +a(g705 +g1040 +tp21162 +a(g573 +Vnumberp +p21163 +tp21164 +a(g826 +g1044 +tp21165 +a(g440 +g3719 +tp21166 +a(g705 +g1064 +tp21167 +a(g826 +V\u000a +p21168 +tp21169 +a(g705 +g1040 +tp21170 +a(g573 +Vmessage +p21171 +tp21172 +a(g826 +g1044 +tp21173 +a(g89 +g1068 +tp21174 +a(g89 +VPlease enter a number. +p21175 +tp21176 +a(g89 +g1068 +tp21177 +a(g705 +g1064 +tp21178 +a(g826 +V\u000a +p21179 +tp21180 +a(g705 +g1040 +tp21181 +a(g440 +Vsit-for +p21182 +tp21183 +a(g826 +g1044 +tp21184 +a(g37 +g2048 +tp21185 +a(g705 +g1064 +tp21186 +a(g826 +V\u000a +p21187 +tp21188 +a(g565 +g1187 +tp21189 +a(g705 +g1064 +tp21190 +a(g705 +g1064 +tp21191 +a(g705 +g1064 +tp21192 +a(g826 +V\u000a +p21193 +tp21194 +a(g440 +g3719 +tp21195 +a(g705 +g1064 +tp21196 +a(g705 +g1064 +tp21197 +a(g826 +V\u000a\u000a +p21198 +tp21199 +a(g705 +g1040 +tp21200 +a(g681 +Vdefun +p21201 +tp21202 +a(g826 +g1044 +tp21203 +a(g440 +Vread-char-choice +p21204 +tp21205 +a(g826 +g1044 +tp21206 +a(g705 +g1040 +tp21207 +a(g440 +Vprompt +p21208 +tp21209 +a(g826 +g1044 +tp21210 +a(g440 +Vchars +p21211 +tp21212 +a(g826 +g1044 +tp21213 +a(g785 +V&optional +p21214 +tp21215 +a(g826 +g1044 +tp21216 +a(g440 +Vinhibit-keyboard-quit +p21217 +tp21218 +a(g705 +g1064 +tp21219 +a(g826 +V\u000a +p21220 +tp21221 +a(g89 +g1068 +tp21222 +a(g89 +VRead and return one of CHARS, prompting for PROMPT.\u000aAny input that is not one of CHARS is ignored.\u000a\u000aIf optional argument INHIBIT-KEYBOARD-QUIT is non-nil, ignore\u000akeyboard-quit events while waiting for a valid input. +p21223 +tp21224 +a(g89 +g1068 +tp21225 +a(g826 +V\u000a +p21226 +tp21227 +a(g705 +g1040 +tp21228 +a(g681 +Vunless +p21229 +tp21230 +a(g826 +g1044 +tp21231 +a(g705 +g1040 +tp21232 +a(g573 +Vconsp +p21233 +tp21234 +a(g826 +g1044 +tp21235 +a(g440 +Vchars +p21236 +tp21237 +a(g705 +g1064 +tp21238 +a(g826 +V\u000a +p21239 +tp21240 +a(g705 +g1040 +tp21241 +a(g612 +Verror +p21242 +tp21243 +a(g826 +g1044 +tp21244 +a(g89 +g1068 +tp21245 +a(g89 +VCalled +p21246 +tp21247 +a(g117 +V`read-char-choice' +p21248 +tp21249 +a(g89 +V without valid char choices +p21250 +tp21251 +a(g89 +g1068 +tp21252 +a(g705 +g1064 +tp21253 +a(g705 +g1064 +tp21254 +a(g826 +V\u000a +p21255 +tp21256 +a(g705 +g1040 +tp21257 +a(g744 +Vlet +p21258 +tp21259 +a(g826 +g1044 +tp21260 +a(g705 +g1040 +tp21261 +a(g440 +Vchar +p21262 +tp21263 +a(g826 +g1044 +tp21264 +a(g440 +Vdone +p21265 +tp21266 +a(g826 +g1044 +tp21267 +a(g440 +Vshow-help +p21268 +tp21269 +a(g826 +g1044 +tp21270 +a(g705 +g1040 +tp21271 +a(g440 +Vhelpbuf +p21272 +tp21273 +a(g826 +g1044 +tp21274 +a(g89 +g1068 +tp21275 +a(g89 +V *Char Help* +p21276 +tp21277 +a(g89 +g1068 +tp21278 +a(g705 +g1064 +tp21279 +a(g705 +g1064 +tp21280 +a(g826 +V\u000a +p21281 +tp21282 +a(g705 +g1040 +tp21283 +a(g744 +Vlet +p21284 +tp21285 +a(g826 +g1044 +tp21286 +a(g705 +g1040 +tp21287 +a(g705 +g1040 +tp21288 +a(g440 +Vcursor-in-echo-area +p21289 +tp21290 +a(g826 +g1044 +tp21291 +a(g565 +g1187 +tp21292 +a(g705 +g1064 +tp21293 +a(g826 +V\u000a +p21294 +tp21295 +a(g705 +g1040 +tp21296 +a(g440 +Vexecuting-kbd-macro +p21297 +tp21298 +a(g826 +g1044 +tp21299 +a(g440 +Vexecuting-kbd-macro +p21300 +tp21301 +a(g705 +g1064 +tp21302 +a(g826 +V\u000a +p21303 +tp21304 +a(g705 +g1040 +tp21305 +a(g440 +Vesc-flag +p21306 +tp21307 +a(g826 +g1044 +tp21308 +a(g565 +Vnil +p21309 +tp21310 +a(g705 +g1064 +tp21311 +a(g705 +g1064 +tp21312 +a(g826 +V\u000a +p21313 +tp21314 +a(g705 +g1040 +tp21315 +a(g681 +Vsave-window-excursion +p21316 +tp21317 +a(g826 +V +p21318 +tp21319 +a(g8 +V; in case we call help-form-show +p21320 +tp21321 +a(g826 +V\u000a +p21322 +tp21323 +a(g705 +g1040 +tp21324 +a(g744 +Vwhile +p21325 +tp21326 +a(g826 +g1044 +tp21327 +a(g705 +g1040 +tp21328 +a(g440 +Vnot +p21329 +tp21330 +a(g826 +g1044 +tp21331 +a(g440 +Vdone +p21332 +tp21333 +a(g705 +g1064 +tp21334 +a(g826 +V\u000a +p21335 +tp21336 +a(g705 +g1040 +tp21337 +a(g681 +Vunless +p21338 +tp21339 +a(g826 +g1044 +tp21340 +a(g705 +g1040 +tp21341 +a(g573 +Vget-text-property +p21342 +tp21343 +a(g826 +g1044 +tp21344 +a(g37 +g2628 +tp21345 +a(g826 +g1044 +tp21346 +a(g117 +V'face +p21347 +tp21348 +a(g826 +g1044 +tp21349 +a(g440 +Vprompt +p21350 +tp21351 +a(g705 +g1064 +tp21352 +a(g826 +V\u000a +p21353 +tp21354 +a(g705 +g1040 +tp21355 +a(g744 +Vsetq +p21356 +tp21357 +a(g826 +g1044 +tp21358 +a(g440 +Vprompt +p21359 +tp21360 +a(g826 +g1044 +tp21361 +a(g705 +g1040 +tp21362 +a(g573 +Vpropertize +p21363 +tp21364 +a(g826 +g1044 +tp21365 +a(g440 +Vprompt +p21366 +tp21367 +a(g826 +g1044 +tp21368 +a(g117 +V'face +p21369 +tp21370 +a(g826 +g1044 +tp21371 +a(g117 +V'minibuffer-prompt +p21372 +tp21373 +a(g705 +g1064 +tp21374 +a(g705 +g1064 +tp21375 +a(g705 +g1064 +tp21376 +a(g826 +V\u000a +p21377 +tp21378 +a(g705 +g1040 +tp21379 +a(g744 +Vsetq +p21380 +tp21381 +a(g826 +g1044 +tp21382 +a(g440 +Vchar +p21383 +tp21384 +a(g826 +g1044 +tp21385 +a(g705 +g1040 +tp21386 +a(g744 +Vlet +p21387 +tp21388 +a(g826 +g1044 +tp21389 +a(g705 +g1040 +tp21390 +a(g705 +g1040 +tp21391 +a(g440 +Vinhibit-quit +p21392 +tp21393 +a(g826 +g1044 +tp21394 +a(g440 +Vinhibit-keyboard-quit +p21395 +tp21396 +a(g705 +g1064 +tp21397 +a(g705 +g1064 +tp21398 +a(g826 +V\u000a +p21399 +tp21400 +a(g705 +g1040 +tp21401 +a(g440 +Vread-key +p21402 +tp21403 +a(g826 +g1044 +tp21404 +a(g440 +Vprompt +p21405 +tp21406 +a(g705 +g1064 +tp21407 +a(g705 +g1064 +tp21408 +a(g705 +g1064 +tp21409 +a(g826 +V\u000a +p21410 +tp21411 +a(g705 +g1040 +tp21412 +a(g744 +Vand +p21413 +tp21414 +a(g826 +g1044 +tp21415 +a(g440 +Vshow-help +p21416 +tp21417 +a(g826 +g1044 +tp21418 +a(g705 +g1040 +tp21419 +a(g573 +Vbuffer-live-p +p21420 +tp21421 +a(g826 +g1044 +tp21422 +a(g705 +g1040 +tp21423 +a(g573 +Vget-buffer +p21424 +tp21425 +a(g826 +g1044 +tp21426 +a(g440 +Vhelpbuf +p21427 +tp21428 +a(g705 +g1064 +tp21429 +a(g705 +g1064 +tp21430 +a(g826 +V\u000a +p21431 +tp21432 +a(g705 +g1040 +tp21433 +a(g573 +Vkill-buffer +p21434 +tp21435 +a(g826 +g1044 +tp21436 +a(g440 +Vhelpbuf +p21437 +tp21438 +a(g705 +g1064 +tp21439 +a(g705 +g1064 +tp21440 +a(g826 +V\u000a +p21441 +tp21442 +a(g705 +g1040 +tp21443 +a(g744 +Vcond +p21444 +tp21445 +a(g826 +V\u000a +p21446 +tp21447 +a(g705 +g1040 +tp21448 +a(g705 +g1040 +tp21449 +a(g440 +Vnot +p21450 +tp21451 +a(g826 +g1044 +tp21452 +a(g705 +g1040 +tp21453 +a(g573 +Vnumberp +p21454 +tp21455 +a(g826 +g1044 +tp21456 +a(g440 +Vchar +p21457 +tp21458 +a(g705 +g1064 +tp21459 +a(g705 +g1064 +tp21460 +a(g705 +g1064 +tp21461 +a(g826 +V\u000a +p21462 +tp21463 +a(g8 +V;; If caller has set help-form, that's enough. +p21464 +tp21465 +a(g826 +V\u000a +p21466 +tp21467 +a(g8 +V;; They don't explicitly have to add help-char to chars. +p21468 +tp21469 +a(g826 +V\u000a +p21470 +tp21471 +a(g705 +g1040 +tp21472 +a(g705 +g1040 +tp21473 +a(g744 +Vand +p21474 +tp21475 +a(g826 +g1044 +tp21476 +a(g440 +Vhelp-form +p21477 +tp21478 +a(g826 +V\u000a +p21479 +tp21480 +a(g705 +g1040 +tp21481 +a(g573 +Veq +p21482 +tp21483 +a(g826 +g1044 +tp21484 +a(g440 +Vchar +p21485 +tp21486 +a(g826 +g1044 +tp21487 +a(g440 +Vhelp-char +p21488 +tp21489 +a(g705 +g1064 +tp21490 +a(g826 +V\u000a +p21491 +tp21492 +a(g705 +g1040 +tp21493 +a(g744 +Vsetq +p21494 +tp21495 +a(g826 +g1044 +tp21496 +a(g440 +Vshow-help +p21497 +tp21498 +a(g826 +g1044 +tp21499 +a(g565 +g1187 +tp21500 +a(g705 +g1064 +tp21501 +a(g826 +V\u000a +p21502 +tp21503 +a(g705 +g1040 +tp21504 +a(g440 +Vhelp-form-show +p21505 +tp21506 +a(g705 +g1064 +tp21507 +a(g705 +g1064 +tp21508 +a(g705 +g1064 +tp21509 +a(g826 +V\u000a +p21510 +tp21511 +a(g705 +g1040 +tp21512 +a(g705 +g1040 +tp21513 +a(g573 +Vmemq +p21514 +tp21515 +a(g826 +g1044 +tp21516 +a(g440 +Vchar +p21517 +tp21518 +a(g826 +g1044 +tp21519 +a(g440 +Vchars +p21520 +tp21521 +a(g705 +g1064 +tp21522 +a(g826 +V\u000a +p21523 +tp21524 +a(g705 +g1040 +tp21525 +a(g744 +Vsetq +p21526 +tp21527 +a(g826 +g1044 +tp21528 +a(g440 +Vdone +p21529 +tp21530 +a(g826 +g1044 +tp21531 +a(g565 +g1187 +tp21532 +a(g705 +g1064 +tp21533 +a(g705 +g1064 +tp21534 +a(g826 +V\u000a +p21535 +tp21536 +a(g705 +g1040 +tp21537 +a(g705 +g1040 +tp21538 +a(g744 +Vand +p21539 +tp21540 +a(g826 +g1044 +tp21541 +a(g440 +Vexecuting-kbd-macro +p21542 +tp21543 +a(g826 +g1044 +tp21544 +a(g705 +g1040 +tp21545 +a(g573 +g4082 +tp21546 +a(g826 +g1044 +tp21547 +a(g440 +Vchar +p21548 +tp21549 +a(g826 +g1044 +tp21550 +a(g37 +V-1 +p21551 +tp21552 +a(g705 +g1064 +tp21553 +a(g705 +g1064 +tp21554 +a(g826 +V\u000a +p21555 +tp21556 +a(g8 +V;; read-event returns -1 if we are in a kbd macro and +p21557 +tp21558 +a(g826 +V\u000a +p21559 +tp21560 +a(g8 +V;; there are no more events in the macro. Attempt to +p21561 +tp21562 +a(g826 +V\u000a +p21563 +tp21564 +a(g8 +V;; get an event interactively. +p21565 +tp21566 +a(g826 +V\u000a +p21567 +tp21568 +a(g705 +g1040 +tp21569 +a(g744 +Vsetq +p21570 +tp21571 +a(g826 +g1044 +tp21572 +a(g440 +Vexecuting-kbd-macro +p21573 +tp21574 +a(g826 +g1044 +tp21575 +a(g565 +Vnil +p21576 +tp21577 +a(g705 +g1064 +tp21578 +a(g705 +g1064 +tp21579 +a(g826 +V\u000a +p21580 +tp21581 +a(g705 +g1040 +tp21582 +a(g705 +g1040 +tp21583 +a(g440 +Vnot +p21584 +tp21585 +a(g826 +g1044 +tp21586 +a(g440 +Vinhibit-keyboard-quit +p21587 +tp21588 +a(g705 +g1064 +tp21589 +a(g826 +V\u000a +p21590 +tp21591 +a(g705 +g1040 +tp21592 +a(g744 +Vcond +p21593 +tp21594 +a(g826 +V\u000a +p21595 +tp21596 +a(g705 +g1040 +tp21597 +a(g705 +g1040 +tp21598 +a(g744 +Vand +p21599 +tp21600 +a(g826 +g1044 +tp21601 +a(g705 +g1040 +tp21602 +a(g573 +Vnull +p21603 +tp21604 +a(g826 +g1044 +tp21605 +a(g440 +Vesc-flag +p21606 +tp21607 +a(g705 +g1064 +tp21608 +a(g826 +g1044 +tp21609 +a(g705 +g1040 +tp21610 +a(g573 +Veq +p21611 +tp21612 +a(g826 +g1044 +tp21613 +a(g440 +Vchar +p21614 +tp21615 +a(g826 +g1044 +tp21616 +a(g93 +V?\u005ce +p21617 +tp21618 +a(g705 +g1064 +tp21619 +a(g705 +g1064 +tp21620 +a(g826 +V\u000a +p21621 +tp21622 +a(g705 +g1040 +tp21623 +a(g744 +Vsetq +p21624 +tp21625 +a(g826 +g1044 +tp21626 +a(g440 +Vesc-flag +p21627 +tp21628 +a(g826 +g1044 +tp21629 +a(g565 +g1187 +tp21630 +a(g705 +g1064 +tp21631 +a(g705 +g1064 +tp21632 +a(g826 +V\u000a +p21633 +tp21634 +a(g705 +g1040 +tp21635 +a(g705 +g1040 +tp21636 +a(g573 +Vmemq +p21637 +tp21638 +a(g826 +g1044 +tp21639 +a(g440 +Vchar +p21640 +tp21641 +a(g826 +g1044 +tp21642 +a(g412 +g10833 +tp21643 +a(g705 +g1040 +tp21644 +a(g93 +V?\u005cC +p21645 +tp21646 +a(g440 +V-g +p21647 +tp21648 +a(g826 +g1044 +tp21649 +a(g93 +V?\u005ce +p21650 +tp21651 +a(g705 +g1064 +tp21652 +a(g705 +g1064 +tp21653 +a(g826 +V\u000a +p21654 +tp21655 +a(g705 +g1040 +tp21656 +a(g440 +Vkeyboard-quit +p21657 +tp21658 +a(g705 +g1064 +tp21659 +a(g705 +g1064 +tp21660 +a(g705 +g1064 +tp21661 +a(g705 +g1064 +tp21662 +a(g705 +g1064 +tp21663 +a(g705 +g1064 +tp21664 +a(g705 +g1064 +tp21665 +a(g705 +g1064 +tp21666 +a(g826 +V\u000a +p21667 +tp21668 +a(g8 +V;; Display the question with the answer. But without cursor-in-echo-area. +p21669 +tp21670 +a(g826 +V\u000a +p21671 +tp21672 +a(g705 +g1040 +tp21673 +a(g573 +Vmessage +p21674 +tp21675 +a(g826 +g1044 +tp21676 +a(g89 +g1068 +tp21677 +a(g89 +V%s%s +p21678 +tp21679 +a(g89 +g1068 +tp21680 +a(g826 +g1044 +tp21681 +a(g440 +Vprompt +p21682 +tp21683 +a(g826 +g1044 +tp21684 +a(g705 +g1040 +tp21685 +a(g573 +Vchar-to-string +p21686 +tp21687 +a(g826 +g1044 +tp21688 +a(g440 +Vchar +p21689 +tp21690 +a(g705 +g1064 +tp21691 +a(g705 +g1064 +tp21692 +a(g826 +V\u000a +p21693 +tp21694 +a(g440 +Vchar +p21695 +tp21696 +a(g705 +g1064 +tp21697 +a(g705 +g1064 +tp21698 +a(g826 +V\u000a\u000a +p21699 +tp21700 +a(g705 +g1040 +tp21701 +a(g681 +Vdefun +p21702 +tp21703 +a(g826 +g1044 +tp21704 +a(g440 +Vsit-for +p21705 +tp21706 +a(g826 +g1044 +tp21707 +a(g705 +g1040 +tp21708 +a(g440 +Vseconds +p21709 +tp21710 +a(g826 +g1044 +tp21711 +a(g785 +V&optional +p21712 +tp21713 +a(g826 +g1044 +tp21714 +a(g440 +Vnodisp +p21715 +tp21716 +a(g826 +g1044 +tp21717 +a(g440 +Vobsolete +p21718 +tp21719 +a(g705 +g1064 +tp21720 +a(g826 +V\u000a +p21721 +tp21722 +a(g89 +g1068 +tp21723 +a(g89 +VRedisplay, then wait for SECONDS seconds. Stop when input is available.\u000aSECONDS may be a floating-point value.\u000a +p21724 +tp21725 +a(g89 +V\u005c( +p21726 +tp21727 +a(g89 +VOn operating systems that do not support waiting for fractions of a\u000asecond, floating-point values are rounded down to the nearest integer.)\u000a\u000aIf optional arg NODISP is t, don't redisplay, just wait for input.\u000aRedisplay does not happen if input is available before it starts.\u000a\u000aValue is t if waited the full time with no input arriving, and nil otherwise.\u000a\u000aAn obsolete, but still supported form is\u000a +p21728 +tp21729 +a(g89 +V\u005c( +p21730 +tp21731 +a(g89 +Vsit-for SECONDS &optional MILLISECONDS NODISP)\u000awhere the optional arg MILLISECONDS specifies an additional wait period,\u000ain milliseconds; this was useful when Emacs was built without\u000afloating point support. +p21732 +tp21733 +a(g89 +g1068 +tp21734 +a(g826 +V\u000a +p21735 +tp21736 +a(g705 +g1040 +tp21737 +a(g681 +Vdeclare +p21738 +tp21739 +a(g826 +g1044 +tp21740 +a(g705 +g1040 +tp21741 +a(g440 +Vadvertised-calling-convention +p21742 +tp21743 +a(g826 +g1044 +tp21744 +a(g705 +g1040 +tp21745 +a(g440 +Vseconds +p21746 +tp21747 +a(g826 +g1044 +tp21748 +a(g785 +V&optional +p21749 +tp21750 +a(g826 +g1044 +tp21751 +a(g440 +Vnodisp +p21752 +tp21753 +a(g705 +g1064 +tp21754 +a(g826 +g1044 +tp21755 +a(g89 +g1068 +tp21756 +a(g89 +V22.1 +p21757 +tp21758 +a(g89 +g1068 +tp21759 +a(g705 +g1064 +tp21760 +a(g705 +g1064 +tp21761 +a(g826 +V\u000a +p21762 +tp21763 +a(g8 +V;; This used to be implemented in C until the following discussion: +p21764 +tp21765 +a(g826 +V\u000a +p21766 +tp21767 +a(g8 +V;; http://lists.gnu.org/archive/html/emacs-devel/2006-07/msg00401.html +p21768 +tp21769 +a(g826 +V\u000a +p21770 +tp21771 +a(g8 +V;; Then it was moved here using an implementation based on an idle timer, +p21772 +tp21773 +a(g826 +V\u000a +p21774 +tp21775 +a(g8 +V;; which was then replaced by the use of read-event. +p21776 +tp21777 +a(g826 +V\u000a +p21778 +tp21779 +a(g705 +g1040 +tp21780 +a(g744 +Vif +p21781 +tp21782 +a(g826 +g1044 +tp21783 +a(g705 +g1040 +tp21784 +a(g573 +Vnumberp +p21785 +tp21786 +a(g826 +g1044 +tp21787 +a(g440 +Vnodisp +p21788 +tp21789 +a(g705 +g1064 +tp21790 +a(g826 +V\u000a +p21791 +tp21792 +a(g705 +g1040 +tp21793 +a(g744 +Vsetq +p21794 +tp21795 +a(g826 +g1044 +tp21796 +a(g440 +Vseconds +p21797 +tp21798 +a(g826 +g1044 +tp21799 +a(g705 +g1040 +tp21800 +a(g573 +g4607 +tp21801 +a(g826 +g1044 +tp21802 +a(g440 +Vseconds +p21803 +tp21804 +a(g826 +g1044 +tp21805 +a(g705 +g1040 +tp21806 +a(g573 +g4614 +tp21807 +a(g826 +g1044 +tp21808 +a(g314 +V1e-3 +p21809 +tp21810 +a(g826 +g1044 +tp21811 +a(g440 +Vnodisp +p21812 +tp21813 +a(g705 +g1064 +tp21814 +a(g705 +g1064 +tp21815 +a(g826 +V\u000a +p21816 +tp21817 +a(g440 +Vnodisp +p21818 +tp21819 +a(g826 +g1044 +tp21820 +a(g440 +Vobsolete +p21821 +tp21822 +a(g705 +g1064 +tp21823 +a(g826 +V\u000a +p21824 +tp21825 +a(g705 +g1040 +tp21826 +a(g744 +Vif +p21827 +tp21828 +a(g826 +g1044 +tp21829 +a(g440 +Vobsolete +p21830 +tp21831 +a(g826 +g1044 +tp21832 +a(g705 +g1040 +tp21833 +a(g744 +Vsetq +p21834 +tp21835 +a(g826 +g1044 +tp21836 +a(g440 +Vnodisp +p21837 +tp21838 +a(g826 +g1044 +tp21839 +a(g440 +Vobsolete +p21840 +tp21841 +a(g705 +g1064 +tp21842 +a(g705 +g1064 +tp21843 +a(g705 +g1064 +tp21844 +a(g826 +V\u000a +p21845 +tp21846 +a(g705 +g1040 +tp21847 +a(g744 +Vcond +p21848 +tp21849 +a(g826 +V\u000a +p21850 +tp21851 +a(g705 +g1040 +tp21852 +a(g440 +Vnoninteractive +p21853 +tp21854 +a(g826 +V\u000a +p21855 +tp21856 +a(g705 +g1040 +tp21857 +a(g573 +Vsleep-for +p21858 +tp21859 +a(g826 +g1044 +tp21860 +a(g440 +Vseconds +p21861 +tp21862 +a(g705 +g1064 +tp21863 +a(g826 +V\u000a +p21864 +tp21865 +a(g565 +g1187 +tp21866 +a(g705 +g1064 +tp21867 +a(g826 +V\u000a +p21868 +tp21869 +a(g705 +g1040 +tp21870 +a(g705 +g1040 +tp21871 +a(g573 +Vinput-pending-p +p21872 +tp21873 +a(g826 +g1044 +tp21874 +a(g565 +g1187 +tp21875 +a(g705 +g1064 +tp21876 +a(g826 +V\u000a +p21877 +tp21878 +a(g565 +Vnil +p21879 +tp21880 +a(g705 +g1064 +tp21881 +a(g826 +V\u000a +p21882 +tp21883 +a(g705 +g1040 +tp21884 +a(g705 +g1040 +tp21885 +a(g573 +V<= +p21886 +tp21887 +a(g826 +g1044 +tp21888 +a(g440 +Vseconds +p21889 +tp21890 +a(g826 +g1044 +tp21891 +a(g37 +g2628 +tp21892 +a(g705 +g1064 +tp21893 +a(g826 +V\u000a +p21894 +tp21895 +a(g705 +g1040 +tp21896 +a(g744 +Vor +p21897 +tp21898 +a(g826 +g1044 +tp21899 +a(g440 +Vnodisp +p21900 +tp21901 +a(g826 +g1044 +tp21902 +a(g705 +g1040 +tp21903 +a(g573 +Vredisplay +p21904 +tp21905 +a(g705 +g1064 +tp21906 +a(g705 +g1064 +tp21907 +a(g705 +g1064 +tp21908 +a(g826 +V\u000a +p21909 +tp21910 +a(g705 +g1040 +tp21911 +a(g565 +g1187 +tp21912 +a(g826 +V\u000a +p21913 +tp21914 +a(g705 +g1040 +tp21915 +a(g744 +Vor +p21916 +tp21917 +a(g826 +g1044 +tp21918 +a(g440 +Vnodisp +p21919 +tp21920 +a(g826 +g1044 +tp21921 +a(g705 +g1040 +tp21922 +a(g573 +Vredisplay +p21923 +tp21924 +a(g705 +g1064 +tp21925 +a(g705 +g1064 +tp21926 +a(g826 +V\u000a +p21927 +tp21928 +a(g8 +V;; FIXME: we should not read-event here at all, because it's much too +p21929 +tp21930 +a(g826 +V\u000a +p21931 +tp21932 +a(g8 +V;; difficult to reliably "undo" a read-event by pushing it onto +p21933 +tp21934 +a(g826 +V\u000a +p21935 +tp21936 +a(g8 +V;; unread-command-events. +p21937 +tp21938 +a(g826 +V\u000a +p21939 +tp21940 +a(g8 +V;; For bug#14782, we need read-event to do the keyboard-coding-system +p21941 +tp21942 +a(g826 +V\u000a +p21943 +tp21944 +a(g8 +V;; decoding (hence non-nil as second arg under POSIX ttys). +p21945 +tp21946 +a(g826 +V\u000a +p21947 +tp21948 +a(g8 +V;; For bug#15614, we need read-event not to inherit-input-method. +p21949 +tp21950 +a(g826 +V\u000a +p21951 +tp21952 +a(g8 +V;; So we temporarily suspend input-method-function. +p21953 +tp21954 +a(g826 +V\u000a +p21955 +tp21956 +a(g705 +g1040 +tp21957 +a(g744 +Vlet +p21958 +tp21959 +a(g826 +g1044 +tp21960 +a(g705 +g1040 +tp21961 +a(g705 +g1040 +tp21962 +a(g573 +Vread +p21963 +tp21964 +a(g826 +g1044 +tp21965 +a(g705 +g1040 +tp21966 +a(g744 +Vlet +p21967 +tp21968 +a(g826 +g1044 +tp21969 +a(g705 +g1040 +tp21970 +a(g705 +g1040 +tp21971 +a(g440 +Vinput-method-function +p21972 +tp21973 +a(g826 +g1044 +tp21974 +a(g565 +Vnil +p21975 +tp21976 +a(g705 +g1064 +tp21977 +a(g705 +g1064 +tp21978 +a(g826 +V\u000a +p21979 +tp21980 +a(g705 +g1040 +tp21981 +a(g573 +Vread-event +p21982 +tp21983 +a(g826 +g1044 +tp21984 +a(g565 +Vnil +p21985 +tp21986 +a(g826 +g1044 +tp21987 +a(g565 +g1187 +tp21988 +a(g826 +g1044 +tp21989 +a(g440 +Vseconds +p21990 +tp21991 +a(g705 +g1064 +tp21992 +a(g705 +g1064 +tp21993 +a(g705 +g1064 +tp21994 +a(g705 +g1064 +tp21995 +a(g826 +V\u000a +p21996 +tp21997 +a(g705 +g1040 +tp21998 +a(g744 +Vor +p21999 +tp22000 +a(g826 +g1044 +tp22001 +a(g705 +g1040 +tp22002 +a(g573 +Vnull +p22003 +tp22004 +a(g826 +g1044 +tp22005 +a(g573 +Vread +p22006 +tp22007 +a(g705 +g1064 +tp22008 +a(g826 +V\u000a +p22009 +tp22010 +a(g705 +g1040 +tp22011 +a(g744 +Vprogn +p22012 +tp22013 +a(g826 +V\u000a +p22014 +tp22015 +a(g8 +V;; https://lists.gnu.org/archive/html/emacs-devel/2006-10/msg00394.html +p22016 +tp22017 +a(g826 +V\u000a +p22018 +tp22019 +a(g8 +V;; We want `read' appear in the next command's this-command-event +p22020 +tp22021 +a(g826 +V\u000a +p22022 +tp22023 +a(g8 +V;; but not in the current one. +p22024 +tp22025 +a(g826 +V\u000a +p22026 +tp22027 +a(g8 +V;; By pushing (cons t read), we indicate that `read' has not +p22028 +tp22029 +a(g826 +V\u000a +p22030 +tp22031 +a(g8 +V;; yet been recorded in this-command-keys, so it will be recorded +p22032 +tp22033 +a(g826 +V\u000a +p22034 +tp22035 +a(g8 +V;; next time it's read. +p22036 +tp22037 +a(g826 +V\u000a +p22038 +tp22039 +a(g8 +V;; And indeed the `seconds' argument to read-event correctly +p22040 +tp22041 +a(g826 +V\u000a +p22042 +tp22043 +a(g8 +V;; prevented recording this event in the current command's +p22044 +tp22045 +a(g826 +V\u000a +p22046 +tp22047 +a(g8 +V;; this-command-keys. +p22048 +tp22049 +a(g826 +V\u000a +p22050 +tp22051 +a(g705 +g1040 +tp22052 +a(g681 +Vpush +p22053 +tp22054 +a(g826 +g1044 +tp22055 +a(g705 +g1040 +tp22056 +a(g573 +Vcons +p22057 +tp22058 +a(g826 +g1044 +tp22059 +a(g565 +g1187 +tp22060 +a(g826 +g1044 +tp22061 +a(g573 +Vread +p22062 +tp22063 +a(g705 +g1064 +tp22064 +a(g826 +g1044 +tp22065 +a(g440 +Vunread-command-events +p22066 +tp22067 +a(g705 +g1064 +tp22068 +a(g826 +V\u000a +p22069 +tp22070 +a(g565 +Vnil +p22071 +tp22072 +a(g705 +g1064 +tp22073 +a(g705 +g1064 +tp22074 +a(g705 +g1064 +tp22075 +a(g705 +g1064 +tp22076 +a(g705 +g1064 +tp22077 +a(g705 +g1064 +tp22078 +a(g826 +V\u000a\u000a +p22079 +tp22080 +a(g8 +V;; Behind display-popup-menus-p test. +p22081 +tp22082 +a(g826 +V\u000a +p22083 +tp22084 +a(g705 +g1040 +tp22085 +a(g681 +Vdeclare-function +p22086 +tp22087 +a(g826 +g1044 +tp22088 +a(g573 +Vx-popup-dialog +p22089 +tp22090 +a(g826 +g1044 +tp22091 +a(g89 +g1068 +tp22092 +a(g89 +Vmenu.c +p22093 +tp22094 +a(g89 +g1068 +tp22095 +a(g826 +g1044 +tp22096 +a(g705 +g1040 +tp22097 +a(g440 +Vposition +p22098 +tp22099 +a(g826 +g1044 +tp22100 +a(g440 +Vcontents +p22101 +tp22102 +a(g826 +g1044 +tp22103 +a(g785 +V&optional +p22104 +tp22105 +a(g826 +g1044 +tp22106 +a(g440 +Vheader +p22107 +tp22108 +a(g705 +g1064 +tp22109 +a(g705 +g1064 +tp22110 +a(g826 +V\u000a\u000a +p22111 +tp22112 +a(g705 +g1040 +tp22113 +a(g681 +Vdefun +p22114 +tp22115 +a(g826 +g1044 +tp22116 +a(g440 +Vy-or-n-p +p22117 +tp22118 +a(g826 +g1044 +tp22119 +a(g705 +g1040 +tp22120 +a(g440 +Vprompt +p22121 +tp22122 +a(g705 +g1064 +tp22123 +a(g826 +V\u000a +p22124 +tp22125 +a(g89 +g1068 +tp22126 +a(g89 +VAsk user a +p22127 +tp22128 +a(g89 +V\u005c" +p22129 +tp22130 +a(g89 +Vy or n +p22131 +tp22132 +a(g89 +V\u005c" +p22133 +tp22134 +a(g89 +V question. Return t if answer is +p22135 +tp22136 +a(g89 +V\u005c" +p22137 +tp22138 +a(g89 +Vy +p22139 +tp22140 +a(g89 +V\u005c" +p22141 +tp22142 +a(g89 +V.\u000aPROMPT is the string to display to ask the question. It should\u000aend in a space; +p22143 +tp22144 +a(g117 +V`y-or-n-p' +p22145 +tp22146 +a(g89 +V adds +p22147 +tp22148 +a(g89 +V\u005c" +p22149 +tp22150 +a(g89 +V(y or n) +p22151 +tp22152 +a(g89 +V\u005c" +p22153 +tp22154 +a(g89 +V to it.\u000a\u000aNo confirmation of the answer is requested; a single character is\u000aenough. SPC also means yes, and DEL means no.\u000a\u000aTo be precise, this function translates user input into responses\u000aby consulting the bindings in +p22155 +tp22156 +a(g117 +V`query-replace-map' +p22157 +tp22158 +a(g89 +V; see the\u000adocumentation of that variable for more information. In this\u000acase, the useful bindings are +p22159 +tp22160 +a(g117 +V`act' +p22161 +tp22162 +a(g89 +V, +p22163 +tp22164 +a(g117 +V`skip' +p22165 +tp22166 +a(g89 +V, +p22167 +tp22168 +a(g117 +V`recenter' +p22169 +tp22170 +a(g89 +V,\u000a +p22171 +tp22172 +a(g117 +V`scroll-up' +p22173 +tp22174 +a(g89 +V, +p22175 +tp22176 +a(g117 +V`scroll-down' +p22177 +tp22178 +a(g89 +V, and +p22179 +tp22180 +a(g117 +V`quit' +p22181 +tp22182 +a(g89 +V.\u000aAn +p22183 +tp22184 +a(g117 +V`act' +p22185 +tp22186 +a(g89 +V response means yes, and a +p22187 +tp22188 +a(g117 +V`skip' +p22189 +tp22190 +a(g89 +V response means no.\u000aA +p22191 +tp22192 +a(g117 +V`quit' +p22193 +tp22194 +a(g89 +V response means to invoke +p22195 +tp22196 +a(g117 +V`keyboard-quit' +p22197 +tp22198 +a(g89 +V.\u000aIf the user enters +p22199 +tp22200 +a(g117 +V`recenter' +p22201 +tp22202 +a(g89 +V, +p22203 +tp22204 +a(g117 +V`scroll-up' +p22205 +tp22206 +a(g89 +V, or +p22207 +tp22208 +a(g117 +V`scroll-down' +p22209 +tp22210 +a(g89 +V\u000aresponses, perform the requested window recentering or scrolling\u000aand ask again.\u000a\u000aUnder a windowing system a dialog box will be used if +p22211 +tp22212 +a(g117 +V`last-nonmenu-event' +p22213 +tp22214 +a(g89 +V\u000ais nil and +p22215 +tp22216 +a(g117 +V`use-dialog-box' +p22217 +tp22218 +a(g89 +V is non-nil. +p22219 +tp22220 +a(g89 +g1068 +tp22221 +a(g826 +V\u000a +p22222 +tp22223 +a(g8 +V;; ¡Beware! when I tried to edebug this code, Emacs got into a weird state +p22224 +tp22225 +a(g826 +V\u000a +p22226 +tp22227 +a(g8 +V;; where all the keys were unbound (i.e. it somehow got triggered +p22228 +tp22229 +a(g826 +V\u000a +p22230 +tp22231 +a(g8 +V;; within read-key, apparently). I had to kill it. +p22232 +tp22233 +a(g826 +V\u000a +p22234 +tp22235 +a(g705 +g1040 +tp22236 +a(g744 +Vlet +p22237 +tp22238 +a(g826 +g1044 +tp22239 +a(g705 +g1040 +tp22240 +a(g705 +g1040 +tp22241 +a(g440 +Vanswer +p22242 +tp22243 +a(g826 +g1044 +tp22244 +a(g117 +V'recenter +p22245 +tp22246 +a(g705 +g1064 +tp22247 +a(g826 +V\u000a +p22248 +tp22249 +a(g705 +g1040 +tp22250 +a(g440 +Vpadded +p22251 +tp22252 +a(g826 +g1044 +tp22253 +a(g705 +g1040 +tp22254 +a(g681 +Vlambda +p22255 +tp22256 +a(g826 +g1044 +tp22257 +a(g705 +g1040 +tp22258 +a(g440 +Vprompt +p22259 +tp22260 +a(g826 +g1044 +tp22261 +a(g785 +V&optional +p22262 +tp22263 +a(g826 +g1044 +tp22264 +a(g440 +Vdialog +p22265 +tp22266 +a(g705 +g1064 +tp22267 +a(g826 +V\u000a +p22268 +tp22269 +a(g705 +g1040 +tp22270 +a(g744 +Vlet +p22271 +tp22272 +a(g826 +g1044 +tp22273 +a(g705 +g1040 +tp22274 +a(g705 +g1040 +tp22275 +a(g440 +Vl +p22276 +tp22277 +a(g826 +g1044 +tp22278 +a(g705 +g1040 +tp22279 +a(g573 +Vlength +p22280 +tp22281 +a(g826 +g1044 +tp22282 +a(g440 +Vprompt +p22283 +tp22284 +a(g705 +g1064 +tp22285 +a(g705 +g1064 +tp22286 +a(g705 +g1064 +tp22287 +a(g826 +V\u000a +p22288 +tp22289 +a(g705 +g1040 +tp22290 +a(g573 +Vconcat +p22291 +tp22292 +a(g826 +g1044 +tp22293 +a(g440 +Vprompt +p22294 +tp22295 +a(g826 +V\u000a +p22296 +tp22297 +a(g705 +g1040 +tp22298 +a(g744 +Vif +p22299 +tp22300 +a(g826 +g1044 +tp22301 +a(g705 +g1040 +tp22302 +a(g744 +Vor +p22303 +tp22304 +a(g826 +g1044 +tp22305 +a(g705 +g1040 +tp22306 +a(g440 +Vzerop +p22307 +tp22308 +a(g826 +g1044 +tp22309 +a(g440 +g22276 +tp22310 +a(g705 +g1064 +tp22311 +a(g826 +g1044 +tp22312 +a(g705 +g1040 +tp22313 +a(g573 +Veq +p22314 +tp22315 +a(g826 +g1044 +tp22316 +a(g93 +V?\u005cs +p22317 +tp22318 +a(g826 +g1044 +tp22319 +a(g705 +g1040 +tp22320 +a(g573 +Varef +p22321 +tp22322 +a(g826 +g1044 +tp22323 +a(g440 +Vprompt +p22324 +tp22325 +a(g826 +g1044 +tp22326 +a(g705 +g1040 +tp22327 +a(g573 +V1- +p22328 +tp22329 +a(g826 +g1044 +tp22330 +a(g440 +g22276 +tp22331 +a(g705 +g1064 +tp22332 +a(g705 +g1064 +tp22333 +a(g705 +g1064 +tp22334 +a(g705 +g1064 +tp22335 +a(g826 +V\u000a +p22336 +tp22337 +a(g89 +g1068 +tp22338 +a(g89 +g1068 +tp22339 +a(g826 +g1044 +tp22340 +a(g89 +g1068 +tp22341 +a(g89 +g1044 +tp22342 +a(g89 +g1068 +tp22343 +a(g705 +g1064 +tp22344 +a(g826 +V\u000a +p22345 +tp22346 +a(g705 +g1040 +tp22347 +a(g744 +Vif +p22348 +tp22349 +a(g826 +g1044 +tp22350 +a(g440 +Vdialog +p22351 +tp22352 +a(g826 +g1044 +tp22353 +a(g89 +g1068 +tp22354 +a(g89 +g1068 +tp22355 +a(g826 +g1044 +tp22356 +a(g89 +g1068 +tp22357 +a(g89 +V(y or n) +p22358 +tp22359 +a(g89 +g1068 +tp22360 +a(g705 +g1064 +tp22361 +a(g705 +g1064 +tp22362 +a(g705 +g1064 +tp22363 +a(g705 +g1064 +tp22364 +a(g705 +g1064 +tp22365 +a(g705 +g1064 +tp22366 +a(g826 +V\u000a +p22367 +tp22368 +a(g705 +g1040 +tp22369 +a(g744 +Vcond +p22370 +tp22371 +a(g826 +V\u000a +p22372 +tp22373 +a(g705 +g1040 +tp22374 +a(g440 +Vnoninteractive +p22375 +tp22376 +a(g826 +V\u000a +p22377 +tp22378 +a(g705 +g1040 +tp22379 +a(g744 +Vsetq +p22380 +tp22381 +a(g826 +g1044 +tp22382 +a(g440 +Vprompt +p22383 +tp22384 +a(g826 +g1044 +tp22385 +a(g705 +g1040 +tp22386 +a(g573 +Vfuncall +p22387 +tp22388 +a(g826 +g1044 +tp22389 +a(g440 +Vpadded +p22390 +tp22391 +a(g826 +g1044 +tp22392 +a(g440 +Vprompt +p22393 +tp22394 +a(g705 +g1064 +tp22395 +a(g705 +g1064 +tp22396 +a(g826 +V\u000a +p22397 +tp22398 +a(g705 +g1040 +tp22399 +a(g744 +Vlet +p22400 +tp22401 +a(g826 +g1044 +tp22402 +a(g705 +g1040 +tp22403 +a(g705 +g1040 +tp22404 +a(g440 +Vtemp-prompt +p22405 +tp22406 +a(g826 +g1044 +tp22407 +a(g440 +Vprompt +p22408 +tp22409 +a(g705 +g1064 +tp22410 +a(g705 +g1064 +tp22411 +a(g826 +V\u000a +p22412 +tp22413 +a(g705 +g1040 +tp22414 +a(g744 +Vwhile +p22415 +tp22416 +a(g826 +g1044 +tp22417 +a(g705 +g1040 +tp22418 +a(g440 +Vnot +p22419 +tp22420 +a(g826 +g1044 +tp22421 +a(g705 +g1040 +tp22422 +a(g573 +Vmemq +p22423 +tp22424 +a(g826 +g1044 +tp22425 +a(g440 +Vanswer +p22426 +tp22427 +a(g826 +g1044 +tp22428 +a(g412 +g10833 +tp22429 +a(g705 +g1040 +tp22430 +a(g440 +Vact +p22431 +tp22432 +a(g826 +g1044 +tp22433 +a(g440 +Vskip +p22434 +tp22435 +a(g705 +g1064 +tp22436 +a(g705 +g1064 +tp22437 +a(g705 +g1064 +tp22438 +a(g826 +V\u000a +p22439 +tp22440 +a(g705 +g1040 +tp22441 +a(g744 +Vlet +p22442 +tp22443 +a(g826 +g1044 +tp22444 +a(g705 +g1040 +tp22445 +a(g705 +g1040 +tp22446 +a(g440 +Vstr +p22447 +tp22448 +a(g826 +g1044 +tp22449 +a(g705 +g1040 +tp22450 +a(g573 +Vread-string +p22451 +tp22452 +a(g826 +g1044 +tp22453 +a(g440 +Vtemp-prompt +p22454 +tp22455 +a(g705 +g1064 +tp22456 +a(g705 +g1064 +tp22457 +a(g705 +g1064 +tp22458 +a(g826 +V\u000a +p22459 +tp22460 +a(g705 +g1040 +tp22461 +a(g744 +Vcond +p22462 +tp22463 +a(g826 +g1044 +tp22464 +a(g705 +g1040 +tp22465 +a(g705 +g1040 +tp22466 +a(g573 +Vmember +p22467 +tp22468 +a(g826 +g1044 +tp22469 +a(g440 +Vstr +p22470 +tp22471 +a(g826 +g1044 +tp22472 +a(g412 +g10833 +tp22473 +a(g705 +g1040 +tp22474 +a(g89 +g1068 +tp22475 +a(g89 +g22139 +tp22476 +a(g89 +g1068 +tp22477 +a(g826 +g1044 +tp22478 +a(g89 +g1068 +tp22479 +a(g89 +VY +p22480 +tp22481 +a(g89 +g1068 +tp22482 +a(g705 +g1064 +tp22483 +a(g705 +g1064 +tp22484 +a(g826 +g1044 +tp22485 +a(g705 +g1040 +tp22486 +a(g744 +Vsetq +p22487 +tp22488 +a(g826 +g1044 +tp22489 +a(g440 +Vanswer +p22490 +tp22491 +a(g826 +g1044 +tp22492 +a(g117 +V'act +p22493 +tp22494 +a(g705 +g1064 +tp22495 +a(g705 +g1064 +tp22496 +a(g826 +V\u000a +p22497 +tp22498 +a(g705 +g1040 +tp22499 +a(g705 +g1040 +tp22500 +a(g573 +Vmember +p22501 +tp22502 +a(g826 +g1044 +tp22503 +a(g440 +Vstr +p22504 +tp22505 +a(g826 +g1044 +tp22506 +a(g412 +g10833 +tp22507 +a(g705 +g1040 +tp22508 +a(g89 +g1068 +tp22509 +a(g89 +g3719 +tp22510 +a(g89 +g1068 +tp22511 +a(g826 +g1044 +tp22512 +a(g89 +g1068 +tp22513 +a(g89 +VN +p22514 +tp22515 +a(g89 +g1068 +tp22516 +a(g705 +g1064 +tp22517 +a(g705 +g1064 +tp22518 +a(g826 +g1044 +tp22519 +a(g705 +g1040 +tp22520 +a(g744 +Vsetq +p22521 +tp22522 +a(g826 +g1044 +tp22523 +a(g440 +Vanswer +p22524 +tp22525 +a(g826 +g1044 +tp22526 +a(g117 +V'skip +p22527 +tp22528 +a(g705 +g1064 +tp22529 +a(g705 +g1064 +tp22530 +a(g826 +V\u000a +p22531 +tp22532 +a(g705 +g1040 +tp22533 +a(g565 +g1187 +tp22534 +a(g826 +g1044 +tp22535 +a(g705 +g1040 +tp22536 +a(g744 +Vsetq +p22537 +tp22538 +a(g826 +g1044 +tp22539 +a(g440 +Vtemp-prompt +p22540 +tp22541 +a(g826 +g1044 +tp22542 +a(g705 +g1040 +tp22543 +a(g573 +Vconcat +p22544 +tp22545 +a(g826 +g1044 +tp22546 +a(g89 +g1068 +tp22547 +a(g89 +VPlease answer y or n. +p22548 +tp22549 +a(g89 +g1068 +tp22550 +a(g826 +V\u000a +p22551 +tp22552 +a(g440 +Vprompt +p22553 +tp22554 +a(g705 +g1064 +tp22555 +a(g705 +g1064 +tp22556 +a(g705 +g1064 +tp22557 +a(g705 +g1064 +tp22558 +a(g705 +g1064 +tp22559 +a(g705 +g1064 +tp22560 +a(g705 +g1064 +tp22561 +a(g705 +g1064 +tp22562 +a(g826 +V\u000a +p22563 +tp22564 +a(g705 +g1040 +tp22565 +a(g705 +g1040 +tp22566 +a(g744 +Vand +p22567 +tp22568 +a(g826 +g1044 +tp22569 +a(g705 +g1040 +tp22570 +a(g440 +Vdisplay-popup-menus-p +p22571 +tp22572 +a(g705 +g1064 +tp22573 +a(g826 +V\u000a +p22574 +tp22575 +a(g705 +g1040 +tp22576 +a(g573 +Vlistp +p22577 +tp22578 +a(g826 +g1044 +tp22579 +a(g440 +Vlast-nonmenu-event +p22580 +tp22581 +a(g705 +g1064 +tp22582 +a(g826 +V\u000a +p22583 +tp22584 +a(g440 +Vuse-dialog-box +p22585 +tp22586 +a(g705 +g1064 +tp22587 +a(g826 +V\u000a +p22588 +tp22589 +a(g705 +g1040 +tp22590 +a(g744 +Vsetq +p22591 +tp22592 +a(g826 +g1044 +tp22593 +a(g440 +Vprompt +p22594 +tp22595 +a(g826 +g1044 +tp22596 +a(g705 +g1040 +tp22597 +a(g573 +Vfuncall +p22598 +tp22599 +a(g826 +g1044 +tp22600 +a(g440 +Vpadded +p22601 +tp22602 +a(g826 +g1044 +tp22603 +a(g440 +Vprompt +p22604 +tp22605 +a(g826 +g1044 +tp22606 +a(g565 +g1187 +tp22607 +a(g705 +g1064 +tp22608 +a(g826 +V\u000a +p22609 +tp22610 +a(g440 +Vanswer +p22611 +tp22612 +a(g826 +g1044 +tp22613 +a(g705 +g1040 +tp22614 +a(g573 +Vx-popup-dialog +p22615 +tp22616 +a(g826 +g1044 +tp22617 +a(g565 +g1187 +tp22618 +a(g826 +g1044 +tp22619 +a(g412 +g1128 +tp22620 +a(g705 +g1040 +tp22621 +a(g412 +g1198 +tp22622 +a(g440 +Vprompt +p22623 +tp22624 +a(g826 +g1044 +tp22625 +a(g705 +g1040 +tp22626 +a(g89 +g1068 +tp22627 +a(g89 +VYes +p22628 +tp22629 +a(g89 +g1068 +tp22630 +a(g826 +g1044 +tp22631 +a(g412 +g3307 +tp22632 +a(g826 +g1044 +tp22633 +a(g440 +Vact +p22634 +tp22635 +a(g705 +g1064 +tp22636 +a(g826 +g1044 +tp22637 +a(g705 +g1040 +tp22638 +a(g89 +g1068 +tp22639 +a(g89 +VNo +p22640 +tp22641 +a(g89 +g1068 +tp22642 +a(g826 +g1044 +tp22643 +a(g412 +g3307 +tp22644 +a(g826 +g1044 +tp22645 +a(g440 +Vskip +p22646 +tp22647 +a(g705 +g1064 +tp22648 +a(g705 +g1064 +tp22649 +a(g705 +g1064 +tp22650 +a(g705 +g1064 +tp22651 +a(g705 +g1064 +tp22652 +a(g826 +V\u000a +p22653 +tp22654 +a(g705 +g1040 +tp22655 +a(g565 +g1187 +tp22656 +a(g826 +V\u000a +p22657 +tp22658 +a(g705 +g1040 +tp22659 +a(g744 +Vsetq +p22660 +tp22661 +a(g826 +g1044 +tp22662 +a(g440 +Vprompt +p22663 +tp22664 +a(g826 +g1044 +tp22665 +a(g705 +g1040 +tp22666 +a(g573 +Vfuncall +p22667 +tp22668 +a(g826 +g1044 +tp22669 +a(g440 +Vpadded +p22670 +tp22671 +a(g826 +g1044 +tp22672 +a(g440 +Vprompt +p22673 +tp22674 +a(g705 +g1064 +tp22675 +a(g705 +g1064 +tp22676 +a(g826 +V\u000a +p22677 +tp22678 +a(g705 +g1040 +tp22679 +a(g744 +Vwhile +p22680 +tp22681 +a(g826 +V\u000a +p22682 +tp22683 +a(g705 +g1040 +tp22684 +a(g744 +Vlet* +p22685 +tp22686 +a(g826 +g1044 +tp22687 +a(g705 +g1040 +tp22688 +a(g705 +g1040 +tp22689 +a(g440 +Vscroll-actions +p22690 +tp22691 +a(g826 +g1044 +tp22692 +a(g412 +g10833 +tp22693 +a(g705 +g1040 +tp22694 +a(g573 +Vrecenter +p22695 +tp22696 +a(g826 +g1044 +tp22697 +a(g573 +Vscroll-up +p22698 +tp22699 +a(g826 +g1044 +tp22700 +a(g573 +Vscroll-down +p22701 +tp22702 +a(g826 +V\u000a +p22703 +tp22704 +a(g573 +Vscroll-other-window +p22705 +tp22706 +a(g826 +g1044 +tp22707 +a(g440 +Vscroll-other-window-down +p22708 +tp22709 +a(g705 +g1064 +tp22710 +a(g705 +g1064 +tp22711 +a(g826 +V\u000a +p22712 +tp22713 +a(g705 +g1040 +tp22714 +a(g440 +Vkey +p22715 +tp22716 +a(g826 +V\u000a +p22717 +tp22718 +a(g705 +g1040 +tp22719 +a(g744 +Vlet +p22720 +tp22721 +a(g826 +g1044 +tp22722 +a(g705 +g1040 +tp22723 +a(g705 +g1040 +tp22724 +a(g440 +Vcursor-in-echo-area +p22725 +tp22726 +a(g826 +g1044 +tp22727 +a(g565 +g1187 +tp22728 +a(g705 +g1064 +tp22729 +a(g705 +g1064 +tp22730 +a(g826 +V\u000a +p22731 +tp22732 +a(g705 +g1040 +tp22733 +a(g681 +Vwhen +p22734 +tp22735 +a(g826 +g1044 +tp22736 +a(g440 +Vminibuffer-auto-raise +p22737 +tp22738 +a(g826 +V\u000a +p22739 +tp22740 +a(g705 +g1040 +tp22741 +a(g573 +Vraise-frame +p22742 +tp22743 +a(g826 +g1044 +tp22744 +a(g705 +g1040 +tp22745 +a(g573 +Vwindow-frame +p22746 +tp22747 +a(g826 +g1044 +tp22748 +a(g705 +g1040 +tp22749 +a(g573 +Vminibuffer-window +p22750 +tp22751 +a(g705 +g1064 +tp22752 +a(g705 +g1064 +tp22753 +a(g705 +g1064 +tp22754 +a(g705 +g1064 +tp22755 +a(g826 +V\u000a +p22756 +tp22757 +a(g705 +g1040 +tp22758 +a(g440 +Vread-key +p22759 +tp22760 +a(g826 +g1044 +tp22761 +a(g705 +g1040 +tp22762 +a(g573 +Vpropertize +p22763 +tp22764 +a(g826 +g1044 +tp22765 +a(g705 +g1040 +tp22766 +a(g744 +Vif +p22767 +tp22768 +a(g826 +g1044 +tp22769 +a(g705 +g1040 +tp22770 +a(g573 +Vmemq +p22771 +tp22772 +a(g826 +g1044 +tp22773 +a(g440 +Vanswer +p22774 +tp22775 +a(g826 +g1044 +tp22776 +a(g440 +Vscroll-actions +p22777 +tp22778 +a(g705 +g1064 +tp22779 +a(g826 +V\u000a +p22780 +tp22781 +a(g440 +Vprompt +p22782 +tp22783 +a(g826 +V\u000a +p22784 +tp22785 +a(g705 +g1040 +tp22786 +a(g573 +Vconcat +p22787 +tp22788 +a(g826 +g1044 +tp22789 +a(g89 +g1068 +tp22790 +a(g89 +VPlease answer y or n. +p22791 +tp22792 +a(g89 +g1068 +tp22793 +a(g826 +V\u000a +p22794 +tp22795 +a(g440 +Vprompt +p22796 +tp22797 +a(g705 +g1064 +tp22798 +a(g705 +g1064 +tp22799 +a(g826 +V\u000a +p22800 +tp22801 +a(g117 +V'face +p22802 +tp22803 +a(g826 +g1044 +tp22804 +a(g117 +V'minibuffer-prompt +p22805 +tp22806 +a(g705 +g1064 +tp22807 +a(g705 +g1064 +tp22808 +a(g705 +g1064 +tp22809 +a(g705 +g1064 +tp22810 +a(g705 +g1064 +tp22811 +a(g826 +V\u000a +p22812 +tp22813 +a(g705 +g1040 +tp22814 +a(g744 +Vsetq +p22815 +tp22816 +a(g826 +g1044 +tp22817 +a(g440 +Vanswer +p22818 +tp22819 +a(g826 +g1044 +tp22820 +a(g705 +g1040 +tp22821 +a(g573 +Vlookup-key +p22822 +tp22823 +a(g826 +g1044 +tp22824 +a(g440 +Vquery-replace-map +p22825 +tp22826 +a(g826 +g1044 +tp22827 +a(g705 +g1040 +tp22828 +a(g573 +Vvector +p22829 +tp22830 +a(g826 +g1044 +tp22831 +a(g440 +Vkey +p22832 +tp22833 +a(g705 +g1064 +tp22834 +a(g826 +g1044 +tp22835 +a(g565 +g1187 +tp22836 +a(g705 +g1064 +tp22837 +a(g705 +g1064 +tp22838 +a(g826 +V\u000a +p22839 +tp22840 +a(g705 +g1040 +tp22841 +a(g744 +Vcond +p22842 +tp22843 +a(g826 +V\u000a +p22844 +tp22845 +a(g705 +g1040 +tp22846 +a(g705 +g1040 +tp22847 +a(g573 +Vmemq +p22848 +tp22849 +a(g826 +g1044 +tp22850 +a(g440 +Vanswer +p22851 +tp22852 +a(g826 +g1044 +tp22853 +a(g412 +g10833 +tp22854 +a(g705 +g1040 +tp22855 +a(g440 +Vskip +p22856 +tp22857 +a(g826 +g1044 +tp22858 +a(g440 +Vact +p22859 +tp22860 +a(g705 +g1064 +tp22861 +a(g705 +g1064 +tp22862 +a(g826 +g1044 +tp22863 +a(g565 +Vnil +p22864 +tp22865 +a(g705 +g1064 +tp22866 +a(g826 +V\u000a +p22867 +tp22868 +a(g705 +g1040 +tp22869 +a(g705 +g1040 +tp22870 +a(g573 +Veq +p22871 +tp22872 +a(g826 +g1044 +tp22873 +a(g440 +Vanswer +p22874 +tp22875 +a(g826 +g1044 +tp22876 +a(g117 +V'recenter +p22877 +tp22878 +a(g705 +g1064 +tp22879 +a(g826 +V\u000a +p22880 +tp22881 +a(g705 +g1040 +tp22882 +a(g573 +Vrecenter +p22883 +tp22884 +a(g705 +g1064 +tp22885 +a(g826 +g1044 +tp22886 +a(g565 +g1187 +tp22887 +a(g705 +g1064 +tp22888 +a(g826 +V\u000a +p22889 +tp22890 +a(g705 +g1040 +tp22891 +a(g705 +g1040 +tp22892 +a(g573 +Veq +p22893 +tp22894 +a(g826 +g1044 +tp22895 +a(g440 +Vanswer +p22896 +tp22897 +a(g826 +g1044 +tp22898 +a(g117 +V'scroll-up +p22899 +tp22900 +a(g705 +g1064 +tp22901 +a(g826 +V\u000a +p22902 +tp22903 +a(g705 +g1040 +tp22904 +a(g681 +Vignore-errors +p22905 +tp22906 +a(g826 +g1044 +tp22907 +a(g705 +g1040 +tp22908 +a(g440 +Vscroll-up-command +p22909 +tp22910 +a(g705 +g1064 +tp22911 +a(g705 +g1064 +tp22912 +a(g826 +g1044 +tp22913 +a(g565 +g1187 +tp22914 +a(g705 +g1064 +tp22915 +a(g826 +V\u000a +p22916 +tp22917 +a(g705 +g1040 +tp22918 +a(g705 +g1040 +tp22919 +a(g573 +Veq +p22920 +tp22921 +a(g826 +g1044 +tp22922 +a(g440 +Vanswer +p22923 +tp22924 +a(g826 +g1044 +tp22925 +a(g117 +V'scroll-down +p22926 +tp22927 +a(g705 +g1064 +tp22928 +a(g826 +V\u000a +p22929 +tp22930 +a(g705 +g1040 +tp22931 +a(g681 +Vignore-errors +p22932 +tp22933 +a(g826 +g1044 +tp22934 +a(g705 +g1040 +tp22935 +a(g440 +Vscroll-down-command +p22936 +tp22937 +a(g705 +g1064 +tp22938 +a(g705 +g1064 +tp22939 +a(g826 +g1044 +tp22940 +a(g565 +g1187 +tp22941 +a(g705 +g1064 +tp22942 +a(g826 +V\u000a +p22943 +tp22944 +a(g705 +g1040 +tp22945 +a(g705 +g1040 +tp22946 +a(g573 +Veq +p22947 +tp22948 +a(g826 +g1044 +tp22949 +a(g440 +Vanswer +p22950 +tp22951 +a(g826 +g1044 +tp22952 +a(g117 +V'scroll-other-window +p22953 +tp22954 +a(g705 +g1064 +tp22955 +a(g826 +V\u000a +p22956 +tp22957 +a(g705 +g1040 +tp22958 +a(g681 +Vignore-errors +p22959 +tp22960 +a(g826 +g1044 +tp22961 +a(g705 +g1040 +tp22962 +a(g573 +Vscroll-other-window +p22963 +tp22964 +a(g705 +g1064 +tp22965 +a(g705 +g1064 +tp22966 +a(g826 +g1044 +tp22967 +a(g565 +g1187 +tp22968 +a(g705 +g1064 +tp22969 +a(g826 +V\u000a +p22970 +tp22971 +a(g705 +g1040 +tp22972 +a(g705 +g1040 +tp22973 +a(g573 +Veq +p22974 +tp22975 +a(g826 +g1044 +tp22976 +a(g440 +Vanswer +p22977 +tp22978 +a(g826 +g1044 +tp22979 +a(g117 +V'scroll-other-window-down +p22980 +tp22981 +a(g705 +g1064 +tp22982 +a(g826 +V\u000a +p22983 +tp22984 +a(g705 +g1040 +tp22985 +a(g681 +Vignore-errors +p22986 +tp22987 +a(g826 +g1044 +tp22988 +a(g705 +g1040 +tp22989 +a(g440 +Vscroll-other-window-down +p22990 +tp22991 +a(g705 +g1064 +tp22992 +a(g705 +g1064 +tp22993 +a(g826 +g1044 +tp22994 +a(g565 +g1187 +tp22995 +a(g705 +g1064 +tp22996 +a(g826 +V\u000a +p22997 +tp22998 +a(g705 +g1040 +tp22999 +a(g705 +g1040 +tp23000 +a(g744 +Vor +p23001 +tp23002 +a(g826 +g1044 +tp23003 +a(g705 +g1040 +tp23004 +a(g573 +Vmemq +p23005 +tp23006 +a(g826 +g1044 +tp23007 +a(g440 +Vanswer +p23008 +tp23009 +a(g826 +g1044 +tp23010 +a(g412 +g10833 +tp23011 +a(g705 +g1040 +tp23012 +a(g440 +Vexit-prefix +p23013 +tp23014 +a(g826 +g1044 +tp23015 +a(g440 +Vquit +p23016 +tp23017 +a(g705 +g1064 +tp23018 +a(g705 +g1064 +tp23019 +a(g826 +g1044 +tp23020 +a(g705 +g1040 +tp23021 +a(g573 +Veq +p23022 +tp23023 +a(g826 +g1044 +tp23024 +a(g440 +Vkey +p23025 +tp23026 +a(g826 +g1044 +tp23027 +a(g93 +V?\u005ce +p23028 +tp23029 +a(g705 +g1064 +tp23030 +a(g705 +g1064 +tp23031 +a(g826 +V\u000a +p23032 +tp23033 +a(g705 +g1040 +tp23034 +a(g573 +Vsignal +p23035 +tp23036 +a(g826 +g1044 +tp23037 +a(g117 +V'quit +p23038 +tp23039 +a(g826 +g1044 +tp23040 +a(g565 +Vnil +p23041 +tp23042 +a(g705 +g1064 +tp23043 +a(g826 +g1044 +tp23044 +a(g565 +g1187 +tp23045 +a(g705 +g1064 +tp23046 +a(g826 +V\u000a +p23047 +tp23048 +a(g705 +g1040 +tp23049 +a(g565 +g1187 +tp23050 +a(g826 +g1044 +tp23051 +a(g565 +g1187 +tp23052 +a(g705 +g1064 +tp23053 +a(g705 +g1064 +tp23054 +a(g705 +g1064 +tp23055 +a(g826 +V\u000a +p23056 +tp23057 +a(g705 +g1040 +tp23058 +a(g573 +Vding +p23059 +tp23060 +a(g705 +g1064 +tp23061 +a(g826 +V\u000a +p23062 +tp23063 +a(g705 +g1040 +tp23064 +a(g573 +Vdiscard-input +p23065 +tp23066 +a(g705 +g1064 +tp23067 +a(g705 +g1064 +tp23068 +a(g705 +g1064 +tp23069 +a(g705 +g1064 +tp23070 +a(g826 +V\u000a +p23071 +tp23072 +a(g705 +g1040 +tp23073 +a(g744 +Vlet +p23074 +tp23075 +a(g826 +g1044 +tp23076 +a(g705 +g1040 +tp23077 +a(g705 +g1040 +tp23078 +a(g440 +Vret +p23079 +tp23080 +a(g826 +g1044 +tp23081 +a(g705 +g1040 +tp23082 +a(g573 +Veq +p23083 +tp23084 +a(g826 +g1044 +tp23085 +a(g440 +Vanswer +p23086 +tp23087 +a(g826 +g1044 +tp23088 +a(g117 +V'act +p23089 +tp23090 +a(g705 +g1064 +tp23091 +a(g705 +g1064 +tp23092 +a(g705 +g1064 +tp23093 +a(g826 +V\u000a +p23094 +tp23095 +a(g705 +g1040 +tp23096 +a(g681 +Vunless +p23097 +tp23098 +a(g826 +g1044 +tp23099 +a(g440 +Vnoninteractive +p23100 +tp23101 +a(g826 +V\u000a +p23102 +tp23103 +a(g705 +g1040 +tp23104 +a(g573 +Vmessage +p23105 +tp23106 +a(g826 +g1044 +tp23107 +a(g89 +g1068 +tp23108 +a(g89 +V%s%c +p23109 +tp23110 +a(g89 +g1068 +tp23111 +a(g826 +g1044 +tp23112 +a(g440 +Vprompt +p23113 +tp23114 +a(g826 +g1044 +tp23115 +a(g705 +g1040 +tp23116 +a(g744 +Vif +p23117 +tp23118 +a(g826 +g1044 +tp23119 +a(g440 +Vret +p23120 +tp23121 +a(g826 +g1044 +tp23122 +a(g93 +V?y +p23123 +tp23124 +a(g826 +g1044 +tp23125 +a(g93 +V?n +p23126 +tp23127 +a(g705 +g1064 +tp23128 +a(g705 +g1064 +tp23129 +a(g705 +g1064 +tp23130 +a(g826 +V\u000a +p23131 +tp23132 +a(g440 +Vret +p23133 +tp23134 +a(g705 +g1064 +tp23135 +a(g705 +g1064 +tp23136 +a(g705 +g1064 +tp23137 +a(g826 +V\u000a\u000a \u000a +p23138 +tp23139 +a(g8 +V;;; Atomic change groups. +p23140 +tp23141 +a(g826 +V\u000a\u000a +p23142 +tp23143 +a(g705 +g1040 +tp23144 +a(g681 +Vdefmacro +p23145 +tp23146 +a(g826 +g1044 +tp23147 +a(g681 +Vatomic-change-group +p23148 +tp23149 +a(g826 +g1044 +tp23150 +a(g705 +g1040 +tp23151 +a(g785 +V&rest +p23152 +tp23153 +a(g826 +g1044 +tp23154 +a(g440 +Vbody +p23155 +tp23156 +a(g705 +g1064 +tp23157 +a(g826 +V\u000a +p23158 +tp23159 +a(g89 +g1068 +tp23160 +a(g89 +VPerform BODY as an atomic change group.\u000aThis means that if BODY exits abnormally,\u000aall of its changes to the current buffer are undone.\u000aThis works regardless of whether undo is enabled in the buffer.\u000a\u000aThis mechanism is transparent to ordinary use of undo;\u000aif undo is enabled in the buffer and BODY succeeds, the\u000auser can undo the change normally. +p23161 +tp23162 +a(g89 +g1068 +tp23163 +a(g826 +V\u000a +p23164 +tp23165 +a(g705 +g1040 +tp23166 +a(g681 +Vdeclare +p23167 +tp23168 +a(g826 +g1044 +tp23169 +a(g705 +g1040 +tp23170 +a(g440 +Vindent +p23171 +tp23172 +a(g826 +g1044 +tp23173 +a(g37 +g2628 +tp23174 +a(g705 +g1064 +tp23175 +a(g826 +g1044 +tp23176 +a(g705 +g1040 +tp23177 +a(g440 +Vdebug +p23178 +tp23179 +a(g826 +g1044 +tp23180 +a(g565 +g1187 +tp23181 +a(g705 +g1064 +tp23182 +a(g705 +g1064 +tp23183 +a(g826 +V\u000a +p23184 +tp23185 +a(g705 +g1040 +tp23186 +a(g744 +Vlet +p23187 +tp23188 +a(g826 +g1044 +tp23189 +a(g705 +g1040 +tp23190 +a(g705 +g1040 +tp23191 +a(g440 +Vhandle +p23192 +tp23193 +a(g826 +g1044 +tp23194 +a(g705 +g1040 +tp23195 +a(g573 +Vmake-symbol +p23196 +tp23197 +a(g826 +g1044 +tp23198 +a(g89 +g1068 +tp23199 +a(g89 +V--change-group-handle-- +p23200 +tp23201 +a(g89 +g1068 +tp23202 +a(g705 +g1064 +tp23203 +a(g705 +g1064 +tp23204 +a(g826 +V\u000a +p23205 +tp23206 +a(g705 +g1040 +tp23207 +a(g440 +Vsuccess +p23208 +tp23209 +a(g826 +g1044 +tp23210 +a(g705 +g1040 +tp23211 +a(g573 +Vmake-symbol +p23212 +tp23213 +a(g826 +g1044 +tp23214 +a(g89 +g1068 +tp23215 +a(g89 +V--change-group-success-- +p23216 +tp23217 +a(g89 +g1068 +tp23218 +a(g705 +g1064 +tp23219 +a(g705 +g1064 +tp23220 +a(g705 +g1064 +tp23221 +a(g826 +V\u000a +p23222 +tp23223 +a(g412 +g1128 +tp23224 +a(g705 +g1040 +tp23225 +a(g744 +Vlet +p23226 +tp23227 +a(g826 +g1044 +tp23228 +a(g705 +g1040 +tp23229 +a(g705 +g1040 +tp23230 +a(g412 +g1198 +tp23231 +a(g440 +Vhandle +p23232 +tp23233 +a(g826 +g1044 +tp23234 +a(g705 +g1040 +tp23235 +a(g440 +Vprepare-change-group +p23236 +tp23237 +a(g705 +g1064 +tp23238 +a(g705 +g1064 +tp23239 +a(g826 +V\u000a +p23240 +tp23241 +a(g8 +V;; Don't truncate any undo data in the middle of this. +p23242 +tp23243 +a(g826 +V\u000a +p23244 +tp23245 +a(g705 +g1040 +tp23246 +a(g440 +Vundo-outer-limit +p23247 +tp23248 +a(g826 +g1044 +tp23249 +a(g565 +Vnil +p23250 +tp23251 +a(g705 +g1064 +tp23252 +a(g826 +V\u000a +p23253 +tp23254 +a(g705 +g1040 +tp23255 +a(g440 +Vundo-limit +p23256 +tp23257 +a(g826 +g1044 +tp23258 +a(g440 +Vmost-positive-fixnum +p23259 +tp23260 +a(g705 +g1064 +tp23261 +a(g826 +V\u000a +p23262 +tp23263 +a(g705 +g1040 +tp23264 +a(g440 +Vundo-strong-limit +p23265 +tp23266 +a(g826 +g1044 +tp23267 +a(g440 +Vmost-positive-fixnum +p23268 +tp23269 +a(g705 +g1064 +tp23270 +a(g826 +V\u000a +p23271 +tp23272 +a(g705 +g1040 +tp23273 +a(g412 +g1198 +tp23274 +a(g440 +Vsuccess +p23275 +tp23276 +a(g826 +g1044 +tp23277 +a(g565 +Vnil +p23278 +tp23279 +a(g705 +g1064 +tp23280 +a(g705 +g1064 +tp23281 +a(g826 +V\u000a +p23282 +tp23283 +a(g705 +g1040 +tp23284 +a(g744 +Vunwind-protect +p23285 +tp23286 +a(g826 +V\u000a +p23287 +tp23288 +a(g705 +g1040 +tp23289 +a(g744 +Vprogn +p23290 +tp23291 +a(g826 +V\u000a +p23292 +tp23293 +a(g8 +V;; This is inside the unwind-protect because +p23294 +tp23295 +a(g826 +V\u000a +p23296 +tp23297 +a(g8 +V;; it enables undo if that was disabled; we need +p23298 +tp23299 +a(g826 +V\u000a +p23300 +tp23301 +a(g8 +V;; to make sure that it gets disabled again. +p23302 +tp23303 +a(g826 +V\u000a +p23304 +tp23305 +a(g705 +g1040 +tp23306 +a(g440 +Vactivate-change-group +p23307 +tp23308 +a(g826 +g1044 +tp23309 +a(g412 +g1198 +tp23310 +a(g440 +Vhandle +p23311 +tp23312 +a(g705 +g1064 +tp23313 +a(g826 +V\u000a +p23314 +tp23315 +a(g412 +V,@ +p23316 +tp23317 +a(g440 +Vbody +p23318 +tp23319 +a(g826 +V\u000a +p23320 +tp23321 +a(g705 +g1040 +tp23322 +a(g744 +Vsetq +p23323 +tp23324 +a(g826 +g1044 +tp23325 +a(g412 +g1198 +tp23326 +a(g440 +Vsuccess +p23327 +tp23328 +a(g826 +g1044 +tp23329 +a(g565 +g1187 +tp23330 +a(g705 +g1064 +tp23331 +a(g705 +g1064 +tp23332 +a(g826 +V\u000a +p23333 +tp23334 +a(g8 +V;; Either of these functions will disable undo +p23335 +tp23336 +a(g826 +V\u000a +p23337 +tp23338 +a(g8 +V;; if it was disabled before. +p23339 +tp23340 +a(g826 +V\u000a +p23341 +tp23342 +a(g705 +g1040 +tp23343 +a(g744 +Vif +p23344 +tp23345 +a(g826 +g1044 +tp23346 +a(g412 +g1198 +tp23347 +a(g440 +Vsuccess +p23348 +tp23349 +a(g826 +V\u000a +p23350 +tp23351 +a(g705 +g1040 +tp23352 +a(g440 +Vaccept-change-group +p23353 +tp23354 +a(g826 +g1044 +tp23355 +a(g412 +g1198 +tp23356 +a(g440 +Vhandle +p23357 +tp23358 +a(g705 +g1064 +tp23359 +a(g826 +V\u000a +p23360 +tp23361 +a(g705 +g1040 +tp23362 +a(g440 +Vcancel-change-group +p23363 +tp23364 +a(g826 +g1044 +tp23365 +a(g412 +g1198 +tp23366 +a(g440 +Vhandle +p23367 +tp23368 +a(g705 +g1064 +tp23369 +a(g705 +g1064 +tp23370 +a(g705 +g1064 +tp23371 +a(g705 +g1064 +tp23372 +a(g705 +g1064 +tp23373 +a(g705 +g1064 +tp23374 +a(g826 +V\u000a\u000a +p23375 +tp23376 +a(g705 +g1040 +tp23377 +a(g681 +Vdefun +p23378 +tp23379 +a(g826 +g1044 +tp23380 +a(g440 +Vprepare-change-group +p23381 +tp23382 +a(g826 +g1044 +tp23383 +a(g705 +g1040 +tp23384 +a(g785 +V&optional +p23385 +tp23386 +a(g826 +g1044 +tp23387 +a(g440 +Vbuffer +p23388 +tp23389 +a(g705 +g1064 +tp23390 +a(g826 +V\u000a +p23391 +tp23392 +a(g89 +g1068 +tp23393 +a(g89 +VReturn a handle for the current buffer's state, for a change group.\u000aIf you specify BUFFER, make a handle for BUFFER's state instead.\u000a\u000aPass the handle to +p23394 +tp23395 +a(g117 +V`activate-change-group' +p23396 +tp23397 +a(g89 +V afterward to initiate\u000athe actual changes of the change group.\u000a\u000aTo finish the change group, call either +p23398 +tp23399 +a(g117 +V`accept-change-group' +p23400 +tp23401 +a(g89 +V or\u000a +p23402 +tp23403 +a(g117 +V`cancel-change-group' +p23404 +tp23405 +a(g89 +V passing the same handle as argument. Call\u000a +p23406 +tp23407 +a(g117 +V`accept-change-group' +p23408 +tp23409 +a(g89 +V to accept the changes in the group as final;\u000acall +p23410 +tp23411 +a(g117 +V`cancel-change-group' +p23412 +tp23413 +a(g89 +V to undo them all. You should use\u000a +p23414 +tp23415 +a(g117 +V`unwind-protect' +p23416 +tp23417 +a(g89 +V to make sure the group is always finished. The call\u000ato +p23418 +tp23419 +a(g117 +V`activate-change-group' +p23420 +tp23421 +a(g89 +V should be inside the +p23422 +tp23423 +a(g117 +V`unwind-protect' +p23424 +tp23425 +a(g89 +V.\u000aOnce you finish the group, don't use the handle again--don't try to\u000afinish the same group twice. For a simple example of correct use, see\u000athe source code of +p23426 +tp23427 +a(g117 +V`atomic-change-group' +p23428 +tp23429 +a(g89 +V.\u000a\u000aThe handle records only the specified buffer. To make a multibuffer\u000achange group, call this function once for each buffer you want to\u000acover, then use +p23430 +tp23431 +a(g117 +V`nconc' +p23432 +tp23433 +a(g89 +V to combine the returned values, like this:\u000a\u000a (nconc (prepare-change-group buffer-1)\u000a (prepare-change-group buffer-2))\u000a\u000aYou can then activate that multibuffer change group with a single\u000acall to +p23434 +tp23435 +a(g117 +V`activate-change-group' +p23436 +tp23437 +a(g89 +V and finish it with a single call\u000ato +p23438 +tp23439 +a(g117 +V`accept-change-group' +p23440 +tp23441 +a(g89 +V or +p23442 +tp23443 +a(g117 +V`cancel-change-group' +p23444 +tp23445 +a(g89 +g3307 +tp23446 +a(g89 +g1068 +tp23447 +a(g826 +V\u000a\u000a +p23448 +tp23449 +a(g705 +g1040 +tp23450 +a(g744 +Vif +p23451 +tp23452 +a(g826 +g1044 +tp23453 +a(g440 +Vbuffer +p23454 +tp23455 +a(g826 +V\u000a +p23456 +tp23457 +a(g705 +g1040 +tp23458 +a(g573 +Vlist +p23459 +tp23460 +a(g826 +g1044 +tp23461 +a(g705 +g1040 +tp23462 +a(g573 +Vcons +p23463 +tp23464 +a(g826 +g1044 +tp23465 +a(g440 +Vbuffer +p23466 +tp23467 +a(g826 +g1044 +tp23468 +a(g705 +g1040 +tp23469 +a(g681 +Vwith-current-buffer +p23470 +tp23471 +a(g826 +g1044 +tp23472 +a(g440 +Vbuffer +p23473 +tp23474 +a(g826 +g1044 +tp23475 +a(g440 +Vbuffer-undo-list +p23476 +tp23477 +a(g705 +g1064 +tp23478 +a(g705 +g1064 +tp23479 +a(g705 +g1064 +tp23480 +a(g826 +V\u000a +p23481 +tp23482 +a(g705 +g1040 +tp23483 +a(g573 +Vlist +p23484 +tp23485 +a(g826 +g1044 +tp23486 +a(g705 +g1040 +tp23487 +a(g573 +Vcons +p23488 +tp23489 +a(g826 +g1044 +tp23490 +a(g705 +g1040 +tp23491 +a(g573 +Vcurrent-buffer +p23492 +tp23493 +a(g705 +g1064 +tp23494 +a(g826 +g1044 +tp23495 +a(g440 +Vbuffer-undo-list +p23496 +tp23497 +a(g705 +g1064 +tp23498 +a(g705 +g1064 +tp23499 +a(g705 +g1064 +tp23500 +a(g705 +g1064 +tp23501 +a(g826 +V\u000a\u000a +p23502 +tp23503 +a(g705 +g1040 +tp23504 +a(g681 +Vdefun +p23505 +tp23506 +a(g826 +g1044 +tp23507 +a(g440 +Vactivate-change-group +p23508 +tp23509 +a(g826 +g1044 +tp23510 +a(g705 +g1040 +tp23511 +a(g440 +Vhandle +p23512 +tp23513 +a(g705 +g1064 +tp23514 +a(g826 +V\u000a +p23515 +tp23516 +a(g89 +g1068 +tp23517 +a(g89 +VActivate a change group made with +p23518 +tp23519 +a(g117 +V`prepare-change-group' +p23520 +tp23521 +a(g89 +V (which see). +p23522 +tp23523 +a(g89 +g1068 +tp23524 +a(g826 +V\u000a +p23525 +tp23526 +a(g705 +g1040 +tp23527 +a(g681 +Vdolist +p23528 +tp23529 +a(g826 +g1044 +tp23530 +a(g705 +g1040 +tp23531 +a(g573 +Velt +p23532 +tp23533 +a(g826 +g1044 +tp23534 +a(g440 +Vhandle +p23535 +tp23536 +a(g705 +g1064 +tp23537 +a(g826 +V\u000a +p23538 +tp23539 +a(g705 +g1040 +tp23540 +a(g681 +Vwith-current-buffer +p23541 +tp23542 +a(g826 +g1044 +tp23543 +a(g705 +g1040 +tp23544 +a(g573 +Vcar +p23545 +tp23546 +a(g826 +g1044 +tp23547 +a(g573 +Velt +p23548 +tp23549 +a(g705 +g1064 +tp23550 +a(g826 +V\u000a +p23551 +tp23552 +a(g705 +g1040 +tp23553 +a(g744 +Vif +p23554 +tp23555 +a(g826 +g1044 +tp23556 +a(g705 +g1040 +tp23557 +a(g573 +Veq +p23558 +tp23559 +a(g826 +g1044 +tp23560 +a(g440 +Vbuffer-undo-list +p23561 +tp23562 +a(g826 +g1044 +tp23563 +a(g565 +g1187 +tp23564 +a(g705 +g1064 +tp23565 +a(g826 +V\u000a +p23566 +tp23567 +a(g705 +g1040 +tp23568 +a(g744 +Vsetq +p23569 +tp23570 +a(g826 +g1044 +tp23571 +a(g440 +Vbuffer-undo-list +p23572 +tp23573 +a(g826 +g1044 +tp23574 +a(g565 +Vnil +p23575 +tp23576 +a(g705 +g1064 +tp23577 +a(g705 +g1064 +tp23578 +a(g705 +g1064 +tp23579 +a(g705 +g1064 +tp23580 +a(g705 +g1064 +tp23581 +a(g826 +V\u000a\u000a +p23582 +tp23583 +a(g705 +g1040 +tp23584 +a(g681 +Vdefun +p23585 +tp23586 +a(g826 +g1044 +tp23587 +a(g440 +Vaccept-change-group +p23588 +tp23589 +a(g826 +g1044 +tp23590 +a(g705 +g1040 +tp23591 +a(g440 +Vhandle +p23592 +tp23593 +a(g705 +g1064 +tp23594 +a(g826 +V\u000a +p23595 +tp23596 +a(g89 +g1068 +tp23597 +a(g89 +VFinish a change group made with +p23598 +tp23599 +a(g117 +V`prepare-change-group' +p23600 +tp23601 +a(g89 +V (which see).\u000aThis finishes the change group by accepting its changes as final. +p23602 +tp23603 +a(g89 +g1068 +tp23604 +a(g826 +V\u000a +p23605 +tp23606 +a(g705 +g1040 +tp23607 +a(g681 +Vdolist +p23608 +tp23609 +a(g826 +g1044 +tp23610 +a(g705 +g1040 +tp23611 +a(g573 +Velt +p23612 +tp23613 +a(g826 +g1044 +tp23614 +a(g440 +Vhandle +p23615 +tp23616 +a(g705 +g1064 +tp23617 +a(g826 +V\u000a +p23618 +tp23619 +a(g705 +g1040 +tp23620 +a(g681 +Vwith-current-buffer +p23621 +tp23622 +a(g826 +g1044 +tp23623 +a(g705 +g1040 +tp23624 +a(g573 +Vcar +p23625 +tp23626 +a(g826 +g1044 +tp23627 +a(g573 +Velt +p23628 +tp23629 +a(g705 +g1064 +tp23630 +a(g826 +V\u000a +p23631 +tp23632 +a(g705 +g1040 +tp23633 +a(g744 +Vif +p23634 +tp23635 +a(g826 +g1044 +tp23636 +a(g705 +g1040 +tp23637 +a(g573 +Veq +p23638 +tp23639 +a(g826 +g1044 +tp23640 +a(g705 +g1040 +tp23641 +a(g573 +Vcdr +p23642 +tp23643 +a(g826 +g1044 +tp23644 +a(g573 +Velt +p23645 +tp23646 +a(g705 +g1064 +tp23647 +a(g826 +g1044 +tp23648 +a(g565 +g1187 +tp23649 +a(g705 +g1064 +tp23650 +a(g826 +V\u000a +p23651 +tp23652 +a(g705 +g1040 +tp23653 +a(g744 +Vsetq +p23654 +tp23655 +a(g826 +g1044 +tp23656 +a(g440 +Vbuffer-undo-list +p23657 +tp23658 +a(g826 +g1044 +tp23659 +a(g565 +g1187 +tp23660 +a(g705 +g1064 +tp23661 +a(g705 +g1064 +tp23662 +a(g705 +g1064 +tp23663 +a(g705 +g1064 +tp23664 +a(g705 +g1064 +tp23665 +a(g826 +V\u000a\u000a +p23666 +tp23667 +a(g705 +g1040 +tp23668 +a(g681 +Vdefun +p23669 +tp23670 +a(g826 +g1044 +tp23671 +a(g440 +Vcancel-change-group +p23672 +tp23673 +a(g826 +g1044 +tp23674 +a(g705 +g1040 +tp23675 +a(g440 +Vhandle +p23676 +tp23677 +a(g705 +g1064 +tp23678 +a(g826 +V\u000a +p23679 +tp23680 +a(g89 +g1068 +tp23681 +a(g89 +VFinish a change group made with +p23682 +tp23683 +a(g117 +V`prepare-change-group' +p23684 +tp23685 +a(g89 +V (which see).\u000aThis finishes the change group by reverting all of its changes. +p23686 +tp23687 +a(g89 +g1068 +tp23688 +a(g826 +V\u000a +p23689 +tp23690 +a(g705 +g1040 +tp23691 +a(g681 +Vdolist +p23692 +tp23693 +a(g826 +g1044 +tp23694 +a(g705 +g1040 +tp23695 +a(g573 +Velt +p23696 +tp23697 +a(g826 +g1044 +tp23698 +a(g440 +Vhandle +p23699 +tp23700 +a(g705 +g1064 +tp23701 +a(g826 +V\u000a +p23702 +tp23703 +a(g705 +g1040 +tp23704 +a(g681 +Vwith-current-buffer +p23705 +tp23706 +a(g826 +g1044 +tp23707 +a(g705 +g1040 +tp23708 +a(g573 +Vcar +p23709 +tp23710 +a(g826 +g1044 +tp23711 +a(g573 +Velt +p23712 +tp23713 +a(g705 +g1064 +tp23714 +a(g826 +V\u000a +p23715 +tp23716 +a(g705 +g1040 +tp23717 +a(g744 +Vsetq +p23718 +tp23719 +a(g826 +g1044 +tp23720 +a(g573 +Velt +p23721 +tp23722 +a(g826 +g1044 +tp23723 +a(g705 +g1040 +tp23724 +a(g573 +Vcdr +p23725 +tp23726 +a(g826 +g1044 +tp23727 +a(g573 +Velt +p23728 +tp23729 +a(g705 +g1064 +tp23730 +a(g705 +g1064 +tp23731 +a(g826 +V\u000a +p23732 +tp23733 +a(g705 +g1040 +tp23734 +a(g744 +Vsave-restriction +p23735 +tp23736 +a(g826 +V\u000a +p23737 +tp23738 +a(g8 +V;; Widen buffer temporarily so if the buffer was narrowed within +p23739 +tp23740 +a(g826 +V\u000a +p23741 +tp23742 +a(g8 +V;; the body of `atomic-change-group' all changes can be undone. +p23743 +tp23744 +a(g826 +V\u000a +p23745 +tp23746 +a(g705 +g1040 +tp23747 +a(g573 +Vwiden +p23748 +tp23749 +a(g705 +g1064 +tp23750 +a(g826 +V\u000a +p23751 +tp23752 +a(g705 +g1040 +tp23753 +a(g744 +Vlet +p23754 +tp23755 +a(g826 +g1044 +tp23756 +a(g705 +g1040 +tp23757 +a(g705 +g1040 +tp23758 +a(g440 +Vold-car +p23759 +tp23760 +a(g826 +V\u000a +p23761 +tp23762 +a(g705 +g1040 +tp23763 +a(g744 +Vif +p23764 +tp23765 +a(g826 +g1044 +tp23766 +a(g705 +g1040 +tp23767 +a(g573 +Vconsp +p23768 +tp23769 +a(g826 +g1044 +tp23770 +a(g573 +Velt +p23771 +tp23772 +a(g705 +g1064 +tp23773 +a(g826 +g1044 +tp23774 +a(g705 +g1040 +tp23775 +a(g573 +Vcar +p23776 +tp23777 +a(g826 +g1044 +tp23778 +a(g573 +Velt +p23779 +tp23780 +a(g705 +g1064 +tp23781 +a(g705 +g1064 +tp23782 +a(g705 +g1064 +tp23783 +a(g826 +V\u000a +p23784 +tp23785 +a(g705 +g1040 +tp23786 +a(g440 +Vold-cdr +p23787 +tp23788 +a(g826 +V\u000a +p23789 +tp23790 +a(g705 +g1040 +tp23791 +a(g744 +Vif +p23792 +tp23793 +a(g826 +g1044 +tp23794 +a(g705 +g1040 +tp23795 +a(g573 +Vconsp +p23796 +tp23797 +a(g826 +g1044 +tp23798 +a(g573 +Velt +p23799 +tp23800 +a(g705 +g1064 +tp23801 +a(g826 +g1044 +tp23802 +a(g705 +g1040 +tp23803 +a(g573 +Vcdr +p23804 +tp23805 +a(g826 +g1044 +tp23806 +a(g573 +Velt +p23807 +tp23808 +a(g705 +g1064 +tp23809 +a(g705 +g1064 +tp23810 +a(g705 +g1064 +tp23811 +a(g705 +g1064 +tp23812 +a(g826 +V\u000a +p23813 +tp23814 +a(g8 +V;; Temporarily truncate the undo log at ELT. +p23815 +tp23816 +a(g826 +V\u000a +p23817 +tp23818 +a(g705 +g1040 +tp23819 +a(g681 +Vwhen +p23820 +tp23821 +a(g826 +g1044 +tp23822 +a(g705 +g1040 +tp23823 +a(g573 +Vconsp +p23824 +tp23825 +a(g826 +g1044 +tp23826 +a(g573 +Velt +p23827 +tp23828 +a(g705 +g1064 +tp23829 +a(g826 +V\u000a +p23830 +tp23831 +a(g705 +g1040 +tp23832 +a(g573 +Vsetcar +p23833 +tp23834 +a(g826 +g1044 +tp23835 +a(g573 +Velt +p23836 +tp23837 +a(g826 +g1044 +tp23838 +a(g565 +Vnil +p23839 +tp23840 +a(g705 +g1064 +tp23841 +a(g826 +g1044 +tp23842 +a(g705 +g1040 +tp23843 +a(g573 +Vsetcdr +p23844 +tp23845 +a(g826 +g1044 +tp23846 +a(g573 +Velt +p23847 +tp23848 +a(g826 +g1044 +tp23849 +a(g565 +Vnil +p23850 +tp23851 +a(g705 +g1064 +tp23852 +a(g705 +g1064 +tp23853 +a(g826 +V\u000a +p23854 +tp23855 +a(g705 +g1040 +tp23856 +a(g681 +Vunless +p23857 +tp23858 +a(g826 +g1044 +tp23859 +a(g705 +g1040 +tp23860 +a(g573 +Veq +p23861 +tp23862 +a(g826 +g1044 +tp23863 +a(g440 +Vlast-command +p23864 +tp23865 +a(g826 +g1044 +tp23866 +a(g117 +V'undo +p23867 +tp23868 +a(g705 +g1064 +tp23869 +a(g826 +g1044 +tp23870 +a(g705 +g1040 +tp23871 +a(g440 +Vundo-start +p23872 +tp23873 +a(g705 +g1064 +tp23874 +a(g705 +g1064 +tp23875 +a(g826 +V\u000a +p23876 +tp23877 +a(g8 +V;; Make sure there's no confusion. +p23878 +tp23879 +a(g826 +V\u000a +p23880 +tp23881 +a(g705 +g1040 +tp23882 +a(g681 +Vwhen +p23883 +tp23884 +a(g826 +g1044 +tp23885 +a(g705 +g1040 +tp23886 +a(g744 +Vand +p23887 +tp23888 +a(g826 +g1044 +tp23889 +a(g705 +g1040 +tp23890 +a(g573 +Vconsp +p23891 +tp23892 +a(g826 +g1044 +tp23893 +a(g573 +Velt +p23894 +tp23895 +a(g705 +g1064 +tp23896 +a(g826 +g1044 +tp23897 +a(g705 +g1040 +tp23898 +a(g440 +Vnot +p23899 +tp23900 +a(g826 +g1044 +tp23901 +a(g705 +g1040 +tp23902 +a(g573 +Veq +p23903 +tp23904 +a(g826 +g1044 +tp23905 +a(g573 +Velt +p23906 +tp23907 +a(g826 +g1044 +tp23908 +a(g705 +g1040 +tp23909 +a(g440 +Vlast +p23910 +tp23911 +a(g826 +g1044 +tp23912 +a(g440 +Vpending-undo-list +p23913 +tp23914 +a(g705 +g1064 +tp23915 +a(g705 +g1064 +tp23916 +a(g705 +g1064 +tp23917 +a(g705 +g1064 +tp23918 +a(g826 +V\u000a +p23919 +tp23920 +a(g705 +g1040 +tp23921 +a(g612 +Verror +p23922 +tp23923 +a(g826 +g1044 +tp23924 +a(g89 +g1068 +tp23925 +a(g89 +VUndoing to some unrelated state +p23926 +tp23927 +a(g89 +g1068 +tp23928 +a(g705 +g1064 +tp23929 +a(g705 +g1064 +tp23930 +a(g826 +V\u000a +p23931 +tp23932 +a(g8 +V;; Undo it all. +p23933 +tp23934 +a(g826 +V\u000a +p23935 +tp23936 +a(g705 +g1040 +tp23937 +a(g744 +Vsave-excursion +p23938 +tp23939 +a(g826 +V\u000a +p23940 +tp23941 +a(g705 +g1040 +tp23942 +a(g744 +Vwhile +p23943 +tp23944 +a(g826 +g1044 +tp23945 +a(g705 +g1040 +tp23946 +a(g573 +Vlistp +p23947 +tp23948 +a(g826 +g1044 +tp23949 +a(g440 +Vpending-undo-list +p23950 +tp23951 +a(g705 +g1064 +tp23952 +a(g826 +g1044 +tp23953 +a(g705 +g1040 +tp23954 +a(g440 +Vundo-more +p23955 +tp23956 +a(g826 +g1044 +tp23957 +a(g37 +g2048 +tp23958 +a(g705 +g1064 +tp23959 +a(g705 +g1064 +tp23960 +a(g705 +g1064 +tp23961 +a(g826 +V\u000a +p23962 +tp23963 +a(g8 +V;; Reset the modified cons cell ELT to its original content. +p23964 +tp23965 +a(g826 +V\u000a +p23966 +tp23967 +a(g705 +g1040 +tp23968 +a(g681 +Vwhen +p23969 +tp23970 +a(g826 +g1044 +tp23971 +a(g705 +g1040 +tp23972 +a(g573 +Vconsp +p23973 +tp23974 +a(g826 +g1044 +tp23975 +a(g573 +Velt +p23976 +tp23977 +a(g705 +g1064 +tp23978 +a(g826 +V\u000a +p23979 +tp23980 +a(g705 +g1040 +tp23981 +a(g573 +Vsetcar +p23982 +tp23983 +a(g826 +g1044 +tp23984 +a(g573 +Velt +p23985 +tp23986 +a(g826 +g1044 +tp23987 +a(g440 +Vold-car +p23988 +tp23989 +a(g705 +g1064 +tp23990 +a(g826 +V\u000a +p23991 +tp23992 +a(g705 +g1040 +tp23993 +a(g573 +Vsetcdr +p23994 +tp23995 +a(g826 +g1044 +tp23996 +a(g573 +Velt +p23997 +tp23998 +a(g826 +g1044 +tp23999 +a(g440 +Vold-cdr +p24000 +tp24001 +a(g705 +g1064 +tp24002 +a(g705 +g1064 +tp24003 +a(g826 +V\u000a +p24004 +tp24005 +a(g8 +V;; Revert the undo info to what it was when we grabbed the state. +p24006 +tp24007 +a(g826 +V\u000a +p24008 +tp24009 +a(g705 +g1040 +tp24010 +a(g744 +Vsetq +p24011 +tp24012 +a(g826 +g1044 +tp24013 +a(g440 +Vbuffer-undo-list +p24014 +tp24015 +a(g826 +g1044 +tp24016 +a(g573 +Velt +p24017 +tp24018 +a(g705 +g1064 +tp24019 +a(g705 +g1064 +tp24020 +a(g705 +g1064 +tp24021 +a(g705 +g1064 +tp24022 +a(g705 +g1064 +tp24023 +a(g705 +g1064 +tp24024 +a(g826 +V\u000a \u000a +p24025 +tp24026 +a(g8 +V;;;; Display-related functions. +p24027 +tp24028 +a(g826 +V\u000a\u000a +p24029 +tp24030 +a(g8 +V;; For compatibility. +p24031 +tp24032 +a(g826 +V\u000a +p24033 +tp24034 +a(g705 +g1040 +tp24035 +a(g681 +Vdefine-obsolete-function-alias +p24036 +tp24037 +a(g826 +g1044 +tp24038 +a(g117 +V'redraw-modeline +p24039 +tp24040 +a(g826 +V\u000a +p24041 +tp24042 +a(g117 +V'force-mode-line-update +p24043 +tp24044 +a(g826 +g1044 +tp24045 +a(g89 +g1068 +tp24046 +a(g89 +V24.3 +p24047 +tp24048 +a(g89 +g1068 +tp24049 +a(g705 +g1064 +tp24050 +a(g826 +V\u000a\u000a +p24051 +tp24052 +a(g705 +g1040 +tp24053 +a(g681 +Vdefun +p24054 +tp24055 +a(g826 +g1044 +tp24056 +a(g440 +Vmomentary-string-display +p24057 +tp24058 +a(g826 +g1044 +tp24059 +a(g705 +g1040 +tp24060 +a(g573 +Vstring +p24061 +tp24062 +a(g826 +g1044 +tp24063 +a(g440 +Vpos +p24064 +tp24065 +a(g826 +g1044 +tp24066 +a(g785 +V&optional +p24067 +tp24068 +a(g826 +g1044 +tp24069 +a(g440 +Vexit-char +p24070 +tp24071 +a(g826 +g1044 +tp24072 +a(g573 +Vmessage +p24073 +tp24074 +a(g705 +g1064 +tp24075 +a(g826 +V\u000a +p24076 +tp24077 +a(g89 +g1068 +tp24078 +a(g89 +VMomentarily display STRING in the buffer at POS.\u000aDisplay remains until next event is input.\u000aIf POS is a marker, only its position is used; its buffer is ignored.\u000aOptional third arg EXIT-CHAR can be a character, event or event\u000adescription list. EXIT-CHAR defaults to SPC. If the input is\u000aEXIT-CHAR it is swallowed; otherwise it is then available as\u000ainput (as a command if nothing else).\u000aDisplay MESSAGE (optional fourth arg) in the echo area.\u000aIf MESSAGE is nil, instructions to type EXIT-CHAR are displayed there. +p24079 +tp24080 +a(g89 +g1068 +tp24081 +a(g826 +V\u000a +p24082 +tp24083 +a(g705 +g1040 +tp24084 +a(g744 +Vor +p24085 +tp24086 +a(g826 +g1044 +tp24087 +a(g440 +Vexit-char +p24088 +tp24089 +a(g826 +g1044 +tp24090 +a(g705 +g1040 +tp24091 +a(g744 +Vsetq +p24092 +tp24093 +a(g826 +g1044 +tp24094 +a(g440 +Vexit-char +p24095 +tp24096 +a(g826 +g1044 +tp24097 +a(g93 +V?\u005cs +p24098 +tp24099 +a(g705 +g1064 +tp24100 +a(g705 +g1064 +tp24101 +a(g826 +V\u000a +p24102 +tp24103 +a(g705 +g1040 +tp24104 +a(g744 +Vlet +p24105 +tp24106 +a(g826 +g1044 +tp24107 +a(g705 +g1040 +tp24108 +a(g705 +g1040 +tp24109 +a(g440 +Vol +p24110 +tp24111 +a(g826 +g1044 +tp24112 +a(g705 +g1040 +tp24113 +a(g573 +Vmake-overlay +p24114 +tp24115 +a(g826 +g1044 +tp24116 +a(g440 +Vpos +p24117 +tp24118 +a(g826 +g1044 +tp24119 +a(g440 +Vpos +p24120 +tp24121 +a(g705 +g1064 +tp24122 +a(g705 +g1064 +tp24123 +a(g826 +V\u000a +p24124 +tp24125 +a(g705 +g1040 +tp24126 +a(g440 +Vstr +p24127 +tp24128 +a(g826 +g1044 +tp24129 +a(g705 +g1040 +tp24130 +a(g573 +Vcopy-sequence +p24131 +tp24132 +a(g826 +g1044 +tp24133 +a(g573 +Vstring +p24134 +tp24135 +a(g705 +g1064 +tp24136 +a(g705 +g1064 +tp24137 +a(g705 +g1064 +tp24138 +a(g826 +V\u000a +p24139 +tp24140 +a(g705 +g1040 +tp24141 +a(g744 +Vunwind-protect +p24142 +tp24143 +a(g826 +V\u000a +p24144 +tp24145 +a(g705 +g1040 +tp24146 +a(g744 +Vprogn +p24147 +tp24148 +a(g826 +V\u000a +p24149 +tp24150 +a(g705 +g1040 +tp24151 +a(g744 +Vsave-excursion +p24152 +tp24153 +a(g826 +V\u000a +p24154 +tp24155 +a(g705 +g1040 +tp24156 +a(g573 +Voverlay-put +p24157 +tp24158 +a(g826 +g1044 +tp24159 +a(g440 +Vol +p24160 +tp24161 +a(g826 +g1044 +tp24162 +a(g117 +V'after-string +p24163 +tp24164 +a(g826 +g1044 +tp24165 +a(g440 +Vstr +p24166 +tp24167 +a(g705 +g1064 +tp24168 +a(g826 +V\u000a +p24169 +tp24170 +a(g705 +g1040 +tp24171 +a(g573 +Vgoto-char +p24172 +tp24173 +a(g826 +g1044 +tp24174 +a(g440 +Vpos +p24175 +tp24176 +a(g705 +g1064 +tp24177 +a(g826 +V\u000a +p24178 +tp24179 +a(g8 +V;; To avoid trouble with out-of-bounds position +p24180 +tp24181 +a(g826 +V\u000a +p24182 +tp24183 +a(g705 +g1040 +tp24184 +a(g744 +Vsetq +p24185 +tp24186 +a(g826 +g1044 +tp24187 +a(g440 +Vpos +p24188 +tp24189 +a(g826 +g1044 +tp24190 +a(g705 +g1040 +tp24191 +a(g573 +Vpoint +p24192 +tp24193 +a(g705 +g1064 +tp24194 +a(g705 +g1064 +tp24195 +a(g826 +V\u000a +p24196 +tp24197 +a(g8 +V;; If the string end is off screen, recenter now. +p24198 +tp24199 +a(g826 +V\u000a +p24200 +tp24201 +a(g705 +g1040 +tp24202 +a(g744 +Vif +p24203 +tp24204 +a(g826 +g1044 +tp24205 +a(g705 +g1040 +tp24206 +a(g573 +V<= +p24207 +tp24208 +a(g826 +g1044 +tp24209 +a(g705 +g1040 +tp24210 +a(g573 +Vwindow-end +p24211 +tp24212 +a(g826 +g1044 +tp24213 +a(g565 +Vnil +p24214 +tp24215 +a(g826 +g1044 +tp24216 +a(g565 +g1187 +tp24217 +a(g705 +g1064 +tp24218 +a(g826 +g1044 +tp24219 +a(g440 +Vpos +p24220 +tp24221 +a(g705 +g1064 +tp24222 +a(g826 +V\u000a +p24223 +tp24224 +a(g705 +g1040 +tp24225 +a(g573 +Vrecenter +p24226 +tp24227 +a(g826 +g1044 +tp24228 +a(g705 +g1040 +tp24229 +a(g573 +g12106 +tp24230 +a(g826 +g1044 +tp24231 +a(g705 +g1040 +tp24232 +a(g440 +Vwindow-height +p24233 +tp24234 +a(g705 +g1064 +tp24235 +a(g826 +g1044 +tp24236 +a(g37 +g1370 +tp24237 +a(g705 +g1064 +tp24238 +a(g705 +g1064 +tp24239 +a(g705 +g1064 +tp24240 +a(g705 +g1064 +tp24241 +a(g826 +V\u000a +p24242 +tp24243 +a(g705 +g1040 +tp24244 +a(g573 +Vmessage +p24245 +tp24246 +a(g826 +g1044 +tp24247 +a(g705 +g1040 +tp24248 +a(g744 +Vor +p24249 +tp24250 +a(g826 +g1044 +tp24251 +a(g573 +Vmessage +p24252 +tp24253 +a(g826 +g1044 +tp24254 +a(g89 +g1068 +tp24255 +a(g89 +VType %s to continue editing. +p24256 +tp24257 +a(g89 +g1068 +tp24258 +a(g705 +g1064 +tp24259 +a(g826 +V\u000a +p24260 +tp24261 +a(g705 +g1040 +tp24262 +a(g573 +Vsingle-key-description +p24263 +tp24264 +a(g826 +g1044 +tp24265 +a(g440 +Vexit-char +p24266 +tp24267 +a(g705 +g1064 +tp24268 +a(g705 +g1064 +tp24269 +a(g826 +V\u000a +p24270 +tp24271 +a(g705 +g1040 +tp24272 +a(g744 +Vlet +p24273 +tp24274 +a(g826 +g1044 +tp24275 +a(g705 +g1040 +tp24276 +a(g705 +g1040 +tp24277 +a(g440 +Vevent +p24278 +tp24279 +a(g826 +g1044 +tp24280 +a(g705 +g1040 +tp24281 +a(g440 +Vread-key +p24282 +tp24283 +a(g705 +g1064 +tp24284 +a(g705 +g1064 +tp24285 +a(g705 +g1064 +tp24286 +a(g826 +V\u000a +p24287 +tp24288 +a(g8 +V;; `exit-char' can be an event, or an event description list. +p24289 +tp24290 +a(g826 +V\u000a +p24291 +tp24292 +a(g705 +g1040 +tp24293 +a(g744 +Vor +p24294 +tp24295 +a(g826 +g1044 +tp24296 +a(g705 +g1040 +tp24297 +a(g573 +Veq +p24298 +tp24299 +a(g826 +g1044 +tp24300 +a(g440 +Vevent +p24301 +tp24302 +a(g826 +g1044 +tp24303 +a(g440 +Vexit-char +p24304 +tp24305 +a(g705 +g1064 +tp24306 +a(g826 +V\u000a +p24307 +tp24308 +a(g705 +g1040 +tp24309 +a(g573 +Veq +p24310 +tp24311 +a(g826 +g1044 +tp24312 +a(g440 +Vevent +p24313 +tp24314 +a(g826 +g1044 +tp24315 +a(g705 +g1040 +tp24316 +a(g573 +Vevent-convert-list +p24317 +tp24318 +a(g826 +g1044 +tp24319 +a(g440 +Vexit-char +p24320 +tp24321 +a(g705 +g1064 +tp24322 +a(g705 +g1064 +tp24323 +a(g826 +V\u000a +p24324 +tp24325 +a(g705 +g1040 +tp24326 +a(g744 +Vsetq +p24327 +tp24328 +a(g826 +g1044 +tp24329 +a(g440 +Vunread-command-events +p24330 +tp24331 +a(g826 +V\u000a +p24332 +tp24333 +a(g705 +g1040 +tp24334 +a(g573 +Vappend +p24335 +tp24336 +a(g826 +g1044 +tp24337 +a(g705 +g1040 +tp24338 +a(g573 +Vthis-single-command-raw-keys +p24339 +tp24340 +a(g705 +g1064 +tp24341 +a(g705 +g1064 +tp24342 +a(g705 +g1064 +tp24343 +a(g705 +g1064 +tp24344 +a(g705 +g1064 +tp24345 +a(g705 +g1064 +tp24346 +a(g826 +V\u000a +p24347 +tp24348 +a(g705 +g1040 +tp24349 +a(g573 +Vdelete-overlay +p24350 +tp24351 +a(g826 +g1044 +tp24352 +a(g440 +Vol +p24353 +tp24354 +a(g705 +g1064 +tp24355 +a(g705 +g1064 +tp24356 +a(g705 +g1064 +tp24357 +a(g705 +g1064 +tp24358 +a(g826 +V\u000a\u000a \u000a +p24359 +tp24360 +a(g8 +V;;;; Overlay operations +p24361 +tp24362 +a(g826 +V\u000a\u000a +p24363 +tp24364 +a(g705 +g1040 +tp24365 +a(g681 +Vdefun +p24366 +tp24367 +a(g826 +g1044 +tp24368 +a(g440 +Vcopy-overlay +p24369 +tp24370 +a(g826 +g1044 +tp24371 +a(g705 +g1040 +tp24372 +a(g440 +Vo +p24373 +tp24374 +a(g705 +g1064 +tp24375 +a(g826 +V\u000a +p24376 +tp24377 +a(g89 +g1068 +tp24378 +a(g89 +VReturn a copy of overlay O. +p24379 +tp24380 +a(g89 +g1068 +tp24381 +a(g826 +V\u000a +p24382 +tp24383 +a(g705 +g1040 +tp24384 +a(g744 +Vlet +p24385 +tp24386 +a(g826 +g1044 +tp24387 +a(g705 +g1040 +tp24388 +a(g705 +g1040 +tp24389 +a(g440 +Vo1 +p24390 +tp24391 +a(g826 +g1044 +tp24392 +a(g705 +g1040 +tp24393 +a(g744 +Vif +p24394 +tp24395 +a(g826 +g1044 +tp24396 +a(g705 +g1040 +tp24397 +a(g573 +Voverlay-buffer +p24398 +tp24399 +a(g826 +g1044 +tp24400 +a(g440 +g24373 +tp24401 +a(g705 +g1064 +tp24402 +a(g826 +V\u000a +p24403 +tp24404 +a(g705 +g1040 +tp24405 +a(g573 +Vmake-overlay +p24406 +tp24407 +a(g826 +g1044 +tp24408 +a(g705 +g1040 +tp24409 +a(g573 +Voverlay-start +p24410 +tp24411 +a(g826 +g1044 +tp24412 +a(g440 +g24373 +tp24413 +a(g705 +g1064 +tp24414 +a(g826 +g1044 +tp24415 +a(g705 +g1040 +tp24416 +a(g573 +Voverlay-end +p24417 +tp24418 +a(g826 +g1044 +tp24419 +a(g440 +g24373 +tp24420 +a(g705 +g1064 +tp24421 +a(g826 +V\u000a +p24422 +tp24423 +a(g8 +V;; FIXME: there's no easy way to find the +p24424 +tp24425 +a(g826 +V\u000a +p24426 +tp24427 +a(g8 +V;; insertion-type of the two markers. +p24428 +tp24429 +a(g826 +V\u000a +p24430 +tp24431 +a(g705 +g1040 +tp24432 +a(g573 +Voverlay-buffer +p24433 +tp24434 +a(g826 +g1044 +tp24435 +a(g440 +g24373 +tp24436 +a(g705 +g1064 +tp24437 +a(g705 +g1064 +tp24438 +a(g826 +V\u000a +p24439 +tp24440 +a(g705 +g1040 +tp24441 +a(g744 +Vlet +p24442 +tp24443 +a(g826 +g1044 +tp24444 +a(g705 +g1040 +tp24445 +a(g705 +g1040 +tp24446 +a(g440 +Vo1 +p24447 +tp24448 +a(g826 +g1044 +tp24449 +a(g705 +g1040 +tp24450 +a(g573 +Vmake-overlay +p24451 +tp24452 +a(g826 +g1044 +tp24453 +a(g705 +g1040 +tp24454 +a(g573 +Vpoint-min +p24455 +tp24456 +a(g705 +g1064 +tp24457 +a(g826 +g1044 +tp24458 +a(g705 +g1040 +tp24459 +a(g573 +Vpoint-min +p24460 +tp24461 +a(g705 +g1064 +tp24462 +a(g705 +g1064 +tp24463 +a(g705 +g1064 +tp24464 +a(g705 +g1064 +tp24465 +a(g826 +V\u000a +p24466 +tp24467 +a(g705 +g1040 +tp24468 +a(g573 +Vdelete-overlay +p24469 +tp24470 +a(g826 +g1044 +tp24471 +a(g440 +Vo1 +p24472 +tp24473 +a(g705 +g1064 +tp24474 +a(g826 +V\u000a +p24475 +tp24476 +a(g440 +Vo1 +p24477 +tp24478 +a(g705 +g1064 +tp24479 +a(g705 +g1064 +tp24480 +a(g705 +g1064 +tp24481 +a(g826 +V\u000a +p24482 +tp24483 +a(g705 +g1040 +tp24484 +a(g440 +Vprops +p24485 +tp24486 +a(g826 +g1044 +tp24487 +a(g705 +g1040 +tp24488 +a(g573 +Voverlay-properties +p24489 +tp24490 +a(g826 +g1044 +tp24491 +a(g440 +g24373 +tp24492 +a(g705 +g1064 +tp24493 +a(g705 +g1064 +tp24494 +a(g705 +g1064 +tp24495 +a(g826 +V\u000a +p24496 +tp24497 +a(g705 +g1040 +tp24498 +a(g744 +Vwhile +p24499 +tp24500 +a(g826 +g1044 +tp24501 +a(g440 +Vprops +p24502 +tp24503 +a(g826 +V\u000a +p24504 +tp24505 +a(g705 +g1040 +tp24506 +a(g573 +Voverlay-put +p24507 +tp24508 +a(g826 +g1044 +tp24509 +a(g440 +Vo1 +p24510 +tp24511 +a(g826 +g1044 +tp24512 +a(g705 +g1040 +tp24513 +a(g681 +Vpop +p24514 +tp24515 +a(g826 +g1044 +tp24516 +a(g440 +Vprops +p24517 +tp24518 +a(g705 +g1064 +tp24519 +a(g826 +g1044 +tp24520 +a(g705 +g1040 +tp24521 +a(g681 +Vpop +p24522 +tp24523 +a(g826 +g1044 +tp24524 +a(g440 +Vprops +p24525 +tp24526 +a(g705 +g1064 +tp24527 +a(g705 +g1064 +tp24528 +a(g705 +g1064 +tp24529 +a(g826 +V\u000a +p24530 +tp24531 +a(g440 +Vo1 +p24532 +tp24533 +a(g705 +g1064 +tp24534 +a(g705 +g1064 +tp24535 +a(g826 +V\u000a\u000a +p24536 +tp24537 +a(g705 +g1040 +tp24538 +a(g681 +Vdefun +p24539 +tp24540 +a(g826 +g1044 +tp24541 +a(g440 +Vremove-overlays +p24542 +tp24543 +a(g826 +g1044 +tp24544 +a(g705 +g1040 +tp24545 +a(g785 +V&optional +p24546 +tp24547 +a(g826 +g1044 +tp24548 +a(g440 +Vbeg +p24549 +tp24550 +a(g826 +g1044 +tp24551 +a(g440 +Vend +p24552 +tp24553 +a(g826 +g1044 +tp24554 +a(g440 +Vname +p24555 +tp24556 +a(g826 +g1044 +tp24557 +a(g440 +Vval +p24558 +tp24559 +a(g705 +g1064 +tp24560 +a(g826 +V\u000a +p24561 +tp24562 +a(g89 +g1068 +tp24563 +a(g89 +VClear BEG and END of overlays whose property NAME has value VAL.\u000aOverlays might be moved and/or split.\u000aBEG and END default respectively to the beginning and end of buffer. +p24564 +tp24565 +a(g89 +g1068 +tp24566 +a(g826 +V\u000a +p24567 +tp24568 +a(g8 +V;; This speeds up the loops over overlays. +p24569 +tp24570 +a(g826 +V\u000a +p24571 +tp24572 +a(g705 +g1040 +tp24573 +a(g681 +Vunless +p24574 +tp24575 +a(g826 +g1044 +tp24576 +a(g440 +Vbeg +p24577 +tp24578 +a(g826 +g1044 +tp24579 +a(g705 +g1040 +tp24580 +a(g744 +Vsetq +p24581 +tp24582 +a(g826 +g1044 +tp24583 +a(g440 +Vbeg +p24584 +tp24585 +a(g826 +g1044 +tp24586 +a(g705 +g1040 +tp24587 +a(g573 +Vpoint-min +p24588 +tp24589 +a(g705 +g1064 +tp24590 +a(g705 +g1064 +tp24591 +a(g705 +g1064 +tp24592 +a(g826 +V\u000a +p24593 +tp24594 +a(g705 +g1040 +tp24595 +a(g681 +Vunless +p24596 +tp24597 +a(g826 +g1044 +tp24598 +a(g440 +Vend +p24599 +tp24600 +a(g826 +g1044 +tp24601 +a(g705 +g1040 +tp24602 +a(g744 +Vsetq +p24603 +tp24604 +a(g826 +g1044 +tp24605 +a(g440 +Vend +p24606 +tp24607 +a(g826 +g1044 +tp24608 +a(g705 +g1040 +tp24609 +a(g573 +Vpoint-max +p24610 +tp24611 +a(g705 +g1064 +tp24612 +a(g705 +g1064 +tp24613 +a(g705 +g1064 +tp24614 +a(g826 +V\u000a +p24615 +tp24616 +a(g705 +g1040 +tp24617 +a(g573 +Voverlay-recenter +p24618 +tp24619 +a(g826 +g1044 +tp24620 +a(g440 +Vend +p24621 +tp24622 +a(g705 +g1064 +tp24623 +a(g826 +V\u000a +p24624 +tp24625 +a(g705 +g1040 +tp24626 +a(g744 +Vif +p24627 +tp24628 +a(g826 +g1044 +tp24629 +a(g705 +g1040 +tp24630 +a(g573 +g2719 +tp24631 +a(g826 +g1044 +tp24632 +a(g440 +Vend +p24633 +tp24634 +a(g826 +g1044 +tp24635 +a(g440 +Vbeg +p24636 +tp24637 +a(g705 +g1064 +tp24638 +a(g826 +V\u000a +p24639 +tp24640 +a(g705 +g1040 +tp24641 +a(g744 +Vsetq +p24642 +tp24643 +a(g826 +g1044 +tp24644 +a(g440 +Vbeg +p24645 +tp24646 +a(g826 +g1044 +tp24647 +a(g705 +g1040 +tp24648 +a(g744 +Vprog1 +p24649 +tp24650 +a(g826 +g1044 +tp24651 +a(g440 +Vend +p24652 +tp24653 +a(g826 +g1044 +tp24654 +a(g705 +g1040 +tp24655 +a(g744 +Vsetq +p24656 +tp24657 +a(g826 +g1044 +tp24658 +a(g440 +Vend +p24659 +tp24660 +a(g826 +g1044 +tp24661 +a(g440 +Vbeg +p24662 +tp24663 +a(g705 +g1064 +tp24664 +a(g705 +g1064 +tp24665 +a(g705 +g1064 +tp24666 +a(g705 +g1064 +tp24667 +a(g826 +V\u000a +p24668 +tp24669 +a(g705 +g1040 +tp24670 +a(g744 +Vsave-excursion +p24671 +tp24672 +a(g826 +V\u000a +p24673 +tp24674 +a(g705 +g1040 +tp24675 +a(g681 +Vdolist +p24676 +tp24677 +a(g826 +g1044 +tp24678 +a(g705 +g1040 +tp24679 +a(g440 +g24373 +tp24680 +a(g826 +g1044 +tp24681 +a(g705 +g1040 +tp24682 +a(g573 +Voverlays-in +p24683 +tp24684 +a(g826 +g1044 +tp24685 +a(g440 +Vbeg +p24686 +tp24687 +a(g826 +g1044 +tp24688 +a(g440 +Vend +p24689 +tp24690 +a(g705 +g1064 +tp24691 +a(g705 +g1064 +tp24692 +a(g826 +V\u000a +p24693 +tp24694 +a(g705 +g1040 +tp24695 +a(g681 +Vwhen +p24696 +tp24697 +a(g826 +g1044 +tp24698 +a(g705 +g1040 +tp24699 +a(g573 +Veq +p24700 +tp24701 +a(g826 +g1044 +tp24702 +a(g705 +g1040 +tp24703 +a(g573 +Voverlay-get +p24704 +tp24705 +a(g826 +g1044 +tp24706 +a(g440 +g24373 +tp24707 +a(g826 +g1044 +tp24708 +a(g440 +Vname +p24709 +tp24710 +a(g705 +g1064 +tp24711 +a(g826 +g1044 +tp24712 +a(g440 +Vval +p24713 +tp24714 +a(g705 +g1064 +tp24715 +a(g826 +V\u000a +p24716 +tp24717 +a(g8 +V;; Either push this overlay outside beg...end +p24718 +tp24719 +a(g826 +V\u000a +p24720 +tp24721 +a(g8 +V;; or split it to exclude beg...end +p24722 +tp24723 +a(g826 +V\u000a +p24724 +tp24725 +a(g8 +V;; or delete it entirely (if it is contained in beg...end). +p24726 +tp24727 +a(g826 +V\u000a +p24728 +tp24729 +a(g705 +g1040 +tp24730 +a(g744 +Vif +p24731 +tp24732 +a(g826 +g1044 +tp24733 +a(g705 +g1040 +tp24734 +a(g573 +g2719 +tp24735 +a(g826 +g1044 +tp24736 +a(g705 +g1040 +tp24737 +a(g573 +Voverlay-start +p24738 +tp24739 +a(g826 +g1044 +tp24740 +a(g440 +g24373 +tp24741 +a(g705 +g1064 +tp24742 +a(g826 +g1044 +tp24743 +a(g440 +Vbeg +p24744 +tp24745 +a(g705 +g1064 +tp24746 +a(g826 +V\u000a +p24747 +tp24748 +a(g705 +g1040 +tp24749 +a(g744 +Vif +p24750 +tp24751 +a(g826 +g1044 +tp24752 +a(g705 +g1040 +tp24753 +a(g573 +g3989 +tp24754 +a(g826 +g1044 +tp24755 +a(g705 +g1040 +tp24756 +a(g573 +Voverlay-end +p24757 +tp24758 +a(g826 +g1044 +tp24759 +a(g440 +g24373 +tp24760 +a(g705 +g1064 +tp24761 +a(g826 +g1044 +tp24762 +a(g440 +Vend +p24763 +tp24764 +a(g705 +g1064 +tp24765 +a(g826 +V\u000a +p24766 +tp24767 +a(g705 +g1040 +tp24768 +a(g744 +Vprogn +p24769 +tp24770 +a(g826 +V\u000a +p24771 +tp24772 +a(g705 +g1040 +tp24773 +a(g573 +Vmove-overlay +p24774 +tp24775 +a(g826 +g1044 +tp24776 +a(g705 +g1040 +tp24777 +a(g440 +Vcopy-overlay +p24778 +tp24779 +a(g826 +g1044 +tp24780 +a(g440 +g24373 +tp24781 +a(g705 +g1064 +tp24782 +a(g826 +V\u000a +p24783 +tp24784 +a(g705 +g1040 +tp24785 +a(g573 +Voverlay-start +p24786 +tp24787 +a(g826 +g1044 +tp24788 +a(g440 +g24373 +tp24789 +a(g705 +g1064 +tp24790 +a(g826 +g1044 +tp24791 +a(g440 +Vbeg +p24792 +tp24793 +a(g705 +g1064 +tp24794 +a(g826 +V\u000a +p24795 +tp24796 +a(g705 +g1040 +tp24797 +a(g573 +Vmove-overlay +p24798 +tp24799 +a(g826 +g1044 +tp24800 +a(g440 +g24373 +tp24801 +a(g826 +g1044 +tp24802 +a(g440 +Vend +p24803 +tp24804 +a(g826 +g1044 +tp24805 +a(g705 +g1040 +tp24806 +a(g573 +Voverlay-end +p24807 +tp24808 +a(g826 +g1044 +tp24809 +a(g440 +g24373 +tp24810 +a(g705 +g1064 +tp24811 +a(g705 +g1064 +tp24812 +a(g705 +g1064 +tp24813 +a(g826 +V\u000a +p24814 +tp24815 +a(g705 +g1040 +tp24816 +a(g573 +Vmove-overlay +p24817 +tp24818 +a(g826 +g1044 +tp24819 +a(g440 +g24373 +tp24820 +a(g826 +g1044 +tp24821 +a(g705 +g1040 +tp24822 +a(g573 +Voverlay-start +p24823 +tp24824 +a(g826 +g1044 +tp24825 +a(g440 +g24373 +tp24826 +a(g705 +g1064 +tp24827 +a(g826 +g1044 +tp24828 +a(g440 +Vbeg +p24829 +tp24830 +a(g705 +g1064 +tp24831 +a(g705 +g1064 +tp24832 +a(g826 +V\u000a +p24833 +tp24834 +a(g705 +g1040 +tp24835 +a(g744 +Vif +p24836 +tp24837 +a(g826 +g1044 +tp24838 +a(g705 +g1040 +tp24839 +a(g573 +g3989 +tp24840 +a(g826 +g1044 +tp24841 +a(g705 +g1040 +tp24842 +a(g573 +Voverlay-end +p24843 +tp24844 +a(g826 +g1044 +tp24845 +a(g440 +g24373 +tp24846 +a(g705 +g1064 +tp24847 +a(g826 +g1044 +tp24848 +a(g440 +Vend +p24849 +tp24850 +a(g705 +g1064 +tp24851 +a(g826 +V\u000a +p24852 +tp24853 +a(g705 +g1040 +tp24854 +a(g573 +Vmove-overlay +p24855 +tp24856 +a(g826 +g1044 +tp24857 +a(g440 +g24373 +tp24858 +a(g826 +g1044 +tp24859 +a(g440 +Vend +p24860 +tp24861 +a(g826 +g1044 +tp24862 +a(g705 +g1040 +tp24863 +a(g573 +Voverlay-end +p24864 +tp24865 +a(g826 +g1044 +tp24866 +a(g440 +g24373 +tp24867 +a(g705 +g1064 +tp24868 +a(g705 +g1064 +tp24869 +a(g826 +V\u000a +p24870 +tp24871 +a(g705 +g1040 +tp24872 +a(g573 +Vdelete-overlay +p24873 +tp24874 +a(g826 +g1044 +tp24875 +a(g440 +g24373 +tp24876 +a(g705 +g1064 +tp24877 +a(g705 +g1064 +tp24878 +a(g705 +g1064 +tp24879 +a(g705 +g1064 +tp24880 +a(g705 +g1064 +tp24881 +a(g705 +g1064 +tp24882 +a(g705 +g1064 +tp24883 +a(g826 +V\u000a \u000a +p24884 +tp24885 +a(g8 +V;;;; Miscellanea. +p24886 +tp24887 +a(g826 +V\u000a\u000a +p24888 +tp24889 +a(g705 +g1040 +tp24890 +a(g744 +Vdefvar +p24891 +tp24892 +a(g826 +g1044 +tp24893 +a(g440 +Vsuspend-hook +p24894 +tp24895 +a(g826 +g1044 +tp24896 +a(g565 +Vnil +p24897 +tp24898 +a(g826 +V\u000a +p24899 +tp24900 +a(g89 +g1068 +tp24901 +a(g89 +VNormal hook run by +p24902 +tp24903 +a(g117 +V`suspend-emacs' +p24904 +tp24905 +a(g89 +V, before suspending. +p24906 +tp24907 +a(g89 +g1068 +tp24908 +a(g705 +g1064 +tp24909 +a(g826 +V\u000a\u000a +p24910 +tp24911 +a(g705 +g1040 +tp24912 +a(g744 +Vdefvar +p24913 +tp24914 +a(g826 +g1044 +tp24915 +a(g440 +Vsuspend-resume-hook +p24916 +tp24917 +a(g826 +g1044 +tp24918 +a(g565 +Vnil +p24919 +tp24920 +a(g826 +V\u000a +p24921 +tp24922 +a(g89 +g1068 +tp24923 +a(g89 +VNormal hook run by +p24924 +tp24925 +a(g117 +V`suspend-emacs' +p24926 +tp24927 +a(g89 +V, after Emacs is continued. +p24928 +tp24929 +a(g89 +g1068 +tp24930 +a(g705 +g1064 +tp24931 +a(g826 +V\u000a\u000a +p24932 +tp24933 +a(g705 +g1040 +tp24934 +a(g744 +Vdefvar +p24935 +tp24936 +a(g826 +g1044 +tp24937 +a(g440 +Vtemp-buffer-show-hook +p24938 +tp24939 +a(g826 +g1044 +tp24940 +a(g565 +Vnil +p24941 +tp24942 +a(g826 +V\u000a +p24943 +tp24944 +a(g89 +g1068 +tp24945 +a(g89 +VNormal hook run by +p24946 +tp24947 +a(g117 +V`with-output-to-temp-buffer' +p24948 +tp24949 +a(g89 +V after displaying the buffer.\u000aWhen the hook runs, the temporary buffer is current, and the window it\u000awas displayed in is selected. +p24950 +tp24951 +a(g89 +g1068 +tp24952 +a(g705 +g1064 +tp24953 +a(g826 +V\u000a\u000a +p24954 +tp24955 +a(g705 +g1040 +tp24956 +a(g744 +Vdefvar +p24957 +tp24958 +a(g826 +g1044 +tp24959 +a(g440 +Vtemp-buffer-setup-hook +p24960 +tp24961 +a(g826 +g1044 +tp24962 +a(g565 +Vnil +p24963 +tp24964 +a(g826 +V\u000a +p24965 +tp24966 +a(g89 +g1068 +tp24967 +a(g89 +VNormal hook run by +p24968 +tp24969 +a(g117 +V`with-output-to-temp-buffer' +p24970 +tp24971 +a(g89 +V at the start.\u000aWhen the hook runs, the temporary buffer is current.\u000aThis hook is normally set up with a function to put the buffer in Help\u000amode. +p24972 +tp24973 +a(g89 +g1068 +tp24974 +a(g705 +g1064 +tp24975 +a(g826 +V\u000a\u000a +p24976 +tp24977 +a(g705 +g1040 +tp24978 +a(g744 +Vdefconst +p24979 +tp24980 +a(g826 +g1044 +tp24981 +a(g440 +Vuser-emacs-directory +p24982 +tp24983 +a(g826 +V\u000a +p24984 +tp24985 +a(g705 +g1040 +tp24986 +a(g744 +Vif +p24987 +tp24988 +a(g826 +g1044 +tp24989 +a(g705 +g1040 +tp24990 +a(g573 +Veq +p24991 +tp24992 +a(g826 +g1044 +tp24993 +a(g440 +Vsystem-type +p24994 +tp24995 +a(g826 +g1044 +tp24996 +a(g117 +V'ms-dos +p24997 +tp24998 +a(g705 +g1064 +tp24999 +a(g826 +V\u000a +p25000 +tp25001 +a(g8 +V;; MS-DOS cannot have initial dot. +p25002 +tp25003 +a(g826 +V\u000a +p25004 +tp25005 +a(g89 +g1068 +tp25006 +a(g89 +V~/_emacs.d/ +p25007 +tp25008 +a(g89 +g1068 +tp25009 +a(g826 +V\u000a +p25010 +tp25011 +a(g89 +g1068 +tp25012 +a(g89 +V~/.emacs.d/ +p25013 +tp25014 +a(g89 +g1068 +tp25015 +a(g705 +g1064 +tp25016 +a(g826 +V\u000a +p25017 +tp25018 +a(g89 +g1068 +tp25019 +a(g89 +VDirectory beneath which additional per-user Emacs-specific files are placed.\u000aVarious programs in Emacs store information in this directory.\u000aNote that this should end with a directory separator.\u000aSee also +p25020 +tp25021 +a(g117 +V`locate-user-emacs-file' +p25022 +tp25023 +a(g89 +g3307 +tp25024 +a(g89 +g1068 +tp25025 +a(g705 +g1064 +tp25026 +a(g826 +V\u000a \u000a +p25027 +tp25028 +a(g8 +V;;;; Misc. useful functions. +p25029 +tp25030 +a(g826 +V\u000a\u000a +p25031 +tp25032 +a(g705 +g1040 +tp25033 +a(g681 +Vdefsubst +p25034 +tp25035 +a(g826 +g1044 +tp25036 +a(g440 +Vbuffer-narrowed-p +p25037 +tp25038 +a(g826 +g1044 +tp25039 +a(g705 +g1040 +tp25040 +a(g705 +g1064 +tp25041 +a(g826 +V\u000a +p25042 +tp25043 +a(g89 +g1068 +tp25044 +a(g89 +VReturn non-nil if the current buffer is narrowed. +p25045 +tp25046 +a(g89 +g1068 +tp25047 +a(g826 +V\u000a +p25048 +tp25049 +a(g705 +g1040 +tp25050 +a(g573 +V/= +p25051 +tp25052 +a(g826 +g1044 +tp25053 +a(g705 +g1040 +tp25054 +a(g573 +g3788 +tp25055 +a(g826 +g1044 +tp25056 +a(g705 +g1040 +tp25057 +a(g573 +Vpoint-max +p25058 +tp25059 +a(g705 +g1064 +tp25060 +a(g826 +g1044 +tp25061 +a(g705 +g1040 +tp25062 +a(g573 +Vpoint-min +p25063 +tp25064 +a(g705 +g1064 +tp25065 +a(g705 +g1064 +tp25066 +a(g826 +g1044 +tp25067 +a(g705 +g1040 +tp25068 +a(g573 +Vbuffer-size +p25069 +tp25070 +a(g705 +g1064 +tp25071 +a(g705 +g1064 +tp25072 +a(g705 +g1064 +tp25073 +a(g826 +V\u000a\u000a +p25074 +tp25075 +a(g705 +g1040 +tp25076 +a(g681 +Vdefun +p25077 +tp25078 +a(g826 +g1044 +tp25079 +a(g440 +Vfind-tag-default-bounds +p25080 +tp25081 +a(g826 +g1044 +tp25082 +a(g705 +g1040 +tp25083 +a(g705 +g1064 +tp25084 +a(g826 +V\u000a +p25085 +tp25086 +a(g89 +g1068 +tp25087 +a(g89 +VDetermine the boundaries of the default tag, based on text at point.\u000aReturn a cons cell with the beginning and end of the found tag.\u000aIf there is no plausible default, return nil. +p25088 +tp25089 +a(g89 +g1068 +tp25090 +a(g826 +V\u000a +p25091 +tp25092 +a(g705 +g1040 +tp25093 +a(g744 +Vlet +p25094 +tp25095 +a(g826 +g1044 +tp25096 +a(g705 +g1040 +tp25097 +a(g440 +Vfrom +p25098 +tp25099 +a(g826 +g1044 +tp25100 +a(g440 +Vto +p25101 +tp25102 +a(g826 +g1044 +tp25103 +a(g440 +Vbound +p25104 +tp25105 +a(g705 +g1064 +tp25106 +a(g826 +V\u000a +p25107 +tp25108 +a(g705 +g1040 +tp25109 +a(g681 +Vwhen +p25110 +tp25111 +a(g826 +g1044 +tp25112 +a(g705 +g1040 +tp25113 +a(g744 +Vor +p25114 +tp25115 +a(g826 +g1044 +tp25116 +a(g705 +g1040 +tp25117 +a(g744 +Vprogn +p25118 +tp25119 +a(g826 +V\u000a +p25120 +tp25121 +a(g8 +V;; Look at text around `point'. +p25122 +tp25123 +a(g826 +V\u000a +p25124 +tp25125 +a(g705 +g1040 +tp25126 +a(g744 +Vsave-excursion +p25127 +tp25128 +a(g826 +V\u000a +p25129 +tp25130 +a(g705 +g1040 +tp25131 +a(g573 +Vskip-syntax-backward +p25132 +tp25133 +a(g826 +g1044 +tp25134 +a(g89 +g1068 +tp25135 +a(g89 +Vw_ +p25136 +tp25137 +a(g89 +g1068 +tp25138 +a(g705 +g1064 +tp25139 +a(g826 +g1044 +tp25140 +a(g705 +g1040 +tp25141 +a(g744 +Vsetq +p25142 +tp25143 +a(g826 +g1044 +tp25144 +a(g440 +Vfrom +p25145 +tp25146 +a(g826 +g1044 +tp25147 +a(g705 +g1040 +tp25148 +a(g573 +Vpoint +p25149 +tp25150 +a(g705 +g1064 +tp25151 +a(g705 +g1064 +tp25152 +a(g705 +g1064 +tp25153 +a(g826 +V\u000a +p25154 +tp25155 +a(g705 +g1040 +tp25156 +a(g744 +Vsave-excursion +p25157 +tp25158 +a(g826 +V\u000a +p25159 +tp25160 +a(g705 +g1040 +tp25161 +a(g573 +Vskip-syntax-forward +p25162 +tp25163 +a(g826 +g1044 +tp25164 +a(g89 +g1068 +tp25165 +a(g89 +Vw_ +p25166 +tp25167 +a(g89 +g1068 +tp25168 +a(g705 +g1064 +tp25169 +a(g826 +g1044 +tp25170 +a(g705 +g1040 +tp25171 +a(g744 +Vsetq +p25172 +tp25173 +a(g826 +g1044 +tp25174 +a(g440 +Vto +p25175 +tp25176 +a(g826 +g1044 +tp25177 +a(g705 +g1040 +tp25178 +a(g573 +Vpoint +p25179 +tp25180 +a(g705 +g1064 +tp25181 +a(g705 +g1064 +tp25182 +a(g705 +g1064 +tp25183 +a(g826 +V\u000a +p25184 +tp25185 +a(g705 +g1040 +tp25186 +a(g573 +g3989 +tp25187 +a(g826 +g1044 +tp25188 +a(g440 +Vto +p25189 +tp25190 +a(g826 +g1044 +tp25191 +a(g440 +Vfrom +p25192 +tp25193 +a(g705 +g1064 +tp25194 +a(g705 +g1064 +tp25195 +a(g826 +V\u000a +p25196 +tp25197 +a(g8 +V;; Look between `line-beginning-position' and `point'. +p25198 +tp25199 +a(g826 +V\u000a +p25200 +tp25201 +a(g705 +g1040 +tp25202 +a(g744 +Vsave-excursion +p25203 +tp25204 +a(g826 +V\u000a +p25205 +tp25206 +a(g705 +g1040 +tp25207 +a(g744 +Vand +p25208 +tp25209 +a(g826 +g1044 +tp25210 +a(g705 +g1040 +tp25211 +a(g744 +Vsetq +p25212 +tp25213 +a(g826 +g1044 +tp25214 +a(g440 +Vbound +p25215 +tp25216 +a(g826 +g1044 +tp25217 +a(g705 +g1040 +tp25218 +a(g573 +Vline-beginning-position +p25219 +tp25220 +a(g705 +g1064 +tp25221 +a(g705 +g1064 +tp25222 +a(g826 +V\u000a +p25223 +tp25224 +a(g705 +g1040 +tp25225 +a(g573 +Vskip-syntax-backward +p25226 +tp25227 +a(g826 +g1044 +tp25228 +a(g89 +g1068 +tp25229 +a(g89 +V^w_ +p25230 +tp25231 +a(g89 +g1068 +tp25232 +a(g826 +g1044 +tp25233 +a(g440 +Vbound +p25234 +tp25235 +a(g705 +g1064 +tp25236 +a(g826 +V\u000a +p25237 +tp25238 +a(g705 +g1040 +tp25239 +a(g573 +g3989 +tp25240 +a(g826 +g1044 +tp25241 +a(g705 +g1040 +tp25242 +a(g744 +Vsetq +p25243 +tp25244 +a(g826 +g1044 +tp25245 +a(g440 +Vto +p25246 +tp25247 +a(g826 +g1044 +tp25248 +a(g705 +g1040 +tp25249 +a(g573 +Vpoint +p25250 +tp25251 +a(g705 +g1064 +tp25252 +a(g705 +g1064 +tp25253 +a(g826 +g1044 +tp25254 +a(g440 +Vbound +p25255 +tp25256 +a(g705 +g1064 +tp25257 +a(g826 +V\u000a +p25258 +tp25259 +a(g705 +g1040 +tp25260 +a(g573 +Vskip-syntax-backward +p25261 +tp25262 +a(g826 +g1044 +tp25263 +a(g89 +g1068 +tp25264 +a(g89 +Vw_ +p25265 +tp25266 +a(g89 +g1068 +tp25267 +a(g705 +g1064 +tp25268 +a(g826 +V\u000a +p25269 +tp25270 +a(g705 +g1040 +tp25271 +a(g744 +Vsetq +p25272 +tp25273 +a(g826 +g1044 +tp25274 +a(g440 +Vfrom +p25275 +tp25276 +a(g826 +g1044 +tp25277 +a(g705 +g1040 +tp25278 +a(g573 +Vpoint +p25279 +tp25280 +a(g705 +g1064 +tp25281 +a(g705 +g1064 +tp25282 +a(g705 +g1064 +tp25283 +a(g705 +g1064 +tp25284 +a(g826 +V\u000a +p25285 +tp25286 +a(g8 +V;; Look between `point' and `line-end-position'. +p25287 +tp25288 +a(g826 +V\u000a +p25289 +tp25290 +a(g705 +g1040 +tp25291 +a(g744 +Vsave-excursion +p25292 +tp25293 +a(g826 +V\u000a +p25294 +tp25295 +a(g705 +g1040 +tp25296 +a(g744 +Vand +p25297 +tp25298 +a(g826 +g1044 +tp25299 +a(g705 +g1040 +tp25300 +a(g744 +Vsetq +p25301 +tp25302 +a(g826 +g1044 +tp25303 +a(g440 +Vbound +p25304 +tp25305 +a(g826 +g1044 +tp25306 +a(g705 +g1040 +tp25307 +a(g573 +Vline-end-position +p25308 +tp25309 +a(g705 +g1064 +tp25310 +a(g705 +g1064 +tp25311 +a(g826 +V\u000a +p25312 +tp25313 +a(g705 +g1040 +tp25314 +a(g573 +Vskip-syntax-forward +p25315 +tp25316 +a(g826 +g1044 +tp25317 +a(g89 +g1068 +tp25318 +a(g89 +V^w_ +p25319 +tp25320 +a(g89 +g1068 +tp25321 +a(g826 +g1044 +tp25322 +a(g440 +Vbound +p25323 +tp25324 +a(g705 +g1064 +tp25325 +a(g826 +V\u000a +p25326 +tp25327 +a(g705 +g1040 +tp25328 +a(g573 +g2719 +tp25329 +a(g826 +g1044 +tp25330 +a(g705 +g1040 +tp25331 +a(g744 +Vsetq +p25332 +tp25333 +a(g826 +g1044 +tp25334 +a(g440 +Vfrom +p25335 +tp25336 +a(g826 +g1044 +tp25337 +a(g705 +g1040 +tp25338 +a(g573 +Vpoint +p25339 +tp25340 +a(g705 +g1064 +tp25341 +a(g705 +g1064 +tp25342 +a(g826 +g1044 +tp25343 +a(g440 +Vbound +p25344 +tp25345 +a(g705 +g1064 +tp25346 +a(g826 +V\u000a +p25347 +tp25348 +a(g705 +g1040 +tp25349 +a(g573 +Vskip-syntax-forward +p25350 +tp25351 +a(g826 +g1044 +tp25352 +a(g89 +g1068 +tp25353 +a(g89 +Vw_ +p25354 +tp25355 +a(g89 +g1068 +tp25356 +a(g705 +g1064 +tp25357 +a(g826 +V\u000a +p25358 +tp25359 +a(g705 +g1040 +tp25360 +a(g744 +Vsetq +p25361 +tp25362 +a(g826 +g1044 +tp25363 +a(g440 +Vto +p25364 +tp25365 +a(g826 +g1044 +tp25366 +a(g705 +g1040 +tp25367 +a(g573 +Vpoint +p25368 +tp25369 +a(g705 +g1064 +tp25370 +a(g705 +g1064 +tp25371 +a(g705 +g1064 +tp25372 +a(g705 +g1064 +tp25373 +a(g705 +g1064 +tp25374 +a(g826 +V\u000a +p25375 +tp25376 +a(g705 +g1040 +tp25377 +a(g573 +Vcons +p25378 +tp25379 +a(g826 +g1044 +tp25380 +a(g440 +Vfrom +p25381 +tp25382 +a(g826 +g1044 +tp25383 +a(g440 +Vto +p25384 +tp25385 +a(g705 +g1064 +tp25386 +a(g705 +g1064 +tp25387 +a(g705 +g1064 +tp25388 +a(g705 +g1064 +tp25389 +a(g826 +V\u000a\u000a +p25390 +tp25391 +a(g705 +g1040 +tp25392 +a(g681 +Vdefun +p25393 +tp25394 +a(g826 +g1044 +tp25395 +a(g440 +Vfind-tag-default +p25396 +tp25397 +a(g826 +g1044 +tp25398 +a(g705 +g1040 +tp25399 +a(g705 +g1064 +tp25400 +a(g826 +V\u000a +p25401 +tp25402 +a(g89 +g1068 +tp25403 +a(g89 +VDetermine default tag to search for, based on text at point.\u000aIf there is no plausible default, return nil. +p25404 +tp25405 +a(g89 +g1068 +tp25406 +a(g826 +V\u000a +p25407 +tp25408 +a(g705 +g1040 +tp25409 +a(g744 +Vlet +p25410 +tp25411 +a(g826 +g1044 +tp25412 +a(g705 +g1040 +tp25413 +a(g705 +g1040 +tp25414 +a(g440 +Vbounds +p25415 +tp25416 +a(g826 +g1044 +tp25417 +a(g705 +g1040 +tp25418 +a(g440 +Vfind-tag-default-bounds +p25419 +tp25420 +a(g705 +g1064 +tp25421 +a(g705 +g1064 +tp25422 +a(g705 +g1064 +tp25423 +a(g826 +V\u000a +p25424 +tp25425 +a(g705 +g1040 +tp25426 +a(g681 +Vwhen +p25427 +tp25428 +a(g826 +g1044 +tp25429 +a(g440 +Vbounds +p25430 +tp25431 +a(g826 +V\u000a +p25432 +tp25433 +a(g705 +g1040 +tp25434 +a(g573 +Vbuffer-substring-no-properties +p25435 +tp25436 +a(g826 +g1044 +tp25437 +a(g705 +g1040 +tp25438 +a(g573 +Vcar +p25439 +tp25440 +a(g826 +g1044 +tp25441 +a(g440 +Vbounds +p25442 +tp25443 +a(g705 +g1064 +tp25444 +a(g826 +g1044 +tp25445 +a(g705 +g1040 +tp25446 +a(g573 +Vcdr +p25447 +tp25448 +a(g826 +g1044 +tp25449 +a(g440 +Vbounds +p25450 +tp25451 +a(g705 +g1064 +tp25452 +a(g705 +g1064 +tp25453 +a(g705 +g1064 +tp25454 +a(g705 +g1064 +tp25455 +a(g705 +g1064 +tp25456 +a(g826 +V\u000a\u000a +p25457 +tp25458 +a(g705 +g1040 +tp25459 +a(g681 +Vdefun +p25460 +tp25461 +a(g826 +g1044 +tp25462 +a(g440 +Vfind-tag-default-as-regexp +p25463 +tp25464 +a(g826 +g1044 +tp25465 +a(g705 +g1040 +tp25466 +a(g705 +g1064 +tp25467 +a(g826 +V\u000a +p25468 +tp25469 +a(g89 +g1068 +tp25470 +a(g89 +VReturn regexp that matches the default tag at point.\u000aIf there is no tag at point, return nil.\u000a\u000aWhen in a major mode that does not provide its own\u000a +p25471 +tp25472 +a(g117 +V`find-tag-default-function' +p25473 +tp25474 +a(g89 +V, return a regexp that matches the\u000asymbol at point exactly. +p25475 +tp25476 +a(g89 +g1068 +tp25477 +a(g826 +V\u000a +p25478 +tp25479 +a(g705 +g1040 +tp25480 +a(g744 +Vlet +p25481 +tp25482 +a(g826 +g1044 +tp25483 +a(g705 +g1040 +tp25484 +a(g705 +g1040 +tp25485 +a(g440 +Vtag +p25486 +tp25487 +a(g826 +g1044 +tp25488 +a(g705 +g1040 +tp25489 +a(g573 +Vfuncall +p25490 +tp25491 +a(g826 +g1044 +tp25492 +a(g705 +g1040 +tp25493 +a(g744 +Vor +p25494 +tp25495 +a(g826 +g1044 +tp25496 +a(g440 +Vfind-tag-default-function +p25497 +tp25498 +a(g826 +V\u000a +p25499 +tp25500 +a(g705 +g1040 +tp25501 +a(g573 +Vget +p25502 +tp25503 +a(g826 +g1044 +tp25504 +a(g440 +Vmajor-mode +p25505 +tp25506 +a(g826 +g1044 +tp25507 +a(g117 +V'find-tag-default-function +p25508 +tp25509 +a(g705 +g1064 +tp25510 +a(g826 +V\u000a +p25511 +tp25512 +a(g117 +V'find-tag-default +p25513 +tp25514 +a(g705 +g1064 +tp25515 +a(g705 +g1064 +tp25516 +a(g705 +g1064 +tp25517 +a(g705 +g1064 +tp25518 +a(g826 +V\u000a +p25519 +tp25520 +a(g705 +g1040 +tp25521 +a(g744 +Vif +p25522 +tp25523 +a(g826 +g1044 +tp25524 +a(g440 +Vtag +p25525 +tp25526 +a(g826 +g1044 +tp25527 +a(g705 +g1040 +tp25528 +a(g573 +Vregexp-quote +p25529 +tp25530 +a(g826 +g1044 +tp25531 +a(g440 +Vtag +p25532 +tp25533 +a(g705 +g1064 +tp25534 +a(g705 +g1064 +tp25535 +a(g705 +g1064 +tp25536 +a(g705 +g1064 +tp25537 +a(g826 +V\u000a\u000a +p25538 +tp25539 +a(g705 +g1040 +tp25540 +a(g681 +Vdefun +p25541 +tp25542 +a(g826 +g1044 +tp25543 +a(g440 +Vfind-tag-default-as-symbol-regexp +p25544 +tp25545 +a(g826 +g1044 +tp25546 +a(g705 +g1040 +tp25547 +a(g705 +g1064 +tp25548 +a(g826 +V\u000a +p25549 +tp25550 +a(g89 +g1068 +tp25551 +a(g89 +VReturn regexp that matches the default tag at point as symbol.\u000aIf there is no tag at point, return nil.\u000a\u000aWhen in a major mode that does not provide its own\u000a +p25552 +tp25553 +a(g117 +V`find-tag-default-function' +p25554 +tp25555 +a(g89 +V, return a regexp that matches the\u000asymbol at point exactly. +p25556 +tp25557 +a(g89 +g1068 +tp25558 +a(g826 +V\u000a +p25559 +tp25560 +a(g705 +g1040 +tp25561 +a(g744 +Vlet +p25562 +tp25563 +a(g826 +g1044 +tp25564 +a(g705 +g1040 +tp25565 +a(g705 +g1040 +tp25566 +a(g440 +Vtag-regexp +p25567 +tp25568 +a(g826 +g1044 +tp25569 +a(g705 +g1040 +tp25570 +a(g440 +Vfind-tag-default-as-regexp +p25571 +tp25572 +a(g705 +g1064 +tp25573 +a(g705 +g1064 +tp25574 +a(g705 +g1064 +tp25575 +a(g826 +V\u000a +p25576 +tp25577 +a(g705 +g1040 +tp25578 +a(g744 +Vif +p25579 +tp25580 +a(g826 +g1044 +tp25581 +a(g705 +g1040 +tp25582 +a(g744 +Vand +p25583 +tp25584 +a(g826 +g1044 +tp25585 +a(g440 +Vtag-regexp +p25586 +tp25587 +a(g826 +V\u000a +p25588 +tp25589 +a(g705 +g1040 +tp25590 +a(g573 +Veq +p25591 +tp25592 +a(g826 +g1044 +tp25593 +a(g705 +g1040 +tp25594 +a(g744 +Vor +p25595 +tp25596 +a(g826 +g1044 +tp25597 +a(g440 +Vfind-tag-default-function +p25598 +tp25599 +a(g826 +V\u000a +p25600 +tp25601 +a(g705 +g1040 +tp25602 +a(g573 +Vget +p25603 +tp25604 +a(g826 +g1044 +tp25605 +a(g440 +Vmajor-mode +p25606 +tp25607 +a(g826 +g1044 +tp25608 +a(g117 +V'find-tag-default-function +p25609 +tp25610 +a(g705 +g1064 +tp25611 +a(g826 +V\u000a +p25612 +tp25613 +a(g117 +V'find-tag-default +p25614 +tp25615 +a(g705 +g1064 +tp25616 +a(g826 +V\u000a +p25617 +tp25618 +a(g117 +V'find-tag-default +p25619 +tp25620 +a(g705 +g1064 +tp25621 +a(g705 +g1064 +tp25622 +a(g826 +V\u000a +p25623 +tp25624 +a(g705 +g1040 +tp25625 +a(g573 +Vformat +p25626 +tp25627 +a(g826 +g1044 +tp25628 +a(g89 +g1068 +tp25629 +a(g89 +V\u005c\u005c +p25630 +tp25631 +a(g89 +V_<%s +p25632 +tp25633 +a(g89 +V\u005c\u005c +p25634 +tp25635 +a(g89 +V_> +p25636 +tp25637 +a(g89 +g1068 +tp25638 +a(g826 +g1044 +tp25639 +a(g440 +Vtag-regexp +p25640 +tp25641 +a(g705 +g1064 +tp25642 +a(g826 +V\u000a +p25643 +tp25644 +a(g440 +Vtag-regexp +p25645 +tp25646 +a(g705 +g1064 +tp25647 +a(g705 +g1064 +tp25648 +a(g705 +g1064 +tp25649 +a(g826 +V\u000a\u000a +p25650 +tp25651 +a(g705 +g1040 +tp25652 +a(g681 +Vdefun +p25653 +tp25654 +a(g826 +g1044 +tp25655 +a(g440 +Vplay-sound +p25656 +tp25657 +a(g826 +g1044 +tp25658 +a(g705 +g1040 +tp25659 +a(g440 +Vsound +p25660 +tp25661 +a(g705 +g1064 +tp25662 +a(g826 +V\u000a +p25663 +tp25664 +a(g89 +g1068 +tp25665 +a(g89 +VSOUND is a list of the form +p25666 +tp25667 +a(g89 +g1128 +tp25668 +a(g89 +V(sound KEYWORD VALUE...)'.\u000aThe following keywords are recognized:\u000a\u000a :file FILE - read sound data from FILE. If FILE isn't an\u000aabsolute file name, it is searched in +p25669 +tp25670 +a(g117 +V`data-directory' +p25671 +tp25672 +a(g89 +V.\u000a\u000a :data DATA - read sound data from string DATA.\u000a\u000aExactly one of :file or :data must be present.\u000a\u000a :volume VOL - set volume to VOL. VOL must an integer in the\u000arange 0..100 or a float in the range 0..1.0. If not specified,\u000adon't change the volume setting of the sound device.\u000a\u000a :device DEVICE - play sound on DEVICE. If not specified,\u000aa system-dependent default device name is used.\u000a\u000aNote: :data and :device are currently not supported on Windows. +p25673 +tp25674 +a(g89 +g1068 +tp25675 +a(g826 +V\u000a +p25676 +tp25677 +a(g705 +g1040 +tp25678 +a(g744 +Vif +p25679 +tp25680 +a(g826 +g1044 +tp25681 +a(g705 +g1040 +tp25682 +a(g573 +Vfboundp +p25683 +tp25684 +a(g826 +g1044 +tp25685 +a(g117 +V'play-sound-internal +p25686 +tp25687 +a(g705 +g1064 +tp25688 +a(g826 +V\u000a +p25689 +tp25690 +a(g705 +g1040 +tp25691 +a(g573 +Vplay-sound-internal +p25692 +tp25693 +a(g826 +g1044 +tp25694 +a(g440 +Vsound +p25695 +tp25696 +a(g705 +g1064 +tp25697 +a(g826 +V\u000a +p25698 +tp25699 +a(g705 +g1040 +tp25700 +a(g612 +Verror +p25701 +tp25702 +a(g826 +g1044 +tp25703 +a(g89 +g1068 +tp25704 +a(g89 +VThis Emacs binary lacks sound support +p25705 +tp25706 +a(g89 +g1068 +tp25707 +a(g705 +g1064 +tp25708 +a(g705 +g1064 +tp25709 +a(g705 +g1064 +tp25710 +a(g826 +V\u000a\u000a +p25711 +tp25712 +a(g705 +g1040 +tp25713 +a(g681 +Vdeclare-function +p25714 +tp25715 +a(g826 +g1044 +tp25716 +a(g440 +Vw32-shell-dos-semantics +p25717 +tp25718 +a(g826 +g1044 +tp25719 +a(g89 +g1068 +tp25720 +a(g89 +Vw32-fns +p25721 +tp25722 +a(g89 +g1068 +tp25723 +a(g826 +g1044 +tp25724 +a(g565 +Vnil +p25725 +tp25726 +a(g705 +g1064 +tp25727 +a(g826 +V\u000a\u000a +p25728 +tp25729 +a(g705 +g1040 +tp25730 +a(g681 +Vdefun +p25731 +tp25732 +a(g826 +g1044 +tp25733 +a(g440 +Vshell-quote-argument +p25734 +tp25735 +a(g826 +g1044 +tp25736 +a(g705 +g1040 +tp25737 +a(g440 +Vargument +p25738 +tp25739 +a(g705 +g1064 +tp25740 +a(g826 +V\u000a +p25741 +tp25742 +a(g89 +g1068 +tp25743 +a(g89 +VQuote ARGUMENT for passing as argument to an inferior shell. +p25744 +tp25745 +a(g89 +g1068 +tp25746 +a(g826 +V\u000a +p25747 +tp25748 +a(g705 +g1040 +tp25749 +a(g744 +Vcond +p25750 +tp25751 +a(g826 +V\u000a +p25752 +tp25753 +a(g705 +g1040 +tp25754 +a(g705 +g1040 +tp25755 +a(g573 +Veq +p25756 +tp25757 +a(g826 +g1044 +tp25758 +a(g440 +Vsystem-type +p25759 +tp25760 +a(g826 +g1044 +tp25761 +a(g117 +V'ms-dos +p25762 +tp25763 +a(g705 +g1064 +tp25764 +a(g826 +V\u000a +p25765 +tp25766 +a(g8 +V;; Quote using double quotes, but escape any existing quotes in +p25767 +tp25768 +a(g826 +V\u000a +p25769 +tp25770 +a(g8 +V;; the argument with backslashes. +p25771 +tp25772 +a(g826 +V\u000a +p25773 +tp25774 +a(g705 +g1040 +tp25775 +a(g744 +Vlet +p25776 +tp25777 +a(g826 +g1044 +tp25778 +a(g705 +g1040 +tp25779 +a(g705 +g1040 +tp25780 +a(g440 +Vresult +p25781 +tp25782 +a(g826 +g1044 +tp25783 +a(g89 +g1068 +tp25784 +a(g89 +g1068 +tp25785 +a(g705 +g1064 +tp25786 +a(g826 +V\u000a +p25787 +tp25788 +a(g705 +g1040 +tp25789 +a(g440 +Vstart +p25790 +tp25791 +a(g826 +g1044 +tp25792 +a(g37 +g2628 +tp25793 +a(g705 +g1064 +tp25794 +a(g826 +V\u000a +p25795 +tp25796 +a(g440 +Vend +p25797 +tp25798 +a(g705 +g1064 +tp25799 +a(g826 +V\u000a +p25800 +tp25801 +a(g705 +g1040 +tp25802 +a(g744 +Vif +p25803 +tp25804 +a(g826 +g1044 +tp25805 +a(g705 +g1040 +tp25806 +a(g744 +Vor +p25807 +tp25808 +a(g826 +g1044 +tp25809 +a(g705 +g1040 +tp25810 +a(g573 +Vnull +p25811 +tp25812 +a(g826 +g1044 +tp25813 +a(g705 +g1040 +tp25814 +a(g573 +Vstring-match +p25815 +tp25816 +a(g826 +g1044 +tp25817 +a(g89 +g1068 +tp25818 +a(g89 +V[^ +p25819 +tp25820 +a(g89 +V\u005c" +p25821 +tp25822 +a(g89 +g1402 +tp25823 +a(g89 +g1068 +tp25824 +a(g826 +g1044 +tp25825 +a(g440 +Vargument +p25826 +tp25827 +a(g705 +g1064 +tp25828 +a(g705 +g1064 +tp25829 +a(g826 +V\u000a +p25830 +tp25831 +a(g705 +g1040 +tp25832 +a(g573 +g2719 +tp25833 +a(g826 +g1044 +tp25834 +a(g705 +g1040 +tp25835 +a(g573 +Vmatch-end +p25836 +tp25837 +a(g826 +g1044 +tp25838 +a(g37 +g2628 +tp25839 +a(g705 +g1064 +tp25840 +a(g826 +g1044 +tp25841 +a(g705 +g1040 +tp25842 +a(g573 +Vlength +p25843 +tp25844 +a(g826 +g1044 +tp25845 +a(g440 +Vargument +p25846 +tp25847 +a(g705 +g1064 +tp25848 +a(g705 +g1064 +tp25849 +a(g705 +g1064 +tp25850 +a(g826 +V\u000a +p25851 +tp25852 +a(g705 +g1040 +tp25853 +a(g744 +Vwhile +p25854 +tp25855 +a(g826 +g1044 +tp25856 +a(g705 +g1040 +tp25857 +a(g573 +Vstring-match +p25858 +tp25859 +a(g826 +g1044 +tp25860 +a(g89 +g1068 +tp25861 +a(g89 +g1395 +tp25862 +a(g89 +V\u005c" +p25863 +tp25864 +a(g89 +g1402 +tp25865 +a(g89 +g1068 +tp25866 +a(g826 +g1044 +tp25867 +a(g440 +Vargument +p25868 +tp25869 +a(g826 +g1044 +tp25870 +a(g440 +Vstart +p25871 +tp25872 +a(g705 +g1064 +tp25873 +a(g826 +V\u000a +p25874 +tp25875 +a(g705 +g1040 +tp25876 +a(g744 +Vsetq +p25877 +tp25878 +a(g826 +g1044 +tp25879 +a(g440 +Vend +p25880 +tp25881 +a(g826 +g1044 +tp25882 +a(g705 +g1040 +tp25883 +a(g573 +Vmatch-beginning +p25884 +tp25885 +a(g826 +g1044 +tp25886 +a(g37 +g2628 +tp25887 +a(g705 +g1064 +tp25888 +a(g826 +V\u000a +p25889 +tp25890 +a(g440 +Vresult +p25891 +tp25892 +a(g826 +g1044 +tp25893 +a(g705 +g1040 +tp25894 +a(g573 +Vconcat +p25895 +tp25896 +a(g826 +g1044 +tp25897 +a(g440 +Vresult +p25898 +tp25899 +a(g826 +g1044 +tp25900 +a(g705 +g1040 +tp25901 +a(g573 +Vsubstring +p25902 +tp25903 +a(g826 +g1044 +tp25904 +a(g440 +Vargument +p25905 +tp25906 +a(g826 +g1044 +tp25907 +a(g440 +Vstart +p25908 +tp25909 +a(g826 +g1044 +tp25910 +a(g440 +Vend +p25911 +tp25912 +a(g705 +g1064 +tp25913 +a(g826 +V\u000a +p25914 +tp25915 +a(g89 +g1068 +tp25916 +a(g89 +V\u005c\u005c +p25917 +tp25918 +a(g89 +g1068 +tp25919 +a(g826 +g1044 +tp25920 +a(g705 +g1040 +tp25921 +a(g573 +Vsubstring +p25922 +tp25923 +a(g826 +g1044 +tp25924 +a(g440 +Vargument +p25925 +tp25926 +a(g826 +g1044 +tp25927 +a(g440 +Vend +p25928 +tp25929 +a(g826 +g1044 +tp25930 +a(g705 +g1040 +tp25931 +a(g573 +V1+ +p25932 +tp25933 +a(g826 +g1044 +tp25934 +a(g440 +Vend +p25935 +tp25936 +a(g705 +g1064 +tp25937 +a(g705 +g1064 +tp25938 +a(g705 +g1064 +tp25939 +a(g826 +V\u000a +p25940 +tp25941 +a(g440 +Vstart +p25942 +tp25943 +a(g826 +g1044 +tp25944 +a(g705 +g1040 +tp25945 +a(g573 +V1+ +p25946 +tp25947 +a(g826 +g1044 +tp25948 +a(g440 +Vend +p25949 +tp25950 +a(g705 +g1064 +tp25951 +a(g705 +g1064 +tp25952 +a(g705 +g1064 +tp25953 +a(g705 +g1064 +tp25954 +a(g826 +V\u000a +p25955 +tp25956 +a(g705 +g1040 +tp25957 +a(g573 +Vconcat +p25958 +tp25959 +a(g826 +g1044 +tp25960 +a(g89 +g1068 +tp25961 +a(g89 +V\u005c" +p25962 +tp25963 +a(g89 +g1068 +tp25964 +a(g826 +g1044 +tp25965 +a(g440 +Vresult +p25966 +tp25967 +a(g826 +g1044 +tp25968 +a(g705 +g1040 +tp25969 +a(g573 +Vsubstring +p25970 +tp25971 +a(g826 +g1044 +tp25972 +a(g440 +Vargument +p25973 +tp25974 +a(g826 +g1044 +tp25975 +a(g440 +Vstart +p25976 +tp25977 +a(g705 +g1064 +tp25978 +a(g826 +g1044 +tp25979 +a(g89 +g1068 +tp25980 +a(g89 +V\u005c" +p25981 +tp25982 +a(g89 +g1068 +tp25983 +a(g705 +g1064 +tp25984 +a(g705 +g1064 +tp25985 +a(g705 +g1064 +tp25986 +a(g826 +V\u000a\u000a +p25987 +tp25988 +a(g705 +g1040 +tp25989 +a(g705 +g1040 +tp25990 +a(g744 +Vand +p25991 +tp25992 +a(g826 +g1044 +tp25993 +a(g705 +g1040 +tp25994 +a(g573 +Veq +p25995 +tp25996 +a(g826 +g1044 +tp25997 +a(g440 +Vsystem-type +p25998 +tp25999 +a(g826 +g1044 +tp26000 +a(g117 +V'windows-nt +p26001 +tp26002 +a(g705 +g1064 +tp26003 +a(g826 +g1044 +tp26004 +a(g705 +g1040 +tp26005 +a(g440 +Vw32-shell-dos-semantics +p26006 +tp26007 +a(g705 +g1064 +tp26008 +a(g705 +g1064 +tp26009 +a(g826 +V\u000a\u000a +p26010 +tp26011 +a(g8 +V;; First, quote argument so that CommandLineToArgvW will +p26012 +tp26013 +a(g826 +V\u000a +p26014 +tp26015 +a(g8 +V;; understand it. See +p26016 +tp26017 +a(g826 +V\u000a +p26018 +tp26019 +a(g8 +V;; http://msdn.microsoft.com/en-us/library/17w5ykft%28v=vs.85%29.aspx +p26020 +tp26021 +a(g826 +V\u000a +p26022 +tp26023 +a(g8 +V;; After we perform that level of quoting, escape shell +p26024 +tp26025 +a(g826 +V\u000a +p26026 +tp26027 +a(g8 +V;; metacharacters so that cmd won't mangle our argument. If the +p26028 +tp26029 +a(g826 +V\u000a +p26030 +tp26031 +a(g8 +V;; argument contains no double quote characters, we can just +p26032 +tp26033 +a(g826 +V\u000a +p26034 +tp26035 +a(g8 +V;; surround it with double quotes. Otherwise, we need to prefix +p26036 +tp26037 +a(g826 +V\u000a +p26038 +tp26039 +a(g8 +V;; each shell metacharacter with a caret. +p26040 +tp26041 +a(g826 +V\u000a\u000a +p26042 +tp26043 +a(g705 +g1040 +tp26044 +a(g744 +Vsetq +p26045 +tp26046 +a(g826 +g1044 +tp26047 +a(g440 +Vargument +p26048 +tp26049 +a(g826 +V\u000a +p26050 +tp26051 +a(g8 +V;; escape backslashes at end of string +p26052 +tp26053 +a(g826 +V\u000a +p26054 +tp26055 +a(g705 +g1040 +tp26056 +a(g440 +Vreplace-regexp-in-string +p26057 +tp26058 +a(g826 +V\u000a +p26059 +tp26060 +a(g89 +g1068 +tp26061 +a(g89 +V\u005c\u005c +p26062 +tp26063 +a(g89 +g1040 +tp26064 +a(g89 +V\u005c\u005c +p26065 +tp26066 +a(g89 +V\u005c\u005c +p26067 +tp26068 +a(g89 +g4614 +tp26069 +a(g89 +V\u005c\u005c +p26070 +tp26071 +a(g89 +V)$ +p26072 +tp26073 +a(g89 +g1068 +tp26074 +a(g826 +V\u000a +p26075 +tp26076 +a(g89 +g1068 +tp26077 +a(g89 +V\u005c\u005c +p26078 +tp26079 +a(g89 +g2048 +tp26080 +a(g89 +V\u005c\u005c +p26081 +tp26082 +a(g89 +g2048 +tp26083 +a(g89 +g1068 +tp26084 +a(g826 +V\u000a +p26085 +tp26086 +a(g8 +V;; escape backslashes and quotes in string body +p26087 +tp26088 +a(g826 +V\u000a +p26089 +tp26090 +a(g705 +g1040 +tp26091 +a(g440 +Vreplace-regexp-in-string +p26092 +tp26093 +a(g826 +V\u000a +p26094 +tp26095 +a(g89 +g1068 +tp26096 +a(g89 +V\u005c\u005c +p26097 +tp26098 +a(g89 +g1040 +tp26099 +a(g89 +V\u005c\u005c +p26100 +tp26101 +a(g89 +V\u005c\u005c +p26102 +tp26103 +a(g89 +g4614 +tp26104 +a(g89 +V\u005c\u005c +p26105 +tp26106 +a(g89 +g1064 +tp26107 +a(g89 +V\u005c" +p26108 +tp26109 +a(g89 +g1068 +tp26110 +a(g826 +V\u000a +p26111 +tp26112 +a(g89 +g1068 +tp26113 +a(g89 +V\u005c\u005c +p26114 +tp26115 +a(g89 +g2048 +tp26116 +a(g89 +V\u005c\u005c +p26117 +tp26118 +a(g89 +g2048 +tp26119 +a(g89 +V\u005c\u005c +p26120 +tp26121 +a(g89 +V\u005c\u005c +p26122 +tp26123 +a(g89 +V\u005c" +p26124 +tp26125 +a(g89 +g1068 +tp26126 +a(g826 +V\u000a +p26127 +tp26128 +a(g440 +Vargument +p26129 +tp26130 +a(g705 +g1064 +tp26131 +a(g705 +g1064 +tp26132 +a(g705 +g1064 +tp26133 +a(g826 +V\u000a\u000a +p26134 +tp26135 +a(g705 +g1040 +tp26136 +a(g744 +Vif +p26137 +tp26138 +a(g826 +g1044 +tp26139 +a(g705 +g1040 +tp26140 +a(g573 +Vstring-match +p26141 +tp26142 +a(g826 +g1044 +tp26143 +a(g89 +g1068 +tp26144 +a(g89 +V[%! +p26145 +tp26146 +a(g89 +V\u005c" +p26147 +tp26148 +a(g89 +g1402 +tp26149 +a(g89 +g1068 +tp26150 +a(g826 +g1044 +tp26151 +a(g440 +Vargument +p26152 +tp26153 +a(g705 +g1064 +tp26154 +a(g826 +V\u000a +p26155 +tp26156 +a(g705 +g1040 +tp26157 +a(g573 +Vconcat +p26158 +tp26159 +a(g826 +V\u000a +p26160 +tp26161 +a(g89 +g1068 +tp26162 +a(g89 +V^ +p26163 +tp26164 +a(g89 +V\u005c" +p26165 +tp26166 +a(g89 +g1068 +tp26167 +a(g826 +V\u000a +p26168 +tp26169 +a(g705 +g1040 +tp26170 +a(g440 +Vreplace-regexp-in-string +p26171 +tp26172 +a(g826 +V\u000a +p26173 +tp26174 +a(g89 +g1068 +tp26175 +a(g89 +V\u005c\u005c +p26176 +tp26177 +a(g89 +V([%!() +p26178 +tp26179 +a(g89 +V\u005c" +p26180 +tp26181 +a(g89 +V<>&|^] +p26182 +tp26183 +a(g89 +V\u005c\u005c +p26184 +tp26185 +a(g89 +g1064 +tp26186 +a(g89 +g1068 +tp26187 +a(g826 +V\u000a +p26188 +tp26189 +a(g89 +g1068 +tp26190 +a(g89 +g26163 +tp26191 +a(g89 +V\u005c\u005c +p26192 +tp26193 +a(g89 +g2048 +tp26194 +a(g89 +g1068 +tp26195 +a(g826 +V\u000a +p26196 +tp26197 +a(g440 +Vargument +p26198 +tp26199 +a(g705 +g1064 +tp26200 +a(g826 +V\u000a +p26201 +tp26202 +a(g89 +g1068 +tp26203 +a(g89 +g26163 +tp26204 +a(g89 +V\u005c" +p26205 +tp26206 +a(g89 +g1068 +tp26207 +a(g705 +g1064 +tp26208 +a(g826 +V\u000a +p26209 +tp26210 +a(g705 +g1040 +tp26211 +a(g573 +Vconcat +p26212 +tp26213 +a(g826 +g1044 +tp26214 +a(g89 +g1068 +tp26215 +a(g89 +V\u005c" +p26216 +tp26217 +a(g89 +g1068 +tp26218 +a(g826 +g1044 +tp26219 +a(g440 +Vargument +p26220 +tp26221 +a(g826 +g1044 +tp26222 +a(g89 +g1068 +tp26223 +a(g89 +V\u005c" +p26224 +tp26225 +a(g89 +g1068 +tp26226 +a(g705 +g1064 +tp26227 +a(g705 +g1064 +tp26228 +a(g705 +g1064 +tp26229 +a(g826 +V\u000a\u000a +p26230 +tp26231 +a(g705 +g1040 +tp26232 +a(g565 +g1187 +tp26233 +a(g826 +V\u000a +p26234 +tp26235 +a(g705 +g1040 +tp26236 +a(g744 +Vif +p26237 +tp26238 +a(g826 +g1044 +tp26239 +a(g705 +g1040 +tp26240 +a(g573 +Vequal +p26241 +tp26242 +a(g826 +g1044 +tp26243 +a(g440 +Vargument +p26244 +tp26245 +a(g826 +g1044 +tp26246 +a(g89 +g1068 +tp26247 +a(g89 +g1068 +tp26248 +a(g705 +g1064 +tp26249 +a(g826 +V\u000a +p26250 +tp26251 +a(g89 +g1068 +tp26252 +a(g89 +V'' +p26253 +tp26254 +a(g89 +g1068 +tp26255 +a(g826 +V\u000a +p26256 +tp26257 +a(g8 +V;; Quote everything except POSIX filename characters. +p26258 +tp26259 +a(g826 +V\u000a +p26260 +tp26261 +a(g8 +V;; This should be safe enough even for really weird shells. +p26262 +tp26263 +a(g826 +V\u000a +p26264 +tp26265 +a(g705 +g1040 +tp26266 +a(g440 +Vreplace-regexp-in-string +p26267 +tp26268 +a(g826 +V\u000a +p26269 +tp26270 +a(g89 +g1068 +tp26271 +a(g89 +V\u005cn +p26272 +tp26273 +a(g89 +g1068 +tp26274 +a(g826 +g1044 +tp26275 +a(g89 +g1068 +tp26276 +a(g89 +g10833 +tp26277 +a(g89 +V\u005cn +p26278 +tp26279 +a(g89 +g10833 +tp26280 +a(g89 +g1068 +tp26281 +a(g826 +V\u000a +p26282 +tp26283 +a(g705 +g1040 +tp26284 +a(g440 +Vreplace-regexp-in-string +p26285 +tp26286 +a(g826 +g1044 +tp26287 +a(g89 +g1068 +tp26288 +a(g89 +V[^-0-9a-zA-Z_./ +p26289 +tp26290 +a(g89 +V\u005cn +p26291 +tp26292 +a(g89 +g1402 +tp26293 +a(g89 +g1068 +tp26294 +a(g826 +g1044 +tp26295 +a(g89 +g1068 +tp26296 +a(g89 +V\u005c\u005c +p26297 +tp26298 +a(g89 +V\u005c\u005c +p26299 +tp26300 +a(g89 +V\u005c\u005c +p26301 +tp26302 +a(g89 +V& +p26303 +tp26304 +a(g89 +g1068 +tp26305 +a(g826 +g1044 +tp26306 +a(g440 +Vargument +p26307 +tp26308 +a(g705 +g1064 +tp26309 +a(g705 +g1064 +tp26310 +a(g705 +g1064 +tp26311 +a(g705 +g1064 +tp26312 +a(g826 +V\u000a +p26313 +tp26314 +a(g705 +g1064 +tp26315 +a(g705 +g1064 +tp26316 +a(g826 +V\u000a\u000a +p26317 +tp26318 +a(g705 +g1040 +tp26319 +a(g681 +Vdefun +p26320 +tp26321 +a(g826 +g1044 +tp26322 +a(g440 +Vstring-or-null-p +p26323 +tp26324 +a(g826 +g1044 +tp26325 +a(g705 +g1040 +tp26326 +a(g440 +Vobject +p26327 +tp26328 +a(g705 +g1064 +tp26329 +a(g826 +V\u000a +p26330 +tp26331 +a(g89 +g1068 +tp26332 +a(g89 +VReturn t if OBJECT is a string or nil.\u000aOtherwise, return nil. +p26333 +tp26334 +a(g89 +g1068 +tp26335 +a(g826 +V\u000a +p26336 +tp26337 +a(g705 +g1040 +tp26338 +a(g744 +Vor +p26339 +tp26340 +a(g826 +g1044 +tp26341 +a(g705 +g1040 +tp26342 +a(g573 +Vstringp +p26343 +tp26344 +a(g826 +g1044 +tp26345 +a(g440 +Vobject +p26346 +tp26347 +a(g705 +g1064 +tp26348 +a(g826 +g1044 +tp26349 +a(g705 +g1040 +tp26350 +a(g573 +Vnull +p26351 +tp26352 +a(g826 +g1044 +tp26353 +a(g440 +Vobject +p26354 +tp26355 +a(g705 +g1064 +tp26356 +a(g705 +g1064 +tp26357 +a(g705 +g1064 +tp26358 +a(g826 +V\u000a\u000a +p26359 +tp26360 +a(g705 +g1040 +tp26361 +a(g681 +Vdefun +p26362 +tp26363 +a(g826 +g1044 +tp26364 +a(g440 +Vbooleanp +p26365 +tp26366 +a(g826 +g1044 +tp26367 +a(g705 +g1040 +tp26368 +a(g440 +Vobject +p26369 +tp26370 +a(g705 +g1064 +tp26371 +a(g826 +V\u000a +p26372 +tp26373 +a(g89 +g1068 +tp26374 +a(g89 +VReturn t if OBJECT is one of the two canonical boolean values: t or nil.\u000aOtherwise, return nil. +p26375 +tp26376 +a(g89 +g1068 +tp26377 +a(g826 +V\u000a +p26378 +tp26379 +a(g705 +g1040 +tp26380 +a(g744 +Vand +p26381 +tp26382 +a(g826 +g1044 +tp26383 +a(g705 +g1040 +tp26384 +a(g573 +Vmemq +p26385 +tp26386 +a(g826 +g1044 +tp26387 +a(g440 +Vobject +p26388 +tp26389 +a(g826 +g1044 +tp26390 +a(g412 +g10833 +tp26391 +a(g705 +g1040 +tp26392 +a(g565 +Vnil +p26393 +tp26394 +a(g826 +g1044 +tp26395 +a(g565 +g1187 +tp26396 +a(g705 +g1064 +tp26397 +a(g705 +g1064 +tp26398 +a(g826 +g1044 +tp26399 +a(g565 +g1187 +tp26400 +a(g705 +g1064 +tp26401 +a(g705 +g1064 +tp26402 +a(g826 +V\u000a\u000a +p26403 +tp26404 +a(g705 +g1040 +tp26405 +a(g681 +Vdefun +p26406 +tp26407 +a(g826 +g1044 +tp26408 +a(g440 +Vspecial-form-p +p26409 +tp26410 +a(g826 +g1044 +tp26411 +a(g705 +g1040 +tp26412 +a(g440 +Vobject +p26413 +tp26414 +a(g705 +g1064 +tp26415 +a(g826 +V\u000a +p26416 +tp26417 +a(g89 +g1068 +tp26418 +a(g89 +VNon-nil if and only if OBJECT is a special form. +p26419 +tp26420 +a(g89 +g1068 +tp26421 +a(g826 +V\u000a +p26422 +tp26423 +a(g705 +g1040 +tp26424 +a(g744 +Vif +p26425 +tp26426 +a(g826 +g1044 +tp26427 +a(g705 +g1040 +tp26428 +a(g744 +Vand +p26429 +tp26430 +a(g826 +g1044 +tp26431 +a(g705 +g1040 +tp26432 +a(g573 +Vsymbolp +p26433 +tp26434 +a(g826 +g1044 +tp26435 +a(g440 +Vobject +p26436 +tp26437 +a(g705 +g1064 +tp26438 +a(g826 +g1044 +tp26439 +a(g705 +g1040 +tp26440 +a(g573 +Vfboundp +p26441 +tp26442 +a(g826 +g1044 +tp26443 +a(g440 +Vobject +p26444 +tp26445 +a(g705 +g1064 +tp26446 +a(g705 +g1064 +tp26447 +a(g826 +V\u000a +p26448 +tp26449 +a(g705 +g1040 +tp26450 +a(g744 +Vsetq +p26451 +tp26452 +a(g826 +g1044 +tp26453 +a(g440 +Vobject +p26454 +tp26455 +a(g826 +g1044 +tp26456 +a(g705 +g1040 +tp26457 +a(g573 +Vindirect-function +p26458 +tp26459 +a(g826 +g1044 +tp26460 +a(g440 +Vobject +p26461 +tp26462 +a(g826 +g1044 +tp26463 +a(g565 +g1187 +tp26464 +a(g705 +g1064 +tp26465 +a(g705 +g1064 +tp26466 +a(g705 +g1064 +tp26467 +a(g826 +V\u000a +p26468 +tp26469 +a(g705 +g1040 +tp26470 +a(g744 +Vand +p26471 +tp26472 +a(g826 +g1044 +tp26473 +a(g705 +g1040 +tp26474 +a(g573 +Vsubrp +p26475 +tp26476 +a(g826 +g1044 +tp26477 +a(g440 +Vobject +p26478 +tp26479 +a(g705 +g1064 +tp26480 +a(g826 +g1044 +tp26481 +a(g705 +g1040 +tp26482 +a(g573 +Veq +p26483 +tp26484 +a(g826 +g1044 +tp26485 +a(g705 +g1040 +tp26486 +a(g573 +Vcdr +p26487 +tp26488 +a(g826 +g1044 +tp26489 +a(g705 +g1040 +tp26490 +a(g744 +Vsubr-arity +p26491 +tp26492 +a(g826 +g1044 +tp26493 +a(g440 +Vobject +p26494 +tp26495 +a(g705 +g1064 +tp26496 +a(g705 +g1064 +tp26497 +a(g826 +g1044 +tp26498 +a(g117 +V'unevalled +p26499 +tp26500 +a(g705 +g1064 +tp26501 +a(g705 +g1064 +tp26502 +a(g705 +g1064 +tp26503 +a(g826 +V\u000a\u000a +p26504 +tp26505 +a(g705 +g1040 +tp26506 +a(g681 +Vdefun +p26507 +tp26508 +a(g826 +g1044 +tp26509 +a(g440 +Vmacrop +p26510 +tp26511 +a(g826 +g1044 +tp26512 +a(g705 +g1040 +tp26513 +a(g440 +Vobject +p26514 +tp26515 +a(g705 +g1064 +tp26516 +a(g826 +V\u000a +p26517 +tp26518 +a(g89 +g1068 +tp26519 +a(g89 +VNon-nil if and only if OBJECT is a macro. +p26520 +tp26521 +a(g89 +g1068 +tp26522 +a(g826 +V\u000a +p26523 +tp26524 +a(g705 +g1040 +tp26525 +a(g744 +Vlet +p26526 +tp26527 +a(g826 +g1044 +tp26528 +a(g705 +g1040 +tp26529 +a(g705 +g1040 +tp26530 +a(g440 +Vdef +p26531 +tp26532 +a(g826 +g1044 +tp26533 +a(g705 +g1040 +tp26534 +a(g573 +Vindirect-function +p26535 +tp26536 +a(g826 +g1044 +tp26537 +a(g440 +Vobject +p26538 +tp26539 +a(g826 +g1044 +tp26540 +a(g565 +g1187 +tp26541 +a(g705 +g1064 +tp26542 +a(g705 +g1064 +tp26543 +a(g705 +g1064 +tp26544 +a(g826 +V\u000a +p26545 +tp26546 +a(g705 +g1040 +tp26547 +a(g681 +Vwhen +p26548 +tp26549 +a(g826 +g1044 +tp26550 +a(g705 +g1040 +tp26551 +a(g573 +Vconsp +p26552 +tp26553 +a(g826 +g1044 +tp26554 +a(g440 +Vdef +p26555 +tp26556 +a(g705 +g1064 +tp26557 +a(g826 +V\u000a +p26558 +tp26559 +a(g705 +g1040 +tp26560 +a(g744 +Vor +p26561 +tp26562 +a(g826 +g1044 +tp26563 +a(g705 +g1040 +tp26564 +a(g573 +Veq +p26565 +tp26566 +a(g826 +g1044 +tp26567 +a(g117 +V'macro +p26568 +tp26569 +a(g826 +g1044 +tp26570 +a(g705 +g1040 +tp26571 +a(g573 +Vcar +p26572 +tp26573 +a(g826 +g1044 +tp26574 +a(g440 +Vdef +p26575 +tp26576 +a(g705 +g1064 +tp26577 +a(g705 +g1064 +tp26578 +a(g826 +V\u000a +p26579 +tp26580 +a(g705 +g1040 +tp26581 +a(g744 +Vand +p26582 +tp26583 +a(g826 +g1044 +tp26584 +a(g705 +g1040 +tp26585 +a(g440 +Vautoloadp +p26586 +tp26587 +a(g826 +g1044 +tp26588 +a(g440 +Vdef +p26589 +tp26590 +a(g705 +g1064 +tp26591 +a(g826 +g1044 +tp26592 +a(g705 +g1040 +tp26593 +a(g573 +Vmemq +p26594 +tp26595 +a(g826 +g1044 +tp26596 +a(g705 +g1040 +tp26597 +a(g573 +Vnth +p26598 +tp26599 +a(g826 +g1044 +tp26600 +a(g37 +g9799 +tp26601 +a(g826 +g1044 +tp26602 +a(g440 +Vdef +p26603 +tp26604 +a(g705 +g1064 +tp26605 +a(g826 +g1044 +tp26606 +a(g412 +g10833 +tp26607 +a(g705 +g1040 +tp26608 +a(g440 +Vmacro +p26609 +tp26610 +a(g826 +g1044 +tp26611 +a(g565 +g1187 +tp26612 +a(g705 +g1064 +tp26613 +a(g705 +g1064 +tp26614 +a(g705 +g1064 +tp26615 +a(g705 +g1064 +tp26616 +a(g705 +g1064 +tp26617 +a(g705 +g1064 +tp26618 +a(g705 +g1064 +tp26619 +a(g826 +V\u000a\u000a +p26620 +tp26621 +a(g705 +g1040 +tp26622 +a(g681 +Vdefun +p26623 +tp26624 +a(g826 +g1044 +tp26625 +a(g440 +Vfield-at-pos +p26626 +tp26627 +a(g826 +g1044 +tp26628 +a(g705 +g1040 +tp26629 +a(g440 +Vpos +p26630 +tp26631 +a(g705 +g1064 +tp26632 +a(g826 +V\u000a +p26633 +tp26634 +a(g89 +g1068 +tp26635 +a(g89 +VReturn the field at position POS, taking stickiness etc into account. +p26636 +tp26637 +a(g89 +g1068 +tp26638 +a(g826 +V\u000a +p26639 +tp26640 +a(g705 +g1040 +tp26641 +a(g744 +Vlet +p26642 +tp26643 +a(g826 +g1044 +tp26644 +a(g705 +g1040 +tp26645 +a(g705 +g1040 +tp26646 +a(g440 +Vraw-field +p26647 +tp26648 +a(g826 +g1044 +tp26649 +a(g705 +g1040 +tp26650 +a(g573 +Vget-char-property +p26651 +tp26652 +a(g826 +g1044 +tp26653 +a(g705 +g1040 +tp26654 +a(g573 +Vfield-beginning +p26655 +tp26656 +a(g826 +g1044 +tp26657 +a(g440 +Vpos +p26658 +tp26659 +a(g705 +g1064 +tp26660 +a(g826 +g1044 +tp26661 +a(g117 +V'field +p26662 +tp26663 +a(g705 +g1064 +tp26664 +a(g705 +g1064 +tp26665 +a(g705 +g1064 +tp26666 +a(g826 +V\u000a +p26667 +tp26668 +a(g705 +g1040 +tp26669 +a(g744 +Vif +p26670 +tp26671 +a(g826 +g1044 +tp26672 +a(g705 +g1040 +tp26673 +a(g573 +Veq +p26674 +tp26675 +a(g826 +g1044 +tp26676 +a(g440 +Vraw-field +p26677 +tp26678 +a(g826 +g1044 +tp26679 +a(g117 +V'boundary +p26680 +tp26681 +a(g705 +g1064 +tp26682 +a(g826 +V\u000a +p26683 +tp26684 +a(g705 +g1040 +tp26685 +a(g573 +Vget-char-property +p26686 +tp26687 +a(g826 +g1044 +tp26688 +a(g705 +g1040 +tp26689 +a(g573 +V1- +p26690 +tp26691 +a(g826 +g1044 +tp26692 +a(g705 +g1040 +tp26693 +a(g573 +Vfield-end +p26694 +tp26695 +a(g826 +g1044 +tp26696 +a(g440 +Vpos +p26697 +tp26698 +a(g705 +g1064 +tp26699 +a(g705 +g1064 +tp26700 +a(g826 +g1044 +tp26701 +a(g117 +V'field +p26702 +tp26703 +a(g705 +g1064 +tp26704 +a(g826 +V\u000a +p26705 +tp26706 +a(g440 +Vraw-field +p26707 +tp26708 +a(g705 +g1064 +tp26709 +a(g705 +g1064 +tp26710 +a(g705 +g1064 +tp26711 +a(g826 +V\u000a\u000a +p26712 +tp26713 +a(g705 +g1040 +tp26714 +a(g681 +Vdefun +p26715 +tp26716 +a(g826 +g1044 +tp26717 +a(g440 +Vsha1 +p26718 +tp26719 +a(g826 +g1044 +tp26720 +a(g705 +g1040 +tp26721 +a(g440 +Vobject +p26722 +tp26723 +a(g826 +g1044 +tp26724 +a(g785 +V&optional +p26725 +tp26726 +a(g826 +g1044 +tp26727 +a(g440 +Vstart +p26728 +tp26729 +a(g826 +g1044 +tp26730 +a(g440 +Vend +p26731 +tp26732 +a(g826 +g1044 +tp26733 +a(g440 +Vbinary +p26734 +tp26735 +a(g705 +g1064 +tp26736 +a(g826 +V\u000a +p26737 +tp26738 +a(g89 +g1068 +tp26739 +a(g89 +VReturn the SHA1 (Secure Hash Algorithm) of an OBJECT.\u000aOBJECT is either a string or a buffer. Optional arguments START and\u000aEND are character positions specifying which portion of OBJECT for\u000acomputing the hash. If BINARY is non-nil, return a string in binary\u000aform. +p26740 +tp26741 +a(g89 +g1068 +tp26742 +a(g826 +V\u000a +p26743 +tp26744 +a(g705 +g1040 +tp26745 +a(g573 +Vsecure-hash +p26746 +tp26747 +a(g826 +g1044 +tp26748 +a(g117 +V'sha1 +p26749 +tp26750 +a(g826 +g1044 +tp26751 +a(g440 +Vobject +p26752 +tp26753 +a(g826 +g1044 +tp26754 +a(g440 +Vstart +p26755 +tp26756 +a(g826 +g1044 +tp26757 +a(g440 +Vend +p26758 +tp26759 +a(g826 +g1044 +tp26760 +a(g440 +Vbinary +p26761 +tp26762 +a(g705 +g1064 +tp26763 +a(g705 +g1064 +tp26764 +a(g826 +V\u000a\u000a +p26765 +tp26766 +a(g705 +g1040 +tp26767 +a(g681 +Vdefun +p26768 +tp26769 +a(g826 +g1044 +tp26770 +a(g440 +Vfunction-get +p26771 +tp26772 +a(g826 +g1044 +tp26773 +a(g705 +g1040 +tp26774 +a(g440 +Vf +p26775 +tp26776 +a(g826 +g1044 +tp26777 +a(g440 +Vprop +p26778 +tp26779 +a(g826 +g1044 +tp26780 +a(g785 +V&optional +p26781 +tp26782 +a(g826 +g1044 +tp26783 +a(g573 +Vautoload +p26784 +tp26785 +a(g705 +g1064 +tp26786 +a(g826 +V\u000a +p26787 +tp26788 +a(g89 +g1068 +tp26789 +a(g89 +VReturn the value of property PROP of function F.\u000aIf AUTOLOAD is non-nil and F is autoloaded, try to autoload it\u000ain the hope that it will set PROP. If AUTOLOAD is +p26790 +tp26791 +a(g117 +V`macro' +p26792 +tp26793 +a(g89 +V, only do it\u000aif it's an autoloaded macro. +p26794 +tp26795 +a(g89 +g1068 +tp26796 +a(g826 +V\u000a +p26797 +tp26798 +a(g705 +g1040 +tp26799 +a(g744 +Vlet +p26800 +tp26801 +a(g826 +g1044 +tp26802 +a(g705 +g1040 +tp26803 +a(g705 +g1040 +tp26804 +a(g440 +Vval +p26805 +tp26806 +a(g826 +g1044 +tp26807 +a(g565 +Vnil +p26808 +tp26809 +a(g705 +g1064 +tp26810 +a(g705 +g1064 +tp26811 +a(g826 +V\u000a +p26812 +tp26813 +a(g705 +g1040 +tp26814 +a(g744 +Vwhile +p26815 +tp26816 +a(g826 +g1044 +tp26817 +a(g705 +g1040 +tp26818 +a(g744 +Vand +p26819 +tp26820 +a(g826 +g1044 +tp26821 +a(g705 +g1040 +tp26822 +a(g573 +Vsymbolp +p26823 +tp26824 +a(g826 +g1044 +tp26825 +a(g440 +g26775 +tp26826 +a(g705 +g1064 +tp26827 +a(g826 +V\u000a +p26828 +tp26829 +a(g705 +g1040 +tp26830 +a(g573 +Vnull +p26831 +tp26832 +a(g826 +g1044 +tp26833 +a(g705 +g1040 +tp26834 +a(g744 +Vsetq +p26835 +tp26836 +a(g826 +g1044 +tp26837 +a(g440 +Vval +p26838 +tp26839 +a(g826 +g1044 +tp26840 +a(g705 +g1040 +tp26841 +a(g573 +Vget +p26842 +tp26843 +a(g826 +g1044 +tp26844 +a(g440 +g26775 +tp26845 +a(g826 +g1044 +tp26846 +a(g440 +Vprop +p26847 +tp26848 +a(g705 +g1064 +tp26849 +a(g705 +g1064 +tp26850 +a(g705 +g1064 +tp26851 +a(g826 +V\u000a +p26852 +tp26853 +a(g705 +g1040 +tp26854 +a(g573 +Vfboundp +p26855 +tp26856 +a(g826 +g1044 +tp26857 +a(g440 +g26775 +tp26858 +a(g705 +g1064 +tp26859 +a(g705 +g1064 +tp26860 +a(g826 +V\u000a +p26861 +tp26862 +a(g705 +g1040 +tp26863 +a(g744 +Vlet +p26864 +tp26865 +a(g826 +g1044 +tp26866 +a(g705 +g1040 +tp26867 +a(g705 +g1040 +tp26868 +a(g440 +Vfundef +p26869 +tp26870 +a(g826 +g1044 +tp26871 +a(g705 +g1040 +tp26872 +a(g573 +Vsymbol-function +p26873 +tp26874 +a(g826 +g1044 +tp26875 +a(g440 +g26775 +tp26876 +a(g705 +g1064 +tp26877 +a(g705 +g1064 +tp26878 +a(g705 +g1064 +tp26879 +a(g826 +V\u000a +p26880 +tp26881 +a(g705 +g1040 +tp26882 +a(g744 +Vif +p26883 +tp26884 +a(g826 +g1044 +tp26885 +a(g705 +g1040 +tp26886 +a(g744 +Vand +p26887 +tp26888 +a(g826 +g1044 +tp26889 +a(g573 +Vautoload +p26890 +tp26891 +a(g826 +g1044 +tp26892 +a(g705 +g1040 +tp26893 +a(g440 +Vautoloadp +p26894 +tp26895 +a(g826 +g1044 +tp26896 +a(g440 +Vfundef +p26897 +tp26898 +a(g705 +g1064 +tp26899 +a(g826 +V\u000a +p26900 +tp26901 +a(g705 +g1040 +tp26902 +a(g440 +Vnot +p26903 +tp26904 +a(g826 +g1044 +tp26905 +a(g705 +g1040 +tp26906 +a(g573 +Vequal +p26907 +tp26908 +a(g826 +g1044 +tp26909 +a(g440 +Vfundef +p26910 +tp26911 +a(g826 +V\u000a +p26912 +tp26913 +a(g705 +g1040 +tp26914 +a(g573 +Vautoload-do-load +p26915 +tp26916 +a(g826 +g1044 +tp26917 +a(g440 +Vfundef +p26918 +tp26919 +a(g826 +g1044 +tp26920 +a(g440 +g26775 +tp26921 +a(g826 +V\u000a +p26922 +tp26923 +a(g705 +g1040 +tp26924 +a(g744 +Vif +p26925 +tp26926 +a(g826 +g1044 +tp26927 +a(g705 +g1040 +tp26928 +a(g573 +Veq +p26929 +tp26930 +a(g826 +g1044 +tp26931 +a(g573 +Vautoload +p26932 +tp26933 +a(g826 +g1044 +tp26934 +a(g117 +V'macro +p26935 +tp26936 +a(g705 +g1064 +tp26937 +a(g826 +V\u000a +p26938 +tp26939 +a(g117 +V'macro +p26940 +tp26941 +a(g705 +g1064 +tp26942 +a(g705 +g1064 +tp26943 +a(g705 +g1064 +tp26944 +a(g705 +g1064 +tp26945 +a(g705 +g1064 +tp26946 +a(g826 +V\u000a +p26947 +tp26948 +a(g565 +Vnil +p26949 +tp26950 +a(g826 +V +p26951 +tp26952 +a(g8 +V;Re-try `get' on the same `f'. +p26953 +tp26954 +a(g826 +V\u000a +p26955 +tp26956 +a(g705 +g1040 +tp26957 +a(g744 +Vsetq +p26958 +tp26959 +a(g826 +g1044 +tp26960 +a(g440 +g26775 +tp26961 +a(g826 +g1044 +tp26962 +a(g440 +Vfundef +p26963 +tp26964 +a(g705 +g1064 +tp26965 +a(g705 +g1064 +tp26966 +a(g705 +g1064 +tp26967 +a(g705 +g1064 +tp26968 +a(g826 +V\u000a +p26969 +tp26970 +a(g440 +Vval +p26971 +tp26972 +a(g705 +g1064 +tp26973 +a(g705 +g1064 +tp26974 +a(g826 +V\u000a \u000a +p26975 +tp26976 +a(g8 +V;;;; Support for yanking and text properties. +p26977 +tp26978 +a(g826 +V\u000a +p26979 +tp26980 +a(g8 +V;; Why here in subr.el rather than in simple.el? --Stef +p26981 +tp26982 +a(g826 +V\u000a\u000a +p26983 +tp26984 +a(g705 +g1040 +tp26985 +a(g744 +Vdefvar +p26986 +tp26987 +a(g826 +g1044 +tp26988 +a(g440 +Vyank-handled-properties +p26989 +tp26990 +a(g705 +g1064 +tp26991 +a(g826 +V\u000a +p26992 +tp26993 +a(g705 +g1040 +tp26994 +a(g744 +Vdefvar +p26995 +tp26996 +a(g826 +g1044 +tp26997 +a(g440 +Vyank-excluded-properties +p26998 +tp26999 +a(g705 +g1064 +tp27000 +a(g826 +V\u000a\u000a +p27001 +tp27002 +a(g705 +g1040 +tp27003 +a(g681 +Vdefun +p27004 +tp27005 +a(g826 +g1044 +tp27006 +a(g440 +Vremove-yank-excluded-properties +p27007 +tp27008 +a(g826 +g1044 +tp27009 +a(g705 +g1040 +tp27010 +a(g440 +Vstart +p27011 +tp27012 +a(g826 +g1044 +tp27013 +a(g440 +Vend +p27014 +tp27015 +a(g705 +g1064 +tp27016 +a(g826 +V\u000a +p27017 +tp27018 +a(g89 +g1068 +tp27019 +a(g89 +VProcess text properties between START and END, inserted for a +p27020 +tp27021 +a(g117 +V`yank' +p27022 +tp27023 +a(g89 +V.\u000aPerform the handling specified by +p27024 +tp27025 +a(g117 +V`yank-handled-properties' +p27026 +tp27027 +a(g89 +V, then\u000aremove properties specified by +p27028 +tp27029 +a(g117 +V`yank-excluded-properties' +p27030 +tp27031 +a(g89 +g3307 +tp27032 +a(g89 +g1068 +tp27033 +a(g826 +V\u000a +p27034 +tp27035 +a(g705 +g1040 +tp27036 +a(g744 +Vlet +p27037 +tp27038 +a(g826 +g1044 +tp27039 +a(g705 +g1040 +tp27040 +a(g705 +g1040 +tp27041 +a(g440 +Vinhibit-read-only +p27042 +tp27043 +a(g826 +g1044 +tp27044 +a(g565 +g1187 +tp27045 +a(g705 +g1064 +tp27046 +a(g705 +g1064 +tp27047 +a(g826 +V\u000a +p27048 +tp27049 +a(g705 +g1040 +tp27050 +a(g681 +Vdolist +p27051 +tp27052 +a(g826 +g1044 +tp27053 +a(g705 +g1040 +tp27054 +a(g440 +Vhandler +p27055 +tp27056 +a(g826 +g1044 +tp27057 +a(g440 +Vyank-handled-properties +p27058 +tp27059 +a(g705 +g1064 +tp27060 +a(g826 +V\u000a +p27061 +tp27062 +a(g705 +g1040 +tp27063 +a(g744 +Vlet +p27064 +tp27065 +a(g826 +g1044 +tp27066 +a(g705 +g1040 +tp27067 +a(g705 +g1040 +tp27068 +a(g440 +Vprop +p27069 +tp27070 +a(g826 +g1044 +tp27071 +a(g705 +g1040 +tp27072 +a(g573 +Vcar +p27073 +tp27074 +a(g826 +g1044 +tp27075 +a(g440 +Vhandler +p27076 +tp27077 +a(g705 +g1064 +tp27078 +a(g705 +g1064 +tp27079 +a(g826 +V\u000a +p27080 +tp27081 +a(g705 +g1040 +tp27082 +a(g440 +Vfun +p27083 +tp27084 +a(g826 +V +p27085 +tp27086 +a(g705 +g1040 +tp27087 +a(g573 +Vcdr +p27088 +tp27089 +a(g826 +g1044 +tp27090 +a(g440 +Vhandler +p27091 +tp27092 +a(g705 +g1064 +tp27093 +a(g705 +g1064 +tp27094 +a(g826 +V\u000a +p27095 +tp27096 +a(g705 +g1040 +tp27097 +a(g440 +Vrun-start +p27098 +tp27099 +a(g826 +g1044 +tp27100 +a(g440 +Vstart +p27101 +tp27102 +a(g705 +g1064 +tp27103 +a(g705 +g1064 +tp27104 +a(g826 +V\u000a +p27105 +tp27106 +a(g705 +g1040 +tp27107 +a(g744 +Vwhile +p27108 +tp27109 +a(g826 +g1044 +tp27110 +a(g705 +g1040 +tp27111 +a(g573 +g2719 +tp27112 +a(g826 +g1044 +tp27113 +a(g440 +Vrun-start +p27114 +tp27115 +a(g826 +g1044 +tp27116 +a(g440 +Vend +p27117 +tp27118 +a(g705 +g1064 +tp27119 +a(g826 +V\u000a +p27120 +tp27121 +a(g705 +g1040 +tp27122 +a(g744 +Vlet +p27123 +tp27124 +a(g826 +g1044 +tp27125 +a(g705 +g1040 +tp27126 +a(g705 +g1040 +tp27127 +a(g440 +Vvalue +p27128 +tp27129 +a(g826 +g1044 +tp27130 +a(g705 +g1040 +tp27131 +a(g573 +Vget-text-property +p27132 +tp27133 +a(g826 +g1044 +tp27134 +a(g440 +Vrun-start +p27135 +tp27136 +a(g826 +g1044 +tp27137 +a(g440 +Vprop +p27138 +tp27139 +a(g705 +g1064 +tp27140 +a(g705 +g1064 +tp27141 +a(g826 +V\u000a +p27142 +tp27143 +a(g705 +g1040 +tp27144 +a(g440 +Vrun-end +p27145 +tp27146 +a(g826 +g1044 +tp27147 +a(g705 +g1040 +tp27148 +a(g573 +Vnext-single-property-change +p27149 +tp27150 +a(g826 +V\u000a +p27151 +tp27152 +a(g440 +Vrun-start +p27153 +tp27154 +a(g826 +g1044 +tp27155 +a(g440 +Vprop +p27156 +tp27157 +a(g826 +g1044 +tp27158 +a(g565 +Vnil +p27159 +tp27160 +a(g826 +g1044 +tp27161 +a(g440 +Vend +p27162 +tp27163 +a(g705 +g1064 +tp27164 +a(g705 +g1064 +tp27165 +a(g705 +g1064 +tp27166 +a(g826 +V\u000a +p27167 +tp27168 +a(g705 +g1040 +tp27169 +a(g573 +Vfuncall +p27170 +tp27171 +a(g826 +g1044 +tp27172 +a(g440 +Vfun +p27173 +tp27174 +a(g826 +g1044 +tp27175 +a(g440 +Vvalue +p27176 +tp27177 +a(g826 +g1044 +tp27178 +a(g440 +Vrun-start +p27179 +tp27180 +a(g826 +g1044 +tp27181 +a(g440 +Vrun-end +p27182 +tp27183 +a(g705 +g1064 +tp27184 +a(g826 +V\u000a +p27185 +tp27186 +a(g705 +g1040 +tp27187 +a(g744 +Vsetq +p27188 +tp27189 +a(g826 +g1044 +tp27190 +a(g440 +Vrun-start +p27191 +tp27192 +a(g826 +g1044 +tp27193 +a(g440 +Vrun-end +p27194 +tp27195 +a(g705 +g1064 +tp27196 +a(g705 +g1064 +tp27197 +a(g705 +g1064 +tp27198 +a(g705 +g1064 +tp27199 +a(g705 +g1064 +tp27200 +a(g826 +V\u000a +p27201 +tp27202 +a(g705 +g1040 +tp27203 +a(g744 +Vif +p27204 +tp27205 +a(g826 +g1044 +tp27206 +a(g705 +g1040 +tp27207 +a(g573 +Veq +p27208 +tp27209 +a(g826 +g1044 +tp27210 +a(g440 +Vyank-excluded-properties +p27211 +tp27212 +a(g826 +g1044 +tp27213 +a(g565 +g1187 +tp27214 +a(g705 +g1064 +tp27215 +a(g826 +V\u000a +p27216 +tp27217 +a(g705 +g1040 +tp27218 +a(g573 +Vset-text-properties +p27219 +tp27220 +a(g826 +g1044 +tp27221 +a(g440 +Vstart +p27222 +tp27223 +a(g826 +g1044 +tp27224 +a(g440 +Vend +p27225 +tp27226 +a(g826 +g1044 +tp27227 +a(g565 +Vnil +p27228 +tp27229 +a(g705 +g1064 +tp27230 +a(g826 +V\u000a +p27231 +tp27232 +a(g705 +g1040 +tp27233 +a(g573 +Vremove-list-of-text-properties +p27234 +tp27235 +a(g826 +g1044 +tp27236 +a(g440 +Vstart +p27237 +tp27238 +a(g826 +g1044 +tp27239 +a(g440 +Vend +p27240 +tp27241 +a(g826 +g1044 +tp27242 +a(g440 +Vyank-excluded-properties +p27243 +tp27244 +a(g705 +g1064 +tp27245 +a(g705 +g1064 +tp27246 +a(g705 +g1064 +tp27247 +a(g705 +g1064 +tp27248 +a(g826 +V\u000a\u000a +p27249 +tp27250 +a(g705 +g1040 +tp27251 +a(g744 +Vdefvar +p27252 +tp27253 +a(g826 +g1044 +tp27254 +a(g440 +Vyank-undo-function +p27255 +tp27256 +a(g705 +g1064 +tp27257 +a(g826 +V\u000a\u000a +p27258 +tp27259 +a(g705 +g1040 +tp27260 +a(g681 +Vdefun +p27261 +tp27262 +a(g826 +g1044 +tp27263 +a(g440 +Vinsert-for-yank +p27264 +tp27265 +a(g826 +g1044 +tp27266 +a(g705 +g1040 +tp27267 +a(g573 +Vstring +p27268 +tp27269 +a(g705 +g1064 +tp27270 +a(g826 +V\u000a +p27271 +tp27272 +a(g89 +g1068 +tp27273 +a(g89 +VCall +p27274 +tp27275 +a(g117 +V`insert-for-yank-1' +p27276 +tp27277 +a(g89 +V repetitively for each +p27278 +tp27279 +a(g117 +V`yank-handler' +p27280 +tp27281 +a(g89 +V segment.\u000a\u000aSee +p27282 +tp27283 +a(g117 +V`insert-for-yank-1' +p27284 +tp27285 +a(g89 +V for more details. +p27286 +tp27287 +a(g89 +g1068 +tp27288 +a(g826 +V\u000a +p27289 +tp27290 +a(g705 +g1040 +tp27291 +a(g744 +Vlet +p27292 +tp27293 +a(g826 +g1044 +tp27294 +a(g705 +g1040 +tp27295 +a(g440 +Vto +p27296 +tp27297 +a(g705 +g1064 +tp27298 +a(g826 +V\u000a +p27299 +tp27300 +a(g705 +g1040 +tp27301 +a(g744 +Vwhile +p27302 +tp27303 +a(g826 +g1044 +tp27304 +a(g705 +g1040 +tp27305 +a(g744 +Vsetq +p27306 +tp27307 +a(g826 +g1044 +tp27308 +a(g440 +Vto +p27309 +tp27310 +a(g826 +g1044 +tp27311 +a(g705 +g1040 +tp27312 +a(g573 +Vnext-single-property-change +p27313 +tp27314 +a(g826 +g1044 +tp27315 +a(g37 +g2628 +tp27316 +a(g826 +g1044 +tp27317 +a(g117 +V'yank-handler +p27318 +tp27319 +a(g826 +g1044 +tp27320 +a(g573 +Vstring +p27321 +tp27322 +a(g705 +g1064 +tp27323 +a(g705 +g1064 +tp27324 +a(g826 +V\u000a +p27325 +tp27326 +a(g705 +g1040 +tp27327 +a(g440 +Vinsert-for-yank-1 +p27328 +tp27329 +a(g826 +g1044 +tp27330 +a(g705 +g1040 +tp27331 +a(g573 +Vsubstring +p27332 +tp27333 +a(g826 +g1044 +tp27334 +a(g573 +Vstring +p27335 +tp27336 +a(g826 +g1044 +tp27337 +a(g37 +g2628 +tp27338 +a(g826 +g1044 +tp27339 +a(g440 +Vto +p27340 +tp27341 +a(g705 +g1064 +tp27342 +a(g705 +g1064 +tp27343 +a(g826 +V\u000a +p27344 +tp27345 +a(g705 +g1040 +tp27346 +a(g744 +Vsetq +p27347 +tp27348 +a(g826 +g1044 +tp27349 +a(g573 +Vstring +p27350 +tp27351 +a(g826 +g1044 +tp27352 +a(g705 +g1040 +tp27353 +a(g573 +Vsubstring +p27354 +tp27355 +a(g826 +g1044 +tp27356 +a(g573 +Vstring +p27357 +tp27358 +a(g826 +g1044 +tp27359 +a(g440 +Vto +p27360 +tp27361 +a(g705 +g1064 +tp27362 +a(g705 +g1064 +tp27363 +a(g705 +g1064 +tp27364 +a(g705 +g1064 +tp27365 +a(g826 +V\u000a +p27366 +tp27367 +a(g705 +g1040 +tp27368 +a(g440 +Vinsert-for-yank-1 +p27369 +tp27370 +a(g826 +g1044 +tp27371 +a(g573 +Vstring +p27372 +tp27373 +a(g705 +g1064 +tp27374 +a(g705 +g1064 +tp27375 +a(g826 +V\u000a\u000a +p27376 +tp27377 +a(g705 +g1040 +tp27378 +a(g681 +Vdefun +p27379 +tp27380 +a(g826 +g1044 +tp27381 +a(g440 +Vinsert-for-yank-1 +p27382 +tp27383 +a(g826 +g1044 +tp27384 +a(g705 +g1040 +tp27385 +a(g573 +Vstring +p27386 +tp27387 +a(g705 +g1064 +tp27388 +a(g826 +V\u000a +p27389 +tp27390 +a(g89 +g1068 +tp27391 +a(g89 +VInsert STRING at point for the +p27392 +tp27393 +a(g117 +V`yank' +p27394 +tp27395 +a(g89 +V command.\u000aThis function is like +p27396 +tp27397 +a(g117 +V`insert' +p27398 +tp27399 +a(g89 +V, except it honors the variables\u000a +p27400 +tp27401 +a(g117 +V`yank-handled-properties' +p27402 +tp27403 +a(g89 +V and +p27404 +tp27405 +a(g117 +V`yank-excluded-properties' +p27406 +tp27407 +a(g89 +V, and the\u000a +p27408 +tp27409 +a(g117 +V`yank-handler' +p27410 +tp27411 +a(g89 +V text property.\u000a\u000aProperties listed in +p27412 +tp27413 +a(g117 +V`yank-handled-properties' +p27414 +tp27415 +a(g89 +V are processed,\u000athen those listed in +p27416 +tp27417 +a(g117 +V`yank-excluded-properties' +p27418 +tp27419 +a(g89 +V are discarded.\u000a\u000aIf STRING has a non-nil +p27420 +tp27421 +a(g117 +V`yank-handler' +p27422 +tp27423 +a(g89 +V property on its first\u000acharacter, the normal insert behavior is altered. The value of\u000athe +p27424 +tp27425 +a(g117 +V`yank-handler' +p27426 +tp27427 +a(g89 +V property must be a list of one to four\u000aelements, of the form (FUNCTION PARAM NOEXCLUDE UNDO).\u000aFUNCTION, if non-nil, should be a function of one argument, an\u000a object to insert; it is called instead of +p27428 +tp27429 +a(g117 +V`insert' +p27430 +tp27431 +a(g89 +V.\u000aPARAM, if present and non-nil, replaces STRING as the argument to\u000a FUNCTION or +p27432 +tp27433 +a(g117 +V`insert' +p27434 +tp27435 +a(g89 +V; e.g. if FUNCTION is +p27436 +tp27437 +a(g117 +V`yank-rectangle' +p27438 +tp27439 +a(g89 +V, PARAM\u000a may be a list of strings to insert as a rectangle.\u000aIf NOEXCLUDE is present and non-nil, the normal removal of\u000a +p27440 +tp27441 +a(g117 +V`yank-excluded-properties' +p27442 +tp27443 +a(g89 +V is not performed; instead FUNCTION is\u000a responsible for the removal. This may be necessary if FUNCTION\u000a adjusts point before or after inserting the object.\u000aUNDO, if present and non-nil, should be a function to be called\u000a by +p27444 +tp27445 +a(g117 +V`yank-pop' +p27446 +tp27447 +a(g89 +V to undo the insertion of the current object. It is\u000a given two arguments, the start and end of the region. FUNCTION\u000a may set +p27448 +tp27449 +a(g117 +V`yank-undo-function' +p27450 +tp27451 +a(g89 +V to override UNDO. +p27452 +tp27453 +a(g89 +g1068 +tp27454 +a(g826 +V\u000a +p27455 +tp27456 +a(g705 +g1040 +tp27457 +a(g744 +Vlet* +p27458 +tp27459 +a(g826 +g1044 +tp27460 +a(g705 +g1040 +tp27461 +a(g705 +g1040 +tp27462 +a(g440 +Vhandler +p27463 +tp27464 +a(g826 +g1044 +tp27465 +a(g705 +g1040 +tp27466 +a(g744 +Vand +p27467 +tp27468 +a(g826 +g1044 +tp27469 +a(g705 +g1040 +tp27470 +a(g573 +Vstringp +p27471 +tp27472 +a(g826 +g1044 +tp27473 +a(g573 +Vstring +p27474 +tp27475 +a(g705 +g1064 +tp27476 +a(g826 +V\u000a +p27477 +tp27478 +a(g705 +g1040 +tp27479 +a(g573 +Vget-text-property +p27480 +tp27481 +a(g826 +g1044 +tp27482 +a(g37 +g2628 +tp27483 +a(g826 +g1044 +tp27484 +a(g117 +V'yank-handler +p27485 +tp27486 +a(g826 +g1044 +tp27487 +a(g573 +Vstring +p27488 +tp27489 +a(g705 +g1064 +tp27490 +a(g705 +g1064 +tp27491 +a(g705 +g1064 +tp27492 +a(g826 +V\u000a +p27493 +tp27494 +a(g705 +g1040 +tp27495 +a(g440 +Vparam +p27496 +tp27497 +a(g826 +g1044 +tp27498 +a(g705 +g1040 +tp27499 +a(g744 +Vor +p27500 +tp27501 +a(g826 +g1044 +tp27502 +a(g705 +g1040 +tp27503 +a(g573 +Vnth +p27504 +tp27505 +a(g826 +g1044 +tp27506 +a(g37 +g2048 +tp27507 +a(g826 +g1044 +tp27508 +a(g440 +Vhandler +p27509 +tp27510 +a(g705 +g1064 +tp27511 +a(g826 +g1044 +tp27512 +a(g573 +Vstring +p27513 +tp27514 +a(g705 +g1064 +tp27515 +a(g705 +g1064 +tp27516 +a(g826 +V\u000a +p27517 +tp27518 +a(g705 +g1040 +tp27519 +a(g440 +Vopoint +p27520 +tp27521 +a(g826 +g1044 +tp27522 +a(g705 +g1040 +tp27523 +a(g573 +Vpoint +p27524 +tp27525 +a(g705 +g1064 +tp27526 +a(g705 +g1064 +tp27527 +a(g826 +V\u000a +p27528 +tp27529 +a(g705 +g1040 +tp27530 +a(g440 +Vinhibit-read-only +p27531 +tp27532 +a(g826 +g1044 +tp27533 +a(g440 +Vinhibit-read-only +p27534 +tp27535 +a(g705 +g1064 +tp27536 +a(g826 +V\u000a +p27537 +tp27538 +a(g440 +Vend +p27539 +tp27540 +a(g705 +g1064 +tp27541 +a(g826 +V\u000a\u000a +p27542 +tp27543 +a(g705 +g1040 +tp27544 +a(g744 +Vsetq +p27545 +tp27546 +a(g826 +g1044 +tp27547 +a(g440 +Vyank-undo-function +p27548 +tp27549 +a(g826 +g1044 +tp27550 +a(g565 +g1187 +tp27551 +a(g705 +g1064 +tp27552 +a(g826 +V\u000a +p27553 +tp27554 +a(g705 +g1040 +tp27555 +a(g744 +Vif +p27556 +tp27557 +a(g826 +g1044 +tp27558 +a(g705 +g1040 +tp27559 +a(g573 +Vnth +p27560 +tp27561 +a(g826 +g1044 +tp27562 +a(g37 +g2628 +tp27563 +a(g826 +g1044 +tp27564 +a(g440 +Vhandler +p27565 +tp27566 +a(g705 +g1064 +tp27567 +a(g826 +g1044 +tp27568 +a(g8 +V; FUNCTION +p27569 +tp27570 +a(g826 +V\u000a +p27571 +tp27572 +a(g705 +g1040 +tp27573 +a(g573 +Vfuncall +p27574 +tp27575 +a(g826 +g1044 +tp27576 +a(g705 +g1040 +tp27577 +a(g573 +Vcar +p27578 +tp27579 +a(g826 +g1044 +tp27580 +a(g440 +Vhandler +p27581 +tp27582 +a(g705 +g1064 +tp27583 +a(g826 +g1044 +tp27584 +a(g440 +Vparam +p27585 +tp27586 +a(g705 +g1064 +tp27587 +a(g826 +V\u000a +p27588 +tp27589 +a(g705 +g1040 +tp27590 +a(g573 +Vinsert +p27591 +tp27592 +a(g826 +g1044 +tp27593 +a(g440 +Vparam +p27594 +tp27595 +a(g705 +g1064 +tp27596 +a(g705 +g1064 +tp27597 +a(g826 +V\u000a +p27598 +tp27599 +a(g705 +g1040 +tp27600 +a(g744 +Vsetq +p27601 +tp27602 +a(g826 +g1044 +tp27603 +a(g440 +Vend +p27604 +tp27605 +a(g826 +g1044 +tp27606 +a(g705 +g1040 +tp27607 +a(g573 +Vpoint +p27608 +tp27609 +a(g705 +g1064 +tp27610 +a(g705 +g1064 +tp27611 +a(g826 +V\u000a\u000a +p27612 +tp27613 +a(g8 +V;; Prevent read-only properties from interfering with the +p27614 +tp27615 +a(g826 +V\u000a +p27616 +tp27617 +a(g8 +V;; following text property changes. +p27618 +tp27619 +a(g826 +V\u000a +p27620 +tp27621 +a(g705 +g1040 +tp27622 +a(g744 +Vsetq +p27623 +tp27624 +a(g826 +g1044 +tp27625 +a(g440 +Vinhibit-read-only +p27626 +tp27627 +a(g826 +g1044 +tp27628 +a(g565 +g1187 +tp27629 +a(g705 +g1064 +tp27630 +a(g826 +V\u000a\u000a +p27631 +tp27632 +a(g705 +g1040 +tp27633 +a(g681 +Vunless +p27634 +tp27635 +a(g826 +g1044 +tp27636 +a(g705 +g1040 +tp27637 +a(g573 +Vnth +p27638 +tp27639 +a(g826 +g1044 +tp27640 +a(g37 +g1370 +tp27641 +a(g826 +g1044 +tp27642 +a(g440 +Vhandler +p27643 +tp27644 +a(g705 +g1064 +tp27645 +a(g826 +g1044 +tp27646 +a(g8 +V; NOEXCLUDE +p27647 +tp27648 +a(g826 +V\u000a +p27649 +tp27650 +a(g705 +g1040 +tp27651 +a(g440 +Vremove-yank-excluded-properties +p27652 +tp27653 +a(g826 +g1044 +tp27654 +a(g440 +Vopoint +p27655 +tp27656 +a(g826 +g1044 +tp27657 +a(g440 +Vend +p27658 +tp27659 +a(g705 +g1064 +tp27660 +a(g705 +g1064 +tp27661 +a(g826 +V\u000a\u000a +p27662 +tp27663 +a(g8 +V;; If last inserted char has properties, mark them as rear-nonsticky. +p27664 +tp27665 +a(g826 +V\u000a +p27666 +tp27667 +a(g705 +g1040 +tp27668 +a(g744 +Vif +p27669 +tp27670 +a(g826 +g1044 +tp27671 +a(g705 +g1040 +tp27672 +a(g744 +Vand +p27673 +tp27674 +a(g826 +g1044 +tp27675 +a(g705 +g1040 +tp27676 +a(g573 +g3989 +tp27677 +a(g826 +g1044 +tp27678 +a(g440 +Vend +p27679 +tp27680 +a(g826 +g1044 +tp27681 +a(g440 +Vopoint +p27682 +tp27683 +a(g705 +g1064 +tp27684 +a(g826 +V\u000a +p27685 +tp27686 +a(g705 +g1040 +tp27687 +a(g573 +Vtext-properties-at +p27688 +tp27689 +a(g826 +g1044 +tp27690 +a(g705 +g1040 +tp27691 +a(g573 +V1- +p27692 +tp27693 +a(g826 +g1044 +tp27694 +a(g440 +Vend +p27695 +tp27696 +a(g705 +g1064 +tp27697 +a(g705 +g1064 +tp27698 +a(g705 +g1064 +tp27699 +a(g826 +V\u000a +p27700 +tp27701 +a(g705 +g1040 +tp27702 +a(g573 +Vput-text-property +p27703 +tp27704 +a(g826 +g1044 +tp27705 +a(g705 +g1040 +tp27706 +a(g573 +V1- +p27707 +tp27708 +a(g826 +g1044 +tp27709 +a(g440 +Vend +p27710 +tp27711 +a(g705 +g1064 +tp27712 +a(g826 +g1044 +tp27713 +a(g440 +Vend +p27714 +tp27715 +a(g826 +g1044 +tp27716 +a(g117 +V'rear-nonsticky +p27717 +tp27718 +a(g826 +g1044 +tp27719 +a(g565 +g1187 +tp27720 +a(g705 +g1064 +tp27721 +a(g705 +g1064 +tp27722 +a(g826 +V\u000a\u000a +p27723 +tp27724 +a(g705 +g1040 +tp27725 +a(g744 +Vif +p27726 +tp27727 +a(g826 +g1044 +tp27728 +a(g705 +g1040 +tp27729 +a(g573 +Veq +p27730 +tp27731 +a(g826 +g1044 +tp27732 +a(g440 +Vyank-undo-function +p27733 +tp27734 +a(g826 +g1044 +tp27735 +a(g565 +g1187 +tp27736 +a(g705 +g1064 +tp27737 +a(g826 +V +p27738 +tp27739 +a(g8 +V; not set by FUNCTION +p27740 +tp27741 +a(g826 +V\u000a +p27742 +tp27743 +a(g705 +g1040 +tp27744 +a(g744 +Vsetq +p27745 +tp27746 +a(g826 +g1044 +tp27747 +a(g440 +Vyank-undo-function +p27748 +tp27749 +a(g826 +g1044 +tp27750 +a(g705 +g1040 +tp27751 +a(g573 +Vnth +p27752 +tp27753 +a(g826 +g1044 +tp27754 +a(g37 +g1564 +tp27755 +a(g826 +g1044 +tp27756 +a(g440 +Vhandler +p27757 +tp27758 +a(g705 +g1064 +tp27759 +a(g705 +g1064 +tp27760 +a(g705 +g1064 +tp27761 +a(g826 +g1044 +tp27762 +a(g8 +V; UNDO +p27763 +tp27764 +a(g826 +V\u000a +p27765 +tp27766 +a(g705 +g1040 +tp27767 +a(g744 +Vif +p27768 +tp27769 +a(g826 +g1044 +tp27770 +a(g705 +g1040 +tp27771 +a(g573 +Vnth +p27772 +tp27773 +a(g826 +g1044 +tp27774 +a(g37 +g9799 +tp27775 +a(g826 +g1044 +tp27776 +a(g440 +Vhandler +p27777 +tp27778 +a(g705 +g1064 +tp27779 +a(g826 +V +p27780 +tp27781 +a(g8 +V; COMMAND +p27782 +tp27783 +a(g826 +V\u000a +p27784 +tp27785 +a(g705 +g1040 +tp27786 +a(g744 +Vsetq +p27787 +tp27788 +a(g826 +g1044 +tp27789 +a(g440 +Vthis-command +p27790 +tp27791 +a(g826 +g1044 +tp27792 +a(g705 +g1040 +tp27793 +a(g573 +Vnth +p27794 +tp27795 +a(g826 +g1044 +tp27796 +a(g37 +g9799 +tp27797 +a(g826 +g1044 +tp27798 +a(g440 +Vhandler +p27799 +tp27800 +a(g705 +g1064 +tp27801 +a(g705 +g1064 +tp27802 +a(g705 +g1064 +tp27803 +a(g705 +g1064 +tp27804 +a(g705 +g1064 +tp27805 +a(g826 +V\u000a\u000a +p27806 +tp27807 +a(g705 +g1040 +tp27808 +a(g681 +Vdefun +p27809 +tp27810 +a(g826 +g1044 +tp27811 +a(g440 +Vinsert-buffer-substring-no-properties +p27812 +tp27813 +a(g826 +g1044 +tp27814 +a(g705 +g1040 +tp27815 +a(g440 +Vbuffer +p27816 +tp27817 +a(g826 +g1044 +tp27818 +a(g785 +V&optional +p27819 +tp27820 +a(g826 +g1044 +tp27821 +a(g440 +Vstart +p27822 +tp27823 +a(g826 +g1044 +tp27824 +a(g440 +Vend +p27825 +tp27826 +a(g705 +g1064 +tp27827 +a(g826 +V\u000a +p27828 +tp27829 +a(g89 +g1068 +tp27830 +a(g89 +VInsert before point a substring of BUFFER, without text properties.\u000aBUFFER may be a buffer or a buffer name.\u000aArguments START and END are character positions specifying the substring.\u000aThey default to the values of (point-min) and (point-max) in BUFFER. +p27831 +tp27832 +a(g89 +g1068 +tp27833 +a(g826 +V\u000a +p27834 +tp27835 +a(g705 +g1040 +tp27836 +a(g744 +Vlet +p27837 +tp27838 +a(g826 +g1044 +tp27839 +a(g705 +g1040 +tp27840 +a(g705 +g1040 +tp27841 +a(g440 +Vopoint +p27842 +tp27843 +a(g826 +g1044 +tp27844 +a(g705 +g1040 +tp27845 +a(g573 +Vpoint +p27846 +tp27847 +a(g705 +g1064 +tp27848 +a(g705 +g1064 +tp27849 +a(g705 +g1064 +tp27850 +a(g826 +V\u000a +p27851 +tp27852 +a(g705 +g1040 +tp27853 +a(g573 +Vinsert-buffer-substring +p27854 +tp27855 +a(g826 +g1044 +tp27856 +a(g440 +Vbuffer +p27857 +tp27858 +a(g826 +g1044 +tp27859 +a(g440 +Vstart +p27860 +tp27861 +a(g826 +g1044 +tp27862 +a(g440 +Vend +p27863 +tp27864 +a(g705 +g1064 +tp27865 +a(g826 +V\u000a +p27866 +tp27867 +a(g705 +g1040 +tp27868 +a(g744 +Vlet +p27869 +tp27870 +a(g826 +g1044 +tp27871 +a(g705 +g1040 +tp27872 +a(g705 +g1040 +tp27873 +a(g440 +Vinhibit-read-only +p27874 +tp27875 +a(g826 +g1044 +tp27876 +a(g565 +g1187 +tp27877 +a(g705 +g1064 +tp27878 +a(g705 +g1064 +tp27879 +a(g826 +V\u000a +p27880 +tp27881 +a(g705 +g1040 +tp27882 +a(g573 +Vset-text-properties +p27883 +tp27884 +a(g826 +g1044 +tp27885 +a(g440 +Vopoint +p27886 +tp27887 +a(g826 +g1044 +tp27888 +a(g705 +g1040 +tp27889 +a(g573 +Vpoint +p27890 +tp27891 +a(g705 +g1064 +tp27892 +a(g826 +g1044 +tp27893 +a(g565 +Vnil +p27894 +tp27895 +a(g705 +g1064 +tp27896 +a(g705 +g1064 +tp27897 +a(g705 +g1064 +tp27898 +a(g705 +g1064 +tp27899 +a(g826 +V\u000a\u000a +p27900 +tp27901 +a(g705 +g1040 +tp27902 +a(g681 +Vdefun +p27903 +tp27904 +a(g826 +g1044 +tp27905 +a(g440 +Vinsert-buffer-substring-as-yank +p27906 +tp27907 +a(g826 +g1044 +tp27908 +a(g705 +g1040 +tp27909 +a(g440 +Vbuffer +p27910 +tp27911 +a(g826 +g1044 +tp27912 +a(g785 +V&optional +p27913 +tp27914 +a(g826 +g1044 +tp27915 +a(g440 +Vstart +p27916 +tp27917 +a(g826 +g1044 +tp27918 +a(g440 +Vend +p27919 +tp27920 +a(g705 +g1064 +tp27921 +a(g826 +V\u000a +p27922 +tp27923 +a(g89 +g1068 +tp27924 +a(g89 +VInsert before point a part of BUFFER, stripping some text properties.\u000aBUFFER may be a buffer or a buffer name.\u000aArguments START and END are character positions specifying the substring.\u000aThey default to the values of (point-min) and (point-max) in BUFFER.\u000aBefore insertion, process text properties according to\u000a +p27925 +tp27926 +a(g117 +V`yank-handled-properties' +p27927 +tp27928 +a(g89 +V and +p27929 +tp27930 +a(g117 +V`yank-excluded-properties' +p27931 +tp27932 +a(g89 +g3307 +tp27933 +a(g89 +g1068 +tp27934 +a(g826 +V\u000a +p27935 +tp27936 +a(g8 +V;; Since the buffer text should not normally have yank-handler properties, +p27937 +tp27938 +a(g826 +V\u000a +p27939 +tp27940 +a(g8 +V;; there is no need to handle them here. +p27941 +tp27942 +a(g826 +V\u000a +p27943 +tp27944 +a(g705 +g1040 +tp27945 +a(g744 +Vlet +p27946 +tp27947 +a(g826 +g1044 +tp27948 +a(g705 +g1040 +tp27949 +a(g705 +g1040 +tp27950 +a(g440 +Vopoint +p27951 +tp27952 +a(g826 +g1044 +tp27953 +a(g705 +g1040 +tp27954 +a(g573 +Vpoint +p27955 +tp27956 +a(g705 +g1064 +tp27957 +a(g705 +g1064 +tp27958 +a(g705 +g1064 +tp27959 +a(g826 +V\u000a +p27960 +tp27961 +a(g705 +g1040 +tp27962 +a(g573 +Vinsert-buffer-substring +p27963 +tp27964 +a(g826 +g1044 +tp27965 +a(g440 +Vbuffer +p27966 +tp27967 +a(g826 +g1044 +tp27968 +a(g440 +Vstart +p27969 +tp27970 +a(g826 +g1044 +tp27971 +a(g440 +Vend +p27972 +tp27973 +a(g705 +g1064 +tp27974 +a(g826 +V\u000a +p27975 +tp27976 +a(g705 +g1040 +tp27977 +a(g440 +Vremove-yank-excluded-properties +p27978 +tp27979 +a(g826 +g1044 +tp27980 +a(g440 +Vopoint +p27981 +tp27982 +a(g826 +g1044 +tp27983 +a(g705 +g1040 +tp27984 +a(g573 +Vpoint +p27985 +tp27986 +a(g705 +g1064 +tp27987 +a(g705 +g1064 +tp27988 +a(g705 +g1064 +tp27989 +a(g705 +g1064 +tp27990 +a(g826 +V\u000a\u000a +p27991 +tp27992 +a(g705 +g1040 +tp27993 +a(g681 +Vdefun +p27994 +tp27995 +a(g826 +g1044 +tp27996 +a(g440 +Vyank-handle-font-lock-face-property +p27997 +tp27998 +a(g826 +g1044 +tp27999 +a(g705 +g1040 +tp28000 +a(g440 +Vface +p28001 +tp28002 +a(g826 +g1044 +tp28003 +a(g440 +Vstart +p28004 +tp28005 +a(g826 +g1044 +tp28006 +a(g440 +Vend +p28007 +tp28008 +a(g705 +g1064 +tp28009 +a(g826 +V\u000a +p28010 +tp28011 +a(g89 +g1068 +tp28012 +a(g89 +VIf +p28013 +tp28014 +a(g117 +V`font-lock-defaults' +p28015 +tp28016 +a(g89 +V is nil, apply FACE as a +p28017 +tp28018 +a(g117 +V`face' +p28019 +tp28020 +a(g89 +V property.\u000aSTART and END denote the start and end of the text to act on.\u000aDo nothing if FACE is nil. +p28021 +tp28022 +a(g89 +g1068 +tp28023 +a(g826 +V\u000a +p28024 +tp28025 +a(g705 +g1040 +tp28026 +a(g744 +Vand +p28027 +tp28028 +a(g826 +g1044 +tp28029 +a(g440 +Vface +p28030 +tp28031 +a(g826 +V\u000a +p28032 +tp28033 +a(g705 +g1040 +tp28034 +a(g573 +Vnull +p28035 +tp28036 +a(g826 +g1044 +tp28037 +a(g440 +Vfont-lock-defaults +p28038 +tp28039 +a(g705 +g1064 +tp28040 +a(g826 +V\u000a +p28041 +tp28042 +a(g705 +g1040 +tp28043 +a(g573 +Vput-text-property +p28044 +tp28045 +a(g826 +g1044 +tp28046 +a(g440 +Vstart +p28047 +tp28048 +a(g826 +g1044 +tp28049 +a(g440 +Vend +p28050 +tp28051 +a(g826 +g1044 +tp28052 +a(g117 +V'face +p28053 +tp28054 +a(g826 +g1044 +tp28055 +a(g440 +Vface +p28056 +tp28057 +a(g705 +g1064 +tp28058 +a(g705 +g1064 +tp28059 +a(g705 +g1064 +tp28060 +a(g826 +V\u000a\u000a +p28061 +tp28062 +a(g8 +V;; This removes `mouse-face' properties in *Help* buffer buttons: +p28063 +tp28064 +a(g826 +V\u000a +p28065 +tp28066 +a(g8 +V;; http://lists.gnu.org/archive/html/emacs-devel/2002-04/msg00648.html +p28067 +tp28068 +a(g826 +V\u000a +p28069 +tp28070 +a(g705 +g1040 +tp28071 +a(g681 +Vdefun +p28072 +tp28073 +a(g826 +g1044 +tp28074 +a(g440 +Vyank-handle-category-property +p28075 +tp28076 +a(g826 +g1044 +tp28077 +a(g705 +g1040 +tp28078 +a(g440 +Vcategory +p28079 +tp28080 +a(g826 +g1044 +tp28081 +a(g440 +Vstart +p28082 +tp28083 +a(g826 +g1044 +tp28084 +a(g440 +Vend +p28085 +tp28086 +a(g705 +g1064 +tp28087 +a(g826 +V\u000a +p28088 +tp28089 +a(g89 +g1068 +tp28090 +a(g89 +VApply property category CATEGORY's properties between START and END. +p28091 +tp28092 +a(g89 +g1068 +tp28093 +a(g826 +V\u000a +p28094 +tp28095 +a(g705 +g1040 +tp28096 +a(g681 +Vwhen +p28097 +tp28098 +a(g826 +g1044 +tp28099 +a(g440 +Vcategory +p28100 +tp28101 +a(g826 +V\u000a +p28102 +tp28103 +a(g705 +g1040 +tp28104 +a(g744 +Vlet +p28105 +tp28106 +a(g826 +g1044 +tp28107 +a(g705 +g1040 +tp28108 +a(g705 +g1040 +tp28109 +a(g440 +Vstart2 +p28110 +tp28111 +a(g826 +g1044 +tp28112 +a(g440 +Vstart +p28113 +tp28114 +a(g705 +g1064 +tp28115 +a(g705 +g1064 +tp28116 +a(g826 +V\u000a +p28117 +tp28118 +a(g705 +g1040 +tp28119 +a(g744 +Vwhile +p28120 +tp28121 +a(g826 +g1044 +tp28122 +a(g705 +g1040 +tp28123 +a(g573 +g2719 +tp28124 +a(g826 +g1044 +tp28125 +a(g440 +Vstart2 +p28126 +tp28127 +a(g826 +g1044 +tp28128 +a(g440 +Vend +p28129 +tp28130 +a(g705 +g1064 +tp28131 +a(g826 +V\u000a +p28132 +tp28133 +a(g705 +g1040 +tp28134 +a(g744 +Vlet +p28135 +tp28136 +a(g826 +g1044 +tp28137 +a(g705 +g1040 +tp28138 +a(g705 +g1040 +tp28139 +a(g440 +Vend2 +p28140 +tp28141 +a(g826 +V +p28142 +tp28143 +a(g705 +g1040 +tp28144 +a(g573 +Vnext-property-change +p28145 +tp28146 +a(g826 +g1044 +tp28147 +a(g440 +Vstart2 +p28148 +tp28149 +a(g826 +g1044 +tp28150 +a(g565 +Vnil +p28151 +tp28152 +a(g826 +g1044 +tp28153 +a(g440 +Vend +p28154 +tp28155 +a(g705 +g1064 +tp28156 +a(g705 +g1064 +tp28157 +a(g826 +V\u000a +p28158 +tp28159 +a(g705 +g1040 +tp28160 +a(g440 +Voriginal +p28161 +tp28162 +a(g826 +g1044 +tp28163 +a(g705 +g1040 +tp28164 +a(g573 +Vtext-properties-at +p28165 +tp28166 +a(g826 +g1044 +tp28167 +a(g440 +Vstart2 +p28168 +tp28169 +a(g705 +g1064 +tp28170 +a(g705 +g1064 +tp28171 +a(g705 +g1064 +tp28172 +a(g826 +V\u000a +p28173 +tp28174 +a(g705 +g1040 +tp28175 +a(g573 +Vset-text-properties +p28176 +tp28177 +a(g826 +g1044 +tp28178 +a(g440 +Vstart2 +p28179 +tp28180 +a(g826 +g1044 +tp28181 +a(g440 +Vend2 +p28182 +tp28183 +a(g826 +g1044 +tp28184 +a(g705 +g1040 +tp28185 +a(g573 +Vsymbol-plist +p28186 +tp28187 +a(g826 +g1044 +tp28188 +a(g440 +Vcategory +p28189 +tp28190 +a(g705 +g1064 +tp28191 +a(g705 +g1064 +tp28192 +a(g826 +V\u000a +p28193 +tp28194 +a(g705 +g1040 +tp28195 +a(g573 +Vadd-text-properties +p28196 +tp28197 +a(g826 +g1044 +tp28198 +a(g440 +Vstart2 +p28199 +tp28200 +a(g826 +g1044 +tp28201 +a(g440 +Vend2 +p28202 +tp28203 +a(g826 +g1044 +tp28204 +a(g440 +Voriginal +p28205 +tp28206 +a(g705 +g1064 +tp28207 +a(g826 +V\u000a +p28208 +tp28209 +a(g705 +g1040 +tp28210 +a(g744 +Vsetq +p28211 +tp28212 +a(g826 +g1044 +tp28213 +a(g440 +Vstart2 +p28214 +tp28215 +a(g826 +g1044 +tp28216 +a(g440 +Vend2 +p28217 +tp28218 +a(g705 +g1064 +tp28219 +a(g705 +g1064 +tp28220 +a(g705 +g1064 +tp28221 +a(g705 +g1064 +tp28222 +a(g705 +g1064 +tp28223 +a(g705 +g1064 +tp28224 +a(g826 +V\u000a\u000a \u000a +p28225 +tp28226 +a(g8 +V;;;; Synchronous shell commands. +p28227 +tp28228 +a(g826 +V\u000a\u000a +p28229 +tp28230 +a(g705 +g1040 +tp28231 +a(g681 +Vdefun +p28232 +tp28233 +a(g826 +g1044 +tp28234 +a(g440 +Vstart-process-shell-command +p28235 +tp28236 +a(g826 +g1044 +tp28237 +a(g705 +g1040 +tp28238 +a(g440 +Vname +p28239 +tp28240 +a(g826 +g1044 +tp28241 +a(g440 +Vbuffer +p28242 +tp28243 +a(g826 +g1044 +tp28244 +a(g785 +V&rest +p28245 +tp28246 +a(g826 +g1044 +tp28247 +a(g440 +Vargs +p28248 +tp28249 +a(g705 +g1064 +tp28250 +a(g826 +V\u000a +p28251 +tp28252 +a(g89 +g1068 +tp28253 +a(g89 +VStart a program in a subprocess. Return the process object for it.\u000aNAME is name for process. It is modified if necessary to make it unique.\u000aBUFFER is the buffer (or buffer name) to associate with the process.\u000a Process output goes at end of that buffer, unless you specify\u000a an output stream or filter function to handle the output.\u000a BUFFER may be also nil, meaning that this process is not associated\u000a with any buffer\u000aCOMMAND is the shell command to run.\u000a\u000aAn old calling convention accepted any number of arguments after COMMAND,\u000awhich were just concatenated to COMMAND. This is still supported but strongly\u000adiscouraged. +p28254 +tp28255 +a(g89 +g1068 +tp28256 +a(g826 +V\u000a +p28257 +tp28258 +a(g705 +g1040 +tp28259 +a(g681 +Vdeclare +p28260 +tp28261 +a(g826 +g1044 +tp28262 +a(g705 +g1040 +tp28263 +a(g440 +Vadvertised-calling-convention +p28264 +tp28265 +a(g826 +g1044 +tp28266 +a(g705 +g1040 +tp28267 +a(g440 +Vname +p28268 +tp28269 +a(g826 +g1044 +tp28270 +a(g440 +Vbuffer +p28271 +tp28272 +a(g826 +g1044 +tp28273 +a(g440 +Vcommand +p28274 +tp28275 +a(g705 +g1064 +tp28276 +a(g826 +g1044 +tp28277 +a(g89 +g1068 +tp28278 +a(g89 +V23.1 +p28279 +tp28280 +a(g89 +g1068 +tp28281 +a(g705 +g1064 +tp28282 +a(g705 +g1064 +tp28283 +a(g826 +V\u000a +p28284 +tp28285 +a(g8 +V;; We used to use `exec' to replace the shell with the command, +p28286 +tp28287 +a(g826 +V\u000a +p28288 +tp28289 +a(g8 +V;; but that failed to handle (...) and semicolon, etc. +p28290 +tp28291 +a(g826 +V\u000a +p28292 +tp28293 +a(g705 +g1040 +tp28294 +a(g573 +Vstart-process +p28295 +tp28296 +a(g826 +g1044 +tp28297 +a(g440 +Vname +p28298 +tp28299 +a(g826 +g1044 +tp28300 +a(g440 +Vbuffer +p28301 +tp28302 +a(g826 +g1044 +tp28303 +a(g440 +Vshell-file-name +p28304 +tp28305 +a(g826 +g1044 +tp28306 +a(g440 +Vshell-command-switch +p28307 +tp28308 +a(g826 +V\u000a +p28309 +tp28310 +a(g705 +g1040 +tp28311 +a(g573 +Vmapconcat +p28312 +tp28313 +a(g826 +g1044 +tp28314 +a(g117 +V'identity +p28315 +tp28316 +a(g826 +g1044 +tp28317 +a(g440 +Vargs +p28318 +tp28319 +a(g826 +g1044 +tp28320 +a(g89 +g1068 +tp28321 +a(g89 +g1044 +tp28322 +a(g89 +g1068 +tp28323 +a(g705 +g1064 +tp28324 +a(g705 +g1064 +tp28325 +a(g705 +g1064 +tp28326 +a(g826 +V\u000a\u000a +p28327 +tp28328 +a(g705 +g1040 +tp28329 +a(g681 +Vdefun +p28330 +tp28331 +a(g826 +g1044 +tp28332 +a(g440 +Vstart-file-process-shell-command +p28333 +tp28334 +a(g826 +g1044 +tp28335 +a(g705 +g1040 +tp28336 +a(g440 +Vname +p28337 +tp28338 +a(g826 +g1044 +tp28339 +a(g440 +Vbuffer +p28340 +tp28341 +a(g826 +g1044 +tp28342 +a(g785 +V&rest +p28343 +tp28344 +a(g826 +g1044 +tp28345 +a(g440 +Vargs +p28346 +tp28347 +a(g705 +g1064 +tp28348 +a(g826 +V\u000a +p28349 +tp28350 +a(g89 +g1068 +tp28351 +a(g89 +VStart a program in a subprocess. Return the process object for it.\u000aSimilar to +p28352 +tp28353 +a(g117 +V`start-process-shell-command' +p28354 +tp28355 +a(g89 +V, but calls +p28356 +tp28357 +a(g117 +V`start-file-process' +p28358 +tp28359 +a(g89 +g3307 +tp28360 +a(g89 +g1068 +tp28361 +a(g826 +V\u000a +p28362 +tp28363 +a(g705 +g1040 +tp28364 +a(g681 +Vdeclare +p28365 +tp28366 +a(g826 +g1044 +tp28367 +a(g705 +g1040 +tp28368 +a(g440 +Vadvertised-calling-convention +p28369 +tp28370 +a(g826 +g1044 +tp28371 +a(g705 +g1040 +tp28372 +a(g440 +Vname +p28373 +tp28374 +a(g826 +g1044 +tp28375 +a(g440 +Vbuffer +p28376 +tp28377 +a(g826 +g1044 +tp28378 +a(g440 +Vcommand +p28379 +tp28380 +a(g705 +g1064 +tp28381 +a(g826 +g1044 +tp28382 +a(g89 +g1068 +tp28383 +a(g89 +V23.1 +p28384 +tp28385 +a(g89 +g1068 +tp28386 +a(g705 +g1064 +tp28387 +a(g705 +g1064 +tp28388 +a(g826 +V\u000a +p28389 +tp28390 +a(g705 +g1040 +tp28391 +a(g440 +Vstart-file-process +p28392 +tp28393 +a(g826 +V\u000a +p28394 +tp28395 +a(g440 +Vname +p28396 +tp28397 +a(g826 +g1044 +tp28398 +a(g440 +Vbuffer +p28399 +tp28400 +a(g826 +V\u000a +p28401 +tp28402 +a(g705 +g1040 +tp28403 +a(g744 +Vif +p28404 +tp28405 +a(g826 +g1044 +tp28406 +a(g705 +g1040 +tp28407 +a(g440 +Vfile-remote-p +p28408 +tp28409 +a(g826 +g1044 +tp28410 +a(g440 +Vdefault-directory +p28411 +tp28412 +a(g705 +g1064 +tp28413 +a(g826 +g1044 +tp28414 +a(g89 +g1068 +tp28415 +a(g89 +V/bin/sh +p28416 +tp28417 +a(g89 +g1068 +tp28418 +a(g826 +g1044 +tp28419 +a(g440 +Vshell-file-name +p28420 +tp28421 +a(g705 +g1064 +tp28422 +a(g826 +V\u000a +p28423 +tp28424 +a(g705 +g1040 +tp28425 +a(g744 +Vif +p28426 +tp28427 +a(g826 +g1044 +tp28428 +a(g705 +g1040 +tp28429 +a(g440 +Vfile-remote-p +p28430 +tp28431 +a(g826 +g1044 +tp28432 +a(g440 +Vdefault-directory +p28433 +tp28434 +a(g705 +g1064 +tp28435 +a(g826 +g1044 +tp28436 +a(g89 +g1068 +tp28437 +a(g89 +V-c +p28438 +tp28439 +a(g89 +g1068 +tp28440 +a(g826 +g1044 +tp28441 +a(g440 +Vshell-command-switch +p28442 +tp28443 +a(g705 +g1064 +tp28444 +a(g826 +V\u000a +p28445 +tp28446 +a(g705 +g1040 +tp28447 +a(g573 +Vmapconcat +p28448 +tp28449 +a(g826 +g1044 +tp28450 +a(g117 +V'identity +p28451 +tp28452 +a(g826 +g1044 +tp28453 +a(g440 +Vargs +p28454 +tp28455 +a(g826 +g1044 +tp28456 +a(g89 +g1068 +tp28457 +a(g89 +g1044 +tp28458 +a(g89 +g1068 +tp28459 +a(g705 +g1064 +tp28460 +a(g705 +g1064 +tp28461 +a(g705 +g1064 +tp28462 +a(g826 +V\u000a\u000a +p28463 +tp28464 +a(g705 +g1040 +tp28465 +a(g681 +Vdefun +p28466 +tp28467 +a(g826 +g1044 +tp28468 +a(g440 +Vcall-process-shell-command +p28469 +tp28470 +a(g826 +g1044 +tp28471 +a(g705 +g1040 +tp28472 +a(g440 +Vcommand +p28473 +tp28474 +a(g826 +g1044 +tp28475 +a(g785 +V&optional +p28476 +tp28477 +a(g826 +g1044 +tp28478 +a(g440 +Vinfile +p28479 +tp28480 +a(g826 +g1044 +tp28481 +a(g440 +Vbuffer +p28482 +tp28483 +a(g826 +g1044 +tp28484 +a(g440 +Vdisplay +p28485 +tp28486 +a(g826 +V\u000a +p28487 +tp28488 +a(g785 +V&rest +p28489 +tp28490 +a(g826 +g1044 +tp28491 +a(g440 +Vargs +p28492 +tp28493 +a(g705 +g1064 +tp28494 +a(g826 +V\u000a +p28495 +tp28496 +a(g89 +g1068 +tp28497 +a(g89 +VExecute the shell command COMMAND synchronously in separate process.\u000aThe remaining arguments are optional.\u000aThe program's input comes from file INFILE (nil means +p28498 +tp28499 +a(g117 +V`/dev/null' +p28500 +tp28501 +a(g89 +V).\u000aInsert output in BUFFER before point; t means current buffer;\u000a nil for BUFFER means discard it; 0 means discard and don't wait.\u000aBUFFER can also have the form (REAL-BUFFER STDERR-FILE); in that case,\u000aREAL-BUFFER says what to do with standard output, as above,\u000awhile STDERR-FILE says what to do with standard error in the child.\u000aSTDERR-FILE may be nil (discard standard error output),\u000at (mix it with ordinary output), or a file name string.\u000a\u000aFourth arg DISPLAY non-nil means redisplay buffer as output is inserted.\u000aWildcards and redirection are handled as usual in the shell.\u000a\u000aIf BUFFER is 0, +p28502 +tp28503 +a(g117 +V`call-process-shell-command' +p28504 +tp28505 +a(g89 +V returns immediately with value nil.\u000aOtherwise it waits for COMMAND to terminate and returns a numeric exit\u000astatus or a signal description string.\u000aIf you quit, the process is killed with SIGINT, or SIGKILL if you quit again.\u000a\u000aAn old calling convention accepted any number of arguments after DISPLAY,\u000awhich were just concatenated to COMMAND. This is still supported but strongly\u000adiscouraged. +p28506 +tp28507 +a(g89 +g1068 +tp28508 +a(g826 +V\u000a +p28509 +tp28510 +a(g705 +g1040 +tp28511 +a(g681 +Vdeclare +p28512 +tp28513 +a(g826 +g1044 +tp28514 +a(g705 +g1040 +tp28515 +a(g440 +Vadvertised-calling-convention +p28516 +tp28517 +a(g826 +V\u000a +p28518 +tp28519 +a(g705 +g1040 +tp28520 +a(g440 +Vcommand +p28521 +tp28522 +a(g826 +g1044 +tp28523 +a(g785 +V&optional +p28524 +tp28525 +a(g826 +g1044 +tp28526 +a(g440 +Vinfile +p28527 +tp28528 +a(g826 +g1044 +tp28529 +a(g440 +Vbuffer +p28530 +tp28531 +a(g826 +g1044 +tp28532 +a(g440 +Vdisplay +p28533 +tp28534 +a(g705 +g1064 +tp28535 +a(g826 +g1044 +tp28536 +a(g89 +g1068 +tp28537 +a(g89 +V24.5 +p28538 +tp28539 +a(g89 +g1068 +tp28540 +a(g705 +g1064 +tp28541 +a(g705 +g1064 +tp28542 +a(g826 +V\u000a +p28543 +tp28544 +a(g8 +V;; We used to use `exec' to replace the shell with the command, +p28545 +tp28546 +a(g826 +V\u000a +p28547 +tp28548 +a(g8 +V;; but that failed to handle (...) and semicolon, etc. +p28549 +tp28550 +a(g826 +V\u000a +p28551 +tp28552 +a(g705 +g1040 +tp28553 +a(g573 +Vcall-process +p28554 +tp28555 +a(g826 +g1044 +tp28556 +a(g440 +Vshell-file-name +p28557 +tp28558 +a(g826 +V\u000a +p28559 +tp28560 +a(g440 +Vinfile +p28561 +tp28562 +a(g826 +g1044 +tp28563 +a(g440 +Vbuffer +p28564 +tp28565 +a(g826 +g1044 +tp28566 +a(g440 +Vdisplay +p28567 +tp28568 +a(g826 +V\u000a +p28569 +tp28570 +a(g440 +Vshell-command-switch +p28571 +tp28572 +a(g826 +V\u000a +p28573 +tp28574 +a(g705 +g1040 +tp28575 +a(g573 +Vmapconcat +p28576 +tp28577 +a(g826 +g1044 +tp28578 +a(g117 +V'identity +p28579 +tp28580 +a(g826 +g1044 +tp28581 +a(g705 +g1040 +tp28582 +a(g573 +Vcons +p28583 +tp28584 +a(g826 +g1044 +tp28585 +a(g440 +Vcommand +p28586 +tp28587 +a(g826 +g1044 +tp28588 +a(g440 +Vargs +p28589 +tp28590 +a(g705 +g1064 +tp28591 +a(g826 +g1044 +tp28592 +a(g89 +g1068 +tp28593 +a(g89 +g1044 +tp28594 +a(g89 +g1068 +tp28595 +a(g705 +g1064 +tp28596 +a(g705 +g1064 +tp28597 +a(g705 +g1064 +tp28598 +a(g826 +V\u000a\u000a +p28599 +tp28600 +a(g705 +g1040 +tp28601 +a(g681 +Vdefun +p28602 +tp28603 +a(g826 +g1044 +tp28604 +a(g440 +Vprocess-file-shell-command +p28605 +tp28606 +a(g826 +g1044 +tp28607 +a(g705 +g1040 +tp28608 +a(g440 +Vcommand +p28609 +tp28610 +a(g826 +g1044 +tp28611 +a(g785 +V&optional +p28612 +tp28613 +a(g826 +g1044 +tp28614 +a(g440 +Vinfile +p28615 +tp28616 +a(g826 +g1044 +tp28617 +a(g440 +Vbuffer +p28618 +tp28619 +a(g826 +g1044 +tp28620 +a(g440 +Vdisplay +p28621 +tp28622 +a(g826 +V\u000a +p28623 +tp28624 +a(g785 +V&rest +p28625 +tp28626 +a(g826 +g1044 +tp28627 +a(g440 +Vargs +p28628 +tp28629 +a(g705 +g1064 +tp28630 +a(g826 +V\u000a +p28631 +tp28632 +a(g89 +g1068 +tp28633 +a(g89 +VProcess files synchronously in a separate process.\u000aSimilar to +p28634 +tp28635 +a(g117 +V`call-process-shell-command' +p28636 +tp28637 +a(g89 +V, but calls +p28638 +tp28639 +a(g117 +V`process-file' +p28640 +tp28641 +a(g89 +g3307 +tp28642 +a(g89 +g1068 +tp28643 +a(g826 +V\u000a +p28644 +tp28645 +a(g705 +g1040 +tp28646 +a(g681 +Vdeclare +p28647 +tp28648 +a(g826 +g1044 +tp28649 +a(g705 +g1040 +tp28650 +a(g440 +Vadvertised-calling-convention +p28651 +tp28652 +a(g826 +V\u000a +p28653 +tp28654 +a(g705 +g1040 +tp28655 +a(g440 +Vcommand +p28656 +tp28657 +a(g826 +g1044 +tp28658 +a(g785 +V&optional +p28659 +tp28660 +a(g826 +g1044 +tp28661 +a(g440 +Vinfile +p28662 +tp28663 +a(g826 +g1044 +tp28664 +a(g440 +Vbuffer +p28665 +tp28666 +a(g826 +g1044 +tp28667 +a(g440 +Vdisplay +p28668 +tp28669 +a(g705 +g1064 +tp28670 +a(g826 +g1044 +tp28671 +a(g89 +g1068 +tp28672 +a(g89 +V24.5 +p28673 +tp28674 +a(g89 +g1068 +tp28675 +a(g705 +g1064 +tp28676 +a(g705 +g1064 +tp28677 +a(g826 +V\u000a +p28678 +tp28679 +a(g705 +g1040 +tp28680 +a(g440 +Vprocess-file +p28681 +tp28682 +a(g826 +V\u000a +p28683 +tp28684 +a(g705 +g1040 +tp28685 +a(g744 +Vif +p28686 +tp28687 +a(g826 +g1044 +tp28688 +a(g705 +g1040 +tp28689 +a(g440 +Vfile-remote-p +p28690 +tp28691 +a(g826 +g1044 +tp28692 +a(g440 +Vdefault-directory +p28693 +tp28694 +a(g705 +g1064 +tp28695 +a(g826 +g1044 +tp28696 +a(g89 +g1068 +tp28697 +a(g89 +V/bin/sh +p28698 +tp28699 +a(g89 +g1068 +tp28700 +a(g826 +g1044 +tp28701 +a(g440 +Vshell-file-name +p28702 +tp28703 +a(g705 +g1064 +tp28704 +a(g826 +V\u000a +p28705 +tp28706 +a(g440 +Vinfile +p28707 +tp28708 +a(g826 +g1044 +tp28709 +a(g440 +Vbuffer +p28710 +tp28711 +a(g826 +g1044 +tp28712 +a(g440 +Vdisplay +p28713 +tp28714 +a(g826 +V\u000a +p28715 +tp28716 +a(g705 +g1040 +tp28717 +a(g744 +Vif +p28718 +tp28719 +a(g826 +g1044 +tp28720 +a(g705 +g1040 +tp28721 +a(g440 +Vfile-remote-p +p28722 +tp28723 +a(g826 +g1044 +tp28724 +a(g440 +Vdefault-directory +p28725 +tp28726 +a(g705 +g1064 +tp28727 +a(g826 +g1044 +tp28728 +a(g89 +g1068 +tp28729 +a(g89 +V-c +p28730 +tp28731 +a(g89 +g1068 +tp28732 +a(g826 +g1044 +tp28733 +a(g440 +Vshell-command-switch +p28734 +tp28735 +a(g705 +g1064 +tp28736 +a(g826 +V\u000a +p28737 +tp28738 +a(g705 +g1040 +tp28739 +a(g573 +Vmapconcat +p28740 +tp28741 +a(g826 +g1044 +tp28742 +a(g117 +V'identity +p28743 +tp28744 +a(g826 +g1044 +tp28745 +a(g705 +g1040 +tp28746 +a(g573 +Vcons +p28747 +tp28748 +a(g826 +g1044 +tp28749 +a(g440 +Vcommand +p28750 +tp28751 +a(g826 +g1044 +tp28752 +a(g440 +Vargs +p28753 +tp28754 +a(g705 +g1064 +tp28755 +a(g826 +g1044 +tp28756 +a(g89 +g1068 +tp28757 +a(g89 +g1044 +tp28758 +a(g89 +g1068 +tp28759 +a(g705 +g1064 +tp28760 +a(g705 +g1064 +tp28761 +a(g705 +g1064 +tp28762 +a(g826 +V\u000a \u000a +p28763 +tp28764 +a(g8 +V;;;; Lisp macros to do various things temporarily. +p28765 +tp28766 +a(g826 +V\u000a\u000a +p28767 +tp28768 +a(g705 +g1040 +tp28769 +a(g681 +Vdefmacro +p28770 +tp28771 +a(g826 +g1044 +tp28772 +a(g681 +Vtrack-mouse +p28773 +tp28774 +a(g826 +g1044 +tp28775 +a(g705 +g1040 +tp28776 +a(g785 +V&rest +p28777 +tp28778 +a(g826 +g1044 +tp28779 +a(g440 +Vbody +p28780 +tp28781 +a(g705 +g1064 +tp28782 +a(g826 +V\u000a +p28783 +tp28784 +a(g89 +g1068 +tp28785 +a(g89 +VEvaluate BODY with mouse movement events enabled.\u000aWithin a +p28786 +tp28787 +a(g117 +V`track-mouse' +p28788 +tp28789 +a(g89 +V form, mouse motion generates input events that\u000a you can read with +p28790 +tp28791 +a(g117 +V`read-event' +p28792 +tp28793 +a(g89 +V.\u000aNormally, mouse motion is ignored. +p28794 +tp28795 +a(g89 +g1068 +tp28796 +a(g826 +V\u000a +p28797 +tp28798 +a(g705 +g1040 +tp28799 +a(g681 +Vdeclare +p28800 +tp28801 +a(g826 +g1044 +tp28802 +a(g705 +g1040 +tp28803 +a(g440 +Vdebug +p28804 +tp28805 +a(g826 +g1044 +tp28806 +a(g565 +g1187 +tp28807 +a(g705 +g1064 +tp28808 +a(g826 +g1044 +tp28809 +a(g705 +g1040 +tp28810 +a(g440 +Vindent +p28811 +tp28812 +a(g826 +g1044 +tp28813 +a(g37 +g2628 +tp28814 +a(g705 +g1064 +tp28815 +a(g705 +g1064 +tp28816 +a(g826 +V\u000a +p28817 +tp28818 +a(g412 +g1128 +tp28819 +a(g705 +g1040 +tp28820 +a(g573 +Vinternal--track-mouse +p28821 +tp28822 +a(g826 +g1044 +tp28823 +a(g705 +g1040 +tp28824 +a(g681 +Vlambda +p28825 +tp28826 +a(g826 +g1044 +tp28827 +a(g705 +g1040 +tp28828 +a(g705 +g1064 +tp28829 +a(g826 +g1044 +tp28830 +a(g412 +V,@ +p28831 +tp28832 +a(g440 +Vbody +p28833 +tp28834 +a(g705 +g1064 +tp28835 +a(g705 +g1064 +tp28836 +a(g705 +g1064 +tp28837 +a(g826 +V\u000a\u000a +p28838 +tp28839 +a(g705 +g1040 +tp28840 +a(g681 +Vdefmacro +p28841 +tp28842 +a(g826 +g1044 +tp28843 +a(g681 +Vwith-current-buffer +p28844 +tp28845 +a(g826 +g1044 +tp28846 +a(g705 +g1040 +tp28847 +a(g440 +Vbuffer-or-name +p28848 +tp28849 +a(g826 +g1044 +tp28850 +a(g785 +V&rest +p28851 +tp28852 +a(g826 +g1044 +tp28853 +a(g440 +Vbody +p28854 +tp28855 +a(g705 +g1064 +tp28856 +a(g826 +V\u000a +p28857 +tp28858 +a(g89 +g1068 +tp28859 +a(g89 +VExecute the forms in BODY with BUFFER-OR-NAME temporarily current.\u000aBUFFER-OR-NAME must be a buffer or the name of an existing buffer.\u000aThe value returned is the value of the last form in BODY. See\u000aalso +p28860 +tp28861 +a(g117 +V`with-temp-buffer' +p28862 +tp28863 +a(g89 +g3307 +tp28864 +a(g89 +g1068 +tp28865 +a(g826 +V\u000a +p28866 +tp28867 +a(g705 +g1040 +tp28868 +a(g681 +Vdeclare +p28869 +tp28870 +a(g826 +g1044 +tp28871 +a(g705 +g1040 +tp28872 +a(g440 +Vindent +p28873 +tp28874 +a(g826 +g1044 +tp28875 +a(g37 +g2048 +tp28876 +a(g705 +g1064 +tp28877 +a(g826 +g1044 +tp28878 +a(g705 +g1040 +tp28879 +a(g440 +Vdebug +p28880 +tp28881 +a(g826 +g1044 +tp28882 +a(g565 +g1187 +tp28883 +a(g705 +g1064 +tp28884 +a(g705 +g1064 +tp28885 +a(g826 +V\u000a +p28886 +tp28887 +a(g412 +g1128 +tp28888 +a(g705 +g1040 +tp28889 +a(g744 +Vsave-current-buffer +p28890 +tp28891 +a(g826 +V\u000a +p28892 +tp28893 +a(g705 +g1040 +tp28894 +a(g573 +Vset-buffer +p28895 +tp28896 +a(g826 +g1044 +tp28897 +a(g412 +g1198 +tp28898 +a(g440 +Vbuffer-or-name +p28899 +tp28900 +a(g705 +g1064 +tp28901 +a(g826 +V\u000a +p28902 +tp28903 +a(g412 +V,@ +p28904 +tp28905 +a(g440 +Vbody +p28906 +tp28907 +a(g705 +g1064 +tp28908 +a(g705 +g1064 +tp28909 +a(g826 +V\u000a\u000a +p28910 +tp28911 +a(g705 +g1040 +tp28912 +a(g681 +Vdefun +p28913 +tp28914 +a(g826 +g1044 +tp28915 +a(g440 +Vinternal--before-with-selected-window +p28916 +tp28917 +a(g826 +g1044 +tp28918 +a(g705 +g1040 +tp28919 +a(g440 +Vwindow +p28920 +tp28921 +a(g705 +g1064 +tp28922 +a(g826 +V\u000a +p28923 +tp28924 +a(g705 +g1040 +tp28925 +a(g744 +Vlet +p28926 +tp28927 +a(g826 +g1044 +tp28928 +a(g705 +g1040 +tp28929 +a(g705 +g1040 +tp28930 +a(g440 +Vother-frame +p28931 +tp28932 +a(g826 +g1044 +tp28933 +a(g705 +g1040 +tp28934 +a(g573 +Vwindow-frame +p28935 +tp28936 +a(g826 +g1044 +tp28937 +a(g440 +Vwindow +p28938 +tp28939 +a(g705 +g1064 +tp28940 +a(g705 +g1064 +tp28941 +a(g705 +g1064 +tp28942 +a(g826 +V\u000a +p28943 +tp28944 +a(g705 +g1040 +tp28945 +a(g573 +Vlist +p28946 +tp28947 +a(g826 +g1044 +tp28948 +a(g440 +Vwindow +p28949 +tp28950 +a(g826 +g1044 +tp28951 +a(g705 +g1040 +tp28952 +a(g573 +Vselected-window +p28953 +tp28954 +a(g705 +g1064 +tp28955 +a(g826 +V\u000a +p28956 +tp28957 +a(g8 +V;; Selecting a window on another frame also changes that +p28958 +tp28959 +a(g826 +V\u000a +p28960 +tp28961 +a(g8 +V;; frame's frame-selected-window. We must save&restore it. +p28962 +tp28963 +a(g826 +V\u000a +p28964 +tp28965 +a(g705 +g1040 +tp28966 +a(g681 +Vunless +p28967 +tp28968 +a(g826 +g1044 +tp28969 +a(g705 +g1040 +tp28970 +a(g573 +Veq +p28971 +tp28972 +a(g826 +g1044 +tp28973 +a(g705 +g1040 +tp28974 +a(g573 +Vselected-frame +p28975 +tp28976 +a(g705 +g1064 +tp28977 +a(g826 +g1044 +tp28978 +a(g440 +Vother-frame +p28979 +tp28980 +a(g705 +g1064 +tp28981 +a(g826 +V\u000a +p28982 +tp28983 +a(g705 +g1040 +tp28984 +a(g573 +Vframe-selected-window +p28985 +tp28986 +a(g826 +g1044 +tp28987 +a(g440 +Vother-frame +p28988 +tp28989 +a(g705 +g1064 +tp28990 +a(g705 +g1064 +tp28991 +a(g826 +V\u000a +p28992 +tp28993 +a(g8 +V;; Also remember the top-frame if on ttys. +p28994 +tp28995 +a(g826 +V\u000a +p28996 +tp28997 +a(g705 +g1040 +tp28998 +a(g681 +Vunless +p28999 +tp29000 +a(g826 +g1044 +tp29001 +a(g705 +g1040 +tp29002 +a(g573 +Veq +p29003 +tp29004 +a(g826 +g1044 +tp29005 +a(g705 +g1040 +tp29006 +a(g573 +Vselected-frame +p29007 +tp29008 +a(g705 +g1064 +tp29009 +a(g826 +g1044 +tp29010 +a(g440 +Vother-frame +p29011 +tp29012 +a(g705 +g1064 +tp29013 +a(g826 +V\u000a +p29014 +tp29015 +a(g705 +g1040 +tp29016 +a(g573 +Vtty-top-frame +p29017 +tp29018 +a(g826 +g1044 +tp29019 +a(g440 +Vother-frame +p29020 +tp29021 +a(g705 +g1064 +tp29022 +a(g705 +g1064 +tp29023 +a(g705 +g1064 +tp29024 +a(g705 +g1064 +tp29025 +a(g705 +g1064 +tp29026 +a(g826 +V\u000a\u000a +p29027 +tp29028 +a(g705 +g1040 +tp29029 +a(g681 +Vdefun +p29030 +tp29031 +a(g826 +g1044 +tp29032 +a(g440 +Vinternal--after-with-selected-window +p29033 +tp29034 +a(g826 +g1044 +tp29035 +a(g705 +g1040 +tp29036 +a(g440 +Vstate +p29037 +tp29038 +a(g705 +g1064 +tp29039 +a(g826 +V\u000a +p29040 +tp29041 +a(g8 +V;; First reset frame-selected-window. +p29042 +tp29043 +a(g826 +V\u000a +p29044 +tp29045 +a(g705 +g1040 +tp29046 +a(g681 +Vwhen +p29047 +tp29048 +a(g826 +g1044 +tp29049 +a(g705 +g1040 +tp29050 +a(g573 +Vwindow-live-p +p29051 +tp29052 +a(g826 +g1044 +tp29053 +a(g705 +g1040 +tp29054 +a(g573 +Vnth +p29055 +tp29056 +a(g826 +g1044 +tp29057 +a(g37 +g1370 +tp29058 +a(g826 +g1044 +tp29059 +a(g440 +Vstate +p29060 +tp29061 +a(g705 +g1064 +tp29062 +a(g705 +g1064 +tp29063 +a(g826 +V\u000a +p29064 +tp29065 +a(g8 +V;; We don't use set-frame-selected-window because it does not +p29066 +tp29067 +a(g826 +V\u000a +p29068 +tp29069 +a(g8 +V;; pass the `norecord' argument to Fselect_window. +p29070 +tp29071 +a(g826 +V\u000a +p29072 +tp29073 +a(g705 +g1040 +tp29074 +a(g573 +Vselect-window +p29075 +tp29076 +a(g826 +g1044 +tp29077 +a(g705 +g1040 +tp29078 +a(g573 +Vnth +p29079 +tp29080 +a(g826 +g1044 +tp29081 +a(g37 +g1370 +tp29082 +a(g826 +g1044 +tp29083 +a(g440 +Vstate +p29084 +tp29085 +a(g705 +g1064 +tp29086 +a(g826 +g1044 +tp29087 +a(g117 +V'norecord +p29088 +tp29089 +a(g705 +g1064 +tp29090 +a(g826 +V\u000a +p29091 +tp29092 +a(g705 +g1040 +tp29093 +a(g744 +Vand +p29094 +tp29095 +a(g826 +g1044 +tp29096 +a(g705 +g1040 +tp29097 +a(g573 +Vframe-live-p +p29098 +tp29099 +a(g826 +g1044 +tp29100 +a(g705 +g1040 +tp29101 +a(g573 +Vnth +p29102 +tp29103 +a(g826 +g1044 +tp29104 +a(g37 +g1564 +tp29105 +a(g826 +g1044 +tp29106 +a(g440 +Vstate +p29107 +tp29108 +a(g705 +g1064 +tp29109 +a(g705 +g1064 +tp29110 +a(g826 +V\u000a +p29111 +tp29112 +a(g705 +g1040 +tp29113 +a(g440 +Vnot +p29114 +tp29115 +a(g826 +g1044 +tp29116 +a(g705 +g1040 +tp29117 +a(g573 +Veq +p29118 +tp29119 +a(g826 +g1044 +tp29120 +a(g705 +g1040 +tp29121 +a(g573 +Vtty-top-frame +p29122 +tp29123 +a(g705 +g1064 +tp29124 +a(g826 +g1044 +tp29125 +a(g705 +g1040 +tp29126 +a(g573 +Vnth +p29127 +tp29128 +a(g826 +g1044 +tp29129 +a(g37 +g1564 +tp29130 +a(g826 +g1044 +tp29131 +a(g440 +Vstate +p29132 +tp29133 +a(g705 +g1064 +tp29134 +a(g705 +g1064 +tp29135 +a(g705 +g1064 +tp29136 +a(g826 +V\u000a +p29137 +tp29138 +a(g705 +g1040 +tp29139 +a(g573 +Vselect-frame +p29140 +tp29141 +a(g826 +g1044 +tp29142 +a(g705 +g1040 +tp29143 +a(g573 +Vnth +p29144 +tp29145 +a(g826 +g1044 +tp29146 +a(g37 +g1564 +tp29147 +a(g826 +g1044 +tp29148 +a(g440 +Vstate +p29149 +tp29150 +a(g705 +g1064 +tp29151 +a(g826 +g1044 +tp29152 +a(g117 +V'norecord +p29153 +tp29154 +a(g705 +g1064 +tp29155 +a(g705 +g1064 +tp29156 +a(g705 +g1064 +tp29157 +a(g826 +V\u000a +p29158 +tp29159 +a(g8 +V;; Then reset the actual selected-window. +p29160 +tp29161 +a(g826 +V\u000a +p29162 +tp29163 +a(g705 +g1040 +tp29164 +a(g681 +Vwhen +p29165 +tp29166 +a(g826 +g1044 +tp29167 +a(g705 +g1040 +tp29168 +a(g573 +Vwindow-live-p +p29169 +tp29170 +a(g826 +g1044 +tp29171 +a(g705 +g1040 +tp29172 +a(g573 +Vnth +p29173 +tp29174 +a(g826 +g1044 +tp29175 +a(g37 +g2048 +tp29176 +a(g826 +g1044 +tp29177 +a(g440 +Vstate +p29178 +tp29179 +a(g705 +g1064 +tp29180 +a(g705 +g1064 +tp29181 +a(g826 +V\u000a +p29182 +tp29183 +a(g705 +g1040 +tp29184 +a(g573 +Vselect-window +p29185 +tp29186 +a(g826 +g1044 +tp29187 +a(g705 +g1040 +tp29188 +a(g573 +Vnth +p29189 +tp29190 +a(g826 +g1044 +tp29191 +a(g37 +g2048 +tp29192 +a(g826 +g1044 +tp29193 +a(g440 +Vstate +p29194 +tp29195 +a(g705 +g1064 +tp29196 +a(g826 +g1044 +tp29197 +a(g117 +V'norecord +p29198 +tp29199 +a(g705 +g1064 +tp29200 +a(g705 +g1064 +tp29201 +a(g705 +g1064 +tp29202 +a(g826 +V\u000a\u000a +p29203 +tp29204 +a(g705 +g1040 +tp29205 +a(g681 +Vdefmacro +p29206 +tp29207 +a(g826 +g1044 +tp29208 +a(g681 +Vwith-selected-window +p29209 +tp29210 +a(g826 +g1044 +tp29211 +a(g705 +g1040 +tp29212 +a(g440 +Vwindow +p29213 +tp29214 +a(g826 +g1044 +tp29215 +a(g785 +V&rest +p29216 +tp29217 +a(g826 +g1044 +tp29218 +a(g440 +Vbody +p29219 +tp29220 +a(g705 +g1064 +tp29221 +a(g826 +V\u000a +p29222 +tp29223 +a(g89 +g1068 +tp29224 +a(g89 +VExecute the forms in BODY with WINDOW as the selected window.\u000aThe value returned is the value of the last form in BODY.\u000a\u000aThis macro saves and restores the selected window, as well as the\u000aselected window of each frame. It does not change the order of\u000arecently selected windows. If the previously selected window of\u000asome frame is no longer live at the end of BODY, that frame's\u000aselected window is left alone. If the selected window is no\u000alonger live, then whatever window is selected at the end of BODY\u000aremains selected.\u000a\u000aThis macro uses +p29225 +tp29226 +a(g117 +V`save-current-buffer' +p29227 +tp29228 +a(g89 +V to save and restore the\u000acurrent buffer, since otherwise its normal operation could\u000apotentially make a different buffer current. It does not alter\u000athe buffer list ordering. +p29229 +tp29230 +a(g89 +g1068 +tp29231 +a(g826 +V\u000a +p29232 +tp29233 +a(g705 +g1040 +tp29234 +a(g681 +Vdeclare +p29235 +tp29236 +a(g826 +g1044 +tp29237 +a(g705 +g1040 +tp29238 +a(g440 +Vindent +p29239 +tp29240 +a(g826 +g1044 +tp29241 +a(g37 +g2048 +tp29242 +a(g705 +g1064 +tp29243 +a(g826 +g1044 +tp29244 +a(g705 +g1040 +tp29245 +a(g440 +Vdebug +p29246 +tp29247 +a(g826 +g1044 +tp29248 +a(g565 +g1187 +tp29249 +a(g705 +g1064 +tp29250 +a(g705 +g1064 +tp29251 +a(g826 +V\u000a +p29252 +tp29253 +a(g412 +g1128 +tp29254 +a(g705 +g1040 +tp29255 +a(g744 +Vlet +p29256 +tp29257 +a(g826 +g1044 +tp29258 +a(g705 +g1040 +tp29259 +a(g705 +g1040 +tp29260 +a(g440 +Vsave-selected-window--state +p29261 +tp29262 +a(g826 +V\u000a +p29263 +tp29264 +a(g705 +g1040 +tp29265 +a(g440 +Vinternal--before-with-selected-window +p29266 +tp29267 +a(g826 +g1044 +tp29268 +a(g412 +g1198 +tp29269 +a(g440 +Vwindow +p29270 +tp29271 +a(g705 +g1064 +tp29272 +a(g705 +g1064 +tp29273 +a(g705 +g1064 +tp29274 +a(g826 +V\u000a +p29275 +tp29276 +a(g705 +g1040 +tp29277 +a(g744 +Vsave-current-buffer +p29278 +tp29279 +a(g826 +V\u000a +p29280 +tp29281 +a(g705 +g1040 +tp29282 +a(g744 +Vunwind-protect +p29283 +tp29284 +a(g826 +V\u000a +p29285 +tp29286 +a(g705 +g1040 +tp29287 +a(g744 +Vprogn +p29288 +tp29289 +a(g826 +g1044 +tp29290 +a(g705 +g1040 +tp29291 +a(g573 +Vselect-window +p29292 +tp29293 +a(g826 +g1044 +tp29294 +a(g705 +g1040 +tp29295 +a(g573 +Vcar +p29296 +tp29297 +a(g826 +g1044 +tp29298 +a(g440 +Vsave-selected-window--state +p29299 +tp29300 +a(g705 +g1064 +tp29301 +a(g826 +g1044 +tp29302 +a(g117 +V'norecord +p29303 +tp29304 +a(g705 +g1064 +tp29305 +a(g826 +V\u000a +p29306 +tp29307 +a(g412 +V,@ +p29308 +tp29309 +a(g440 +Vbody +p29310 +tp29311 +a(g705 +g1064 +tp29312 +a(g826 +V\u000a +p29313 +tp29314 +a(g705 +g1040 +tp29315 +a(g440 +Vinternal--after-with-selected-window +p29316 +tp29317 +a(g826 +g1044 +tp29318 +a(g440 +Vsave-selected-window--state +p29319 +tp29320 +a(g705 +g1064 +tp29321 +a(g705 +g1064 +tp29322 +a(g705 +g1064 +tp29323 +a(g705 +g1064 +tp29324 +a(g705 +g1064 +tp29325 +a(g826 +V\u000a\u000a +p29326 +tp29327 +a(g705 +g1040 +tp29328 +a(g681 +Vdefmacro +p29329 +tp29330 +a(g826 +g1044 +tp29331 +a(g681 +Vwith-selected-frame +p29332 +tp29333 +a(g826 +g1044 +tp29334 +a(g705 +g1040 +tp29335 +a(g440 +Vframe +p29336 +tp29337 +a(g826 +g1044 +tp29338 +a(g785 +V&rest +p29339 +tp29340 +a(g826 +g1044 +tp29341 +a(g440 +Vbody +p29342 +tp29343 +a(g705 +g1064 +tp29344 +a(g826 +V\u000a +p29345 +tp29346 +a(g89 +g1068 +tp29347 +a(g89 +VExecute the forms in BODY with FRAME as the selected frame.\u000aThe value returned is the value of the last form in BODY.\u000a\u000aThis macro saves and restores the selected frame, and changes the\u000aorder of neither the recently selected windows nor the buffers in\u000athe buffer list. +p29348 +tp29349 +a(g89 +g1068 +tp29350 +a(g826 +V\u000a +p29351 +tp29352 +a(g705 +g1040 +tp29353 +a(g681 +Vdeclare +p29354 +tp29355 +a(g826 +g1044 +tp29356 +a(g705 +g1040 +tp29357 +a(g440 +Vindent +p29358 +tp29359 +a(g826 +g1044 +tp29360 +a(g37 +g2048 +tp29361 +a(g705 +g1064 +tp29362 +a(g826 +g1044 +tp29363 +a(g705 +g1040 +tp29364 +a(g440 +Vdebug +p29365 +tp29366 +a(g826 +g1044 +tp29367 +a(g565 +g1187 +tp29368 +a(g705 +g1064 +tp29369 +a(g705 +g1064 +tp29370 +a(g826 +V\u000a +p29371 +tp29372 +a(g705 +g1040 +tp29373 +a(g744 +Vlet +p29374 +tp29375 +a(g826 +g1044 +tp29376 +a(g705 +g1040 +tp29377 +a(g705 +g1040 +tp29378 +a(g440 +Vold-frame +p29379 +tp29380 +a(g826 +g1044 +tp29381 +a(g705 +g1040 +tp29382 +a(g573 +Vmake-symbol +p29383 +tp29384 +a(g826 +g1044 +tp29385 +a(g89 +g1068 +tp29386 +a(g89 +Vold-frame +p29387 +tp29388 +a(g89 +g1068 +tp29389 +a(g705 +g1064 +tp29390 +a(g705 +g1064 +tp29391 +a(g826 +V\u000a +p29392 +tp29393 +a(g705 +g1040 +tp29394 +a(g440 +Vold-buffer +p29395 +tp29396 +a(g826 +g1044 +tp29397 +a(g705 +g1040 +tp29398 +a(g573 +Vmake-symbol +p29399 +tp29400 +a(g826 +g1044 +tp29401 +a(g89 +g1068 +tp29402 +a(g89 +Vold-buffer +p29403 +tp29404 +a(g89 +g1068 +tp29405 +a(g705 +g1064 +tp29406 +a(g705 +g1064 +tp29407 +a(g705 +g1064 +tp29408 +a(g826 +V\u000a +p29409 +tp29410 +a(g412 +g1128 +tp29411 +a(g705 +g1040 +tp29412 +a(g744 +Vlet +p29413 +tp29414 +a(g826 +g1044 +tp29415 +a(g705 +g1040 +tp29416 +a(g705 +g1040 +tp29417 +a(g412 +g1198 +tp29418 +a(g440 +Vold-frame +p29419 +tp29420 +a(g826 +g1044 +tp29421 +a(g705 +g1040 +tp29422 +a(g573 +Vselected-frame +p29423 +tp29424 +a(g705 +g1064 +tp29425 +a(g705 +g1064 +tp29426 +a(g826 +V\u000a +p29427 +tp29428 +a(g705 +g1040 +tp29429 +a(g412 +g1198 +tp29430 +a(g440 +Vold-buffer +p29431 +tp29432 +a(g826 +g1044 +tp29433 +a(g705 +g1040 +tp29434 +a(g573 +Vcurrent-buffer +p29435 +tp29436 +a(g705 +g1064 +tp29437 +a(g705 +g1064 +tp29438 +a(g705 +g1064 +tp29439 +a(g826 +V\u000a +p29440 +tp29441 +a(g705 +g1040 +tp29442 +a(g744 +Vunwind-protect +p29443 +tp29444 +a(g826 +V\u000a +p29445 +tp29446 +a(g705 +g1040 +tp29447 +a(g744 +Vprogn +p29448 +tp29449 +a(g826 +g1044 +tp29450 +a(g705 +g1040 +tp29451 +a(g573 +Vselect-frame +p29452 +tp29453 +a(g826 +g1044 +tp29454 +a(g412 +g1198 +tp29455 +a(g440 +Vframe +p29456 +tp29457 +a(g826 +g1044 +tp29458 +a(g117 +V'norecord +p29459 +tp29460 +a(g705 +g1064 +tp29461 +a(g826 +V\u000a +p29462 +tp29463 +a(g412 +V,@ +p29464 +tp29465 +a(g440 +Vbody +p29466 +tp29467 +a(g705 +g1064 +tp29468 +a(g826 +V\u000a +p29469 +tp29470 +a(g705 +g1040 +tp29471 +a(g681 +Vwhen +p29472 +tp29473 +a(g826 +g1044 +tp29474 +a(g705 +g1040 +tp29475 +a(g573 +Vframe-live-p +p29476 +tp29477 +a(g826 +g1044 +tp29478 +a(g412 +g1198 +tp29479 +a(g440 +Vold-frame +p29480 +tp29481 +a(g705 +g1064 +tp29482 +a(g826 +V\u000a +p29483 +tp29484 +a(g705 +g1040 +tp29485 +a(g573 +Vselect-frame +p29486 +tp29487 +a(g826 +g1044 +tp29488 +a(g412 +g1198 +tp29489 +a(g440 +Vold-frame +p29490 +tp29491 +a(g826 +g1044 +tp29492 +a(g117 +V'norecord +p29493 +tp29494 +a(g705 +g1064 +tp29495 +a(g705 +g1064 +tp29496 +a(g826 +V\u000a +p29497 +tp29498 +a(g705 +g1040 +tp29499 +a(g681 +Vwhen +p29500 +tp29501 +a(g826 +g1044 +tp29502 +a(g705 +g1040 +tp29503 +a(g573 +Vbuffer-live-p +p29504 +tp29505 +a(g826 +g1044 +tp29506 +a(g412 +g1198 +tp29507 +a(g440 +Vold-buffer +p29508 +tp29509 +a(g705 +g1064 +tp29510 +a(g826 +V\u000a +p29511 +tp29512 +a(g705 +g1040 +tp29513 +a(g573 +Vset-buffer +p29514 +tp29515 +a(g826 +g1044 +tp29516 +a(g412 +g1198 +tp29517 +a(g440 +Vold-buffer +p29518 +tp29519 +a(g705 +g1064 +tp29520 +a(g705 +g1064 +tp29521 +a(g705 +g1064 +tp29522 +a(g705 +g1064 +tp29523 +a(g705 +g1064 +tp29524 +a(g705 +g1064 +tp29525 +a(g826 +V\u000a\u000a +p29526 +tp29527 +a(g705 +g1040 +tp29528 +a(g681 +Vdefmacro +p29529 +tp29530 +a(g826 +g1044 +tp29531 +a(g681 +Vsave-window-excursion +p29532 +tp29533 +a(g826 +g1044 +tp29534 +a(g705 +g1040 +tp29535 +a(g785 +V&rest +p29536 +tp29537 +a(g826 +g1044 +tp29538 +a(g440 +Vbody +p29539 +tp29540 +a(g705 +g1064 +tp29541 +a(g826 +V\u000a +p29542 +tp29543 +a(g89 +g1068 +tp29544 +a(g89 +VExecute BODY, then restore previous window configuration.\u000aThis macro saves the window configuration on the selected frame,\u000aexecutes BODY, then calls +p29545 +tp29546 +a(g117 +V`set-window-configuration' +p29547 +tp29548 +a(g89 +V to restore\u000athe saved window configuration. The return value is the last\u000aform in BODY. The window configuration is also restored if BODY\u000aexits nonlocally.\u000a\u000aBEWARE: Most uses of this macro introduce bugs.\u000aE.g. it should not be used to try and prevent some code from opening\u000aa new window, since that window may sometimes appear in another frame,\u000ain which case +p29549 +tp29550 +a(g117 +V`save-window-excursion' +p29551 +tp29552 +a(g89 +V cannot help. +p29553 +tp29554 +a(g89 +g1068 +tp29555 +a(g826 +V\u000a +p29556 +tp29557 +a(g705 +g1040 +tp29558 +a(g681 +Vdeclare +p29559 +tp29560 +a(g826 +g1044 +tp29561 +a(g705 +g1040 +tp29562 +a(g440 +Vindent +p29563 +tp29564 +a(g826 +g1044 +tp29565 +a(g37 +g2628 +tp29566 +a(g705 +g1064 +tp29567 +a(g826 +g1044 +tp29568 +a(g705 +g1040 +tp29569 +a(g440 +Vdebug +p29570 +tp29571 +a(g826 +g1044 +tp29572 +a(g565 +g1187 +tp29573 +a(g705 +g1064 +tp29574 +a(g705 +g1064 +tp29575 +a(g826 +V\u000a +p29576 +tp29577 +a(g705 +g1040 +tp29578 +a(g744 +Vlet +p29579 +tp29580 +a(g826 +g1044 +tp29581 +a(g705 +g1040 +tp29582 +a(g705 +g1040 +tp29583 +a(g440 +g9940 +tp29584 +a(g826 +g1044 +tp29585 +a(g705 +g1040 +tp29586 +a(g573 +Vmake-symbol +p29587 +tp29588 +a(g826 +g1044 +tp29589 +a(g89 +g1068 +tp29590 +a(g89 +Vwconfig +p29591 +tp29592 +a(g89 +g1068 +tp29593 +a(g705 +g1064 +tp29594 +a(g705 +g1064 +tp29595 +a(g705 +g1064 +tp29596 +a(g826 +V\u000a +p29597 +tp29598 +a(g412 +g1128 +tp29599 +a(g705 +g1040 +tp29600 +a(g744 +Vlet +p29601 +tp29602 +a(g826 +g1044 +tp29603 +a(g705 +g1040 +tp29604 +a(g705 +g1040 +tp29605 +a(g412 +g1198 +tp29606 +a(g440 +g9940 +tp29607 +a(g826 +g1044 +tp29608 +a(g705 +g1040 +tp29609 +a(g573 +Vcurrent-window-configuration +p29610 +tp29611 +a(g705 +g1064 +tp29612 +a(g705 +g1064 +tp29613 +a(g705 +g1064 +tp29614 +a(g826 +V\u000a +p29615 +tp29616 +a(g705 +g1040 +tp29617 +a(g744 +Vunwind-protect +p29618 +tp29619 +a(g826 +g1044 +tp29620 +a(g705 +g1040 +tp29621 +a(g744 +Vprogn +p29622 +tp29623 +a(g826 +g1044 +tp29624 +a(g412 +V,@ +p29625 +tp29626 +a(g440 +Vbody +p29627 +tp29628 +a(g705 +g1064 +tp29629 +a(g826 +V\u000a +p29630 +tp29631 +a(g705 +g1040 +tp29632 +a(g573 +Vset-window-configuration +p29633 +tp29634 +a(g826 +g1044 +tp29635 +a(g412 +g1198 +tp29636 +a(g440 +g9940 +tp29637 +a(g705 +g1064 +tp29638 +a(g705 +g1064 +tp29639 +a(g705 +g1064 +tp29640 +a(g705 +g1064 +tp29641 +a(g705 +g1064 +tp29642 +a(g826 +V\u000a\u000a +p29643 +tp29644 +a(g705 +g1040 +tp29645 +a(g681 +Vdefun +p29646 +tp29647 +a(g826 +g1044 +tp29648 +a(g440 +Vinternal-temp-output-buffer-show +p29649 +tp29650 +a(g826 +g1044 +tp29651 +a(g705 +g1040 +tp29652 +a(g440 +Vbuffer +p29653 +tp29654 +a(g705 +g1064 +tp29655 +a(g826 +V\u000a +p29656 +tp29657 +a(g89 +g1068 +tp29658 +a(g89 +VInternal function for +p29659 +tp29660 +a(g117 +V`with-output-to-temp-buffer' +p29661 +tp29662 +a(g89 +g3307 +tp29663 +a(g89 +g1068 +tp29664 +a(g826 +V\u000a +p29665 +tp29666 +a(g705 +g1040 +tp29667 +a(g681 +Vwith-current-buffer +p29668 +tp29669 +a(g826 +g1044 +tp29670 +a(g440 +Vbuffer +p29671 +tp29672 +a(g826 +V\u000a +p29673 +tp29674 +a(g705 +g1040 +tp29675 +a(g573 +Vset-buffer-modified-p +p29676 +tp29677 +a(g826 +g1044 +tp29678 +a(g565 +Vnil +p29679 +tp29680 +a(g705 +g1064 +tp29681 +a(g826 +V\u000a +p29682 +tp29683 +a(g705 +g1040 +tp29684 +a(g573 +Vgoto-char +p29685 +tp29686 +a(g826 +g1044 +tp29687 +a(g705 +g1040 +tp29688 +a(g573 +Vpoint-min +p29689 +tp29690 +a(g705 +g1064 +tp29691 +a(g705 +g1064 +tp29692 +a(g705 +g1064 +tp29693 +a(g826 +V\u000a\u000a +p29694 +tp29695 +a(g705 +g1040 +tp29696 +a(g744 +Vif +p29697 +tp29698 +a(g826 +g1044 +tp29699 +a(g440 +Vtemp-buffer-show-function +p29700 +tp29701 +a(g826 +V\u000a +p29702 +tp29703 +a(g705 +g1040 +tp29704 +a(g573 +Vfuncall +p29705 +tp29706 +a(g826 +g1044 +tp29707 +a(g440 +Vtemp-buffer-show-function +p29708 +tp29709 +a(g826 +g1044 +tp29710 +a(g440 +Vbuffer +p29711 +tp29712 +a(g705 +g1064 +tp29713 +a(g826 +V\u000a +p29714 +tp29715 +a(g705 +g1040 +tp29716 +a(g681 +Vwith-current-buffer +p29717 +tp29718 +a(g826 +g1044 +tp29719 +a(g440 +Vbuffer +p29720 +tp29721 +a(g826 +V\u000a +p29722 +tp29723 +a(g705 +g1040 +tp29724 +a(g744 +Vlet* +p29725 +tp29726 +a(g826 +g1044 +tp29727 +a(g705 +g1040 +tp29728 +a(g705 +g1040 +tp29729 +a(g440 +Vwindow +p29730 +tp29731 +a(g826 +V\u000a +p29732 +tp29733 +a(g705 +g1040 +tp29734 +a(g744 +Vlet +p29735 +tp29736 +a(g826 +g1044 +tp29737 +a(g705 +g1040 +tp29738 +a(g705 +g1040 +tp29739 +a(g573 +Vwindow-combination-limit +p29740 +tp29741 +a(g826 +V\u000a +p29742 +tp29743 +a(g8 +V;; When `window-combination-limit' equals +p29744 +tp29745 +a(g826 +V\u000a +p29746 +tp29747 +a(g8 +V;; `temp-buffer' or `temp-buffer-resize' and +p29748 +tp29749 +a(g826 +V\u000a +p29750 +tp29751 +a(g8 +V;; `temp-buffer-resize-mode' is enabled in this +p29752 +tp29753 +a(g826 +V\u000a +p29754 +tp29755 +a(g8 +V;; buffer bind it to t so resizing steals space +p29756 +tp29757 +a(g826 +V\u000a +p29758 +tp29759 +a(g8 +V;; preferably from the window that was split. +p29760 +tp29761 +a(g826 +V\u000a +p29762 +tp29763 +a(g705 +g1040 +tp29764 +a(g744 +Vif +p29765 +tp29766 +a(g826 +g1044 +tp29767 +a(g705 +g1040 +tp29768 +a(g744 +Vor +p29769 +tp29770 +a(g826 +g1044 +tp29771 +a(g705 +g1040 +tp29772 +a(g573 +Veq +p29773 +tp29774 +a(g826 +g1044 +tp29775 +a(g573 +Vwindow-combination-limit +p29776 +tp29777 +a(g826 +g1044 +tp29778 +a(g117 +V'temp-buffer +p29779 +tp29780 +a(g705 +g1064 +tp29781 +a(g826 +V\u000a +p29782 +tp29783 +a(g705 +g1040 +tp29784 +a(g744 +Vand +p29785 +tp29786 +a(g826 +g1044 +tp29787 +a(g705 +g1040 +tp29788 +a(g573 +Veq +p29789 +tp29790 +a(g826 +g1044 +tp29791 +a(g573 +Vwindow-combination-limit +p29792 +tp29793 +a(g826 +V\u000a +p29794 +tp29795 +a(g117 +V'temp-buffer-resize +p29796 +tp29797 +a(g705 +g1064 +tp29798 +a(g826 +V\u000a +p29799 +tp29800 +a(g440 +Vtemp-buffer-resize-mode +p29801 +tp29802 +a(g705 +g1064 +tp29803 +a(g705 +g1064 +tp29804 +a(g826 +V\u000a +p29805 +tp29806 +a(g565 +g1187 +tp29807 +a(g826 +V\u000a +p29808 +tp29809 +a(g573 +Vwindow-combination-limit +p29810 +tp29811 +a(g705 +g1064 +tp29812 +a(g705 +g1064 +tp29813 +a(g705 +g1064 +tp29814 +a(g826 +V\u000a +p29815 +tp29816 +a(g705 +g1040 +tp29817 +a(g440 +Vdisplay-buffer +p29818 +tp29819 +a(g826 +g1044 +tp29820 +a(g440 +Vbuffer +p29821 +tp29822 +a(g705 +g1064 +tp29823 +a(g705 +g1064 +tp29824 +a(g705 +g1064 +tp29825 +a(g826 +V\u000a +p29826 +tp29827 +a(g705 +g1040 +tp29828 +a(g440 +Vframe +p29829 +tp29830 +a(g826 +g1044 +tp29831 +a(g705 +g1040 +tp29832 +a(g744 +Vand +p29833 +tp29834 +a(g826 +g1044 +tp29835 +a(g440 +Vwindow +p29836 +tp29837 +a(g826 +g1044 +tp29838 +a(g705 +g1040 +tp29839 +a(g573 +Vwindow-frame +p29840 +tp29841 +a(g826 +g1044 +tp29842 +a(g440 +Vwindow +p29843 +tp29844 +a(g705 +g1064 +tp29845 +a(g705 +g1064 +tp29846 +a(g705 +g1064 +tp29847 +a(g705 +g1064 +tp29848 +a(g826 +V\u000a +p29849 +tp29850 +a(g705 +g1040 +tp29851 +a(g681 +Vwhen +p29852 +tp29853 +a(g826 +g1044 +tp29854 +a(g440 +Vwindow +p29855 +tp29856 +a(g826 +V\u000a +p29857 +tp29858 +a(g705 +g1040 +tp29859 +a(g681 +Vunless +p29860 +tp29861 +a(g826 +g1044 +tp29862 +a(g705 +g1040 +tp29863 +a(g573 +Veq +p29864 +tp29865 +a(g826 +g1044 +tp29866 +a(g440 +Vframe +p29867 +tp29868 +a(g826 +g1044 +tp29869 +a(g705 +g1040 +tp29870 +a(g573 +Vselected-frame +p29871 +tp29872 +a(g705 +g1064 +tp29873 +a(g705 +g1064 +tp29874 +a(g826 +V\u000a +p29875 +tp29876 +a(g705 +g1040 +tp29877 +a(g573 +Vmake-frame-visible +p29878 +tp29879 +a(g826 +g1044 +tp29880 +a(g440 +Vframe +p29881 +tp29882 +a(g705 +g1064 +tp29883 +a(g705 +g1064 +tp29884 +a(g826 +V\u000a +p29885 +tp29886 +a(g705 +g1040 +tp29887 +a(g744 +Vsetq +p29888 +tp29889 +a(g826 +g1044 +tp29890 +a(g440 +Vminibuffer-scroll-window +p29891 +tp29892 +a(g826 +g1044 +tp29893 +a(g440 +Vwindow +p29894 +tp29895 +a(g705 +g1064 +tp29896 +a(g826 +V\u000a +p29897 +tp29898 +a(g705 +g1040 +tp29899 +a(g573 +Vset-window-hscroll +p29900 +tp29901 +a(g826 +g1044 +tp29902 +a(g440 +Vwindow +p29903 +tp29904 +a(g826 +g1044 +tp29905 +a(g37 +g2628 +tp29906 +a(g705 +g1064 +tp29907 +a(g826 +V\u000a +p29908 +tp29909 +a(g8 +V;; Don't try this with NOFORCE non-nil! +p29910 +tp29911 +a(g826 +V\u000a +p29912 +tp29913 +a(g705 +g1040 +tp29914 +a(g573 +Vset-window-start +p29915 +tp29916 +a(g826 +g1044 +tp29917 +a(g440 +Vwindow +p29918 +tp29919 +a(g826 +g1044 +tp29920 +a(g705 +g1040 +tp29921 +a(g573 +Vpoint-min +p29922 +tp29923 +a(g705 +g1064 +tp29924 +a(g826 +g1044 +tp29925 +a(g565 +g1187 +tp29926 +a(g705 +g1064 +tp29927 +a(g826 +V\u000a +p29928 +tp29929 +a(g8 +V;; This should not be necessary. +p29930 +tp29931 +a(g826 +V\u000a +p29932 +tp29933 +a(g705 +g1040 +tp29934 +a(g573 +Vset-window-point +p29935 +tp29936 +a(g826 +g1044 +tp29937 +a(g440 +Vwindow +p29938 +tp29939 +a(g826 +g1044 +tp29940 +a(g705 +g1040 +tp29941 +a(g573 +Vpoint-min +p29942 +tp29943 +a(g705 +g1064 +tp29944 +a(g705 +g1064 +tp29945 +a(g826 +V\u000a +p29946 +tp29947 +a(g8 +V;; Run `temp-buffer-show-hook', with the chosen window selected. +p29948 +tp29949 +a(g826 +V\u000a +p29950 +tp29951 +a(g705 +g1040 +tp29952 +a(g681 +Vwith-selected-window +p29953 +tp29954 +a(g826 +g1044 +tp29955 +a(g440 +Vwindow +p29956 +tp29957 +a(g826 +V\u000a +p29958 +tp29959 +a(g705 +g1040 +tp29960 +a(g573 +Vrun-hooks +p29961 +tp29962 +a(g826 +g1044 +tp29963 +a(g117 +V'temp-buffer-show-hook +p29964 +tp29965 +a(g705 +g1064 +tp29966 +a(g705 +g1064 +tp29967 +a(g705 +g1064 +tp29968 +a(g705 +g1064 +tp29969 +a(g705 +g1064 +tp29970 +a(g705 +g1064 +tp29971 +a(g826 +V\u000a +p29972 +tp29973 +a(g8 +V;; Return nil. +p29974 +tp29975 +a(g826 +V\u000a +p29976 +tp29977 +a(g565 +Vnil +p29978 +tp29979 +a(g705 +g1064 +tp29980 +a(g826 +V\u000a\u000a +p29981 +tp29982 +a(g8 +V;; Doc is very similar to with-temp-buffer-window. +p29983 +tp29984 +a(g826 +V\u000a +p29985 +tp29986 +a(g705 +g1040 +tp29987 +a(g681 +Vdefmacro +p29988 +tp29989 +a(g826 +g1044 +tp29990 +a(g681 +Vwith-output-to-temp-buffer +p29991 +tp29992 +a(g826 +g1044 +tp29993 +a(g705 +g1040 +tp29994 +a(g440 +Vbufname +p29995 +tp29996 +a(g826 +g1044 +tp29997 +a(g785 +V&rest +p29998 +tp29999 +a(g826 +g1044 +tp30000 +a(g440 +Vbody +p30001 +tp30002 +a(g705 +g1064 +tp30003 +a(g826 +V\u000a +p30004 +tp30005 +a(g89 +g1068 +tp30006 +a(g89 +VBind +p30007 +tp30008 +a(g117 +V`standard-output' +p30009 +tp30010 +a(g89 +V to buffer BUFNAME, eval BODY, then show that buffer.\u000a\u000aThis construct makes buffer BUFNAME empty before running BODY.\u000aIt does not make the buffer current for BODY.\u000aInstead it binds +p30011 +tp30012 +a(g117 +V`standard-output' +p30013 +tp30014 +a(g89 +V to that buffer, so that output\u000agenerated with +p30015 +tp30016 +a(g117 +V`prin1' +p30017 +tp30018 +a(g89 +V and similar functions in BODY goes into\u000athe buffer.\u000a\u000aAt the end of BODY, this marks buffer BUFNAME unmodified and displays\u000ait in a window, but does not select it. The normal way to do this is\u000aby calling +p30019 +tp30020 +a(g117 +V`display-buffer' +p30021 +tp30022 +a(g89 +V, then running +p30023 +tp30024 +a(g117 +V`temp-buffer-show-hook' +p30025 +tp30026 +a(g89 +V.\u000aHowever, if +p30027 +tp30028 +a(g117 +V`temp-buffer-show-function' +p30029 +tp30030 +a(g89 +V is non-nil, it calls that\u000afunction instead (and does not run +p30031 +tp30032 +a(g117 +V`temp-buffer-show-hook' +p30033 +tp30034 +a(g89 +V). The\u000afunction gets one argument, the buffer to display.\u000a\u000aThe return value of +p30035 +tp30036 +a(g117 +V`with-output-to-temp-buffer' +p30037 +tp30038 +a(g89 +V is the value of the\u000alast form in BODY. If BODY does not finish normally, the buffer\u000aBUFNAME is not displayed.\u000a\u000aThis runs the hook +p30039 +tp30040 +a(g117 +V`temp-buffer-setup-hook' +p30041 +tp30042 +a(g89 +V before BODY,\u000awith the buffer BUFNAME temporarily current. It runs the hook\u000a +p30043 +tp30044 +a(g117 +V`temp-buffer-show-hook' +p30045 +tp30046 +a(g89 +V after displaying buffer BUFNAME, with that\u000abuffer temporarily current, and the window that was used to display it\u000atemporarily selected. But it doesn't run +p30047 +tp30048 +a(g117 +V`temp-buffer-show-hook' +p30049 +tp30050 +a(g89 +V\u000aif it uses +p30051 +tp30052 +a(g117 +V`temp-buffer-show-function' +p30053 +tp30054 +a(g89 +V.\u000a\u000aBy default, the setup hook puts the buffer into Help mode before running BODY.\u000aIf BODY does not change the major mode, the show hook makes the buffer\u000aread-only, and scans it for function and variable names to make them into\u000aclickable cross-references.\u000a\u000aSee the related form +p30055 +tp30056 +a(g117 +V`with-temp-buffer-window' +p30057 +tp30058 +a(g89 +g3307 +tp30059 +a(g89 +g1068 +tp30060 +a(g826 +V\u000a +p30061 +tp30062 +a(g705 +g1040 +tp30063 +a(g681 +Vdeclare +p30064 +tp30065 +a(g826 +g1044 +tp30066 +a(g705 +g1040 +tp30067 +a(g440 +Vdebug +p30068 +tp30069 +a(g826 +g1044 +tp30070 +a(g565 +g1187 +tp30071 +a(g705 +g1064 +tp30072 +a(g705 +g1064 +tp30073 +a(g826 +V\u000a +p30074 +tp30075 +a(g705 +g1040 +tp30076 +a(g744 +Vlet +p30077 +tp30078 +a(g826 +g1044 +tp30079 +a(g705 +g1040 +tp30080 +a(g705 +g1040 +tp30081 +a(g440 +Vold-dir +p30082 +tp30083 +a(g826 +g1044 +tp30084 +a(g705 +g1040 +tp30085 +a(g573 +Vmake-symbol +p30086 +tp30087 +a(g826 +g1044 +tp30088 +a(g89 +g1068 +tp30089 +a(g89 +Vold-dir +p30090 +tp30091 +a(g89 +g1068 +tp30092 +a(g705 +g1064 +tp30093 +a(g705 +g1064 +tp30094 +a(g826 +V\u000a +p30095 +tp30096 +a(g705 +g1040 +tp30097 +a(g440 +Vbuf +p30098 +tp30099 +a(g826 +g1044 +tp30100 +a(g705 +g1040 +tp30101 +a(g573 +Vmake-symbol +p30102 +tp30103 +a(g826 +g1044 +tp30104 +a(g89 +g1068 +tp30105 +a(g89 +Vbuf +p30106 +tp30107 +a(g89 +g1068 +tp30108 +a(g705 +g1064 +tp30109 +a(g705 +g1064 +tp30110 +a(g705 +g1064 +tp30111 +a(g826 +V\u000a +p30112 +tp30113 +a(g412 +g1128 +tp30114 +a(g705 +g1040 +tp30115 +a(g744 +Vlet* +p30116 +tp30117 +a(g826 +g1044 +tp30118 +a(g705 +g1040 +tp30119 +a(g705 +g1040 +tp30120 +a(g412 +g1198 +tp30121 +a(g440 +Vold-dir +p30122 +tp30123 +a(g826 +g1044 +tp30124 +a(g440 +Vdefault-directory +p30125 +tp30126 +a(g705 +g1064 +tp30127 +a(g826 +V\u000a +p30128 +tp30129 +a(g705 +g1040 +tp30130 +a(g412 +g1198 +tp30131 +a(g440 +Vbuf +p30132 +tp30133 +a(g826 +V\u000a +p30134 +tp30135 +a(g705 +g1040 +tp30136 +a(g681 +Vwith-current-buffer +p30137 +tp30138 +a(g826 +g1044 +tp30139 +a(g705 +g1040 +tp30140 +a(g573 +Vget-buffer-create +p30141 +tp30142 +a(g826 +g1044 +tp30143 +a(g412 +g1198 +tp30144 +a(g440 +Vbufname +p30145 +tp30146 +a(g705 +g1064 +tp30147 +a(g826 +V\u000a +p30148 +tp30149 +a(g705 +g1040 +tp30150 +a(g744 +Vprog1 +p30151 +tp30152 +a(g826 +g1044 +tp30153 +a(g705 +g1040 +tp30154 +a(g573 +Vcurrent-buffer +p30155 +tp30156 +a(g705 +g1064 +tp30157 +a(g826 +V\u000a +p30158 +tp30159 +a(g705 +g1040 +tp30160 +a(g573 +Vkill-all-local-variables +p30161 +tp30162 +a(g705 +g1064 +tp30163 +a(g826 +V\u000a +p30164 +tp30165 +a(g8 +V;; FIXME: delete_all_overlays +p30166 +tp30167 +a(g826 +V\u000a +p30168 +tp30169 +a(g705 +g1040 +tp30170 +a(g744 +Vsetq +p30171 +tp30172 +a(g826 +g1044 +tp30173 +a(g440 +Vdefault-directory +p30174 +tp30175 +a(g826 +g1044 +tp30176 +a(g412 +g1198 +tp30177 +a(g440 +Vold-dir +p30178 +tp30179 +a(g705 +g1064 +tp30180 +a(g826 +V\u000a +p30181 +tp30182 +a(g705 +g1040 +tp30183 +a(g744 +Vsetq +p30184 +tp30185 +a(g826 +g1044 +tp30186 +a(g440 +Vbuffer-read-only +p30187 +tp30188 +a(g826 +g1044 +tp30189 +a(g565 +Vnil +p30190 +tp30191 +a(g705 +g1064 +tp30192 +a(g826 +V\u000a +p30193 +tp30194 +a(g705 +g1040 +tp30195 +a(g744 +Vsetq +p30196 +tp30197 +a(g826 +g1044 +tp30198 +a(g573 +Vbuffer-file-name +p30199 +tp30200 +a(g826 +g1044 +tp30201 +a(g565 +Vnil +p30202 +tp30203 +a(g705 +g1064 +tp30204 +a(g826 +V\u000a +p30205 +tp30206 +a(g705 +g1040 +tp30207 +a(g744 +Vsetq +p30208 +tp30209 +a(g826 +g1044 +tp30210 +a(g440 +Vbuffer-undo-list +p30211 +tp30212 +a(g826 +g1044 +tp30213 +a(g565 +g1187 +tp30214 +a(g705 +g1064 +tp30215 +a(g826 +V\u000a +p30216 +tp30217 +a(g705 +g1040 +tp30218 +a(g744 +Vlet +p30219 +tp30220 +a(g826 +g1044 +tp30221 +a(g705 +g1040 +tp30222 +a(g705 +g1040 +tp30223 +a(g440 +Vinhibit-read-only +p30224 +tp30225 +a(g826 +g1044 +tp30226 +a(g565 +g1187 +tp30227 +a(g705 +g1064 +tp30228 +a(g826 +V\u000a +p30229 +tp30230 +a(g705 +g1040 +tp30231 +a(g440 +Vinhibit-modification-hooks +p30232 +tp30233 +a(g826 +g1044 +tp30234 +a(g565 +g1187 +tp30235 +a(g705 +g1064 +tp30236 +a(g705 +g1064 +tp30237 +a(g826 +V\u000a +p30238 +tp30239 +a(g705 +g1040 +tp30240 +a(g573 +Verase-buffer +p30241 +tp30242 +a(g705 +g1064 +tp30243 +a(g826 +V\u000a +p30244 +tp30245 +a(g705 +g1040 +tp30246 +a(g573 +Vrun-hooks +p30247 +tp30248 +a(g826 +g1044 +tp30249 +a(g117 +V'temp-buffer-setup-hook +p30250 +tp30251 +a(g705 +g1064 +tp30252 +a(g705 +g1064 +tp30253 +a(g705 +g1064 +tp30254 +a(g705 +g1064 +tp30255 +a(g705 +g1064 +tp30256 +a(g826 +V\u000a +p30257 +tp30258 +a(g705 +g1040 +tp30259 +a(g440 +Vstandard-output +p30260 +tp30261 +a(g826 +g1044 +tp30262 +a(g412 +g1198 +tp30263 +a(g440 +Vbuf +p30264 +tp30265 +a(g705 +g1064 +tp30266 +a(g705 +g1064 +tp30267 +a(g826 +V\u000a +p30268 +tp30269 +a(g705 +g1040 +tp30270 +a(g744 +Vprog1 +p30271 +tp30272 +a(g826 +g1044 +tp30273 +a(g705 +g1040 +tp30274 +a(g744 +Vprogn +p30275 +tp30276 +a(g826 +g1044 +tp30277 +a(g412 +V,@ +p30278 +tp30279 +a(g440 +Vbody +p30280 +tp30281 +a(g705 +g1064 +tp30282 +a(g826 +V\u000a +p30283 +tp30284 +a(g705 +g1040 +tp30285 +a(g440 +Vinternal-temp-output-buffer-show +p30286 +tp30287 +a(g826 +g1044 +tp30288 +a(g412 +g1198 +tp30289 +a(g440 +Vbuf +p30290 +tp30291 +a(g705 +g1064 +tp30292 +a(g705 +g1064 +tp30293 +a(g705 +g1064 +tp30294 +a(g705 +g1064 +tp30295 +a(g705 +g1064 +tp30296 +a(g826 +V\u000a\u000a +p30297 +tp30298 +a(g705 +g1040 +tp30299 +a(g681 +Vdefmacro +p30300 +tp30301 +a(g826 +g1044 +tp30302 +a(g681 +Vwith-temp-file +p30303 +tp30304 +a(g826 +g1044 +tp30305 +a(g705 +g1040 +tp30306 +a(g440 +Vfile +p30307 +tp30308 +a(g826 +g1044 +tp30309 +a(g785 +V&rest +p30310 +tp30311 +a(g826 +g1044 +tp30312 +a(g440 +Vbody +p30313 +tp30314 +a(g705 +g1064 +tp30315 +a(g826 +V\u000a +p30316 +tp30317 +a(g89 +g1068 +tp30318 +a(g89 +VCreate a new buffer, evaluate BODY there, and write the buffer to FILE.\u000aThe value returned is the value of the last form in BODY.\u000aSee also +p30319 +tp30320 +a(g117 +V`with-temp-buffer' +p30321 +tp30322 +a(g89 +g3307 +tp30323 +a(g89 +g1068 +tp30324 +a(g826 +V\u000a +p30325 +tp30326 +a(g705 +g1040 +tp30327 +a(g681 +Vdeclare +p30328 +tp30329 +a(g826 +g1044 +tp30330 +a(g705 +g1040 +tp30331 +a(g440 +Vindent +p30332 +tp30333 +a(g826 +g1044 +tp30334 +a(g37 +g2048 +tp30335 +a(g705 +g1064 +tp30336 +a(g826 +g1044 +tp30337 +a(g705 +g1040 +tp30338 +a(g440 +Vdebug +p30339 +tp30340 +a(g826 +g1044 +tp30341 +a(g565 +g1187 +tp30342 +a(g705 +g1064 +tp30343 +a(g705 +g1064 +tp30344 +a(g826 +V\u000a +p30345 +tp30346 +a(g705 +g1040 +tp30347 +a(g744 +Vlet +p30348 +tp30349 +a(g826 +g1044 +tp30350 +a(g705 +g1040 +tp30351 +a(g705 +g1040 +tp30352 +a(g440 +Vtemp-file +p30353 +tp30354 +a(g826 +g1044 +tp30355 +a(g705 +g1040 +tp30356 +a(g573 +Vmake-symbol +p30357 +tp30358 +a(g826 +g1044 +tp30359 +a(g89 +g1068 +tp30360 +a(g89 +Vtemp-file +p30361 +tp30362 +a(g89 +g1068 +tp30363 +a(g705 +g1064 +tp30364 +a(g705 +g1064 +tp30365 +a(g826 +V\u000a +p30366 +tp30367 +a(g705 +g1040 +tp30368 +a(g440 +Vtemp-buffer +p30369 +tp30370 +a(g826 +g1044 +tp30371 +a(g705 +g1040 +tp30372 +a(g573 +Vmake-symbol +p30373 +tp30374 +a(g826 +g1044 +tp30375 +a(g89 +g1068 +tp30376 +a(g89 +Vtemp-buffer +p30377 +tp30378 +a(g89 +g1068 +tp30379 +a(g705 +g1064 +tp30380 +a(g705 +g1064 +tp30381 +a(g705 +g1064 +tp30382 +a(g826 +V\u000a +p30383 +tp30384 +a(g412 +g1128 +tp30385 +a(g705 +g1040 +tp30386 +a(g744 +Vlet +p30387 +tp30388 +a(g826 +g1044 +tp30389 +a(g705 +g1040 +tp30390 +a(g705 +g1040 +tp30391 +a(g412 +g1198 +tp30392 +a(g440 +Vtemp-file +p30393 +tp30394 +a(g826 +g1044 +tp30395 +a(g412 +g1198 +tp30396 +a(g440 +Vfile +p30397 +tp30398 +a(g705 +g1064 +tp30399 +a(g826 +V\u000a +p30400 +tp30401 +a(g705 +g1040 +tp30402 +a(g412 +g1198 +tp30403 +a(g440 +Vtemp-buffer +p30404 +tp30405 +a(g826 +V\u000a +p30406 +tp30407 +a(g705 +g1040 +tp30408 +a(g573 +Vget-buffer-create +p30409 +tp30410 +a(g826 +g1044 +tp30411 +a(g705 +g1040 +tp30412 +a(g573 +Vgenerate-new-buffer-name +p30413 +tp30414 +a(g826 +g1044 +tp30415 +a(g89 +g1068 +tp30416 +a(g89 +V *temp file* +p30417 +tp30418 +a(g89 +g1068 +tp30419 +a(g705 +g1064 +tp30420 +a(g705 +g1064 +tp30421 +a(g705 +g1064 +tp30422 +a(g705 +g1064 +tp30423 +a(g826 +V\u000a +p30424 +tp30425 +a(g705 +g1040 +tp30426 +a(g744 +Vunwind-protect +p30427 +tp30428 +a(g826 +V\u000a +p30429 +tp30430 +a(g705 +g1040 +tp30431 +a(g744 +Vprog1 +p30432 +tp30433 +a(g826 +V\u000a +p30434 +tp30435 +a(g705 +g1040 +tp30436 +a(g681 +Vwith-current-buffer +p30437 +tp30438 +a(g826 +g1044 +tp30439 +a(g412 +g1198 +tp30440 +a(g440 +Vtemp-buffer +p30441 +tp30442 +a(g826 +V\u000a +p30443 +tp30444 +a(g412 +V,@ +p30445 +tp30446 +a(g440 +Vbody +p30447 +tp30448 +a(g705 +g1064 +tp30449 +a(g826 +V\u000a +p30450 +tp30451 +a(g705 +g1040 +tp30452 +a(g681 +Vwith-current-buffer +p30453 +tp30454 +a(g826 +g1044 +tp30455 +a(g412 +g1198 +tp30456 +a(g440 +Vtemp-buffer +p30457 +tp30458 +a(g826 +V\u000a +p30459 +tp30460 +a(g705 +g1040 +tp30461 +a(g573 +Vwrite-region +p30462 +tp30463 +a(g826 +g1044 +tp30464 +a(g565 +Vnil +p30465 +tp30466 +a(g826 +g1044 +tp30467 +a(g565 +Vnil +p30468 +tp30469 +a(g826 +g1044 +tp30470 +a(g412 +g1198 +tp30471 +a(g440 +Vtemp-file +p30472 +tp30473 +a(g826 +g1044 +tp30474 +a(g565 +Vnil +p30475 +tp30476 +a(g826 +g1044 +tp30477 +a(g37 +g2628 +tp30478 +a(g705 +g1064 +tp30479 +a(g705 +g1064 +tp30480 +a(g705 +g1064 +tp30481 +a(g826 +V\u000a +p30482 +tp30483 +a(g705 +g1040 +tp30484 +a(g744 +Vand +p30485 +tp30486 +a(g826 +g1044 +tp30487 +a(g705 +g1040 +tp30488 +a(g573 +Vbuffer-name +p30489 +tp30490 +a(g826 +g1044 +tp30491 +a(g412 +g1198 +tp30492 +a(g440 +Vtemp-buffer +p30493 +tp30494 +a(g705 +g1064 +tp30495 +a(g826 +V\u000a +p30496 +tp30497 +a(g705 +g1040 +tp30498 +a(g573 +Vkill-buffer +p30499 +tp30500 +a(g826 +g1044 +tp30501 +a(g412 +g1198 +tp30502 +a(g440 +Vtemp-buffer +p30503 +tp30504 +a(g705 +g1064 +tp30505 +a(g705 +g1064 +tp30506 +a(g705 +g1064 +tp30507 +a(g705 +g1064 +tp30508 +a(g705 +g1064 +tp30509 +a(g705 +g1064 +tp30510 +a(g826 +V\u000a\u000a +p30511 +tp30512 +a(g705 +g1040 +tp30513 +a(g681 +Vdefmacro +p30514 +tp30515 +a(g826 +g1044 +tp30516 +a(g681 +Vwith-temp-message +p30517 +tp30518 +a(g826 +g1044 +tp30519 +a(g705 +g1040 +tp30520 +a(g573 +Vmessage +p30521 +tp30522 +a(g826 +g1044 +tp30523 +a(g785 +V&rest +p30524 +tp30525 +a(g826 +g1044 +tp30526 +a(g440 +Vbody +p30527 +tp30528 +a(g705 +g1064 +tp30529 +a(g826 +V\u000a +p30530 +tp30531 +a(g89 +g1068 +tp30532 +a(g89 +VDisplay MESSAGE temporarily if non-nil while BODY is evaluated.\u000aThe original message is restored to the echo area after BODY has finished.\u000aThe value returned is the value of the last form in BODY.\u000aMESSAGE is written to the message log buffer if +p30533 +tp30534 +a(g117 +V`message-log-max' +p30535 +tp30536 +a(g89 +V is non-nil.\u000aIf MESSAGE is nil, the echo area and message log buffer are unchanged.\u000aUse a MESSAGE of +p30537 +tp30538 +a(g89 +V\u005c" +p30539 +tp30540 +a(g89 +V\u005c" +p30541 +tp30542 +a(g89 +V to temporarily clear the echo area. +p30543 +tp30544 +a(g89 +g1068 +tp30545 +a(g826 +V\u000a +p30546 +tp30547 +a(g705 +g1040 +tp30548 +a(g681 +Vdeclare +p30549 +tp30550 +a(g826 +g1044 +tp30551 +a(g705 +g1040 +tp30552 +a(g440 +Vdebug +p30553 +tp30554 +a(g826 +g1044 +tp30555 +a(g565 +g1187 +tp30556 +a(g705 +g1064 +tp30557 +a(g826 +g1044 +tp30558 +a(g705 +g1040 +tp30559 +a(g440 +Vindent +p30560 +tp30561 +a(g826 +g1044 +tp30562 +a(g37 +g2048 +tp30563 +a(g705 +g1064 +tp30564 +a(g705 +g1064 +tp30565 +a(g826 +V\u000a +p30566 +tp30567 +a(g705 +g1040 +tp30568 +a(g744 +Vlet +p30569 +tp30570 +a(g826 +g1044 +tp30571 +a(g705 +g1040 +tp30572 +a(g705 +g1040 +tp30573 +a(g573 +Vcurrent-message +p30574 +tp30575 +a(g826 +g1044 +tp30576 +a(g705 +g1040 +tp30577 +a(g573 +Vmake-symbol +p30578 +tp30579 +a(g826 +g1044 +tp30580 +a(g89 +g1068 +tp30581 +a(g89 +Vcurrent-message +p30582 +tp30583 +a(g89 +g1068 +tp30584 +a(g705 +g1064 +tp30585 +a(g705 +g1064 +tp30586 +a(g826 +V\u000a +p30587 +tp30588 +a(g705 +g1040 +tp30589 +a(g440 +Vtemp-message +p30590 +tp30591 +a(g826 +g1044 +tp30592 +a(g705 +g1040 +tp30593 +a(g573 +Vmake-symbol +p30594 +tp30595 +a(g826 +g1044 +tp30596 +a(g89 +g1068 +tp30597 +a(g89 +Vwith-temp-message +p30598 +tp30599 +a(g89 +g1068 +tp30600 +a(g705 +g1064 +tp30601 +a(g705 +g1064 +tp30602 +a(g705 +g1064 +tp30603 +a(g826 +V\u000a +p30604 +tp30605 +a(g412 +g1128 +tp30606 +a(g705 +g1040 +tp30607 +a(g744 +Vlet +p30608 +tp30609 +a(g826 +g1044 +tp30610 +a(g705 +g1040 +tp30611 +a(g705 +g1040 +tp30612 +a(g412 +g1198 +tp30613 +a(g440 +Vtemp-message +p30614 +tp30615 +a(g826 +g1044 +tp30616 +a(g412 +g1198 +tp30617 +a(g573 +Vmessage +p30618 +tp30619 +a(g705 +g1064 +tp30620 +a(g826 +V\u000a +p30621 +tp30622 +a(g705 +g1040 +tp30623 +a(g412 +g1198 +tp30624 +a(g573 +Vcurrent-message +p30625 +tp30626 +a(g705 +g1064 +tp30627 +a(g705 +g1064 +tp30628 +a(g826 +V\u000a +p30629 +tp30630 +a(g705 +g1040 +tp30631 +a(g744 +Vunwind-protect +p30632 +tp30633 +a(g826 +V\u000a +p30634 +tp30635 +a(g705 +g1040 +tp30636 +a(g744 +Vprogn +p30637 +tp30638 +a(g826 +V\u000a +p30639 +tp30640 +a(g705 +g1040 +tp30641 +a(g681 +Vwhen +p30642 +tp30643 +a(g826 +g1044 +tp30644 +a(g412 +g1198 +tp30645 +a(g440 +Vtemp-message +p30646 +tp30647 +a(g826 +V\u000a +p30648 +tp30649 +a(g705 +g1040 +tp30650 +a(g744 +Vsetq +p30651 +tp30652 +a(g826 +g1044 +tp30653 +a(g412 +g1198 +tp30654 +a(g573 +Vcurrent-message +p30655 +tp30656 +a(g826 +g1044 +tp30657 +a(g705 +g1040 +tp30658 +a(g573 +Vcurrent-message +p30659 +tp30660 +a(g705 +g1064 +tp30661 +a(g705 +g1064 +tp30662 +a(g826 +V\u000a +p30663 +tp30664 +a(g705 +g1040 +tp30665 +a(g573 +Vmessage +p30666 +tp30667 +a(g826 +g1044 +tp30668 +a(g89 +g1068 +tp30669 +a(g89 +V%s +p30670 +tp30671 +a(g89 +g1068 +tp30672 +a(g826 +g1044 +tp30673 +a(g412 +g1198 +tp30674 +a(g440 +Vtemp-message +p30675 +tp30676 +a(g705 +g1064 +tp30677 +a(g705 +g1064 +tp30678 +a(g826 +V\u000a +p30679 +tp30680 +a(g412 +V,@ +p30681 +tp30682 +a(g440 +Vbody +p30683 +tp30684 +a(g705 +g1064 +tp30685 +a(g826 +V\u000a +p30686 +tp30687 +a(g705 +g1040 +tp30688 +a(g744 +Vand +p30689 +tp30690 +a(g826 +g1044 +tp30691 +a(g412 +g1198 +tp30692 +a(g440 +Vtemp-message +p30693 +tp30694 +a(g826 +V\u000a +p30695 +tp30696 +a(g705 +g1040 +tp30697 +a(g744 +Vif +p30698 +tp30699 +a(g826 +g1044 +tp30700 +a(g412 +g1198 +tp30701 +a(g573 +Vcurrent-message +p30702 +tp30703 +a(g826 +V\u000a +p30704 +tp30705 +a(g705 +g1040 +tp30706 +a(g573 +Vmessage +p30707 +tp30708 +a(g826 +g1044 +tp30709 +a(g89 +g1068 +tp30710 +a(g89 +V%s +p30711 +tp30712 +a(g89 +g1068 +tp30713 +a(g826 +g1044 +tp30714 +a(g412 +g1198 +tp30715 +a(g573 +Vcurrent-message +p30716 +tp30717 +a(g705 +g1064 +tp30718 +a(g826 +V\u000a +p30719 +tp30720 +a(g705 +g1040 +tp30721 +a(g573 +Vmessage +p30722 +tp30723 +a(g826 +g1044 +tp30724 +a(g565 +Vnil +p30725 +tp30726 +a(g705 +g1064 +tp30727 +a(g705 +g1064 +tp30728 +a(g705 +g1064 +tp30729 +a(g705 +g1064 +tp30730 +a(g705 +g1064 +tp30731 +a(g705 +g1064 +tp30732 +a(g705 +g1064 +tp30733 +a(g826 +V\u000a\u000a +p30734 +tp30735 +a(g705 +g1040 +tp30736 +a(g681 +Vdefmacro +p30737 +tp30738 +a(g826 +g1044 +tp30739 +a(g681 +Vwith-temp-buffer +p30740 +tp30741 +a(g826 +g1044 +tp30742 +a(g705 +g1040 +tp30743 +a(g785 +V&rest +p30744 +tp30745 +a(g826 +g1044 +tp30746 +a(g440 +Vbody +p30747 +tp30748 +a(g705 +g1064 +tp30749 +a(g826 +V\u000a +p30750 +tp30751 +a(g89 +g1068 +tp30752 +a(g89 +VCreate a temporary buffer, and evaluate BODY there like +p30753 +tp30754 +a(g117 +V`progn' +p30755 +tp30756 +a(g89 +V.\u000aSee also +p30757 +tp30758 +a(g117 +V`with-temp-file' +p30759 +tp30760 +a(g89 +V and +p30761 +tp30762 +a(g117 +V`with-output-to-string' +p30763 +tp30764 +a(g89 +g3307 +tp30765 +a(g89 +g1068 +tp30766 +a(g826 +V\u000a +p30767 +tp30768 +a(g705 +g1040 +tp30769 +a(g681 +Vdeclare +p30770 +tp30771 +a(g826 +g1044 +tp30772 +a(g705 +g1040 +tp30773 +a(g440 +Vindent +p30774 +tp30775 +a(g826 +g1044 +tp30776 +a(g37 +g2628 +tp30777 +a(g705 +g1064 +tp30778 +a(g826 +g1044 +tp30779 +a(g705 +g1040 +tp30780 +a(g440 +Vdebug +p30781 +tp30782 +a(g826 +g1044 +tp30783 +a(g565 +g1187 +tp30784 +a(g705 +g1064 +tp30785 +a(g705 +g1064 +tp30786 +a(g826 +V\u000a +p30787 +tp30788 +a(g705 +g1040 +tp30789 +a(g744 +Vlet +p30790 +tp30791 +a(g826 +g1044 +tp30792 +a(g705 +g1040 +tp30793 +a(g705 +g1040 +tp30794 +a(g440 +Vtemp-buffer +p30795 +tp30796 +a(g826 +g1044 +tp30797 +a(g705 +g1040 +tp30798 +a(g573 +Vmake-symbol +p30799 +tp30800 +a(g826 +g1044 +tp30801 +a(g89 +g1068 +tp30802 +a(g89 +Vtemp-buffer +p30803 +tp30804 +a(g89 +g1068 +tp30805 +a(g705 +g1064 +tp30806 +a(g705 +g1064 +tp30807 +a(g705 +g1064 +tp30808 +a(g826 +V\u000a +p30809 +tp30810 +a(g412 +g1128 +tp30811 +a(g705 +g1040 +tp30812 +a(g744 +Vlet +p30813 +tp30814 +a(g826 +g1044 +tp30815 +a(g705 +g1040 +tp30816 +a(g705 +g1040 +tp30817 +a(g412 +g1198 +tp30818 +a(g440 +Vtemp-buffer +p30819 +tp30820 +a(g826 +g1044 +tp30821 +a(g705 +g1040 +tp30822 +a(g440 +Vgenerate-new-buffer +p30823 +tp30824 +a(g826 +g1044 +tp30825 +a(g89 +g1068 +tp30826 +a(g89 +V *temp* +p30827 +tp30828 +a(g89 +g1068 +tp30829 +a(g705 +g1064 +tp30830 +a(g705 +g1064 +tp30831 +a(g705 +g1064 +tp30832 +a(g826 +V\u000a +p30833 +tp30834 +a(g8 +V;; FIXME: kill-buffer can change current-buffer in some odd cases. +p30835 +tp30836 +a(g826 +V\u000a +p30837 +tp30838 +a(g705 +g1040 +tp30839 +a(g681 +Vwith-current-buffer +p30840 +tp30841 +a(g826 +g1044 +tp30842 +a(g412 +g1198 +tp30843 +a(g440 +Vtemp-buffer +p30844 +tp30845 +a(g826 +V\u000a +p30846 +tp30847 +a(g705 +g1040 +tp30848 +a(g744 +Vunwind-protect +p30849 +tp30850 +a(g826 +V\u000a +p30851 +tp30852 +a(g705 +g1040 +tp30853 +a(g744 +Vprogn +p30854 +tp30855 +a(g826 +g1044 +tp30856 +a(g412 +V,@ +p30857 +tp30858 +a(g440 +Vbody +p30859 +tp30860 +a(g705 +g1064 +tp30861 +a(g826 +V\u000a +p30862 +tp30863 +a(g705 +g1040 +tp30864 +a(g744 +Vand +p30865 +tp30866 +a(g826 +g1044 +tp30867 +a(g705 +g1040 +tp30868 +a(g573 +Vbuffer-name +p30869 +tp30870 +a(g826 +g1044 +tp30871 +a(g412 +g1198 +tp30872 +a(g440 +Vtemp-buffer +p30873 +tp30874 +a(g705 +g1064 +tp30875 +a(g826 +V\u000a +p30876 +tp30877 +a(g705 +g1040 +tp30878 +a(g573 +Vkill-buffer +p30879 +tp30880 +a(g826 +g1044 +tp30881 +a(g412 +g1198 +tp30882 +a(g440 +Vtemp-buffer +p30883 +tp30884 +a(g705 +g1064 +tp30885 +a(g705 +g1064 +tp30886 +a(g705 +g1064 +tp30887 +a(g705 +g1064 +tp30888 +a(g705 +g1064 +tp30889 +a(g705 +g1064 +tp30890 +a(g705 +g1064 +tp30891 +a(g826 +V\u000a\u000a +p30892 +tp30893 +a(g705 +g1040 +tp30894 +a(g681 +Vdefmacro +p30895 +tp30896 +a(g826 +g1044 +tp30897 +a(g681 +Vwith-silent-modifications +p30898 +tp30899 +a(g826 +g1044 +tp30900 +a(g705 +g1040 +tp30901 +a(g785 +V&rest +p30902 +tp30903 +a(g826 +g1044 +tp30904 +a(g440 +Vbody +p30905 +tp30906 +a(g705 +g1064 +tp30907 +a(g826 +V\u000a +p30908 +tp30909 +a(g89 +g1068 +tp30910 +a(g89 +VExecute BODY, pretending it does not modify the buffer.\u000aIf BODY performs real modifications to the buffer's text, other\u000athan cosmetic ones, undo data may become corrupted.\u000a\u000aThis macro will run BODY normally, but doesn't count its buffer\u000amodifications as being buffer modifications. This affects things\u000alike +p30911 +tp30912 +a(g117 +V`buffer-modified-p' +p30913 +tp30914 +a(g89 +V, checking whether the file is locked by\u000asomeone else, running buffer modification hooks, and other things\u000aof that nature.\u000a\u000aTypically used around modifications of text-properties which do\u000anot really affect the buffer's content. +p30915 +tp30916 +a(g89 +g1068 +tp30917 +a(g826 +V\u000a +p30918 +tp30919 +a(g705 +g1040 +tp30920 +a(g681 +Vdeclare +p30921 +tp30922 +a(g826 +g1044 +tp30923 +a(g705 +g1040 +tp30924 +a(g440 +Vdebug +p30925 +tp30926 +a(g826 +g1044 +tp30927 +a(g565 +g1187 +tp30928 +a(g705 +g1064 +tp30929 +a(g826 +g1044 +tp30930 +a(g705 +g1040 +tp30931 +a(g440 +Vindent +p30932 +tp30933 +a(g826 +g1044 +tp30934 +a(g37 +g2628 +tp30935 +a(g705 +g1064 +tp30936 +a(g705 +g1064 +tp30937 +a(g826 +V\u000a +p30938 +tp30939 +a(g705 +g1040 +tp30940 +a(g744 +Vlet +p30941 +tp30942 +a(g826 +g1044 +tp30943 +a(g705 +g1040 +tp30944 +a(g705 +g1040 +tp30945 +a(g440 +Vmodified +p30946 +tp30947 +a(g826 +g1044 +tp30948 +a(g705 +g1040 +tp30949 +a(g573 +Vmake-symbol +p30950 +tp30951 +a(g826 +g1044 +tp30952 +a(g89 +g1068 +tp30953 +a(g89 +Vmodified +p30954 +tp30955 +a(g89 +g1068 +tp30956 +a(g705 +g1064 +tp30957 +a(g705 +g1064 +tp30958 +a(g705 +g1064 +tp30959 +a(g826 +V\u000a +p30960 +tp30961 +a(g412 +g1128 +tp30962 +a(g705 +g1040 +tp30963 +a(g744 +Vlet* +p30964 +tp30965 +a(g826 +g1044 +tp30966 +a(g705 +g1040 +tp30967 +a(g705 +g1040 +tp30968 +a(g412 +g1198 +tp30969 +a(g440 +Vmodified +p30970 +tp30971 +a(g826 +g1044 +tp30972 +a(g705 +g1040 +tp30973 +a(g573 +Vbuffer-modified-p +p30974 +tp30975 +a(g705 +g1064 +tp30976 +a(g705 +g1064 +tp30977 +a(g826 +V\u000a +p30978 +tp30979 +a(g705 +g1040 +tp30980 +a(g440 +Vbuffer-undo-list +p30981 +tp30982 +a(g826 +g1044 +tp30983 +a(g565 +g1187 +tp30984 +a(g705 +g1064 +tp30985 +a(g826 +V\u000a +p30986 +tp30987 +a(g705 +g1040 +tp30988 +a(g440 +Vinhibit-read-only +p30989 +tp30990 +a(g826 +g1044 +tp30991 +a(g565 +g1187 +tp30992 +a(g705 +g1064 +tp30993 +a(g826 +V\u000a +p30994 +tp30995 +a(g705 +g1040 +tp30996 +a(g440 +Vinhibit-modification-hooks +p30997 +tp30998 +a(g826 +g1044 +tp30999 +a(g565 +g1187 +tp31000 +a(g705 +g1064 +tp31001 +a(g705 +g1064 +tp31002 +a(g826 +V\u000a +p31003 +tp31004 +a(g705 +g1040 +tp31005 +a(g744 +Vunwind-protect +p31006 +tp31007 +a(g826 +V\u000a +p31008 +tp31009 +a(g705 +g1040 +tp31010 +a(g744 +Vprogn +p31011 +tp31012 +a(g826 +V\u000a +p31013 +tp31014 +a(g412 +V,@ +p31015 +tp31016 +a(g440 +Vbody +p31017 +tp31018 +a(g705 +g1064 +tp31019 +a(g826 +V\u000a +p31020 +tp31021 +a(g705 +g1040 +tp31022 +a(g681 +Vunless +p31023 +tp31024 +a(g826 +g1044 +tp31025 +a(g412 +g1198 +tp31026 +a(g440 +Vmodified +p31027 +tp31028 +a(g826 +V\u000a +p31029 +tp31030 +a(g705 +g1040 +tp31031 +a(g573 +Vrestore-buffer-modified-p +p31032 +tp31033 +a(g826 +g1044 +tp31034 +a(g565 +Vnil +p31035 +tp31036 +a(g705 +g1064 +tp31037 +a(g705 +g1064 +tp31038 +a(g705 +g1064 +tp31039 +a(g705 +g1064 +tp31040 +a(g705 +g1064 +tp31041 +a(g705 +g1064 +tp31042 +a(g826 +V\u000a\u000a +p31043 +tp31044 +a(g705 +g1040 +tp31045 +a(g681 +Vdefmacro +p31046 +tp31047 +a(g826 +g1044 +tp31048 +a(g681 +Vwith-output-to-string +p31049 +tp31050 +a(g826 +g1044 +tp31051 +a(g705 +g1040 +tp31052 +a(g785 +V&rest +p31053 +tp31054 +a(g826 +g1044 +tp31055 +a(g440 +Vbody +p31056 +tp31057 +a(g705 +g1064 +tp31058 +a(g826 +V\u000a +p31059 +tp31060 +a(g89 +g1068 +tp31061 +a(g89 +VExecute BODY, return the text it sent to +p31062 +tp31063 +a(g117 +V`standard-output' +p31064 +tp31065 +a(g89 +V, as a string. +p31066 +tp31067 +a(g89 +g1068 +tp31068 +a(g826 +V\u000a +p31069 +tp31070 +a(g705 +g1040 +tp31071 +a(g681 +Vdeclare +p31072 +tp31073 +a(g826 +g1044 +tp31074 +a(g705 +g1040 +tp31075 +a(g440 +Vindent +p31076 +tp31077 +a(g826 +g1044 +tp31078 +a(g37 +g2628 +tp31079 +a(g705 +g1064 +tp31080 +a(g826 +g1044 +tp31081 +a(g705 +g1040 +tp31082 +a(g440 +Vdebug +p31083 +tp31084 +a(g826 +g1044 +tp31085 +a(g565 +g1187 +tp31086 +a(g705 +g1064 +tp31087 +a(g705 +g1064 +tp31088 +a(g826 +V\u000a +p31089 +tp31090 +a(g412 +g1128 +tp31091 +a(g705 +g1040 +tp31092 +a(g744 +Vlet +p31093 +tp31094 +a(g826 +g1044 +tp31095 +a(g705 +g1040 +tp31096 +a(g705 +g1040 +tp31097 +a(g440 +Vstandard-output +p31098 +tp31099 +a(g826 +V\u000a +p31100 +tp31101 +a(g705 +g1040 +tp31102 +a(g573 +Vget-buffer-create +p31103 +tp31104 +a(g826 +g1044 +tp31105 +a(g705 +g1040 +tp31106 +a(g573 +Vgenerate-new-buffer-name +p31107 +tp31108 +a(g826 +g1044 +tp31109 +a(g89 +g1068 +tp31110 +a(g89 +V *string-output* +p31111 +tp31112 +a(g89 +g1068 +tp31113 +a(g705 +g1064 +tp31114 +a(g705 +g1064 +tp31115 +a(g705 +g1064 +tp31116 +a(g705 +g1064 +tp31117 +a(g826 +V\u000a +p31118 +tp31119 +a(g705 +g1040 +tp31120 +a(g744 +Vunwind-protect +p31121 +tp31122 +a(g826 +V\u000a +p31123 +tp31124 +a(g705 +g1040 +tp31125 +a(g744 +Vprogn +p31126 +tp31127 +a(g826 +V\u000a +p31128 +tp31129 +a(g705 +g1040 +tp31130 +a(g744 +Vlet +p31131 +tp31132 +a(g826 +g1044 +tp31133 +a(g705 +g1040 +tp31134 +a(g705 +g1040 +tp31135 +a(g440 +Vstandard-output +p31136 +tp31137 +a(g826 +g1044 +tp31138 +a(g440 +Vstandard-output +p31139 +tp31140 +a(g705 +g1064 +tp31141 +a(g705 +g1064 +tp31142 +a(g826 +V\u000a +p31143 +tp31144 +a(g412 +V,@ +p31145 +tp31146 +a(g440 +Vbody +p31147 +tp31148 +a(g705 +g1064 +tp31149 +a(g826 +V\u000a +p31150 +tp31151 +a(g705 +g1040 +tp31152 +a(g681 +Vwith-current-buffer +p31153 +tp31154 +a(g826 +g1044 +tp31155 +a(g440 +Vstandard-output +p31156 +tp31157 +a(g826 +V\u000a +p31158 +tp31159 +a(g705 +g1040 +tp31160 +a(g573 +Vbuffer-string +p31161 +tp31162 +a(g705 +g1064 +tp31163 +a(g705 +g1064 +tp31164 +a(g705 +g1064 +tp31165 +a(g826 +V\u000a +p31166 +tp31167 +a(g705 +g1040 +tp31168 +a(g573 +Vkill-buffer +p31169 +tp31170 +a(g826 +g1044 +tp31171 +a(g440 +Vstandard-output +p31172 +tp31173 +a(g705 +g1064 +tp31174 +a(g705 +g1064 +tp31175 +a(g705 +g1064 +tp31176 +a(g705 +g1064 +tp31177 +a(g826 +V\u000a\u000a +p31178 +tp31179 +a(g705 +g1040 +tp31180 +a(g681 +Vdefmacro +p31181 +tp31182 +a(g826 +g1044 +tp31183 +a(g681 +Vwith-local-quit +p31184 +tp31185 +a(g826 +g1044 +tp31186 +a(g705 +g1040 +tp31187 +a(g785 +V&rest +p31188 +tp31189 +a(g826 +g1044 +tp31190 +a(g440 +Vbody +p31191 +tp31192 +a(g705 +g1064 +tp31193 +a(g826 +V\u000a +p31194 +tp31195 +a(g89 +g1068 +tp31196 +a(g89 +VExecute BODY, allowing quits to terminate BODY but not escape further.\u000aWhen a quit terminates BODY, +p31197 +tp31198 +a(g117 +V`with-local-quit' +p31199 +tp31200 +a(g89 +V returns nil but\u000arequests another quit. That quit will be processed as soon as quitting\u000ais allowed once again. (Immediately, if +p31201 +tp31202 +a(g117 +V`inhibit-quit' +p31203 +tp31204 +a(g89 +V is nil.) +p31205 +tp31206 +a(g89 +g1068 +tp31207 +a(g826 +V\u000a +p31208 +tp31209 +a(g705 +g1040 +tp31210 +a(g681 +Vdeclare +p31211 +tp31212 +a(g826 +g1044 +tp31213 +a(g705 +g1040 +tp31214 +a(g440 +Vdebug +p31215 +tp31216 +a(g826 +g1044 +tp31217 +a(g565 +g1187 +tp31218 +a(g705 +g1064 +tp31219 +a(g826 +g1044 +tp31220 +a(g705 +g1040 +tp31221 +a(g440 +Vindent +p31222 +tp31223 +a(g826 +g1044 +tp31224 +a(g37 +g2628 +tp31225 +a(g705 +g1064 +tp31226 +a(g705 +g1064 +tp31227 +a(g826 +V\u000a +p31228 +tp31229 +a(g412 +g1128 +tp31230 +a(g705 +g1040 +tp31231 +a(g744 +Vcondition-case +p31232 +tp31233 +a(g826 +g1044 +tp31234 +a(g565 +Vnil +p31235 +tp31236 +a(g826 +V\u000a +p31237 +tp31238 +a(g705 +g1040 +tp31239 +a(g744 +Vlet +p31240 +tp31241 +a(g826 +g1044 +tp31242 +a(g705 +g1040 +tp31243 +a(g705 +g1040 +tp31244 +a(g440 +Vinhibit-quit +p31245 +tp31246 +a(g826 +g1044 +tp31247 +a(g565 +Vnil +p31248 +tp31249 +a(g705 +g1064 +tp31250 +a(g705 +g1064 +tp31251 +a(g826 +V\u000a +p31252 +tp31253 +a(g412 +V,@ +p31254 +tp31255 +a(g440 +Vbody +p31256 +tp31257 +a(g705 +g1064 +tp31258 +a(g826 +V\u000a +p31259 +tp31260 +a(g705 +g1040 +tp31261 +a(g440 +Vquit +p31262 +tp31263 +a(g826 +g1044 +tp31264 +a(g705 +g1040 +tp31265 +a(g744 +Vsetq +p31266 +tp31267 +a(g826 +g1044 +tp31268 +a(g440 +Vquit-flag +p31269 +tp31270 +a(g826 +g1044 +tp31271 +a(g565 +g1187 +tp31272 +a(g705 +g1064 +tp31273 +a(g826 +V\u000a +p31274 +tp31275 +a(g8 +V;; This call is to give a chance to handle quit-flag +p31276 +tp31277 +a(g826 +V\u000a +p31278 +tp31279 +a(g8 +V;; in case inhibit-quit is nil. +p31280 +tp31281 +a(g826 +V\u000a +p31282 +tp31283 +a(g8 +V;; Without this, it will not be handled until the next function +p31284 +tp31285 +a(g826 +V\u000a +p31286 +tp31287 +a(g8 +V;; call, and that might allow it to exit thru a condition-case +p31288 +tp31289 +a(g826 +V\u000a +p31290 +tp31291 +a(g8 +V;; that intends to handle the quit signal next time. +p31292 +tp31293 +a(g826 +V\u000a +p31294 +tp31295 +a(g705 +g1040 +tp31296 +a(g573 +Veval +p31297 +tp31298 +a(g826 +g1044 +tp31299 +a(g412 +g10833 +tp31300 +a(g705 +g1040 +tp31301 +a(g440 +Vignore +p31302 +tp31303 +a(g826 +g1044 +tp31304 +a(g565 +Vnil +p31305 +tp31306 +a(g705 +g1064 +tp31307 +a(g705 +g1064 +tp31308 +a(g705 +g1064 +tp31309 +a(g705 +g1064 +tp31310 +a(g705 +g1064 +tp31311 +a(g826 +V\u000a\u000a +p31312 +tp31313 +a(g705 +g1040 +tp31314 +a(g681 +Vdefmacro +p31315 +tp31316 +a(g826 +g1044 +tp31317 +a(g681 +Vwhile-no-input +p31318 +tp31319 +a(g826 +g1044 +tp31320 +a(g705 +g1040 +tp31321 +a(g785 +V&rest +p31322 +tp31323 +a(g826 +g1044 +tp31324 +a(g440 +Vbody +p31325 +tp31326 +a(g705 +g1064 +tp31327 +a(g826 +V\u000a +p31328 +tp31329 +a(g89 +g1068 +tp31330 +a(g89 +VExecute BODY only as long as there's no pending input.\u000aIf input arrives, that ends the execution of BODY,\u000aand +p31331 +tp31332 +a(g117 +V`while-no-input' +p31333 +tp31334 +a(g89 +V returns t. Quitting makes it return nil.\u000aIf BODY finishes, +p31335 +tp31336 +a(g117 +V`while-no-input' +p31337 +tp31338 +a(g89 +V returns whatever value BODY produced. +p31339 +tp31340 +a(g89 +g1068 +tp31341 +a(g826 +V\u000a +p31342 +tp31343 +a(g705 +g1040 +tp31344 +a(g681 +Vdeclare +p31345 +tp31346 +a(g826 +g1044 +tp31347 +a(g705 +g1040 +tp31348 +a(g440 +Vdebug +p31349 +tp31350 +a(g826 +g1044 +tp31351 +a(g565 +g1187 +tp31352 +a(g705 +g1064 +tp31353 +a(g826 +g1044 +tp31354 +a(g705 +g1040 +tp31355 +a(g440 +Vindent +p31356 +tp31357 +a(g826 +g1044 +tp31358 +a(g37 +g2628 +tp31359 +a(g705 +g1064 +tp31360 +a(g705 +g1064 +tp31361 +a(g826 +V\u000a +p31362 +tp31363 +a(g705 +g1040 +tp31364 +a(g744 +Vlet +p31365 +tp31366 +a(g826 +g1044 +tp31367 +a(g705 +g1040 +tp31368 +a(g705 +g1040 +tp31369 +a(g440 +Vcatch-sym +p31370 +tp31371 +a(g826 +g1044 +tp31372 +a(g705 +g1040 +tp31373 +a(g573 +Vmake-symbol +p31374 +tp31375 +a(g826 +g1044 +tp31376 +a(g89 +g1068 +tp31377 +a(g89 +Vinput +p31378 +tp31379 +a(g89 +g1068 +tp31380 +a(g705 +g1064 +tp31381 +a(g705 +g1064 +tp31382 +a(g705 +g1064 +tp31383 +a(g826 +V\u000a +p31384 +tp31385 +a(g412 +g1128 +tp31386 +a(g705 +g1040 +tp31387 +a(g681 +Vwith-local-quit +p31388 +tp31389 +a(g826 +V\u000a +p31390 +tp31391 +a(g705 +g1040 +tp31392 +a(g744 +Vcatch +p31393 +tp31394 +a(g826 +g1044 +tp31395 +a(g117 +V',catch-sym +p31396 +tp31397 +a(g826 +V\u000a +p31398 +tp31399 +a(g705 +g1040 +tp31400 +a(g744 +Vlet +p31401 +tp31402 +a(g826 +g1044 +tp31403 +a(g705 +g1040 +tp31404 +a(g705 +g1040 +tp31405 +a(g440 +Vthrow-on-input +p31406 +tp31407 +a(g826 +g1044 +tp31408 +a(g117 +V',catch-sym +p31409 +tp31410 +a(g705 +g1064 +tp31411 +a(g705 +g1064 +tp31412 +a(g826 +V\u000a +p31413 +tp31414 +a(g705 +g1040 +tp31415 +a(g744 +Vor +p31416 +tp31417 +a(g826 +g1044 +tp31418 +a(g705 +g1040 +tp31419 +a(g573 +Vinput-pending-p +p31420 +tp31421 +a(g705 +g1064 +tp31422 +a(g826 +V\u000a +p31423 +tp31424 +a(g705 +g1040 +tp31425 +a(g744 +Vprogn +p31426 +tp31427 +a(g826 +g1044 +tp31428 +a(g412 +V,@ +p31429 +tp31430 +a(g440 +Vbody +p31431 +tp31432 +a(g705 +g1064 +tp31433 +a(g705 +g1064 +tp31434 +a(g705 +g1064 +tp31435 +a(g705 +g1064 +tp31436 +a(g705 +g1064 +tp31437 +a(g705 +g1064 +tp31438 +a(g705 +g1064 +tp31439 +a(g826 +V\u000a\u000a +p31440 +tp31441 +a(g705 +g1040 +tp31442 +a(g681 +Vdefmacro +p31443 +tp31444 +a(g826 +g1044 +tp31445 +a(g681 +Vcondition-case-unless-debug +p31446 +tp31447 +a(g826 +g1044 +tp31448 +a(g705 +g1040 +tp31449 +a(g440 +Vvar +p31450 +tp31451 +a(g826 +g1044 +tp31452 +a(g440 +Vbodyform +p31453 +tp31454 +a(g826 +g1044 +tp31455 +a(g785 +V&rest +p31456 +tp31457 +a(g826 +g1044 +tp31458 +a(g440 +Vhandlers +p31459 +tp31460 +a(g705 +g1064 +tp31461 +a(g826 +V\u000a +p31462 +tp31463 +a(g89 +g1068 +tp31464 +a(g89 +VLike +p31465 +tp31466 +a(g117 +V`condition-case' +p31467 +tp31468 +a(g89 +V except that it does not prevent debugging.\u000aMore specifically if +p31469 +tp31470 +a(g117 +V`debug-on-error' +p31471 +tp31472 +a(g89 +V is set then the debugger will be invoked\u000aeven if this catches the signal. +p31473 +tp31474 +a(g89 +g1068 +tp31475 +a(g826 +V\u000a +p31476 +tp31477 +a(g705 +g1040 +tp31478 +a(g681 +Vdeclare +p31479 +tp31480 +a(g826 +g1044 +tp31481 +a(g705 +g1040 +tp31482 +a(g440 +Vdebug +p31483 +tp31484 +a(g826 +g1044 +tp31485 +a(g744 +Vcondition-case +p31486 +tp31487 +a(g705 +g1064 +tp31488 +a(g826 +g1044 +tp31489 +a(g705 +g1040 +tp31490 +a(g440 +Vindent +p31491 +tp31492 +a(g826 +g1044 +tp31493 +a(g37 +g1370 +tp31494 +a(g705 +g1064 +tp31495 +a(g705 +g1064 +tp31496 +a(g826 +V\u000a +p31497 +tp31498 +a(g412 +g1128 +tp31499 +a(g705 +g1040 +tp31500 +a(g744 +Vcondition-case +p31501 +tp31502 +a(g826 +g1044 +tp31503 +a(g412 +g1198 +tp31504 +a(g440 +Vvar +p31505 +tp31506 +a(g826 +V\u000a +p31507 +tp31508 +a(g412 +g1198 +tp31509 +a(g440 +Vbodyform +p31510 +tp31511 +a(g826 +V\u000a +p31512 +tp31513 +a(g412 +V,@ +p31514 +tp31515 +a(g705 +g1040 +tp31516 +a(g573 +Vmapcar +p31517 +tp31518 +a(g826 +g1044 +tp31519 +a(g705 +g1040 +tp31520 +a(g681 +Vlambda +p31521 +tp31522 +a(g826 +g1044 +tp31523 +a(g705 +g1040 +tp31524 +a(g440 +Vhandler +p31525 +tp31526 +a(g705 +g1064 +tp31527 +a(g826 +V\u000a +p31528 +tp31529 +a(g412 +g1128 +tp31530 +a(g705 +g1040 +tp31531 +a(g705 +g1040 +tp31532 +a(g440 +Vdebug +p31533 +tp31534 +a(g826 +g1044 +tp31535 +a(g412 +V,@ +p31536 +tp31537 +a(g705 +g1040 +tp31538 +a(g744 +Vif +p31539 +tp31540 +a(g826 +g1044 +tp31541 +a(g705 +g1040 +tp31542 +a(g573 +Vlistp +p31543 +tp31544 +a(g826 +g1044 +tp31545 +a(g705 +g1040 +tp31546 +a(g573 +Vcar +p31547 +tp31548 +a(g826 +g1044 +tp31549 +a(g440 +Vhandler +p31550 +tp31551 +a(g705 +g1064 +tp31552 +a(g705 +g1064 +tp31553 +a(g826 +g1044 +tp31554 +a(g705 +g1040 +tp31555 +a(g573 +Vcar +p31556 +tp31557 +a(g826 +g1044 +tp31558 +a(g440 +Vhandler +p31559 +tp31560 +a(g705 +g1064 +tp31561 +a(g826 +V\u000a +p31562 +tp31563 +a(g705 +g1040 +tp31564 +a(g573 +Vlist +p31565 +tp31566 +a(g826 +g1044 +tp31567 +a(g705 +g1040 +tp31568 +a(g573 +Vcar +p31569 +tp31570 +a(g826 +g1044 +tp31571 +a(g440 +Vhandler +p31572 +tp31573 +a(g705 +g1064 +tp31574 +a(g705 +g1064 +tp31575 +a(g705 +g1064 +tp31576 +a(g705 +g1064 +tp31577 +a(g826 +V\u000a +p31578 +tp31579 +a(g412 +V,@ +p31580 +tp31581 +a(g705 +g1040 +tp31582 +a(g573 +Vcdr +p31583 +tp31584 +a(g826 +g1044 +tp31585 +a(g440 +Vhandler +p31586 +tp31587 +a(g705 +g1064 +tp31588 +a(g705 +g1064 +tp31589 +a(g705 +g1064 +tp31590 +a(g826 +V\u000a +p31591 +tp31592 +a(g440 +Vhandlers +p31593 +tp31594 +a(g705 +g1064 +tp31595 +a(g705 +g1064 +tp31596 +a(g705 +g1064 +tp31597 +a(g826 +V\u000a\u000a +p31598 +tp31599 +a(g705 +g1040 +tp31600 +a(g681 +Vdefine-obsolete-function-alias +p31601 +tp31602 +a(g826 +g1044 +tp31603 +a(g117 +V'condition-case-no-debug +p31604 +tp31605 +a(g826 +V\u000a +p31606 +tp31607 +a(g117 +V'condition-case-unless-debug +p31608 +tp31609 +a(g826 +g1044 +tp31610 +a(g89 +g1068 +tp31611 +a(g89 +V24.1 +p31612 +tp31613 +a(g89 +g1068 +tp31614 +a(g705 +g1064 +tp31615 +a(g826 +V\u000a\u000a +p31616 +tp31617 +a(g705 +g1040 +tp31618 +a(g681 +Vdefmacro +p31619 +tp31620 +a(g826 +g1044 +tp31621 +a(g681 +Vwith-demoted-errors +p31622 +tp31623 +a(g826 +g1044 +tp31624 +a(g705 +g1040 +tp31625 +a(g573 +Vformat +p31626 +tp31627 +a(g826 +g1044 +tp31628 +a(g785 +V&rest +p31629 +tp31630 +a(g826 +g1044 +tp31631 +a(g440 +Vbody +p31632 +tp31633 +a(g705 +g1064 +tp31634 +a(g826 +V\u000a +p31635 +tp31636 +a(g89 +g1068 +tp31637 +a(g89 +VRun BODY and demote any errors to simple messages.\u000aFORMAT is a string passed to +p31638 +tp31639 +a(g117 +V`message' +p31640 +tp31641 +a(g89 +V to format any error message.\u000aIt should contain a single %-sequence; e.g., +p31642 +tp31643 +a(g89 +V\u005c" +p31644 +tp31645 +a(g89 +VError: %S +p31646 +tp31647 +a(g89 +V\u005c" +p31648 +tp31649 +a(g89 +V.\u000a\u000aIf +p31650 +tp31651 +a(g117 +V`debug-on-error' +p31652 +tp31653 +a(g89 +V is non-nil, run BODY without catching its errors.\u000aThis is to be used around code which is not expected to signal an error\u000abut which should be robust in the unexpected case that an error is signaled.\u000a\u000aFor backward compatibility, if FORMAT is not a constant string, it\u000ais assumed to be part of BODY, in which case the message format\u000aused is +p31654 +tp31655 +a(g89 +V\u005c" +p31656 +tp31657 +a(g89 +VError: %S +p31658 +tp31659 +a(g89 +V\u005c" +p31660 +tp31661 +a(g89 +g3307 +tp31662 +a(g89 +g1068 +tp31663 +a(g826 +V\u000a +p31664 +tp31665 +a(g705 +g1040 +tp31666 +a(g681 +Vdeclare +p31667 +tp31668 +a(g826 +g1044 +tp31669 +a(g705 +g1040 +tp31670 +a(g440 +Vdebug +p31671 +tp31672 +a(g826 +g1044 +tp31673 +a(g565 +g1187 +tp31674 +a(g705 +g1064 +tp31675 +a(g826 +g1044 +tp31676 +a(g705 +g1040 +tp31677 +a(g440 +Vindent +p31678 +tp31679 +a(g826 +g1044 +tp31680 +a(g37 +g2048 +tp31681 +a(g705 +g1064 +tp31682 +a(g705 +g1064 +tp31683 +a(g826 +V\u000a +p31684 +tp31685 +a(g705 +g1040 +tp31686 +a(g744 +Vlet +p31687 +tp31688 +a(g826 +g1044 +tp31689 +a(g705 +g1040 +tp31690 +a(g705 +g1040 +tp31691 +a(g440 +Verr +p31692 +tp31693 +a(g826 +g1044 +tp31694 +a(g705 +g1040 +tp31695 +a(g573 +Vmake-symbol +p31696 +tp31697 +a(g826 +g1044 +tp31698 +a(g89 +g1068 +tp31699 +a(g89 +Verr +p31700 +tp31701 +a(g89 +g1068 +tp31702 +a(g705 +g1064 +tp31703 +a(g705 +g1064 +tp31704 +a(g826 +V\u000a +p31705 +tp31706 +a(g705 +g1040 +tp31707 +a(g573 +Vformat +p31708 +tp31709 +a(g826 +g1044 +tp31710 +a(g705 +g1040 +tp31711 +a(g744 +Vif +p31712 +tp31713 +a(g826 +g1044 +tp31714 +a(g705 +g1040 +tp31715 +a(g744 +Vand +p31716 +tp31717 +a(g826 +g1044 +tp31718 +a(g705 +g1040 +tp31719 +a(g573 +Vstringp +p31720 +tp31721 +a(g826 +g1044 +tp31722 +a(g573 +Vformat +p31723 +tp31724 +a(g705 +g1064 +tp31725 +a(g826 +g1044 +tp31726 +a(g440 +Vbody +p31727 +tp31728 +a(g705 +g1064 +tp31729 +a(g826 +g1044 +tp31730 +a(g573 +Vformat +p31731 +tp31732 +a(g826 +V\u000a +p31733 +tp31734 +a(g705 +g1040 +tp31735 +a(g744 +Vprog1 +p31736 +tp31737 +a(g826 +g1044 +tp31738 +a(g89 +g1068 +tp31739 +a(g89 +VError: %S +p31740 +tp31741 +a(g89 +g1068 +tp31742 +a(g826 +V\u000a +p31743 +tp31744 +a(g705 +g1040 +tp31745 +a(g744 +Vif +p31746 +tp31747 +a(g826 +g1044 +tp31748 +a(g573 +Vformat +p31749 +tp31750 +a(g826 +g1044 +tp31751 +a(g705 +g1040 +tp31752 +a(g681 +Vpush +p31753 +tp31754 +a(g826 +g1044 +tp31755 +a(g573 +Vformat +p31756 +tp31757 +a(g826 +g1044 +tp31758 +a(g440 +Vbody +p31759 +tp31760 +a(g705 +g1064 +tp31761 +a(g705 +g1064 +tp31762 +a(g705 +g1064 +tp31763 +a(g705 +g1064 +tp31764 +a(g705 +g1064 +tp31765 +a(g705 +g1064 +tp31766 +a(g826 +V\u000a +p31767 +tp31768 +a(g412 +g1128 +tp31769 +a(g705 +g1040 +tp31770 +a(g681 +Vcondition-case-unless-debug +p31771 +tp31772 +a(g826 +g1044 +tp31773 +a(g412 +g1198 +tp31774 +a(g440 +Verr +p31775 +tp31776 +a(g826 +V\u000a +p31777 +tp31778 +a(g412 +g1198 +tp31779 +a(g705 +g1040 +tp31780 +a(g440 +Vmacroexp-progn +p31781 +tp31782 +a(g826 +g1044 +tp31783 +a(g440 +Vbody +p31784 +tp31785 +a(g705 +g1064 +tp31786 +a(g826 +V\u000a +p31787 +tp31788 +a(g705 +g1040 +tp31789 +a(g612 +Verror +p31790 +tp31791 +a(g826 +g1044 +tp31792 +a(g705 +g1040 +tp31793 +a(g573 +Vmessage +p31794 +tp31795 +a(g826 +g1044 +tp31796 +a(g412 +g1198 +tp31797 +a(g573 +Vformat +p31798 +tp31799 +a(g826 +g1044 +tp31800 +a(g412 +g1198 +tp31801 +a(g440 +Verr +p31802 +tp31803 +a(g705 +g1064 +tp31804 +a(g826 +g1044 +tp31805 +a(g565 +Vnil +p31806 +tp31807 +a(g705 +g1064 +tp31808 +a(g705 +g1064 +tp31809 +a(g705 +g1064 +tp31810 +a(g705 +g1064 +tp31811 +a(g826 +V\u000a\u000a +p31812 +tp31813 +a(g705 +g1040 +tp31814 +a(g681 +Vdefmacro +p31815 +tp31816 +a(g826 +g1044 +tp31817 +a(g681 +Vcombine-after-change-calls +p31818 +tp31819 +a(g826 +g1044 +tp31820 +a(g705 +g1040 +tp31821 +a(g785 +V&rest +p31822 +tp31823 +a(g826 +g1044 +tp31824 +a(g440 +Vbody +p31825 +tp31826 +a(g705 +g1064 +tp31827 +a(g826 +V\u000a +p31828 +tp31829 +a(g89 +g1068 +tp31830 +a(g89 +VExecute BODY, but don't call the after-change functions till the end.\u000aIf BODY makes changes in the buffer, they are recorded\u000aand the functions on +p31831 +tp31832 +a(g117 +V`after-change-functions' +p31833 +tp31834 +a(g89 +V are called several times\u000awhen BODY is finished.\u000aThe return value is the value of the last form in BODY.\u000a\u000aIf +p31835 +tp31836 +a(g117 +V`before-change-functions' +p31837 +tp31838 +a(g89 +V is non-nil, then calls to the after-change\u000afunctions can't be deferred, so in that case this macro has no effect.\u000a\u000aDo not alter +p31839 +tp31840 +a(g117 +V`after-change-functions' +p31841 +tp31842 +a(g89 +V or +p31843 +tp31844 +a(g117 +V`before-change-functions' +p31845 +tp31846 +a(g89 +V\u000ain BODY. +p31847 +tp31848 +a(g89 +g1068 +tp31849 +a(g826 +V\u000a +p31850 +tp31851 +a(g705 +g1040 +tp31852 +a(g681 +Vdeclare +p31853 +tp31854 +a(g826 +g1044 +tp31855 +a(g705 +g1040 +tp31856 +a(g440 +Vindent +p31857 +tp31858 +a(g826 +g1044 +tp31859 +a(g37 +g2628 +tp31860 +a(g705 +g1064 +tp31861 +a(g826 +g1044 +tp31862 +a(g705 +g1040 +tp31863 +a(g440 +Vdebug +p31864 +tp31865 +a(g826 +g1044 +tp31866 +a(g565 +g1187 +tp31867 +a(g705 +g1064 +tp31868 +a(g705 +g1064 +tp31869 +a(g826 +V\u000a +p31870 +tp31871 +a(g412 +g1128 +tp31872 +a(g705 +g1040 +tp31873 +a(g744 +Vunwind-protect +p31874 +tp31875 +a(g826 +V\u000a +p31876 +tp31877 +a(g705 +g1040 +tp31878 +a(g744 +Vlet +p31879 +tp31880 +a(g826 +g1044 +tp31881 +a(g705 +g1040 +tp31882 +a(g705 +g1040 +tp31883 +a(g681 +Vcombine-after-change-calls +p31884 +tp31885 +a(g826 +g1044 +tp31886 +a(g565 +g1187 +tp31887 +a(g705 +g1064 +tp31888 +a(g705 +g1064 +tp31889 +a(g826 +V\u000a +p31890 +tp31891 +a(g412 +g3307 +tp31892 +a(g826 +g1044 +tp31893 +a(g412 +g1198 +tp31894 +a(g440 +Vbody +p31895 +tp31896 +a(g705 +g1064 +tp31897 +a(g826 +V\u000a +p31898 +tp31899 +a(g705 +g1040 +tp31900 +a(g573 +Vcombine-after-change-execute +p31901 +tp31902 +a(g705 +g1064 +tp31903 +a(g705 +g1064 +tp31904 +a(g705 +g1064 +tp31905 +a(g826 +V\u000a\u000a +p31906 +tp31907 +a(g705 +g1040 +tp31908 +a(g681 +Vdefmacro +p31909 +tp31910 +a(g826 +g1044 +tp31911 +a(g681 +Vwith-case-table +p31912 +tp31913 +a(g826 +g1044 +tp31914 +a(g705 +g1040 +tp31915 +a(g440 +Vtable +p31916 +tp31917 +a(g826 +g1044 +tp31918 +a(g785 +V&rest +p31919 +tp31920 +a(g826 +g1044 +tp31921 +a(g440 +Vbody +p31922 +tp31923 +a(g705 +g1064 +tp31924 +a(g826 +V\u000a +p31925 +tp31926 +a(g89 +g1068 +tp31927 +a(g89 +VExecute the forms in BODY with TABLE as the current case table.\u000aThe value returned is the value of the last form in BODY. +p31928 +tp31929 +a(g89 +g1068 +tp31930 +a(g826 +V\u000a +p31931 +tp31932 +a(g705 +g1040 +tp31933 +a(g681 +Vdeclare +p31934 +tp31935 +a(g826 +g1044 +tp31936 +a(g705 +g1040 +tp31937 +a(g440 +Vindent +p31938 +tp31939 +a(g826 +g1044 +tp31940 +a(g37 +g2048 +tp31941 +a(g705 +g1064 +tp31942 +a(g826 +g1044 +tp31943 +a(g705 +g1040 +tp31944 +a(g440 +Vdebug +p31945 +tp31946 +a(g826 +g1044 +tp31947 +a(g565 +g1187 +tp31948 +a(g705 +g1064 +tp31949 +a(g705 +g1064 +tp31950 +a(g826 +V\u000a +p31951 +tp31952 +a(g705 +g1040 +tp31953 +a(g744 +Vlet +p31954 +tp31955 +a(g826 +g1044 +tp31956 +a(g705 +g1040 +tp31957 +a(g705 +g1040 +tp31958 +a(g440 +Vold-case-table +p31959 +tp31960 +a(g826 +g1044 +tp31961 +a(g705 +g1040 +tp31962 +a(g573 +Vmake-symbol +p31963 +tp31964 +a(g826 +g1044 +tp31965 +a(g89 +g1068 +tp31966 +a(g89 +Vtable +p31967 +tp31968 +a(g89 +g1068 +tp31969 +a(g705 +g1064 +tp31970 +a(g705 +g1064 +tp31971 +a(g826 +V\u000a +p31972 +tp31973 +a(g705 +g1040 +tp31974 +a(g440 +Vold-buffer +p31975 +tp31976 +a(g826 +g1044 +tp31977 +a(g705 +g1040 +tp31978 +a(g573 +Vmake-symbol +p31979 +tp31980 +a(g826 +g1044 +tp31981 +a(g89 +g1068 +tp31982 +a(g89 +Vbuffer +p31983 +tp31984 +a(g89 +g1068 +tp31985 +a(g705 +g1064 +tp31986 +a(g705 +g1064 +tp31987 +a(g705 +g1064 +tp31988 +a(g826 +V\u000a +p31989 +tp31990 +a(g412 +g1128 +tp31991 +a(g705 +g1040 +tp31992 +a(g744 +Vlet +p31993 +tp31994 +a(g826 +g1044 +tp31995 +a(g705 +g1040 +tp31996 +a(g705 +g1040 +tp31997 +a(g412 +g1198 +tp31998 +a(g440 +Vold-case-table +p31999 +tp32000 +a(g826 +g1044 +tp32001 +a(g705 +g1040 +tp32002 +a(g573 +Vcurrent-case-table +p32003 +tp32004 +a(g705 +g1064 +tp32005 +a(g705 +g1064 +tp32006 +a(g826 +V\u000a +p32007 +tp32008 +a(g705 +g1040 +tp32009 +a(g412 +g1198 +tp32010 +a(g440 +Vold-buffer +p32011 +tp32012 +a(g826 +g1044 +tp32013 +a(g705 +g1040 +tp32014 +a(g573 +Vcurrent-buffer +p32015 +tp32016 +a(g705 +g1064 +tp32017 +a(g705 +g1064 +tp32018 +a(g705 +g1064 +tp32019 +a(g826 +V\u000a +p32020 +tp32021 +a(g705 +g1040 +tp32022 +a(g744 +Vunwind-protect +p32023 +tp32024 +a(g826 +V\u000a +p32025 +tp32026 +a(g705 +g1040 +tp32027 +a(g744 +Vprogn +p32028 +tp32029 +a(g826 +g1044 +tp32030 +a(g705 +g1040 +tp32031 +a(g573 +Vset-case-table +p32032 +tp32033 +a(g826 +g1044 +tp32034 +a(g412 +g1198 +tp32035 +a(g440 +Vtable +p32036 +tp32037 +a(g705 +g1064 +tp32038 +a(g826 +V\u000a +p32039 +tp32040 +a(g412 +V,@ +p32041 +tp32042 +a(g440 +Vbody +p32043 +tp32044 +a(g705 +g1064 +tp32045 +a(g826 +V\u000a +p32046 +tp32047 +a(g705 +g1040 +tp32048 +a(g681 +Vwith-current-buffer +p32049 +tp32050 +a(g826 +g1044 +tp32051 +a(g412 +g1198 +tp32052 +a(g440 +Vold-buffer +p32053 +tp32054 +a(g826 +V\u000a +p32055 +tp32056 +a(g705 +g1040 +tp32057 +a(g573 +Vset-case-table +p32058 +tp32059 +a(g826 +g1044 +tp32060 +a(g412 +g1198 +tp32061 +a(g440 +Vold-case-table +p32062 +tp32063 +a(g705 +g1064 +tp32064 +a(g705 +g1064 +tp32065 +a(g705 +g1064 +tp32066 +a(g705 +g1064 +tp32067 +a(g705 +g1064 +tp32068 +a(g705 +g1064 +tp32069 +a(g826 +V\u000a\u000a +p32070 +tp32071 +a(g705 +g1040 +tp32072 +a(g681 +Vdefmacro +p32073 +tp32074 +a(g826 +g1044 +tp32075 +a(g681 +Vwith-file-modes +p32076 +tp32077 +a(g826 +g1044 +tp32078 +a(g705 +g1040 +tp32079 +a(g440 +Vmodes +p32080 +tp32081 +a(g826 +g1044 +tp32082 +a(g785 +V&rest +p32083 +tp32084 +a(g826 +g1044 +tp32085 +a(g440 +Vbody +p32086 +tp32087 +a(g705 +g1064 +tp32088 +a(g826 +V\u000a +p32089 +tp32090 +a(g89 +g1068 +tp32091 +a(g89 +VExecute BODY with default file permissions temporarily set to MODES.\u000aMODES is as for +p32092 +tp32093 +a(g117 +V`set-default-file-modes' +p32094 +tp32095 +a(g89 +g3307 +tp32096 +a(g89 +g1068 +tp32097 +a(g826 +V\u000a +p32098 +tp32099 +a(g705 +g1040 +tp32100 +a(g681 +Vdeclare +p32101 +tp32102 +a(g826 +g1044 +tp32103 +a(g705 +g1040 +tp32104 +a(g440 +Vindent +p32105 +tp32106 +a(g826 +g1044 +tp32107 +a(g37 +g2048 +tp32108 +a(g705 +g1064 +tp32109 +a(g826 +g1044 +tp32110 +a(g705 +g1040 +tp32111 +a(g440 +Vdebug +p32112 +tp32113 +a(g826 +g1044 +tp32114 +a(g565 +g1187 +tp32115 +a(g705 +g1064 +tp32116 +a(g705 +g1064 +tp32117 +a(g826 +V\u000a +p32118 +tp32119 +a(g705 +g1040 +tp32120 +a(g744 +Vlet +p32121 +tp32122 +a(g826 +g1044 +tp32123 +a(g705 +g1040 +tp32124 +a(g705 +g1040 +tp32125 +a(g440 +Vumask +p32126 +tp32127 +a(g826 +g1044 +tp32128 +a(g705 +g1040 +tp32129 +a(g573 +Vmake-symbol +p32130 +tp32131 +a(g826 +g1044 +tp32132 +a(g89 +g1068 +tp32133 +a(g89 +Vumask +p32134 +tp32135 +a(g89 +g1068 +tp32136 +a(g705 +g1064 +tp32137 +a(g705 +g1064 +tp32138 +a(g705 +g1064 +tp32139 +a(g826 +V\u000a +p32140 +tp32141 +a(g412 +g1128 +tp32142 +a(g705 +g1040 +tp32143 +a(g744 +Vlet +p32144 +tp32145 +a(g826 +g1044 +tp32146 +a(g705 +g1040 +tp32147 +a(g705 +g1040 +tp32148 +a(g412 +g1198 +tp32149 +a(g440 +Vumask +p32150 +tp32151 +a(g826 +g1044 +tp32152 +a(g705 +g1040 +tp32153 +a(g573 +Vdefault-file-modes +p32154 +tp32155 +a(g705 +g1064 +tp32156 +a(g705 +g1064 +tp32157 +a(g705 +g1064 +tp32158 +a(g826 +V\u000a +p32159 +tp32160 +a(g705 +g1040 +tp32161 +a(g744 +Vunwind-protect +p32162 +tp32163 +a(g826 +V\u000a +p32164 +tp32165 +a(g705 +g1040 +tp32166 +a(g744 +Vprogn +p32167 +tp32168 +a(g826 +V\u000a +p32169 +tp32170 +a(g705 +g1040 +tp32171 +a(g573 +Vset-default-file-modes +p32172 +tp32173 +a(g826 +g1044 +tp32174 +a(g412 +g1198 +tp32175 +a(g440 +Vmodes +p32176 +tp32177 +a(g705 +g1064 +tp32178 +a(g826 +V\u000a +p32179 +tp32180 +a(g412 +V,@ +p32181 +tp32182 +a(g440 +Vbody +p32183 +tp32184 +a(g705 +g1064 +tp32185 +a(g826 +V\u000a +p32186 +tp32187 +a(g705 +g1040 +tp32188 +a(g573 +Vset-default-file-modes +p32189 +tp32190 +a(g826 +g1044 +tp32191 +a(g412 +g1198 +tp32192 +a(g440 +Vumask +p32193 +tp32194 +a(g705 +g1064 +tp32195 +a(g705 +g1064 +tp32196 +a(g705 +g1064 +tp32197 +a(g705 +g1064 +tp32198 +a(g705 +g1064 +tp32199 +a(g826 +V\u000a\u000a \u000a +p32200 +tp32201 +a(g8 +V;;; Matching and match data. +p32202 +tp32203 +a(g826 +V\u000a\u000a +p32204 +tp32205 +a(g705 +g1040 +tp32206 +a(g744 +Vdefvar +p32207 +tp32208 +a(g826 +g1044 +tp32209 +a(g440 +Vsave-match-data-internal +p32210 +tp32211 +a(g705 +g1064 +tp32212 +a(g826 +V\u000a\u000a +p32213 +tp32214 +a(g8 +V;; We use save-match-data-internal as the local variable because +p32215 +tp32216 +a(g826 +V\u000a +p32217 +tp32218 +a(g8 +V;; that works ok in practice (people should not use that variable elsewhere). +p32219 +tp32220 +a(g826 +V\u000a +p32221 +tp32222 +a(g8 +V;; We used to use an uninterned symbol; the compiler handles that properly +p32223 +tp32224 +a(g826 +V\u000a +p32225 +tp32226 +a(g8 +V;; now, but it generates slower code. +p32227 +tp32228 +a(g826 +V\u000a +p32229 +tp32230 +a(g705 +g1040 +tp32231 +a(g681 +Vdefmacro +p32232 +tp32233 +a(g826 +g1044 +tp32234 +a(g681 +Vsave-match-data +p32235 +tp32236 +a(g826 +g1044 +tp32237 +a(g705 +g1040 +tp32238 +a(g785 +V&rest +p32239 +tp32240 +a(g826 +g1044 +tp32241 +a(g440 +Vbody +p32242 +tp32243 +a(g705 +g1064 +tp32244 +a(g826 +V\u000a +p32245 +tp32246 +a(g89 +g1068 +tp32247 +a(g89 +VExecute the BODY forms, restoring the global value of the match data.\u000aThe value returned is the value of the last form in BODY. +p32248 +tp32249 +a(g89 +g1068 +tp32250 +a(g826 +V\u000a +p32251 +tp32252 +a(g8 +V;; It is better not to use backquote here, +p32253 +tp32254 +a(g826 +V\u000a +p32255 +tp32256 +a(g8 +V;; because that makes a bootstrapping problem +p32257 +tp32258 +a(g826 +V\u000a +p32259 +tp32260 +a(g8 +V;; if you need to recompile all the Lisp files using interpreted code. +p32261 +tp32262 +a(g826 +V\u000a +p32263 +tp32264 +a(g705 +g1040 +tp32265 +a(g681 +Vdeclare +p32266 +tp32267 +a(g826 +g1044 +tp32268 +a(g705 +g1040 +tp32269 +a(g440 +Vindent +p32270 +tp32271 +a(g826 +g1044 +tp32272 +a(g37 +g2628 +tp32273 +a(g705 +g1064 +tp32274 +a(g826 +g1044 +tp32275 +a(g705 +g1040 +tp32276 +a(g440 +Vdebug +p32277 +tp32278 +a(g826 +g1044 +tp32279 +a(g565 +g1187 +tp32280 +a(g705 +g1064 +tp32281 +a(g705 +g1064 +tp32282 +a(g826 +V\u000a +p32283 +tp32284 +a(g705 +g1040 +tp32285 +a(g573 +Vlist +p32286 +tp32287 +a(g826 +g1044 +tp32288 +a(g117 +V'let +p32289 +tp32290 +a(g826 +V\u000a +p32291 +tp32292 +a(g412 +g10833 +tp32293 +a(g705 +g1040 +tp32294 +a(g705 +g1040 +tp32295 +a(g440 +Vsave-match-data-internal +p32296 +tp32297 +a(g826 +g1044 +tp32298 +a(g705 +g1040 +tp32299 +a(g573 +Vmatch-data +p32300 +tp32301 +a(g705 +g1064 +tp32302 +a(g705 +g1064 +tp32303 +a(g705 +g1064 +tp32304 +a(g826 +V\u000a +p32305 +tp32306 +a(g705 +g1040 +tp32307 +a(g573 +Vlist +p32308 +tp32309 +a(g826 +g1044 +tp32310 +a(g117 +V'unwind-protect +p32311 +tp32312 +a(g826 +V\u000a +p32313 +tp32314 +a(g705 +g1040 +tp32315 +a(g573 +Vcons +p32316 +tp32317 +a(g826 +g1044 +tp32318 +a(g117 +V'progn +p32319 +tp32320 +a(g826 +g1044 +tp32321 +a(g440 +Vbody +p32322 +tp32323 +a(g705 +g1064 +tp32324 +a(g826 +V\u000a +p32325 +tp32326 +a(g8 +V;; It is safe to free (evaporate) markers immediately here, +p32327 +tp32328 +a(g826 +V\u000a +p32329 +tp32330 +a(g8 +V;; as Lisp programs should not copy from save-match-data-internal. +p32331 +tp32332 +a(g826 +V\u000a +p32333 +tp32334 +a(g412 +g10833 +tp32335 +a(g705 +g1040 +tp32336 +a(g573 +Vset-match-data +p32337 +tp32338 +a(g826 +g1044 +tp32339 +a(g440 +Vsave-match-data-internal +p32340 +tp32341 +a(g826 +g1044 +tp32342 +a(g117 +V'evaporate +p32343 +tp32344 +a(g705 +g1064 +tp32345 +a(g705 +g1064 +tp32346 +a(g705 +g1064 +tp32347 +a(g705 +g1064 +tp32348 +a(g826 +V\u000a\u000a +p32349 +tp32350 +a(g705 +g1040 +tp32351 +a(g681 +Vdefun +p32352 +tp32353 +a(g826 +g1044 +tp32354 +a(g440 +Vmatch-string +p32355 +tp32356 +a(g826 +g1044 +tp32357 +a(g705 +g1040 +tp32358 +a(g440 +Vnum +p32359 +tp32360 +a(g826 +g1044 +tp32361 +a(g785 +V&optional +p32362 +tp32363 +a(g826 +g1044 +tp32364 +a(g573 +Vstring +p32365 +tp32366 +a(g705 +g1064 +tp32367 +a(g826 +V\u000a +p32368 +tp32369 +a(g89 +g1068 +tp32370 +a(g89 +VReturn string of text matched by last search.\u000aNUM specifies which parenthesized expression in the last regexp.\u000a Value is nil if NUMth pair didn't match, or there were less than NUM pairs.\u000aZero means the entire text matched by the whole regexp or whole string.\u000aSTRING should be given if the last search was by +p32371 +tp32372 +a(g117 +V`string-match' +p32373 +tp32374 +a(g89 +V on STRING.\u000aIf STRING is nil, the current buffer should be the same buffer\u000athe search/match was performed in. +p32375 +tp32376 +a(g89 +g1068 +tp32377 +a(g826 +V\u000a +p32378 +tp32379 +a(g705 +g1040 +tp32380 +a(g744 +Vif +p32381 +tp32382 +a(g826 +g1044 +tp32383 +a(g705 +g1040 +tp32384 +a(g573 +Vmatch-beginning +p32385 +tp32386 +a(g826 +g1044 +tp32387 +a(g440 +Vnum +p32388 +tp32389 +a(g705 +g1064 +tp32390 +a(g826 +V\u000a +p32391 +tp32392 +a(g705 +g1040 +tp32393 +a(g744 +Vif +p32394 +tp32395 +a(g826 +g1044 +tp32396 +a(g573 +Vstring +p32397 +tp32398 +a(g826 +V\u000a +p32399 +tp32400 +a(g705 +g1040 +tp32401 +a(g573 +Vsubstring +p32402 +tp32403 +a(g826 +g1044 +tp32404 +a(g573 +Vstring +p32405 +tp32406 +a(g826 +g1044 +tp32407 +a(g705 +g1040 +tp32408 +a(g573 +Vmatch-beginning +p32409 +tp32410 +a(g826 +g1044 +tp32411 +a(g440 +Vnum +p32412 +tp32413 +a(g705 +g1064 +tp32414 +a(g826 +g1044 +tp32415 +a(g705 +g1040 +tp32416 +a(g573 +Vmatch-end +p32417 +tp32418 +a(g826 +g1044 +tp32419 +a(g440 +Vnum +p32420 +tp32421 +a(g705 +g1064 +tp32422 +a(g705 +g1064 +tp32423 +a(g826 +V\u000a +p32424 +tp32425 +a(g705 +g1040 +tp32426 +a(g573 +Vbuffer-substring +p32427 +tp32428 +a(g826 +g1044 +tp32429 +a(g705 +g1040 +tp32430 +a(g573 +Vmatch-beginning +p32431 +tp32432 +a(g826 +g1044 +tp32433 +a(g440 +Vnum +p32434 +tp32435 +a(g705 +g1064 +tp32436 +a(g826 +g1044 +tp32437 +a(g705 +g1040 +tp32438 +a(g573 +Vmatch-end +p32439 +tp32440 +a(g826 +g1044 +tp32441 +a(g440 +Vnum +p32442 +tp32443 +a(g705 +g1064 +tp32444 +a(g705 +g1064 +tp32445 +a(g705 +g1064 +tp32446 +a(g705 +g1064 +tp32447 +a(g705 +g1064 +tp32448 +a(g826 +V\u000a\u000a +p32449 +tp32450 +a(g705 +g1040 +tp32451 +a(g681 +Vdefun +p32452 +tp32453 +a(g826 +g1044 +tp32454 +a(g440 +Vmatch-string-no-properties +p32455 +tp32456 +a(g826 +g1044 +tp32457 +a(g705 +g1040 +tp32458 +a(g440 +Vnum +p32459 +tp32460 +a(g826 +g1044 +tp32461 +a(g785 +V&optional +p32462 +tp32463 +a(g826 +g1044 +tp32464 +a(g573 +Vstring +p32465 +tp32466 +a(g705 +g1064 +tp32467 +a(g826 +V\u000a +p32468 +tp32469 +a(g89 +g1068 +tp32470 +a(g89 +VReturn string of text matched by last search, without text properties.\u000aNUM specifies which parenthesized expression in the last regexp.\u000a Value is nil if NUMth pair didn't match, or there were less than NUM pairs.\u000aZero means the entire text matched by the whole regexp or whole string.\u000aSTRING should be given if the last search was by +p32471 +tp32472 +a(g117 +V`string-match' +p32473 +tp32474 +a(g89 +V on STRING.\u000aIf STRING is nil, the current buffer should be the same buffer\u000athe search/match was performed in. +p32475 +tp32476 +a(g89 +g1068 +tp32477 +a(g826 +V\u000a +p32478 +tp32479 +a(g705 +g1040 +tp32480 +a(g744 +Vif +p32481 +tp32482 +a(g826 +g1044 +tp32483 +a(g705 +g1040 +tp32484 +a(g573 +Vmatch-beginning +p32485 +tp32486 +a(g826 +g1044 +tp32487 +a(g440 +Vnum +p32488 +tp32489 +a(g705 +g1064 +tp32490 +a(g826 +V\u000a +p32491 +tp32492 +a(g705 +g1040 +tp32493 +a(g744 +Vif +p32494 +tp32495 +a(g826 +g1044 +tp32496 +a(g573 +Vstring +p32497 +tp32498 +a(g826 +V\u000a +p32499 +tp32500 +a(g705 +g1040 +tp32501 +a(g573 +Vsubstring-no-properties +p32502 +tp32503 +a(g826 +g1044 +tp32504 +a(g573 +Vstring +p32505 +tp32506 +a(g826 +g1044 +tp32507 +a(g705 +g1040 +tp32508 +a(g573 +Vmatch-beginning +p32509 +tp32510 +a(g826 +g1044 +tp32511 +a(g440 +Vnum +p32512 +tp32513 +a(g705 +g1064 +tp32514 +a(g826 +V\u000a +p32515 +tp32516 +a(g705 +g1040 +tp32517 +a(g573 +Vmatch-end +p32518 +tp32519 +a(g826 +g1044 +tp32520 +a(g440 +Vnum +p32521 +tp32522 +a(g705 +g1064 +tp32523 +a(g705 +g1064 +tp32524 +a(g826 +V\u000a +p32525 +tp32526 +a(g705 +g1040 +tp32527 +a(g573 +Vbuffer-substring-no-properties +p32528 +tp32529 +a(g826 +g1044 +tp32530 +a(g705 +g1040 +tp32531 +a(g573 +Vmatch-beginning +p32532 +tp32533 +a(g826 +g1044 +tp32534 +a(g440 +Vnum +p32535 +tp32536 +a(g705 +g1064 +tp32537 +a(g826 +V\u000a +p32538 +tp32539 +a(g705 +g1040 +tp32540 +a(g573 +Vmatch-end +p32541 +tp32542 +a(g826 +g1044 +tp32543 +a(g440 +Vnum +p32544 +tp32545 +a(g705 +g1064 +tp32546 +a(g705 +g1064 +tp32547 +a(g705 +g1064 +tp32548 +a(g705 +g1064 +tp32549 +a(g705 +g1064 +tp32550 +a(g826 +V\u000a\u000a\u000a +p32551 +tp32552 +a(g705 +g1040 +tp32553 +a(g681 +Vdefun +p32554 +tp32555 +a(g826 +g1044 +tp32556 +a(g440 +Vmatch-substitute-replacement +p32557 +tp32558 +a(g826 +g1044 +tp32559 +a(g705 +g1040 +tp32560 +a(g440 +Vreplacement +p32561 +tp32562 +a(g826 +V\u000a +p32563 +tp32564 +a(g785 +V&optional +p32565 +tp32566 +a(g826 +g1044 +tp32567 +a(g440 +Vfixedcase +p32568 +tp32569 +a(g826 +g1044 +tp32570 +a(g440 +Vliteral +p32571 +tp32572 +a(g826 +g1044 +tp32573 +a(g573 +Vstring +p32574 +tp32575 +a(g826 +g1044 +tp32576 +a(g440 +Vsubexp +p32577 +tp32578 +a(g705 +g1064 +tp32579 +a(g826 +V\u000a +p32580 +tp32581 +a(g89 +g1068 +tp32582 +a(g89 +VReturn REPLACEMENT as it will be inserted by +p32583 +tp32584 +a(g117 +V`replace-match' +p32585 +tp32586 +a(g89 +V.\u000aIn other words, all back-references in the form +p32587 +tp32588 +a(g117 +V`\u005c\u005c&' +p32589 +tp32590 +a(g89 +V and +p32591 +tp32592 +a(g117 +V`\u005c\u005cN' +p32593 +tp32594 +a(g89 +V\u000aare substituted with actual strings matched by the last search.\u000aOptional FIXEDCASE, LITERAL, STRING and SUBEXP have the same\u000ameaning as for +p32595 +tp32596 +a(g117 +V`replace-match' +p32597 +tp32598 +a(g89 +g3307 +tp32599 +a(g89 +g1068 +tp32600 +a(g826 +V\u000a +p32601 +tp32602 +a(g705 +g1040 +tp32603 +a(g744 +Vlet +p32604 +tp32605 +a(g826 +g1044 +tp32606 +a(g705 +g1040 +tp32607 +a(g705 +g1040 +tp32608 +a(g440 +Vmatch +p32609 +tp32610 +a(g826 +g1044 +tp32611 +a(g705 +g1040 +tp32612 +a(g440 +Vmatch-string +p32613 +tp32614 +a(g826 +g1044 +tp32615 +a(g37 +g2628 +tp32616 +a(g826 +g1044 +tp32617 +a(g573 +Vstring +p32618 +tp32619 +a(g705 +g1064 +tp32620 +a(g705 +g1064 +tp32621 +a(g705 +g1064 +tp32622 +a(g826 +V\u000a +p32623 +tp32624 +a(g705 +g1040 +tp32625 +a(g681 +Vsave-match-data +p32626 +tp32627 +a(g826 +V\u000a +p32628 +tp32629 +a(g705 +g1040 +tp32630 +a(g573 +Vset-match-data +p32631 +tp32632 +a(g826 +g1044 +tp32633 +a(g705 +g1040 +tp32634 +a(g573 +Vmapcar +p32635 +tp32636 +a(g826 +g1044 +tp32637 +a(g705 +g1040 +tp32638 +a(g681 +Vlambda +p32639 +tp32640 +a(g826 +g1044 +tp32641 +a(g705 +g1040 +tp32642 +a(g440 +g1971 +tp32643 +a(g705 +g1064 +tp32644 +a(g826 +V\u000a +p32645 +tp32646 +a(g705 +g1040 +tp32647 +a(g744 +Vif +p32648 +tp32649 +a(g826 +g1044 +tp32650 +a(g705 +g1040 +tp32651 +a(g573 +Vnumberp +p32652 +tp32653 +a(g826 +g1044 +tp32654 +a(g440 +g1971 +tp32655 +a(g705 +g1064 +tp32656 +a(g826 +V\u000a +p32657 +tp32658 +a(g705 +g1040 +tp32659 +a(g573 +g3788 +tp32660 +a(g826 +g1044 +tp32661 +a(g440 +g1971 +tp32662 +a(g826 +g1044 +tp32663 +a(g705 +g1040 +tp32664 +a(g573 +Vmatch-beginning +p32665 +tp32666 +a(g826 +g1044 +tp32667 +a(g37 +g2628 +tp32668 +a(g705 +g1064 +tp32669 +a(g705 +g1064 +tp32670 +a(g826 +V\u000a +p32671 +tp32672 +a(g440 +g1971 +tp32673 +a(g705 +g1064 +tp32674 +a(g705 +g1064 +tp32675 +a(g826 +V\u000a +p32676 +tp32677 +a(g705 +g1040 +tp32678 +a(g573 +Vmatch-data +p32679 +tp32680 +a(g826 +g1044 +tp32681 +a(g565 +g1187 +tp32682 +a(g705 +g1064 +tp32683 +a(g705 +g1064 +tp32684 +a(g705 +g1064 +tp32685 +a(g826 +V\u000a +p32686 +tp32687 +a(g705 +g1040 +tp32688 +a(g573 +Vreplace-match +p32689 +tp32690 +a(g826 +g1044 +tp32691 +a(g440 +Vreplacement +p32692 +tp32693 +a(g826 +g1044 +tp32694 +a(g440 +Vfixedcase +p32695 +tp32696 +a(g826 +g1044 +tp32697 +a(g440 +Vliteral +p32698 +tp32699 +a(g826 +g1044 +tp32700 +a(g440 +Vmatch +p32701 +tp32702 +a(g826 +g1044 +tp32703 +a(g440 +Vsubexp +p32704 +tp32705 +a(g705 +g1064 +tp32706 +a(g705 +g1064 +tp32707 +a(g705 +g1064 +tp32708 +a(g705 +g1064 +tp32709 +a(g826 +V\u000a\u000a\u000a +p32710 +tp32711 +a(g705 +g1040 +tp32712 +a(g681 +Vdefun +p32713 +tp32714 +a(g826 +g1044 +tp32715 +a(g440 +Vlooking-back +p32716 +tp32717 +a(g826 +g1044 +tp32718 +a(g705 +g1040 +tp32719 +a(g440 +Vregexp +p32720 +tp32721 +a(g826 +g1044 +tp32722 +a(g785 +V&optional +p32723 +tp32724 +a(g826 +g1044 +tp32725 +a(g440 +Vlimit +p32726 +tp32727 +a(g826 +g1044 +tp32728 +a(g440 +Vgreedy +p32729 +tp32730 +a(g705 +g1064 +tp32731 +a(g826 +V\u000a +p32732 +tp32733 +a(g89 +g1068 +tp32734 +a(g89 +VReturn non-nil if text before point matches regular expression REGEXP.\u000aLike +p32735 +tp32736 +a(g117 +V`looking-at' +p32737 +tp32738 +a(g89 +V except matches before point, and is slower.\u000aLIMIT if non-nil speeds up the search by specifying a minimum\u000astarting position, to avoid checking matches that would start\u000abefore LIMIT.\u000a\u000aIf GREEDY is non-nil, extend the match backwards as far as\u000apossible, stopping when a single additional previous character\u000acannot be part of a match for REGEXP. When the match is\u000aextended, its starting position is allowed to occur before\u000aLIMIT.\u000a\u000aAs a general recommendation, try to avoid using +p32739 +tp32740 +a(g117 +V`looking-back' +p32741 +tp32742 +a(g89 +V\u000awherever possible, since it is slow. +p32743 +tp32744 +a(g89 +g1068 +tp32745 +a(g826 +V\u000a +p32746 +tp32747 +a(g705 +g1040 +tp32748 +a(g744 +Vlet +p32749 +tp32750 +a(g826 +g1044 +tp32751 +a(g705 +g1040 +tp32752 +a(g705 +g1040 +tp32753 +a(g440 +Vstart +p32754 +tp32755 +a(g826 +g1044 +tp32756 +a(g705 +g1040 +tp32757 +a(g573 +Vpoint +p32758 +tp32759 +a(g705 +g1064 +tp32760 +a(g705 +g1064 +tp32761 +a(g826 +V\u000a +p32762 +tp32763 +a(g705 +g1040 +tp32764 +a(g440 +Vpos +p32765 +tp32766 +a(g826 +V\u000a +p32767 +tp32768 +a(g705 +g1040 +tp32769 +a(g744 +Vsave-excursion +p32770 +tp32771 +a(g826 +V\u000a +p32772 +tp32773 +a(g705 +g1040 +tp32774 +a(g744 +Vand +p32775 +tp32776 +a(g826 +g1044 +tp32777 +a(g705 +g1040 +tp32778 +a(g573 +Vre-search-backward +p32779 +tp32780 +a(g826 +g1044 +tp32781 +a(g705 +g1040 +tp32782 +a(g573 +Vconcat +p32783 +tp32784 +a(g826 +g1044 +tp32785 +a(g89 +g1068 +tp32786 +a(g89 +V\u005c\u005c +p32787 +tp32788 +a(g89 +V(?: +p32789 +tp32790 +a(g89 +g1068 +tp32791 +a(g826 +g1044 +tp32792 +a(g440 +Vregexp +p32793 +tp32794 +a(g826 +g1044 +tp32795 +a(g89 +g1068 +tp32796 +a(g89 +V\u005c\u005c +p32797 +tp32798 +a(g89 +g1064 +tp32799 +a(g89 +V\u005c\u005c +p32800 +tp32801 +a(g89 +g4082 +tp32802 +a(g89 +g1068 +tp32803 +a(g705 +g1064 +tp32804 +a(g826 +g1044 +tp32805 +a(g440 +Vlimit +p32806 +tp32807 +a(g826 +g1044 +tp32808 +a(g565 +g1187 +tp32809 +a(g705 +g1064 +tp32810 +a(g826 +V\u000a +p32811 +tp32812 +a(g705 +g1040 +tp32813 +a(g573 +Vpoint +p32814 +tp32815 +a(g705 +g1064 +tp32816 +a(g705 +g1064 +tp32817 +a(g705 +g1064 +tp32818 +a(g705 +g1064 +tp32819 +a(g705 +g1064 +tp32820 +a(g826 +V\u000a +p32821 +tp32822 +a(g705 +g1040 +tp32823 +a(g744 +Vif +p32824 +tp32825 +a(g826 +g1044 +tp32826 +a(g705 +g1040 +tp32827 +a(g744 +Vand +p32828 +tp32829 +a(g826 +g1044 +tp32830 +a(g440 +Vgreedy +p32831 +tp32832 +a(g826 +g1044 +tp32833 +a(g440 +Vpos +p32834 +tp32835 +a(g705 +g1064 +tp32836 +a(g826 +V\u000a +p32837 +tp32838 +a(g705 +g1040 +tp32839 +a(g744 +Vsave-restriction +p32840 +tp32841 +a(g826 +V\u000a +p32842 +tp32843 +a(g705 +g1040 +tp32844 +a(g573 +Vnarrow-to-region +p32845 +tp32846 +a(g826 +g1044 +tp32847 +a(g705 +g1040 +tp32848 +a(g573 +Vpoint-min +p32849 +tp32850 +a(g705 +g1064 +tp32851 +a(g826 +g1044 +tp32852 +a(g440 +Vstart +p32853 +tp32854 +a(g705 +g1064 +tp32855 +a(g826 +V\u000a +p32856 +tp32857 +a(g705 +g1040 +tp32858 +a(g744 +Vwhile +p32859 +tp32860 +a(g826 +g1044 +tp32861 +a(g705 +g1040 +tp32862 +a(g744 +Vand +p32863 +tp32864 +a(g826 +g1044 +tp32865 +a(g705 +g1040 +tp32866 +a(g573 +g3989 +tp32867 +a(g826 +g1044 +tp32868 +a(g440 +Vpos +p32869 +tp32870 +a(g826 +g1044 +tp32871 +a(g705 +g1040 +tp32872 +a(g573 +Vpoint-min +p32873 +tp32874 +a(g705 +g1064 +tp32875 +a(g705 +g1064 +tp32876 +a(g826 +V\u000a +p32877 +tp32878 +a(g705 +g1040 +tp32879 +a(g744 +Vsave-excursion +p32880 +tp32881 +a(g826 +V\u000a +p32882 +tp32883 +a(g705 +g1040 +tp32884 +a(g573 +Vgoto-char +p32885 +tp32886 +a(g826 +g1044 +tp32887 +a(g440 +Vpos +p32888 +tp32889 +a(g705 +g1064 +tp32890 +a(g826 +V\u000a +p32891 +tp32892 +a(g705 +g1040 +tp32893 +a(g573 +Vbackward-char +p32894 +tp32895 +a(g826 +g1044 +tp32896 +a(g37 +g2048 +tp32897 +a(g705 +g1064 +tp32898 +a(g826 +V\u000a +p32899 +tp32900 +a(g705 +g1040 +tp32901 +a(g573 +Vlooking-at +p32902 +tp32903 +a(g826 +g1044 +tp32904 +a(g705 +g1040 +tp32905 +a(g573 +Vconcat +p32906 +tp32907 +a(g826 +g1044 +tp32908 +a(g89 +g1068 +tp32909 +a(g89 +V\u005c\u005c +p32910 +tp32911 +a(g89 +V(?: +p32912 +tp32913 +a(g89 +g1068 +tp32914 +a(g826 +V +p32915 +tp32916 +a(g440 +Vregexp +p32917 +tp32918 +a(g826 +g1044 +tp32919 +a(g89 +g1068 +tp32920 +a(g89 +V\u005c\u005c +p32921 +tp32922 +a(g89 +g1064 +tp32923 +a(g89 +V\u005c\u005c +p32924 +tp32925 +a(g89 +g10833 +tp32926 +a(g89 +g1068 +tp32927 +a(g705 +g1064 +tp32928 +a(g705 +g1064 +tp32929 +a(g705 +g1064 +tp32930 +a(g705 +g1064 +tp32931 +a(g826 +V\u000a +p32932 +tp32933 +a(g705 +g1040 +tp32934 +a(g744 +Vsetq +p32935 +tp32936 +a(g826 +g1044 +tp32937 +a(g440 +Vpos +p32938 +tp32939 +a(g826 +g1044 +tp32940 +a(g705 +g1040 +tp32941 +a(g573 +V1- +p32942 +tp32943 +a(g826 +g1044 +tp32944 +a(g440 +Vpos +p32945 +tp32946 +a(g705 +g1064 +tp32947 +a(g705 +g1064 +tp32948 +a(g705 +g1064 +tp32949 +a(g826 +V\u000a +p32950 +tp32951 +a(g705 +g1040 +tp32952 +a(g744 +Vsave-excursion +p32953 +tp32954 +a(g826 +V\u000a +p32955 +tp32956 +a(g705 +g1040 +tp32957 +a(g573 +Vgoto-char +p32958 +tp32959 +a(g826 +g1044 +tp32960 +a(g440 +Vpos +p32961 +tp32962 +a(g705 +g1064 +tp32963 +a(g826 +V\u000a +p32964 +tp32965 +a(g705 +g1040 +tp32966 +a(g573 +Vlooking-at +p32967 +tp32968 +a(g826 +g1044 +tp32969 +a(g705 +g1040 +tp32970 +a(g573 +Vconcat +p32971 +tp32972 +a(g826 +g1044 +tp32973 +a(g89 +g1068 +tp32974 +a(g89 +V\u005c\u005c +p32975 +tp32976 +a(g89 +V(?: +p32977 +tp32978 +a(g89 +g1068 +tp32979 +a(g826 +V +p32980 +tp32981 +a(g440 +Vregexp +p32982 +tp32983 +a(g826 +g1044 +tp32984 +a(g89 +g1068 +tp32985 +a(g89 +V\u005c\u005c +p32986 +tp32987 +a(g89 +g1064 +tp32988 +a(g89 +V\u005c\u005c +p32989 +tp32990 +a(g89 +g10833 +tp32991 +a(g89 +g1068 +tp32992 +a(g705 +g1064 +tp32993 +a(g705 +g1064 +tp32994 +a(g705 +g1064 +tp32995 +a(g705 +g1064 +tp32996 +a(g705 +g1064 +tp32997 +a(g826 +V\u000a +p32998 +tp32999 +a(g705 +g1040 +tp33000 +a(g440 +Vnot +p33001 +tp33002 +a(g826 +g1044 +tp33003 +a(g705 +g1040 +tp33004 +a(g573 +Vnull +p33005 +tp33006 +a(g826 +g1044 +tp33007 +a(g440 +Vpos +p33008 +tp33009 +a(g705 +g1064 +tp33010 +a(g705 +g1064 +tp33011 +a(g705 +g1064 +tp33012 +a(g705 +g1064 +tp33013 +a(g826 +V\u000a\u000a +p33014 +tp33015 +a(g705 +g1040 +tp33016 +a(g681 +Vdefsubst +p33017 +tp33018 +a(g826 +g1044 +tp33019 +a(g440 +Vlooking-at-p +p33020 +tp33021 +a(g826 +g1044 +tp33022 +a(g705 +g1040 +tp33023 +a(g440 +Vregexp +p33024 +tp33025 +a(g705 +g1064 +tp33026 +a(g826 +V\u000a +p33027 +tp33028 +a(g89 +g1068 +tp33029 +a(g89 +V\u005c\u000a +p33030 +tp33031 +a(g89 +VSame as +p33032 +tp33033 +a(g117 +V`looking-at' +p33034 +tp33035 +a(g89 +V except this function does not change the match data. +p33036 +tp33037 +a(g89 +g1068 +tp33038 +a(g826 +V\u000a +p33039 +tp33040 +a(g705 +g1040 +tp33041 +a(g744 +Vlet +p33042 +tp33043 +a(g826 +g1044 +tp33044 +a(g705 +g1040 +tp33045 +a(g705 +g1040 +tp33046 +a(g440 +Vinhibit-changing-match-data +p33047 +tp33048 +a(g826 +g1044 +tp33049 +a(g565 +g1187 +tp33050 +a(g705 +g1064 +tp33051 +a(g705 +g1064 +tp33052 +a(g826 +V\u000a +p33053 +tp33054 +a(g705 +g1040 +tp33055 +a(g573 +Vlooking-at +p33056 +tp33057 +a(g826 +g1044 +tp33058 +a(g440 +Vregexp +p33059 +tp33060 +a(g705 +g1064 +tp33061 +a(g705 +g1064 +tp33062 +a(g705 +g1064 +tp33063 +a(g826 +V\u000a\u000a +p33064 +tp33065 +a(g705 +g1040 +tp33066 +a(g681 +Vdefsubst +p33067 +tp33068 +a(g826 +g1044 +tp33069 +a(g440 +Vstring-match-p +p33070 +tp33071 +a(g826 +g1044 +tp33072 +a(g705 +g1040 +tp33073 +a(g440 +Vregexp +p33074 +tp33075 +a(g826 +g1044 +tp33076 +a(g573 +Vstring +p33077 +tp33078 +a(g826 +g1044 +tp33079 +a(g785 +V&optional +p33080 +tp33081 +a(g826 +g1044 +tp33082 +a(g440 +Vstart +p33083 +tp33084 +a(g705 +g1064 +tp33085 +a(g826 +V\u000a +p33086 +tp33087 +a(g89 +g1068 +tp33088 +a(g89 +V\u005c\u000a +p33089 +tp33090 +a(g89 +VSame as +p33091 +tp33092 +a(g117 +V`string-match' +p33093 +tp33094 +a(g89 +V except this function does not change the match data. +p33095 +tp33096 +a(g89 +g1068 +tp33097 +a(g826 +V\u000a +p33098 +tp33099 +a(g705 +g1040 +tp33100 +a(g744 +Vlet +p33101 +tp33102 +a(g826 +g1044 +tp33103 +a(g705 +g1040 +tp33104 +a(g705 +g1040 +tp33105 +a(g440 +Vinhibit-changing-match-data +p33106 +tp33107 +a(g826 +g1044 +tp33108 +a(g565 +g1187 +tp33109 +a(g705 +g1064 +tp33110 +a(g705 +g1064 +tp33111 +a(g826 +V\u000a +p33112 +tp33113 +a(g705 +g1040 +tp33114 +a(g573 +Vstring-match +p33115 +tp33116 +a(g826 +g1044 +tp33117 +a(g440 +Vregexp +p33118 +tp33119 +a(g826 +g1044 +tp33120 +a(g573 +Vstring +p33121 +tp33122 +a(g826 +g1044 +tp33123 +a(g440 +Vstart +p33124 +tp33125 +a(g705 +g1064 +tp33126 +a(g705 +g1064 +tp33127 +a(g705 +g1064 +tp33128 +a(g826 +V\u000a\u000a +p33129 +tp33130 +a(g705 +g1040 +tp33131 +a(g681 +Vdefun +p33132 +tp33133 +a(g826 +g1044 +tp33134 +a(g440 +Vsubregexp-context-p +p33135 +tp33136 +a(g826 +g1044 +tp33137 +a(g705 +g1040 +tp33138 +a(g440 +Vregexp +p33139 +tp33140 +a(g826 +g1044 +tp33141 +a(g440 +Vpos +p33142 +tp33143 +a(g826 +g1044 +tp33144 +a(g785 +V&optional +p33145 +tp33146 +a(g826 +g1044 +tp33147 +a(g440 +Vstart +p33148 +tp33149 +a(g705 +g1064 +tp33150 +a(g826 +V\u000a +p33151 +tp33152 +a(g89 +g1068 +tp33153 +a(g89 +VReturn non-nil if POS is in a normal subregexp context in REGEXP.\u000aA subregexp context is one where a sub-regexp can appear.\u000aA non-subregexp context is for example within brackets, or within a\u000arepetition bounds operator +p33154 +tp33155 +a(g117 +V`\u005c\u005c=\u005c\u005c{...\u005c\u005c}' +p33156 +tp33157 +a(g89 +V, or right after a +p33158 +tp33159 +a(g117 +V`\u005c\u005c' +p33160 +tp33161 +a(g89 +V.\u000aIf START is non-nil, it should be a position in REGEXP, smaller\u000athan POS, and known to be in a subregexp context. +p33162 +tp33163 +a(g89 +g1068 +tp33164 +a(g826 +V\u000a +p33165 +tp33166 +a(g8 +V;; Here's one possible implementation, with the great benefit that it +p33167 +tp33168 +a(g826 +V\u000a +p33169 +tp33170 +a(g8 +V;; reuses the regexp-matcher's own parser, so it understands all the +p33171 +tp33172 +a(g826 +V\u000a +p33173 +tp33174 +a(g8 +V;; details of the syntax. A disadvantage is that it needs to match the +p33175 +tp33176 +a(g826 +V\u000a +p33177 +tp33178 +a(g8 +V;; error string. +p33179 +tp33180 +a(g826 +V\u000a +p33181 +tp33182 +a(g705 +g1040 +tp33183 +a(g744 +Vcondition-case +p33184 +tp33185 +a(g826 +g1044 +tp33186 +a(g440 +Verr +p33187 +tp33188 +a(g826 +V\u000a +p33189 +tp33190 +a(g705 +g1040 +tp33191 +a(g744 +Vprogn +p33192 +tp33193 +a(g826 +V\u000a +p33194 +tp33195 +a(g705 +g1040 +tp33196 +a(g573 +Vstring-match +p33197 +tp33198 +a(g826 +g1044 +tp33199 +a(g705 +g1040 +tp33200 +a(g573 +Vsubstring +p33201 +tp33202 +a(g826 +g1044 +tp33203 +a(g440 +Vregexp +p33204 +tp33205 +a(g826 +g1044 +tp33206 +a(g705 +g1040 +tp33207 +a(g744 +Vor +p33208 +tp33209 +a(g826 +g1044 +tp33210 +a(g440 +Vstart +p33211 +tp33212 +a(g826 +g1044 +tp33213 +a(g37 +g2628 +tp33214 +a(g705 +g1064 +tp33215 +a(g826 +g1044 +tp33216 +a(g440 +Vpos +p33217 +tp33218 +a(g705 +g1064 +tp33219 +a(g826 +g1044 +tp33220 +a(g89 +g1068 +tp33221 +a(g89 +g1068 +tp33222 +a(g705 +g1064 +tp33223 +a(g826 +V\u000a +p33224 +tp33225 +a(g565 +g1187 +tp33226 +a(g705 +g1064 +tp33227 +a(g826 +V\u000a +p33228 +tp33229 +a(g705 +g1040 +tp33230 +a(g440 +Vinvalid-regexp +p33231 +tp33232 +a(g826 +V\u000a +p33233 +tp33234 +a(g705 +g1040 +tp33235 +a(g440 +Vnot +p33236 +tp33237 +a(g826 +g1044 +tp33238 +a(g705 +g1040 +tp33239 +a(g573 +Vmember +p33240 +tp33241 +a(g826 +g1044 +tp33242 +a(g705 +g1040 +tp33243 +a(g440 +Vcadr +p33244 +tp33245 +a(g826 +g1044 +tp33246 +a(g440 +Verr +p33247 +tp33248 +a(g705 +g1064 +tp33249 +a(g826 +g1044 +tp33250 +a(g412 +g10833 +tp33251 +a(g705 +g1040 +tp33252 +a(g89 +g1068 +tp33253 +a(g89 +VUnmatched [ or [^ +p33254 +tp33255 +a(g89 +g1068 +tp33256 +a(g826 +V\u000a +p33257 +tp33258 +a(g89 +g1068 +tp33259 +a(g89 +VUnmatched +p33260 +tp33261 +a(g89 +V\u005c\u005c +p33262 +tp33263 +a(g89 +V{ +p33264 +tp33265 +a(g89 +g1068 +tp33266 +a(g826 +V\u000a +p33267 +tp33268 +a(g89 +g1068 +tp33269 +a(g89 +VTrailing backslash +p33270 +tp33271 +a(g89 +g1068 +tp33272 +a(g705 +g1064 +tp33273 +a(g705 +g1064 +tp33274 +a(g705 +g1064 +tp33275 +a(g705 +g1064 +tp33276 +a(g705 +g1064 +tp33277 +a(g826 +V\u000a +p33278 +tp33279 +a(g8 +V;; An alternative implementation: +p33280 +tp33281 +a(g826 +V\u000a +p33282 +tp33283 +a(g8 +V;; (defconst re-context-re +p33284 +tp33285 +a(g826 +V\u000a +p33286 +tp33287 +a(g8 +V;; (let* ((harmless-ch "[^\u005c\u005c[]") +p33288 +tp33289 +a(g826 +V\u000a +p33290 +tp33291 +a(g8 +V;; (harmless-esc "\u005c\u005c\u005c\u005c[^{]") +p33292 +tp33293 +a(g826 +V\u000a +p33294 +tp33295 +a(g8 +V;; (class-harmless-ch "[^][]") +p33296 +tp33297 +a(g826 +V\u000a +p33298 +tp33299 +a(g8 +V;; (class-lb-harmless "[^]:]") +p33300 +tp33301 +a(g826 +V\u000a +p33302 +tp33303 +a(g8 +V;; (class-lb-colon-maybe-charclass ":\u005c\u005c([a-z]+:]\u005c\u005c)?") +p33304 +tp33305 +a(g826 +V\u000a +p33306 +tp33307 +a(g8 +V;; (class-lb (concat "\u005c\u005c[\u005c\u005c(" class-lb-harmless +p33308 +tp33309 +a(g826 +V\u000a +p33310 +tp33311 +a(g8 +V;; "\u005c\u005c|" class-lb-colon-maybe-charclass "\u005c\u005c)")) +p33312 +tp33313 +a(g826 +V\u000a +p33314 +tp33315 +a(g8 +V;; (class +p33316 +tp33317 +a(g826 +V\u000a +p33318 +tp33319 +a(g8 +V;; (concat "\u005c\u005c[^?]?" +p33320 +tp33321 +a(g826 +V\u000a +p33322 +tp33323 +a(g8 +V;; "\u005c\u005c(" class-harmless-ch +p33324 +tp33325 +a(g826 +V\u000a +p33326 +tp33327 +a(g8 +V;; "\u005c\u005c|" class-lb "\u005c\u005c)*" +p33328 +tp33329 +a(g826 +V\u000a +p33330 +tp33331 +a(g8 +V;; "\u005c\u005c[?]")) ; special handling for bare [ at end of re +p33332 +tp33333 +a(g826 +V\u000a +p33334 +tp33335 +a(g8 +V;; (braces "\u005c\u005c\u005c\u005c{[0-9,]+\u005c\u005c\u005c\u005c}")) +p33336 +tp33337 +a(g826 +V\u000a +p33338 +tp33339 +a(g8 +V;; (concat "\u005c\u005c`\u005c\u005c(" harmless-ch "\u005c\u005c|" harmless-esc +p33340 +tp33341 +a(g826 +V\u000a +p33342 +tp33343 +a(g8 +V;; "\u005c\u005c|" class "\u005c\u005c|" braces "\u005c\u005c)*\u005c\u005c'")) +p33344 +tp33345 +a(g826 +V\u000a +p33346 +tp33347 +a(g8 +V;; "Matches any prefix that corresponds to a normal subregexp context.") +p33348 +tp33349 +a(g826 +V\u000a +p33350 +tp33351 +a(g8 +V;; (string-match re-context-re (substring regexp (or start 0) pos)) +p33352 +tp33353 +a(g826 +V\u000a +p33354 +tp33355 +a(g705 +g1064 +tp33356 +a(g826 +V\u000a \u000a +p33357 +tp33358 +a(g8 +V;;;; split-string +p33359 +tp33360 +a(g826 +V\u000a\u000a +p33361 +tp33362 +a(g705 +g1040 +tp33363 +a(g744 +Vdefconst +p33364 +tp33365 +a(g826 +g1044 +tp33366 +a(g440 +Vsplit-string-default-separators +p33367 +tp33368 +a(g826 +g1044 +tp33369 +a(g89 +g1068 +tp33370 +a(g89 +V[ +p33371 +tp33372 +a(g89 +V\u005cf +p33373 +tp33374 +a(g89 +V\u005ct +p33375 +tp33376 +a(g89 +V\u005cn +p33377 +tp33378 +a(g89 +V\u005cr +p33379 +tp33380 +a(g89 +V\u005cv +p33381 +tp33382 +a(g89 +V]+ +p33383 +tp33384 +a(g89 +g1068 +tp33385 +a(g826 +V\u000a +p33386 +tp33387 +a(g89 +g1068 +tp33388 +a(g89 +VThe default value of separators for +p33389 +tp33390 +a(g117 +V`split-string' +p33391 +tp33392 +a(g89 +V.\u000a\u000aA regexp matching strings of whitespace. May be locale-dependent\u000a +p33393 +tp33394 +a(g89 +V\u005c( +p33395 +tp33396 +a(g89 +Vas yet unimplemented). Should not match non-breaking spaces.\u000a\u000aWarning: binding this to a different value and using it as default is\u000alikely to have undesired semantics. +p33397 +tp33398 +a(g89 +g1068 +tp33399 +a(g705 +g1064 +tp33400 +a(g826 +V\u000a\u000a +p33401 +tp33402 +a(g8 +V;; The specification says that if both SEPARATORS and OMIT-NULLS are +p33403 +tp33404 +a(g826 +V\u000a +p33405 +tp33406 +a(g8 +V;; defaulted, OMIT-NULLS should be treated as t. Simplifying the logical +p33407 +tp33408 +a(g826 +V\u000a +p33409 +tp33410 +a(g8 +V;; expression leads to the equivalent implementation that if SEPARATORS +p33411 +tp33412 +a(g826 +V\u000a +p33413 +tp33414 +a(g8 +V;; is defaulted, OMIT-NULLS is treated as t. +p33415 +tp33416 +a(g826 +V\u000a +p33417 +tp33418 +a(g705 +g1040 +tp33419 +a(g681 +Vdefun +p33420 +tp33421 +a(g826 +g1044 +tp33422 +a(g440 +Vsplit-string +p33423 +tp33424 +a(g826 +g1044 +tp33425 +a(g705 +g1040 +tp33426 +a(g573 +Vstring +p33427 +tp33428 +a(g826 +g1044 +tp33429 +a(g785 +V&optional +p33430 +tp33431 +a(g826 +g1044 +tp33432 +a(g440 +Vseparators +p33433 +tp33434 +a(g826 +g1044 +tp33435 +a(g440 +Vomit-nulls +p33436 +tp33437 +a(g826 +g1044 +tp33438 +a(g440 +Vtrim +p33439 +tp33440 +a(g705 +g1064 +tp33441 +a(g826 +V\u000a +p33442 +tp33443 +a(g89 +g1068 +tp33444 +a(g89 +VSplit STRING into substrings bounded by matches for SEPARATORS.\u000a\u000aThe beginning and end of STRING, and each match for SEPARATORS, are\u000asplitting points. The substrings matching SEPARATORS are removed, and\u000athe substrings between the splitting points are collected as a list,\u000awhich is returned.\u000a\u000aIf SEPARATORS is non-nil, it should be a regular expression matching text\u000awhich separates, but is not part of, the substrings. If nil it defaults to\u000a +p33445 +tp33446 +a(g117 +V`split-string-default-separators' +p33447 +tp33448 +a(g89 +V, normally +p33449 +tp33450 +a(g89 +V\u005c" +p33451 +tp33452 +a(g89 +V[ +p33453 +tp33454 +a(g89 +V\u005c\u005c +p33455 +tp33456 +a(g89 +g26775 +tp33457 +a(g89 +V\u005c\u005c +p33458 +tp33459 +a(g89 +g1187 +tp33460 +a(g89 +V\u005c\u005c +p33461 +tp33462 +a(g89 +g3719 +tp33463 +a(g89 +V\u005c\u005c +p33464 +tp33465 +a(g89 +Vr +p33466 +tp33467 +a(g89 +V\u005c\u005c +p33468 +tp33469 +a(g89 +Vv]+ +p33470 +tp33471 +a(g89 +V\u005c" +p33472 +tp33473 +a(g89 +V, and\u000aOMIT-NULLS is forced to t.\u000a\u000aIf OMIT-NULLS is t, zero-length substrings are omitted from the list (so\u000athat for the default value of SEPARATORS leading and trailing whitespace\u000aare effectively trimmed). If nil, all zero-length substrings are retained,\u000awhich correctly parses CSV format, for example.\u000a\u000aIf TRIM is non-nil, it should be a regular expression to match\u000atext to trim from the beginning and end of each substring. If trimming\u000amakes the substring empty, it is treated as null.\u000a\u000aIf you want to trim whitespace from the substrings, the reliably correct\u000away is using TRIM. Making SEPARATORS match that whitespace gives incorrect\u000aresults when there is whitespace at the start or end of STRING. If you\u000asee such calls to +p33474 +tp33475 +a(g117 +V`split-string' +p33476 +tp33477 +a(g89 +V, please fix them.\u000a\u000aNote that the effect of +p33478 +tp33479 +a(g89 +g1128 +tp33480 +a(g89 +V(split-string STRING)' is the same as\u000a +p33481 +tp33482 +a(g89 +g1128 +tp33483 +a(g89 +V(split-string STRING split-string-default-separators t)'. In the rare\u000acase that you wish to retain zero-length substrings when splitting on\u000awhitespace, use +p33484 +tp33485 +a(g89 +g1128 +tp33486 +a(g89 +V(split-string STRING split-string-default-separators)'.\u000a\u000aModifies the match data; use +p33487 +tp33488 +a(g117 +V`save-match-data' +p33489 +tp33490 +a(g89 +V if necessary. +p33491 +tp33492 +a(g89 +g1068 +tp33493 +a(g826 +V\u000a +p33494 +tp33495 +a(g705 +g1040 +tp33496 +a(g744 +Vlet* +p33497 +tp33498 +a(g826 +g1044 +tp33499 +a(g705 +g1040 +tp33500 +a(g705 +g1040 +tp33501 +a(g440 +Vkeep-nulls +p33502 +tp33503 +a(g826 +g1044 +tp33504 +a(g705 +g1040 +tp33505 +a(g440 +Vnot +p33506 +tp33507 +a(g826 +g1044 +tp33508 +a(g705 +g1040 +tp33509 +a(g744 +Vif +p33510 +tp33511 +a(g826 +g1044 +tp33512 +a(g440 +Vseparators +p33513 +tp33514 +a(g826 +g1044 +tp33515 +a(g440 +Vomit-nulls +p33516 +tp33517 +a(g826 +g1044 +tp33518 +a(g565 +g1187 +tp33519 +a(g705 +g1064 +tp33520 +a(g705 +g1064 +tp33521 +a(g705 +g1064 +tp33522 +a(g826 +V\u000a +p33523 +tp33524 +a(g705 +g1040 +tp33525 +a(g440 +Vrexp +p33526 +tp33527 +a(g826 +g1044 +tp33528 +a(g705 +g1040 +tp33529 +a(g744 +Vor +p33530 +tp33531 +a(g826 +g1044 +tp33532 +a(g440 +Vseparators +p33533 +tp33534 +a(g826 +g1044 +tp33535 +a(g440 +Vsplit-string-default-separators +p33536 +tp33537 +a(g705 +g1064 +tp33538 +a(g705 +g1064 +tp33539 +a(g826 +V\u000a +p33540 +tp33541 +a(g705 +g1040 +tp33542 +a(g440 +Vstart +p33543 +tp33544 +a(g826 +g1044 +tp33545 +a(g37 +g2628 +tp33546 +a(g705 +g1064 +tp33547 +a(g826 +V\u000a +p33548 +tp33549 +a(g440 +Vthis-start +p33550 +tp33551 +a(g826 +g1044 +tp33552 +a(g440 +Vthis-end +p33553 +tp33554 +a(g826 +V\u000a +p33555 +tp33556 +a(g440 +Vnotfirst +p33557 +tp33558 +a(g826 +V\u000a +p33559 +tp33560 +a(g705 +g1040 +tp33561 +a(g573 +Vlist +p33562 +tp33563 +a(g826 +g1044 +tp33564 +a(g565 +Vnil +p33565 +tp33566 +a(g705 +g1064 +tp33567 +a(g826 +V\u000a +p33568 +tp33569 +a(g705 +g1040 +tp33570 +a(g440 +Vpush-one +p33571 +tp33572 +a(g826 +V\u000a +p33573 +tp33574 +a(g8 +V;; Push the substring in range THIS-START to THIS-END +p33575 +tp33576 +a(g826 +V\u000a +p33577 +tp33578 +a(g8 +V;; onto LIST, trimming it and perhaps discarding it. +p33579 +tp33580 +a(g826 +V\u000a +p33581 +tp33582 +a(g705 +g1040 +tp33583 +a(g681 +Vlambda +p33584 +tp33585 +a(g826 +g1044 +tp33586 +a(g705 +g1040 +tp33587 +a(g705 +g1064 +tp33588 +a(g826 +V\u000a +p33589 +tp33590 +a(g705 +g1040 +tp33591 +a(g681 +Vwhen +p33592 +tp33593 +a(g826 +g1044 +tp33594 +a(g440 +Vtrim +p33595 +tp33596 +a(g826 +V\u000a +p33597 +tp33598 +a(g8 +V;; Discard the trim from start of this substring. +p33599 +tp33600 +a(g826 +V\u000a +p33601 +tp33602 +a(g705 +g1040 +tp33603 +a(g744 +Vlet +p33604 +tp33605 +a(g826 +g1044 +tp33606 +a(g705 +g1040 +tp33607 +a(g705 +g1040 +tp33608 +a(g440 +Vtem +p33609 +tp33610 +a(g826 +g1044 +tp33611 +a(g705 +g1040 +tp33612 +a(g573 +Vstring-match +p33613 +tp33614 +a(g826 +g1044 +tp33615 +a(g440 +Vtrim +p33616 +tp33617 +a(g826 +g1044 +tp33618 +a(g573 +Vstring +p33619 +tp33620 +a(g826 +g1044 +tp33621 +a(g440 +Vthis-start +p33622 +tp33623 +a(g705 +g1064 +tp33624 +a(g705 +g1064 +tp33625 +a(g705 +g1064 +tp33626 +a(g826 +V\u000a +p33627 +tp33628 +a(g705 +g1040 +tp33629 +a(g744 +Vand +p33630 +tp33631 +a(g826 +g1044 +tp33632 +a(g705 +g1040 +tp33633 +a(g573 +Veq +p33634 +tp33635 +a(g826 +g1044 +tp33636 +a(g440 +Vtem +p33637 +tp33638 +a(g826 +g1044 +tp33639 +a(g440 +Vthis-start +p33640 +tp33641 +a(g705 +g1064 +tp33642 +a(g826 +V\u000a +p33643 +tp33644 +a(g705 +g1040 +tp33645 +a(g744 +Vsetq +p33646 +tp33647 +a(g826 +g1044 +tp33648 +a(g440 +Vthis-start +p33649 +tp33650 +a(g826 +g1044 +tp33651 +a(g705 +g1040 +tp33652 +a(g573 +Vmatch-end +p33653 +tp33654 +a(g826 +g1044 +tp33655 +a(g37 +g2628 +tp33656 +a(g705 +g1064 +tp33657 +a(g705 +g1064 +tp33658 +a(g705 +g1064 +tp33659 +a(g705 +g1064 +tp33660 +a(g705 +g1064 +tp33661 +a(g826 +V\u000a\u000a +p33662 +tp33663 +a(g705 +g1040 +tp33664 +a(g681 +Vwhen +p33665 +tp33666 +a(g826 +g1044 +tp33667 +a(g705 +g1040 +tp33668 +a(g744 +Vor +p33669 +tp33670 +a(g826 +g1044 +tp33671 +a(g440 +Vkeep-nulls +p33672 +tp33673 +a(g826 +g1044 +tp33674 +a(g705 +g1040 +tp33675 +a(g573 +g2719 +tp33676 +a(g826 +g1044 +tp33677 +a(g440 +Vthis-start +p33678 +tp33679 +a(g826 +g1044 +tp33680 +a(g440 +Vthis-end +p33681 +tp33682 +a(g705 +g1064 +tp33683 +a(g705 +g1064 +tp33684 +a(g826 +V\u000a +p33685 +tp33686 +a(g705 +g1040 +tp33687 +a(g744 +Vlet +p33688 +tp33689 +a(g826 +g1044 +tp33690 +a(g705 +g1040 +tp33691 +a(g705 +g1040 +tp33692 +a(g440 +Vthis +p33693 +tp33694 +a(g826 +g1044 +tp33695 +a(g705 +g1040 +tp33696 +a(g573 +Vsubstring +p33697 +tp33698 +a(g826 +g1044 +tp33699 +a(g573 +Vstring +p33700 +tp33701 +a(g826 +g1044 +tp33702 +a(g440 +Vthis-start +p33703 +tp33704 +a(g826 +g1044 +tp33705 +a(g440 +Vthis-end +p33706 +tp33707 +a(g705 +g1064 +tp33708 +a(g705 +g1064 +tp33709 +a(g705 +g1064 +tp33710 +a(g826 +V\u000a\u000a +p33711 +tp33712 +a(g8 +V;; Discard the trim from end of this substring. +p33713 +tp33714 +a(g826 +V\u000a +p33715 +tp33716 +a(g705 +g1040 +tp33717 +a(g681 +Vwhen +p33718 +tp33719 +a(g826 +g1044 +tp33720 +a(g440 +Vtrim +p33721 +tp33722 +a(g826 +V\u000a +p33723 +tp33724 +a(g705 +g1040 +tp33725 +a(g744 +Vlet +p33726 +tp33727 +a(g826 +g1044 +tp33728 +a(g705 +g1040 +tp33729 +a(g705 +g1040 +tp33730 +a(g440 +Vtem +p33731 +tp33732 +a(g826 +g1044 +tp33733 +a(g705 +g1040 +tp33734 +a(g573 +Vstring-match +p33735 +tp33736 +a(g826 +g1044 +tp33737 +a(g705 +g1040 +tp33738 +a(g573 +Vconcat +p33739 +tp33740 +a(g826 +g1044 +tp33741 +a(g440 +Vtrim +p33742 +tp33743 +a(g826 +g1044 +tp33744 +a(g89 +g1068 +tp33745 +a(g89 +V\u005c\u005c +p33746 +tp33747 +a(g89 +g10833 +tp33748 +a(g89 +g1068 +tp33749 +a(g705 +g1064 +tp33750 +a(g826 +g1044 +tp33751 +a(g440 +Vthis +p33752 +tp33753 +a(g826 +g1044 +tp33754 +a(g37 +g2628 +tp33755 +a(g705 +g1064 +tp33756 +a(g705 +g1064 +tp33757 +a(g705 +g1064 +tp33758 +a(g826 +V\u000a +p33759 +tp33760 +a(g705 +g1040 +tp33761 +a(g744 +Vand +p33762 +tp33763 +a(g826 +g1044 +tp33764 +a(g440 +Vtem +p33765 +tp33766 +a(g826 +g1044 +tp33767 +a(g705 +g1040 +tp33768 +a(g573 +g2719 +tp33769 +a(g826 +g1044 +tp33770 +a(g440 +Vtem +p33771 +tp33772 +a(g826 +g1044 +tp33773 +a(g705 +g1040 +tp33774 +a(g573 +Vlength +p33775 +tp33776 +a(g826 +g1044 +tp33777 +a(g440 +Vthis +p33778 +tp33779 +a(g705 +g1064 +tp33780 +a(g705 +g1064 +tp33781 +a(g826 +V\u000a +p33782 +tp33783 +a(g705 +g1040 +tp33784 +a(g744 +Vsetq +p33785 +tp33786 +a(g826 +g1044 +tp33787 +a(g440 +Vthis +p33788 +tp33789 +a(g826 +g1044 +tp33790 +a(g705 +g1040 +tp33791 +a(g573 +Vsubstring +p33792 +tp33793 +a(g826 +g1044 +tp33794 +a(g440 +Vthis +p33795 +tp33796 +a(g826 +g1044 +tp33797 +a(g37 +g2628 +tp33798 +a(g826 +g1044 +tp33799 +a(g440 +Vtem +p33800 +tp33801 +a(g705 +g1064 +tp33802 +a(g705 +g1064 +tp33803 +a(g705 +g1064 +tp33804 +a(g705 +g1064 +tp33805 +a(g705 +g1064 +tp33806 +a(g826 +V\u000a\u000a +p33807 +tp33808 +a(g8 +V;; Trimming could make it empty; check again. +p33809 +tp33810 +a(g826 +V\u000a +p33811 +tp33812 +a(g705 +g1040 +tp33813 +a(g681 +Vwhen +p33814 +tp33815 +a(g826 +g1044 +tp33816 +a(g705 +g1040 +tp33817 +a(g744 +Vor +p33818 +tp33819 +a(g826 +g1044 +tp33820 +a(g440 +Vkeep-nulls +p33821 +tp33822 +a(g826 +g1044 +tp33823 +a(g705 +g1040 +tp33824 +a(g573 +g3989 +tp33825 +a(g826 +g1044 +tp33826 +a(g705 +g1040 +tp33827 +a(g573 +Vlength +p33828 +tp33829 +a(g826 +g1044 +tp33830 +a(g440 +Vthis +p33831 +tp33832 +a(g705 +g1064 +tp33833 +a(g826 +g1044 +tp33834 +a(g37 +g2628 +tp33835 +a(g705 +g1064 +tp33836 +a(g705 +g1064 +tp33837 +a(g826 +V\u000a +p33838 +tp33839 +a(g705 +g1040 +tp33840 +a(g681 +Vpush +p33841 +tp33842 +a(g826 +g1044 +tp33843 +a(g440 +Vthis +p33844 +tp33845 +a(g826 +g1044 +tp33846 +a(g573 +Vlist +p33847 +tp33848 +a(g705 +g1064 +tp33849 +a(g705 +g1064 +tp33850 +a(g705 +g1064 +tp33851 +a(g705 +g1064 +tp33852 +a(g705 +g1064 +tp33853 +a(g705 +g1064 +tp33854 +a(g705 +g1064 +tp33855 +a(g826 +V\u000a\u000a +p33856 +tp33857 +a(g705 +g1040 +tp33858 +a(g744 +Vwhile +p33859 +tp33860 +a(g826 +g1044 +tp33861 +a(g705 +g1040 +tp33862 +a(g744 +Vand +p33863 +tp33864 +a(g826 +g1044 +tp33865 +a(g705 +g1040 +tp33866 +a(g573 +Vstring-match +p33867 +tp33868 +a(g826 +g1044 +tp33869 +a(g440 +Vrexp +p33870 +tp33871 +a(g826 +g1044 +tp33872 +a(g573 +Vstring +p33873 +tp33874 +a(g826 +V\u000a +p33875 +tp33876 +a(g705 +g1040 +tp33877 +a(g744 +Vif +p33878 +tp33879 +a(g826 +g1044 +tp33880 +a(g705 +g1040 +tp33881 +a(g744 +Vand +p33882 +tp33883 +a(g826 +g1044 +tp33884 +a(g440 +Vnotfirst +p33885 +tp33886 +a(g826 +V\u000a +p33887 +tp33888 +a(g705 +g1040 +tp33889 +a(g573 +g4082 +tp33890 +a(g826 +g1044 +tp33891 +a(g440 +Vstart +p33892 +tp33893 +a(g826 +g1044 +tp33894 +a(g705 +g1040 +tp33895 +a(g573 +Vmatch-beginning +p33896 +tp33897 +a(g826 +g1044 +tp33898 +a(g37 +g2628 +tp33899 +a(g705 +g1064 +tp33900 +a(g705 +g1064 +tp33901 +a(g826 +V\u000a +p33902 +tp33903 +a(g705 +g1040 +tp33904 +a(g573 +g2719 +tp33905 +a(g826 +g1044 +tp33906 +a(g440 +Vstart +p33907 +tp33908 +a(g826 +g1044 +tp33909 +a(g705 +g1040 +tp33910 +a(g573 +Vlength +p33911 +tp33912 +a(g826 +g1044 +tp33913 +a(g573 +Vstring +p33914 +tp33915 +a(g705 +g1064 +tp33916 +a(g705 +g1064 +tp33917 +a(g705 +g1064 +tp33918 +a(g826 +V\u000a +p33919 +tp33920 +a(g705 +g1040 +tp33921 +a(g573 +V1+ +p33922 +tp33923 +a(g826 +g1044 +tp33924 +a(g440 +Vstart +p33925 +tp33926 +a(g705 +g1064 +tp33927 +a(g826 +g1044 +tp33928 +a(g440 +Vstart +p33929 +tp33930 +a(g705 +g1064 +tp33931 +a(g705 +g1064 +tp33932 +a(g826 +V\u000a +p33933 +tp33934 +a(g705 +g1040 +tp33935 +a(g573 +g2719 +tp33936 +a(g826 +g1044 +tp33937 +a(g440 +Vstart +p33938 +tp33939 +a(g826 +g1044 +tp33940 +a(g705 +g1040 +tp33941 +a(g573 +Vlength +p33942 +tp33943 +a(g826 +g1044 +tp33944 +a(g573 +Vstring +p33945 +tp33946 +a(g705 +g1064 +tp33947 +a(g705 +g1064 +tp33948 +a(g705 +g1064 +tp33949 +a(g826 +V\u000a +p33950 +tp33951 +a(g705 +g1040 +tp33952 +a(g744 +Vsetq +p33953 +tp33954 +a(g826 +g1044 +tp33955 +a(g440 +Vnotfirst +p33956 +tp33957 +a(g826 +g1044 +tp33958 +a(g565 +g1187 +tp33959 +a(g705 +g1064 +tp33960 +a(g826 +V\u000a +p33961 +tp33962 +a(g705 +g1040 +tp33963 +a(g744 +Vsetq +p33964 +tp33965 +a(g826 +g1044 +tp33966 +a(g440 +Vthis-start +p33967 +tp33968 +a(g826 +g1044 +tp33969 +a(g440 +Vstart +p33970 +tp33971 +a(g826 +g1044 +tp33972 +a(g440 +Vthis-end +p33973 +tp33974 +a(g826 +g1044 +tp33975 +a(g705 +g1040 +tp33976 +a(g573 +Vmatch-beginning +p33977 +tp33978 +a(g826 +g1044 +tp33979 +a(g37 +g2628 +tp33980 +a(g705 +g1064 +tp33981 +a(g826 +V\u000a +p33982 +tp33983 +a(g440 +Vstart +p33984 +tp33985 +a(g826 +g1044 +tp33986 +a(g705 +g1040 +tp33987 +a(g573 +Vmatch-end +p33988 +tp33989 +a(g826 +g1044 +tp33990 +a(g37 +g2628 +tp33991 +a(g705 +g1064 +tp33992 +a(g705 +g1064 +tp33993 +a(g826 +V\u000a\u000a +p33994 +tp33995 +a(g705 +g1040 +tp33996 +a(g573 +Vfuncall +p33997 +tp33998 +a(g826 +g1044 +tp33999 +a(g440 +Vpush-one +p34000 +tp34001 +a(g705 +g1064 +tp34002 +a(g705 +g1064 +tp34003 +a(g826 +V\u000a\u000a +p34004 +tp34005 +a(g8 +V;; Handle the substring at the end of STRING. +p34006 +tp34007 +a(g826 +V\u000a +p34008 +tp34009 +a(g705 +g1040 +tp34010 +a(g744 +Vsetq +p34011 +tp34012 +a(g826 +g1044 +tp34013 +a(g440 +Vthis-start +p34014 +tp34015 +a(g826 +g1044 +tp34016 +a(g440 +Vstart +p34017 +tp34018 +a(g826 +g1044 +tp34019 +a(g440 +Vthis-end +p34020 +tp34021 +a(g826 +g1044 +tp34022 +a(g705 +g1040 +tp34023 +a(g573 +Vlength +p34024 +tp34025 +a(g826 +g1044 +tp34026 +a(g573 +Vstring +p34027 +tp34028 +a(g705 +g1064 +tp34029 +a(g705 +g1064 +tp34030 +a(g826 +V\u000a +p34031 +tp34032 +a(g705 +g1040 +tp34033 +a(g573 +Vfuncall +p34034 +tp34035 +a(g826 +g1044 +tp34036 +a(g440 +Vpush-one +p34037 +tp34038 +a(g705 +g1064 +tp34039 +a(g826 +V\u000a\u000a +p34040 +tp34041 +a(g705 +g1040 +tp34042 +a(g573 +Vnreverse +p34043 +tp34044 +a(g826 +g1044 +tp34045 +a(g573 +Vlist +p34046 +tp34047 +a(g705 +g1064 +tp34048 +a(g705 +g1064 +tp34049 +a(g705 +g1064 +tp34050 +a(g826 +V\u000a\u000a +p34051 +tp34052 +a(g705 +g1040 +tp34053 +a(g681 +Vdefun +p34054 +tp34055 +a(g826 +g1044 +tp34056 +a(g440 +Vcombine-and-quote-strings +p34057 +tp34058 +a(g826 +g1044 +tp34059 +a(g705 +g1040 +tp34060 +a(g440 +Vstrings +p34061 +tp34062 +a(g826 +g1044 +tp34063 +a(g785 +V&optional +p34064 +tp34065 +a(g826 +g1044 +tp34066 +a(g440 +Vseparator +p34067 +tp34068 +a(g705 +g1064 +tp34069 +a(g826 +V\u000a +p34070 +tp34071 +a(g89 +g1068 +tp34072 +a(g89 +VConcatenate the STRINGS, adding the SEPARATOR (default +p34073 +tp34074 +a(g89 +V\u005c" +p34075 +tp34076 +a(g89 +g1044 +tp34077 +a(g89 +V\u005c" +p34078 +tp34079 +a(g89 +V).\u000aThis tries to quote the strings to avoid ambiguity such that\u000a (split-string-and-unquote (combine-and-quote-strings strs)) == strs\u000aOnly some SEPARATORs will work properly. +p34080 +tp34081 +a(g89 +g1068 +tp34082 +a(g826 +V\u000a +p34083 +tp34084 +a(g705 +g1040 +tp34085 +a(g744 +Vlet* +p34086 +tp34087 +a(g826 +g1044 +tp34088 +a(g705 +g1040 +tp34089 +a(g705 +g1040 +tp34090 +a(g440 +Vsep +p34091 +tp34092 +a(g826 +g1044 +tp34093 +a(g705 +g1040 +tp34094 +a(g744 +Vor +p34095 +tp34096 +a(g826 +g1044 +tp34097 +a(g440 +Vseparator +p34098 +tp34099 +a(g826 +g1044 +tp34100 +a(g89 +g1068 +tp34101 +a(g89 +g1044 +tp34102 +a(g89 +g1068 +tp34103 +a(g705 +g1064 +tp34104 +a(g705 +g1064 +tp34105 +a(g826 +V\u000a +p34106 +tp34107 +a(g705 +g1040 +tp34108 +a(g440 +Vre +p34109 +tp34110 +a(g826 +g1044 +tp34111 +a(g705 +g1040 +tp34112 +a(g573 +Vconcat +p34113 +tp34114 +a(g826 +g1044 +tp34115 +a(g89 +g1068 +tp34116 +a(g89 +g1395 +tp34117 +a(g89 +V\u005c\u005c +p34118 +tp34119 +a(g89 +V\u005c" +p34120 +tp34121 +a(g89 +g1402 +tp34122 +a(g89 +g1068 +tp34123 +a(g826 +g1044 +tp34124 +a(g89 +g1068 +tp34125 +a(g89 +V\u005c\u005c +p34126 +tp34127 +a(g89 +V| +p34128 +tp34129 +a(g89 +g1068 +tp34130 +a(g826 +g1044 +tp34131 +a(g705 +g1040 +tp34132 +a(g573 +Vregexp-quote +p34133 +tp34134 +a(g826 +g1044 +tp34135 +a(g440 +Vsep +p34136 +tp34137 +a(g705 +g1064 +tp34138 +a(g705 +g1064 +tp34139 +a(g705 +g1064 +tp34140 +a(g705 +g1064 +tp34141 +a(g826 +V\u000a +p34142 +tp34143 +a(g705 +g1040 +tp34144 +a(g573 +Vmapconcat +p34145 +tp34146 +a(g826 +V\u000a +p34147 +tp34148 +a(g705 +g1040 +tp34149 +a(g681 +Vlambda +p34150 +tp34151 +a(g826 +g1044 +tp34152 +a(g705 +g1040 +tp34153 +a(g440 +Vstr +p34154 +tp34155 +a(g705 +g1064 +tp34156 +a(g826 +V\u000a +p34157 +tp34158 +a(g705 +g1040 +tp34159 +a(g744 +Vif +p34160 +tp34161 +a(g826 +g1044 +tp34162 +a(g705 +g1040 +tp34163 +a(g573 +Vstring-match +p34164 +tp34165 +a(g826 +g1044 +tp34166 +a(g440 +Vre +p34167 +tp34168 +a(g826 +g1044 +tp34169 +a(g440 +Vstr +p34170 +tp34171 +a(g705 +g1064 +tp34172 +a(g826 +V\u000a +p34173 +tp34174 +a(g705 +g1040 +tp34175 +a(g573 +Vconcat +p34176 +tp34177 +a(g826 +g1044 +tp34178 +a(g89 +g1068 +tp34179 +a(g89 +V\u005c" +p34180 +tp34181 +a(g89 +g1068 +tp34182 +a(g826 +g1044 +tp34183 +a(g705 +g1040 +tp34184 +a(g440 +Vreplace-regexp-in-string +p34185 +tp34186 +a(g826 +g1044 +tp34187 +a(g89 +g1068 +tp34188 +a(g89 +g1395 +tp34189 +a(g89 +V\u005c\u005c +p34190 +tp34191 +a(g89 +V\u005c" +p34192 +tp34193 +a(g89 +g1402 +tp34194 +a(g89 +g1068 +tp34195 +a(g826 +g1044 +tp34196 +a(g89 +g1068 +tp34197 +a(g89 +V\u005c\u005c +p34198 +tp34199 +a(g89 +V\u005c\u005c +p34200 +tp34201 +a(g89 +V\u005c\u005c +p34202 +tp34203 +a(g89 +g26303 +tp34204 +a(g89 +g1068 +tp34205 +a(g826 +g1044 +tp34206 +a(g440 +Vstr +p34207 +tp34208 +a(g705 +g1064 +tp34209 +a(g826 +g1044 +tp34210 +a(g89 +g1068 +tp34211 +a(g89 +V\u005c" +p34212 +tp34213 +a(g89 +g1068 +tp34214 +a(g705 +g1064 +tp34215 +a(g826 +V\u000a +p34216 +tp34217 +a(g440 +Vstr +p34218 +tp34219 +a(g705 +g1064 +tp34220 +a(g705 +g1064 +tp34221 +a(g826 +V\u000a +p34222 +tp34223 +a(g440 +Vstrings +p34224 +tp34225 +a(g826 +g1044 +tp34226 +a(g440 +Vsep +p34227 +tp34228 +a(g705 +g1064 +tp34229 +a(g705 +g1064 +tp34230 +a(g705 +g1064 +tp34231 +a(g826 +V\u000a\u000a +p34232 +tp34233 +a(g705 +g1040 +tp34234 +a(g681 +Vdefun +p34235 +tp34236 +a(g826 +g1044 +tp34237 +a(g440 +Vsplit-string-and-unquote +p34238 +tp34239 +a(g826 +g1044 +tp34240 +a(g705 +g1040 +tp34241 +a(g573 +Vstring +p34242 +tp34243 +a(g826 +g1044 +tp34244 +a(g785 +V&optional +p34245 +tp34246 +a(g826 +g1044 +tp34247 +a(g440 +Vseparator +p34248 +tp34249 +a(g705 +g1064 +tp34250 +a(g826 +V\u000a +p34251 +tp34252 +a(g89 +g1068 +tp34253 +a(g89 +VSplit the STRING into a list of strings.\u000aIt understands Emacs Lisp quoting within STRING, such that\u000a (split-string-and-unquote (combine-and-quote-strings strs)) == strs\u000aThe SEPARATOR regexp defaults to +p34254 +tp34255 +a(g89 +V\u005c" +p34256 +tp34257 +a(g89 +V\u005c\u005c +p34258 +tp34259 +a(g89 +Vs-+ +p34260 +tp34261 +a(g89 +V\u005c" +p34262 +tp34263 +a(g89 +g3307 +tp34264 +a(g89 +g1068 +tp34265 +a(g826 +V\u000a +p34266 +tp34267 +a(g705 +g1040 +tp34268 +a(g744 +Vlet +p34269 +tp34270 +a(g826 +g1044 +tp34271 +a(g705 +g1040 +tp34272 +a(g705 +g1040 +tp34273 +a(g440 +Vsep +p34274 +tp34275 +a(g826 +g1044 +tp34276 +a(g705 +g1040 +tp34277 +a(g744 +Vor +p34278 +tp34279 +a(g826 +g1044 +tp34280 +a(g440 +Vseparator +p34281 +tp34282 +a(g826 +g1044 +tp34283 +a(g89 +g1068 +tp34284 +a(g89 +V\u005c\u005c +p34285 +tp34286 +a(g89 +Vs-+ +p34287 +tp34288 +a(g89 +g1068 +tp34289 +a(g705 +g1064 +tp34290 +a(g705 +g1064 +tp34291 +a(g826 +V\u000a +p34292 +tp34293 +a(g705 +g1040 +tp34294 +a(g440 +g4938 +tp34295 +a(g826 +g1044 +tp34296 +a(g705 +g1040 +tp34297 +a(g573 +Vstring-match +p34298 +tp34299 +a(g826 +g1044 +tp34300 +a(g89 +g1068 +tp34301 +a(g89 +V\u005c" +p34302 +tp34303 +a(g89 +g1068 +tp34304 +a(g826 +g1044 +tp34305 +a(g573 +Vstring +p34306 +tp34307 +a(g705 +g1064 +tp34308 +a(g705 +g1064 +tp34309 +a(g705 +g1064 +tp34310 +a(g826 +V\u000a +p34311 +tp34312 +a(g705 +g1040 +tp34313 +a(g744 +Vif +p34314 +tp34315 +a(g826 +g1044 +tp34316 +a(g705 +g1040 +tp34317 +a(g573 +Vnull +p34318 +tp34319 +a(g826 +g1044 +tp34320 +a(g440 +g4938 +tp34321 +a(g705 +g1064 +tp34322 +a(g826 +V\u000a +p34323 +tp34324 +a(g705 +g1040 +tp34325 +a(g440 +Vsplit-string +p34326 +tp34327 +a(g826 +g1044 +tp34328 +a(g573 +Vstring +p34329 +tp34330 +a(g826 +g1044 +tp34331 +a(g440 +Vsep +p34332 +tp34333 +a(g826 +g1044 +tp34334 +a(g565 +g1187 +tp34335 +a(g705 +g1064 +tp34336 +a(g826 +V +p34337 +tp34338 +a(g8 +V; no quoting: easy +p34339 +tp34340 +a(g826 +V\u000a +p34341 +tp34342 +a(g705 +g1040 +tp34343 +a(g573 +Vappend +p34344 +tp34345 +a(g826 +g1044 +tp34346 +a(g705 +g1040 +tp34347 +a(g681 +Vunless +p34348 +tp34349 +a(g826 +g1044 +tp34350 +a(g705 +g1040 +tp34351 +a(g573 +Veq +p34352 +tp34353 +a(g826 +g1044 +tp34354 +a(g440 +g4938 +tp34355 +a(g826 +g1044 +tp34356 +a(g37 +g2628 +tp34357 +a(g705 +g1064 +tp34358 +a(g826 +g1044 +tp34359 +a(g705 +g1040 +tp34360 +a(g440 +Vsplit-string +p34361 +tp34362 +a(g826 +g1044 +tp34363 +a(g705 +g1040 +tp34364 +a(g573 +Vsubstring +p34365 +tp34366 +a(g826 +g1044 +tp34367 +a(g573 +Vstring +p34368 +tp34369 +a(g826 +g1044 +tp34370 +a(g37 +g2628 +tp34371 +a(g826 +g1044 +tp34372 +a(g440 +g4938 +tp34373 +a(g705 +g1064 +tp34374 +a(g826 +g1044 +tp34375 +a(g440 +Vsep +p34376 +tp34377 +a(g826 +g1044 +tp34378 +a(g565 +g1187 +tp34379 +a(g705 +g1064 +tp34380 +a(g705 +g1064 +tp34381 +a(g826 +V\u000a +p34382 +tp34383 +a(g705 +g1040 +tp34384 +a(g744 +Vlet +p34385 +tp34386 +a(g826 +g1044 +tp34387 +a(g705 +g1040 +tp34388 +a(g705 +g1040 +tp34389 +a(g440 +Vrfs +p34390 +tp34391 +a(g826 +g1044 +tp34392 +a(g705 +g1040 +tp34393 +a(g573 +Vread-from-string +p34394 +tp34395 +a(g826 +g1044 +tp34396 +a(g573 +Vstring +p34397 +tp34398 +a(g826 +g1044 +tp34399 +a(g440 +g4938 +tp34400 +a(g705 +g1064 +tp34401 +a(g705 +g1064 +tp34402 +a(g705 +g1064 +tp34403 +a(g826 +V\u000a +p34404 +tp34405 +a(g705 +g1040 +tp34406 +a(g573 +Vcons +p34407 +tp34408 +a(g826 +g1044 +tp34409 +a(g705 +g1040 +tp34410 +a(g573 +Vcar +p34411 +tp34412 +a(g826 +g1044 +tp34413 +a(g440 +Vrfs +p34414 +tp34415 +a(g705 +g1064 +tp34416 +a(g826 +V\u000a +p34417 +tp34418 +a(g705 +g1040 +tp34419 +a(g440 +Vsplit-string-and-unquote +p34420 +tp34421 +a(g826 +g1044 +tp34422 +a(g705 +g1040 +tp34423 +a(g573 +Vsubstring +p34424 +tp34425 +a(g826 +g1044 +tp34426 +a(g573 +Vstring +p34427 +tp34428 +a(g826 +g1044 +tp34429 +a(g705 +g1040 +tp34430 +a(g573 +Vcdr +p34431 +tp34432 +a(g826 +g1044 +tp34433 +a(g440 +Vrfs +p34434 +tp34435 +a(g705 +g1064 +tp34436 +a(g705 +g1064 +tp34437 +a(g826 +V\u000a +p34438 +tp34439 +a(g440 +Vsep +p34440 +tp34441 +a(g705 +g1064 +tp34442 +a(g705 +g1064 +tp34443 +a(g705 +g1064 +tp34444 +a(g705 +g1064 +tp34445 +a(g705 +g1064 +tp34446 +a(g705 +g1064 +tp34447 +a(g705 +g1064 +tp34448 +a(g826 +V\u000a\u000a \u000a +p34449 +tp34450 +a(g8 +V;;;; Replacement in strings. +p34451 +tp34452 +a(g826 +V\u000a\u000a +p34453 +tp34454 +a(g705 +g1040 +tp34455 +a(g681 +Vdefun +p34456 +tp34457 +a(g826 +g1044 +tp34458 +a(g440 +Vsubst-char-in-string +p34459 +tp34460 +a(g826 +g1044 +tp34461 +a(g705 +g1040 +tp34462 +a(g440 +Vfromchar +p34463 +tp34464 +a(g826 +g1044 +tp34465 +a(g440 +Vtochar +p34466 +tp34467 +a(g826 +g1044 +tp34468 +a(g573 +Vstring +p34469 +tp34470 +a(g826 +g1044 +tp34471 +a(g785 +V&optional +p34472 +tp34473 +a(g826 +g1044 +tp34474 +a(g440 +Vinplace +p34475 +tp34476 +a(g705 +g1064 +tp34477 +a(g826 +V\u000a +p34478 +tp34479 +a(g89 +g1068 +tp34480 +a(g89 +VReplace FROMCHAR with TOCHAR in STRING each time it occurs.\u000aUnless optional argument INPLACE is non-nil, return a new string. +p34481 +tp34482 +a(g89 +g1068 +tp34483 +a(g826 +V\u000a +p34484 +tp34485 +a(g705 +g1040 +tp34486 +a(g744 +Vlet +p34487 +tp34488 +a(g826 +g1044 +tp34489 +a(g705 +g1040 +tp34490 +a(g705 +g1040 +tp34491 +a(g440 +g4938 +tp34492 +a(g826 +g1044 +tp34493 +a(g705 +g1040 +tp34494 +a(g573 +Vlength +p34495 +tp34496 +a(g826 +g1044 +tp34497 +a(g573 +Vstring +p34498 +tp34499 +a(g705 +g1064 +tp34500 +a(g705 +g1064 +tp34501 +a(g826 +V\u000a +p34502 +tp34503 +a(g705 +g1040 +tp34504 +a(g440 +Vnewstr +p34505 +tp34506 +a(g826 +g1044 +tp34507 +a(g705 +g1040 +tp34508 +a(g744 +Vif +p34509 +tp34510 +a(g826 +g1044 +tp34511 +a(g440 +Vinplace +p34512 +tp34513 +a(g826 +g1044 +tp34514 +a(g573 +Vstring +p34515 +tp34516 +a(g826 +g1044 +tp34517 +a(g705 +g1040 +tp34518 +a(g573 +Vcopy-sequence +p34519 +tp34520 +a(g826 +g1044 +tp34521 +a(g573 +Vstring +p34522 +tp34523 +a(g705 +g1064 +tp34524 +a(g705 +g1064 +tp34525 +a(g705 +g1064 +tp34526 +a(g705 +g1064 +tp34527 +a(g826 +V\u000a +p34528 +tp34529 +a(g705 +g1040 +tp34530 +a(g744 +Vwhile +p34531 +tp34532 +a(g826 +g1044 +tp34533 +a(g705 +g1040 +tp34534 +a(g573 +g3989 +tp34535 +a(g826 +g1044 +tp34536 +a(g440 +g4938 +tp34537 +a(g826 +g1044 +tp34538 +a(g37 +g2628 +tp34539 +a(g705 +g1064 +tp34540 +a(g826 +V\u000a +p34541 +tp34542 +a(g705 +g1040 +tp34543 +a(g744 +Vsetq +p34544 +tp34545 +a(g826 +g1044 +tp34546 +a(g440 +g4938 +tp34547 +a(g826 +g1044 +tp34548 +a(g705 +g1040 +tp34549 +a(g573 +V1- +p34550 +tp34551 +a(g826 +g1044 +tp34552 +a(g440 +g4938 +tp34553 +a(g705 +g1064 +tp34554 +a(g705 +g1064 +tp34555 +a(g826 +V\u000a +p34556 +tp34557 +a(g705 +g1040 +tp34558 +a(g744 +Vif +p34559 +tp34560 +a(g826 +g1044 +tp34561 +a(g705 +g1040 +tp34562 +a(g573 +Veq +p34563 +tp34564 +a(g826 +g1044 +tp34565 +a(g705 +g1040 +tp34566 +a(g573 +Varef +p34567 +tp34568 +a(g826 +g1044 +tp34569 +a(g440 +Vnewstr +p34570 +tp34571 +a(g826 +g1044 +tp34572 +a(g440 +g4938 +tp34573 +a(g705 +g1064 +tp34574 +a(g826 +g1044 +tp34575 +a(g440 +Vfromchar +p34576 +tp34577 +a(g705 +g1064 +tp34578 +a(g826 +V\u000a +p34579 +tp34580 +a(g705 +g1040 +tp34581 +a(g573 +Vaset +p34582 +tp34583 +a(g826 +g1044 +tp34584 +a(g440 +Vnewstr +p34585 +tp34586 +a(g826 +g1044 +tp34587 +a(g440 +g4938 +tp34588 +a(g826 +g1044 +tp34589 +a(g440 +Vtochar +p34590 +tp34591 +a(g705 +g1064 +tp34592 +a(g705 +g1064 +tp34593 +a(g705 +g1064 +tp34594 +a(g826 +V\u000a +p34595 +tp34596 +a(g440 +Vnewstr +p34597 +tp34598 +a(g705 +g1064 +tp34599 +a(g705 +g1064 +tp34600 +a(g826 +V\u000a\u000a +p34601 +tp34602 +a(g705 +g1040 +tp34603 +a(g681 +Vdefun +p34604 +tp34605 +a(g826 +g1044 +tp34606 +a(g440 +Vreplace-regexp-in-string +p34607 +tp34608 +a(g826 +g1044 +tp34609 +a(g705 +g1040 +tp34610 +a(g440 +Vregexp +p34611 +tp34612 +a(g826 +g1044 +tp34613 +a(g440 +Vrep +p34614 +tp34615 +a(g826 +g1044 +tp34616 +a(g573 +Vstring +p34617 +tp34618 +a(g826 +g1044 +tp34619 +a(g785 +V&optional +p34620 +tp34621 +a(g826 +V\u000a +p34622 +tp34623 +a(g440 +Vfixedcase +p34624 +tp34625 +a(g826 +g1044 +tp34626 +a(g440 +Vliteral +p34627 +tp34628 +a(g826 +g1044 +tp34629 +a(g440 +Vsubexp +p34630 +tp34631 +a(g826 +g1044 +tp34632 +a(g440 +Vstart +p34633 +tp34634 +a(g705 +g1064 +tp34635 +a(g826 +V\u000a +p34636 +tp34637 +a(g89 +g1068 +tp34638 +a(g89 +VReplace all matches for REGEXP with REP in STRING.\u000a\u000aReturn a new string containing the replacements.\u000a\u000aOptional arguments FIXEDCASE, LITERAL and SUBEXP are like the\u000aarguments with the same names of function +p34639 +tp34640 +a(g117 +V`replace-match' +p34641 +tp34642 +a(g89 +V. If START\u000ais non-nil, start replacements at that index in STRING.\u000a\u000aREP is either a string used as the NEWTEXT arg of +p34643 +tp34644 +a(g117 +V`replace-match' +p34645 +tp34646 +a(g89 +V or a\u000afunction. If it is a function, it is called with the actual text of each\u000amatch, and its value is used as the replacement text. When REP is called,\u000athe match data are the result of matching REGEXP against a substring\u000aof STRING.\u000a\u000aTo replace only the first match (if any), make REGEXP match up to +p34647 +tp34648 +a(g89 +V\u005c\u005c +p34649 +tp34650 +a(g89 +V'\u000aand replace a sub-expression, e.g.\u000a (replace-regexp-in-string +p34651 +tp34652 +a(g89 +V\u005c" +p34653 +tp34654 +a(g89 +V\u005c\u005c +p34655 +tp34656 +a(g89 +V\u005c\u005c +p34657 +tp34658 +a(g89 +V(foo +p34659 +tp34660 +a(g89 +V\u005c\u005c +p34661 +tp34662 +a(g89 +V\u005c\u005c +p34663 +tp34664 +a(g89 +V).* +p34665 +tp34666 +a(g89 +V\u005c\u005c +p34667 +tp34668 +a(g89 +V\u005c\u005c +p34669 +tp34670 +a(g89 +g10833 +tp34671 +a(g89 +V\u005c" +p34672 +tp34673 +a(g89 +g1044 +tp34674 +a(g89 +V\u005c" +p34675 +tp34676 +a(g89 +Vbar +p34677 +tp34678 +a(g89 +V\u005c" +p34679 +tp34680 +a(g89 +g1044 +tp34681 +a(g89 +V\u005c" +p34682 +tp34683 +a(g89 +V foo foo +p34684 +tp34685 +a(g89 +V\u005c" +p34686 +tp34687 +a(g89 +V nil nil 1)\u000a => +p34688 +tp34689 +a(g89 +V\u005c" +p34690 +tp34691 +a(g89 +V bar foo +p34692 +tp34693 +a(g89 +V\u005c" +p34694 +tp34695 +a(g89 +g1068 +tp34696 +a(g826 +V\u000a\u000a +p34697 +tp34698 +a(g8 +V;; To avoid excessive consing from multiple matches in long strings, +p34699 +tp34700 +a(g826 +V\u000a +p34701 +tp34702 +a(g8 +V;; don't just call `replace-match' continually. Walk down the +p34703 +tp34704 +a(g826 +V\u000a +p34705 +tp34706 +a(g8 +V;; string looking for matches of REGEXP and building up a (reversed) +p34707 +tp34708 +a(g826 +V\u000a +p34709 +tp34710 +a(g8 +V;; list MATCHES. This comprises segments of STRING which weren't +p34711 +tp34712 +a(g826 +V\u000a +p34713 +tp34714 +a(g8 +V;; matched interspersed with replacements for segments that were. +p34715 +tp34716 +a(g826 +V\u000a +p34717 +tp34718 +a(g8 +V;; [For a `large' number of replacements it's more efficient to +p34719 +tp34720 +a(g826 +V\u000a +p34721 +tp34722 +a(g8 +V;; operate in a temporary buffer; we can't tell from the function's +p34723 +tp34724 +a(g826 +V\u000a +p34725 +tp34726 +a(g8 +V;; args whether to choose the buffer-based implementation, though it +p34727 +tp34728 +a(g826 +V\u000a +p34729 +tp34730 +a(g8 +V;; might be reasonable to do so for long enough STRING.] +p34731 +tp34732 +a(g826 +V\u000a +p34733 +tp34734 +a(g705 +g1040 +tp34735 +a(g744 +Vlet +p34736 +tp34737 +a(g826 +g1044 +tp34738 +a(g705 +g1040 +tp34739 +a(g705 +g1040 +tp34740 +a(g440 +g22276 +tp34741 +a(g826 +g1044 +tp34742 +a(g705 +g1040 +tp34743 +a(g573 +Vlength +p34744 +tp34745 +a(g826 +g1044 +tp34746 +a(g573 +Vstring +p34747 +tp34748 +a(g705 +g1064 +tp34749 +a(g705 +g1064 +tp34750 +a(g826 +V\u000a +p34751 +tp34752 +a(g705 +g1040 +tp34753 +a(g440 +Vstart +p34754 +tp34755 +a(g826 +g1044 +tp34756 +a(g705 +g1040 +tp34757 +a(g744 +Vor +p34758 +tp34759 +a(g826 +g1044 +tp34760 +a(g440 +Vstart +p34761 +tp34762 +a(g826 +g1044 +tp34763 +a(g37 +g2628 +tp34764 +a(g705 +g1064 +tp34765 +a(g705 +g1064 +tp34766 +a(g826 +V\u000a +p34767 +tp34768 +a(g440 +Vmatches +p34769 +tp34770 +a(g826 +g1044 +tp34771 +a(g440 +Vstr +p34772 +tp34773 +a(g826 +g1044 +tp34774 +a(g440 +Vmb +p34775 +tp34776 +a(g826 +g1044 +tp34777 +a(g440 +Vme +p34778 +tp34779 +a(g705 +g1064 +tp34780 +a(g826 +V\u000a +p34781 +tp34782 +a(g705 +g1040 +tp34783 +a(g681 +Vsave-match-data +p34784 +tp34785 +a(g826 +V\u000a +p34786 +tp34787 +a(g705 +g1040 +tp34788 +a(g744 +Vwhile +p34789 +tp34790 +a(g826 +g1044 +tp34791 +a(g705 +g1040 +tp34792 +a(g744 +Vand +p34793 +tp34794 +a(g826 +g1044 +tp34795 +a(g705 +g1040 +tp34796 +a(g573 +g2719 +tp34797 +a(g826 +g1044 +tp34798 +a(g440 +Vstart +p34799 +tp34800 +a(g826 +g1044 +tp34801 +a(g440 +g22276 +tp34802 +a(g705 +g1064 +tp34803 +a(g826 +g1044 +tp34804 +a(g705 +g1040 +tp34805 +a(g573 +Vstring-match +p34806 +tp34807 +a(g826 +g1044 +tp34808 +a(g440 +Vregexp +p34809 +tp34810 +a(g826 +g1044 +tp34811 +a(g573 +Vstring +p34812 +tp34813 +a(g826 +g1044 +tp34814 +a(g440 +Vstart +p34815 +tp34816 +a(g705 +g1064 +tp34817 +a(g705 +g1064 +tp34818 +a(g826 +V\u000a +p34819 +tp34820 +a(g705 +g1040 +tp34821 +a(g744 +Vsetq +p34822 +tp34823 +a(g826 +g1044 +tp34824 +a(g440 +Vmb +p34825 +tp34826 +a(g826 +g1044 +tp34827 +a(g705 +g1040 +tp34828 +a(g573 +Vmatch-beginning +p34829 +tp34830 +a(g826 +g1044 +tp34831 +a(g37 +g2628 +tp34832 +a(g705 +g1064 +tp34833 +a(g826 +V\u000a +p34834 +tp34835 +a(g440 +Vme +p34836 +tp34837 +a(g826 +g1044 +tp34838 +a(g705 +g1040 +tp34839 +a(g573 +Vmatch-end +p34840 +tp34841 +a(g826 +g1044 +tp34842 +a(g37 +g2628 +tp34843 +a(g705 +g1064 +tp34844 +a(g705 +g1064 +tp34845 +a(g826 +V\u000a +p34846 +tp34847 +a(g8 +V;; If we matched the empty string, make sure we advance by one char +p34848 +tp34849 +a(g826 +V\u000a +p34850 +tp34851 +a(g705 +g1040 +tp34852 +a(g681 +Vwhen +p34853 +tp34854 +a(g826 +g1044 +tp34855 +a(g705 +g1040 +tp34856 +a(g573 +g4082 +tp34857 +a(g826 +g1044 +tp34858 +a(g440 +Vme +p34859 +tp34860 +a(g826 +g1044 +tp34861 +a(g440 +Vmb +p34862 +tp34863 +a(g705 +g1064 +tp34864 +a(g826 +g1044 +tp34865 +a(g705 +g1040 +tp34866 +a(g744 +Vsetq +p34867 +tp34868 +a(g826 +g1044 +tp34869 +a(g440 +Vme +p34870 +tp34871 +a(g826 +g1044 +tp34872 +a(g705 +g1040 +tp34873 +a(g573 +Vmin +p34874 +tp34875 +a(g826 +g1044 +tp34876 +a(g440 +g22276 +tp34877 +a(g826 +g1044 +tp34878 +a(g705 +g1040 +tp34879 +a(g573 +V1+ +p34880 +tp34881 +a(g826 +g1044 +tp34882 +a(g440 +Vmb +p34883 +tp34884 +a(g705 +g1064 +tp34885 +a(g705 +g1064 +tp34886 +a(g705 +g1064 +tp34887 +a(g705 +g1064 +tp34888 +a(g826 +V\u000a +p34889 +tp34890 +a(g8 +V;; Generate a replacement for the matched substring. +p34891 +tp34892 +a(g826 +V\u000a +p34893 +tp34894 +a(g8 +V;; Operate only on the substring to minimize string consing. +p34895 +tp34896 +a(g826 +V\u000a +p34897 +tp34898 +a(g8 +V;; Set up match data for the substring for replacement; +p34899 +tp34900 +a(g826 +V\u000a +p34901 +tp34902 +a(g8 +V;; presumably this is likely to be faster than munging the +p34903 +tp34904 +a(g826 +V\u000a +p34905 +tp34906 +a(g8 +V;; match data directly in Lisp. +p34907 +tp34908 +a(g826 +V\u000a +p34909 +tp34910 +a(g705 +g1040 +tp34911 +a(g573 +Vstring-match +p34912 +tp34913 +a(g826 +g1044 +tp34914 +a(g440 +Vregexp +p34915 +tp34916 +a(g826 +g1044 +tp34917 +a(g705 +g1040 +tp34918 +a(g744 +Vsetq +p34919 +tp34920 +a(g826 +g1044 +tp34921 +a(g440 +Vstr +p34922 +tp34923 +a(g826 +g1044 +tp34924 +a(g705 +g1040 +tp34925 +a(g573 +Vsubstring +p34926 +tp34927 +a(g826 +g1044 +tp34928 +a(g573 +Vstring +p34929 +tp34930 +a(g826 +g1044 +tp34931 +a(g440 +Vmb +p34932 +tp34933 +a(g826 +g1044 +tp34934 +a(g440 +Vme +p34935 +tp34936 +a(g705 +g1064 +tp34937 +a(g705 +g1064 +tp34938 +a(g705 +g1064 +tp34939 +a(g826 +V\u000a +p34940 +tp34941 +a(g705 +g1040 +tp34942 +a(g744 +Vsetq +p34943 +tp34944 +a(g826 +g1044 +tp34945 +a(g440 +Vmatches +p34946 +tp34947 +a(g826 +V\u000a +p34948 +tp34949 +a(g705 +g1040 +tp34950 +a(g573 +Vcons +p34951 +tp34952 +a(g826 +g1044 +tp34953 +a(g705 +g1040 +tp34954 +a(g573 +Vreplace-match +p34955 +tp34956 +a(g826 +g1044 +tp34957 +a(g705 +g1040 +tp34958 +a(g744 +Vif +p34959 +tp34960 +a(g826 +g1044 +tp34961 +a(g705 +g1040 +tp34962 +a(g573 +Vstringp +p34963 +tp34964 +a(g826 +g1044 +tp34965 +a(g440 +Vrep +p34966 +tp34967 +a(g705 +g1064 +tp34968 +a(g826 +V\u000a +p34969 +tp34970 +a(g440 +Vrep +p34971 +tp34972 +a(g826 +V\u000a +p34973 +tp34974 +a(g705 +g1040 +tp34975 +a(g573 +Vfuncall +p34976 +tp34977 +a(g826 +g1044 +tp34978 +a(g440 +Vrep +p34979 +tp34980 +a(g826 +g1044 +tp34981 +a(g705 +g1040 +tp34982 +a(g440 +Vmatch-string +p34983 +tp34984 +a(g826 +g1044 +tp34985 +a(g37 +g2628 +tp34986 +a(g826 +g1044 +tp34987 +a(g440 +Vstr +p34988 +tp34989 +a(g705 +g1064 +tp34990 +a(g705 +g1064 +tp34991 +a(g705 +g1064 +tp34992 +a(g826 +V\u000a +p34993 +tp34994 +a(g440 +Vfixedcase +p34995 +tp34996 +a(g826 +g1044 +tp34997 +a(g440 +Vliteral +p34998 +tp34999 +a(g826 +g1044 +tp35000 +a(g440 +Vstr +p35001 +tp35002 +a(g826 +g1044 +tp35003 +a(g440 +Vsubexp +p35004 +tp35005 +a(g705 +g1064 +tp35006 +a(g826 +V\u000a +p35007 +tp35008 +a(g705 +g1040 +tp35009 +a(g573 +Vcons +p35010 +tp35011 +a(g826 +g1044 +tp35012 +a(g705 +g1040 +tp35013 +a(g573 +Vsubstring +p35014 +tp35015 +a(g826 +g1044 +tp35016 +a(g573 +Vstring +p35017 +tp35018 +a(g826 +g1044 +tp35019 +a(g440 +Vstart +p35020 +tp35021 +a(g826 +g1044 +tp35022 +a(g440 +Vmb +p35023 +tp35024 +a(g705 +g1064 +tp35025 +a(g826 +g1044 +tp35026 +a(g8 +V; unmatched prefix +p35027 +tp35028 +a(g826 +V\u000a +p35029 +tp35030 +a(g440 +Vmatches +p35031 +tp35032 +a(g705 +g1064 +tp35033 +a(g705 +g1064 +tp35034 +a(g705 +g1064 +tp35035 +a(g826 +V\u000a +p35036 +tp35037 +a(g705 +g1040 +tp35038 +a(g744 +Vsetq +p35039 +tp35040 +a(g826 +g1044 +tp35041 +a(g440 +Vstart +p35042 +tp35043 +a(g826 +g1044 +tp35044 +a(g440 +Vme +p35045 +tp35046 +a(g705 +g1064 +tp35047 +a(g705 +g1064 +tp35048 +a(g826 +V\u000a +p35049 +tp35050 +a(g8 +V;; Reconstruct a string from the pieces. +p35051 +tp35052 +a(g826 +V\u000a +p35053 +tp35054 +a(g705 +g1040 +tp35055 +a(g744 +Vsetq +p35056 +tp35057 +a(g826 +g1044 +tp35058 +a(g440 +Vmatches +p35059 +tp35060 +a(g826 +g1044 +tp35061 +a(g705 +g1040 +tp35062 +a(g573 +Vcons +p35063 +tp35064 +a(g826 +g1044 +tp35065 +a(g705 +g1040 +tp35066 +a(g573 +Vsubstring +p35067 +tp35068 +a(g826 +g1044 +tp35069 +a(g573 +Vstring +p35070 +tp35071 +a(g826 +g1044 +tp35072 +a(g440 +Vstart +p35073 +tp35074 +a(g826 +g1044 +tp35075 +a(g440 +g22276 +tp35076 +a(g705 +g1064 +tp35077 +a(g826 +g1044 +tp35078 +a(g440 +Vmatches +p35079 +tp35080 +a(g705 +g1064 +tp35081 +a(g705 +g1064 +tp35082 +a(g826 +g1044 +tp35083 +a(g8 +V; leftover +p35084 +tp35085 +a(g826 +V\u000a +p35086 +tp35087 +a(g705 +g1040 +tp35088 +a(g573 +Vapply +p35089 +tp35090 +a(g826 +g1044 +tp35091 +a(g573 +V#' +p35092 +tp35093 +a(g573 +Vconcat +p35094 +tp35095 +a(g826 +g1044 +tp35096 +a(g705 +g1040 +tp35097 +a(g573 +Vnreverse +p35098 +tp35099 +a(g826 +g1044 +tp35100 +a(g440 +Vmatches +p35101 +tp35102 +a(g705 +g1064 +tp35103 +a(g705 +g1064 +tp35104 +a(g705 +g1064 +tp35105 +a(g705 +g1064 +tp35106 +a(g705 +g1064 +tp35107 +a(g826 +V\u000a \u000a +p35108 +tp35109 +a(g705 +g1040 +tp35110 +a(g681 +Vdefun +p35111 +tp35112 +a(g826 +g1044 +tp35113 +a(g440 +Vstring-prefix-p +p35114 +tp35115 +a(g826 +g1044 +tp35116 +a(g705 +g1040 +tp35117 +a(g440 +Vprefix +p35118 +tp35119 +a(g826 +g1044 +tp35120 +a(g573 +Vstring +p35121 +tp35122 +a(g826 +g1044 +tp35123 +a(g785 +V&optional +p35124 +tp35125 +a(g826 +g1044 +tp35126 +a(g440 +Vignore-case +p35127 +tp35128 +a(g705 +g1064 +tp35129 +a(g826 +V\u000a +p35130 +tp35131 +a(g89 +g1068 +tp35132 +a(g89 +VReturn non-nil if PREFIX is a prefix of STRING.\u000aIf IGNORE-CASE is non-nil, the comparison is done without paying attention\u000ato case differences. +p35133 +tp35134 +a(g89 +g1068 +tp35135 +a(g826 +V\u000a +p35136 +tp35137 +a(g705 +g1040 +tp35138 +a(g744 +Vlet +p35139 +tp35140 +a(g826 +g1044 +tp35141 +a(g705 +g1040 +tp35142 +a(g705 +g1040 +tp35143 +a(g440 +Vprefix-length +p35144 +tp35145 +a(g826 +g1044 +tp35146 +a(g705 +g1040 +tp35147 +a(g573 +Vlength +p35148 +tp35149 +a(g826 +g1044 +tp35150 +a(g440 +Vprefix +p35151 +tp35152 +a(g705 +g1064 +tp35153 +a(g705 +g1064 +tp35154 +a(g705 +g1064 +tp35155 +a(g826 +V\u000a +p35156 +tp35157 +a(g705 +g1040 +tp35158 +a(g744 +Vif +p35159 +tp35160 +a(g826 +g1044 +tp35161 +a(g705 +g1040 +tp35162 +a(g573 +g3989 +tp35163 +a(g826 +g1044 +tp35164 +a(g440 +Vprefix-length +p35165 +tp35166 +a(g826 +g1044 +tp35167 +a(g705 +g1040 +tp35168 +a(g573 +Vlength +p35169 +tp35170 +a(g826 +g1044 +tp35171 +a(g573 +Vstring +p35172 +tp35173 +a(g705 +g1064 +tp35174 +a(g705 +g1064 +tp35175 +a(g826 +g1044 +tp35176 +a(g565 +Vnil +p35177 +tp35178 +a(g826 +V\u000a +p35179 +tp35180 +a(g705 +g1040 +tp35181 +a(g573 +Veq +p35182 +tp35183 +a(g826 +g1044 +tp35184 +a(g565 +g1187 +tp35185 +a(g826 +g1044 +tp35186 +a(g705 +g1040 +tp35187 +a(g573 +Vcompare-strings +p35188 +tp35189 +a(g826 +g1044 +tp35190 +a(g440 +Vprefix +p35191 +tp35192 +a(g826 +g1044 +tp35193 +a(g37 +g2628 +tp35194 +a(g826 +g1044 +tp35195 +a(g440 +Vprefix-length +p35196 +tp35197 +a(g826 +g1044 +tp35198 +a(g573 +Vstring +p35199 +tp35200 +a(g826 +V\u000a +p35201 +tp35202 +a(g37 +g2628 +tp35203 +a(g826 +g1044 +tp35204 +a(g440 +Vprefix-length +p35205 +tp35206 +a(g826 +g1044 +tp35207 +a(g440 +Vignore-case +p35208 +tp35209 +a(g705 +g1064 +tp35210 +a(g705 +g1064 +tp35211 +a(g705 +g1064 +tp35212 +a(g705 +g1064 +tp35213 +a(g705 +g1064 +tp35214 +a(g826 +V\u000a\u000a +p35215 +tp35216 +a(g705 +g1040 +tp35217 +a(g681 +Vdefun +p35218 +tp35219 +a(g826 +g1044 +tp35220 +a(g440 +Vstring-suffix-p +p35221 +tp35222 +a(g826 +g1044 +tp35223 +a(g705 +g1040 +tp35224 +a(g440 +Vsuffix +p35225 +tp35226 +a(g826 +g1044 +tp35227 +a(g573 +Vstring +p35228 +tp35229 +a(g826 +V +p35230 +tp35231 +a(g785 +V&optional +p35232 +tp35233 +a(g826 +g1044 +tp35234 +a(g440 +Vignore-case +p35235 +tp35236 +a(g705 +g1064 +tp35237 +a(g826 +V\u000a +p35238 +tp35239 +a(g89 +g1068 +tp35240 +a(g89 +VReturn non-nil if SUFFIX is a suffix of STRING.\u000aIf IGNORE-CASE is non-nil, the comparison is done without paying\u000aattention to case differences. +p35241 +tp35242 +a(g89 +g1068 +tp35243 +a(g826 +V\u000a +p35244 +tp35245 +a(g705 +g1040 +tp35246 +a(g744 +Vlet +p35247 +tp35248 +a(g826 +g1044 +tp35249 +a(g705 +g1040 +tp35250 +a(g705 +g1040 +tp35251 +a(g440 +Vstart-pos +p35252 +tp35253 +a(g826 +g1044 +tp35254 +a(g705 +g1040 +tp35255 +a(g573 +g3788 +tp35256 +a(g826 +g1044 +tp35257 +a(g705 +g1040 +tp35258 +a(g573 +Vlength +p35259 +tp35260 +a(g826 +g1044 +tp35261 +a(g573 +Vstring +p35262 +tp35263 +a(g705 +g1064 +tp35264 +a(g826 +g1044 +tp35265 +a(g705 +g1040 +tp35266 +a(g573 +Vlength +p35267 +tp35268 +a(g826 +g1044 +tp35269 +a(g440 +Vsuffix +p35270 +tp35271 +a(g705 +g1064 +tp35272 +a(g705 +g1064 +tp35273 +a(g705 +g1064 +tp35274 +a(g705 +g1064 +tp35275 +a(g826 +V\u000a +p35276 +tp35277 +a(g705 +g1040 +tp35278 +a(g744 +Vand +p35279 +tp35280 +a(g826 +g1044 +tp35281 +a(g705 +g1040 +tp35282 +a(g573 +V>= +p35283 +tp35284 +a(g826 +g1044 +tp35285 +a(g440 +Vstart-pos +p35286 +tp35287 +a(g826 +g1044 +tp35288 +a(g37 +g2628 +tp35289 +a(g705 +g1064 +tp35290 +a(g826 +V\u000a +p35291 +tp35292 +a(g705 +g1040 +tp35293 +a(g573 +Veq +p35294 +tp35295 +a(g826 +g1044 +tp35296 +a(g565 +g1187 +tp35297 +a(g826 +g1044 +tp35298 +a(g705 +g1040 +tp35299 +a(g573 +Vcompare-strings +p35300 +tp35301 +a(g826 +g1044 +tp35302 +a(g440 +Vsuffix +p35303 +tp35304 +a(g826 +g1044 +tp35305 +a(g565 +Vnil +p35306 +tp35307 +a(g826 +g1044 +tp35308 +a(g565 +Vnil +p35309 +tp35310 +a(g826 +V\u000a +p35311 +tp35312 +a(g573 +Vstring +p35313 +tp35314 +a(g826 +g1044 +tp35315 +a(g440 +Vstart-pos +p35316 +tp35317 +a(g826 +g1044 +tp35318 +a(g565 +Vnil +p35319 +tp35320 +a(g826 +g1044 +tp35321 +a(g440 +Vignore-case +p35322 +tp35323 +a(g705 +g1064 +tp35324 +a(g705 +g1064 +tp35325 +a(g705 +g1064 +tp35326 +a(g705 +g1064 +tp35327 +a(g705 +g1064 +tp35328 +a(g826 +V\u000a\u000a +p35329 +tp35330 +a(g705 +g1040 +tp35331 +a(g681 +Vdefun +p35332 +tp35333 +a(g826 +g1044 +tp35334 +a(g440 +Vbidi-string-mark-left-to-right +p35335 +tp35336 +a(g826 +g1044 +tp35337 +a(g705 +g1040 +tp35338 +a(g440 +Vstr +p35339 +tp35340 +a(g705 +g1064 +tp35341 +a(g826 +V\u000a +p35342 +tp35343 +a(g89 +g1068 +tp35344 +a(g89 +VReturn a string that can be safely inserted in left-to-right text.\u000a\u000aNormally, inserting a string with right-to-left (RTL) script into\u000aa buffer may cause some subsequent text to be displayed as part\u000aof the RTL segment (usually this affects punctuation characters).\u000aThis function returns a string which displays as STR but forces\u000asubsequent text to be displayed as left-to-right.\u000a\u000aIf STR contains any RTL character, this function returns a string\u000aconsisting of STR followed by an invisible left-to-right mark\u000a +p35345 +tp35346 +a(g89 +V\u005c( +p35347 +tp35348 +a(g89 +VLRM) character. Otherwise, it returns STR. +p35349 +tp35350 +a(g89 +g1068 +tp35351 +a(g826 +V\u000a +p35352 +tp35353 +a(g705 +g1040 +tp35354 +a(g681 +Vunless +p35355 +tp35356 +a(g826 +g1044 +tp35357 +a(g705 +g1040 +tp35358 +a(g573 +Vstringp +p35359 +tp35360 +a(g826 +g1044 +tp35361 +a(g440 +Vstr +p35362 +tp35363 +a(g705 +g1064 +tp35364 +a(g826 +V\u000a +p35365 +tp35366 +a(g705 +g1040 +tp35367 +a(g573 +Vsignal +p35368 +tp35369 +a(g826 +g1044 +tp35370 +a(g117 +V'wrong-type-argument +p35371 +tp35372 +a(g826 +g1044 +tp35373 +a(g705 +g1040 +tp35374 +a(g573 +Vlist +p35375 +tp35376 +a(g826 +g1044 +tp35377 +a(g117 +V'stringp +p35378 +tp35379 +a(g826 +g1044 +tp35380 +a(g440 +Vstr +p35381 +tp35382 +a(g705 +g1064 +tp35383 +a(g705 +g1064 +tp35384 +a(g705 +g1064 +tp35385 +a(g826 +V\u000a +p35386 +tp35387 +a(g705 +g1040 +tp35388 +a(g744 +Vif +p35389 +tp35390 +a(g826 +g1044 +tp35391 +a(g705 +g1040 +tp35392 +a(g573 +Vstring-match +p35393 +tp35394 +a(g826 +g1044 +tp35395 +a(g89 +g1068 +tp35396 +a(g89 +V\u005c\u005c +p35397 +tp35398 +a(g89 +VcR +p35399 +tp35400 +a(g89 +g1068 +tp35401 +a(g826 +g1044 +tp35402 +a(g440 +Vstr +p35403 +tp35404 +a(g705 +g1064 +tp35405 +a(g826 +V\u000a +p35406 +tp35407 +a(g705 +g1040 +tp35408 +a(g573 +Vconcat +p35409 +tp35410 +a(g826 +g1044 +tp35411 +a(g440 +Vstr +p35412 +tp35413 +a(g826 +g1044 +tp35414 +a(g705 +g1040 +tp35415 +a(g573 +Vpropertize +p35416 +tp35417 +a(g826 +g1044 +tp35418 +a(g705 +g1040 +tp35419 +a(g573 +Vstring +p35420 +tp35421 +a(g826 +g1044 +tp35422 +a(g93 +V?\u005cx +p35423 +tp35424 +a(g440 +V200e +p35425 +tp35426 +a(g705 +g1064 +tp35427 +a(g826 +g1044 +tp35428 +a(g117 +V'invisible +p35429 +tp35430 +a(g826 +g1044 +tp35431 +a(g565 +g1187 +tp35432 +a(g705 +g1064 +tp35433 +a(g705 +g1064 +tp35434 +a(g826 +V\u000a +p35435 +tp35436 +a(g440 +Vstr +p35437 +tp35438 +a(g705 +g1064 +tp35439 +a(g705 +g1064 +tp35440 +a(g826 +V\u000a \u000a +p35441 +tp35442 +a(g8 +V;;;; Specifying things to do later. +p35443 +tp35444 +a(g826 +V\u000a\u000a +p35445 +tp35446 +a(g705 +g1040 +tp35447 +a(g681 +Vdefun +p35448 +tp35449 +a(g826 +g1044 +tp35450 +a(g440 +Vload-history-regexp +p35451 +tp35452 +a(g826 +g1044 +tp35453 +a(g705 +g1040 +tp35454 +a(g440 +Vfile +p35455 +tp35456 +a(g705 +g1064 +tp35457 +a(g826 +V\u000a +p35458 +tp35459 +a(g89 +g1068 +tp35460 +a(g89 +VForm a regexp to find FILE in +p35461 +tp35462 +a(g117 +V`load-history' +p35463 +tp35464 +a(g89 +V.\u000aFILE, a string, is described in the function +p35465 +tp35466 +a(g117 +V`eval-after-load' +p35467 +tp35468 +a(g89 +g3307 +tp35469 +a(g89 +g1068 +tp35470 +a(g826 +V\u000a +p35471 +tp35472 +a(g705 +g1040 +tp35473 +a(g744 +Vif +p35474 +tp35475 +a(g826 +g1044 +tp35476 +a(g705 +g1040 +tp35477 +a(g573 +Vfile-name-absolute-p +p35478 +tp35479 +a(g826 +g1044 +tp35480 +a(g440 +Vfile +p35481 +tp35482 +a(g705 +g1064 +tp35483 +a(g826 +V\u000a +p35484 +tp35485 +a(g705 +g1040 +tp35486 +a(g744 +Vsetq +p35487 +tp35488 +a(g826 +g1044 +tp35489 +a(g440 +Vfile +p35490 +tp35491 +a(g826 +g1044 +tp35492 +a(g705 +g1040 +tp35493 +a(g440 +Vfile-truename +p35494 +tp35495 +a(g826 +g1044 +tp35496 +a(g440 +Vfile +p35497 +tp35498 +a(g705 +g1064 +tp35499 +a(g705 +g1064 +tp35500 +a(g705 +g1064 +tp35501 +a(g826 +V\u000a +p35502 +tp35503 +a(g705 +g1040 +tp35504 +a(g573 +Vconcat +p35505 +tp35506 +a(g826 +g1044 +tp35507 +a(g705 +g1040 +tp35508 +a(g744 +Vif +p35509 +tp35510 +a(g826 +g1044 +tp35511 +a(g705 +g1040 +tp35512 +a(g573 +Vfile-name-absolute-p +p35513 +tp35514 +a(g826 +g1044 +tp35515 +a(g440 +Vfile +p35516 +tp35517 +a(g705 +g1064 +tp35518 +a(g826 +g1044 +tp35519 +a(g89 +g1068 +tp35520 +a(g89 +V\u005c\u005c +p35521 +tp35522 +a(g89 +g1128 +tp35523 +a(g89 +g1068 +tp35524 +a(g826 +g1044 +tp35525 +a(g89 +g1068 +tp35526 +a(g89 +V\u005c\u005c +p35527 +tp35528 +a(g89 +g1040 +tp35529 +a(g89 +V\u005c\u005c +p35530 +tp35531 +a(g89 +g1128 +tp35532 +a(g89 +V\u005c\u005c +p35533 +tp35534 +a(g89 +V|/ +p35535 +tp35536 +a(g89 +V\u005c\u005c +p35537 +tp35538 +a(g89 +g1064 +tp35539 +a(g89 +g1068 +tp35540 +a(g705 +g1064 +tp35541 +a(g826 +V\u000a +p35542 +tp35543 +a(g705 +g1040 +tp35544 +a(g573 +Vregexp-quote +p35545 +tp35546 +a(g826 +g1044 +tp35547 +a(g440 +Vfile +p35548 +tp35549 +a(g705 +g1064 +tp35550 +a(g826 +V\u000a +p35551 +tp35552 +a(g705 +g1040 +tp35553 +a(g744 +Vif +p35554 +tp35555 +a(g826 +g1044 +tp35556 +a(g705 +g1040 +tp35557 +a(g440 +Vfile-name-extension +p35558 +tp35559 +a(g826 +g1044 +tp35560 +a(g440 +Vfile +p35561 +tp35562 +a(g705 +g1064 +tp35563 +a(g826 +V\u000a +p35564 +tp35565 +a(g89 +g1068 +tp35566 +a(g89 +g1068 +tp35567 +a(g826 +V\u000a +p35568 +tp35569 +a(g8 +V;; Note: regexp-opt can't be used here, since we need to call +p35570 +tp35571 +a(g826 +V\u000a +p35572 +tp35573 +a(g8 +V;; this before Emacs has been fully started. 2006-05-21 +p35574 +tp35575 +a(g826 +V\u000a +p35576 +tp35577 +a(g705 +g1040 +tp35578 +a(g573 +Vconcat +p35579 +tp35580 +a(g826 +g1044 +tp35581 +a(g89 +g1068 +tp35582 +a(g89 +V\u005c\u005c +p35583 +tp35584 +a(g89 +g1040 +tp35585 +a(g89 +g1068 +tp35586 +a(g826 +g1044 +tp35587 +a(g705 +g1040 +tp35588 +a(g573 +Vmapconcat +p35589 +tp35590 +a(g826 +g1044 +tp35591 +a(g117 +V'regexp-quote +p35592 +tp35593 +a(g826 +g1044 +tp35594 +a(g440 +Vload-suffixes +p35595 +tp35596 +a(g826 +g1044 +tp35597 +a(g89 +g1068 +tp35598 +a(g89 +V\u005c\u005c +p35599 +tp35600 +a(g89 +g34128 +tp35601 +a(g89 +g1068 +tp35602 +a(g705 +g1064 +tp35603 +a(g826 +g1044 +tp35604 +a(g89 +g1068 +tp35605 +a(g89 +V\u005c\u005c +p35606 +tp35607 +a(g89 +V)? +p35608 +tp35609 +a(g89 +g1068 +tp35610 +a(g705 +g1064 +tp35611 +a(g705 +g1064 +tp35612 +a(g826 +V\u000a +p35613 +tp35614 +a(g89 +g1068 +tp35615 +a(g89 +V\u005c\u005c +p35616 +tp35617 +a(g89 +g1040 +tp35618 +a(g89 +g1068 +tp35619 +a(g826 +g1044 +tp35620 +a(g705 +g1040 +tp35621 +a(g573 +Vmapconcat +p35622 +tp35623 +a(g826 +g1044 +tp35624 +a(g117 +V'regexp-quote +p35625 +tp35626 +a(g826 +g1044 +tp35627 +a(g440 +Vjka-compr-load-suffixes +p35628 +tp35629 +a(g826 +g1044 +tp35630 +a(g89 +g1068 +tp35631 +a(g89 +V\u005c\u005c +p35632 +tp35633 +a(g89 +g34128 +tp35634 +a(g89 +g1068 +tp35635 +a(g705 +g1064 +tp35636 +a(g826 +V\u000a +p35637 +tp35638 +a(g89 +g1068 +tp35639 +a(g89 +V\u005c\u005c +p35640 +tp35641 +a(g89 +V)? +p35642 +tp35643 +a(g89 +V\u005c\u005c +p35644 +tp35645 +a(g89 +g10833 +tp35646 +a(g89 +g1068 +tp35647 +a(g705 +g1064 +tp35648 +a(g705 +g1064 +tp35649 +a(g826 +V\u000a\u000a +p35650 +tp35651 +a(g705 +g1040 +tp35652 +a(g681 +Vdefun +p35653 +tp35654 +a(g826 +g1044 +tp35655 +a(g440 +Vload-history-filename-element +p35656 +tp35657 +a(g826 +g1044 +tp35658 +a(g705 +g1040 +tp35659 +a(g440 +Vfile-regexp +p35660 +tp35661 +a(g705 +g1064 +tp35662 +a(g826 +V\u000a +p35663 +tp35664 +a(g89 +g1068 +tp35665 +a(g89 +VGet the first elt of +p35666 +tp35667 +a(g117 +V`load-history' +p35668 +tp35669 +a(g89 +V whose car matches FILE-REGEXP.\u000aReturn nil if there isn't one. +p35670 +tp35671 +a(g89 +g1068 +tp35672 +a(g826 +V\u000a +p35673 +tp35674 +a(g705 +g1040 +tp35675 +a(g744 +Vlet* +p35676 +tp35677 +a(g826 +g1044 +tp35678 +a(g705 +g1040 +tp35679 +a(g705 +g1040 +tp35680 +a(g440 +Vloads +p35681 +tp35682 +a(g826 +g1044 +tp35683 +a(g440 +Vload-history +p35684 +tp35685 +a(g705 +g1064 +tp35686 +a(g826 +V\u000a +p35687 +tp35688 +a(g705 +g1040 +tp35689 +a(g440 +Vload-elt +p35690 +tp35691 +a(g826 +g1044 +tp35692 +a(g705 +g1040 +tp35693 +a(g744 +Vand +p35694 +tp35695 +a(g826 +g1044 +tp35696 +a(g440 +Vloads +p35697 +tp35698 +a(g826 +g1044 +tp35699 +a(g705 +g1040 +tp35700 +a(g573 +Vcar +p35701 +tp35702 +a(g826 +g1044 +tp35703 +a(g440 +Vloads +p35704 +tp35705 +a(g705 +g1064 +tp35706 +a(g705 +g1064 +tp35707 +a(g705 +g1064 +tp35708 +a(g705 +g1064 +tp35709 +a(g826 +V\u000a +p35710 +tp35711 +a(g705 +g1040 +tp35712 +a(g681 +Vsave-match-data +p35713 +tp35714 +a(g826 +V\u000a +p35715 +tp35716 +a(g705 +g1040 +tp35717 +a(g744 +Vwhile +p35718 +tp35719 +a(g826 +g1044 +tp35720 +a(g705 +g1040 +tp35721 +a(g744 +Vand +p35722 +tp35723 +a(g826 +g1044 +tp35724 +a(g440 +Vloads +p35725 +tp35726 +a(g826 +V\u000a +p35727 +tp35728 +a(g705 +g1040 +tp35729 +a(g744 +Vor +p35730 +tp35731 +a(g826 +g1044 +tp35732 +a(g705 +g1040 +tp35733 +a(g573 +Vnull +p35734 +tp35735 +a(g826 +g1044 +tp35736 +a(g705 +g1040 +tp35737 +a(g573 +Vcar +p35738 +tp35739 +a(g826 +g1044 +tp35740 +a(g440 +Vload-elt +p35741 +tp35742 +a(g705 +g1064 +tp35743 +a(g705 +g1064 +tp35744 +a(g826 +V\u000a +p35745 +tp35746 +a(g705 +g1040 +tp35747 +a(g440 +Vnot +p35748 +tp35749 +a(g826 +g1044 +tp35750 +a(g705 +g1040 +tp35751 +a(g573 +Vstring-match +p35752 +tp35753 +a(g826 +g1044 +tp35754 +a(g440 +Vfile-regexp +p35755 +tp35756 +a(g826 +g1044 +tp35757 +a(g705 +g1040 +tp35758 +a(g573 +Vcar +p35759 +tp35760 +a(g826 +g1044 +tp35761 +a(g440 +Vload-elt +p35762 +tp35763 +a(g705 +g1064 +tp35764 +a(g705 +g1064 +tp35765 +a(g705 +g1064 +tp35766 +a(g705 +g1064 +tp35767 +a(g705 +g1064 +tp35768 +a(g826 +V\u000a +p35769 +tp35770 +a(g705 +g1040 +tp35771 +a(g744 +Vsetq +p35772 +tp35773 +a(g826 +g1044 +tp35774 +a(g440 +Vloads +p35775 +tp35776 +a(g826 +g1044 +tp35777 +a(g705 +g1040 +tp35778 +a(g573 +Vcdr +p35779 +tp35780 +a(g826 +g1044 +tp35781 +a(g440 +Vloads +p35782 +tp35783 +a(g705 +g1064 +tp35784 +a(g826 +V\u000a +p35785 +tp35786 +a(g440 +Vload-elt +p35787 +tp35788 +a(g826 +g1044 +tp35789 +a(g705 +g1040 +tp35790 +a(g744 +Vand +p35791 +tp35792 +a(g826 +g1044 +tp35793 +a(g440 +Vloads +p35794 +tp35795 +a(g826 +g1044 +tp35796 +a(g705 +g1040 +tp35797 +a(g573 +Vcar +p35798 +tp35799 +a(g826 +g1044 +tp35800 +a(g440 +Vloads +p35801 +tp35802 +a(g705 +g1064 +tp35803 +a(g705 +g1064 +tp35804 +a(g705 +g1064 +tp35805 +a(g705 +g1064 +tp35806 +a(g705 +g1064 +tp35807 +a(g826 +V\u000a +p35808 +tp35809 +a(g440 +Vload-elt +p35810 +tp35811 +a(g705 +g1064 +tp35812 +a(g705 +g1064 +tp35813 +a(g826 +V\u000a\u000a +p35814 +tp35815 +a(g705 +g1040 +tp35816 +a(g573 +Vput +p35817 +tp35818 +a(g826 +g1044 +tp35819 +a(g117 +V'eval-after-load +p35820 +tp35821 +a(g826 +g1044 +tp35822 +a(g117 +V'lisp-indent-function +p35823 +tp35824 +a(g826 +g1044 +tp35825 +a(g37 +g2048 +tp35826 +a(g705 +g1064 +tp35827 +a(g826 +V\u000a +p35828 +tp35829 +a(g705 +g1040 +tp35830 +a(g681 +Vdefun +p35831 +tp35832 +a(g826 +g1044 +tp35833 +a(g440 +Veval-after-load +p35834 +tp35835 +a(g826 +g1044 +tp35836 +a(g705 +g1040 +tp35837 +a(g440 +Vfile +p35838 +tp35839 +a(g826 +g1044 +tp35840 +a(g440 +Vform +p35841 +tp35842 +a(g705 +g1064 +tp35843 +a(g826 +V\u000a +p35844 +tp35845 +a(g89 +g1068 +tp35846 +a(g89 +VArrange that if FILE is loaded, FORM will be run immediately afterwards.\u000aIf FILE is already loaded, evaluate FORM right now.\u000aFORM can be an Elisp expression (in which case it's passed to +p35847 +tp35848 +a(g117 +V`eval' +p35849 +tp35850 +a(g89 +V),\u000aor a function (in which case it's passed to +p35851 +tp35852 +a(g117 +V`funcall' +p35853 +tp35854 +a(g89 +V with no argument).\u000a\u000aIf a matching file is loaded again, FORM will be evaluated again.\u000a\u000aIf FILE is a string, it may be either an absolute or a relative file\u000aname, and may have an extension (e.g. +p35855 +tp35856 +a(g89 +V\u005c" +p35857 +tp35858 +a(g89 +V.el +p35859 +tp35860 +a(g89 +V\u005c" +p35861 +tp35862 +a(g89 +V) or may lack one, and\u000aadditionally may or may not have an extension denoting a compressed\u000aformat (e.g. +p35863 +tp35864 +a(g89 +V\u005c" +p35865 +tp35866 +a(g89 +V.gz +p35867 +tp35868 +a(g89 +V\u005c" +p35869 +tp35870 +a(g89 +V).\u000a\u000aWhen FILE is absolute, this first converts it to a true name by chasing\u000asymbolic links. Only a file of this name (see next paragraph regarding\u000aextensions) will trigger the evaluation of FORM. When FILE is relative,\u000aa file whose absolute true name ends in FILE will trigger evaluation.\u000a\u000aWhen FILE lacks an extension, a file name with any extension will trigger\u000aevaluation. Otherwise, its extension must match FILE's. A further\u000aextension for a compressed format (e.g. +p35871 +tp35872 +a(g89 +V\u005c" +p35873 +tp35874 +a(g89 +V.gz +p35875 +tp35876 +a(g89 +V\u005c" +p35877 +tp35878 +a(g89 +V) on FILE will not affect\u000athis name matching.\u000a\u000aAlternatively, FILE can be a feature (i.e. a symbol), in which case FORM\u000ais evaluated at the end of any file that +p35879 +tp35880 +a(g117 +V`provide' +p35881 +tp35882 +a(g89 +Vs this feature.\u000aIf the feature is provided when evaluating code not associated with a\u000afile, FORM is evaluated immediately after the provide statement.\u000a\u000aUsually FILE is just a library name like +p35883 +tp35884 +a(g89 +V\u005c" +p35885 +tp35886 +a(g89 +Vfont-lock +p35887 +tp35888 +a(g89 +V\u005c" +p35889 +tp35890 +a(g89 +V or a feature name\u000alike 'font-lock.\u000a\u000aThis function makes or adds to an entry on +p35891 +tp35892 +a(g117 +V`after-load-alist' +p35893 +tp35894 +a(g89 +g3307 +tp35895 +a(g89 +g1068 +tp35896 +a(g826 +V\u000a +p35897 +tp35898 +a(g705 +g1040 +tp35899 +a(g681 +Vdeclare +p35900 +tp35901 +a(g826 +g1044 +tp35902 +a(g705 +g1040 +tp35903 +a(g440 +Vcompiler-macro +p35904 +tp35905 +a(g826 +V\u000a +p35906 +tp35907 +a(g705 +g1040 +tp35908 +a(g681 +Vlambda +p35909 +tp35910 +a(g826 +g1044 +tp35911 +a(g705 +g1040 +tp35912 +a(g440 +Vwhole +p35913 +tp35914 +a(g705 +g1064 +tp35915 +a(g826 +V\u000a +p35916 +tp35917 +a(g705 +g1040 +tp35918 +a(g744 +Vif +p35919 +tp35920 +a(g826 +g1044 +tp35921 +a(g705 +g1040 +tp35922 +a(g573 +Veq +p35923 +tp35924 +a(g826 +g1044 +tp35925 +a(g117 +V'quote +p35926 +tp35927 +a(g826 +g1044 +tp35928 +a(g705 +g1040 +tp35929 +a(g573 +Vcar-safe +p35930 +tp35931 +a(g826 +g1044 +tp35932 +a(g440 +Vform +p35933 +tp35934 +a(g705 +g1064 +tp35935 +a(g705 +g1064 +tp35936 +a(g826 +V\u000a +p35937 +tp35938 +a(g8 +V;; Quote with lambda so the compiler can look inside. +p35939 +tp35940 +a(g826 +V\u000a +p35941 +tp35942 +a(g412 +g1128 +tp35943 +a(g705 +g1040 +tp35944 +a(g440 +Veval-after-load +p35945 +tp35946 +a(g826 +g1044 +tp35947 +a(g412 +g1198 +tp35948 +a(g440 +Vfile +p35949 +tp35950 +a(g826 +g1044 +tp35951 +a(g705 +g1040 +tp35952 +a(g681 +Vlambda +p35953 +tp35954 +a(g826 +g1044 +tp35955 +a(g705 +g1040 +tp35956 +a(g705 +g1064 +tp35957 +a(g826 +g1044 +tp35958 +a(g412 +g1198 +tp35959 +a(g705 +g1040 +tp35960 +a(g573 +Vnth +p35961 +tp35962 +a(g826 +g1044 +tp35963 +a(g37 +g2048 +tp35964 +a(g826 +g1044 +tp35965 +a(g440 +Vform +p35966 +tp35967 +a(g705 +g1064 +tp35968 +a(g705 +g1064 +tp35969 +a(g705 +g1064 +tp35970 +a(g826 +V\u000a +p35971 +tp35972 +a(g440 +Vwhole +p35973 +tp35974 +a(g705 +g1064 +tp35975 +a(g705 +g1064 +tp35976 +a(g705 +g1064 +tp35977 +a(g705 +g1064 +tp35978 +a(g826 +V\u000a +p35979 +tp35980 +a(g8 +V;; Add this FORM into after-load-alist (regardless of whether we'll be +p35981 +tp35982 +a(g826 +V\u000a +p35983 +tp35984 +a(g8 +V;; evaluating it now). +p35985 +tp35986 +a(g826 +V\u000a +p35987 +tp35988 +a(g705 +g1040 +tp35989 +a(g744 +Vlet* +p35990 +tp35991 +a(g826 +g1044 +tp35992 +a(g705 +g1040 +tp35993 +a(g705 +g1040 +tp35994 +a(g440 +Vregexp-or-feature +p35995 +tp35996 +a(g826 +V\u000a +p35997 +tp35998 +a(g705 +g1040 +tp35999 +a(g744 +Vif +p36000 +tp36001 +a(g826 +g1044 +tp36002 +a(g705 +g1040 +tp36003 +a(g573 +Vstringp +p36004 +tp36005 +a(g826 +g1044 +tp36006 +a(g440 +Vfile +p36007 +tp36008 +a(g705 +g1064 +tp36009 +a(g826 +V\u000a +p36010 +tp36011 +a(g705 +g1040 +tp36012 +a(g744 +Vsetq +p36013 +tp36014 +a(g826 +g1044 +tp36015 +a(g440 +Vfile +p36016 +tp36017 +a(g826 +g1044 +tp36018 +a(g705 +g1040 +tp36019 +a(g573 +Vpurecopy +p36020 +tp36021 +a(g826 +g1044 +tp36022 +a(g705 +g1040 +tp36023 +a(g440 +Vload-history-regexp +p36024 +tp36025 +a(g826 +g1044 +tp36026 +a(g440 +Vfile +p36027 +tp36028 +a(g705 +g1064 +tp36029 +a(g705 +g1064 +tp36030 +a(g705 +g1064 +tp36031 +a(g826 +V\u000a +p36032 +tp36033 +a(g440 +Vfile +p36034 +tp36035 +a(g705 +g1064 +tp36036 +a(g705 +g1064 +tp36037 +a(g826 +V\u000a +p36038 +tp36039 +a(g705 +g1040 +tp36040 +a(g573 +Velt +p36041 +tp36042 +a(g826 +g1044 +tp36043 +a(g705 +g1040 +tp36044 +a(g573 +Vassoc +p36045 +tp36046 +a(g826 +g1044 +tp36047 +a(g440 +Vregexp-or-feature +p36048 +tp36049 +a(g826 +g1044 +tp36050 +a(g440 +Vafter-load-alist +p36051 +tp36052 +a(g705 +g1064 +tp36053 +a(g705 +g1064 +tp36054 +a(g826 +V\u000a +p36055 +tp36056 +a(g705 +g1040 +tp36057 +a(g440 +Vfunc +p36058 +tp36059 +a(g826 +V\u000a +p36060 +tp36061 +a(g705 +g1040 +tp36062 +a(g744 +Vif +p36063 +tp36064 +a(g826 +g1044 +tp36065 +a(g705 +g1040 +tp36066 +a(g573 +Vfunctionp +p36067 +tp36068 +a(g826 +g1044 +tp36069 +a(g440 +Vform +p36070 +tp36071 +a(g705 +g1064 +tp36072 +a(g826 +g1044 +tp36073 +a(g440 +Vform +p36074 +tp36075 +a(g826 +V\u000a +p36076 +tp36077 +a(g8 +V;; Try to use the "current" lexical/dynamic mode for `form'. +p36078 +tp36079 +a(g826 +V\u000a +p36080 +tp36081 +a(g705 +g1040 +tp36082 +a(g573 +Veval +p36083 +tp36084 +a(g826 +g1044 +tp36085 +a(g412 +g1128 +tp36086 +a(g705 +g1040 +tp36087 +a(g681 +Vlambda +p36088 +tp36089 +a(g826 +g1044 +tp36090 +a(g705 +g1040 +tp36091 +a(g705 +g1064 +tp36092 +a(g826 +g1044 +tp36093 +a(g412 +g1198 +tp36094 +a(g440 +Vform +p36095 +tp36096 +a(g705 +g1064 +tp36097 +a(g826 +g1044 +tp36098 +a(g440 +Vlexical-binding +p36099 +tp36100 +a(g705 +g1064 +tp36101 +a(g705 +g1064 +tp36102 +a(g705 +g1064 +tp36103 +a(g705 +g1064 +tp36104 +a(g826 +V\u000a +p36105 +tp36106 +a(g705 +g1040 +tp36107 +a(g681 +Vunless +p36108 +tp36109 +a(g826 +g1044 +tp36110 +a(g573 +Velt +p36111 +tp36112 +a(g826 +V\u000a +p36113 +tp36114 +a(g705 +g1040 +tp36115 +a(g744 +Vsetq +p36116 +tp36117 +a(g826 +g1044 +tp36118 +a(g573 +Velt +p36119 +tp36120 +a(g826 +g1044 +tp36121 +a(g705 +g1040 +tp36122 +a(g573 +Vlist +p36123 +tp36124 +a(g826 +g1044 +tp36125 +a(g440 +Vregexp-or-feature +p36126 +tp36127 +a(g705 +g1064 +tp36128 +a(g705 +g1064 +tp36129 +a(g826 +V\u000a +p36130 +tp36131 +a(g705 +g1040 +tp36132 +a(g681 +Vpush +p36133 +tp36134 +a(g826 +g1044 +tp36135 +a(g573 +Velt +p36136 +tp36137 +a(g826 +g1044 +tp36138 +a(g440 +Vafter-load-alist +p36139 +tp36140 +a(g705 +g1064 +tp36141 +a(g705 +g1064 +tp36142 +a(g826 +V\u000a +p36143 +tp36144 +a(g8 +V;; Is there an already loaded file whose name (or `provide' name) +p36145 +tp36146 +a(g826 +V\u000a +p36147 +tp36148 +a(g8 +V;; matches FILE? +p36149 +tp36150 +a(g826 +V\u000a +p36151 +tp36152 +a(g705 +g1040 +tp36153 +a(g744 +Vprog1 +p36154 +tp36155 +a(g826 +g1044 +tp36156 +a(g705 +g1040 +tp36157 +a(g744 +Vif +p36158 +tp36159 +a(g826 +g1044 +tp36160 +a(g705 +g1040 +tp36161 +a(g744 +Vif +p36162 +tp36163 +a(g826 +g1044 +tp36164 +a(g705 +g1040 +tp36165 +a(g573 +Vstringp +p36166 +tp36167 +a(g826 +g1044 +tp36168 +a(g440 +Vfile +p36169 +tp36170 +a(g705 +g1064 +tp36171 +a(g826 +V\u000a +p36172 +tp36173 +a(g705 +g1040 +tp36174 +a(g440 +Vload-history-filename-element +p36175 +tp36176 +a(g826 +g1044 +tp36177 +a(g440 +Vregexp-or-feature +p36178 +tp36179 +a(g705 +g1064 +tp36180 +a(g826 +V\u000a +p36181 +tp36182 +a(g705 +g1040 +tp36183 +a(g681 +Vfeaturep +p36184 +tp36185 +a(g826 +g1044 +tp36186 +a(g440 +Vfile +p36187 +tp36188 +a(g705 +g1064 +tp36189 +a(g705 +g1064 +tp36190 +a(g826 +V\u000a +p36191 +tp36192 +a(g705 +g1040 +tp36193 +a(g573 +Vfuncall +p36194 +tp36195 +a(g826 +g1044 +tp36196 +a(g440 +Vfunc +p36197 +tp36198 +a(g705 +g1064 +tp36199 +a(g705 +g1064 +tp36200 +a(g826 +V\u000a +p36201 +tp36202 +a(g705 +g1040 +tp36203 +a(g744 +Vlet +p36204 +tp36205 +a(g826 +g1044 +tp36206 +a(g705 +g1040 +tp36207 +a(g705 +g1040 +tp36208 +a(g440 +Vdelayed-func +p36209 +tp36210 +a(g826 +V\u000a +p36211 +tp36212 +a(g705 +g1040 +tp36213 +a(g744 +Vif +p36214 +tp36215 +a(g826 +g1044 +tp36216 +a(g705 +g1040 +tp36217 +a(g440 +Vnot +p36218 +tp36219 +a(g826 +g1044 +tp36220 +a(g705 +g1040 +tp36221 +a(g573 +Vsymbolp +p36222 +tp36223 +a(g826 +g1044 +tp36224 +a(g440 +Vregexp-or-feature +p36225 +tp36226 +a(g705 +g1064 +tp36227 +a(g705 +g1064 +tp36228 +a(g826 +g1044 +tp36229 +a(g440 +Vfunc +p36230 +tp36231 +a(g826 +V\u000a +p36232 +tp36233 +a(g8 +V;; For features, the after-load-alist elements get run when +p36234 +tp36235 +a(g826 +V\u000a +p36236 +tp36237 +a(g8 +V;; `provide' is called rather than at the end of the file. +p36238 +tp36239 +a(g826 +V\u000a +p36240 +tp36241 +a(g8 +V;; So add an indirection to make sure that `func' is really run +p36242 +tp36243 +a(g826 +V\u000a +p36244 +tp36245 +a(g8 +V;; "after-load" in case the provide call happens early. +p36246 +tp36247 +a(g826 +V\u000a +p36248 +tp36249 +a(g705 +g1040 +tp36250 +a(g681 +Vlambda +p36251 +tp36252 +a(g826 +g1044 +tp36253 +a(g705 +g1040 +tp36254 +a(g705 +g1064 +tp36255 +a(g826 +V\u000a +p36256 +tp36257 +a(g705 +g1040 +tp36258 +a(g744 +Vif +p36259 +tp36260 +a(g826 +g1044 +tp36261 +a(g705 +g1040 +tp36262 +a(g440 +Vnot +p36263 +tp36264 +a(g826 +g1044 +tp36265 +a(g440 +Vload-file-name +p36266 +tp36267 +a(g705 +g1064 +tp36268 +a(g826 +V\u000a +p36269 +tp36270 +a(g8 +V;; Not being provided from a file, run func right now. +p36271 +tp36272 +a(g826 +V\u000a +p36273 +tp36274 +a(g705 +g1040 +tp36275 +a(g573 +Vfuncall +p36276 +tp36277 +a(g826 +g1044 +tp36278 +a(g440 +Vfunc +p36279 +tp36280 +a(g705 +g1064 +tp36281 +a(g826 +V\u000a +p36282 +tp36283 +a(g705 +g1040 +tp36284 +a(g744 +Vlet +p36285 +tp36286 +a(g826 +g1044 +tp36287 +a(g705 +g1040 +tp36288 +a(g705 +g1040 +tp36289 +a(g440 +Vlfn +p36290 +tp36291 +a(g826 +g1044 +tp36292 +a(g440 +Vload-file-name +p36293 +tp36294 +a(g705 +g1064 +tp36295 +a(g826 +V\u000a +p36296 +tp36297 +a(g8 +V;; Don't use letrec, because equal (in +p36298 +tp36299 +a(g826 +V\u000a +p36300 +tp36301 +a(g8 +V;; add/remove-hook) would get trapped in a cycle. +p36302 +tp36303 +a(g826 +V\u000a +p36304 +tp36305 +a(g705 +g1040 +tp36306 +a(g440 +Vfun +p36307 +tp36308 +a(g826 +g1044 +tp36309 +a(g705 +g1040 +tp36310 +a(g573 +Vmake-symbol +p36311 +tp36312 +a(g826 +g1044 +tp36313 +a(g89 +g1068 +tp36314 +a(g89 +Veval-after-load-helper +p36315 +tp36316 +a(g89 +g1068 +tp36317 +a(g705 +g1064 +tp36318 +a(g705 +g1064 +tp36319 +a(g705 +g1064 +tp36320 +a(g826 +V\u000a +p36321 +tp36322 +a(g705 +g1040 +tp36323 +a(g573 +Vfset +p36324 +tp36325 +a(g826 +g1044 +tp36326 +a(g440 +Vfun +p36327 +tp36328 +a(g826 +g1044 +tp36329 +a(g705 +g1040 +tp36330 +a(g681 +Vlambda +p36331 +tp36332 +a(g826 +g1044 +tp36333 +a(g705 +g1040 +tp36334 +a(g440 +Vfile +p36335 +tp36336 +a(g705 +g1064 +tp36337 +a(g826 +V\u000a +p36338 +tp36339 +a(g705 +g1040 +tp36340 +a(g681 +Vwhen +p36341 +tp36342 +a(g826 +g1044 +tp36343 +a(g705 +g1040 +tp36344 +a(g573 +Vequal +p36345 +tp36346 +a(g826 +g1044 +tp36347 +a(g440 +Vfile +p36348 +tp36349 +a(g826 +g1044 +tp36350 +a(g440 +Vlfn +p36351 +tp36352 +a(g705 +g1064 +tp36353 +a(g826 +V\u000a +p36354 +tp36355 +a(g705 +g1040 +tp36356 +a(g440 +Vremove-hook +p36357 +tp36358 +a(g826 +g1044 +tp36359 +a(g117 +V'after-load-functions +p36360 +tp36361 +a(g826 +g1044 +tp36362 +a(g440 +Vfun +p36363 +tp36364 +a(g705 +g1064 +tp36365 +a(g826 +V\u000a +p36366 +tp36367 +a(g705 +g1040 +tp36368 +a(g573 +Vfuncall +p36369 +tp36370 +a(g826 +g1044 +tp36371 +a(g440 +Vfunc +p36372 +tp36373 +a(g705 +g1064 +tp36374 +a(g705 +g1064 +tp36375 +a(g705 +g1064 +tp36376 +a(g705 +g1064 +tp36377 +a(g826 +V\u000a +p36378 +tp36379 +a(g705 +g1040 +tp36380 +a(g440 +Vadd-hook +p36381 +tp36382 +a(g826 +g1044 +tp36383 +a(g117 +V'after-load-functions +p36384 +tp36385 +a(g826 +g1044 +tp36386 +a(g440 +Vfun +p36387 +tp36388 +a(g826 +g1044 +tp36389 +a(g117 +V'append +p36390 +tp36391 +a(g705 +g1064 +tp36392 +a(g705 +g1064 +tp36393 +a(g705 +g1064 +tp36394 +a(g705 +g1064 +tp36395 +a(g705 +g1064 +tp36396 +a(g705 +g1064 +tp36397 +a(g705 +g1064 +tp36398 +a(g826 +V\u000a +p36399 +tp36400 +a(g8 +V;; Add FORM to the element unless it's already there. +p36401 +tp36402 +a(g826 +V\u000a +p36403 +tp36404 +a(g705 +g1040 +tp36405 +a(g681 +Vunless +p36406 +tp36407 +a(g826 +g1044 +tp36408 +a(g705 +g1040 +tp36409 +a(g573 +Vmember +p36410 +tp36411 +a(g826 +g1044 +tp36412 +a(g440 +Vdelayed-func +p36413 +tp36414 +a(g826 +g1044 +tp36415 +a(g705 +g1040 +tp36416 +a(g573 +Vcdr +p36417 +tp36418 +a(g826 +g1044 +tp36419 +a(g573 +Velt +p36420 +tp36421 +a(g705 +g1064 +tp36422 +a(g705 +g1064 +tp36423 +a(g826 +V\u000a +p36424 +tp36425 +a(g705 +g1040 +tp36426 +a(g573 +Vnconc +p36427 +tp36428 +a(g826 +g1044 +tp36429 +a(g573 +Velt +p36430 +tp36431 +a(g826 +g1044 +tp36432 +a(g705 +g1040 +tp36433 +a(g573 +Vlist +p36434 +tp36435 +a(g826 +g1044 +tp36436 +a(g440 +Vdelayed-func +p36437 +tp36438 +a(g705 +g1064 +tp36439 +a(g705 +g1064 +tp36440 +a(g705 +g1064 +tp36441 +a(g705 +g1064 +tp36442 +a(g705 +g1064 +tp36443 +a(g705 +g1064 +tp36444 +a(g705 +g1064 +tp36445 +a(g826 +V\u000a\u000a +p36446 +tp36447 +a(g705 +g1040 +tp36448 +a(g681 +Vdefmacro +p36449 +tp36450 +a(g826 +g1044 +tp36451 +a(g681 +Vwith-eval-after-load +p36452 +tp36453 +a(g826 +g1044 +tp36454 +a(g705 +g1040 +tp36455 +a(g440 +Vfile +p36456 +tp36457 +a(g826 +g1044 +tp36458 +a(g785 +V&rest +p36459 +tp36460 +a(g826 +g1044 +tp36461 +a(g440 +Vbody +p36462 +tp36463 +a(g705 +g1064 +tp36464 +a(g826 +V\u000a +p36465 +tp36466 +a(g89 +g1068 +tp36467 +a(g89 +VExecute BODY after FILE is loaded.\u000aFILE is normally a feature name, but it can also be a file name,\u000ain case that file does not provide any feature. +p36468 +tp36469 +a(g89 +g1068 +tp36470 +a(g826 +V\u000a +p36471 +tp36472 +a(g705 +g1040 +tp36473 +a(g681 +Vdeclare +p36474 +tp36475 +a(g826 +g1044 +tp36476 +a(g705 +g1040 +tp36477 +a(g440 +Vindent +p36478 +tp36479 +a(g826 +g1044 +tp36480 +a(g37 +g2048 +tp36481 +a(g705 +g1064 +tp36482 +a(g826 +g1044 +tp36483 +a(g705 +g1040 +tp36484 +a(g440 +Vdebug +p36485 +tp36486 +a(g826 +g1044 +tp36487 +a(g565 +g1187 +tp36488 +a(g705 +g1064 +tp36489 +a(g705 +g1064 +tp36490 +a(g826 +V\u000a +p36491 +tp36492 +a(g412 +g1128 +tp36493 +a(g705 +g1040 +tp36494 +a(g440 +Veval-after-load +p36495 +tp36496 +a(g826 +g1044 +tp36497 +a(g412 +g1198 +tp36498 +a(g440 +Vfile +p36499 +tp36500 +a(g826 +g1044 +tp36501 +a(g705 +g1040 +tp36502 +a(g681 +Vlambda +p36503 +tp36504 +a(g826 +g1044 +tp36505 +a(g705 +g1040 +tp36506 +a(g705 +g1064 +tp36507 +a(g826 +g1044 +tp36508 +a(g412 +V,@ +p36509 +tp36510 +a(g440 +Vbody +p36511 +tp36512 +a(g705 +g1064 +tp36513 +a(g705 +g1064 +tp36514 +a(g705 +g1064 +tp36515 +a(g826 +V\u000a\u000a +p36516 +tp36517 +a(g705 +g1040 +tp36518 +a(g744 +Vdefvar +p36519 +tp36520 +a(g826 +g1044 +tp36521 +a(g440 +Vafter-load-functions +p36522 +tp36523 +a(g826 +g1044 +tp36524 +a(g565 +Vnil +p36525 +tp36526 +a(g826 +V\u000a +p36527 +tp36528 +a(g89 +g1068 +tp36529 +a(g89 +VSpecial hook run after loading a file.\u000aEach function there is called with a single argument, the absolute\u000aname of the file just loaded. +p36530 +tp36531 +a(g89 +g1068 +tp36532 +a(g705 +g1064 +tp36533 +a(g826 +V\u000a\u000a +p36534 +tp36535 +a(g705 +g1040 +tp36536 +a(g681 +Vdefun +p36537 +tp36538 +a(g826 +g1044 +tp36539 +a(g440 +Vdo-after-load-evaluation +p36540 +tp36541 +a(g826 +g1044 +tp36542 +a(g705 +g1040 +tp36543 +a(g440 +Vabs-file +p36544 +tp36545 +a(g705 +g1064 +tp36546 +a(g826 +V\u000a +p36547 +tp36548 +a(g89 +g1068 +tp36549 +a(g89 +VEvaluate all +p36550 +tp36551 +a(g117 +V`eval-after-load' +p36552 +tp36553 +a(g89 +V forms, if any, for ABS-FILE.\u000aABS-FILE, a string, should be the absolute true name of a file just loaded.\u000aThis function is called directly from the C code. +p36554 +tp36555 +a(g89 +g1068 +tp36556 +a(g826 +V\u000a +p36557 +tp36558 +a(g8 +V;; Run the relevant eval-after-load forms. +p36559 +tp36560 +a(g826 +V\u000a +p36561 +tp36562 +a(g705 +g1040 +tp36563 +a(g681 +Vdolist +p36564 +tp36565 +a(g826 +g1044 +tp36566 +a(g705 +g1040 +tp36567 +a(g440 +Va-l-element +p36568 +tp36569 +a(g826 +g1044 +tp36570 +a(g440 +Vafter-load-alist +p36571 +tp36572 +a(g705 +g1064 +tp36573 +a(g826 +V\u000a +p36574 +tp36575 +a(g705 +g1040 +tp36576 +a(g681 +Vwhen +p36577 +tp36578 +a(g826 +g1044 +tp36579 +a(g705 +g1040 +tp36580 +a(g744 +Vand +p36581 +tp36582 +a(g826 +g1044 +tp36583 +a(g705 +g1040 +tp36584 +a(g573 +Vstringp +p36585 +tp36586 +a(g826 +g1044 +tp36587 +a(g705 +g1040 +tp36588 +a(g573 +Vcar +p36589 +tp36590 +a(g826 +g1044 +tp36591 +a(g440 +Va-l-element +p36592 +tp36593 +a(g705 +g1064 +tp36594 +a(g705 +g1064 +tp36595 +a(g826 +V\u000a +p36596 +tp36597 +a(g705 +g1040 +tp36598 +a(g440 +Vstring-match-p +p36599 +tp36600 +a(g826 +g1044 +tp36601 +a(g705 +g1040 +tp36602 +a(g573 +Vcar +p36603 +tp36604 +a(g826 +g1044 +tp36605 +a(g440 +Va-l-element +p36606 +tp36607 +a(g705 +g1064 +tp36608 +a(g826 +g1044 +tp36609 +a(g440 +Vabs-file +p36610 +tp36611 +a(g705 +g1064 +tp36612 +a(g705 +g1064 +tp36613 +a(g826 +V\u000a +p36614 +tp36615 +a(g8 +V;; discard the file name regexp +p36616 +tp36617 +a(g826 +V\u000a +p36618 +tp36619 +a(g705 +g1040 +tp36620 +a(g573 +Vmapc +p36621 +tp36622 +a(g826 +g1044 +tp36623 +a(g573 +V#' +p36624 +tp36625 +a(g573 +Vfuncall +p36626 +tp36627 +a(g826 +g1044 +tp36628 +a(g705 +g1040 +tp36629 +a(g573 +Vcdr +p36630 +tp36631 +a(g826 +g1044 +tp36632 +a(g440 +Va-l-element +p36633 +tp36634 +a(g705 +g1064 +tp36635 +a(g705 +g1064 +tp36636 +a(g705 +g1064 +tp36637 +a(g705 +g1064 +tp36638 +a(g826 +V\u000a +p36639 +tp36640 +a(g8 +V;; Complain when the user uses obsolete files. +p36641 +tp36642 +a(g826 +V\u000a +p36643 +tp36644 +a(g705 +g1040 +tp36645 +a(g681 +Vwhen +p36646 +tp36647 +a(g826 +g1044 +tp36648 +a(g705 +g1040 +tp36649 +a(g681 +Vsave-match-data +p36650 +tp36651 +a(g826 +V\u000a +p36652 +tp36653 +a(g705 +g1040 +tp36654 +a(g744 +Vand +p36655 +tp36656 +a(g826 +g1044 +tp36657 +a(g705 +g1040 +tp36658 +a(g573 +Vstring-match +p36659 +tp36660 +a(g826 +g1044 +tp36661 +a(g89 +g1068 +tp36662 +a(g89 +V/obsolete/ +p36663 +tp36664 +a(g89 +V\u005c\u005c +p36665 +tp36666 +a(g89 +V([^/]* +p36667 +tp36668 +a(g89 +V\u005c\u005c +p36669 +tp36670 +a(g89 +g1064 +tp36671 +a(g89 +V\u005c\u005c +p36672 +tp36673 +a(g89 +g10833 +tp36674 +a(g89 +g1068 +tp36675 +a(g826 +g1044 +tp36676 +a(g440 +Vabs-file +p36677 +tp36678 +a(g705 +g1064 +tp36679 +a(g826 +V\u000a +p36680 +tp36681 +a(g705 +g1040 +tp36682 +a(g440 +Vnot +p36683 +tp36684 +a(g826 +g1044 +tp36685 +a(g705 +g1040 +tp36686 +a(g573 +Vequal +p36687 +tp36688 +a(g826 +g1044 +tp36689 +a(g89 +g1068 +tp36690 +a(g89 +Vloaddefs.el +p36691 +tp36692 +a(g89 +g1068 +tp36693 +a(g826 +g1044 +tp36694 +a(g705 +g1040 +tp36695 +a(g440 +Vmatch-string +p36696 +tp36697 +a(g826 +g1044 +tp36698 +a(g37 +g2048 +tp36699 +a(g826 +g1044 +tp36700 +a(g440 +Vabs-file +p36701 +tp36702 +a(g705 +g1064 +tp36703 +a(g705 +g1064 +tp36704 +a(g705 +g1064 +tp36705 +a(g705 +g1064 +tp36706 +a(g705 +g1064 +tp36707 +a(g826 +V\u000a +p36708 +tp36709 +a(g8 +V;; Maybe we should just use display-warning? This seems yucky... +p36710 +tp36711 +a(g826 +V\u000a +p36712 +tp36713 +a(g705 +g1040 +tp36714 +a(g744 +Vlet* +p36715 +tp36716 +a(g826 +g1044 +tp36717 +a(g705 +g1040 +tp36718 +a(g705 +g1040 +tp36719 +a(g440 +Vfile +p36720 +tp36721 +a(g826 +g1044 +tp36722 +a(g705 +g1040 +tp36723 +a(g573 +Vfile-name-nondirectory +p36724 +tp36725 +a(g826 +g1044 +tp36726 +a(g440 +Vabs-file +p36727 +tp36728 +a(g705 +g1064 +tp36729 +a(g705 +g1064 +tp36730 +a(g826 +V\u000a +p36731 +tp36732 +a(g705 +g1040 +tp36733 +a(g440 +Vmsg +p36734 +tp36735 +a(g826 +g1044 +tp36736 +a(g705 +g1040 +tp36737 +a(g573 +Vformat +p36738 +tp36739 +a(g826 +g1044 +tp36740 +a(g89 +g1068 +tp36741 +a(g89 +VPackage %s is obsolete! +p36742 +tp36743 +a(g89 +g1068 +tp36744 +a(g826 +V\u000a +p36745 +tp36746 +a(g705 +g1040 +tp36747 +a(g573 +Vsubstring +p36748 +tp36749 +a(g826 +g1044 +tp36750 +a(g440 +Vfile +p36751 +tp36752 +a(g826 +g1044 +tp36753 +a(g37 +g2628 +tp36754 +a(g826 +V\u000a +p36755 +tp36756 +a(g705 +g1040 +tp36757 +a(g573 +Vstring-match +p36758 +tp36759 +a(g826 +g1044 +tp36760 +a(g89 +g1068 +tp36761 +a(g89 +V\u005c\u005c +p36762 +tp36763 +a(g89 +V.elc? +p36764 +tp36765 +a(g89 +V\u005c\u005c +p36766 +tp36767 +a(g89 +g3989 +tp36768 +a(g89 +g1068 +tp36769 +a(g826 +g1044 +tp36770 +a(g440 +Vfile +p36771 +tp36772 +a(g705 +g1064 +tp36773 +a(g705 +g1064 +tp36774 +a(g705 +g1064 +tp36775 +a(g705 +g1064 +tp36776 +a(g705 +g1064 +tp36777 +a(g826 +V\u000a +p36778 +tp36779 +a(g8 +V;; Cribbed from cl--compiling-file. +p36780 +tp36781 +a(g826 +V\u000a +p36782 +tp36783 +a(g705 +g1040 +tp36784 +a(g744 +Vif +p36785 +tp36786 +a(g826 +g1044 +tp36787 +a(g705 +g1040 +tp36788 +a(g744 +Vand +p36789 +tp36790 +a(g826 +g1044 +tp36791 +a(g705 +g1040 +tp36792 +a(g573 +Vboundp +p36793 +tp36794 +a(g826 +g1044 +tp36795 +a(g117 +V'byte-compile--outbuffer +p36796 +tp36797 +a(g705 +g1064 +tp36798 +a(g826 +V\u000a +p36799 +tp36800 +a(g705 +g1040 +tp36801 +a(g573 +Vbufferp +p36802 +tp36803 +a(g826 +g1044 +tp36804 +a(g705 +g1040 +tp36805 +a(g573 +Vsymbol-value +p36806 +tp36807 +a(g826 +g1044 +tp36808 +a(g117 +V'byte-compile--outbuffer +p36809 +tp36810 +a(g705 +g1064 +tp36811 +a(g705 +g1064 +tp36812 +a(g826 +V\u000a +p36813 +tp36814 +a(g705 +g1040 +tp36815 +a(g573 +Vequal +p36816 +tp36817 +a(g826 +g1044 +tp36818 +a(g705 +g1040 +tp36819 +a(g573 +Vbuffer-name +p36820 +tp36821 +a(g826 +g1044 +tp36822 +a(g705 +g1040 +tp36823 +a(g573 +Vsymbol-value +p36824 +tp36825 +a(g826 +g1044 +tp36826 +a(g117 +V'byte-compile--outbuffer +p36827 +tp36828 +a(g705 +g1064 +tp36829 +a(g705 +g1064 +tp36830 +a(g826 +V\u000a +p36831 +tp36832 +a(g89 +g1068 +tp36833 +a(g89 +V *Compiler Output* +p36834 +tp36835 +a(g89 +g1068 +tp36836 +a(g705 +g1064 +tp36837 +a(g705 +g1064 +tp36838 +a(g826 +V\u000a +p36839 +tp36840 +a(g8 +V;; Don't warn about obsolete files using other obsolete files. +p36841 +tp36842 +a(g826 +V\u000a +p36843 +tp36844 +a(g705 +g1040 +tp36845 +a(g681 +Vunless +p36846 +tp36847 +a(g826 +g1044 +tp36848 +a(g705 +g1040 +tp36849 +a(g744 +Vand +p36850 +tp36851 +a(g826 +g1044 +tp36852 +a(g705 +g1040 +tp36853 +a(g573 +Vstringp +p36854 +tp36855 +a(g826 +g1044 +tp36856 +a(g440 +Vbyte-compile-current-file +p36857 +tp36858 +a(g705 +g1064 +tp36859 +a(g826 +V\u000a +p36860 +tp36861 +a(g705 +g1040 +tp36862 +a(g440 +Vstring-match-p +p36863 +tp36864 +a(g826 +g1044 +tp36865 +a(g89 +g1068 +tp36866 +a(g89 +V/obsolete/[^/]* +p36867 +tp36868 +a(g89 +V\u005c\u005c +p36869 +tp36870 +a(g89 +g10833 +tp36871 +a(g89 +g1068 +tp36872 +a(g826 +V\u000a +p36873 +tp36874 +a(g705 +g1040 +tp36875 +a(g573 +Vexpand-file-name +p36876 +tp36877 +a(g826 +V\u000a +p36878 +tp36879 +a(g440 +Vbyte-compile-current-file +p36880 +tp36881 +a(g826 +V\u000a +p36882 +tp36883 +a(g440 +Vbyte-compile-root-dir +p36884 +tp36885 +a(g705 +g1064 +tp36886 +a(g705 +g1064 +tp36887 +a(g705 +g1064 +tp36888 +a(g826 +V\u000a +p36889 +tp36890 +a(g705 +g1040 +tp36891 +a(g440 +Vbyte-compile-log-warning +p36892 +tp36893 +a(g826 +g1044 +tp36894 +a(g440 +Vmsg +p36895 +tp36896 +a(g705 +g1064 +tp36897 +a(g705 +g1064 +tp36898 +a(g826 +V\u000a +p36899 +tp36900 +a(g705 +g1040 +tp36901 +a(g440 +Vrun-with-timer +p36902 +tp36903 +a(g826 +g1044 +tp36904 +a(g37 +g2628 +tp36905 +a(g826 +g1044 +tp36906 +a(g565 +Vnil +p36907 +tp36908 +a(g826 +V\u000a +p36909 +tp36910 +a(g705 +g1040 +tp36911 +a(g681 +Vlambda +p36912 +tp36913 +a(g826 +g1044 +tp36914 +a(g705 +g1040 +tp36915 +a(g440 +Vmsg +p36916 +tp36917 +a(g705 +g1064 +tp36918 +a(g826 +V\u000a +p36919 +tp36920 +a(g705 +g1040 +tp36921 +a(g573 +Vmessage +p36922 +tp36923 +a(g826 +g1044 +tp36924 +a(g89 +g1068 +tp36925 +a(g89 +V%s +p36926 +tp36927 +a(g89 +g1068 +tp36928 +a(g826 +g1044 +tp36929 +a(g440 +Vmsg +p36930 +tp36931 +a(g705 +g1064 +tp36932 +a(g705 +g1064 +tp36933 +a(g826 +V\u000a +p36934 +tp36935 +a(g440 +Vmsg +p36936 +tp36937 +a(g705 +g1064 +tp36938 +a(g705 +g1064 +tp36939 +a(g705 +g1064 +tp36940 +a(g705 +g1064 +tp36941 +a(g826 +V\u000a\u000a +p36942 +tp36943 +a(g8 +V;; Finally, run any other hook. +p36944 +tp36945 +a(g826 +V\u000a +p36946 +tp36947 +a(g705 +g1040 +tp36948 +a(g573 +Vrun-hook-with-args +p36949 +tp36950 +a(g826 +g1044 +tp36951 +a(g117 +V'after-load-functions +p36952 +tp36953 +a(g826 +g1044 +tp36954 +a(g440 +Vabs-file +p36955 +tp36956 +a(g705 +g1064 +tp36957 +a(g705 +g1064 +tp36958 +a(g826 +V\u000a\u000a +p36959 +tp36960 +a(g705 +g1040 +tp36961 +a(g681 +Vdefun +p36962 +tp36963 +a(g826 +g1044 +tp36964 +a(g440 +Veval-next-after-load +p36965 +tp36966 +a(g826 +g1044 +tp36967 +a(g705 +g1040 +tp36968 +a(g440 +Vfile +p36969 +tp36970 +a(g705 +g1064 +tp36971 +a(g826 +V\u000a +p36972 +tp36973 +a(g89 +g1068 +tp36974 +a(g89 +VRead the following input sexp, and run it whenever FILE is loaded.\u000aThis makes or adds to an entry on +p36975 +tp36976 +a(g117 +V`after-load-alist' +p36977 +tp36978 +a(g89 +V.\u000aFILE should be the name of a library, with no directory name. +p36979 +tp36980 +a(g89 +g1068 +tp36981 +a(g826 +V\u000a +p36982 +tp36983 +a(g705 +g1040 +tp36984 +a(g681 +Vdeclare +p36985 +tp36986 +a(g826 +g1044 +tp36987 +a(g705 +g1040 +tp36988 +a(g440 +Vobsolete +p36989 +tp36990 +a(g826 +g1044 +tp36991 +a(g440 +Veval-after-load +p36992 +tp36993 +a(g826 +g1044 +tp36994 +a(g89 +g1068 +tp36995 +a(g89 +V23.2 +p36996 +tp36997 +a(g89 +g1068 +tp36998 +a(g705 +g1064 +tp36999 +a(g705 +g1064 +tp37000 +a(g826 +V\u000a +p37001 +tp37002 +a(g705 +g1040 +tp37003 +a(g440 +Veval-after-load +p37004 +tp37005 +a(g826 +g1044 +tp37006 +a(g440 +Vfile +p37007 +tp37008 +a(g826 +g1044 +tp37009 +a(g705 +g1040 +tp37010 +a(g573 +Vread +p37011 +tp37012 +a(g705 +g1064 +tp37013 +a(g705 +g1064 +tp37014 +a(g705 +g1064 +tp37015 +a(g826 +V\u000a\u000a \u000a +p37016 +tp37017 +a(g705 +g1040 +tp37018 +a(g681 +Vdefun +p37019 +tp37020 +a(g826 +g1044 +tp37021 +a(g440 +Vdisplay-delayed-warnings +p37022 +tp37023 +a(g826 +g1044 +tp37024 +a(g705 +g1040 +tp37025 +a(g705 +g1064 +tp37026 +a(g826 +V\u000a +p37027 +tp37028 +a(g89 +g1068 +tp37029 +a(g89 +VDisplay delayed warnings from +p37030 +tp37031 +a(g117 +V`delayed-warnings-list' +p37032 +tp37033 +a(g89 +V.\u000aUsed from +p37034 +tp37035 +a(g117 +V`delayed-warnings-hook' +p37036 +tp37037 +a(g89 +V (which see). +p37038 +tp37039 +a(g89 +g1068 +tp37040 +a(g826 +V\u000a +p37041 +tp37042 +a(g705 +g1040 +tp37043 +a(g681 +Vdolist +p37044 +tp37045 +a(g826 +g1044 +tp37046 +a(g705 +g1040 +tp37047 +a(g440 +Vwarning +p37048 +tp37049 +a(g826 +g1044 +tp37050 +a(g705 +g1040 +tp37051 +a(g573 +Vnreverse +p37052 +tp37053 +a(g826 +g1044 +tp37054 +a(g440 +Vdelayed-warnings-list +p37055 +tp37056 +a(g705 +g1064 +tp37057 +a(g705 +g1064 +tp37058 +a(g826 +V\u000a +p37059 +tp37060 +a(g705 +g1040 +tp37061 +a(g573 +Vapply +p37062 +tp37063 +a(g826 +g1044 +tp37064 +a(g117 +V'display-warning +p37065 +tp37066 +a(g826 +g1044 +tp37067 +a(g440 +Vwarning +p37068 +tp37069 +a(g705 +g1064 +tp37070 +a(g705 +g1064 +tp37071 +a(g826 +V\u000a +p37072 +tp37073 +a(g705 +g1040 +tp37074 +a(g744 +Vsetq +p37075 +tp37076 +a(g826 +g1044 +tp37077 +a(g440 +Vdelayed-warnings-list +p37078 +tp37079 +a(g826 +g1044 +tp37080 +a(g565 +Vnil +p37081 +tp37082 +a(g705 +g1064 +tp37083 +a(g705 +g1064 +tp37084 +a(g826 +V\u000a\u000a +p37085 +tp37086 +a(g705 +g1040 +tp37087 +a(g681 +Vdefun +p37088 +tp37089 +a(g826 +g1044 +tp37090 +a(g440 +Vcollapse-delayed-warnings +p37091 +tp37092 +a(g826 +g1044 +tp37093 +a(g705 +g1040 +tp37094 +a(g705 +g1064 +tp37095 +a(g826 +V\u000a +p37096 +tp37097 +a(g89 +g1068 +tp37098 +a(g89 +VRemove duplicates from +p37099 +tp37100 +a(g117 +V`delayed-warnings-list' +p37101 +tp37102 +a(g89 +V.\u000aCollapse identical adjacent warnings into one (plus count).\u000aUsed from +p37103 +tp37104 +a(g117 +V`delayed-warnings-hook' +p37105 +tp37106 +a(g89 +V (which see). +p37107 +tp37108 +a(g89 +g1068 +tp37109 +a(g826 +V\u000a +p37110 +tp37111 +a(g705 +g1040 +tp37112 +a(g744 +Vlet +p37113 +tp37114 +a(g826 +g1044 +tp37115 +a(g705 +g1040 +tp37116 +a(g705 +g1040 +tp37117 +a(g440 +Vcount +p37118 +tp37119 +a(g826 +g1044 +tp37120 +a(g37 +g2048 +tp37121 +a(g705 +g1064 +tp37122 +a(g826 +V\u000a +p37123 +tp37124 +a(g440 +Vcollapsed +p37125 +tp37126 +a(g826 +g1044 +tp37127 +a(g440 +Vwarning +p37128 +tp37129 +a(g705 +g1064 +tp37130 +a(g826 +V\u000a +p37131 +tp37132 +a(g705 +g1040 +tp37133 +a(g744 +Vwhile +p37134 +tp37135 +a(g826 +g1044 +tp37136 +a(g440 +Vdelayed-warnings-list +p37137 +tp37138 +a(g826 +V\u000a +p37139 +tp37140 +a(g705 +g1040 +tp37141 +a(g744 +Vsetq +p37142 +tp37143 +a(g826 +g1044 +tp37144 +a(g440 +Vwarning +p37145 +tp37146 +a(g826 +g1044 +tp37147 +a(g705 +g1040 +tp37148 +a(g681 +Vpop +p37149 +tp37150 +a(g826 +g1044 +tp37151 +a(g440 +Vdelayed-warnings-list +p37152 +tp37153 +a(g705 +g1064 +tp37154 +a(g705 +g1064 +tp37155 +a(g826 +V\u000a +p37156 +tp37157 +a(g705 +g1040 +tp37158 +a(g744 +Vif +p37159 +tp37160 +a(g826 +g1044 +tp37161 +a(g705 +g1040 +tp37162 +a(g573 +Vequal +p37163 +tp37164 +a(g826 +g1044 +tp37165 +a(g440 +Vwarning +p37166 +tp37167 +a(g826 +g1044 +tp37168 +a(g705 +g1040 +tp37169 +a(g573 +Vcar +p37170 +tp37171 +a(g826 +g1044 +tp37172 +a(g440 +Vdelayed-warnings-list +p37173 +tp37174 +a(g705 +g1064 +tp37175 +a(g705 +g1064 +tp37176 +a(g826 +V\u000a +p37177 +tp37178 +a(g705 +g1040 +tp37179 +a(g744 +Vsetq +p37180 +tp37181 +a(g826 +g1044 +tp37182 +a(g440 +Vcount +p37183 +tp37184 +a(g826 +g1044 +tp37185 +a(g705 +g1040 +tp37186 +a(g573 +V1+ +p37187 +tp37188 +a(g826 +g1044 +tp37189 +a(g440 +Vcount +p37190 +tp37191 +a(g705 +g1064 +tp37192 +a(g705 +g1064 +tp37193 +a(g826 +V\u000a +p37194 +tp37195 +a(g705 +g1040 +tp37196 +a(g681 +Vwhen +p37197 +tp37198 +a(g826 +g1044 +tp37199 +a(g705 +g1040 +tp37200 +a(g573 +g3989 +tp37201 +a(g826 +g1044 +tp37202 +a(g440 +Vcount +p37203 +tp37204 +a(g826 +g1044 +tp37205 +a(g37 +g2048 +tp37206 +a(g705 +g1064 +tp37207 +a(g826 +V\u000a +p37208 +tp37209 +a(g705 +g1040 +tp37210 +a(g573 +Vsetcdr +p37211 +tp37212 +a(g826 +g1044 +tp37213 +a(g440 +Vwarning +p37214 +tp37215 +a(g826 +g1044 +tp37216 +a(g705 +g1040 +tp37217 +a(g573 +Vcons +p37218 +tp37219 +a(g826 +g1044 +tp37220 +a(g705 +g1040 +tp37221 +a(g573 +Vformat +p37222 +tp37223 +a(g826 +g1044 +tp37224 +a(g89 +g1068 +tp37225 +a(g89 +V%s [%d times] +p37226 +tp37227 +a(g89 +g1068 +tp37228 +a(g826 +g1044 +tp37229 +a(g705 +g1040 +tp37230 +a(g440 +Vcadr +p37231 +tp37232 +a(g826 +g1044 +tp37233 +a(g440 +Vwarning +p37234 +tp37235 +a(g705 +g1064 +tp37236 +a(g826 +g1044 +tp37237 +a(g440 +Vcount +p37238 +tp37239 +a(g705 +g1064 +tp37240 +a(g826 +V\u000a +p37241 +tp37242 +a(g705 +g1040 +tp37243 +a(g440 +Vcddr +p37244 +tp37245 +a(g826 +g1044 +tp37246 +a(g440 +Vwarning +p37247 +tp37248 +a(g705 +g1064 +tp37249 +a(g705 +g1064 +tp37250 +a(g705 +g1064 +tp37251 +a(g826 +V\u000a +p37252 +tp37253 +a(g705 +g1040 +tp37254 +a(g744 +Vsetq +p37255 +tp37256 +a(g826 +g1044 +tp37257 +a(g440 +Vcount +p37258 +tp37259 +a(g826 +g1044 +tp37260 +a(g37 +g2048 +tp37261 +a(g705 +g1064 +tp37262 +a(g705 +g1064 +tp37263 +a(g826 +V\u000a +p37264 +tp37265 +a(g705 +g1040 +tp37266 +a(g681 +Vpush +p37267 +tp37268 +a(g826 +g1044 +tp37269 +a(g440 +Vwarning +p37270 +tp37271 +a(g826 +g1044 +tp37272 +a(g440 +Vcollapsed +p37273 +tp37274 +a(g705 +g1064 +tp37275 +a(g705 +g1064 +tp37276 +a(g705 +g1064 +tp37277 +a(g826 +V\u000a +p37278 +tp37279 +a(g705 +g1040 +tp37280 +a(g744 +Vsetq +p37281 +tp37282 +a(g826 +g1044 +tp37283 +a(g440 +Vdelayed-warnings-list +p37284 +tp37285 +a(g826 +g1044 +tp37286 +a(g705 +g1040 +tp37287 +a(g573 +Vnreverse +p37288 +tp37289 +a(g826 +g1044 +tp37290 +a(g440 +Vcollapsed +p37291 +tp37292 +a(g705 +g1064 +tp37293 +a(g705 +g1064 +tp37294 +a(g705 +g1064 +tp37295 +a(g705 +g1064 +tp37296 +a(g826 +V\u000a\u000a +p37297 +tp37298 +a(g8 +V;; At present this is only used for Emacs internals. +p37299 +tp37300 +a(g826 +V\u000a +p37301 +tp37302 +a(g8 +V;; Ref http://lists.gnu.org/archive/html/emacs-devel/2012-02/msg00085.html +p37303 +tp37304 +a(g826 +V\u000a +p37305 +tp37306 +a(g705 +g1040 +tp37307 +a(g744 +Vdefvar +p37308 +tp37309 +a(g826 +g1044 +tp37310 +a(g440 +Vdelayed-warnings-hook +p37311 +tp37312 +a(g826 +g1044 +tp37313 +a(g412 +g10833 +tp37314 +a(g705 +g1040 +tp37315 +a(g440 +Vcollapse-delayed-warnings +p37316 +tp37317 +a(g826 +V\u000a +p37318 +tp37319 +a(g440 +Vdisplay-delayed-warnings +p37320 +tp37321 +a(g705 +g1064 +tp37322 +a(g826 +V\u000a +p37323 +tp37324 +a(g89 +g1068 +tp37325 +a(g89 +VNormal hook run to process and display delayed warnings.\u000aBy default, this hook contains functions to consolidate the\u000awarnings listed in +p37326 +tp37327 +a(g117 +V`delayed-warnings-list' +p37328 +tp37329 +a(g89 +V, display them, and set\u000a +p37330 +tp37331 +a(g117 +V`delayed-warnings-list' +p37332 +tp37333 +a(g89 +V back to nil. +p37334 +tp37335 +a(g89 +g1068 +tp37336 +a(g705 +g1064 +tp37337 +a(g826 +V\u000a\u000a +p37338 +tp37339 +a(g705 +g1040 +tp37340 +a(g681 +Vdefun +p37341 +tp37342 +a(g826 +g1044 +tp37343 +a(g440 +Vdelay-warning +p37344 +tp37345 +a(g826 +g1044 +tp37346 +a(g705 +g1040 +tp37347 +a(g440 +Vtype +p37348 +tp37349 +a(g826 +g1044 +tp37350 +a(g573 +Vmessage +p37351 +tp37352 +a(g826 +g1044 +tp37353 +a(g785 +V&optional +p37354 +tp37355 +a(g826 +g1044 +tp37356 +a(g440 +Vlevel +p37357 +tp37358 +a(g826 +g1044 +tp37359 +a(g573 +Vbuffer-name +p37360 +tp37361 +a(g705 +g1064 +tp37362 +a(g826 +V\u000a +p37363 +tp37364 +a(g89 +g1068 +tp37365 +a(g89 +VDisplay a delayed warning.\u000aAside from going through +p37366 +tp37367 +a(g117 +V`delayed-warnings-list' +p37368 +tp37369 +a(g89 +V, this is equivalent\u000ato +p37370 +tp37371 +a(g117 +V`display-warning' +p37372 +tp37373 +a(g89 +g3307 +tp37374 +a(g89 +g1068 +tp37375 +a(g826 +V\u000a +p37376 +tp37377 +a(g705 +g1040 +tp37378 +a(g681 +Vpush +p37379 +tp37380 +a(g826 +g1044 +tp37381 +a(g705 +g1040 +tp37382 +a(g573 +Vlist +p37383 +tp37384 +a(g826 +g1044 +tp37385 +a(g440 +Vtype +p37386 +tp37387 +a(g826 +g1044 +tp37388 +a(g573 +Vmessage +p37389 +tp37390 +a(g826 +g1044 +tp37391 +a(g440 +Vlevel +p37392 +tp37393 +a(g826 +g1044 +tp37394 +a(g573 +Vbuffer-name +p37395 +tp37396 +a(g705 +g1064 +tp37397 +a(g826 +g1044 +tp37398 +a(g440 +Vdelayed-warnings-list +p37399 +tp37400 +a(g705 +g1064 +tp37401 +a(g705 +g1064 +tp37402 +a(g826 +V\u000a\u000a \u000a +p37403 +tp37404 +a(g8 +V;;;; invisibility specs +p37405 +tp37406 +a(g826 +V\u000a\u000a +p37407 +tp37408 +a(g705 +g1040 +tp37409 +a(g681 +Vdefun +p37410 +tp37411 +a(g826 +g1044 +tp37412 +a(g440 +Vadd-to-invisibility-spec +p37413 +tp37414 +a(g826 +g1044 +tp37415 +a(g705 +g1040 +tp37416 +a(g440 +Velement +p37417 +tp37418 +a(g705 +g1064 +tp37419 +a(g826 +V\u000a +p37420 +tp37421 +a(g89 +g1068 +tp37422 +a(g89 +VAdd ELEMENT to +p37423 +tp37424 +a(g117 +V`buffer-invisibility-spec' +p37425 +tp37426 +a(g89 +V.\u000aSee documentation for +p37427 +tp37428 +a(g117 +V`buffer-invisibility-spec' +p37429 +tp37430 +a(g89 +V for the kind of elements\u000athat can be added. +p37431 +tp37432 +a(g89 +g1068 +tp37433 +a(g826 +V\u000a +p37434 +tp37435 +a(g705 +g1040 +tp37436 +a(g744 +Vif +p37437 +tp37438 +a(g826 +g1044 +tp37439 +a(g705 +g1040 +tp37440 +a(g573 +Veq +p37441 +tp37442 +a(g826 +g1044 +tp37443 +a(g440 +Vbuffer-invisibility-spec +p37444 +tp37445 +a(g826 +g1044 +tp37446 +a(g565 +g1187 +tp37447 +a(g705 +g1064 +tp37448 +a(g826 +V\u000a +p37449 +tp37450 +a(g705 +g1040 +tp37451 +a(g744 +Vsetq +p37452 +tp37453 +a(g826 +g1044 +tp37454 +a(g440 +Vbuffer-invisibility-spec +p37455 +tp37456 +a(g826 +g1044 +tp37457 +a(g705 +g1040 +tp37458 +a(g573 +Vlist +p37459 +tp37460 +a(g826 +g1044 +tp37461 +a(g565 +g1187 +tp37462 +a(g705 +g1064 +tp37463 +a(g705 +g1064 +tp37464 +a(g705 +g1064 +tp37465 +a(g826 +V\u000a +p37466 +tp37467 +a(g705 +g1040 +tp37468 +a(g744 +Vsetq +p37469 +tp37470 +a(g826 +g1044 +tp37471 +a(g440 +Vbuffer-invisibility-spec +p37472 +tp37473 +a(g826 +V\u000a +p37474 +tp37475 +a(g705 +g1040 +tp37476 +a(g573 +Vcons +p37477 +tp37478 +a(g826 +g1044 +tp37479 +a(g440 +Velement +p37480 +tp37481 +a(g826 +g1044 +tp37482 +a(g440 +Vbuffer-invisibility-spec +p37483 +tp37484 +a(g705 +g1064 +tp37485 +a(g705 +g1064 +tp37486 +a(g705 +g1064 +tp37487 +a(g826 +V\u000a\u000a +p37488 +tp37489 +a(g705 +g1040 +tp37490 +a(g681 +Vdefun +p37491 +tp37492 +a(g826 +g1044 +tp37493 +a(g440 +Vremove-from-invisibility-spec +p37494 +tp37495 +a(g826 +g1044 +tp37496 +a(g705 +g1040 +tp37497 +a(g440 +Velement +p37498 +tp37499 +a(g705 +g1064 +tp37500 +a(g826 +V\u000a +p37501 +tp37502 +a(g89 +g1068 +tp37503 +a(g89 +VRemove ELEMENT from +p37504 +tp37505 +a(g117 +V`buffer-invisibility-spec' +p37506 +tp37507 +a(g89 +g3307 +tp37508 +a(g89 +g1068 +tp37509 +a(g826 +V\u000a +p37510 +tp37511 +a(g705 +g1040 +tp37512 +a(g744 +Vif +p37513 +tp37514 +a(g826 +g1044 +tp37515 +a(g705 +g1040 +tp37516 +a(g573 +Vconsp +p37517 +tp37518 +a(g826 +g1044 +tp37519 +a(g440 +Vbuffer-invisibility-spec +p37520 +tp37521 +a(g705 +g1064 +tp37522 +a(g826 +V\u000a +p37523 +tp37524 +a(g705 +g1040 +tp37525 +a(g744 +Vsetq +p37526 +tp37527 +a(g826 +g1044 +tp37528 +a(g440 +Vbuffer-invisibility-spec +p37529 +tp37530 +a(g826 +V\u000a +p37531 +tp37532 +a(g705 +g1040 +tp37533 +a(g573 +Vdelete +p37534 +tp37535 +a(g826 +g1044 +tp37536 +a(g440 +Velement +p37537 +tp37538 +a(g826 +g1044 +tp37539 +a(g440 +Vbuffer-invisibility-spec +p37540 +tp37541 +a(g705 +g1064 +tp37542 +a(g705 +g1064 +tp37543 +a(g705 +g1064 +tp37544 +a(g705 +g1064 +tp37545 +a(g826 +V\u000a \u000a +p37546 +tp37547 +a(g8 +V;;;; Syntax tables. +p37548 +tp37549 +a(g826 +V\u000a\u000a +p37550 +tp37551 +a(g705 +g1040 +tp37552 +a(g681 +Vdefmacro +p37553 +tp37554 +a(g826 +g1044 +tp37555 +a(g681 +Vwith-syntax-table +p37556 +tp37557 +a(g826 +g1044 +tp37558 +a(g705 +g1040 +tp37559 +a(g440 +Vtable +p37560 +tp37561 +a(g826 +g1044 +tp37562 +a(g785 +V&rest +p37563 +tp37564 +a(g826 +g1044 +tp37565 +a(g440 +Vbody +p37566 +tp37567 +a(g705 +g1064 +tp37568 +a(g826 +V\u000a +p37569 +tp37570 +a(g89 +g1068 +tp37571 +a(g89 +VEvaluate BODY with syntax table of current buffer set to TABLE.\u000aThe syntax table of the current buffer is saved, BODY is evaluated, and the\u000asaved table is restored, even in case of an abnormal exit.\u000aValue is what BODY returns. +p37572 +tp37573 +a(g89 +g1068 +tp37574 +a(g826 +V\u000a +p37575 +tp37576 +a(g705 +g1040 +tp37577 +a(g681 +Vdeclare +p37578 +tp37579 +a(g826 +g1044 +tp37580 +a(g705 +g1040 +tp37581 +a(g440 +Vdebug +p37582 +tp37583 +a(g826 +g1044 +tp37584 +a(g565 +g1187 +tp37585 +a(g705 +g1064 +tp37586 +a(g826 +g1044 +tp37587 +a(g705 +g1040 +tp37588 +a(g440 +Vindent +p37589 +tp37590 +a(g826 +g1044 +tp37591 +a(g37 +g2048 +tp37592 +a(g705 +g1064 +tp37593 +a(g705 +g1064 +tp37594 +a(g826 +V\u000a +p37595 +tp37596 +a(g705 +g1040 +tp37597 +a(g744 +Vlet +p37598 +tp37599 +a(g826 +g1044 +tp37600 +a(g705 +g1040 +tp37601 +a(g705 +g1040 +tp37602 +a(g440 +Vold-table +p37603 +tp37604 +a(g826 +g1044 +tp37605 +a(g705 +g1040 +tp37606 +a(g573 +Vmake-symbol +p37607 +tp37608 +a(g826 +g1044 +tp37609 +a(g89 +g1068 +tp37610 +a(g89 +Vtable +p37611 +tp37612 +a(g89 +g1068 +tp37613 +a(g705 +g1064 +tp37614 +a(g705 +g1064 +tp37615 +a(g826 +V\u000a +p37616 +tp37617 +a(g705 +g1040 +tp37618 +a(g440 +Vold-buffer +p37619 +tp37620 +a(g826 +g1044 +tp37621 +a(g705 +g1040 +tp37622 +a(g573 +Vmake-symbol +p37623 +tp37624 +a(g826 +g1044 +tp37625 +a(g89 +g1068 +tp37626 +a(g89 +Vbuffer +p37627 +tp37628 +a(g89 +g1068 +tp37629 +a(g705 +g1064 +tp37630 +a(g705 +g1064 +tp37631 +a(g705 +g1064 +tp37632 +a(g826 +V\u000a +p37633 +tp37634 +a(g412 +g1128 +tp37635 +a(g705 +g1040 +tp37636 +a(g744 +Vlet +p37637 +tp37638 +a(g826 +g1044 +tp37639 +a(g705 +g1040 +tp37640 +a(g705 +g1040 +tp37641 +a(g412 +g1198 +tp37642 +a(g440 +Vold-table +p37643 +tp37644 +a(g826 +g1044 +tp37645 +a(g705 +g1040 +tp37646 +a(g573 +Vsyntax-table +p37647 +tp37648 +a(g705 +g1064 +tp37649 +a(g705 +g1064 +tp37650 +a(g826 +V\u000a +p37651 +tp37652 +a(g705 +g1040 +tp37653 +a(g412 +g1198 +tp37654 +a(g440 +Vold-buffer +p37655 +tp37656 +a(g826 +g1044 +tp37657 +a(g705 +g1040 +tp37658 +a(g573 +Vcurrent-buffer +p37659 +tp37660 +a(g705 +g1064 +tp37661 +a(g705 +g1064 +tp37662 +a(g705 +g1064 +tp37663 +a(g826 +V\u000a +p37664 +tp37665 +a(g705 +g1040 +tp37666 +a(g744 +Vunwind-protect +p37667 +tp37668 +a(g826 +V\u000a +p37669 +tp37670 +a(g705 +g1040 +tp37671 +a(g744 +Vprogn +p37672 +tp37673 +a(g826 +V\u000a +p37674 +tp37675 +a(g705 +g1040 +tp37676 +a(g573 +Vset-syntax-table +p37677 +tp37678 +a(g826 +g1044 +tp37679 +a(g412 +g1198 +tp37680 +a(g440 +Vtable +p37681 +tp37682 +a(g705 +g1064 +tp37683 +a(g826 +V\u000a +p37684 +tp37685 +a(g412 +V,@ +p37686 +tp37687 +a(g440 +Vbody +p37688 +tp37689 +a(g705 +g1064 +tp37690 +a(g826 +V\u000a +p37691 +tp37692 +a(g705 +g1040 +tp37693 +a(g744 +Vsave-current-buffer +p37694 +tp37695 +a(g826 +V\u000a +p37696 +tp37697 +a(g705 +g1040 +tp37698 +a(g573 +Vset-buffer +p37699 +tp37700 +a(g826 +g1044 +tp37701 +a(g412 +g1198 +tp37702 +a(g440 +Vold-buffer +p37703 +tp37704 +a(g705 +g1064 +tp37705 +a(g826 +V\u000a +p37706 +tp37707 +a(g705 +g1040 +tp37708 +a(g573 +Vset-syntax-table +p37709 +tp37710 +a(g826 +g1044 +tp37711 +a(g412 +g1198 +tp37712 +a(g440 +Vold-table +p37713 +tp37714 +a(g705 +g1064 +tp37715 +a(g705 +g1064 +tp37716 +a(g705 +g1064 +tp37717 +a(g705 +g1064 +tp37718 +a(g705 +g1064 +tp37719 +a(g705 +g1064 +tp37720 +a(g826 +V\u000a\u000a +p37721 +tp37722 +a(g705 +g1040 +tp37723 +a(g681 +Vdefun +p37724 +tp37725 +a(g826 +g1044 +tp37726 +a(g440 +Vmake-syntax-table +p37727 +tp37728 +a(g826 +g1044 +tp37729 +a(g705 +g1040 +tp37730 +a(g785 +V&optional +p37731 +tp37732 +a(g826 +g1044 +tp37733 +a(g440 +Voldtable +p37734 +tp37735 +a(g705 +g1064 +tp37736 +a(g826 +V\u000a +p37737 +tp37738 +a(g89 +g1068 +tp37739 +a(g89 +VReturn a new syntax table.\u000aCreate a syntax table which inherits from OLDTABLE (if non-nil) or\u000afrom +p37740 +tp37741 +a(g117 +V`standard-syntax-table' +p37742 +tp37743 +a(g89 +V otherwise. +p37744 +tp37745 +a(g89 +g1068 +tp37746 +a(g826 +V\u000a +p37747 +tp37748 +a(g705 +g1040 +tp37749 +a(g744 +Vlet +p37750 +tp37751 +a(g826 +g1044 +tp37752 +a(g705 +g1040 +tp37753 +a(g705 +g1040 +tp37754 +a(g440 +Vtable +p37755 +tp37756 +a(g826 +g1044 +tp37757 +a(g705 +g1040 +tp37758 +a(g573 +Vmake-char-table +p37759 +tp37760 +a(g826 +g1044 +tp37761 +a(g117 +V'syntax-table +p37762 +tp37763 +a(g826 +g1044 +tp37764 +a(g565 +Vnil +p37765 +tp37766 +a(g705 +g1064 +tp37767 +a(g705 +g1064 +tp37768 +a(g705 +g1064 +tp37769 +a(g826 +V\u000a +p37770 +tp37771 +a(g705 +g1040 +tp37772 +a(g573 +Vset-char-table-parent +p37773 +tp37774 +a(g826 +g1044 +tp37775 +a(g440 +Vtable +p37776 +tp37777 +a(g826 +g1044 +tp37778 +a(g705 +g1040 +tp37779 +a(g744 +Vor +p37780 +tp37781 +a(g826 +g1044 +tp37782 +a(g440 +Voldtable +p37783 +tp37784 +a(g826 +g1044 +tp37785 +a(g705 +g1040 +tp37786 +a(g573 +Vstandard-syntax-table +p37787 +tp37788 +a(g705 +g1064 +tp37789 +a(g705 +g1064 +tp37790 +a(g705 +g1064 +tp37791 +a(g826 +V\u000a +p37792 +tp37793 +a(g440 +Vtable +p37794 +tp37795 +a(g705 +g1064 +tp37796 +a(g705 +g1064 +tp37797 +a(g826 +V\u000a\u000a +p37798 +tp37799 +a(g705 +g1040 +tp37800 +a(g681 +Vdefun +p37801 +tp37802 +a(g826 +g1044 +tp37803 +a(g440 +Vsyntax-after +p37804 +tp37805 +a(g826 +g1044 +tp37806 +a(g705 +g1040 +tp37807 +a(g440 +Vpos +p37808 +tp37809 +a(g705 +g1064 +tp37810 +a(g826 +V\u000a +p37811 +tp37812 +a(g89 +g1068 +tp37813 +a(g89 +VReturn the raw syntax descriptor for the char after POS.\u000aIf POS is outside the buffer's accessible portion, return nil. +p37814 +tp37815 +a(g89 +g1068 +tp37816 +a(g826 +V\u000a +p37817 +tp37818 +a(g705 +g1040 +tp37819 +a(g681 +Vunless +p37820 +tp37821 +a(g826 +g1044 +tp37822 +a(g705 +g1040 +tp37823 +a(g744 +Vor +p37824 +tp37825 +a(g826 +g1044 +tp37826 +a(g705 +g1040 +tp37827 +a(g573 +g2719 +tp37828 +a(g826 +g1044 +tp37829 +a(g440 +Vpos +p37830 +tp37831 +a(g826 +g1044 +tp37832 +a(g705 +g1040 +tp37833 +a(g573 +Vpoint-min +p37834 +tp37835 +a(g705 +g1064 +tp37836 +a(g705 +g1064 +tp37837 +a(g826 +g1044 +tp37838 +a(g705 +g1040 +tp37839 +a(g573 +V>= +p37840 +tp37841 +a(g826 +g1044 +tp37842 +a(g440 +Vpos +p37843 +tp37844 +a(g826 +g1044 +tp37845 +a(g705 +g1040 +tp37846 +a(g573 +Vpoint-max +p37847 +tp37848 +a(g705 +g1064 +tp37849 +a(g705 +g1064 +tp37850 +a(g705 +g1064 +tp37851 +a(g826 +V\u000a +p37852 +tp37853 +a(g705 +g1040 +tp37854 +a(g744 +Vlet +p37855 +tp37856 +a(g826 +g1044 +tp37857 +a(g705 +g1040 +tp37858 +a(g705 +g1040 +tp37859 +a(g440 +Vst +p37860 +tp37861 +a(g826 +g1044 +tp37862 +a(g705 +g1040 +tp37863 +a(g744 +Vif +p37864 +tp37865 +a(g826 +g1044 +tp37866 +a(g440 +Vparse-sexp-lookup-properties +p37867 +tp37868 +a(g826 +V\u000a +p37869 +tp37870 +a(g705 +g1040 +tp37871 +a(g573 +Vget-char-property +p37872 +tp37873 +a(g826 +g1044 +tp37874 +a(g440 +Vpos +p37875 +tp37876 +a(g826 +g1044 +tp37877 +a(g117 +V'syntax-table +p37878 +tp37879 +a(g705 +g1064 +tp37880 +a(g705 +g1064 +tp37881 +a(g705 +g1064 +tp37882 +a(g705 +g1064 +tp37883 +a(g826 +V\u000a +p37884 +tp37885 +a(g705 +g1040 +tp37886 +a(g744 +Vif +p37887 +tp37888 +a(g826 +g1044 +tp37889 +a(g705 +g1040 +tp37890 +a(g573 +Vconsp +p37891 +tp37892 +a(g826 +g1044 +tp37893 +a(g440 +Vst +p37894 +tp37895 +a(g705 +g1064 +tp37896 +a(g826 +g1044 +tp37897 +a(g440 +Vst +p37898 +tp37899 +a(g826 +V\u000a +p37900 +tp37901 +a(g705 +g1040 +tp37902 +a(g573 +Varef +p37903 +tp37904 +a(g826 +g1044 +tp37905 +a(g705 +g1040 +tp37906 +a(g744 +Vor +p37907 +tp37908 +a(g826 +g1044 +tp37909 +a(g440 +Vst +p37910 +tp37911 +a(g826 +g1044 +tp37912 +a(g705 +g1040 +tp37913 +a(g573 +Vsyntax-table +p37914 +tp37915 +a(g705 +g1064 +tp37916 +a(g705 +g1064 +tp37917 +a(g826 +g1044 +tp37918 +a(g705 +g1040 +tp37919 +a(g573 +Vchar-after +p37920 +tp37921 +a(g826 +g1044 +tp37922 +a(g440 +Vpos +p37923 +tp37924 +a(g705 +g1064 +tp37925 +a(g705 +g1064 +tp37926 +a(g705 +g1064 +tp37927 +a(g705 +g1064 +tp37928 +a(g705 +g1064 +tp37929 +a(g705 +g1064 +tp37930 +a(g826 +V\u000a\u000a +p37931 +tp37932 +a(g705 +g1040 +tp37933 +a(g681 +Vdefun +p37934 +tp37935 +a(g826 +g1044 +tp37936 +a(g440 +Vsyntax-class +p37937 +tp37938 +a(g826 +g1044 +tp37939 +a(g705 +g1040 +tp37940 +a(g440 +Vsyntax +p37941 +tp37942 +a(g705 +g1064 +tp37943 +a(g826 +V\u000a +p37944 +tp37945 +a(g89 +g1068 +tp37946 +a(g89 +VReturn the code for the syntax class described by SYNTAX.\u000a\u000aSYNTAX should be a raw syntax descriptor; the return value is a\u000ainteger which encodes the corresponding syntax class. See Info\u000anode +p37947 +tp37948 +a(g89 +g1128 +tp37949 +a(g89 +V(elisp)Syntax Table Internals' for a list of codes.\u000a\u000aIf SYNTAX is nil, return nil. +p37950 +tp37951 +a(g89 +g1068 +tp37952 +a(g826 +V\u000a +p37953 +tp37954 +a(g705 +g1040 +tp37955 +a(g744 +Vand +p37956 +tp37957 +a(g826 +g1044 +tp37958 +a(g440 +Vsyntax +p37959 +tp37960 +a(g826 +g1044 +tp37961 +a(g705 +g1040 +tp37962 +a(g573 +Vlogand +p37963 +tp37964 +a(g826 +g1044 +tp37965 +a(g705 +g1040 +tp37966 +a(g573 +Vcar +p37967 +tp37968 +a(g826 +g1044 +tp37969 +a(g440 +Vsyntax +p37970 +tp37971 +a(g705 +g1064 +tp37972 +a(g826 +g1044 +tp37973 +a(g37 +V65535 +p37974 +tp37975 +a(g705 +g1064 +tp37976 +a(g705 +g1064 +tp37977 +a(g705 +g1064 +tp37978 +a(g826 +V\u000a \u000a +p37979 +tp37980 +a(g8 +V;; Utility motion commands +p37981 +tp37982 +a(g826 +V\u000a\u000a +p37983 +tp37984 +a(g8 +V;; Whitespace +p37985 +tp37986 +a(g826 +V\u000a\u000a +p37987 +tp37988 +a(g705 +g1040 +tp37989 +a(g681 +Vdefun +p37990 +tp37991 +a(g826 +g1044 +tp37992 +a(g440 +Vforward-whitespace +p37993 +tp37994 +a(g826 +g1044 +tp37995 +a(g705 +g1040 +tp37996 +a(g440 +Varg +p37997 +tp37998 +a(g705 +g1064 +tp37999 +a(g826 +V\u000a +p38000 +tp38001 +a(g89 +g1068 +tp38002 +a(g89 +VMove point to the end of the next sequence of whitespace chars.\u000aEach such sequence may be a single newline, or a sequence of\u000aconsecutive space and/or tab characters.\u000aWith prefix argument ARG, do it ARG times if positive, or move\u000abackwards ARG times if negative. +p38003 +tp38004 +a(g89 +g1068 +tp38005 +a(g826 +V\u000a +p38006 +tp38007 +a(g705 +g1040 +tp38008 +a(g744 +Vinteractive +p38009 +tp38010 +a(g826 +g1044 +tp38011 +a(g89 +g1068 +tp38012 +a(g89 +V^p +p38013 +tp38014 +a(g89 +g1068 +tp38015 +a(g705 +g1064 +tp38016 +a(g826 +V\u000a +p38017 +tp38018 +a(g705 +g1040 +tp38019 +a(g744 +Vif +p38020 +tp38021 +a(g826 +g1044 +tp38022 +a(g705 +g1040 +tp38023 +a(g573 +Vnatnump +p38024 +tp38025 +a(g826 +g1044 +tp38026 +a(g440 +Varg +p38027 +tp38028 +a(g705 +g1064 +tp38029 +a(g826 +V\u000a +p38030 +tp38031 +a(g705 +g1040 +tp38032 +a(g573 +Vre-search-forward +p38033 +tp38034 +a(g826 +g1044 +tp38035 +a(g89 +g1068 +tp38036 +a(g89 +V[ +p38037 +tp38038 +a(g89 +V\u005ct +p38039 +tp38040 +a(g89 +V]+ +p38041 +tp38042 +a(g89 +V\u005c\u005c +p38043 +tp38044 +a(g89 +g34128 +tp38045 +a(g89 +V\u005cn +p38046 +tp38047 +a(g89 +g1068 +tp38048 +a(g826 +g1044 +tp38049 +a(g565 +Vnil +p38050 +tp38051 +a(g826 +g1044 +tp38052 +a(g117 +V'move +p38053 +tp38054 +a(g826 +g1044 +tp38055 +a(g440 +Varg +p38056 +tp38057 +a(g705 +g1064 +tp38058 +a(g826 +V\u000a +p38059 +tp38060 +a(g705 +g1040 +tp38061 +a(g744 +Vwhile +p38062 +tp38063 +a(g826 +g1044 +tp38064 +a(g705 +g1040 +tp38065 +a(g573 +g2719 +tp38066 +a(g826 +g1044 +tp38067 +a(g440 +Varg +p38068 +tp38069 +a(g826 +g1044 +tp38070 +a(g37 +g2628 +tp38071 +a(g705 +g1064 +tp38072 +a(g826 +V\u000a +p38073 +tp38074 +a(g705 +g1040 +tp38075 +a(g744 +Vif +p38076 +tp38077 +a(g826 +g1044 +tp38078 +a(g705 +g1040 +tp38079 +a(g573 +Vre-search-backward +p38080 +tp38081 +a(g826 +g1044 +tp38082 +a(g89 +g1068 +tp38083 +a(g89 +V[ +p38084 +tp38085 +a(g89 +V\u005ct +p38086 +tp38087 +a(g89 +V]+ +p38088 +tp38089 +a(g89 +V\u005c\u005c +p38090 +tp38091 +a(g89 +g34128 +tp38092 +a(g89 +V\u005cn +p38093 +tp38094 +a(g89 +g1068 +tp38095 +a(g826 +g1044 +tp38096 +a(g565 +Vnil +p38097 +tp38098 +a(g826 +g1044 +tp38099 +a(g117 +V'move +p38100 +tp38101 +a(g705 +g1064 +tp38102 +a(g826 +V\u000a +p38103 +tp38104 +a(g705 +g1040 +tp38105 +a(g744 +Vor +p38106 +tp38107 +a(g826 +g1044 +tp38108 +a(g705 +g1040 +tp38109 +a(g573 +Veq +p38110 +tp38111 +a(g826 +g1044 +tp38112 +a(g705 +g1040 +tp38113 +a(g573 +Vchar-after +p38114 +tp38115 +a(g826 +g1044 +tp38116 +a(g705 +g1040 +tp38117 +a(g573 +Vmatch-beginning +p38118 +tp38119 +a(g826 +g1044 +tp38120 +a(g37 +g2628 +tp38121 +a(g705 +g1064 +tp38122 +a(g705 +g1064 +tp38123 +a(g826 +g1044 +tp38124 +a(g93 +V?\u005cn +p38125 +tp38126 +a(g705 +g1064 +tp38127 +a(g826 +V\u000a +p38128 +tp38129 +a(g705 +g1040 +tp38130 +a(g573 +Vskip-chars-backward +p38131 +tp38132 +a(g826 +g1044 +tp38133 +a(g89 +g1068 +tp38134 +a(g89 +g1044 +tp38135 +a(g89 +V\u005ct +p38136 +tp38137 +a(g89 +g1068 +tp38138 +a(g705 +g1064 +tp38139 +a(g705 +g1064 +tp38140 +a(g705 +g1064 +tp38141 +a(g826 +V\u000a +p38142 +tp38143 +a(g705 +g1040 +tp38144 +a(g744 +Vsetq +p38145 +tp38146 +a(g826 +g1044 +tp38147 +a(g440 +Varg +p38148 +tp38149 +a(g826 +g1044 +tp38150 +a(g705 +g1040 +tp38151 +a(g573 +V1+ +p38152 +tp38153 +a(g826 +g1044 +tp38154 +a(g440 +Varg +p38155 +tp38156 +a(g705 +g1064 +tp38157 +a(g705 +g1064 +tp38158 +a(g705 +g1064 +tp38159 +a(g705 +g1064 +tp38160 +a(g705 +g1064 +tp38161 +a(g826 +V\u000a\u000a +p38162 +tp38163 +a(g8 +V;; Symbols +p38164 +tp38165 +a(g826 +V\u000a\u000a +p38166 +tp38167 +a(g705 +g1040 +tp38168 +a(g681 +Vdefun +p38169 +tp38170 +a(g826 +g1044 +tp38171 +a(g440 +Vforward-symbol +p38172 +tp38173 +a(g826 +g1044 +tp38174 +a(g705 +g1040 +tp38175 +a(g440 +Varg +p38176 +tp38177 +a(g705 +g1064 +tp38178 +a(g826 +V\u000a +p38179 +tp38180 +a(g89 +g1068 +tp38181 +a(g89 +VMove point to the next position that is the end of a symbol.\u000aA symbol is any sequence of characters that are in either the\u000aword constituent or symbol constituent syntax class.\u000aWith prefix argument ARG, do it ARG times if positive, or move\u000abackwards ARG times if negative. +p38182 +tp38183 +a(g89 +g1068 +tp38184 +a(g826 +V\u000a +p38185 +tp38186 +a(g705 +g1040 +tp38187 +a(g744 +Vinteractive +p38188 +tp38189 +a(g826 +g1044 +tp38190 +a(g89 +g1068 +tp38191 +a(g89 +V^p +p38192 +tp38193 +a(g89 +g1068 +tp38194 +a(g705 +g1064 +tp38195 +a(g826 +V\u000a +p38196 +tp38197 +a(g705 +g1040 +tp38198 +a(g744 +Vif +p38199 +tp38200 +a(g826 +g1044 +tp38201 +a(g705 +g1040 +tp38202 +a(g573 +Vnatnump +p38203 +tp38204 +a(g826 +g1044 +tp38205 +a(g440 +Varg +p38206 +tp38207 +a(g705 +g1064 +tp38208 +a(g826 +V\u000a +p38209 +tp38210 +a(g705 +g1040 +tp38211 +a(g573 +Vre-search-forward +p38212 +tp38213 +a(g826 +g1044 +tp38214 +a(g89 +g1068 +tp38215 +a(g89 +V\u005c\u005c +p38216 +tp38217 +a(g89 +g1040 +tp38218 +a(g89 +V\u005c\u005c +p38219 +tp38220 +a(g89 +Vsw +p38221 +tp38222 +a(g89 +V\u005c\u005c +p38223 +tp38224 +a(g89 +g34128 +tp38225 +a(g89 +V\u005c\u005c +p38226 +tp38227 +a(g89 +Vs_ +p38228 +tp38229 +a(g89 +V\u005c\u005c +p38230 +tp38231 +a(g89 +V)+ +p38232 +tp38233 +a(g89 +g1068 +tp38234 +a(g826 +g1044 +tp38235 +a(g565 +Vnil +p38236 +tp38237 +a(g826 +g1044 +tp38238 +a(g117 +V'move +p38239 +tp38240 +a(g826 +g1044 +tp38241 +a(g440 +Varg +p38242 +tp38243 +a(g705 +g1064 +tp38244 +a(g826 +V\u000a +p38245 +tp38246 +a(g705 +g1040 +tp38247 +a(g744 +Vwhile +p38248 +tp38249 +a(g826 +g1044 +tp38250 +a(g705 +g1040 +tp38251 +a(g573 +g2719 +tp38252 +a(g826 +g1044 +tp38253 +a(g440 +Varg +p38254 +tp38255 +a(g826 +g1044 +tp38256 +a(g37 +g2628 +tp38257 +a(g705 +g1064 +tp38258 +a(g826 +V\u000a +p38259 +tp38260 +a(g705 +g1040 +tp38261 +a(g744 +Vif +p38262 +tp38263 +a(g826 +g1044 +tp38264 +a(g705 +g1040 +tp38265 +a(g573 +Vre-search-backward +p38266 +tp38267 +a(g826 +g1044 +tp38268 +a(g89 +g1068 +tp38269 +a(g89 +V\u005c\u005c +p38270 +tp38271 +a(g89 +g1040 +tp38272 +a(g89 +V\u005c\u005c +p38273 +tp38274 +a(g89 +Vsw +p38275 +tp38276 +a(g89 +V\u005c\u005c +p38277 +tp38278 +a(g89 +g34128 +tp38279 +a(g89 +V\u005c\u005c +p38280 +tp38281 +a(g89 +Vs_ +p38282 +tp38283 +a(g89 +V\u005c\u005c +p38284 +tp38285 +a(g89 +V)+ +p38286 +tp38287 +a(g89 +g1068 +tp38288 +a(g826 +g1044 +tp38289 +a(g565 +Vnil +p38290 +tp38291 +a(g826 +g1044 +tp38292 +a(g117 +V'move +p38293 +tp38294 +a(g705 +g1064 +tp38295 +a(g826 +V\u000a +p38296 +tp38297 +a(g705 +g1040 +tp38298 +a(g573 +Vskip-syntax-backward +p38299 +tp38300 +a(g826 +g1044 +tp38301 +a(g89 +g1068 +tp38302 +a(g89 +Vw_ +p38303 +tp38304 +a(g89 +g1068 +tp38305 +a(g705 +g1064 +tp38306 +a(g705 +g1064 +tp38307 +a(g826 +V\u000a +p38308 +tp38309 +a(g705 +g1040 +tp38310 +a(g744 +Vsetq +p38311 +tp38312 +a(g826 +g1044 +tp38313 +a(g440 +Varg +p38314 +tp38315 +a(g826 +g1044 +tp38316 +a(g705 +g1040 +tp38317 +a(g573 +V1+ +p38318 +tp38319 +a(g826 +g1044 +tp38320 +a(g440 +Varg +p38321 +tp38322 +a(g705 +g1064 +tp38323 +a(g705 +g1064 +tp38324 +a(g705 +g1064 +tp38325 +a(g705 +g1064 +tp38326 +a(g705 +g1064 +tp38327 +a(g826 +V\u000a\u000a +p38328 +tp38329 +a(g8 +V;; Syntax blocks +p38330 +tp38331 +a(g826 +V\u000a\u000a +p38332 +tp38333 +a(g705 +g1040 +tp38334 +a(g681 +Vdefun +p38335 +tp38336 +a(g826 +g1044 +tp38337 +a(g440 +Vforward-same-syntax +p38338 +tp38339 +a(g826 +g1044 +tp38340 +a(g705 +g1040 +tp38341 +a(g785 +V&optional +p38342 +tp38343 +a(g826 +g1044 +tp38344 +a(g440 +Varg +p38345 +tp38346 +a(g705 +g1064 +tp38347 +a(g826 +V\u000a +p38348 +tp38349 +a(g89 +g1068 +tp38350 +a(g89 +VMove point past all characters with the same syntax class.\u000aWith prefix argument ARG, do it ARG times if positive, or move\u000abackwards ARG times if negative. +p38351 +tp38352 +a(g89 +g1068 +tp38353 +a(g826 +V\u000a +p38354 +tp38355 +a(g705 +g1040 +tp38356 +a(g744 +Vinteractive +p38357 +tp38358 +a(g826 +g1044 +tp38359 +a(g89 +g1068 +tp38360 +a(g89 +V^p +p38361 +tp38362 +a(g89 +g1068 +tp38363 +a(g705 +g1064 +tp38364 +a(g826 +V\u000a +p38365 +tp38366 +a(g705 +g1040 +tp38367 +a(g744 +Vor +p38368 +tp38369 +a(g826 +g1044 +tp38370 +a(g440 +Varg +p38371 +tp38372 +a(g826 +g1044 +tp38373 +a(g705 +g1040 +tp38374 +a(g744 +Vsetq +p38375 +tp38376 +a(g826 +g1044 +tp38377 +a(g440 +Varg +p38378 +tp38379 +a(g826 +g1044 +tp38380 +a(g37 +g2048 +tp38381 +a(g705 +g1064 +tp38382 +a(g705 +g1064 +tp38383 +a(g826 +V\u000a +p38384 +tp38385 +a(g705 +g1040 +tp38386 +a(g744 +Vwhile +p38387 +tp38388 +a(g826 +g1044 +tp38389 +a(g705 +g1040 +tp38390 +a(g573 +g2719 +tp38391 +a(g826 +g1044 +tp38392 +a(g440 +Varg +p38393 +tp38394 +a(g826 +g1044 +tp38395 +a(g37 +g2628 +tp38396 +a(g705 +g1064 +tp38397 +a(g826 +V\u000a +p38398 +tp38399 +a(g705 +g1040 +tp38400 +a(g573 +Vskip-syntax-backward +p38401 +tp38402 +a(g826 +V\u000a +p38403 +tp38404 +a(g705 +g1040 +tp38405 +a(g573 +Vchar-to-string +p38406 +tp38407 +a(g826 +g1044 +tp38408 +a(g705 +g1040 +tp38409 +a(g573 +Vchar-syntax +p38410 +tp38411 +a(g826 +g1044 +tp38412 +a(g705 +g1040 +tp38413 +a(g573 +Vchar-before +p38414 +tp38415 +a(g705 +g1064 +tp38416 +a(g705 +g1064 +tp38417 +a(g705 +g1064 +tp38418 +a(g705 +g1064 +tp38419 +a(g826 +V\u000a +p38420 +tp38421 +a(g705 +g1040 +tp38422 +a(g744 +Vsetq +p38423 +tp38424 +a(g826 +g1044 +tp38425 +a(g440 +Varg +p38426 +tp38427 +a(g826 +g1044 +tp38428 +a(g705 +g1040 +tp38429 +a(g573 +V1+ +p38430 +tp38431 +a(g826 +g1044 +tp38432 +a(g440 +Varg +p38433 +tp38434 +a(g705 +g1064 +tp38435 +a(g705 +g1064 +tp38436 +a(g705 +g1064 +tp38437 +a(g826 +V\u000a +p38438 +tp38439 +a(g705 +g1040 +tp38440 +a(g744 +Vwhile +p38441 +tp38442 +a(g826 +g1044 +tp38443 +a(g705 +g1040 +tp38444 +a(g573 +g3989 +tp38445 +a(g826 +g1044 +tp38446 +a(g440 +Varg +p38447 +tp38448 +a(g826 +g1044 +tp38449 +a(g37 +g2628 +tp38450 +a(g705 +g1064 +tp38451 +a(g826 +V\u000a +p38452 +tp38453 +a(g705 +g1040 +tp38454 +a(g573 +Vskip-syntax-forward +p38455 +tp38456 +a(g826 +g1044 +tp38457 +a(g705 +g1040 +tp38458 +a(g573 +Vchar-to-string +p38459 +tp38460 +a(g826 +g1044 +tp38461 +a(g705 +g1040 +tp38462 +a(g573 +Vchar-syntax +p38463 +tp38464 +a(g826 +g1044 +tp38465 +a(g705 +g1040 +tp38466 +a(g573 +Vchar-after +p38467 +tp38468 +a(g705 +g1064 +tp38469 +a(g705 +g1064 +tp38470 +a(g705 +g1064 +tp38471 +a(g705 +g1064 +tp38472 +a(g826 +V\u000a +p38473 +tp38474 +a(g705 +g1040 +tp38475 +a(g744 +Vsetq +p38476 +tp38477 +a(g826 +g1044 +tp38478 +a(g440 +Varg +p38479 +tp38480 +a(g826 +g1044 +tp38481 +a(g705 +g1040 +tp38482 +a(g573 +V1- +p38483 +tp38484 +a(g826 +g1044 +tp38485 +a(g440 +Varg +p38486 +tp38487 +a(g705 +g1064 +tp38488 +a(g705 +g1064 +tp38489 +a(g705 +g1064 +tp38490 +a(g705 +g1064 +tp38491 +a(g826 +V\u000a\u000a \u000a +p38492 +tp38493 +a(g8 +V;;;; Text clones +p38494 +tp38495 +a(g826 +V\u000a\u000a +p38496 +tp38497 +a(g705 +g1040 +tp38498 +a(g744 +Vdefvar +p38499 +tp38500 +a(g826 +g1044 +tp38501 +a(g440 +Vtext-clone--maintaining +p38502 +tp38503 +a(g826 +g1044 +tp38504 +a(g565 +Vnil +p38505 +tp38506 +a(g705 +g1064 +tp38507 +a(g826 +V\u000a\u000a +p38508 +tp38509 +a(g705 +g1040 +tp38510 +a(g681 +Vdefun +p38511 +tp38512 +a(g826 +g1044 +tp38513 +a(g440 +Vtext-clone--maintain +p38514 +tp38515 +a(g826 +g1044 +tp38516 +a(g705 +g1040 +tp38517 +a(g440 +Vol1 +p38518 +tp38519 +a(g826 +g1044 +tp38520 +a(g440 +Vafter +p38521 +tp38522 +a(g826 +g1044 +tp38523 +a(g440 +Vbeg +p38524 +tp38525 +a(g826 +g1044 +tp38526 +a(g440 +Vend +p38527 +tp38528 +a(g826 +g1044 +tp38529 +a(g785 +V&optional +p38530 +tp38531 +a(g826 +g1044 +tp38532 +a(g440 +V_len +p38533 +tp38534 +a(g705 +g1064 +tp38535 +a(g826 +V\u000a +p38536 +tp38537 +a(g89 +g1068 +tp38538 +a(g89 +VPropagate the changes made under the overlay OL1 to the other clones.\u000aThis is used on the +p38539 +tp38540 +a(g117 +V`modification-hooks' +p38541 +tp38542 +a(g89 +V property of text clones. +p38543 +tp38544 +a(g89 +g1068 +tp38545 +a(g826 +V\u000a +p38546 +tp38547 +a(g705 +g1040 +tp38548 +a(g681 +Vwhen +p38549 +tp38550 +a(g826 +g1044 +tp38551 +a(g705 +g1040 +tp38552 +a(g744 +Vand +p38553 +tp38554 +a(g826 +g1044 +tp38555 +a(g440 +Vafter +p38556 +tp38557 +a(g826 +g1044 +tp38558 +a(g705 +g1040 +tp38559 +a(g440 +Vnot +p38560 +tp38561 +a(g826 +g1044 +tp38562 +a(g440 +Vundo-in-progress +p38563 +tp38564 +a(g705 +g1064 +tp38565 +a(g826 +V\u000a +p38566 +tp38567 +a(g705 +g1040 +tp38568 +a(g440 +Vnot +p38569 +tp38570 +a(g826 +g1044 +tp38571 +a(g440 +Vtext-clone--maintaining +p38572 +tp38573 +a(g705 +g1064 +tp38574 +a(g826 +V\u000a +p38575 +tp38576 +a(g705 +g1040 +tp38577 +a(g573 +Voverlay-start +p38578 +tp38579 +a(g826 +g1044 +tp38580 +a(g440 +Vol1 +p38581 +tp38582 +a(g705 +g1064 +tp38583 +a(g705 +g1064 +tp38584 +a(g826 +V\u000a +p38585 +tp38586 +a(g705 +g1040 +tp38587 +a(g744 +Vlet +p38588 +tp38589 +a(g826 +g1044 +tp38590 +a(g705 +g1040 +tp38591 +a(g705 +g1040 +tp38592 +a(g440 +Vmargin +p38593 +tp38594 +a(g826 +g1044 +tp38595 +a(g705 +g1040 +tp38596 +a(g744 +Vif +p38597 +tp38598 +a(g826 +g1044 +tp38599 +a(g705 +g1040 +tp38600 +a(g573 +Voverlay-get +p38601 +tp38602 +a(g826 +g1044 +tp38603 +a(g440 +Vol1 +p38604 +tp38605 +a(g826 +g1044 +tp38606 +a(g117 +V'text-clone-spreadp +p38607 +tp38608 +a(g705 +g1064 +tp38609 +a(g826 +g1044 +tp38610 +a(g37 +g2048 +tp38611 +a(g826 +g1044 +tp38612 +a(g37 +g2628 +tp38613 +a(g705 +g1064 +tp38614 +a(g705 +g1064 +tp38615 +a(g705 +g1064 +tp38616 +a(g826 +V\u000a +p38617 +tp38618 +a(g705 +g1040 +tp38619 +a(g744 +Vsetq +p38620 +tp38621 +a(g826 +g1044 +tp38622 +a(g440 +Vbeg +p38623 +tp38624 +a(g826 +g1044 +tp38625 +a(g705 +g1040 +tp38626 +a(g573 +Vmax +p38627 +tp38628 +a(g826 +g1044 +tp38629 +a(g440 +Vbeg +p38630 +tp38631 +a(g826 +g1044 +tp38632 +a(g705 +g1040 +tp38633 +a(g573 +g4607 +tp38634 +a(g826 +g1044 +tp38635 +a(g705 +g1040 +tp38636 +a(g573 +Voverlay-start +p38637 +tp38638 +a(g826 +g1044 +tp38639 +a(g440 +Vol1 +p38640 +tp38641 +a(g705 +g1064 +tp38642 +a(g826 +g1044 +tp38643 +a(g440 +Vmargin +p38644 +tp38645 +a(g705 +g1064 +tp38646 +a(g705 +g1064 +tp38647 +a(g705 +g1064 +tp38648 +a(g826 +V\u000a +p38649 +tp38650 +a(g705 +g1040 +tp38651 +a(g744 +Vsetq +p38652 +tp38653 +a(g826 +g1044 +tp38654 +a(g440 +Vend +p38655 +tp38656 +a(g826 +g1044 +tp38657 +a(g705 +g1040 +tp38658 +a(g573 +Vmin +p38659 +tp38660 +a(g826 +g1044 +tp38661 +a(g440 +Vend +p38662 +tp38663 +a(g826 +g1044 +tp38664 +a(g705 +g1040 +tp38665 +a(g573 +g3788 +tp38666 +a(g826 +g1044 +tp38667 +a(g705 +g1040 +tp38668 +a(g573 +Voverlay-end +p38669 +tp38670 +a(g826 +g1044 +tp38671 +a(g440 +Vol1 +p38672 +tp38673 +a(g705 +g1064 +tp38674 +a(g826 +g1044 +tp38675 +a(g440 +Vmargin +p38676 +tp38677 +a(g705 +g1064 +tp38678 +a(g705 +g1064 +tp38679 +a(g705 +g1064 +tp38680 +a(g826 +V\u000a +p38681 +tp38682 +a(g705 +g1040 +tp38683 +a(g681 +Vwhen +p38684 +tp38685 +a(g826 +g1044 +tp38686 +a(g705 +g1040 +tp38687 +a(g573 +V<= +p38688 +tp38689 +a(g826 +g1044 +tp38690 +a(g440 +Vbeg +p38691 +tp38692 +a(g826 +g1044 +tp38693 +a(g440 +Vend +p38694 +tp38695 +a(g705 +g1064 +tp38696 +a(g826 +V\u000a +p38697 +tp38698 +a(g705 +g1040 +tp38699 +a(g744 +Vsave-excursion +p38700 +tp38701 +a(g826 +V\u000a +p38702 +tp38703 +a(g705 +g1040 +tp38704 +a(g681 +Vwhen +p38705 +tp38706 +a(g826 +g1044 +tp38707 +a(g705 +g1040 +tp38708 +a(g573 +Voverlay-get +p38709 +tp38710 +a(g826 +g1044 +tp38711 +a(g440 +Vol1 +p38712 +tp38713 +a(g826 +g1044 +tp38714 +a(g117 +V'text-clone-syntax +p38715 +tp38716 +a(g705 +g1064 +tp38717 +a(g826 +V\u000a +p38718 +tp38719 +a(g8 +V;; Check content of the clone's text. +p38720 +tp38721 +a(g826 +V\u000a +p38722 +tp38723 +a(g705 +g1040 +tp38724 +a(g744 +Vlet +p38725 +tp38726 +a(g826 +g1044 +tp38727 +a(g705 +g1040 +tp38728 +a(g705 +g1040 +tp38729 +a(g440 +Vcbeg +p38730 +tp38731 +a(g826 +g1044 +tp38732 +a(g705 +g1040 +tp38733 +a(g573 +g4607 +tp38734 +a(g826 +g1044 +tp38735 +a(g705 +g1040 +tp38736 +a(g573 +Voverlay-start +p38737 +tp38738 +a(g826 +g1044 +tp38739 +a(g440 +Vol1 +p38740 +tp38741 +a(g705 +g1064 +tp38742 +a(g826 +g1044 +tp38743 +a(g440 +Vmargin +p38744 +tp38745 +a(g705 +g1064 +tp38746 +a(g705 +g1064 +tp38747 +a(g826 +V\u000a +p38748 +tp38749 +a(g705 +g1040 +tp38750 +a(g440 +Vcend +p38751 +tp38752 +a(g826 +g1044 +tp38753 +a(g705 +g1040 +tp38754 +a(g573 +g3788 +tp38755 +a(g826 +g1044 +tp38756 +a(g705 +g1040 +tp38757 +a(g573 +Voverlay-end +p38758 +tp38759 +a(g826 +g1044 +tp38760 +a(g440 +Vol1 +p38761 +tp38762 +a(g705 +g1064 +tp38763 +a(g826 +g1044 +tp38764 +a(g440 +Vmargin +p38765 +tp38766 +a(g705 +g1064 +tp38767 +a(g705 +g1064 +tp38768 +a(g705 +g1064 +tp38769 +a(g826 +V\u000a +p38770 +tp38771 +a(g705 +g1040 +tp38772 +a(g573 +Vgoto-char +p38773 +tp38774 +a(g826 +g1044 +tp38775 +a(g440 +Vcbeg +p38776 +tp38777 +a(g705 +g1064 +tp38778 +a(g826 +V\u000a +p38779 +tp38780 +a(g705 +g1040 +tp38781 +a(g681 +Vsave-match-data +p38782 +tp38783 +a(g826 +V\u000a +p38784 +tp38785 +a(g705 +g1040 +tp38786 +a(g744 +Vif +p38787 +tp38788 +a(g826 +g1044 +tp38789 +a(g705 +g1040 +tp38790 +a(g440 +Vnot +p38791 +tp38792 +a(g826 +g1044 +tp38793 +a(g705 +g1040 +tp38794 +a(g573 +Vre-search-forward +p38795 +tp38796 +a(g826 +V\u000a +p38797 +tp38798 +a(g705 +g1040 +tp38799 +a(g573 +Voverlay-get +p38800 +tp38801 +a(g826 +g1044 +tp38802 +a(g440 +Vol1 +p38803 +tp38804 +a(g826 +g1044 +tp38805 +a(g117 +V'text-clone-syntax +p38806 +tp38807 +a(g705 +g1064 +tp38808 +a(g826 +g1044 +tp38809 +a(g440 +Vcend +p38810 +tp38811 +a(g826 +g1044 +tp38812 +a(g565 +g1187 +tp38813 +a(g705 +g1064 +tp38814 +a(g705 +g1064 +tp38815 +a(g826 +V\u000a +p38816 +tp38817 +a(g8 +V;; Mark the overlay for deletion. +p38818 +tp38819 +a(g826 +V\u000a +p38820 +tp38821 +a(g705 +g1040 +tp38822 +a(g744 +Vsetq +p38823 +tp38824 +a(g826 +g1044 +tp38825 +a(g440 +Vend +p38826 +tp38827 +a(g826 +g1044 +tp38828 +a(g440 +Vcbeg +p38829 +tp38830 +a(g705 +g1064 +tp38831 +a(g826 +V\u000a +p38832 +tp38833 +a(g705 +g1040 +tp38834 +a(g681 +Vwhen +p38835 +tp38836 +a(g826 +g1044 +tp38837 +a(g705 +g1040 +tp38838 +a(g573 +g2719 +tp38839 +a(g826 +g1044 +tp38840 +a(g705 +g1040 +tp38841 +a(g573 +Vmatch-end +p38842 +tp38843 +a(g826 +g1044 +tp38844 +a(g37 +g2628 +tp38845 +a(g705 +g1064 +tp38846 +a(g826 +g1044 +tp38847 +a(g440 +Vcend +p38848 +tp38849 +a(g705 +g1064 +tp38850 +a(g826 +V\u000a +p38851 +tp38852 +a(g8 +V;; Shrink the clone at its end. +p38853 +tp38854 +a(g826 +V\u000a +p38855 +tp38856 +a(g705 +g1040 +tp38857 +a(g744 +Vsetq +p38858 +tp38859 +a(g826 +g1044 +tp38860 +a(g440 +Vend +p38861 +tp38862 +a(g826 +g1044 +tp38863 +a(g705 +g1040 +tp38864 +a(g573 +Vmin +p38865 +tp38866 +a(g826 +g1044 +tp38867 +a(g440 +Vend +p38868 +tp38869 +a(g826 +g1044 +tp38870 +a(g705 +g1040 +tp38871 +a(g573 +Vmatch-end +p38872 +tp38873 +a(g826 +g1044 +tp38874 +a(g37 +g2628 +tp38875 +a(g705 +g1064 +tp38876 +a(g705 +g1064 +tp38877 +a(g705 +g1064 +tp38878 +a(g826 +V\u000a +p38879 +tp38880 +a(g705 +g1040 +tp38881 +a(g573 +Vmove-overlay +p38882 +tp38883 +a(g826 +g1044 +tp38884 +a(g440 +Vol1 +p38885 +tp38886 +a(g826 +g1044 +tp38887 +a(g705 +g1040 +tp38888 +a(g573 +Voverlay-start +p38889 +tp38890 +a(g826 +g1044 +tp38891 +a(g440 +Vol1 +p38892 +tp38893 +a(g705 +g1064 +tp38894 +a(g826 +V\u000a +p38895 +tp38896 +a(g705 +g1040 +tp38897 +a(g573 +g4607 +tp38898 +a(g826 +g1044 +tp38899 +a(g705 +g1040 +tp38900 +a(g573 +Vmatch-end +p38901 +tp38902 +a(g826 +g1044 +tp38903 +a(g37 +g2628 +tp38904 +a(g705 +g1064 +tp38905 +a(g826 +g1044 +tp38906 +a(g440 +Vmargin +p38907 +tp38908 +a(g705 +g1064 +tp38909 +a(g705 +g1064 +tp38910 +a(g705 +g1064 +tp38911 +a(g826 +V\u000a +p38912 +tp38913 +a(g705 +g1040 +tp38914 +a(g681 +Vwhen +p38915 +tp38916 +a(g826 +g1044 +tp38917 +a(g705 +g1040 +tp38918 +a(g573 +g3989 +tp38919 +a(g826 +g1044 +tp38920 +a(g705 +g1040 +tp38921 +a(g573 +Vmatch-beginning +p38922 +tp38923 +a(g826 +g1044 +tp38924 +a(g37 +g2628 +tp38925 +a(g705 +g1064 +tp38926 +a(g826 +g1044 +tp38927 +a(g440 +Vcbeg +p38928 +tp38929 +a(g705 +g1064 +tp38930 +a(g826 +V\u000a +p38931 +tp38932 +a(g8 +V;; Shrink the clone at its beginning. +p38933 +tp38934 +a(g826 +V\u000a +p38935 +tp38936 +a(g705 +g1040 +tp38937 +a(g744 +Vsetq +p38938 +tp38939 +a(g826 +g1044 +tp38940 +a(g440 +Vbeg +p38941 +tp38942 +a(g826 +g1044 +tp38943 +a(g705 +g1040 +tp38944 +a(g573 +Vmax +p38945 +tp38946 +a(g826 +g1044 +tp38947 +a(g705 +g1040 +tp38948 +a(g573 +Vmatch-beginning +p38949 +tp38950 +a(g826 +g1044 +tp38951 +a(g37 +g2628 +tp38952 +a(g705 +g1064 +tp38953 +a(g826 +g1044 +tp38954 +a(g440 +Vbeg +p38955 +tp38956 +a(g705 +g1064 +tp38957 +a(g705 +g1064 +tp38958 +a(g826 +V\u000a +p38959 +tp38960 +a(g705 +g1040 +tp38961 +a(g573 +Vmove-overlay +p38962 +tp38963 +a(g826 +g1044 +tp38964 +a(g440 +Vol1 +p38965 +tp38966 +a(g826 +g1044 +tp38967 +a(g705 +g1040 +tp38968 +a(g573 +g3788 +tp38969 +a(g826 +g1044 +tp38970 +a(g705 +g1040 +tp38971 +a(g573 +Vmatch-beginning +p38972 +tp38973 +a(g826 +g1044 +tp38974 +a(g37 +g2628 +tp38975 +a(g705 +g1064 +tp38976 +a(g826 +g1044 +tp38977 +a(g440 +Vmargin +p38978 +tp38979 +a(g705 +g1064 +tp38980 +a(g826 +V\u000a +p38981 +tp38982 +a(g705 +g1040 +tp38983 +a(g573 +Voverlay-end +p38984 +tp38985 +a(g826 +g1044 +tp38986 +a(g440 +Vol1 +p38987 +tp38988 +a(g705 +g1064 +tp38989 +a(g705 +g1064 +tp38990 +a(g705 +g1064 +tp38991 +a(g705 +g1064 +tp38992 +a(g705 +g1064 +tp38993 +a(g705 +g1064 +tp38994 +a(g705 +g1064 +tp38995 +a(g826 +V\u000a +p38996 +tp38997 +a(g8 +V;; Now go ahead and update the clones. +p38998 +tp38999 +a(g826 +V\u000a +p39000 +tp39001 +a(g705 +g1040 +tp39002 +a(g744 +Vlet +p39003 +tp39004 +a(g826 +g1044 +tp39005 +a(g705 +g1040 +tp39006 +a(g705 +g1040 +tp39007 +a(g440 +Vhead +p39008 +tp39009 +a(g826 +g1044 +tp39010 +a(g705 +g1040 +tp39011 +a(g573 +g3788 +tp39012 +a(g826 +g1044 +tp39013 +a(g440 +Vbeg +p39014 +tp39015 +a(g826 +g1044 +tp39016 +a(g705 +g1040 +tp39017 +a(g573 +Voverlay-start +p39018 +tp39019 +a(g826 +g1044 +tp39020 +a(g440 +Vol1 +p39021 +tp39022 +a(g705 +g1064 +tp39023 +a(g705 +g1064 +tp39024 +a(g705 +g1064 +tp39025 +a(g826 +V\u000a +p39026 +tp39027 +a(g705 +g1040 +tp39028 +a(g440 +Vtail +p39029 +tp39030 +a(g826 +g1044 +tp39031 +a(g705 +g1040 +tp39032 +a(g573 +g3788 +tp39033 +a(g826 +g1044 +tp39034 +a(g705 +g1040 +tp39035 +a(g573 +Voverlay-end +p39036 +tp39037 +a(g826 +g1044 +tp39038 +a(g440 +Vol1 +p39039 +tp39040 +a(g705 +g1064 +tp39041 +a(g826 +g1044 +tp39042 +a(g440 +Vend +p39043 +tp39044 +a(g705 +g1064 +tp39045 +a(g705 +g1064 +tp39046 +a(g826 +V\u000a +p39047 +tp39048 +a(g705 +g1040 +tp39049 +a(g440 +Vstr +p39050 +tp39051 +a(g826 +g1044 +tp39052 +a(g705 +g1040 +tp39053 +a(g573 +Vbuffer-substring +p39054 +tp39055 +a(g826 +g1044 +tp39056 +a(g440 +Vbeg +p39057 +tp39058 +a(g826 +g1044 +tp39059 +a(g440 +Vend +p39060 +tp39061 +a(g705 +g1064 +tp39062 +a(g705 +g1064 +tp39063 +a(g826 +V\u000a +p39064 +tp39065 +a(g705 +g1040 +tp39066 +a(g440 +Vnothing-left +p39067 +tp39068 +a(g826 +g1044 +tp39069 +a(g565 +g1187 +tp39070 +a(g705 +g1064 +tp39071 +a(g826 +V\u000a +p39072 +tp39073 +a(g705 +g1040 +tp39074 +a(g440 +Vtext-clone--maintaining +p39075 +tp39076 +a(g826 +g1044 +tp39077 +a(g565 +g1187 +tp39078 +a(g705 +g1064 +tp39079 +a(g705 +g1064 +tp39080 +a(g826 +V\u000a +p39081 +tp39082 +a(g705 +g1040 +tp39083 +a(g681 +Vdolist +p39084 +tp39085 +a(g826 +g1044 +tp39086 +a(g705 +g1040 +tp39087 +a(g440 +Vol2 +p39088 +tp39089 +a(g826 +g1044 +tp39090 +a(g705 +g1040 +tp39091 +a(g573 +Voverlay-get +p39092 +tp39093 +a(g826 +g1044 +tp39094 +a(g440 +Vol1 +p39095 +tp39096 +a(g826 +g1044 +tp39097 +a(g117 +V'text-clones +p39098 +tp39099 +a(g705 +g1064 +tp39100 +a(g705 +g1064 +tp39101 +a(g826 +V\u000a +p39102 +tp39103 +a(g705 +g1040 +tp39104 +a(g744 +Vlet +p39105 +tp39106 +a(g826 +g1044 +tp39107 +a(g705 +g1040 +tp39108 +a(g705 +g1040 +tp39109 +a(g440 +Voe +p39110 +tp39111 +a(g826 +g1044 +tp39112 +a(g705 +g1040 +tp39113 +a(g573 +Voverlay-end +p39114 +tp39115 +a(g826 +g1044 +tp39116 +a(g440 +Vol2 +p39117 +tp39118 +a(g705 +g1064 +tp39119 +a(g705 +g1064 +tp39120 +a(g705 +g1064 +tp39121 +a(g826 +V\u000a +p39122 +tp39123 +a(g705 +g1040 +tp39124 +a(g681 +Vunless +p39125 +tp39126 +a(g826 +g1044 +tp39127 +a(g705 +g1040 +tp39128 +a(g744 +Vor +p39129 +tp39130 +a(g826 +g1044 +tp39131 +a(g705 +g1040 +tp39132 +a(g573 +Veq +p39133 +tp39134 +a(g826 +g1044 +tp39135 +a(g440 +Vol1 +p39136 +tp39137 +a(g826 +g1044 +tp39138 +a(g440 +Vol2 +p39139 +tp39140 +a(g705 +g1064 +tp39141 +a(g826 +g1044 +tp39142 +a(g705 +g1040 +tp39143 +a(g573 +Vnull +p39144 +tp39145 +a(g826 +g1044 +tp39146 +a(g440 +Voe +p39147 +tp39148 +a(g705 +g1064 +tp39149 +a(g705 +g1064 +tp39150 +a(g826 +V\u000a +p39151 +tp39152 +a(g705 +g1040 +tp39153 +a(g744 +Vsetq +p39154 +tp39155 +a(g826 +g1044 +tp39156 +a(g440 +Vnothing-left +p39157 +tp39158 +a(g826 +g1044 +tp39159 +a(g565 +Vnil +p39160 +tp39161 +a(g705 +g1064 +tp39162 +a(g826 +V\u000a +p39163 +tp39164 +a(g705 +g1040 +tp39165 +a(g744 +Vlet +p39166 +tp39167 +a(g826 +g1044 +tp39168 +a(g705 +g1040 +tp39169 +a(g705 +g1040 +tp39170 +a(g440 +Vmod-beg +p39171 +tp39172 +a(g826 +g1044 +tp39173 +a(g705 +g1040 +tp39174 +a(g573 +g4607 +tp39175 +a(g826 +g1044 +tp39176 +a(g705 +g1040 +tp39177 +a(g573 +Voverlay-start +p39178 +tp39179 +a(g826 +g1044 +tp39180 +a(g440 +Vol2 +p39181 +tp39182 +a(g705 +g1064 +tp39183 +a(g826 +g1044 +tp39184 +a(g440 +Vhead +p39185 +tp39186 +a(g705 +g1064 +tp39187 +a(g705 +g1064 +tp39188 +a(g705 +g1064 +tp39189 +a(g826 +V\u000a +p39190 +tp39191 +a(g8 +V;;(overlay-put ol2 'modification-hooks nil) +p39192 +tp39193 +a(g826 +V\u000a +p39194 +tp39195 +a(g705 +g1040 +tp39196 +a(g573 +Vgoto-char +p39197 +tp39198 +a(g826 +g1044 +tp39199 +a(g705 +g1040 +tp39200 +a(g573 +g3788 +tp39201 +a(g826 +g1044 +tp39202 +a(g705 +g1040 +tp39203 +a(g573 +Voverlay-end +p39204 +tp39205 +a(g826 +g1044 +tp39206 +a(g440 +Vol2 +p39207 +tp39208 +a(g705 +g1064 +tp39209 +a(g826 +g1044 +tp39210 +a(g440 +Vtail +p39211 +tp39212 +a(g705 +g1064 +tp39213 +a(g705 +g1064 +tp39214 +a(g826 +V\u000a +p39215 +tp39216 +a(g705 +g1040 +tp39217 +a(g681 +Vunless +p39218 +tp39219 +a(g826 +g1044 +tp39220 +a(g705 +g1040 +tp39221 +a(g573 +g3989 +tp39222 +a(g826 +g1044 +tp39223 +a(g440 +Vmod-beg +p39224 +tp39225 +a(g826 +g1044 +tp39226 +a(g705 +g1040 +tp39227 +a(g573 +Vpoint +p39228 +tp39229 +a(g705 +g1064 +tp39230 +a(g705 +g1064 +tp39231 +a(g826 +V\u000a +p39232 +tp39233 +a(g705 +g1040 +tp39234 +a(g744 +Vsave-excursion +p39235 +tp39236 +a(g826 +g1044 +tp39237 +a(g705 +g1040 +tp39238 +a(g573 +Vinsert +p39239 +tp39240 +a(g826 +g1044 +tp39241 +a(g440 +Vstr +p39242 +tp39243 +a(g705 +g1064 +tp39244 +a(g705 +g1064 +tp39245 +a(g826 +V\u000a +p39246 +tp39247 +a(g705 +g1040 +tp39248 +a(g573 +Vdelete-region +p39249 +tp39250 +a(g826 +g1044 +tp39251 +a(g440 +Vmod-beg +p39252 +tp39253 +a(g826 +g1044 +tp39254 +a(g705 +g1040 +tp39255 +a(g573 +Vpoint +p39256 +tp39257 +a(g705 +g1064 +tp39258 +a(g705 +g1064 +tp39259 +a(g705 +g1064 +tp39260 +a(g826 +V\u000a +p39261 +tp39262 +a(g8 +V;;(overlay-put ol2 'modification-hooks '(text-clone--maintain)) +p39263 +tp39264 +a(g826 +V\u000a +p39265 +tp39266 +a(g705 +g1064 +tp39267 +a(g705 +g1064 +tp39268 +a(g705 +g1064 +tp39269 +a(g705 +g1064 +tp39270 +a(g826 +V\u000a +p39271 +tp39272 +a(g705 +g1040 +tp39273 +a(g744 +Vif +p39274 +tp39275 +a(g826 +g1044 +tp39276 +a(g440 +Vnothing-left +p39277 +tp39278 +a(g826 +g1044 +tp39279 +a(g705 +g1040 +tp39280 +a(g573 +Vdelete-overlay +p39281 +tp39282 +a(g826 +g1044 +tp39283 +a(g440 +Vol1 +p39284 +tp39285 +a(g705 +g1064 +tp39286 +a(g705 +g1064 +tp39287 +a(g705 +g1064 +tp39288 +a(g705 +g1064 +tp39289 +a(g705 +g1064 +tp39290 +a(g705 +g1064 +tp39291 +a(g705 +g1064 +tp39292 +a(g705 +g1064 +tp39293 +a(g826 +V\u000a\u000a +p39294 +tp39295 +a(g705 +g1040 +tp39296 +a(g681 +Vdefun +p39297 +tp39298 +a(g826 +g1044 +tp39299 +a(g440 +Vtext-clone-create +p39300 +tp39301 +a(g826 +g1044 +tp39302 +a(g705 +g1040 +tp39303 +a(g440 +Vstart +p39304 +tp39305 +a(g826 +g1044 +tp39306 +a(g440 +Vend +p39307 +tp39308 +a(g826 +g1044 +tp39309 +a(g785 +V&optional +p39310 +tp39311 +a(g826 +g1044 +tp39312 +a(g440 +Vspreadp +p39313 +tp39314 +a(g826 +g1044 +tp39315 +a(g440 +Vsyntax +p39316 +tp39317 +a(g705 +g1064 +tp39318 +a(g826 +V\u000a +p39319 +tp39320 +a(g89 +g1068 +tp39321 +a(g89 +VCreate a text clone of START...END at point.\u000aText clones are chunks of text that are automatically kept identical:\u000achanges done to one of the clones will be immediately propagated to the other.\u000a\u000aThe buffer's content at point is assumed to be already identical to\u000athe one between START and END.\u000aIf SYNTAX is provided it's a regexp that describes the possible text of\u000athe clones; the clone will be shrunk or killed if necessary to ensure that\u000aits text matches the regexp.\u000aIf SPREADP is non-nil it indicates that text inserted before/after the\u000aclone should be incorporated in the clone. +p39322 +tp39323 +a(g89 +g1068 +tp39324 +a(g826 +V\u000a +p39325 +tp39326 +a(g8 +V;; To deal with SPREADP we can either use an overlay with `nil t' along +p39327 +tp39328 +a(g826 +V\u000a +p39329 +tp39330 +a(g8 +V;; with insert-(behind|in-front-of)-hooks or use a slightly larger overlay +p39331 +tp39332 +a(g826 +V\u000a +p39333 +tp39334 +a(g8 +V;; (with a one-char margin at each end) with `t nil'. +p39335 +tp39336 +a(g826 +V\u000a +p39337 +tp39338 +a(g8 +V;; We opted for a larger overlay because it behaves better in the case +p39339 +tp39340 +a(g826 +V\u000a +p39341 +tp39342 +a(g8 +V;; where the clone is reduced to the empty string (we want the overlay to +p39343 +tp39344 +a(g826 +V\u000a +p39345 +tp39346 +a(g8 +V;; stay when the clone's content is the empty string and we want to use +p39347 +tp39348 +a(g826 +V\u000a +p39349 +tp39350 +a(g8 +V;; `evaporate' to make sure those overlays get deleted when needed). +p39351 +tp39352 +a(g826 +V\u000a +p39353 +tp39354 +a(g8 +V;; +p39355 +tp39356 +a(g826 +V\u000a +p39357 +tp39358 +a(g705 +g1040 +tp39359 +a(g744 +Vlet* +p39360 +tp39361 +a(g826 +g1044 +tp39362 +a(g705 +g1040 +tp39363 +a(g705 +g1040 +tp39364 +a(g440 +Vpt-end +p39365 +tp39366 +a(g826 +g1044 +tp39367 +a(g705 +g1040 +tp39368 +a(g573 +g4607 +tp39369 +a(g826 +g1044 +tp39370 +a(g705 +g1040 +tp39371 +a(g573 +Vpoint +p39372 +tp39373 +a(g705 +g1064 +tp39374 +a(g826 +g1044 +tp39375 +a(g705 +g1040 +tp39376 +a(g573 +g3788 +tp39377 +a(g826 +g1044 +tp39378 +a(g440 +Vend +p39379 +tp39380 +a(g826 +g1044 +tp39381 +a(g440 +Vstart +p39382 +tp39383 +a(g705 +g1064 +tp39384 +a(g705 +g1064 +tp39385 +a(g705 +g1064 +tp39386 +a(g826 +V\u000a +p39387 +tp39388 +a(g705 +g1040 +tp39389 +a(g440 +Vstart-margin +p39390 +tp39391 +a(g826 +g1044 +tp39392 +a(g705 +g1040 +tp39393 +a(g744 +Vif +p39394 +tp39395 +a(g826 +g1044 +tp39396 +a(g705 +g1040 +tp39397 +a(g744 +Vor +p39398 +tp39399 +a(g826 +g1044 +tp39400 +a(g705 +g1040 +tp39401 +a(g440 +Vnot +p39402 +tp39403 +a(g826 +g1044 +tp39404 +a(g440 +Vspreadp +p39405 +tp39406 +a(g705 +g1064 +tp39407 +a(g826 +g1044 +tp39408 +a(g705 +g1040 +tp39409 +a(g573 +Vbobp +p39410 +tp39411 +a(g705 +g1064 +tp39412 +a(g826 +g1044 +tp39413 +a(g705 +g1040 +tp39414 +a(g573 +V<= +p39415 +tp39416 +a(g826 +g1044 +tp39417 +a(g440 +Vstart +p39418 +tp39419 +a(g826 +g1044 +tp39420 +a(g705 +g1040 +tp39421 +a(g573 +Vpoint-min +p39422 +tp39423 +a(g705 +g1064 +tp39424 +a(g705 +g1064 +tp39425 +a(g705 +g1064 +tp39426 +a(g826 +V\u000a +p39427 +tp39428 +a(g37 +g2628 +tp39429 +a(g826 +g1044 +tp39430 +a(g37 +g2048 +tp39431 +a(g705 +g1064 +tp39432 +a(g705 +g1064 +tp39433 +a(g826 +V\u000a +p39434 +tp39435 +a(g705 +g1040 +tp39436 +a(g440 +Vend-margin +p39437 +tp39438 +a(g826 +g1044 +tp39439 +a(g705 +g1040 +tp39440 +a(g744 +Vif +p39441 +tp39442 +a(g826 +g1044 +tp39443 +a(g705 +g1040 +tp39444 +a(g744 +Vor +p39445 +tp39446 +a(g826 +g1044 +tp39447 +a(g705 +g1040 +tp39448 +a(g440 +Vnot +p39449 +tp39450 +a(g826 +g1044 +tp39451 +a(g440 +Vspreadp +p39452 +tp39453 +a(g705 +g1064 +tp39454 +a(g826 +V\u000a +p39455 +tp39456 +a(g705 +g1040 +tp39457 +a(g573 +V>= +p39458 +tp39459 +a(g826 +g1044 +tp39460 +a(g440 +Vpt-end +p39461 +tp39462 +a(g826 +g1044 +tp39463 +a(g705 +g1040 +tp39464 +a(g573 +Vpoint-max +p39465 +tp39466 +a(g705 +g1064 +tp39467 +a(g705 +g1064 +tp39468 +a(g826 +V\u000a +p39469 +tp39470 +a(g705 +g1040 +tp39471 +a(g573 +V>= +p39472 +tp39473 +a(g826 +g1044 +tp39474 +a(g440 +Vstart +p39475 +tp39476 +a(g826 +g1044 +tp39477 +a(g705 +g1040 +tp39478 +a(g573 +Vpoint-max +p39479 +tp39480 +a(g705 +g1064 +tp39481 +a(g705 +g1064 +tp39482 +a(g705 +g1064 +tp39483 +a(g826 +V\u000a +p39484 +tp39485 +a(g37 +g2628 +tp39486 +a(g826 +g1044 +tp39487 +a(g37 +g2048 +tp39488 +a(g705 +g1064 +tp39489 +a(g705 +g1064 +tp39490 +a(g826 +V\u000a +p39491 +tp39492 +a(g8 +V;; FIXME: Reuse overlays at point to extend dups! +p39493 +tp39494 +a(g826 +V\u000a +p39495 +tp39496 +a(g705 +g1040 +tp39497 +a(g440 +Vol1 +p39498 +tp39499 +a(g826 +g1044 +tp39500 +a(g705 +g1040 +tp39501 +a(g573 +Vmake-overlay +p39502 +tp39503 +a(g826 +g1044 +tp39504 +a(g705 +g1040 +tp39505 +a(g573 +g3788 +tp39506 +a(g826 +g1044 +tp39507 +a(g440 +Vstart +p39508 +tp39509 +a(g826 +g1044 +tp39510 +a(g440 +Vstart-margin +p39511 +tp39512 +a(g705 +g1064 +tp39513 +a(g826 +g1044 +tp39514 +a(g705 +g1040 +tp39515 +a(g573 +g4607 +tp39516 +a(g826 +g1044 +tp39517 +a(g440 +Vend +p39518 +tp39519 +a(g826 +g1044 +tp39520 +a(g440 +Vend-margin +p39521 +tp39522 +a(g705 +g1064 +tp39523 +a(g826 +g1044 +tp39524 +a(g565 +Vnil +p39525 +tp39526 +a(g826 +g1044 +tp39527 +a(g565 +g1187 +tp39528 +a(g705 +g1064 +tp39529 +a(g705 +g1064 +tp39530 +a(g826 +V\u000a +p39531 +tp39532 +a(g705 +g1040 +tp39533 +a(g440 +Vol2 +p39534 +tp39535 +a(g826 +g1044 +tp39536 +a(g705 +g1040 +tp39537 +a(g573 +Vmake-overlay +p39538 +tp39539 +a(g826 +g1044 +tp39540 +a(g705 +g1040 +tp39541 +a(g573 +g3788 +tp39542 +a(g826 +g1044 +tp39543 +a(g705 +g1040 +tp39544 +a(g573 +Vpoint +p39545 +tp39546 +a(g705 +g1064 +tp39547 +a(g826 +g1044 +tp39548 +a(g440 +Vstart-margin +p39549 +tp39550 +a(g705 +g1064 +tp39551 +a(g826 +g1044 +tp39552 +a(g705 +g1040 +tp39553 +a(g573 +g4607 +tp39554 +a(g826 +g1044 +tp39555 +a(g440 +Vpt-end +p39556 +tp39557 +a(g826 +g1044 +tp39558 +a(g440 +Vend-margin +p39559 +tp39560 +a(g705 +g1064 +tp39561 +a(g826 +g1044 +tp39562 +a(g565 +Vnil +p39563 +tp39564 +a(g826 +g1044 +tp39565 +a(g565 +g1187 +tp39566 +a(g705 +g1064 +tp39567 +a(g705 +g1064 +tp39568 +a(g826 +V\u000a +p39569 +tp39570 +a(g705 +g1040 +tp39571 +a(g440 +Vdups +p39572 +tp39573 +a(g826 +g1044 +tp39574 +a(g705 +g1040 +tp39575 +a(g573 +Vlist +p39576 +tp39577 +a(g826 +g1044 +tp39578 +a(g440 +Vol1 +p39579 +tp39580 +a(g826 +g1044 +tp39581 +a(g440 +Vol2 +p39582 +tp39583 +a(g705 +g1064 +tp39584 +a(g705 +g1064 +tp39585 +a(g705 +g1064 +tp39586 +a(g826 +V\u000a +p39587 +tp39588 +a(g705 +g1040 +tp39589 +a(g573 +Voverlay-put +p39590 +tp39591 +a(g826 +g1044 +tp39592 +a(g440 +Vol1 +p39593 +tp39594 +a(g826 +g1044 +tp39595 +a(g117 +V'modification-hooks +p39596 +tp39597 +a(g826 +g1044 +tp39598 +a(g412 +g10833 +tp39599 +a(g705 +g1040 +tp39600 +a(g440 +Vtext-clone--maintain +p39601 +tp39602 +a(g705 +g1064 +tp39603 +a(g705 +g1064 +tp39604 +a(g826 +V\u000a +p39605 +tp39606 +a(g705 +g1040 +tp39607 +a(g681 +Vwhen +p39608 +tp39609 +a(g826 +g1044 +tp39610 +a(g440 +Vspreadp +p39611 +tp39612 +a(g826 +g1044 +tp39613 +a(g705 +g1040 +tp39614 +a(g573 +Voverlay-put +p39615 +tp39616 +a(g826 +g1044 +tp39617 +a(g440 +Vol1 +p39618 +tp39619 +a(g826 +g1044 +tp39620 +a(g117 +V'text-clone-spreadp +p39621 +tp39622 +a(g826 +g1044 +tp39623 +a(g565 +g1187 +tp39624 +a(g705 +g1064 +tp39625 +a(g705 +g1064 +tp39626 +a(g826 +V\u000a +p39627 +tp39628 +a(g705 +g1040 +tp39629 +a(g681 +Vwhen +p39630 +tp39631 +a(g826 +g1044 +tp39632 +a(g440 +Vsyntax +p39633 +tp39634 +a(g826 +g1044 +tp39635 +a(g705 +g1040 +tp39636 +a(g573 +Voverlay-put +p39637 +tp39638 +a(g826 +g1044 +tp39639 +a(g440 +Vol1 +p39640 +tp39641 +a(g826 +g1044 +tp39642 +a(g117 +V'text-clone-syntax +p39643 +tp39644 +a(g826 +g1044 +tp39645 +a(g440 +Vsyntax +p39646 +tp39647 +a(g705 +g1064 +tp39648 +a(g705 +g1064 +tp39649 +a(g826 +V\u000a +p39650 +tp39651 +a(g8 +V;;(overlay-put ol1 'face 'underline) +p39652 +tp39653 +a(g826 +V\u000a +p39654 +tp39655 +a(g705 +g1040 +tp39656 +a(g573 +Voverlay-put +p39657 +tp39658 +a(g826 +g1044 +tp39659 +a(g440 +Vol1 +p39660 +tp39661 +a(g826 +g1044 +tp39662 +a(g117 +V'evaporate +p39663 +tp39664 +a(g826 +g1044 +tp39665 +a(g565 +g1187 +tp39666 +a(g705 +g1064 +tp39667 +a(g826 +V\u000a +p39668 +tp39669 +a(g705 +g1040 +tp39670 +a(g573 +Voverlay-put +p39671 +tp39672 +a(g826 +g1044 +tp39673 +a(g440 +Vol1 +p39674 +tp39675 +a(g826 +g1044 +tp39676 +a(g117 +V'text-clones +p39677 +tp39678 +a(g826 +g1044 +tp39679 +a(g440 +Vdups +p39680 +tp39681 +a(g705 +g1064 +tp39682 +a(g826 +V\u000a +p39683 +tp39684 +a(g8 +V;; +p39685 +tp39686 +a(g826 +V\u000a +p39687 +tp39688 +a(g705 +g1040 +tp39689 +a(g573 +Voverlay-put +p39690 +tp39691 +a(g826 +g1044 +tp39692 +a(g440 +Vol2 +p39693 +tp39694 +a(g826 +g1044 +tp39695 +a(g117 +V'modification-hooks +p39696 +tp39697 +a(g826 +g1044 +tp39698 +a(g412 +g10833 +tp39699 +a(g705 +g1040 +tp39700 +a(g440 +Vtext-clone--maintain +p39701 +tp39702 +a(g705 +g1064 +tp39703 +a(g705 +g1064 +tp39704 +a(g826 +V\u000a +p39705 +tp39706 +a(g705 +g1040 +tp39707 +a(g681 +Vwhen +p39708 +tp39709 +a(g826 +g1044 +tp39710 +a(g440 +Vspreadp +p39711 +tp39712 +a(g826 +g1044 +tp39713 +a(g705 +g1040 +tp39714 +a(g573 +Voverlay-put +p39715 +tp39716 +a(g826 +g1044 +tp39717 +a(g440 +Vol2 +p39718 +tp39719 +a(g826 +g1044 +tp39720 +a(g117 +V'text-clone-spreadp +p39721 +tp39722 +a(g826 +g1044 +tp39723 +a(g565 +g1187 +tp39724 +a(g705 +g1064 +tp39725 +a(g705 +g1064 +tp39726 +a(g826 +V\u000a +p39727 +tp39728 +a(g705 +g1040 +tp39729 +a(g681 +Vwhen +p39730 +tp39731 +a(g826 +g1044 +tp39732 +a(g440 +Vsyntax +p39733 +tp39734 +a(g826 +g1044 +tp39735 +a(g705 +g1040 +tp39736 +a(g573 +Voverlay-put +p39737 +tp39738 +a(g826 +g1044 +tp39739 +a(g440 +Vol2 +p39740 +tp39741 +a(g826 +g1044 +tp39742 +a(g117 +V'text-clone-syntax +p39743 +tp39744 +a(g826 +g1044 +tp39745 +a(g440 +Vsyntax +p39746 +tp39747 +a(g705 +g1064 +tp39748 +a(g705 +g1064 +tp39749 +a(g826 +V\u000a +p39750 +tp39751 +a(g8 +V;;(overlay-put ol2 'face 'underline) +p39752 +tp39753 +a(g826 +V\u000a +p39754 +tp39755 +a(g705 +g1040 +tp39756 +a(g573 +Voverlay-put +p39757 +tp39758 +a(g826 +g1044 +tp39759 +a(g440 +Vol2 +p39760 +tp39761 +a(g826 +g1044 +tp39762 +a(g117 +V'evaporate +p39763 +tp39764 +a(g826 +g1044 +tp39765 +a(g565 +g1187 +tp39766 +a(g705 +g1064 +tp39767 +a(g826 +V\u000a +p39768 +tp39769 +a(g705 +g1040 +tp39770 +a(g573 +Voverlay-put +p39771 +tp39772 +a(g826 +g1044 +tp39773 +a(g440 +Vol2 +p39774 +tp39775 +a(g826 +g1044 +tp39776 +a(g117 +V'text-clones +p39777 +tp39778 +a(g826 +g1044 +tp39779 +a(g440 +Vdups +p39780 +tp39781 +a(g705 +g1064 +tp39782 +a(g705 +g1064 +tp39783 +a(g705 +g1064 +tp39784 +a(g826 +V\u000a \u000a +p39785 +tp39786 +a(g8 +V;;;; Mail user agents. +p39787 +tp39788 +a(g826 +V\u000a\u000a +p39789 +tp39790 +a(g8 +V;; Here we include just enough for other packages to be able +p39791 +tp39792 +a(g826 +V\u000a +p39793 +tp39794 +a(g8 +V;; to define them. +p39795 +tp39796 +a(g826 +V\u000a\u000a +p39797 +tp39798 +a(g705 +g1040 +tp39799 +a(g681 +Vdefun +p39800 +tp39801 +a(g826 +g1044 +tp39802 +a(g440 +Vdefine-mail-user-agent +p39803 +tp39804 +a(g826 +g1044 +tp39805 +a(g705 +g1040 +tp39806 +a(g440 +Vsymbol +p39807 +tp39808 +a(g826 +g1044 +tp39809 +a(g440 +Vcomposefunc +p39810 +tp39811 +a(g826 +g1044 +tp39812 +a(g440 +Vsendfunc +p39813 +tp39814 +a(g826 +V\u000a +p39815 +tp39816 +a(g785 +V&optional +p39817 +tp39818 +a(g826 +g1044 +tp39819 +a(g440 +Vabortfunc +p39820 +tp39821 +a(g826 +g1044 +tp39822 +a(g440 +Vhookvar +p39823 +tp39824 +a(g705 +g1064 +tp39825 +a(g826 +V\u000a +p39826 +tp39827 +a(g89 +g1068 +tp39828 +a(g89 +VDefine a symbol to identify a mail-sending package for +p39829 +tp39830 +a(g117 +V`mail-user-agent' +p39831 +tp39832 +a(g89 +V.\u000a\u000aSYMBOL can be any Lisp symbol. Its function definition and/or\u000avalue as a variable do not matter for this usage; we use only certain\u000aproperties on its property list, to encode the rest of the arguments.\u000a\u000aCOMPOSEFUNC is program callable function that composes an outgoing\u000amail message buffer. This function should set up the basics of the\u000abuffer without requiring user interaction. It should populate the\u000astandard mail headers, leaving the +p39833 +tp39834 +a(g117 +V`to:' +p39835 +tp39836 +a(g89 +V and +p39837 +tp39838 +a(g117 +V`subject:' +p39839 +tp39840 +a(g89 +V headers blank\u000aby default.\u000a\u000aCOMPOSEFUNC should accept several optional arguments--the same\u000aarguments that +p39841 +tp39842 +a(g117 +V`compose-mail' +p39843 +tp39844 +a(g89 +V takes. See that function's documentation.\u000a\u000aSENDFUNC is the command a user would run to send the message.\u000a\u000aOptional ABORTFUNC is the command a user would run to abort the\u000amessage. For mail packages that don't have a separate abort function,\u000athis can be +p39845 +tp39846 +a(g117 +V`kill-buffer' +p39847 +tp39848 +a(g89 +V (the equivalent of omitting this argument).\u000a\u000aOptional HOOKVAR is a hook variable that gets run before the message\u000ais actually sent. Callers that use the +p39849 +tp39850 +a(g117 +V`mail-user-agent' +p39851 +tp39852 +a(g89 +V may\u000ainstall a hook function temporarily on this hook variable.\u000aIf HOOKVAR is nil, +p39853 +tp39854 +a(g117 +V`mail-send-hook' +p39855 +tp39856 +a(g89 +V is used.\u000a\u000aThe properties used on SYMBOL are +p39857 +tp39858 +a(g117 +V`composefunc' +p39859 +tp39860 +a(g89 +V, +p39861 +tp39862 +a(g117 +V`sendfunc' +p39863 +tp39864 +a(g89 +V,\u000a +p39865 +tp39866 +a(g117 +V`abortfunc' +p39867 +tp39868 +a(g89 +V, and +p39869 +tp39870 +a(g117 +V`hookvar' +p39871 +tp39872 +a(g89 +g3307 +tp39873 +a(g89 +g1068 +tp39874 +a(g826 +V\u000a +p39875 +tp39876 +a(g705 +g1040 +tp39877 +a(g573 +Vput +p39878 +tp39879 +a(g826 +g1044 +tp39880 +a(g440 +Vsymbol +p39881 +tp39882 +a(g826 +g1044 +tp39883 +a(g117 +V'composefunc +p39884 +tp39885 +a(g826 +g1044 +tp39886 +a(g440 +Vcomposefunc +p39887 +tp39888 +a(g705 +g1064 +tp39889 +a(g826 +V\u000a +p39890 +tp39891 +a(g705 +g1040 +tp39892 +a(g573 +Vput +p39893 +tp39894 +a(g826 +g1044 +tp39895 +a(g440 +Vsymbol +p39896 +tp39897 +a(g826 +g1044 +tp39898 +a(g117 +V'sendfunc +p39899 +tp39900 +a(g826 +g1044 +tp39901 +a(g440 +Vsendfunc +p39902 +tp39903 +a(g705 +g1064 +tp39904 +a(g826 +V\u000a +p39905 +tp39906 +a(g705 +g1040 +tp39907 +a(g573 +Vput +p39908 +tp39909 +a(g826 +g1044 +tp39910 +a(g440 +Vsymbol +p39911 +tp39912 +a(g826 +g1044 +tp39913 +a(g117 +V'abortfunc +p39914 +tp39915 +a(g826 +g1044 +tp39916 +a(g705 +g1040 +tp39917 +a(g744 +Vor +p39918 +tp39919 +a(g826 +g1044 +tp39920 +a(g440 +Vabortfunc +p39921 +tp39922 +a(g826 +g1044 +tp39923 +a(g117 +V'kill-buffer +p39924 +tp39925 +a(g705 +g1064 +tp39926 +a(g705 +g1064 +tp39927 +a(g826 +V\u000a +p39928 +tp39929 +a(g705 +g1040 +tp39930 +a(g573 +Vput +p39931 +tp39932 +a(g826 +g1044 +tp39933 +a(g440 +Vsymbol +p39934 +tp39935 +a(g826 +g1044 +tp39936 +a(g117 +V'hookvar +p39937 +tp39938 +a(g826 +g1044 +tp39939 +a(g705 +g1040 +tp39940 +a(g744 +Vor +p39941 +tp39942 +a(g826 +g1044 +tp39943 +a(g440 +Vhookvar +p39944 +tp39945 +a(g826 +g1044 +tp39946 +a(g117 +V'mail-send-hook +p39947 +tp39948 +a(g705 +g1064 +tp39949 +a(g705 +g1064 +tp39950 +a(g705 +g1064 +tp39951 +a(g826 +V\u000a \u000a +p39952 +tp39953 +a(g705 +g1040 +tp39954 +a(g744 +Vdefvar +p39955 +tp39956 +a(g826 +g1044 +tp39957 +a(g440 +Vcalled-interactively-p-functions +p39958 +tp39959 +a(g826 +g1044 +tp39960 +a(g565 +Vnil +p39961 +tp39962 +a(g826 +V\u000a +p39963 +tp39964 +a(g89 +g1068 +tp39965 +a(g89 +VSpecial hook called to skip special frames in +p39966 +tp39967 +a(g117 +V`called-interactively-p' +p39968 +tp39969 +a(g89 +V.\u000aThe functions are called with 3 arguments: (I FRAME1 FRAME2),\u000awhere FRAME1 is a +p39970 +tp39971 +a(g89 +V\u005c" +p39972 +tp39973 +a(g89 +Vcurrent frame +p39974 +tp39975 +a(g89 +V\u005c" +p39976 +tp39977 +a(g89 +V, FRAME2 is the next frame,\u000aI is the index of the frame after FRAME2. It should return nil\u000aif those frames don't seem special and otherwise, it should return\u000athe number of frames to skip (minus 1). +p39978 +tp39979 +a(g89 +g1068 +tp39980 +a(g705 +g1064 +tp39981 +a(g826 +V\u000a\u000a +p39982 +tp39983 +a(g705 +g1040 +tp39984 +a(g744 +Vdefconst +p39985 +tp39986 +a(g826 +g1044 +tp39987 +a(g440 +Vinternal--funcall-interactively +p39988 +tp39989 +a(g826 +V\u000a +p39990 +tp39991 +a(g705 +g1040 +tp39992 +a(g573 +Vsymbol-function +p39993 +tp39994 +a(g826 +g1044 +tp39995 +a(g117 +V'funcall-interactively +p39996 +tp39997 +a(g705 +g1064 +tp39998 +a(g705 +g1064 +tp39999 +a(g826 +V\u000a\u000a +p40000 +tp40001 +a(g705 +g1040 +tp40002 +a(g681 +Vdefun +p40003 +tp40004 +a(g826 +g1044 +tp40005 +a(g440 +Vcalled-interactively-p +p40006 +tp40007 +a(g826 +g1044 +tp40008 +a(g705 +g1040 +tp40009 +a(g785 +V&optional +p40010 +tp40011 +a(g826 +g1044 +tp40012 +a(g440 +Vkind +p40013 +tp40014 +a(g705 +g1064 +tp40015 +a(g826 +V\u000a +p40016 +tp40017 +a(g89 +g1068 +tp40018 +a(g89 +VReturn t if the containing function was called by +p40019 +tp40020 +a(g117 +V`call-interactively' +p40021 +tp40022 +a(g89 +V.\u000aIf KIND is +p40023 +tp40024 +a(g117 +V`interactive' +p40025 +tp40026 +a(g89 +V, then only return t if the call was made\u000ainteractively by the user, i.e. not in +p40027 +tp40028 +a(g117 +V`noninteractive' +p40029 +tp40030 +a(g89 +V mode nor\u000awhen +p40031 +tp40032 +a(g117 +V`executing-kbd-macro' +p40033 +tp40034 +a(g89 +V.\u000aIf KIND is +p40035 +tp40036 +a(g117 +V`any' +p40037 +tp40038 +a(g89 +V, on the other hand, it will return t for any kind of\u000ainteractive call, including being called as the binding of a key or\u000afrom a keyboard macro, even in +p40039 +tp40040 +a(g117 +V`noninteractive' +p40041 +tp40042 +a(g89 +V mode.\u000a\u000aThis function is very brittle, it may fail to return the intended result when\u000athe code is debugged, advised, or instrumented in some form. Some macros and\u000aspecial forms (such as +p40043 +tp40044 +a(g117 +V`condition-case' +p40045 +tp40046 +a(g89 +V) may also sometimes wrap their bodies\u000ain a +p40047 +tp40048 +a(g117 +V`lambda' +p40049 +tp40050 +a(g89 +V, so any call to +p40051 +tp40052 +a(g117 +V`called-interactively-p' +p40053 +tp40054 +a(g89 +V from those bodies will\u000aindicate whether that lambda (rather than the surrounding function) was called\u000ainteractively.\u000a\u000aInstead of using this function, it is cleaner and more reliable to give your\u000afunction an extra optional argument whose +p40055 +tp40056 +a(g117 +V`interactive' +p40057 +tp40058 +a(g89 +V spec specifies\u000anon-nil unconditionally ( +p40059 +tp40060 +a(g89 +V\u005c" +p40061 +tp40062 +a(g89 +g7372 +tp40063 +a(g89 +V\u005c" +p40064 +tp40065 +a(g89 +V is a good way to do this), or via\u000a +p40066 +tp40067 +a(g89 +V\u005c( +p40068 +tp40069 +a(g89 +Vnot (or executing-kbd-macro noninteractive)).\u000a\u000aThe only known proper use of +p40070 +tp40071 +a(g117 +V`interactive' +p40072 +tp40073 +a(g89 +V for KIND is in deciding\u000awhether to display a helpful message, or how to display it. If you're\u000athinking of using it for any other purpose, it is quite likely that\u000ayou're making a mistake. Think: what do you want to do when the\u000acommand is called from a keyboard macro? +p40074 +tp40075 +a(g89 +g1068 +tp40076 +a(g826 +V\u000a +p40077 +tp40078 +a(g705 +g1040 +tp40079 +a(g681 +Vdeclare +p40080 +tp40081 +a(g826 +g1044 +tp40082 +a(g705 +g1040 +tp40083 +a(g440 +Vadvertised-calling-convention +p40084 +tp40085 +a(g826 +g1044 +tp40086 +a(g705 +g1040 +tp40087 +a(g440 +Vkind +p40088 +tp40089 +a(g705 +g1064 +tp40090 +a(g826 +g1044 +tp40091 +a(g89 +g1068 +tp40092 +a(g89 +V23.1 +p40093 +tp40094 +a(g89 +g1068 +tp40095 +a(g705 +g1064 +tp40096 +a(g705 +g1064 +tp40097 +a(g826 +V\u000a +p40098 +tp40099 +a(g705 +g1040 +tp40100 +a(g681 +Vwhen +p40101 +tp40102 +a(g826 +g1044 +tp40103 +a(g705 +g1040 +tp40104 +a(g440 +Vnot +p40105 +tp40106 +a(g826 +g1044 +tp40107 +a(g705 +g1040 +tp40108 +a(g744 +Vand +p40109 +tp40110 +a(g826 +g1044 +tp40111 +a(g705 +g1040 +tp40112 +a(g573 +Veq +p40113 +tp40114 +a(g826 +g1044 +tp40115 +a(g440 +Vkind +p40116 +tp40117 +a(g826 +g1044 +tp40118 +a(g117 +V'interactive +p40119 +tp40120 +a(g705 +g1064 +tp40121 +a(g826 +V\u000a +p40122 +tp40123 +a(g705 +g1040 +tp40124 +a(g744 +Vor +p40125 +tp40126 +a(g826 +g1044 +tp40127 +a(g440 +Vexecuting-kbd-macro +p40128 +tp40129 +a(g826 +g1044 +tp40130 +a(g440 +Vnoninteractive +p40131 +tp40132 +a(g705 +g1064 +tp40133 +a(g705 +g1064 +tp40134 +a(g705 +g1064 +tp40135 +a(g826 +V\u000a +p40136 +tp40137 +a(g705 +g1040 +tp40138 +a(g744 +Vlet* +p40139 +tp40140 +a(g826 +g1044 +tp40141 +a(g705 +g1040 +tp40142 +a(g705 +g1040 +tp40143 +a(g440 +g4938 +tp40144 +a(g826 +g1044 +tp40145 +a(g37 +g2048 +tp40146 +a(g705 +g1064 +tp40147 +a(g826 +g1044 +tp40148 +a(g8 +V;; 0 is the called-interactively-p frame. +p40149 +tp40150 +a(g826 +V\u000a +p40151 +tp40152 +a(g440 +Vframe +p40153 +tp40154 +a(g826 +g1044 +tp40155 +a(g440 +Vnextframe +p40156 +tp40157 +a(g826 +V\u000a +p40158 +tp40159 +a(g705 +g1040 +tp40160 +a(g440 +Vget-next-frame +p40161 +tp40162 +a(g826 +V\u000a +p40163 +tp40164 +a(g705 +g1040 +tp40165 +a(g681 +Vlambda +p40166 +tp40167 +a(g826 +g1044 +tp40168 +a(g705 +g1040 +tp40169 +a(g705 +g1064 +tp40170 +a(g826 +V\u000a +p40171 +tp40172 +a(g705 +g1040 +tp40173 +a(g744 +Vsetq +p40174 +tp40175 +a(g826 +g1044 +tp40176 +a(g440 +Vframe +p40177 +tp40178 +a(g826 +g1044 +tp40179 +a(g440 +Vnextframe +p40180 +tp40181 +a(g705 +g1064 +tp40182 +a(g826 +V\u000a +p40183 +tp40184 +a(g705 +g1040 +tp40185 +a(g744 +Vsetq +p40186 +tp40187 +a(g826 +g1044 +tp40188 +a(g440 +Vnextframe +p40189 +tp40190 +a(g826 +g1044 +tp40191 +a(g705 +g1040 +tp40192 +a(g573 +Vbacktrace-frame +p40193 +tp40194 +a(g826 +g1044 +tp40195 +a(g440 +g4938 +tp40196 +a(g826 +g1044 +tp40197 +a(g117 +V'called-interactively-p +p40198 +tp40199 +a(g705 +g1064 +tp40200 +a(g705 +g1064 +tp40201 +a(g826 +V\u000a +p40202 +tp40203 +a(g8 +V;; (message "Frame %d = %S" i nextframe) +p40204 +tp40205 +a(g826 +V\u000a +p40206 +tp40207 +a(g705 +g1040 +tp40208 +a(g744 +Vsetq +p40209 +tp40210 +a(g826 +g1044 +tp40211 +a(g440 +g4938 +tp40212 +a(g826 +g1044 +tp40213 +a(g705 +g1040 +tp40214 +a(g573 +V1+ +p40215 +tp40216 +a(g826 +g1044 +tp40217 +a(g440 +g4938 +tp40218 +a(g705 +g1064 +tp40219 +a(g705 +g1064 +tp40220 +a(g705 +g1064 +tp40221 +a(g705 +g1064 +tp40222 +a(g705 +g1064 +tp40223 +a(g826 +V\u000a +p40224 +tp40225 +a(g705 +g1040 +tp40226 +a(g573 +Vfuncall +p40227 +tp40228 +a(g826 +g1044 +tp40229 +a(g440 +Vget-next-frame +p40230 +tp40231 +a(g705 +g1064 +tp40232 +a(g826 +g1044 +tp40233 +a(g8 +V;; Get the first frame. +p40234 +tp40235 +a(g826 +V\u000a +p40236 +tp40237 +a(g705 +g1040 +tp40238 +a(g744 +Vwhile +p40239 +tp40240 +a(g826 +V\u000a +p40241 +tp40242 +a(g8 +V;; FIXME: The edebug and advice handling should be made modular and +p40243 +tp40244 +a(g826 +V\u000a +p40245 +tp40246 +a(g8 +V;; provided directly by edebug.el and nadvice.el. +p40247 +tp40248 +a(g826 +V\u000a +p40249 +tp40250 +a(g705 +g1040 +tp40251 +a(g744 +Vprogn +p40252 +tp40253 +a(g826 +V\u000a +p40254 +tp40255 +a(g8 +V;; frame =(backtrace-frame i-2) +p40256 +tp40257 +a(g826 +V\u000a +p40258 +tp40259 +a(g8 +V;; nextframe=(backtrace-frame i-1) +p40260 +tp40261 +a(g826 +V\u000a +p40262 +tp40263 +a(g705 +g1040 +tp40264 +a(g573 +Vfuncall +p40265 +tp40266 +a(g826 +g1044 +tp40267 +a(g440 +Vget-next-frame +p40268 +tp40269 +a(g705 +g1064 +tp40270 +a(g826 +V\u000a +p40271 +tp40272 +a(g8 +V;; `pcase' would be a fairly good fit here, but it sometimes moves +p40273 +tp40274 +a(g826 +V\u000a +p40275 +tp40276 +a(g8 +V;; branches within local functions, which then messes up the +p40277 +tp40278 +a(g826 +V\u000a +p40279 +tp40280 +a(g8 +V;; `backtrace-frame' data we get, +p40281 +tp40282 +a(g826 +V\u000a +p40283 +tp40284 +a(g705 +g1040 +tp40285 +a(g744 +Vor +p40286 +tp40287 +a(g826 +V\u000a +p40288 +tp40289 +a(g8 +V;; Skip special forms (from non-compiled code). +p40290 +tp40291 +a(g826 +V\u000a +p40292 +tp40293 +a(g705 +g1040 +tp40294 +a(g744 +Vand +p40295 +tp40296 +a(g826 +g1044 +tp40297 +a(g440 +Vframe +p40298 +tp40299 +a(g826 +g1044 +tp40300 +a(g705 +g1040 +tp40301 +a(g573 +Vnull +p40302 +tp40303 +a(g826 +g1044 +tp40304 +a(g705 +g1040 +tp40305 +a(g573 +Vcar +p40306 +tp40307 +a(g826 +g1044 +tp40308 +a(g440 +Vframe +p40309 +tp40310 +a(g705 +g1064 +tp40311 +a(g705 +g1064 +tp40312 +a(g705 +g1064 +tp40313 +a(g826 +V\u000a +p40314 +tp40315 +a(g8 +V;; Skip also `interactive-p' (because we don't want to know if +p40316 +tp40317 +a(g826 +V\u000a +p40318 +tp40319 +a(g8 +V;; interactive-p was called interactively but if it's caller was) +p40320 +tp40321 +a(g826 +V\u000a +p40322 +tp40323 +a(g8 +V;; and `byte-code' (idem; this appears in subexpressions of things +p40324 +tp40325 +a(g826 +V\u000a +p40326 +tp40327 +a(g8 +V;; like condition-case, which are wrapped in a separate bytecode +p40328 +tp40329 +a(g826 +V\u000a +p40330 +tp40331 +a(g8 +V;; chunk). +p40332 +tp40333 +a(g826 +V\u000a +p40334 +tp40335 +a(g8 +V;; FIXME: For lexical-binding code, this is much worse, +p40336 +tp40337 +a(g826 +V\u000a +p40338 +tp40339 +a(g8 +V;; because the frames look like "byte-code -> funcall -> #[...]", +p40340 +tp40341 +a(g826 +V\u000a +p40342 +tp40343 +a(g8 +V;; which is not a reliable signature. +p40344 +tp40345 +a(g826 +V\u000a +p40346 +tp40347 +a(g705 +g1040 +tp40348 +a(g573 +Vmemq +p40349 +tp40350 +a(g826 +g1044 +tp40351 +a(g705 +g1040 +tp40352 +a(g573 +Vnth +p40353 +tp40354 +a(g826 +g1044 +tp40355 +a(g37 +g2048 +tp40356 +a(g826 +g1044 +tp40357 +a(g440 +Vframe +p40358 +tp40359 +a(g705 +g1064 +tp40360 +a(g826 +g1044 +tp40361 +a(g412 +g10833 +tp40362 +a(g705 +g1040 +tp40363 +a(g440 +Vinteractive-p +p40364 +tp40365 +a(g826 +g1044 +tp40366 +a(g117 +V'byte-code +p40367 +tp40368 +a(g705 +g1064 +tp40369 +a(g705 +g1064 +tp40370 +a(g826 +V\u000a +p40371 +tp40372 +a(g8 +V;; Skip package-specific stack-frames. +p40373 +tp40374 +a(g826 +V\u000a +p40375 +tp40376 +a(g705 +g1040 +tp40377 +a(g744 +Vlet +p40378 +tp40379 +a(g826 +g1044 +tp40380 +a(g705 +g1040 +tp40381 +a(g705 +g1040 +tp40382 +a(g440 +Vskip +p40383 +tp40384 +a(g826 +g1044 +tp40385 +a(g705 +g1040 +tp40386 +a(g573 +Vrun-hook-with-args-until-success +p40387 +tp40388 +a(g826 +V\u000a +p40389 +tp40390 +a(g117 +V'called-interactively-p-functions +p40391 +tp40392 +a(g826 +V\u000a +p40393 +tp40394 +a(g440 +g4938 +tp40395 +a(g826 +g1044 +tp40396 +a(g440 +Vframe +p40397 +tp40398 +a(g826 +g1044 +tp40399 +a(g440 +Vnextframe +p40400 +tp40401 +a(g705 +g1064 +tp40402 +a(g705 +g1064 +tp40403 +a(g705 +g1064 +tp40404 +a(g826 +V\u000a +p40405 +tp40406 +a(g705 +g1040 +tp40407 +a(g681 +Vpcase +p40408 +tp40409 +a(g826 +g1044 +tp40410 +a(g440 +Vskip +p40411 +tp40412 +a(g826 +V\u000a +p40413 +tp40414 +a(g705 +g1040 +tp40415 +a(g412 +g1128 +tp40416 +a(g565 +Vnil +p40417 +tp40418 +a(g826 +g1044 +tp40419 +a(g565 +Vnil +p40420 +tp40421 +a(g705 +g1064 +tp40422 +a(g826 +V\u000a +p40423 +tp40424 +a(g705 +g1040 +tp40425 +a(g412 +g1128 +tp40426 +a(g37 +g2628 +tp40427 +a(g826 +g1044 +tp40428 +a(g565 +g1187 +tp40429 +a(g705 +g1064 +tp40430 +a(g826 +V\u000a +p40431 +tp40432 +a(g705 +g1040 +tp40433 +a(g440 +g4076 +tp40434 +a(g826 +g1044 +tp40435 +a(g705 +g1040 +tp40436 +a(g744 +Vsetq +p40437 +tp40438 +a(g826 +g1044 +tp40439 +a(g440 +g4938 +tp40440 +a(g826 +g1044 +tp40441 +a(g705 +g1040 +tp40442 +a(g573 +g4607 +tp40443 +a(g826 +g1044 +tp40444 +a(g440 +g4938 +tp40445 +a(g826 +g1044 +tp40446 +a(g440 +Vskip +p40447 +tp40448 +a(g826 +g1044 +tp40449 +a(g37 +V-1 +p40450 +tp40451 +a(g705 +g1064 +tp40452 +a(g705 +g1064 +tp40453 +a(g826 +g1044 +tp40454 +a(g705 +g1040 +tp40455 +a(g573 +Vfuncall +p40456 +tp40457 +a(g826 +g1044 +tp40458 +a(g440 +Vget-next-frame +p40459 +tp40460 +a(g705 +g1064 +tp40461 +a(g705 +g1064 +tp40462 +a(g705 +g1064 +tp40463 +a(g705 +g1064 +tp40464 +a(g705 +g1064 +tp40465 +a(g705 +g1064 +tp40466 +a(g705 +g1064 +tp40467 +a(g826 +V\u000a +p40468 +tp40469 +a(g8 +V;; Now `frame' should be "the function from which we were called". +p40470 +tp40471 +a(g826 +V\u000a +p40472 +tp40473 +a(g705 +g1040 +tp40474 +a(g681 +Vpcase +p40475 +tp40476 +a(g826 +g1044 +tp40477 +a(g705 +g1040 +tp40478 +a(g573 +Vcons +p40479 +tp40480 +a(g826 +g1044 +tp40481 +a(g440 +Vframe +p40482 +tp40483 +a(g826 +g1044 +tp40484 +a(g440 +Vnextframe +p40485 +tp40486 +a(g705 +g1064 +tp40487 +a(g826 +V\u000a +p40488 +tp40489 +a(g8 +V;; No subr calls `interactive-p', so we can rule that out. +p40490 +tp40491 +a(g826 +V\u000a +p40492 +tp40493 +a(g705 +g1040 +tp40494 +a(g412 +g1128 +tp40495 +a(g705 +g1040 +tp40496 +a(g705 +g1040 +tp40497 +a(g412 +g1198 +tp40498 +a(g440 +g4076 +tp40499 +a(g826 +g1044 +tp40500 +a(g412 +g1198 +tp40501 +a(g705 +g1040 +tp40502 +a(g440 +Vpred +p40503 +tp40504 +a(g826 +g1044 +tp40505 +a(g705 +g1040 +tp40506 +a(g681 +Vlambda +p40507 +tp40508 +a(g826 +g1044 +tp40509 +a(g705 +g1040 +tp40510 +a(g440 +g26775 +tp40511 +a(g705 +g1064 +tp40512 +a(g826 +g1044 +tp40513 +a(g705 +g1040 +tp40514 +a(g573 +Vsubrp +p40515 +tp40516 +a(g826 +g1044 +tp40517 +a(g705 +g1040 +tp40518 +a(g573 +Vindirect-function +p40519 +tp40520 +a(g826 +g1044 +tp40521 +a(g440 +g26775 +tp40522 +a(g705 +g1064 +tp40523 +a(g705 +g1064 +tp40524 +a(g705 +g1064 +tp40525 +a(g705 +g1064 +tp40526 +a(g826 +g1044 +tp40527 +a(g412 +g3307 +tp40528 +a(g826 +g1044 +tp40529 +a(g412 +g1198 +tp40530 +a(g440 +g4076 +tp40531 +a(g705 +g1064 +tp40532 +a(g826 +g1044 +tp40533 +a(g412 +g3307 +tp40534 +a(g826 +g1044 +tp40535 +a(g412 +g1198 +tp40536 +a(g440 +g4076 +tp40537 +a(g705 +g1064 +tp40538 +a(g826 +g1044 +tp40539 +a(g565 +Vnil +p40540 +tp40541 +a(g705 +g1064 +tp40542 +a(g826 +V\u000a +p40543 +tp40544 +a(g8 +V;; In case # without going through the +p40545 +tp40546 +a(g826 +V\u000a +p40547 +tp40548 +a(g8 +V;; `funcall-interactively' symbol (bug#3984). +p40549 +tp40550 +a(g826 +V\u000a +p40551 +tp40552 +a(g705 +g1040 +tp40553 +a(g412 +g1128 +tp40554 +a(g705 +g1040 +tp40555 +a(g412 +g1198 +tp40556 +a(g440 +g4076 +tp40557 +a(g826 +g1044 +tp40558 +a(g412 +g3307 +tp40559 +a(g826 +g1044 +tp40560 +a(g705 +g1040 +tp40561 +a(g565 +g1187 +tp40562 +a(g826 +g1044 +tp40563 +a(g412 +g1198 +tp40564 +a(g705 +g1040 +tp40565 +a(g440 +Vpred +p40566 +tp40567 +a(g826 +g1044 +tp40568 +a(g705 +g1040 +tp40569 +a(g681 +Vlambda +p40570 +tp40571 +a(g826 +g1044 +tp40572 +a(g705 +g1040 +tp40573 +a(g440 +g26775 +tp40574 +a(g705 +g1064 +tp40575 +a(g826 +V\u000a +p40576 +tp40577 +a(g705 +g1040 +tp40578 +a(g573 +Veq +p40579 +tp40580 +a(g826 +g1044 +tp40581 +a(g440 +Vinternal--funcall-interactively +p40582 +tp40583 +a(g826 +V\u000a +p40584 +tp40585 +a(g705 +g1040 +tp40586 +a(g573 +Vindirect-function +p40587 +tp40588 +a(g826 +g1044 +tp40589 +a(g440 +g26775 +tp40590 +a(g705 +g1064 +tp40591 +a(g705 +g1064 +tp40592 +a(g705 +g1064 +tp40593 +a(g705 +g1064 +tp40594 +a(g826 +V\u000a +p40595 +tp40596 +a(g412 +g3307 +tp40597 +a(g826 +g1044 +tp40598 +a(g412 +g1198 +tp40599 +a(g440 +g4076 +tp40600 +a(g705 +g1064 +tp40601 +a(g705 +g1064 +tp40602 +a(g826 +V\u000a +p40603 +tp40604 +a(g565 +g1187 +tp40605 +a(g705 +g1064 +tp40606 +a(g705 +g1064 +tp40607 +a(g705 +g1064 +tp40608 +a(g705 +g1064 +tp40609 +a(g705 +g1064 +tp40610 +a(g826 +V\u000a\u000a +p40611 +tp40612 +a(g705 +g1040 +tp40613 +a(g681 +Vdefun +p40614 +tp40615 +a(g826 +g1044 +tp40616 +a(g440 +Vinteractive-p +p40617 +tp40618 +a(g826 +g1044 +tp40619 +a(g705 +g1040 +tp40620 +a(g705 +g1064 +tp40621 +a(g826 +V\u000a +p40622 +tp40623 +a(g89 +g1068 +tp40624 +a(g89 +VReturn t if the containing function was run directly by user input.\u000aThis means that the function was called with +p40625 +tp40626 +a(g117 +V`call-interactively' +p40627 +tp40628 +a(g89 +V\u000a +p40629 +tp40630 +a(g89 +V\u005c( +p40631 +tp40632 +a(g89 +Vwhich includes being called as the binding of a key)\u000aand input is currently coming from the keyboard (not a keyboard macro),\u000aand Emacs is not running in batch mode ( +p40633 +tp40634 +a(g117 +V`noninteractive' +p40635 +tp40636 +a(g89 +V is nil).\u000a\u000aThe only known proper use of +p40637 +tp40638 +a(g117 +V`interactive-p' +p40639 +tp40640 +a(g89 +V is in deciding whether to\u000adisplay a helpful message, or how to display it. If you're thinking\u000aof using it for any other purpose, it is quite likely that you're\u000amaking a mistake. Think: what do you want to do when the command is\u000acalled from a keyboard macro or in batch mode?\u000a\u000aTo test whether your function was called with +p40641 +tp40642 +a(g117 +V`call-interactively' +p40643 +tp40644 +a(g89 +V,\u000aeither (i) add an extra optional argument and give it an +p40645 +tp40646 +a(g117 +V`interactive' +p40647 +tp40648 +a(g89 +V\u000aspec that specifies non-nil unconditionally (such as +p40649 +tp40650 +a(g89 +V\u005c" +p40651 +tp40652 +a(g89 +g7372 +tp40653 +a(g89 +V\u005c" +p40654 +tp40655 +a(g89 +V); or (ii)\u000ause +p40656 +tp40657 +a(g117 +V`called-interactively-p' +p40658 +tp40659 +a(g89 +g3307 +tp40660 +a(g89 +g1068 +tp40661 +a(g826 +V\u000a +p40662 +tp40663 +a(g705 +g1040 +tp40664 +a(g681 +Vdeclare +p40665 +tp40666 +a(g826 +g1044 +tp40667 +a(g705 +g1040 +tp40668 +a(g440 +Vobsolete +p40669 +tp40670 +a(g826 +g1044 +tp40671 +a(g440 +Vcalled-interactively-p +p40672 +tp40673 +a(g826 +g1044 +tp40674 +a(g89 +g1068 +tp40675 +a(g89 +V23.2 +p40676 +tp40677 +a(g89 +g1068 +tp40678 +a(g705 +g1064 +tp40679 +a(g705 +g1064 +tp40680 +a(g826 +V\u000a +p40681 +tp40682 +a(g705 +g1040 +tp40683 +a(g440 +Vcalled-interactively-p +p40684 +tp40685 +a(g826 +g1044 +tp40686 +a(g117 +V'interactive +p40687 +tp40688 +a(g705 +g1064 +tp40689 +a(g705 +g1064 +tp40690 +a(g826 +V\u000a\u000a +p40691 +tp40692 +a(g705 +g1040 +tp40693 +a(g681 +Vdefun +p40694 +tp40695 +a(g826 +g1044 +tp40696 +a(g440 +Vinternal-push-keymap +p40697 +tp40698 +a(g826 +g1044 +tp40699 +a(g705 +g1040 +tp40700 +a(g440 +Vkeymap +p40701 +tp40702 +a(g826 +g1044 +tp40703 +a(g440 +Vsymbol +p40704 +tp40705 +a(g705 +g1064 +tp40706 +a(g826 +V\u000a +p40707 +tp40708 +a(g705 +g1040 +tp40709 +a(g744 +Vlet +p40710 +tp40711 +a(g826 +g1044 +tp40712 +a(g705 +g1040 +tp40713 +a(g705 +g1040 +tp40714 +a(g440 +Vmap +p40715 +tp40716 +a(g826 +g1044 +tp40717 +a(g705 +g1040 +tp40718 +a(g573 +Vsymbol-value +p40719 +tp40720 +a(g826 +g1044 +tp40721 +a(g440 +Vsymbol +p40722 +tp40723 +a(g705 +g1064 +tp40724 +a(g705 +g1064 +tp40725 +a(g705 +g1064 +tp40726 +a(g826 +V\u000a +p40727 +tp40728 +a(g705 +g1040 +tp40729 +a(g681 +Vunless +p40730 +tp40731 +a(g826 +g1044 +tp40732 +a(g705 +g1040 +tp40733 +a(g573 +Vmemq +p40734 +tp40735 +a(g826 +g1044 +tp40736 +a(g440 +Vkeymap +p40737 +tp40738 +a(g826 +g1044 +tp40739 +a(g440 +Vmap +p40740 +tp40741 +a(g705 +g1064 +tp40742 +a(g826 +V\u000a +p40743 +tp40744 +a(g705 +g1040 +tp40745 +a(g681 +Vunless +p40746 +tp40747 +a(g826 +g1044 +tp40748 +a(g705 +g1040 +tp40749 +a(g573 +Vmemq +p40750 +tp40751 +a(g826 +g1044 +tp40752 +a(g117 +V'add-keymap-witness +p40753 +tp40754 +a(g826 +g1044 +tp40755 +a(g705 +g1040 +tp40756 +a(g573 +Vsymbol-value +p40757 +tp40758 +a(g826 +g1044 +tp40759 +a(g440 +Vsymbol +p40760 +tp40761 +a(g705 +g1064 +tp40762 +a(g705 +g1064 +tp40763 +a(g826 +V\u000a +p40764 +tp40765 +a(g705 +g1040 +tp40766 +a(g744 +Vsetq +p40767 +tp40768 +a(g826 +g1044 +tp40769 +a(g440 +Vmap +p40770 +tp40771 +a(g826 +g1044 +tp40772 +a(g705 +g1040 +tp40773 +a(g440 +Vmake-composed-keymap +p40774 +tp40775 +a(g826 +g1044 +tp40776 +a(g565 +Vnil +p40777 +tp40778 +a(g826 +g1044 +tp40779 +a(g705 +g1040 +tp40780 +a(g573 +Vsymbol-value +p40781 +tp40782 +a(g826 +g1044 +tp40783 +a(g440 +Vsymbol +p40784 +tp40785 +a(g705 +g1064 +tp40786 +a(g705 +g1064 +tp40787 +a(g705 +g1064 +tp40788 +a(g826 +V\u000a +p40789 +tp40790 +a(g705 +g1040 +tp40791 +a(g681 +Vpush +p40792 +tp40793 +a(g826 +g1044 +tp40794 +a(g117 +V'add-keymap-witness +p40795 +tp40796 +a(g826 +g1044 +tp40797 +a(g705 +g1040 +tp40798 +a(g573 +Vcdr +p40799 +tp40800 +a(g826 +g1044 +tp40801 +a(g440 +Vmap +p40802 +tp40803 +a(g705 +g1064 +tp40804 +a(g705 +g1064 +tp40805 +a(g826 +V\u000a +p40806 +tp40807 +a(g705 +g1040 +tp40808 +a(g573 +Vset +p40809 +tp40810 +a(g826 +g1044 +tp40811 +a(g440 +Vsymbol +p40812 +tp40813 +a(g826 +g1044 +tp40814 +a(g440 +Vmap +p40815 +tp40816 +a(g705 +g1064 +tp40817 +a(g705 +g1064 +tp40818 +a(g826 +V\u000a +p40819 +tp40820 +a(g705 +g1040 +tp40821 +a(g681 +Vpush +p40822 +tp40823 +a(g826 +g1044 +tp40824 +a(g440 +Vkeymap +p40825 +tp40826 +a(g826 +g1044 +tp40827 +a(g705 +g1040 +tp40828 +a(g573 +Vcdr +p40829 +tp40830 +a(g826 +g1044 +tp40831 +a(g440 +Vmap +p40832 +tp40833 +a(g705 +g1064 +tp40834 +a(g705 +g1064 +tp40835 +a(g705 +g1064 +tp40836 +a(g705 +g1064 +tp40837 +a(g705 +g1064 +tp40838 +a(g826 +V\u000a\u000a +p40839 +tp40840 +a(g705 +g1040 +tp40841 +a(g681 +Vdefun +p40842 +tp40843 +a(g826 +g1044 +tp40844 +a(g440 +Vinternal-pop-keymap +p40845 +tp40846 +a(g826 +g1044 +tp40847 +a(g705 +g1040 +tp40848 +a(g440 +Vkeymap +p40849 +tp40850 +a(g826 +g1044 +tp40851 +a(g440 +Vsymbol +p40852 +tp40853 +a(g705 +g1064 +tp40854 +a(g826 +V\u000a +p40855 +tp40856 +a(g705 +g1040 +tp40857 +a(g744 +Vlet +p40858 +tp40859 +a(g826 +g1044 +tp40860 +a(g705 +g1040 +tp40861 +a(g705 +g1040 +tp40862 +a(g440 +Vmap +p40863 +tp40864 +a(g826 +g1044 +tp40865 +a(g705 +g1040 +tp40866 +a(g573 +Vsymbol-value +p40867 +tp40868 +a(g826 +g1044 +tp40869 +a(g440 +Vsymbol +p40870 +tp40871 +a(g705 +g1064 +tp40872 +a(g705 +g1064 +tp40873 +a(g705 +g1064 +tp40874 +a(g826 +V\u000a +p40875 +tp40876 +a(g705 +g1040 +tp40877 +a(g681 +Vwhen +p40878 +tp40879 +a(g826 +g1044 +tp40880 +a(g705 +g1040 +tp40881 +a(g573 +Vmemq +p40882 +tp40883 +a(g826 +g1044 +tp40884 +a(g440 +Vkeymap +p40885 +tp40886 +a(g826 +g1044 +tp40887 +a(g440 +Vmap +p40888 +tp40889 +a(g705 +g1064 +tp40890 +a(g826 +V\u000a +p40891 +tp40892 +a(g705 +g1040 +tp40893 +a(g681 +Vsetf +p40894 +tp40895 +a(g826 +g1044 +tp40896 +a(g705 +g1040 +tp40897 +a(g573 +Vcdr +p40898 +tp40899 +a(g826 +g1044 +tp40900 +a(g440 +Vmap +p40901 +tp40902 +a(g705 +g1064 +tp40903 +a(g826 +g1044 +tp40904 +a(g705 +g1040 +tp40905 +a(g573 +Vdelq +p40906 +tp40907 +a(g826 +g1044 +tp40908 +a(g440 +Vkeymap +p40909 +tp40910 +a(g826 +g1044 +tp40911 +a(g705 +g1040 +tp40912 +a(g573 +Vcdr +p40913 +tp40914 +a(g826 +g1044 +tp40915 +a(g440 +Vmap +p40916 +tp40917 +a(g705 +g1064 +tp40918 +a(g705 +g1064 +tp40919 +a(g705 +g1064 +tp40920 +a(g705 +g1064 +tp40921 +a(g826 +V\u000a +p40922 +tp40923 +a(g705 +g1040 +tp40924 +a(g744 +Vlet +p40925 +tp40926 +a(g826 +g1044 +tp40927 +a(g705 +g1040 +tp40928 +a(g705 +g1040 +tp40929 +a(g440 +Vtail +p40930 +tp40931 +a(g826 +g1044 +tp40932 +a(g705 +g1040 +tp40933 +a(g440 +Vcddr +p40934 +tp40935 +a(g826 +g1044 +tp40936 +a(g440 +Vmap +p40937 +tp40938 +a(g705 +g1064 +tp40939 +a(g705 +g1064 +tp40940 +a(g705 +g1064 +tp40941 +a(g826 +V\u000a +p40942 +tp40943 +a(g705 +g1040 +tp40944 +a(g744 +Vand +p40945 +tp40946 +a(g826 +g1044 +tp40947 +a(g705 +g1040 +tp40948 +a(g744 +Vor +p40949 +tp40950 +a(g826 +g1044 +tp40951 +a(g705 +g1040 +tp40952 +a(g573 +Vnull +p40953 +tp40954 +a(g826 +g1044 +tp40955 +a(g440 +Vtail +p40956 +tp40957 +a(g705 +g1064 +tp40958 +a(g826 +g1044 +tp40959 +a(g705 +g1040 +tp40960 +a(g573 +Vkeymapp +p40961 +tp40962 +a(g826 +g1044 +tp40963 +a(g440 +Vtail +p40964 +tp40965 +a(g705 +g1064 +tp40966 +a(g705 +g1064 +tp40967 +a(g826 +V\u000a +p40968 +tp40969 +a(g705 +g1040 +tp40970 +a(g573 +Veq +p40971 +tp40972 +a(g826 +g1044 +tp40973 +a(g117 +V'add-keymap-witness +p40974 +tp40975 +a(g826 +g1044 +tp40976 +a(g705 +g1040 +tp40977 +a(g573 +Vnth +p40978 +tp40979 +a(g826 +g1044 +tp40980 +a(g37 +g2048 +tp40981 +a(g826 +g1044 +tp40982 +a(g440 +Vmap +p40983 +tp40984 +a(g705 +g1064 +tp40985 +a(g705 +g1064 +tp40986 +a(g826 +V\u000a +p40987 +tp40988 +a(g705 +g1040 +tp40989 +a(g573 +Vset +p40990 +tp40991 +a(g826 +g1044 +tp40992 +a(g440 +Vsymbol +p40993 +tp40994 +a(g826 +g1044 +tp40995 +a(g440 +Vtail +p40996 +tp40997 +a(g705 +g1064 +tp40998 +a(g705 +g1064 +tp40999 +a(g705 +g1064 +tp41000 +a(g705 +g1064 +tp41001 +a(g705 +g1064 +tp41002 +a(g826 +V\u000a\u000a +p41003 +tp41004 +a(g705 +g1040 +tp41005 +a(g681 +Vdefine-obsolete-function-alias +p41006 +tp41007 +a(g826 +V\u000a +p41008 +tp41009 +a(g117 +V'set-temporary-overlay-map +p41010 +tp41011 +a(g826 +g1044 +tp41012 +a(g117 +V'set-transient-map +p41013 +tp41014 +a(g826 +g1044 +tp41015 +a(g89 +g1068 +tp41016 +a(g89 +V24.4 +p41017 +tp41018 +a(g89 +g1068 +tp41019 +a(g705 +g1064 +tp41020 +a(g826 +V\u000a\u000a +p41021 +tp41022 +a(g705 +g1040 +tp41023 +a(g681 +Vdefun +p41024 +tp41025 +a(g826 +g1044 +tp41026 +a(g440 +Vset-transient-map +p41027 +tp41028 +a(g826 +g1044 +tp41029 +a(g705 +g1040 +tp41030 +a(g440 +Vmap +p41031 +tp41032 +a(g826 +g1044 +tp41033 +a(g785 +V&optional +p41034 +tp41035 +a(g826 +g1044 +tp41036 +a(g440 +Vkeep-pred +p41037 +tp41038 +a(g826 +g1044 +tp41039 +a(g440 +Von-exit +p41040 +tp41041 +a(g705 +g1064 +tp41042 +a(g826 +V\u000a +p41043 +tp41044 +a(g89 +g1068 +tp41045 +a(g89 +VSet MAP as a temporary keymap taking precedence over other keymaps.\u000aNormally, MAP is used only once, to look up the very next key.\u000aHowever, if the optional argument KEEP-PRED is t, MAP stays\u000aactive if a key from MAP is used. KEEP-PRED can also be a\u000afunction of no arguments: it is called from +p41046 +tp41047 +a(g117 +V`pre-command-hook' +p41048 +tp41049 +a(g89 +V and\u000aif it returns non-nil, then MAP stays active.\u000a\u000aOptional arg ON-EXIT, if non-nil, specifies a function that is\u000acalled, with no arguments, after MAP is deactivated.\u000a\u000aThis uses +p41050 +tp41051 +a(g117 +V`overriding-terminal-local-map' +p41052 +tp41053 +a(g89 +V which takes precedence over all other\u000akeymaps. As usual, if no match for a key is found in MAP, the normal key\u000alookup sequence then continues.\u000a\u000aThis returns an +p41054 +tp41055 +a(g89 +V\u005c" +p41056 +tp41057 +a(g89 +Vexit function +p41058 +tp41059 +a(g89 +V\u005c" +p41060 +tp41061 +a(g89 +V, which can be called with no argument\u000ato deactivate this transient map, regardless of KEEP-PRED. +p41062 +tp41063 +a(g89 +g1068 +tp41064 +a(g826 +V\u000a +p41065 +tp41066 +a(g705 +g1040 +tp41067 +a(g744 +Vlet* +p41068 +tp41069 +a(g826 +g1044 +tp41070 +a(g705 +g1040 +tp41071 +a(g705 +g1040 +tp41072 +a(g440 +Vclearfun +p41073 +tp41074 +a(g826 +g1044 +tp41075 +a(g705 +g1040 +tp41076 +a(g573 +Vmake-symbol +p41077 +tp41078 +a(g826 +g1044 +tp41079 +a(g89 +g1068 +tp41080 +a(g89 +Vclear-transient-map +p41081 +tp41082 +a(g89 +g1068 +tp41083 +a(g705 +g1064 +tp41084 +a(g705 +g1064 +tp41085 +a(g826 +V\u000a +p41086 +tp41087 +a(g705 +g1040 +tp41088 +a(g440 +Vexitfun +p41089 +tp41090 +a(g826 +V\u000a +p41091 +tp41092 +a(g705 +g1040 +tp41093 +a(g681 +Vlambda +p41094 +tp41095 +a(g826 +g1044 +tp41096 +a(g705 +g1040 +tp41097 +a(g705 +g1064 +tp41098 +a(g826 +V\u000a +p41099 +tp41100 +a(g705 +g1040 +tp41101 +a(g440 +Vinternal-pop-keymap +p41102 +tp41103 +a(g826 +g1044 +tp41104 +a(g440 +Vmap +p41105 +tp41106 +a(g826 +g1044 +tp41107 +a(g117 +V'overriding-terminal-local-map +p41108 +tp41109 +a(g705 +g1064 +tp41110 +a(g826 +V\u000a +p41111 +tp41112 +a(g705 +g1040 +tp41113 +a(g440 +Vremove-hook +p41114 +tp41115 +a(g826 +g1044 +tp41116 +a(g117 +V'pre-command-hook +p41117 +tp41118 +a(g826 +g1044 +tp41119 +a(g440 +Vclearfun +p41120 +tp41121 +a(g705 +g1064 +tp41122 +a(g826 +V\u000a +p41123 +tp41124 +a(g705 +g1040 +tp41125 +a(g681 +Vwhen +p41126 +tp41127 +a(g826 +g1044 +tp41128 +a(g440 +Von-exit +p41129 +tp41130 +a(g826 +g1044 +tp41131 +a(g705 +g1040 +tp41132 +a(g573 +Vfuncall +p41133 +tp41134 +a(g826 +g1044 +tp41135 +a(g440 +Von-exit +p41136 +tp41137 +a(g705 +g1064 +tp41138 +a(g705 +g1064 +tp41139 +a(g705 +g1064 +tp41140 +a(g705 +g1064 +tp41141 +a(g705 +g1064 +tp41142 +a(g826 +V\u000a +p41143 +tp41144 +a(g8 +V;; Don't use letrec, because equal (in add/remove-hook) would get trapped +p41145 +tp41146 +a(g826 +V\u000a +p41147 +tp41148 +a(g8 +V;; in a cycle. +p41149 +tp41150 +a(g826 +V\u000a +p41151 +tp41152 +a(g705 +g1040 +tp41153 +a(g573 +Vfset +p41154 +tp41155 +a(g826 +g1044 +tp41156 +a(g440 +Vclearfun +p41157 +tp41158 +a(g826 +V\u000a +p41159 +tp41160 +a(g705 +g1040 +tp41161 +a(g681 +Vlambda +p41162 +tp41163 +a(g826 +g1044 +tp41164 +a(g705 +g1040 +tp41165 +a(g705 +g1064 +tp41166 +a(g826 +V\u000a +p41167 +tp41168 +a(g705 +g1040 +tp41169 +a(g681 +Vwith-demoted-errors +p41170 +tp41171 +a(g826 +g1044 +tp41172 +a(g89 +g1068 +tp41173 +a(g89 +Vset-transient-map PCH: %S +p41174 +tp41175 +a(g89 +g1068 +tp41176 +a(g826 +V\u000a +p41177 +tp41178 +a(g705 +g1040 +tp41179 +a(g681 +Vunless +p41180 +tp41181 +a(g826 +g1044 +tp41182 +a(g705 +g1040 +tp41183 +a(g744 +Vcond +p41184 +tp41185 +a(g826 +V\u000a +p41186 +tp41187 +a(g705 +g1040 +tp41188 +a(g705 +g1040 +tp41189 +a(g573 +Vnull +p41190 +tp41191 +a(g826 +g1044 +tp41192 +a(g440 +Vkeep-pred +p41193 +tp41194 +a(g705 +g1064 +tp41195 +a(g826 +g1044 +tp41196 +a(g565 +Vnil +p41197 +tp41198 +a(g705 +g1064 +tp41199 +a(g826 +V\u000a +p41200 +tp41201 +a(g705 +g1040 +tp41202 +a(g705 +g1040 +tp41203 +a(g440 +Vnot +p41204 +tp41205 +a(g826 +g1044 +tp41206 +a(g705 +g1040 +tp41207 +a(g573 +Veq +p41208 +tp41209 +a(g826 +g1044 +tp41210 +a(g440 +Vmap +p41211 +tp41212 +a(g826 +g1044 +tp41213 +a(g705 +g1040 +tp41214 +a(g440 +Vcadr +p41215 +tp41216 +a(g826 +g1044 +tp41217 +a(g440 +Voverriding-terminal-local-map +p41218 +tp41219 +a(g705 +g1064 +tp41220 +a(g705 +g1064 +tp41221 +a(g705 +g1064 +tp41222 +a(g826 +V\u000a +p41223 +tp41224 +a(g8 +V;; There's presumably some other transient-map in +p41225 +tp41226 +a(g826 +V\u000a +p41227 +tp41228 +a(g8 +V;; effect. Wait for that one to terminate before we +p41229 +tp41230 +a(g826 +V\u000a +p41231 +tp41232 +a(g8 +V;; remove ourselves. +p41233 +tp41234 +a(g826 +V\u000a +p41235 +tp41236 +a(g8 +V;; For example, if isearch and C-u both use transient +p41237 +tp41238 +a(g826 +V\u000a +p41239 +tp41240 +a(g8 +V;; maps, then the lifetime of the C-u should be nested +p41241 +tp41242 +a(g826 +V\u000a +p41243 +tp41244 +a(g8 +V;; within isearch's, so the pre-command-hook of +p41245 +tp41246 +a(g826 +V\u000a +p41247 +tp41248 +a(g8 +V;; isearch should be suspended during the C-u one so +p41249 +tp41250 +a(g826 +V\u000a +p41251 +tp41252 +a(g8 +V;; we don't exit isearch just because we hit 1 after +p41253 +tp41254 +a(g826 +V\u000a +p41255 +tp41256 +a(g8 +V;; C-u and that 1 exits isearch whereas it doesn't +p41257 +tp41258 +a(g826 +V\u000a +p41259 +tp41260 +a(g8 +V;; exit C-u. +p41261 +tp41262 +a(g826 +V\u000a +p41263 +tp41264 +a(g565 +g1187 +tp41265 +a(g705 +g1064 +tp41266 +a(g826 +V\u000a +p41267 +tp41268 +a(g705 +g1040 +tp41269 +a(g705 +g1040 +tp41270 +a(g573 +Veq +p41271 +tp41272 +a(g826 +g1044 +tp41273 +a(g565 +g1187 +tp41274 +a(g826 +g1044 +tp41275 +a(g440 +Vkeep-pred +p41276 +tp41277 +a(g705 +g1064 +tp41278 +a(g826 +V\u000a +p41279 +tp41280 +a(g705 +g1040 +tp41281 +a(g573 +Veq +p41282 +tp41283 +a(g826 +g1044 +tp41284 +a(g440 +Vthis-command +p41285 +tp41286 +a(g826 +V\u000a +p41287 +tp41288 +a(g705 +g1040 +tp41289 +a(g573 +Vlookup-key +p41290 +tp41291 +a(g826 +g1044 +tp41292 +a(g440 +Vmap +p41293 +tp41294 +a(g826 +g1044 +tp41295 +a(g705 +g1040 +tp41296 +a(g573 +Vthis-command-keys-vector +p41297 +tp41298 +a(g705 +g1064 +tp41299 +a(g705 +g1064 +tp41300 +a(g705 +g1064 +tp41301 +a(g705 +g1064 +tp41302 +a(g826 +V\u000a +p41303 +tp41304 +a(g705 +g1040 +tp41305 +a(g565 +g1187 +tp41306 +a(g826 +g1044 +tp41307 +a(g705 +g1040 +tp41308 +a(g573 +Vfuncall +p41309 +tp41310 +a(g826 +g1044 +tp41311 +a(g440 +Vkeep-pred +p41312 +tp41313 +a(g705 +g1064 +tp41314 +a(g705 +g1064 +tp41315 +a(g705 +g1064 +tp41316 +a(g826 +V\u000a +p41317 +tp41318 +a(g705 +g1040 +tp41319 +a(g573 +Vfuncall +p41320 +tp41321 +a(g826 +g1044 +tp41322 +a(g440 +Vexitfun +p41323 +tp41324 +a(g705 +g1064 +tp41325 +a(g705 +g1064 +tp41326 +a(g705 +g1064 +tp41327 +a(g705 +g1064 +tp41328 +a(g705 +g1064 +tp41329 +a(g826 +V\u000a +p41330 +tp41331 +a(g705 +g1040 +tp41332 +a(g440 +Vadd-hook +p41333 +tp41334 +a(g826 +g1044 +tp41335 +a(g117 +V'pre-command-hook +p41336 +tp41337 +a(g826 +g1044 +tp41338 +a(g440 +Vclearfun +p41339 +tp41340 +a(g705 +g1064 +tp41341 +a(g826 +V\u000a +p41342 +tp41343 +a(g705 +g1040 +tp41344 +a(g440 +Vinternal-push-keymap +p41345 +tp41346 +a(g826 +g1044 +tp41347 +a(g440 +Vmap +p41348 +tp41349 +a(g826 +g1044 +tp41350 +a(g117 +V'overriding-terminal-local-map +p41351 +tp41352 +a(g705 +g1064 +tp41353 +a(g826 +V\u000a +p41354 +tp41355 +a(g440 +Vexitfun +p41356 +tp41357 +a(g705 +g1064 +tp41358 +a(g705 +g1064 +tp41359 +a(g826 +V\u000a\u000a +p41360 +tp41361 +a(g8 +V;;;; Progress reporters. +p41362 +tp41363 +a(g826 +V\u000a\u000a +p41364 +tp41365 +a(g8 +V;; Progress reporter has the following structure: +p41366 +tp41367 +a(g826 +V\u000a +p41368 +tp41369 +a(g8 +V;; +p41370 +tp41371 +a(g826 +V\u000a +p41372 +tp41373 +a(g8 +V;; (NEXT-UPDATE-VALUE . [NEXT-UPDATE-TIME +p41374 +tp41375 +a(g826 +V\u000a +p41376 +tp41377 +a(g8 +V;; MIN-VALUE +p41378 +tp41379 +a(g826 +V\u000a +p41380 +tp41381 +a(g8 +V;; MAX-VALUE +p41382 +tp41383 +a(g826 +V\u000a +p41384 +tp41385 +a(g8 +V;; MESSAGE +p41386 +tp41387 +a(g826 +V\u000a +p41388 +tp41389 +a(g8 +V;; MIN-CHANGE +p41390 +tp41391 +a(g826 +V\u000a +p41392 +tp41393 +a(g8 +V;; MIN-TIME]) +p41394 +tp41395 +a(g826 +V\u000a +p41396 +tp41397 +a(g8 +V;; +p41398 +tp41399 +a(g826 +V\u000a +p41400 +tp41401 +a(g8 +V;; This weirdness is for optimization reasons: we want +p41402 +tp41403 +a(g826 +V\u000a +p41404 +tp41405 +a(g8 +V;; `progress-reporter-update' to be as fast as possible, so +p41406 +tp41407 +a(g826 +V\u000a +p41408 +tp41409 +a(g8 +V;; `(car reporter)' is better than `(aref reporter 0)'. +p41410 +tp41411 +a(g826 +V\u000a +p41412 +tp41413 +a(g8 +V;; +p41414 +tp41415 +a(g826 +V\u000a +p41416 +tp41417 +a(g8 +V;; NEXT-UPDATE-TIME is a float. While `float-time' loses a couple +p41418 +tp41419 +a(g826 +V\u000a +p41420 +tp41421 +a(g8 +V;; digits of precision, it doesn't really matter here. On the other +p41422 +tp41423 +a(g826 +V\u000a +p41424 +tp41425 +a(g8 +V;; hand, it greatly simplifies the code. +p41426 +tp41427 +a(g826 +V\u000a\u000a +p41428 +tp41429 +a(g705 +g1040 +tp41430 +a(g681 +Vdefsubst +p41431 +tp41432 +a(g826 +g1044 +tp41433 +a(g440 +Vprogress-reporter-update +p41434 +tp41435 +a(g826 +g1044 +tp41436 +a(g705 +g1040 +tp41437 +a(g440 +Vreporter +p41438 +tp41439 +a(g826 +g1044 +tp41440 +a(g785 +V&optional +p41441 +tp41442 +a(g826 +g1044 +tp41443 +a(g440 +Vvalue +p41444 +tp41445 +a(g705 +g1064 +tp41446 +a(g826 +V\u000a +p41447 +tp41448 +a(g89 +g1068 +tp41449 +a(g89 +VReport progress of an operation in the echo area.\u000aREPORTER should be the result of a call to +p41450 +tp41451 +a(g117 +V`make-progress-reporter' +p41452 +tp41453 +a(g89 +V.\u000a\u000aIf REPORTER is a numerical progress reporter---i.e. if it was\u000a made using non-nil MIN-VALUE and MAX-VALUE arguments to\u000a +p41454 +tp41455 +a(g117 +V`make-progress-reporter' +p41456 +tp41457 +a(g89 +V---then VALUE should be a number between\u000a MIN-VALUE and MAX-VALUE.\u000a\u000aIf REPORTER is a non-numerical reporter, VALUE should be nil.\u000a\u000aThis function is relatively inexpensive. If the change since\u000alast update is too small or insufficient time has passed, it does\u000anothing. +p41458 +tp41459 +a(g89 +g1068 +tp41460 +a(g826 +V\u000a +p41461 +tp41462 +a(g705 +g1040 +tp41463 +a(g681 +Vwhen +p41464 +tp41465 +a(g826 +g1044 +tp41466 +a(g705 +g1040 +tp41467 +a(g744 +Vor +p41468 +tp41469 +a(g826 +g1044 +tp41470 +a(g705 +g1040 +tp41471 +a(g440 +Vnot +p41472 +tp41473 +a(g826 +g1044 +tp41474 +a(g705 +g1040 +tp41475 +a(g573 +Vnumberp +p41476 +tp41477 +a(g826 +g1044 +tp41478 +a(g440 +Vvalue +p41479 +tp41480 +a(g705 +g1064 +tp41481 +a(g705 +g1064 +tp41482 +a(g826 +V +p41483 +tp41484 +a(g8 +V; For pulsing reporter +p41485 +tp41486 +a(g826 +V\u000a +p41487 +tp41488 +a(g705 +g1040 +tp41489 +a(g573 +V>= +p41490 +tp41491 +a(g826 +g1044 +tp41492 +a(g440 +Vvalue +p41493 +tp41494 +a(g826 +g1044 +tp41495 +a(g705 +g1040 +tp41496 +a(g573 +Vcar +p41497 +tp41498 +a(g826 +g1044 +tp41499 +a(g440 +Vreporter +p41500 +tp41501 +a(g705 +g1064 +tp41502 +a(g705 +g1064 +tp41503 +a(g705 +g1064 +tp41504 +a(g826 +g1044 +tp41505 +a(g8 +V; For numerical reporter +p41506 +tp41507 +a(g826 +V\u000a +p41508 +tp41509 +a(g705 +g1040 +tp41510 +a(g440 +Vprogress-reporter-do-update +p41511 +tp41512 +a(g826 +g1044 +tp41513 +a(g440 +Vreporter +p41514 +tp41515 +a(g826 +g1044 +tp41516 +a(g440 +Vvalue +p41517 +tp41518 +a(g705 +g1064 +tp41519 +a(g705 +g1064 +tp41520 +a(g705 +g1064 +tp41521 +a(g826 +V\u000a\u000a +p41522 +tp41523 +a(g705 +g1040 +tp41524 +a(g681 +Vdefun +p41525 +tp41526 +a(g826 +g1044 +tp41527 +a(g440 +Vmake-progress-reporter +p41528 +tp41529 +a(g826 +g1044 +tp41530 +a(g705 +g1040 +tp41531 +a(g573 +Vmessage +p41532 +tp41533 +a(g826 +g1044 +tp41534 +a(g785 +V&optional +p41535 +tp41536 +a(g826 +g1044 +tp41537 +a(g440 +Vmin-value +p41538 +tp41539 +a(g826 +g1044 +tp41540 +a(g440 +Vmax-value +p41541 +tp41542 +a(g826 +V\u000a +p41543 +tp41544 +a(g440 +Vcurrent-value +p41545 +tp41546 +a(g826 +g1044 +tp41547 +a(g440 +Vmin-change +p41548 +tp41549 +a(g826 +g1044 +tp41550 +a(g440 +Vmin-time +p41551 +tp41552 +a(g705 +g1064 +tp41553 +a(g826 +V\u000a +p41554 +tp41555 +a(g89 +g1068 +tp41556 +a(g89 +VReturn progress reporter object for use with +p41557 +tp41558 +a(g117 +V`progress-reporter-update' +p41559 +tp41560 +a(g89 +V.\u000a\u000aMESSAGE is shown in the echo area, with a status indicator\u000aappended to the end. When you call +p41561 +tp41562 +a(g117 +V`progress-reporter-done' +p41563 +tp41564 +a(g89 +V, the\u000aword +p41565 +tp41566 +a(g89 +V\u005c" +p41567 +tp41568 +a(g89 +Vdone +p41569 +tp41570 +a(g89 +V\u005c" +p41571 +tp41572 +a(g89 +V is printed after the MESSAGE. You can change the\u000aMESSAGE of an existing progress reporter by calling\u000a +p41573 +tp41574 +a(g117 +V`progress-reporter-force-update' +p41575 +tp41576 +a(g89 +V.\u000a\u000aMIN-VALUE and MAX-VALUE, if non-nil, are starting (0% complete)\u000aand final (100% complete) states of operation; the latter should\u000abe larger. In this case, the status message shows the percentage\u000aprogress.\u000a\u000aIf MIN-VALUE and/or MAX-VALUE is omitted or nil, the status\u000amessage shows a +p41577 +tp41578 +a(g89 +V\u005c" +p41579 +tp41580 +a(g89 +Vspinning +p41581 +tp41582 +a(g89 +V\u005c" +p41583 +tp41584 +a(g89 +V, non-numeric indicator.\u000a\u000aOptional CURRENT-VALUE is the initial progress; the default is\u000aMIN-VALUE.\u000aOptional MIN-CHANGE is the minimal change in percents to report;\u000athe default is 1%.\u000aCURRENT-VALUE and MIN-CHANGE do not have any effect if MIN-VALUE\u000aand/or MAX-VALUE are nil.\u000a\u000aOptional MIN-TIME specifies the minimum interval time between\u000aecho area updates (default is 0.2 seconds.) If the function\u000a +p41585 +tp41586 +a(g117 +V`float-time' +p41587 +tp41588 +a(g89 +V is not present, time is not tracked at all. If the\u000aOS is not capable of measuring fractions of seconds, this\u000aparameter is effectively rounded up. +p41589 +tp41590 +a(g89 +g1068 +tp41591 +a(g826 +V\u000a +p41592 +tp41593 +a(g705 +g1040 +tp41594 +a(g681 +Vwhen +p41595 +tp41596 +a(g826 +g1044 +tp41597 +a(g705 +g1040 +tp41598 +a(g573 +Vstring-match +p41599 +tp41600 +a(g826 +g1044 +tp41601 +a(g89 +g1068 +tp41602 +a(g89 +V[[:alnum:]] +p41603 +tp41604 +a(g89 +V\u005c\u005c +p41605 +tp41606 +a(g89 +g10833 +tp41607 +a(g89 +g1068 +tp41608 +a(g826 +g1044 +tp41609 +a(g573 +Vmessage +p41610 +tp41611 +a(g705 +g1064 +tp41612 +a(g826 +V\u000a +p41613 +tp41614 +a(g705 +g1040 +tp41615 +a(g744 +Vsetq +p41616 +tp41617 +a(g826 +g1044 +tp41618 +a(g573 +Vmessage +p41619 +tp41620 +a(g826 +g1044 +tp41621 +a(g705 +g1040 +tp41622 +a(g573 +Vconcat +p41623 +tp41624 +a(g826 +g1044 +tp41625 +a(g573 +Vmessage +p41626 +tp41627 +a(g826 +g1044 +tp41628 +a(g89 +g1068 +tp41629 +a(g89 +V... +p41630 +tp41631 +a(g89 +g1068 +tp41632 +a(g705 +g1064 +tp41633 +a(g705 +g1064 +tp41634 +a(g705 +g1064 +tp41635 +a(g826 +V\u000a +p41636 +tp41637 +a(g705 +g1040 +tp41638 +a(g681 +Vunless +p41639 +tp41640 +a(g826 +g1044 +tp41641 +a(g440 +Vmin-time +p41642 +tp41643 +a(g826 +V\u000a +p41644 +tp41645 +a(g705 +g1040 +tp41646 +a(g744 +Vsetq +p41647 +tp41648 +a(g826 +g1044 +tp41649 +a(g440 +Vmin-time +p41650 +tp41651 +a(g826 +g1044 +tp41652 +a(g314 +V0.2 +p41653 +tp41654 +a(g705 +g1064 +tp41655 +a(g705 +g1064 +tp41656 +a(g826 +V\u000a +p41657 +tp41658 +a(g705 +g1040 +tp41659 +a(g744 +Vlet +p41660 +tp41661 +a(g826 +g1044 +tp41662 +a(g705 +g1040 +tp41663 +a(g705 +g1040 +tp41664 +a(g440 +Vreporter +p41665 +tp41666 +a(g826 +V\u000a +p41667 +tp41668 +a(g8 +V;; Force a call to `message' now +p41669 +tp41670 +a(g826 +V\u000a +p41671 +tp41672 +a(g705 +g1040 +tp41673 +a(g573 +Vcons +p41674 +tp41675 +a(g826 +g1044 +tp41676 +a(g705 +g1040 +tp41677 +a(g744 +Vor +p41678 +tp41679 +a(g826 +g1044 +tp41680 +a(g440 +Vmin-value +p41681 +tp41682 +a(g826 +g1044 +tp41683 +a(g37 +g2628 +tp41684 +a(g705 +g1064 +tp41685 +a(g826 +V\u000a +p41686 +tp41687 +a(g705 +g1040 +tp41688 +a(g573 +Vvector +p41689 +tp41690 +a(g826 +g1044 +tp41691 +a(g705 +g1040 +tp41692 +a(g744 +Vif +p41693 +tp41694 +a(g826 +g1044 +tp41695 +a(g705 +g1040 +tp41696 +a(g744 +Vand +p41697 +tp41698 +a(g826 +g1044 +tp41699 +a(g705 +g1040 +tp41700 +a(g573 +Vfboundp +p41701 +tp41702 +a(g826 +g1044 +tp41703 +a(g117 +V'float-time +p41704 +tp41705 +a(g705 +g1064 +tp41706 +a(g826 +V\u000a +p41707 +tp41708 +a(g705 +g1040 +tp41709 +a(g573 +V>= +p41710 +tp41711 +a(g826 +g1044 +tp41712 +a(g440 +Vmin-time +p41713 +tp41714 +a(g826 +g1044 +tp41715 +a(g314 +V0.02 +p41716 +tp41717 +a(g705 +g1064 +tp41718 +a(g705 +g1064 +tp41719 +a(g826 +V\u000a +p41720 +tp41721 +a(g705 +g1040 +tp41722 +a(g573 +Vfloat-time +p41723 +tp41724 +a(g705 +g1064 +tp41725 +a(g826 +g1044 +tp41726 +a(g565 +Vnil +p41727 +tp41728 +a(g705 +g1064 +tp41729 +a(g826 +V\u000a +p41730 +tp41731 +a(g440 +Vmin-value +p41732 +tp41733 +a(g826 +V\u000a +p41734 +tp41735 +a(g440 +Vmax-value +p41736 +tp41737 +a(g826 +V\u000a +p41738 +tp41739 +a(g573 +Vmessage +p41740 +tp41741 +a(g826 +V\u000a +p41742 +tp41743 +a(g705 +g1040 +tp41744 +a(g744 +Vif +p41745 +tp41746 +a(g826 +g1044 +tp41747 +a(g440 +Vmin-change +p41748 +tp41749 +a(g826 +g1044 +tp41750 +a(g705 +g1040 +tp41751 +a(g573 +Vmax +p41752 +tp41753 +a(g826 +g1044 +tp41754 +a(g705 +g1040 +tp41755 +a(g573 +Vmin +p41756 +tp41757 +a(g826 +g1044 +tp41758 +a(g440 +Vmin-change +p41759 +tp41760 +a(g826 +g1044 +tp41761 +a(g37 +V50 +p41762 +tp41763 +a(g705 +g1064 +tp41764 +a(g826 +g1044 +tp41765 +a(g37 +g2048 +tp41766 +a(g705 +g1064 +tp41767 +a(g826 +g1044 +tp41768 +a(g37 +g2048 +tp41769 +a(g705 +g1064 +tp41770 +a(g826 +V\u000a +p41771 +tp41772 +a(g440 +Vmin-time +p41773 +tp41774 +a(g705 +g1064 +tp41775 +a(g705 +g1064 +tp41776 +a(g705 +g1064 +tp41777 +a(g705 +g1064 +tp41778 +a(g826 +V\u000a +p41779 +tp41780 +a(g705 +g1040 +tp41781 +a(g440 +Vprogress-reporter-update +p41782 +tp41783 +a(g826 +g1044 +tp41784 +a(g440 +Vreporter +p41785 +tp41786 +a(g826 +g1044 +tp41787 +a(g705 +g1040 +tp41788 +a(g744 +Vor +p41789 +tp41790 +a(g826 +g1044 +tp41791 +a(g440 +Vcurrent-value +p41792 +tp41793 +a(g826 +g1044 +tp41794 +a(g440 +Vmin-value +p41795 +tp41796 +a(g705 +g1064 +tp41797 +a(g705 +g1064 +tp41798 +a(g826 +V\u000a +p41799 +tp41800 +a(g440 +Vreporter +p41801 +tp41802 +a(g705 +g1064 +tp41803 +a(g705 +g1064 +tp41804 +a(g826 +V\u000a\u000a +p41805 +tp41806 +a(g705 +g1040 +tp41807 +a(g681 +Vdefun +p41808 +tp41809 +a(g826 +g1044 +tp41810 +a(g440 +Vprogress-reporter-force-update +p41811 +tp41812 +a(g826 +g1044 +tp41813 +a(g705 +g1040 +tp41814 +a(g440 +Vreporter +p41815 +tp41816 +a(g826 +g1044 +tp41817 +a(g785 +V&optional +p41818 +tp41819 +a(g826 +g1044 +tp41820 +a(g440 +Vvalue +p41821 +tp41822 +a(g826 +g1044 +tp41823 +a(g440 +Vnew-message +p41824 +tp41825 +a(g705 +g1064 +tp41826 +a(g826 +V\u000a +p41827 +tp41828 +a(g89 +g1068 +tp41829 +a(g89 +VReport progress of an operation in the echo area unconditionally.\u000a\u000aThe first two arguments are the same as in +p41830 +tp41831 +a(g117 +V`progress-reporter-update' +p41832 +tp41833 +a(g89 +V.\u000aNEW-MESSAGE, if non-nil, sets a new message for the reporter. +p41834 +tp41835 +a(g89 +g1068 +tp41836 +a(g826 +V\u000a +p41837 +tp41838 +a(g705 +g1040 +tp41839 +a(g744 +Vlet +p41840 +tp41841 +a(g826 +g1044 +tp41842 +a(g705 +g1040 +tp41843 +a(g705 +g1040 +tp41844 +a(g440 +Vparameters +p41845 +tp41846 +a(g826 +g1044 +tp41847 +a(g705 +g1040 +tp41848 +a(g573 +Vcdr +p41849 +tp41850 +a(g826 +g1044 +tp41851 +a(g440 +Vreporter +p41852 +tp41853 +a(g705 +g1064 +tp41854 +a(g705 +g1064 +tp41855 +a(g705 +g1064 +tp41856 +a(g826 +V\u000a +p41857 +tp41858 +a(g705 +g1040 +tp41859 +a(g681 +Vwhen +p41860 +tp41861 +a(g826 +g1044 +tp41862 +a(g440 +Vnew-message +p41863 +tp41864 +a(g826 +V\u000a +p41865 +tp41866 +a(g705 +g1040 +tp41867 +a(g573 +Vaset +p41868 +tp41869 +a(g826 +g1044 +tp41870 +a(g440 +Vparameters +p41871 +tp41872 +a(g826 +g1044 +tp41873 +a(g37 +g1564 +tp41874 +a(g826 +g1044 +tp41875 +a(g440 +Vnew-message +p41876 +tp41877 +a(g705 +g1064 +tp41878 +a(g705 +g1064 +tp41879 +a(g826 +V\u000a +p41880 +tp41881 +a(g705 +g1040 +tp41882 +a(g681 +Vwhen +p41883 +tp41884 +a(g826 +g1044 +tp41885 +a(g705 +g1040 +tp41886 +a(g573 +Varef +p41887 +tp41888 +a(g826 +g1044 +tp41889 +a(g440 +Vparameters +p41890 +tp41891 +a(g826 +g1044 +tp41892 +a(g37 +g2628 +tp41893 +a(g705 +g1064 +tp41894 +a(g826 +V\u000a +p41895 +tp41896 +a(g705 +g1040 +tp41897 +a(g573 +Vaset +p41898 +tp41899 +a(g826 +g1044 +tp41900 +a(g440 +Vparameters +p41901 +tp41902 +a(g826 +g1044 +tp41903 +a(g37 +g2628 +tp41904 +a(g826 +g1044 +tp41905 +a(g705 +g1040 +tp41906 +a(g573 +Vfloat-time +p41907 +tp41908 +a(g705 +g1064 +tp41909 +a(g705 +g1064 +tp41910 +a(g705 +g1064 +tp41911 +a(g826 +V\u000a +p41912 +tp41913 +a(g705 +g1040 +tp41914 +a(g440 +Vprogress-reporter-do-update +p41915 +tp41916 +a(g826 +g1044 +tp41917 +a(g440 +Vreporter +p41918 +tp41919 +a(g826 +g1044 +tp41920 +a(g440 +Vvalue +p41921 +tp41922 +a(g705 +g1064 +tp41923 +a(g705 +g1064 +tp41924 +a(g705 +g1064 +tp41925 +a(g826 +V\u000a\u000a +p41926 +tp41927 +a(g705 +g1040 +tp41928 +a(g744 +Vdefvar +p41929 +tp41930 +a(g826 +g1044 +tp41931 +a(g440 +Vprogress-reporter--pulse-characters +p41932 +tp41933 +a(g826 +g1044 +tp41934 +a(g705 +g1395 +tp41935 +a(g89 +g1068 +tp41936 +a(g89 +g3788 +tp41937 +a(g89 +g1068 +tp41938 +a(g826 +g1044 +tp41939 +a(g89 +g1068 +tp41940 +a(g89 +V\u005c\u005c +p41941 +tp41942 +a(g89 +g1068 +tp41943 +a(g826 +g1044 +tp41944 +a(g89 +g1068 +tp41945 +a(g89 +g34128 +tp41946 +a(g89 +g1068 +tp41947 +a(g826 +g1044 +tp41948 +a(g89 +g1068 +tp41949 +a(g89 +g12106 +tp41950 +a(g89 +g1068 +tp41951 +a(g705 +g1402 +tp41952 +a(g826 +V\u000a +p41953 +tp41954 +a(g89 +g1068 +tp41955 +a(g89 +VCharacters to use for pulsing progress reporters. +p41956 +tp41957 +a(g89 +g1068 +tp41958 +a(g705 +g1064 +tp41959 +a(g826 +V\u000a\u000a +p41960 +tp41961 +a(g705 +g1040 +tp41962 +a(g681 +Vdefun +p41963 +tp41964 +a(g826 +g1044 +tp41965 +a(g440 +Vprogress-reporter-do-update +p41966 +tp41967 +a(g826 +g1044 +tp41968 +a(g705 +g1040 +tp41969 +a(g440 +Vreporter +p41970 +tp41971 +a(g826 +g1044 +tp41972 +a(g440 +Vvalue +p41973 +tp41974 +a(g705 +g1064 +tp41975 +a(g826 +V\u000a +p41976 +tp41977 +a(g705 +g1040 +tp41978 +a(g744 +Vlet* +p41979 +tp41980 +a(g826 +g1044 +tp41981 +a(g705 +g1040 +tp41982 +a(g705 +g1040 +tp41983 +a(g440 +Vparameters +p41984 +tp41985 +a(g826 +V +p41986 +tp41987 +a(g705 +g1040 +tp41988 +a(g573 +Vcdr +p41989 +tp41990 +a(g826 +g1044 +tp41991 +a(g440 +Vreporter +p41992 +tp41993 +a(g705 +g1064 +tp41994 +a(g705 +g1064 +tp41995 +a(g826 +V\u000a +p41996 +tp41997 +a(g705 +g1040 +tp41998 +a(g440 +Vupdate-time +p41999 +tp42000 +a(g826 +V +p42001 +tp42002 +a(g705 +g1040 +tp42003 +a(g573 +Varef +p42004 +tp42005 +a(g826 +g1044 +tp42006 +a(g440 +Vparameters +p42007 +tp42008 +a(g826 +g1044 +tp42009 +a(g37 +g2628 +tp42010 +a(g705 +g1064 +tp42011 +a(g705 +g1064 +tp42012 +a(g826 +V\u000a +p42013 +tp42014 +a(g705 +g1040 +tp42015 +a(g440 +Vmin-value +p42016 +tp42017 +a(g826 +V +p42018 +tp42019 +a(g705 +g1040 +tp42020 +a(g573 +Varef +p42021 +tp42022 +a(g826 +g1044 +tp42023 +a(g440 +Vparameters +p42024 +tp42025 +a(g826 +g1044 +tp42026 +a(g37 +g2048 +tp42027 +a(g705 +g1064 +tp42028 +a(g705 +g1064 +tp42029 +a(g826 +V\u000a +p42030 +tp42031 +a(g705 +g1040 +tp42032 +a(g440 +Vmax-value +p42033 +tp42034 +a(g826 +V +p42035 +tp42036 +a(g705 +g1040 +tp42037 +a(g573 +Varef +p42038 +tp42039 +a(g826 +g1044 +tp42040 +a(g440 +Vparameters +p42041 +tp42042 +a(g826 +g1044 +tp42043 +a(g37 +g1370 +tp42044 +a(g705 +g1064 +tp42045 +a(g705 +g1064 +tp42046 +a(g826 +V\u000a +p42047 +tp42048 +a(g705 +g1040 +tp42049 +a(g440 +Vtext +p42050 +tp42051 +a(g826 +V +p42052 +tp42053 +a(g705 +g1040 +tp42054 +a(g573 +Varef +p42055 +tp42056 +a(g826 +g1044 +tp42057 +a(g440 +Vparameters +p42058 +tp42059 +a(g826 +g1044 +tp42060 +a(g37 +g1564 +tp42061 +a(g705 +g1064 +tp42062 +a(g705 +g1064 +tp42063 +a(g826 +V\u000a +p42064 +tp42065 +a(g705 +g1040 +tp42066 +a(g440 +Venough-time-passed +p42067 +tp42068 +a(g826 +V\u000a +p42069 +tp42070 +a(g8 +V;; See if enough time has passed since the last update. +p42071 +tp42072 +a(g826 +V\u000a +p42073 +tp42074 +a(g705 +g1040 +tp42075 +a(g744 +Vor +p42076 +tp42077 +a(g826 +g1044 +tp42078 +a(g705 +g1040 +tp42079 +a(g440 +Vnot +p42080 +tp42081 +a(g826 +g1044 +tp42082 +a(g440 +Vupdate-time +p42083 +tp42084 +a(g705 +g1064 +tp42085 +a(g826 +V\u000a +p42086 +tp42087 +a(g705 +g1040 +tp42088 +a(g681 +Vwhen +p42089 +tp42090 +a(g826 +g1044 +tp42091 +a(g705 +g1040 +tp42092 +a(g573 +V>= +p42093 +tp42094 +a(g826 +g1044 +tp42095 +a(g705 +g1040 +tp42096 +a(g573 +Vfloat-time +p42097 +tp42098 +a(g705 +g1064 +tp42099 +a(g826 +g1044 +tp42100 +a(g440 +Vupdate-time +p42101 +tp42102 +a(g705 +g1064 +tp42103 +a(g826 +V\u000a +p42104 +tp42105 +a(g8 +V;; Calculate time for the next update +p42106 +tp42107 +a(g826 +V\u000a +p42108 +tp42109 +a(g705 +g1040 +tp42110 +a(g573 +Vaset +p42111 +tp42112 +a(g826 +g1044 +tp42113 +a(g440 +Vparameters +p42114 +tp42115 +a(g826 +g1044 +tp42116 +a(g37 +g2628 +tp42117 +a(g826 +g1044 +tp42118 +a(g705 +g1040 +tp42119 +a(g573 +g4607 +tp42120 +a(g826 +g1044 +tp42121 +a(g440 +Vupdate-time +p42122 +tp42123 +a(g826 +g1044 +tp42124 +a(g705 +g1040 +tp42125 +a(g573 +Varef +p42126 +tp42127 +a(g826 +g1044 +tp42128 +a(g440 +Vparameters +p42129 +tp42130 +a(g826 +g1044 +tp42131 +a(g37 +g9848 +tp42132 +a(g705 +g1064 +tp42133 +a(g705 +g1064 +tp42134 +a(g705 +g1064 +tp42135 +a(g705 +g1064 +tp42136 +a(g705 +g1064 +tp42137 +a(g705 +g1064 +tp42138 +a(g705 +g1064 +tp42139 +a(g826 +V\u000a +p42140 +tp42141 +a(g705 +g1040 +tp42142 +a(g744 +Vcond +p42143 +tp42144 +a(g826 +g1044 +tp42145 +a(g705 +g1040 +tp42146 +a(g705 +g1040 +tp42147 +a(g744 +Vand +p42148 +tp42149 +a(g826 +g1044 +tp42150 +a(g440 +Vmin-value +p42151 +tp42152 +a(g826 +g1044 +tp42153 +a(g440 +Vmax-value +p42154 +tp42155 +a(g705 +g1064 +tp42156 +a(g826 +V\u000a +p42157 +tp42158 +a(g8 +V;; Numerical indicator +p42159 +tp42160 +a(g826 +V\u000a +p42161 +tp42162 +a(g705 +g1040 +tp42163 +a(g744 +Vlet* +p42164 +tp42165 +a(g826 +g1044 +tp42166 +a(g705 +g1040 +tp42167 +a(g705 +g1040 +tp42168 +a(g440 +Vone-percent +p42169 +tp42170 +a(g826 +g1044 +tp42171 +a(g705 +g1040 +tp42172 +a(g573 +g12106 +tp42173 +a(g826 +g1044 +tp42174 +a(g705 +g1040 +tp42175 +a(g573 +g3788 +tp42176 +a(g826 +g1044 +tp42177 +a(g440 +Vmax-value +p42178 +tp42179 +a(g826 +g1044 +tp42180 +a(g440 +Vmin-value +p42181 +tp42182 +a(g705 +g1064 +tp42183 +a(g826 +g1044 +tp42184 +a(g314 +V100.0 +p42185 +tp42186 +a(g705 +g1064 +tp42187 +a(g705 +g1064 +tp42188 +a(g826 +V\u000a +p42189 +tp42190 +a(g705 +g1040 +tp42191 +a(g440 +Vpercentage +p42192 +tp42193 +a(g826 +V +p42194 +tp42195 +a(g705 +g1040 +tp42196 +a(g744 +Vif +p42197 +tp42198 +a(g826 +g1044 +tp42199 +a(g705 +g1040 +tp42200 +a(g573 +g4082 +tp42201 +a(g826 +g1044 +tp42202 +a(g440 +Vmax-value +p42203 +tp42204 +a(g826 +g1044 +tp42205 +a(g440 +Vmin-value +p42206 +tp42207 +a(g705 +g1064 +tp42208 +a(g826 +V\u000a +p42209 +tp42210 +a(g37 +g2628 +tp42211 +a(g826 +V\u000a +p42212 +tp42213 +a(g705 +g1040 +tp42214 +a(g573 +Vtruncate +p42215 +tp42216 +a(g826 +g1044 +tp42217 +a(g705 +g1040 +tp42218 +a(g573 +g12106 +tp42219 +a(g826 +g1044 +tp42220 +a(g705 +g1040 +tp42221 +a(g573 +g3788 +tp42222 +a(g826 +g1044 +tp42223 +a(g440 +Vvalue +p42224 +tp42225 +a(g826 +g1044 +tp42226 +a(g440 +Vmin-value +p42227 +tp42228 +a(g705 +g1064 +tp42229 +a(g826 +V\u000a +p42230 +tp42231 +a(g440 +Vone-percent +p42232 +tp42233 +a(g705 +g1064 +tp42234 +a(g705 +g1064 +tp42235 +a(g705 +g1064 +tp42236 +a(g705 +g1064 +tp42237 +a(g705 +g1064 +tp42238 +a(g826 +V\u000a +p42239 +tp42240 +a(g8 +V;; Calculate NEXT-UPDATE-VALUE. If we are not printing +p42241 +tp42242 +a(g826 +V\u000a +p42243 +tp42244 +a(g8 +V;; message because not enough time has passed, use 1 +p42245 +tp42246 +a(g826 +V\u000a +p42247 +tp42248 +a(g8 +V;; instead of MIN-CHANGE. This makes delays between echo +p42249 +tp42250 +a(g826 +V\u000a +p42251 +tp42252 +a(g8 +V;; area updates closer to MIN-TIME. +p42253 +tp42254 +a(g826 +V\u000a +p42255 +tp42256 +a(g705 +g1040 +tp42257 +a(g573 +Vsetcar +p42258 +tp42259 +a(g826 +g1044 +tp42260 +a(g440 +Vreporter +p42261 +tp42262 +a(g826 +V\u000a +p42263 +tp42264 +a(g705 +g1040 +tp42265 +a(g573 +Vmin +p42266 +tp42267 +a(g826 +g1044 +tp42268 +a(g705 +g1040 +tp42269 +a(g573 +g4607 +tp42270 +a(g826 +g1044 +tp42271 +a(g440 +Vmin-value +p42272 +tp42273 +a(g826 +g1044 +tp42274 +a(g705 +g1040 +tp42275 +a(g573 +g4614 +tp42276 +a(g826 +g1044 +tp42277 +a(g705 +g1040 +tp42278 +a(g573 +g4607 +tp42279 +a(g826 +g1044 +tp42280 +a(g440 +Vpercentage +p42281 +tp42282 +a(g826 +V\u000a +p42283 +tp42284 +a(g705 +g1040 +tp42285 +a(g744 +Vif +p42286 +tp42287 +a(g826 +g1044 +tp42288 +a(g440 +Venough-time-passed +p42289 +tp42290 +a(g826 +V\u000a +p42291 +tp42292 +a(g8 +V;; MIN-CHANGE +p42293 +tp42294 +a(g826 +V\u000a +p42295 +tp42296 +a(g705 +g1040 +tp42297 +a(g573 +Varef +p42298 +tp42299 +a(g826 +g1044 +tp42300 +a(g440 +Vparameters +p42301 +tp42302 +a(g826 +g1044 +tp42303 +a(g37 +g9799 +tp42304 +a(g705 +g1064 +tp42305 +a(g826 +V\u000a +p42306 +tp42307 +a(g37 +g2048 +tp42308 +a(g705 +g1064 +tp42309 +a(g705 +g1064 +tp42310 +a(g826 +V\u000a +p42311 +tp42312 +a(g440 +Vone-percent +p42313 +tp42314 +a(g705 +g1064 +tp42315 +a(g705 +g1064 +tp42316 +a(g826 +V\u000a +p42317 +tp42318 +a(g440 +Vmax-value +p42319 +tp42320 +a(g705 +g1064 +tp42321 +a(g705 +g1064 +tp42322 +a(g826 +V\u000a +p42323 +tp42324 +a(g705 +g1040 +tp42325 +a(g681 +Vwhen +p42326 +tp42327 +a(g826 +g1044 +tp42328 +a(g705 +g1040 +tp42329 +a(g573 +Vintegerp +p42330 +tp42331 +a(g826 +g1044 +tp42332 +a(g440 +Vvalue +p42333 +tp42334 +a(g705 +g1064 +tp42335 +a(g826 +V\u000a +p42336 +tp42337 +a(g705 +g1040 +tp42338 +a(g573 +Vsetcar +p42339 +tp42340 +a(g826 +g1044 +tp42341 +a(g440 +Vreporter +p42342 +tp42343 +a(g826 +g1044 +tp42344 +a(g705 +g1040 +tp42345 +a(g573 +Vceiling +p42346 +tp42347 +a(g826 +g1044 +tp42348 +a(g705 +g1040 +tp42349 +a(g573 +Vcar +p42350 +tp42351 +a(g826 +g1044 +tp42352 +a(g440 +Vreporter +p42353 +tp42354 +a(g705 +g1064 +tp42355 +a(g705 +g1064 +tp42356 +a(g705 +g1064 +tp42357 +a(g705 +g1064 +tp42358 +a(g826 +V\u000a +p42359 +tp42360 +a(g8 +V;; Only print message if enough time has passed +p42361 +tp42362 +a(g826 +V\u000a +p42363 +tp42364 +a(g705 +g1040 +tp42365 +a(g681 +Vwhen +p42366 +tp42367 +a(g826 +g1044 +tp42368 +a(g440 +Venough-time-passed +p42369 +tp42370 +a(g826 +V\u000a +p42371 +tp42372 +a(g705 +g1040 +tp42373 +a(g744 +Vif +p42374 +tp42375 +a(g826 +g1044 +tp42376 +a(g705 +g1040 +tp42377 +a(g573 +g3989 +tp42378 +a(g826 +g1044 +tp42379 +a(g440 +Vpercentage +p42380 +tp42381 +a(g826 +g1044 +tp42382 +a(g37 +g2628 +tp42383 +a(g705 +g1064 +tp42384 +a(g826 +V\u000a +p42385 +tp42386 +a(g705 +g1040 +tp42387 +a(g573 +Vmessage +p42388 +tp42389 +a(g826 +g1044 +tp42390 +a(g89 +g1068 +tp42391 +a(g89 +V%s%d%% +p42392 +tp42393 +a(g89 +g1068 +tp42394 +a(g826 +g1044 +tp42395 +a(g440 +Vtext +p42396 +tp42397 +a(g826 +g1044 +tp42398 +a(g440 +Vpercentage +p42399 +tp42400 +a(g705 +g1064 +tp42401 +a(g826 +V\u000a +p42402 +tp42403 +a(g705 +g1040 +tp42404 +a(g573 +Vmessage +p42405 +tp42406 +a(g826 +g1044 +tp42407 +a(g89 +g1068 +tp42408 +a(g89 +V%s +p42409 +tp42410 +a(g89 +g1068 +tp42411 +a(g826 +g1044 +tp42412 +a(g440 +Vtext +p42413 +tp42414 +a(g705 +g1064 +tp42415 +a(g705 +g1064 +tp42416 +a(g705 +g1064 +tp42417 +a(g705 +g1064 +tp42418 +a(g705 +g1064 +tp42419 +a(g826 +V\u000a +p42420 +tp42421 +a(g8 +V;; Pulsing indicator +p42422 +tp42423 +a(g826 +V\u000a +p42424 +tp42425 +a(g705 +g1040 +tp42426 +a(g440 +Venough-time-passed +p42427 +tp42428 +a(g826 +V\u000a +p42429 +tp42430 +a(g705 +g1040 +tp42431 +a(g744 +Vlet +p42432 +tp42433 +a(g826 +g1044 +tp42434 +a(g705 +g1040 +tp42435 +a(g705 +g1040 +tp42436 +a(g440 +Vindex +p42437 +tp42438 +a(g826 +g1044 +tp42439 +a(g705 +g1040 +tp42440 +a(g573 +Vmod +p42441 +tp42442 +a(g826 +g1044 +tp42443 +a(g705 +g1040 +tp42444 +a(g573 +V1+ +p42445 +tp42446 +a(g826 +g1044 +tp42447 +a(g705 +g1040 +tp42448 +a(g573 +Vcar +p42449 +tp42450 +a(g826 +g1044 +tp42451 +a(g440 +Vreporter +p42452 +tp42453 +a(g705 +g1064 +tp42454 +a(g705 +g1064 +tp42455 +a(g826 +g1044 +tp42456 +a(g37 +g9799 +tp42457 +a(g705 +g1064 +tp42458 +a(g705 +g1064 +tp42459 +a(g826 +V\u000a +p42460 +tp42461 +a(g705 +g1040 +tp42462 +a(g440 +Vmessage-log-max +p42463 +tp42464 +a(g826 +g1044 +tp42465 +a(g565 +Vnil +p42466 +tp42467 +a(g705 +g1064 +tp42468 +a(g705 +g1064 +tp42469 +a(g826 +V\u000a +p42470 +tp42471 +a(g705 +g1040 +tp42472 +a(g573 +Vsetcar +p42473 +tp42474 +a(g826 +g1044 +tp42475 +a(g440 +Vreporter +p42476 +tp42477 +a(g826 +g1044 +tp42478 +a(g440 +Vindex +p42479 +tp42480 +a(g705 +g1064 +tp42481 +a(g826 +V\u000a +p42482 +tp42483 +a(g705 +g1040 +tp42484 +a(g573 +Vmessage +p42485 +tp42486 +a(g826 +g1044 +tp42487 +a(g89 +g1068 +tp42488 +a(g89 +V%s %s +p42489 +tp42490 +a(g89 +g1068 +tp42491 +a(g826 +V\u000a +p42492 +tp42493 +a(g440 +Vtext +p42494 +tp42495 +a(g826 +V\u000a +p42496 +tp42497 +a(g705 +g1040 +tp42498 +a(g573 +Varef +p42499 +tp42500 +a(g826 +g1044 +tp42501 +a(g440 +Vprogress-reporter--pulse-characters +p42502 +tp42503 +a(g826 +V\u000a +p42504 +tp42505 +a(g440 +Vindex +p42506 +tp42507 +a(g705 +g1064 +tp42508 +a(g705 +g1064 +tp42509 +a(g705 +g1064 +tp42510 +a(g705 +g1064 +tp42511 +a(g705 +g1064 +tp42512 +a(g705 +g1064 +tp42513 +a(g705 +g1064 +tp42514 +a(g826 +V\u000a\u000a +p42515 +tp42516 +a(g705 +g1040 +tp42517 +a(g681 +Vdefun +p42518 +tp42519 +a(g826 +g1044 +tp42520 +a(g440 +Vprogress-reporter-done +p42521 +tp42522 +a(g826 +g1044 +tp42523 +a(g705 +g1040 +tp42524 +a(g440 +Vreporter +p42525 +tp42526 +a(g705 +g1064 +tp42527 +a(g826 +V\u000a +p42528 +tp42529 +a(g89 +g1068 +tp42530 +a(g89 +VPrint reporter's message followed by word +p42531 +tp42532 +a(g89 +V\u005c" +p42533 +tp42534 +a(g89 +Vdone +p42535 +tp42536 +a(g89 +V\u005c" +p42537 +tp42538 +a(g89 +V in echo area. +p42539 +tp42540 +a(g89 +g1068 +tp42541 +a(g826 +V\u000a +p42542 +tp42543 +a(g705 +g1040 +tp42544 +a(g573 +Vmessage +p42545 +tp42546 +a(g826 +g1044 +tp42547 +a(g89 +g1068 +tp42548 +a(g89 +V%sdone +p42549 +tp42550 +a(g89 +g1068 +tp42551 +a(g826 +g1044 +tp42552 +a(g705 +g1040 +tp42553 +a(g573 +Varef +p42554 +tp42555 +a(g826 +g1044 +tp42556 +a(g705 +g1040 +tp42557 +a(g573 +Vcdr +p42558 +tp42559 +a(g826 +g1044 +tp42560 +a(g440 +Vreporter +p42561 +tp42562 +a(g705 +g1064 +tp42563 +a(g826 +g1044 +tp42564 +a(g37 +g1564 +tp42565 +a(g705 +g1064 +tp42566 +a(g705 +g1064 +tp42567 +a(g705 +g1064 +tp42568 +a(g826 +V\u000a\u000a +p42569 +tp42570 +a(g705 +g1040 +tp42571 +a(g681 +Vdefmacro +p42572 +tp42573 +a(g826 +g1044 +tp42574 +a(g681 +Vdotimes-with-progress-reporter +p42575 +tp42576 +a(g826 +g1044 +tp42577 +a(g705 +g1040 +tp42578 +a(g440 +Vspec +p42579 +tp42580 +a(g826 +g1044 +tp42581 +a(g573 +Vmessage +p42582 +tp42583 +a(g826 +g1044 +tp42584 +a(g785 +V&rest +p42585 +tp42586 +a(g826 +g1044 +tp42587 +a(g440 +Vbody +p42588 +tp42589 +a(g705 +g1064 +tp42590 +a(g826 +V\u000a +p42591 +tp42592 +a(g89 +g1068 +tp42593 +a(g89 +VLoop a certain number of times and report progress in the echo area.\u000aEvaluate BODY with VAR bound to successive integers running from\u000a0, inclusive, to COUNT, exclusive. Then evaluate RESULT to get\u000athe return value (nil if RESULT is omitted).\u000a\u000aAt each iteration MESSAGE followed by progress percentage is\u000aprinted in the echo area. After the loop is finished, MESSAGE\u000afollowed by word +p42594 +tp42595 +a(g89 +V\u005c" +p42596 +tp42597 +a(g89 +Vdone +p42598 +tp42599 +a(g89 +V\u005c" +p42600 +tp42601 +a(g89 +V is printed. This macro is a\u000aconvenience wrapper around +p42602 +tp42603 +a(g117 +V`make-progress-reporter' +p42604 +tp42605 +a(g89 +V and friends.\u000a\u000a +p42606 +tp42607 +a(g89 +V\u005c( +p42608 +tp42609 +a(g89 +Vfn (VAR COUNT [RESULT]) MESSAGE BODY...) +p42610 +tp42611 +a(g89 +g1068 +tp42612 +a(g826 +V\u000a +p42613 +tp42614 +a(g705 +g1040 +tp42615 +a(g681 +Vdeclare +p42616 +tp42617 +a(g826 +g1044 +tp42618 +a(g705 +g1040 +tp42619 +a(g440 +Vindent +p42620 +tp42621 +a(g826 +g1044 +tp42622 +a(g37 +g1370 +tp42623 +a(g705 +g1064 +tp42624 +a(g826 +g1044 +tp42625 +a(g705 +g1040 +tp42626 +a(g440 +Vdebug +p42627 +tp42628 +a(g826 +g1044 +tp42629 +a(g705 +g1040 +tp42630 +a(g705 +g1040 +tp42631 +a(g573 +Vsymbolp +p42632 +tp42633 +a(g826 +g1044 +tp42634 +a(g440 +Vform +p42635 +tp42636 +a(g826 +g1044 +tp42637 +a(g785 +V&optional +p42638 +tp42639 +a(g826 +g1044 +tp42640 +a(g440 +Vform +p42641 +tp42642 +a(g705 +g1064 +tp42643 +a(g826 +g1044 +tp42644 +a(g440 +Vform +p42645 +tp42646 +a(g826 +g1044 +tp42647 +a(g440 +Vbody +p42648 +tp42649 +a(g705 +g1064 +tp42650 +a(g705 +g1064 +tp42651 +a(g705 +g1064 +tp42652 +a(g826 +V\u000a +p42653 +tp42654 +a(g705 +g1040 +tp42655 +a(g744 +Vlet +p42656 +tp42657 +a(g826 +g1044 +tp42658 +a(g705 +g1040 +tp42659 +a(g705 +g1040 +tp42660 +a(g440 +Vtemp +p42661 +tp42662 +a(g826 +g1044 +tp42663 +a(g705 +g1040 +tp42664 +a(g573 +Vmake-symbol +p42665 +tp42666 +a(g826 +g1044 +tp42667 +a(g89 +g1068 +tp42668 +a(g89 +V--dotimes-temp-- +p42669 +tp42670 +a(g89 +g1068 +tp42671 +a(g705 +g1064 +tp42672 +a(g705 +g1064 +tp42673 +a(g826 +V\u000a +p42674 +tp42675 +a(g705 +g1040 +tp42676 +a(g440 +Vtemp2 +p42677 +tp42678 +a(g826 +g1044 +tp42679 +a(g705 +g1040 +tp42680 +a(g573 +Vmake-symbol +p42681 +tp42682 +a(g826 +g1044 +tp42683 +a(g89 +g1068 +tp42684 +a(g89 +V--dotimes-temp2-- +p42685 +tp42686 +a(g89 +g1068 +tp42687 +a(g705 +g1064 +tp42688 +a(g705 +g1064 +tp42689 +a(g826 +V\u000a +p42690 +tp42691 +a(g705 +g1040 +tp42692 +a(g440 +Vstart +p42693 +tp42694 +a(g826 +g1044 +tp42695 +a(g37 +g2628 +tp42696 +a(g705 +g1064 +tp42697 +a(g826 +V\u000a +p42698 +tp42699 +a(g705 +g1040 +tp42700 +a(g440 +Vend +p42701 +tp42702 +a(g826 +g1044 +tp42703 +a(g705 +g1040 +tp42704 +a(g573 +Vnth +p42705 +tp42706 +a(g826 +g1044 +tp42707 +a(g37 +g2048 +tp42708 +a(g826 +g1044 +tp42709 +a(g440 +Vspec +p42710 +tp42711 +a(g705 +g1064 +tp42712 +a(g705 +g1064 +tp42713 +a(g705 +g1064 +tp42714 +a(g826 +V\u000a +p42715 +tp42716 +a(g412 +g1128 +tp42717 +a(g705 +g1040 +tp42718 +a(g744 +Vlet +p42719 +tp42720 +a(g826 +g1044 +tp42721 +a(g705 +g1040 +tp42722 +a(g705 +g1040 +tp42723 +a(g412 +g1198 +tp42724 +a(g440 +Vtemp +p42725 +tp42726 +a(g826 +g1044 +tp42727 +a(g412 +g1198 +tp42728 +a(g440 +Vend +p42729 +tp42730 +a(g705 +g1064 +tp42731 +a(g826 +V\u000a +p42732 +tp42733 +a(g705 +g1040 +tp42734 +a(g412 +g1198 +tp42735 +a(g705 +g1040 +tp42736 +a(g573 +Vcar +p42737 +tp42738 +a(g826 +g1044 +tp42739 +a(g440 +Vspec +p42740 +tp42741 +a(g705 +g1064 +tp42742 +a(g826 +g1044 +tp42743 +a(g412 +g1198 +tp42744 +a(g440 +Vstart +p42745 +tp42746 +a(g705 +g1064 +tp42747 +a(g826 +V\u000a +p42748 +tp42749 +a(g705 +g1040 +tp42750 +a(g412 +g1198 +tp42751 +a(g440 +Vtemp2 +p42752 +tp42753 +a(g826 +g1044 +tp42754 +a(g705 +g1040 +tp42755 +a(g440 +Vmake-progress-reporter +p42756 +tp42757 +a(g826 +g1044 +tp42758 +a(g412 +g1198 +tp42759 +a(g573 +Vmessage +p42760 +tp42761 +a(g826 +g1044 +tp42762 +a(g412 +g1198 +tp42763 +a(g440 +Vstart +p42764 +tp42765 +a(g826 +g1044 +tp42766 +a(g412 +g1198 +tp42767 +a(g440 +Vend +p42768 +tp42769 +a(g705 +g1064 +tp42770 +a(g705 +g1064 +tp42771 +a(g705 +g1064 +tp42772 +a(g826 +V\u000a +p42773 +tp42774 +a(g705 +g1040 +tp42775 +a(g744 +Vwhile +p42776 +tp42777 +a(g826 +g1044 +tp42778 +a(g705 +g1040 +tp42779 +a(g573 +g2719 +tp42780 +a(g826 +g1044 +tp42781 +a(g412 +g1198 +tp42782 +a(g705 +g1040 +tp42783 +a(g573 +Vcar +p42784 +tp42785 +a(g826 +g1044 +tp42786 +a(g440 +Vspec +p42787 +tp42788 +a(g705 +g1064 +tp42789 +a(g826 +g1044 +tp42790 +a(g412 +g1198 +tp42791 +a(g440 +Vtemp +p42792 +tp42793 +a(g705 +g1064 +tp42794 +a(g826 +V\u000a +p42795 +tp42796 +a(g412 +V,@ +p42797 +tp42798 +a(g440 +Vbody +p42799 +tp42800 +a(g826 +V\u000a +p42801 +tp42802 +a(g705 +g1040 +tp42803 +a(g440 +Vprogress-reporter-update +p42804 +tp42805 +a(g826 +g1044 +tp42806 +a(g412 +g1198 +tp42807 +a(g440 +Vtemp2 +p42808 +tp42809 +a(g826 +V\u000a +p42810 +tp42811 +a(g705 +g1040 +tp42812 +a(g744 +Vsetq +p42813 +tp42814 +a(g826 +g1044 +tp42815 +a(g412 +g1198 +tp42816 +a(g705 +g1040 +tp42817 +a(g573 +Vcar +p42818 +tp42819 +a(g826 +g1044 +tp42820 +a(g440 +Vspec +p42821 +tp42822 +a(g705 +g1064 +tp42823 +a(g826 +g1044 +tp42824 +a(g705 +g1040 +tp42825 +a(g573 +V1+ +p42826 +tp42827 +a(g826 +g1044 +tp42828 +a(g412 +g1198 +tp42829 +a(g705 +g1040 +tp42830 +a(g573 +Vcar +p42831 +tp42832 +a(g826 +g1044 +tp42833 +a(g440 +Vspec +p42834 +tp42835 +a(g705 +g1064 +tp42836 +a(g705 +g1064 +tp42837 +a(g705 +g1064 +tp42838 +a(g705 +g1064 +tp42839 +a(g705 +g1064 +tp42840 +a(g826 +V\u000a +p42841 +tp42842 +a(g705 +g1040 +tp42843 +a(g440 +Vprogress-reporter-done +p42844 +tp42845 +a(g826 +g1044 +tp42846 +a(g412 +g1198 +tp42847 +a(g440 +Vtemp2 +p42848 +tp42849 +a(g705 +g1064 +tp42850 +a(g826 +V\u000a +p42851 +tp42852 +a(g565 +Vnil +p42853 +tp42854 +a(g826 +g1044 +tp42855 +a(g412 +V,@ +p42856 +tp42857 +a(g705 +g1040 +tp42858 +a(g573 +Vcdr +p42859 +tp42860 +a(g826 +g1044 +tp42861 +a(g705 +g1040 +tp42862 +a(g573 +Vcdr +p42863 +tp42864 +a(g826 +g1044 +tp42865 +a(g440 +Vspec +p42866 +tp42867 +a(g705 +g1064 +tp42868 +a(g705 +g1064 +tp42869 +a(g705 +g1064 +tp42870 +a(g705 +g1064 +tp42871 +a(g705 +g1064 +tp42872 +a(g826 +V\u000a\u000a \u000a +p42873 +tp42874 +a(g8 +V;;;; Comparing version strings. +p42875 +tp42876 +a(g826 +V\u000a\u000a +p42877 +tp42878 +a(g705 +g1040 +tp42879 +a(g744 +Vdefconst +p42880 +tp42881 +a(g826 +g1044 +tp42882 +a(g440 +Vversion-separator +p42883 +tp42884 +a(g826 +g1044 +tp42885 +a(g89 +g1068 +tp42886 +a(g89 +g3307 +tp42887 +a(g89 +g1068 +tp42888 +a(g826 +V\u000a +p42889 +tp42890 +a(g89 +g1068 +tp42891 +a(g89 +VSpecify the string used to separate the version elements.\u000a\u000aUsually the separator is +p42892 +tp42893 +a(g89 +V\u005c" +p42894 +tp42895 +a(g89 +g3307 +tp42896 +a(g89 +V\u005c" +p42897 +tp42898 +a(g89 +V, but it can be any other string. +p42899 +tp42900 +a(g89 +g1068 +tp42901 +a(g705 +g1064 +tp42902 +a(g826 +V\u000a\u000a\u000a +p42903 +tp42904 +a(g705 +g1040 +tp42905 +a(g744 +Vdefconst +p42906 +tp42907 +a(g826 +g1044 +tp42908 +a(g440 +Vversion-regexp-alist +p42909 +tp42910 +a(g826 +V\u000a +p42911 +tp42912 +a(g412 +g10833 +tp42913 +a(g705 +g1040 +tp42914 +a(g705 +g1040 +tp42915 +a(g89 +g1068 +tp42916 +a(g89 +V^[-_+ ]?snapshot$ +p42917 +tp42918 +a(g89 +g1068 +tp42919 +a(g826 +V +p42920 +tp42921 +a(g412 +g3307 +tp42922 +a(g826 +g1044 +tp42923 +a(g37 +V-4 +p42924 +tp42925 +a(g705 +g1064 +tp42926 +a(g826 +V\u000a +p42927 +tp42928 +a(g8 +V;; treat "1.2.3-20050920" and "1.2-3" as snapshot releases +p42929 +tp42930 +a(g826 +V\u000a +p42931 +tp42932 +a(g705 +g1040 +tp42933 +a(g89 +g1068 +tp42934 +a(g89 +V^[-_+]$ +p42935 +tp42936 +a(g89 +g1068 +tp42937 +a(g826 +V +p42938 +tp42939 +a(g412 +g3307 +tp42940 +a(g826 +g1044 +tp42941 +a(g37 +V-4 +p42942 +tp42943 +a(g705 +g1064 +tp42944 +a(g826 +V\u000a +p42945 +tp42946 +a(g8 +V;; treat "1.2.3-CVS" as snapshot release +p42947 +tp42948 +a(g826 +V\u000a +p42949 +tp42950 +a(g705 +g1040 +tp42951 +a(g89 +g1068 +tp42952 +a(g89 +V^[-_+ ]? +p42953 +tp42954 +a(g89 +V\u005c\u005c +p42955 +tp42956 +a(g89 +V(cvs +p42957 +tp42958 +a(g89 +V\u005c\u005c +p42959 +tp42960 +a(g89 +V|git +p42961 +tp42962 +a(g89 +V\u005c\u005c +p42963 +tp42964 +a(g89 +V|bzr +p42965 +tp42966 +a(g89 +V\u005c\u005c +p42967 +tp42968 +a(g89 +V|svn +p42969 +tp42970 +a(g89 +V\u005c\u005c +p42971 +tp42972 +a(g89 +V|hg +p42973 +tp42974 +a(g89 +V\u005c\u005c +p42975 +tp42976 +a(g89 +V|darcs +p42977 +tp42978 +a(g89 +V\u005c\u005c +p42979 +tp42980 +a(g89 +V)$ +p42981 +tp42982 +a(g89 +g1068 +tp42983 +a(g826 +g1044 +tp42984 +a(g412 +g3307 +tp42985 +a(g826 +g1044 +tp42986 +a(g37 +V-4 +p42987 +tp42988 +a(g705 +g1064 +tp42989 +a(g826 +V\u000a +p42990 +tp42991 +a(g705 +g1040 +tp42992 +a(g89 +g1068 +tp42993 +a(g89 +V^[-_+ ]?alpha$ +p42994 +tp42995 +a(g89 +g1068 +tp42996 +a(g826 +V +p42997 +tp42998 +a(g412 +g3307 +tp42999 +a(g826 +g1044 +tp43000 +a(g37 +V-3 +p43001 +tp43002 +a(g705 +g1064 +tp43003 +a(g826 +V\u000a +p43004 +tp43005 +a(g705 +g1040 +tp43006 +a(g89 +g1068 +tp43007 +a(g89 +V^[-_+ ]?beta$ +p43008 +tp43009 +a(g89 +g1068 +tp43010 +a(g826 +V +p43011 +tp43012 +a(g412 +g3307 +tp43013 +a(g826 +g1044 +tp43014 +a(g37 +V-2 +p43015 +tp43016 +a(g705 +g1064 +tp43017 +a(g826 +V\u000a +p43018 +tp43019 +a(g705 +g1040 +tp43020 +a(g89 +g1068 +tp43021 +a(g89 +V^[-_+ ]? +p43022 +tp43023 +a(g89 +V\u005c\u005c +p43024 +tp43025 +a(g89 +V(pre +p43026 +tp43027 +a(g89 +V\u005c\u005c +p43028 +tp43029 +a(g89 +V|rc +p43030 +tp43031 +a(g89 +V\u005c\u005c +p43032 +tp43033 +a(g89 +V)$ +p43034 +tp43035 +a(g89 +g1068 +tp43036 +a(g826 +V +p43037 +tp43038 +a(g412 +g3307 +tp43039 +a(g826 +g1044 +tp43040 +a(g37 +V-1 +p43041 +tp43042 +a(g705 +g1064 +tp43043 +a(g705 +g1064 +tp43044 +a(g826 +V\u000a +p43045 +tp43046 +a(g89 +g1068 +tp43047 +a(g89 +VSpecify association between non-numeric version and its priority.\u000a\u000aThis association is used to handle version string like +p43048 +tp43049 +a(g89 +V\u005c" +p43050 +tp43051 +a(g89 +V1.0pre2 +p43052 +tp43053 +a(g89 +V\u005c" +p43054 +tp43055 +a(g89 +V,\u000a +p43056 +tp43057 +a(g89 +V\u005c" +p43058 +tp43059 +a(g89 +V0.9alpha1 +p43060 +tp43061 +a(g89 +V\u005c" +p43062 +tp43063 +a(g89 +V, etc. It's used by +p43064 +tp43065 +a(g117 +V`version-to-list' +p43066 +tp43067 +a(g89 +V (which see) to convert the\u000anon-numeric part of a version string to an integer. For example:\u000a\u000a String Version Integer List Version\u000a +p43068 +tp43069 +a(g89 +V\u005c" +p43070 +tp43071 +a(g89 +V0.9snapshot +p43072 +tp43073 +a(g89 +V\u005c" +p43074 +tp43075 +a(g89 +V (0 9 -4)\u000a +p43076 +tp43077 +a(g89 +V\u005c" +p43078 +tp43079 +a(g89 +V1.0-git +p43080 +tp43081 +a(g89 +V\u005c" +p43082 +tp43083 +a(g89 +V (1 0 -4)\u000a +p43084 +tp43085 +a(g89 +V\u005c" +p43086 +tp43087 +a(g89 +V1.0pre2 +p43088 +tp43089 +a(g89 +V\u005c" +p43090 +tp43091 +a(g89 +V (1 0 -1 2)\u000a +p43092 +tp43093 +a(g89 +V\u005c" +p43094 +tp43095 +a(g89 +V1.0PRE2 +p43096 +tp43097 +a(g89 +V\u005c" +p43098 +tp43099 +a(g89 +V (1 0 -1 2)\u000a +p43100 +tp43101 +a(g89 +V\u005c" +p43102 +tp43103 +a(g89 +V22.8beta3 +p43104 +tp43105 +a(g89 +V\u005c" +p43106 +tp43107 +a(g89 +V (22 8 -2 3)\u000a +p43108 +tp43109 +a(g89 +V\u005c" +p43110 +tp43111 +a(g89 +V22.8 Beta3 +p43112 +tp43113 +a(g89 +V\u005c" +p43114 +tp43115 +a(g89 +V (22 8 -2 3)\u000a +p43116 +tp43117 +a(g89 +V\u005c" +p43118 +tp43119 +a(g89 +V0.9alpha1 +p43120 +tp43121 +a(g89 +V\u005c" +p43122 +tp43123 +a(g89 +V (0 9 -3 1)\u000a +p43124 +tp43125 +a(g89 +V\u005c" +p43126 +tp43127 +a(g89 +V0.9AlphA1 +p43128 +tp43129 +a(g89 +V\u005c" +p43130 +tp43131 +a(g89 +V (0 9 -3 1)\u000a +p43132 +tp43133 +a(g89 +V\u005c" +p43134 +tp43135 +a(g89 +V0.9 alpha +p43136 +tp43137 +a(g89 +V\u005c" +p43138 +tp43139 +a(g89 +V (0 9 -3)\u000a\u000aEach element has the following form:\u000a\u000a (REGEXP . PRIORITY)\u000a\u000aWhere:\u000a\u000aREGEXP regexp used to match non-numeric part of a version string.\u000a It should begin with the +p43140 +tp43141 +a(g117 +V`^' +p43142 +tp43143 +a(g89 +V anchor and end with a +p43144 +tp43145 +a(g117 +V`$' +p43146 +tp43147 +a(g89 +V to\u000a prevent false hits. Letter-case is ignored while matching\u000a REGEXP.\u000a\u000aPRIORITY a negative integer specifying non-numeric priority of REGEXP. +p43148 +tp43149 +a(g89 +g1068 +tp43150 +a(g705 +g1064 +tp43151 +a(g826 +V\u000a\u000a\u000a +p43152 +tp43153 +a(g705 +g1040 +tp43154 +a(g681 +Vdefun +p43155 +tp43156 +a(g826 +g1044 +tp43157 +a(g440 +Vversion-to-list +p43158 +tp43159 +a(g826 +g1044 +tp43160 +a(g705 +g1040 +tp43161 +a(g440 +Vver +p43162 +tp43163 +a(g705 +g1064 +tp43164 +a(g826 +V\u000a +p43165 +tp43166 +a(g89 +g1068 +tp43167 +a(g89 +VConvert version string VER into a list of integers.\u000a\u000aThe version syntax is given by the following EBNF:\u000a\u000a VERSION ::= NUMBER ( SEPARATOR NUMBER )*.\u000a\u000a NUMBER ::= (0|1|2|3|4|5|6|7|8|9)+.\u000a\u000a SEPARATOR ::= +p43168 +tp43169 +a(g117 +V`version-separator' +p43170 +tp43171 +a(g89 +V (which see)\u000a | +p43172 +tp43173 +a(g117 +V`version-regexp-alist' +p43174 +tp43175 +a(g89 +V (which see).\u000a\u000aThe NUMBER part is optional if SEPARATOR is a match for an element\u000ain +p43176 +tp43177 +a(g117 +V`version-regexp-alist' +p43178 +tp43179 +a(g89 +V.\u000a\u000aExamples of valid version syntax:\u000a\u000a 1.0pre2 1.0.7.5 22.8beta3 0.9alpha1 6.9.30Beta\u000a\u000aExamples of invalid version syntax:\u000a\u000a 1.0prepre2 1.0..7.5 22.8X3 alpha3.2 .5\u000a\u000aExamples of version conversion:\u000a\u000a Version String Version as a List of Integers\u000a +p43180 +tp43181 +a(g89 +V\u005c" +p43182 +tp43183 +a(g89 +V1.0.7.5 +p43184 +tp43185 +a(g89 +V\u005c" +p43186 +tp43187 +a(g89 +V (1 0 7 5)\u000a +p43188 +tp43189 +a(g89 +V\u005c" +p43190 +tp43191 +a(g89 +V1.0pre2 +p43192 +tp43193 +a(g89 +V\u005c" +p43194 +tp43195 +a(g89 +V (1 0 -1 2)\u000a +p43196 +tp43197 +a(g89 +V\u005c" +p43198 +tp43199 +a(g89 +V1.0PRE2 +p43200 +tp43201 +a(g89 +V\u005c" +p43202 +tp43203 +a(g89 +V (1 0 -1 2)\u000a +p43204 +tp43205 +a(g89 +V\u005c" +p43206 +tp43207 +a(g89 +V22.8beta3 +p43208 +tp43209 +a(g89 +V\u005c" +p43210 +tp43211 +a(g89 +V (22 8 -2 3)\u000a +p43212 +tp43213 +a(g89 +V\u005c" +p43214 +tp43215 +a(g89 +V22.8Beta3 +p43216 +tp43217 +a(g89 +V\u005c" +p43218 +tp43219 +a(g89 +V (22 8 -2 3)\u000a +p43220 +tp43221 +a(g89 +V\u005c" +p43222 +tp43223 +a(g89 +V0.9alpha1 +p43224 +tp43225 +a(g89 +V\u005c" +p43226 +tp43227 +a(g89 +V (0 9 -3 1)\u000a +p43228 +tp43229 +a(g89 +V\u005c" +p43230 +tp43231 +a(g89 +V0.9AlphA1 +p43232 +tp43233 +a(g89 +V\u005c" +p43234 +tp43235 +a(g89 +V (0 9 -3 1)\u000a +p43236 +tp43237 +a(g89 +V\u005c" +p43238 +tp43239 +a(g89 +V0.9alpha +p43240 +tp43241 +a(g89 +V\u005c" +p43242 +tp43243 +a(g89 +V (0 9 -3)\u000a +p43244 +tp43245 +a(g89 +V\u005c" +p43246 +tp43247 +a(g89 +V0.9snapshot +p43248 +tp43249 +a(g89 +V\u005c" +p43250 +tp43251 +a(g89 +V (0 9 -4)\u000a +p43252 +tp43253 +a(g89 +V\u005c" +p43254 +tp43255 +a(g89 +V1.0-git +p43256 +tp43257 +a(g89 +V\u005c" +p43258 +tp43259 +a(g89 +V (1 0 -4)\u000a\u000aSee documentation for +p43260 +tp43261 +a(g117 +V`version-separator' +p43262 +tp43263 +a(g89 +V and +p43264 +tp43265 +a(g117 +V`version-regexp-alist' +p43266 +tp43267 +a(g89 +g3307 +tp43268 +a(g89 +g1068 +tp43269 +a(g826 +V\u000a +p43270 +tp43271 +a(g705 +g1040 +tp43272 +a(g744 +Vor +p43273 +tp43274 +a(g826 +g1044 +tp43275 +a(g705 +g1040 +tp43276 +a(g744 +Vand +p43277 +tp43278 +a(g826 +g1044 +tp43279 +a(g705 +g1040 +tp43280 +a(g573 +Vstringp +p43281 +tp43282 +a(g826 +g1044 +tp43283 +a(g440 +Vver +p43284 +tp43285 +a(g705 +g1064 +tp43286 +a(g826 +g1044 +tp43287 +a(g705 +g1040 +tp43288 +a(g573 +g3989 +tp43289 +a(g826 +g1044 +tp43290 +a(g705 +g1040 +tp43291 +a(g573 +Vlength +p43292 +tp43293 +a(g826 +g1044 +tp43294 +a(g440 +Vver +p43295 +tp43296 +a(g705 +g1064 +tp43297 +a(g826 +g1044 +tp43298 +a(g37 +g2628 +tp43299 +a(g705 +g1064 +tp43300 +a(g705 +g1064 +tp43301 +a(g826 +V\u000a +p43302 +tp43303 +a(g705 +g1040 +tp43304 +a(g612 +Verror +p43305 +tp43306 +a(g826 +g1044 +tp43307 +a(g89 +g1068 +tp43308 +a(g89 +VInvalid version string: '%s' +p43309 +tp43310 +a(g89 +g1068 +tp43311 +a(g826 +g1044 +tp43312 +a(g440 +Vver +p43313 +tp43314 +a(g705 +g1064 +tp43315 +a(g705 +g1064 +tp43316 +a(g826 +V\u000a +p43317 +tp43318 +a(g8 +V;; Change .x.y to 0.x.y +p43319 +tp43320 +a(g826 +V\u000a +p43321 +tp43322 +a(g705 +g1040 +tp43323 +a(g744 +Vif +p43324 +tp43325 +a(g826 +g1044 +tp43326 +a(g705 +g1040 +tp43327 +a(g744 +Vand +p43328 +tp43329 +a(g826 +g1044 +tp43330 +a(g705 +g1040 +tp43331 +a(g573 +V>= +p43332 +tp43333 +a(g826 +g1044 +tp43334 +a(g705 +g1040 +tp43335 +a(g573 +Vlength +p43336 +tp43337 +a(g826 +g1044 +tp43338 +a(g440 +Vver +p43339 +tp43340 +a(g705 +g1064 +tp43341 +a(g826 +g1044 +tp43342 +a(g705 +g1040 +tp43343 +a(g573 +Vlength +p43344 +tp43345 +a(g826 +g1044 +tp43346 +a(g440 +Vversion-separator +p43347 +tp43348 +a(g705 +g1064 +tp43349 +a(g705 +g1064 +tp43350 +a(g826 +V\u000a +p43351 +tp43352 +a(g705 +g1040 +tp43353 +a(g573 +Vstring-equal +p43354 +tp43355 +a(g826 +g1044 +tp43356 +a(g705 +g1040 +tp43357 +a(g573 +Vsubstring +p43358 +tp43359 +a(g826 +g1044 +tp43360 +a(g440 +Vver +p43361 +tp43362 +a(g826 +g1044 +tp43363 +a(g37 +g2628 +tp43364 +a(g826 +g1044 +tp43365 +a(g705 +g1040 +tp43366 +a(g573 +Vlength +p43367 +tp43368 +a(g826 +g1044 +tp43369 +a(g440 +Vversion-separator +p43370 +tp43371 +a(g705 +g1064 +tp43372 +a(g705 +g1064 +tp43373 +a(g826 +V\u000a +p43374 +tp43375 +a(g440 +Vversion-separator +p43376 +tp43377 +a(g705 +g1064 +tp43378 +a(g705 +g1064 +tp43379 +a(g826 +V\u000a +p43380 +tp43381 +a(g705 +g1040 +tp43382 +a(g744 +Vsetq +p43383 +tp43384 +a(g826 +g1044 +tp43385 +a(g440 +Vver +p43386 +tp43387 +a(g826 +g1044 +tp43388 +a(g705 +g1040 +tp43389 +a(g573 +Vconcat +p43390 +tp43391 +a(g826 +g1044 +tp43392 +a(g89 +g1068 +tp43393 +a(g89 +g2628 +tp43394 +a(g89 +g1068 +tp43395 +a(g826 +g1044 +tp43396 +a(g440 +Vver +p43397 +tp43398 +a(g705 +g1064 +tp43399 +a(g705 +g1064 +tp43400 +a(g705 +g1064 +tp43401 +a(g826 +V\u000a +p43402 +tp43403 +a(g705 +g1040 +tp43404 +a(g681 +Vsave-match-data +p43405 +tp43406 +a(g826 +V\u000a +p43407 +tp43408 +a(g705 +g1040 +tp43409 +a(g744 +Vlet +p43410 +tp43411 +a(g826 +g1044 +tp43412 +a(g705 +g1040 +tp43413 +a(g705 +g1040 +tp43414 +a(g440 +g4938 +tp43415 +a(g826 +g1044 +tp43416 +a(g37 +g2628 +tp43417 +a(g705 +g1064 +tp43418 +a(g826 +V\u000a +p43419 +tp43420 +a(g705 +g1040 +tp43421 +a(g440 +Vcase-fold-search +p43422 +tp43423 +a(g826 +g1044 +tp43424 +a(g565 +g1187 +tp43425 +a(g705 +g1064 +tp43426 +a(g826 +V +p43427 +tp43428 +a(g8 +V; ignore case in matching +p43429 +tp43430 +a(g826 +V\u000a +p43431 +tp43432 +a(g440 +Vlst +p43433 +tp43434 +a(g826 +g1044 +tp43435 +a(g440 +Vs +p43436 +tp43437 +a(g826 +g1044 +tp43438 +a(g440 +Val +p43439 +tp43440 +a(g705 +g1064 +tp43441 +a(g826 +V\u000a +p43442 +tp43443 +a(g705 +g1040 +tp43444 +a(g744 +Vwhile +p43445 +tp43446 +a(g826 +g1044 +tp43447 +a(g705 +g1040 +tp43448 +a(g744 +Vand +p43449 +tp43450 +a(g826 +g1044 +tp43451 +a(g705 +g1040 +tp43452 +a(g744 +Vsetq +p43453 +tp43454 +a(g826 +g1044 +tp43455 +a(g440 +g43436 +tp43456 +a(g826 +g1044 +tp43457 +a(g705 +g1040 +tp43458 +a(g573 +Vstring-match +p43459 +tp43460 +a(g826 +g1044 +tp43461 +a(g89 +g1068 +tp43462 +a(g89 +V[0-9]+ +p43463 +tp43464 +a(g89 +g1068 +tp43465 +a(g826 +g1044 +tp43466 +a(g440 +Vver +p43467 +tp43468 +a(g826 +g1044 +tp43469 +a(g440 +g4938 +tp43470 +a(g705 +g1064 +tp43471 +a(g705 +g1064 +tp43472 +a(g826 +V\u000a +p43473 +tp43474 +a(g705 +g1040 +tp43475 +a(g573 +g4082 +tp43476 +a(g826 +g1044 +tp43477 +a(g440 +g43436 +tp43478 +a(g826 +g1044 +tp43479 +a(g440 +g4938 +tp43480 +a(g705 +g1064 +tp43481 +a(g705 +g1064 +tp43482 +a(g826 +V\u000a +p43483 +tp43484 +a(g8 +V;; handle numeric part +p43485 +tp43486 +a(g826 +V\u000a +p43487 +tp43488 +a(g705 +g1040 +tp43489 +a(g744 +Vsetq +p43490 +tp43491 +a(g826 +g1044 +tp43492 +a(g440 +Vlst +p43493 +tp43494 +a(g826 +g1044 +tp43495 +a(g705 +g1040 +tp43496 +a(g573 +Vcons +p43497 +tp43498 +a(g826 +g1044 +tp43499 +a(g705 +g1040 +tp43500 +a(g573 +Vstring-to-number +p43501 +tp43502 +a(g826 +g1044 +tp43503 +a(g705 +g1040 +tp43504 +a(g573 +Vsubstring +p43505 +tp43506 +a(g826 +g1044 +tp43507 +a(g440 +Vver +p43508 +tp43509 +a(g826 +g1044 +tp43510 +a(g440 +g4938 +tp43511 +a(g826 +g1044 +tp43512 +a(g705 +g1040 +tp43513 +a(g573 +Vmatch-end +p43514 +tp43515 +a(g826 +g1044 +tp43516 +a(g37 +g2628 +tp43517 +a(g705 +g1064 +tp43518 +a(g705 +g1064 +tp43519 +a(g705 +g1064 +tp43520 +a(g826 +V\u000a +p43521 +tp43522 +a(g440 +Vlst +p43523 +tp43524 +a(g705 +g1064 +tp43525 +a(g826 +V\u000a +p43526 +tp43527 +a(g440 +g4938 +tp43528 +a(g826 +V +p43529 +tp43530 +a(g705 +g1040 +tp43531 +a(g573 +Vmatch-end +p43532 +tp43533 +a(g826 +g1044 +tp43534 +a(g37 +g2628 +tp43535 +a(g705 +g1064 +tp43536 +a(g705 +g1064 +tp43537 +a(g826 +V\u000a +p43538 +tp43539 +a(g8 +V;; handle non-numeric part +p43540 +tp43541 +a(g826 +V\u000a +p43542 +tp43543 +a(g705 +g1040 +tp43544 +a(g681 +Vwhen +p43545 +tp43546 +a(g826 +g1044 +tp43547 +a(g705 +g1040 +tp43548 +a(g744 +Vand +p43549 +tp43550 +a(g826 +g1044 +tp43551 +a(g705 +g1040 +tp43552 +a(g744 +Vsetq +p43553 +tp43554 +a(g826 +g1044 +tp43555 +a(g440 +g43436 +tp43556 +a(g826 +g1044 +tp43557 +a(g705 +g1040 +tp43558 +a(g573 +Vstring-match +p43559 +tp43560 +a(g826 +g1044 +tp43561 +a(g89 +g1068 +tp43562 +a(g89 +V[^0-9]+ +p43563 +tp43564 +a(g89 +g1068 +tp43565 +a(g826 +g1044 +tp43566 +a(g440 +Vver +p43567 +tp43568 +a(g826 +g1044 +tp43569 +a(g440 +g4938 +tp43570 +a(g705 +g1064 +tp43571 +a(g705 +g1064 +tp43572 +a(g826 +V\u000a +p43573 +tp43574 +a(g705 +g1040 +tp43575 +a(g573 +g4082 +tp43576 +a(g826 +g1044 +tp43577 +a(g440 +g43436 +tp43578 +a(g826 +g1044 +tp43579 +a(g440 +g4938 +tp43580 +a(g705 +g1064 +tp43581 +a(g705 +g1064 +tp43582 +a(g826 +V\u000a +p43583 +tp43584 +a(g705 +g1040 +tp43585 +a(g744 +Vsetq +p43586 +tp43587 +a(g826 +g1044 +tp43588 +a(g440 +g43436 +tp43589 +a(g826 +g1044 +tp43590 +a(g705 +g1040 +tp43591 +a(g573 +Vsubstring +p43592 +tp43593 +a(g826 +g1044 +tp43594 +a(g440 +Vver +p43595 +tp43596 +a(g826 +g1044 +tp43597 +a(g440 +g4938 +tp43598 +a(g826 +g1044 +tp43599 +a(g705 +g1040 +tp43600 +a(g573 +Vmatch-end +p43601 +tp43602 +a(g826 +g1044 +tp43603 +a(g37 +g2628 +tp43604 +a(g705 +g1064 +tp43605 +a(g705 +g1064 +tp43606 +a(g826 +V\u000a +p43607 +tp43608 +a(g440 +g4938 +tp43609 +a(g826 +g1044 +tp43610 +a(g705 +g1040 +tp43611 +a(g573 +Vmatch-end +p43612 +tp43613 +a(g826 +g1044 +tp43614 +a(g37 +g2628 +tp43615 +a(g705 +g1064 +tp43616 +a(g705 +g1064 +tp43617 +a(g826 +V\u000a +p43618 +tp43619 +a(g8 +V;; handle alpha, beta, pre, etc. separator +p43620 +tp43621 +a(g826 +V\u000a +p43622 +tp43623 +a(g705 +g1040 +tp43624 +a(g681 +Vunless +p43625 +tp43626 +a(g826 +g1044 +tp43627 +a(g705 +g1040 +tp43628 +a(g440 +Vstring= +p43629 +tp43630 +a(g826 +g1044 +tp43631 +a(g440 +g43436 +tp43632 +a(g826 +g1044 +tp43633 +a(g440 +Vversion-separator +p43634 +tp43635 +a(g705 +g1064 +tp43636 +a(g826 +V\u000a +p43637 +tp43638 +a(g705 +g1040 +tp43639 +a(g744 +Vsetq +p43640 +tp43641 +a(g826 +g1044 +tp43642 +a(g440 +Val +p43643 +tp43644 +a(g826 +g1044 +tp43645 +a(g440 +Vversion-regexp-alist +p43646 +tp43647 +a(g705 +g1064 +tp43648 +a(g826 +V\u000a +p43649 +tp43650 +a(g705 +g1040 +tp43651 +a(g744 +Vwhile +p43652 +tp43653 +a(g826 +g1044 +tp43654 +a(g705 +g1040 +tp43655 +a(g744 +Vand +p43656 +tp43657 +a(g826 +g1044 +tp43658 +a(g440 +Val +p43659 +tp43660 +a(g826 +g1044 +tp43661 +a(g705 +g1040 +tp43662 +a(g440 +Vnot +p43663 +tp43664 +a(g826 +g1044 +tp43665 +a(g705 +g1040 +tp43666 +a(g573 +Vstring-match +p43667 +tp43668 +a(g826 +g1044 +tp43669 +a(g705 +g1040 +tp43670 +a(g440 +Vcaar +p43671 +tp43672 +a(g826 +g1044 +tp43673 +a(g440 +Val +p43674 +tp43675 +a(g705 +g1064 +tp43676 +a(g826 +g1044 +tp43677 +a(g440 +g43436 +tp43678 +a(g705 +g1064 +tp43679 +a(g705 +g1064 +tp43680 +a(g705 +g1064 +tp43681 +a(g826 +V\u000a +p43682 +tp43683 +a(g705 +g1040 +tp43684 +a(g744 +Vsetq +p43685 +tp43686 +a(g826 +g1044 +tp43687 +a(g440 +Val +p43688 +tp43689 +a(g826 +g1044 +tp43690 +a(g705 +g1040 +tp43691 +a(g573 +Vcdr +p43692 +tp43693 +a(g826 +g1044 +tp43694 +a(g440 +Val +p43695 +tp43696 +a(g705 +g1064 +tp43697 +a(g705 +g1064 +tp43698 +a(g705 +g1064 +tp43699 +a(g826 +V\u000a +p43700 +tp43701 +a(g705 +g1040 +tp43702 +a(g744 +Vcond +p43703 +tp43704 +a(g826 +g1044 +tp43705 +a(g705 +g1040 +tp43706 +a(g440 +Val +p43707 +tp43708 +a(g826 +V\u000a +p43709 +tp43710 +a(g705 +g1040 +tp43711 +a(g681 +Vpush +p43712 +tp43713 +a(g826 +g1044 +tp43714 +a(g705 +g1040 +tp43715 +a(g440 +Vcdar +p43716 +tp43717 +a(g826 +g1044 +tp43718 +a(g440 +Val +p43719 +tp43720 +a(g705 +g1064 +tp43721 +a(g826 +g1044 +tp43722 +a(g440 +Vlst +p43723 +tp43724 +a(g705 +g1064 +tp43725 +a(g705 +g1064 +tp43726 +a(g826 +V\u000a +p43727 +tp43728 +a(g8 +V;; Convert 22.3a to 22.3.1, 22.3b to 22.3.2, etc. +p43729 +tp43730 +a(g826 +V\u000a +p43731 +tp43732 +a(g705 +g1040 +tp43733 +a(g705 +g1040 +tp43734 +a(g573 +Vstring-match +p43735 +tp43736 +a(g826 +g1044 +tp43737 +a(g89 +g1068 +tp43738 +a(g89 +V^[-_+ ]? +p43739 +tp43740 +a(g89 +V\u005c\u005c +p43741 +tp43742 +a(g89 +V([a-zA-Z] +p43743 +tp43744 +a(g89 +V\u005c\u005c +p43745 +tp43746 +a(g89 +V)$ +p43747 +tp43748 +a(g89 +g1068 +tp43749 +a(g826 +g1044 +tp43750 +a(g440 +g43436 +tp43751 +a(g705 +g1064 +tp43752 +a(g826 +V\u000a +p43753 +tp43754 +a(g705 +g1040 +tp43755 +a(g681 +Vpush +p43756 +tp43757 +a(g826 +g1044 +tp43758 +a(g705 +g1040 +tp43759 +a(g573 +g3788 +tp43760 +a(g826 +g1044 +tp43761 +a(g705 +g1040 +tp43762 +a(g573 +Varef +p43763 +tp43764 +a(g826 +g1044 +tp43765 +a(g705 +g1040 +tp43766 +a(g573 +Vdowncase +p43767 +tp43768 +a(g826 +g1044 +tp43769 +a(g705 +g1040 +tp43770 +a(g440 +Vmatch-string +p43771 +tp43772 +a(g826 +g1044 +tp43773 +a(g37 +g2048 +tp43774 +a(g826 +g1044 +tp43775 +a(g440 +g43436 +tp43776 +a(g705 +g1064 +tp43777 +a(g705 +g1064 +tp43778 +a(g826 +g1044 +tp43779 +a(g37 +g2628 +tp43780 +a(g705 +g1064 +tp43781 +a(g826 +g1044 +tp43782 +a(g93 +V?a +p43783 +tp43784 +a(g826 +g1044 +tp43785 +a(g37 +V-1 +p43786 +tp43787 +a(g705 +g1064 +tp43788 +a(g826 +V\u000a +p43789 +tp43790 +a(g440 +Vlst +p43791 +tp43792 +a(g705 +g1064 +tp43793 +a(g705 +g1064 +tp43794 +a(g826 +V\u000a +p43795 +tp43796 +a(g705 +g1040 +tp43797 +a(g565 +g1187 +tp43798 +a(g826 +g1044 +tp43799 +a(g705 +g1040 +tp43800 +a(g612 +Verror +p43801 +tp43802 +a(g826 +g1044 +tp43803 +a(g89 +g1068 +tp43804 +a(g89 +VInvalid version syntax: '%s' +p43805 +tp43806 +a(g89 +g1068 +tp43807 +a(g826 +g1044 +tp43808 +a(g440 +Vver +p43809 +tp43810 +a(g705 +g1064 +tp43811 +a(g705 +g1064 +tp43812 +a(g705 +g1064 +tp43813 +a(g705 +g1064 +tp43814 +a(g705 +g1064 +tp43815 +a(g705 +g1064 +tp43816 +a(g826 +V\u000a +p43817 +tp43818 +a(g705 +g1040 +tp43819 +a(g744 +Vif +p43820 +tp43821 +a(g826 +g1044 +tp43822 +a(g705 +g1040 +tp43823 +a(g573 +Vnull +p43824 +tp43825 +a(g826 +g1044 +tp43826 +a(g440 +Vlst +p43827 +tp43828 +a(g705 +g1064 +tp43829 +a(g826 +V\u000a +p43830 +tp43831 +a(g705 +g1040 +tp43832 +a(g612 +Verror +p43833 +tp43834 +a(g826 +g1044 +tp43835 +a(g89 +g1068 +tp43836 +a(g89 +VInvalid version syntax: '%s' +p43837 +tp43838 +a(g89 +g1068 +tp43839 +a(g826 +g1044 +tp43840 +a(g440 +Vver +p43841 +tp43842 +a(g705 +g1064 +tp43843 +a(g826 +V\u000a +p43844 +tp43845 +a(g705 +g1040 +tp43846 +a(g573 +Vnreverse +p43847 +tp43848 +a(g826 +g1044 +tp43849 +a(g440 +Vlst +p43850 +tp43851 +a(g705 +g1064 +tp43852 +a(g705 +g1064 +tp43853 +a(g705 +g1064 +tp43854 +a(g705 +g1064 +tp43855 +a(g705 +g1064 +tp43856 +a(g826 +V\u000a\u000a\u000a +p43857 +tp43858 +a(g705 +g1040 +tp43859 +a(g681 +Vdefun +p43860 +tp43861 +a(g826 +g1044 +tp43862 +a(g440 +Vversion-list-< +p43863 +tp43864 +a(g826 +g1044 +tp43865 +a(g705 +g1040 +tp43866 +a(g440 +Vl1 +p43867 +tp43868 +a(g826 +g1044 +tp43869 +a(g440 +Vl2 +p43870 +tp43871 +a(g705 +g1064 +tp43872 +a(g826 +V\u000a +p43873 +tp43874 +a(g89 +g1068 +tp43875 +a(g89 +VReturn t if L1, a list specification of a version, is lower than L2.\u000a\u000aNote that a version specified by the list (1) is equal to (1 0),\u000a +p43876 +tp43877 +a(g89 +V\u005c( +p43878 +tp43879 +a(g89 +V1 0 0), (1 0 0 0), etc. That is, the trailing zeros are insignificant.\u000aAlso, a version given by the list (1) is higher than (1 -1), which in\u000aturn is higher than (1 -2), which is higher than (1 -3). +p43880 +tp43881 +a(g89 +g1068 +tp43882 +a(g826 +V\u000a +p43883 +tp43884 +a(g705 +g1040 +tp43885 +a(g744 +Vwhile +p43886 +tp43887 +a(g826 +g1044 +tp43888 +a(g705 +g1040 +tp43889 +a(g744 +Vand +p43890 +tp43891 +a(g826 +g1044 +tp43892 +a(g440 +Vl1 +p43893 +tp43894 +a(g826 +g1044 +tp43895 +a(g440 +Vl2 +p43896 +tp43897 +a(g826 +g1044 +tp43898 +a(g705 +g1040 +tp43899 +a(g573 +g4082 +tp43900 +a(g826 +g1044 +tp43901 +a(g705 +g1040 +tp43902 +a(g573 +Vcar +p43903 +tp43904 +a(g826 +g1044 +tp43905 +a(g440 +Vl1 +p43906 +tp43907 +a(g705 +g1064 +tp43908 +a(g826 +g1044 +tp43909 +a(g705 +g1040 +tp43910 +a(g573 +Vcar +p43911 +tp43912 +a(g826 +g1044 +tp43913 +a(g440 +Vl2 +p43914 +tp43915 +a(g705 +g1064 +tp43916 +a(g705 +g1064 +tp43917 +a(g705 +g1064 +tp43918 +a(g826 +V\u000a +p43919 +tp43920 +a(g705 +g1040 +tp43921 +a(g744 +Vsetq +p43922 +tp43923 +a(g826 +g1044 +tp43924 +a(g440 +Vl1 +p43925 +tp43926 +a(g826 +g1044 +tp43927 +a(g705 +g1040 +tp43928 +a(g573 +Vcdr +p43929 +tp43930 +a(g826 +g1044 +tp43931 +a(g440 +Vl1 +p43932 +tp43933 +a(g705 +g1064 +tp43934 +a(g826 +V\u000a +p43935 +tp43936 +a(g440 +Vl2 +p43937 +tp43938 +a(g826 +g1044 +tp43939 +a(g705 +g1040 +tp43940 +a(g573 +Vcdr +p43941 +tp43942 +a(g826 +g1044 +tp43943 +a(g440 +Vl2 +p43944 +tp43945 +a(g705 +g1064 +tp43946 +a(g705 +g1064 +tp43947 +a(g705 +g1064 +tp43948 +a(g826 +V\u000a +p43949 +tp43950 +a(g705 +g1040 +tp43951 +a(g744 +Vcond +p43952 +tp43953 +a(g826 +V\u000a +p43954 +tp43955 +a(g8 +V;; l1 not null and l2 not null +p43956 +tp43957 +a(g826 +V\u000a +p43958 +tp43959 +a(g705 +g1040 +tp43960 +a(g705 +g1040 +tp43961 +a(g744 +Vand +p43962 +tp43963 +a(g826 +g1044 +tp43964 +a(g440 +Vl1 +p43965 +tp43966 +a(g826 +g1044 +tp43967 +a(g440 +Vl2 +p43968 +tp43969 +a(g705 +g1064 +tp43970 +a(g826 +g1044 +tp43971 +a(g705 +g1040 +tp43972 +a(g573 +g2719 +tp43973 +a(g826 +g1044 +tp43974 +a(g705 +g1040 +tp43975 +a(g573 +Vcar +p43976 +tp43977 +a(g826 +g1044 +tp43978 +a(g440 +Vl1 +p43979 +tp43980 +a(g705 +g1064 +tp43981 +a(g826 +g1044 +tp43982 +a(g705 +g1040 +tp43983 +a(g573 +Vcar +p43984 +tp43985 +a(g826 +g1044 +tp43986 +a(g440 +Vl2 +p43987 +tp43988 +a(g705 +g1064 +tp43989 +a(g705 +g1064 +tp43990 +a(g705 +g1064 +tp43991 +a(g826 +V\u000a +p43992 +tp43993 +a(g8 +V;; l1 null and l2 null ==> l1 length = l2 length +p43994 +tp43995 +a(g826 +V\u000a +p43996 +tp43997 +a(g705 +g1040 +tp43998 +a(g705 +g1040 +tp43999 +a(g744 +Vand +p44000 +tp44001 +a(g826 +g1044 +tp44002 +a(g705 +g1040 +tp44003 +a(g573 +Vnull +p44004 +tp44005 +a(g826 +g1044 +tp44006 +a(g440 +Vl1 +p44007 +tp44008 +a(g705 +g1064 +tp44009 +a(g826 +g1044 +tp44010 +a(g705 +g1040 +tp44011 +a(g573 +Vnull +p44012 +tp44013 +a(g826 +g1044 +tp44014 +a(g440 +Vl2 +p44015 +tp44016 +a(g705 +g1064 +tp44017 +a(g705 +g1064 +tp44018 +a(g826 +g1044 +tp44019 +a(g565 +Vnil +p44020 +tp44021 +a(g705 +g1064 +tp44022 +a(g826 +V\u000a +p44023 +tp44024 +a(g8 +V;; l1 not null and l2 null ==> l1 length > l2 length +p44025 +tp44026 +a(g826 +V\u000a +p44027 +tp44028 +a(g705 +g1040 +tp44029 +a(g440 +Vl1 +p44030 +tp44031 +a(g826 +g1044 +tp44032 +a(g705 +g1040 +tp44033 +a(g573 +g2719 +tp44034 +a(g826 +g1044 +tp44035 +a(g705 +g1040 +tp44036 +a(g440 +Vversion-list-not-zero +p44037 +tp44038 +a(g826 +g1044 +tp44039 +a(g440 +Vl1 +p44040 +tp44041 +a(g705 +g1064 +tp44042 +a(g826 +g1044 +tp44043 +a(g37 +g2628 +tp44044 +a(g705 +g1064 +tp44045 +a(g705 +g1064 +tp44046 +a(g826 +V\u000a +p44047 +tp44048 +a(g8 +V;; l1 null and l2 not null ==> l2 length > l1 length +p44049 +tp44050 +a(g826 +V\u000a +p44051 +tp44052 +a(g705 +g1040 +tp44053 +a(g565 +g1187 +tp44054 +a(g826 +V +p44055 +tp44056 +a(g705 +g1040 +tp44057 +a(g573 +g2719 +tp44058 +a(g826 +g1044 +tp44059 +a(g37 +g2628 +tp44060 +a(g826 +g1044 +tp44061 +a(g705 +g1040 +tp44062 +a(g440 +Vversion-list-not-zero +p44063 +tp44064 +a(g826 +g1044 +tp44065 +a(g440 +Vl2 +p44066 +tp44067 +a(g705 +g1064 +tp44068 +a(g705 +g1064 +tp44069 +a(g705 +g1064 +tp44070 +a(g705 +g1064 +tp44071 +a(g705 +g1064 +tp44072 +a(g826 +V\u000a\u000a\u000a +p44073 +tp44074 +a(g705 +g1040 +tp44075 +a(g681 +Vdefun +p44076 +tp44077 +a(g826 +g1044 +tp44078 +a(g440 +Vversion-list-= +p44079 +tp44080 +a(g826 +g1044 +tp44081 +a(g705 +g1040 +tp44082 +a(g440 +Vl1 +p44083 +tp44084 +a(g826 +g1044 +tp44085 +a(g440 +Vl2 +p44086 +tp44087 +a(g705 +g1064 +tp44088 +a(g826 +V\u000a +p44089 +tp44090 +a(g89 +g1068 +tp44091 +a(g89 +VReturn t if L1, a list specification of a version, is equal to L2.\u000a\u000aNote that a version specified by the list (1) is equal to (1 0),\u000a +p44092 +tp44093 +a(g89 +V\u005c( +p44094 +tp44095 +a(g89 +V1 0 0), (1 0 0 0), etc. That is, the trailing zeros are insignificant.\u000aAlso, a version given by the list (1) is higher than (1 -1), which in\u000aturn is higher than (1 -2), which is higher than (1 -3). +p44096 +tp44097 +a(g89 +g1068 +tp44098 +a(g826 +V\u000a +p44099 +tp44100 +a(g705 +g1040 +tp44101 +a(g744 +Vwhile +p44102 +tp44103 +a(g826 +g1044 +tp44104 +a(g705 +g1040 +tp44105 +a(g744 +Vand +p44106 +tp44107 +a(g826 +g1044 +tp44108 +a(g440 +Vl1 +p44109 +tp44110 +a(g826 +g1044 +tp44111 +a(g440 +Vl2 +p44112 +tp44113 +a(g826 +g1044 +tp44114 +a(g705 +g1040 +tp44115 +a(g573 +g4082 +tp44116 +a(g826 +g1044 +tp44117 +a(g705 +g1040 +tp44118 +a(g573 +Vcar +p44119 +tp44120 +a(g826 +g1044 +tp44121 +a(g440 +Vl1 +p44122 +tp44123 +a(g705 +g1064 +tp44124 +a(g826 +g1044 +tp44125 +a(g705 +g1040 +tp44126 +a(g573 +Vcar +p44127 +tp44128 +a(g826 +g1044 +tp44129 +a(g440 +Vl2 +p44130 +tp44131 +a(g705 +g1064 +tp44132 +a(g705 +g1064 +tp44133 +a(g705 +g1064 +tp44134 +a(g826 +V\u000a +p44135 +tp44136 +a(g705 +g1040 +tp44137 +a(g744 +Vsetq +p44138 +tp44139 +a(g826 +g1044 +tp44140 +a(g440 +Vl1 +p44141 +tp44142 +a(g826 +g1044 +tp44143 +a(g705 +g1040 +tp44144 +a(g573 +Vcdr +p44145 +tp44146 +a(g826 +g1044 +tp44147 +a(g440 +Vl1 +p44148 +tp44149 +a(g705 +g1064 +tp44150 +a(g826 +V\u000a +p44151 +tp44152 +a(g440 +Vl2 +p44153 +tp44154 +a(g826 +g1044 +tp44155 +a(g705 +g1040 +tp44156 +a(g573 +Vcdr +p44157 +tp44158 +a(g826 +g1044 +tp44159 +a(g440 +Vl2 +p44160 +tp44161 +a(g705 +g1064 +tp44162 +a(g705 +g1064 +tp44163 +a(g705 +g1064 +tp44164 +a(g826 +V\u000a +p44165 +tp44166 +a(g705 +g1040 +tp44167 +a(g744 +Vcond +p44168 +tp44169 +a(g826 +V\u000a +p44170 +tp44171 +a(g8 +V;; l1 not null and l2 not null +p44172 +tp44173 +a(g826 +V\u000a +p44174 +tp44175 +a(g705 +g1040 +tp44176 +a(g705 +g1040 +tp44177 +a(g744 +Vand +p44178 +tp44179 +a(g826 +g1044 +tp44180 +a(g440 +Vl1 +p44181 +tp44182 +a(g826 +g1044 +tp44183 +a(g440 +Vl2 +p44184 +tp44185 +a(g705 +g1064 +tp44186 +a(g826 +g1044 +tp44187 +a(g565 +Vnil +p44188 +tp44189 +a(g705 +g1064 +tp44190 +a(g826 +V\u000a +p44191 +tp44192 +a(g8 +V;; l1 null and l2 null ==> l1 length = l2 length +p44193 +tp44194 +a(g826 +V\u000a +p44195 +tp44196 +a(g705 +g1040 +tp44197 +a(g705 +g1040 +tp44198 +a(g744 +Vand +p44199 +tp44200 +a(g826 +g1044 +tp44201 +a(g705 +g1040 +tp44202 +a(g573 +Vnull +p44203 +tp44204 +a(g826 +g1044 +tp44205 +a(g440 +Vl1 +p44206 +tp44207 +a(g705 +g1064 +tp44208 +a(g826 +g1044 +tp44209 +a(g705 +g1040 +tp44210 +a(g573 +Vnull +p44211 +tp44212 +a(g826 +g1044 +tp44213 +a(g440 +Vl2 +p44214 +tp44215 +a(g705 +g1064 +tp44216 +a(g705 +g1064 +tp44217 +a(g705 +g1064 +tp44218 +a(g826 +V\u000a +p44219 +tp44220 +a(g8 +V;; l1 not null and l2 null ==> l1 length > l2 length +p44221 +tp44222 +a(g826 +V\u000a +p44223 +tp44224 +a(g705 +g1040 +tp44225 +a(g440 +Vl1 +p44226 +tp44227 +a(g826 +g1044 +tp44228 +a(g705 +g1040 +tp44229 +a(g440 +Vzerop +p44230 +tp44231 +a(g826 +g1044 +tp44232 +a(g705 +g1040 +tp44233 +a(g440 +Vversion-list-not-zero +p44234 +tp44235 +a(g826 +g1044 +tp44236 +a(g440 +Vl1 +p44237 +tp44238 +a(g705 +g1064 +tp44239 +a(g705 +g1064 +tp44240 +a(g705 +g1064 +tp44241 +a(g826 +V\u000a +p44242 +tp44243 +a(g8 +V;; l1 null and l2 not null ==> l2 length > l1 length +p44244 +tp44245 +a(g826 +V\u000a +p44246 +tp44247 +a(g705 +g1040 +tp44248 +a(g565 +g1187 +tp44249 +a(g826 +V +p44250 +tp44251 +a(g705 +g1040 +tp44252 +a(g440 +Vzerop +p44253 +tp44254 +a(g826 +g1044 +tp44255 +a(g705 +g1040 +tp44256 +a(g440 +Vversion-list-not-zero +p44257 +tp44258 +a(g826 +g1044 +tp44259 +a(g440 +Vl2 +p44260 +tp44261 +a(g705 +g1064 +tp44262 +a(g705 +g1064 +tp44263 +a(g705 +g1064 +tp44264 +a(g705 +g1064 +tp44265 +a(g705 +g1064 +tp44266 +a(g826 +V\u000a\u000a\u000a +p44267 +tp44268 +a(g705 +g1040 +tp44269 +a(g681 +Vdefun +p44270 +tp44271 +a(g826 +g1044 +tp44272 +a(g440 +Vversion-list-<= +p44273 +tp44274 +a(g826 +g1044 +tp44275 +a(g705 +g1040 +tp44276 +a(g440 +Vl1 +p44277 +tp44278 +a(g826 +g1044 +tp44279 +a(g440 +Vl2 +p44280 +tp44281 +a(g705 +g1064 +tp44282 +a(g826 +V\u000a +p44283 +tp44284 +a(g89 +g1068 +tp44285 +a(g89 +VReturn t if L1, a list specification of a version, is lower or equal to L2.\u000a\u000aNote that integer list (1) is equal to (1 0), (1 0 0), (1 0 0 0),\u000aetc. That is, the trailing zeroes are insignificant. Also, integer\u000alist (1) is greater than (1 -1) which is greater than (1 -2)\u000awhich is greater than (1 -3). +p44286 +tp44287 +a(g89 +g1068 +tp44288 +a(g826 +V\u000a +p44289 +tp44290 +a(g705 +g1040 +tp44291 +a(g744 +Vwhile +p44292 +tp44293 +a(g826 +g1044 +tp44294 +a(g705 +g1040 +tp44295 +a(g744 +Vand +p44296 +tp44297 +a(g826 +g1044 +tp44298 +a(g440 +Vl1 +p44299 +tp44300 +a(g826 +g1044 +tp44301 +a(g440 +Vl2 +p44302 +tp44303 +a(g826 +g1044 +tp44304 +a(g705 +g1040 +tp44305 +a(g573 +g4082 +tp44306 +a(g826 +g1044 +tp44307 +a(g705 +g1040 +tp44308 +a(g573 +Vcar +p44309 +tp44310 +a(g826 +g1044 +tp44311 +a(g440 +Vl1 +p44312 +tp44313 +a(g705 +g1064 +tp44314 +a(g826 +g1044 +tp44315 +a(g705 +g1040 +tp44316 +a(g573 +Vcar +p44317 +tp44318 +a(g826 +g1044 +tp44319 +a(g440 +Vl2 +p44320 +tp44321 +a(g705 +g1064 +tp44322 +a(g705 +g1064 +tp44323 +a(g705 +g1064 +tp44324 +a(g826 +V\u000a +p44325 +tp44326 +a(g705 +g1040 +tp44327 +a(g744 +Vsetq +p44328 +tp44329 +a(g826 +g1044 +tp44330 +a(g440 +Vl1 +p44331 +tp44332 +a(g826 +g1044 +tp44333 +a(g705 +g1040 +tp44334 +a(g573 +Vcdr +p44335 +tp44336 +a(g826 +g1044 +tp44337 +a(g440 +Vl1 +p44338 +tp44339 +a(g705 +g1064 +tp44340 +a(g826 +V\u000a +p44341 +tp44342 +a(g440 +Vl2 +p44343 +tp44344 +a(g826 +g1044 +tp44345 +a(g705 +g1040 +tp44346 +a(g573 +Vcdr +p44347 +tp44348 +a(g826 +g1044 +tp44349 +a(g440 +Vl2 +p44350 +tp44351 +a(g705 +g1064 +tp44352 +a(g705 +g1064 +tp44353 +a(g705 +g1064 +tp44354 +a(g826 +V\u000a +p44355 +tp44356 +a(g705 +g1040 +tp44357 +a(g744 +Vcond +p44358 +tp44359 +a(g826 +V\u000a +p44360 +tp44361 +a(g8 +V;; l1 not null and l2 not null +p44362 +tp44363 +a(g826 +V\u000a +p44364 +tp44365 +a(g705 +g1040 +tp44366 +a(g705 +g1040 +tp44367 +a(g744 +Vand +p44368 +tp44369 +a(g826 +g1044 +tp44370 +a(g440 +Vl1 +p44371 +tp44372 +a(g826 +g1044 +tp44373 +a(g440 +Vl2 +p44374 +tp44375 +a(g705 +g1064 +tp44376 +a(g826 +g1044 +tp44377 +a(g705 +g1040 +tp44378 +a(g573 +g2719 +tp44379 +a(g826 +g1044 +tp44380 +a(g705 +g1040 +tp44381 +a(g573 +Vcar +p44382 +tp44383 +a(g826 +g1044 +tp44384 +a(g440 +Vl1 +p44385 +tp44386 +a(g705 +g1064 +tp44387 +a(g826 +g1044 +tp44388 +a(g705 +g1040 +tp44389 +a(g573 +Vcar +p44390 +tp44391 +a(g826 +g1044 +tp44392 +a(g440 +Vl2 +p44393 +tp44394 +a(g705 +g1064 +tp44395 +a(g705 +g1064 +tp44396 +a(g705 +g1064 +tp44397 +a(g826 +V\u000a +p44398 +tp44399 +a(g8 +V;; l1 null and l2 null ==> l1 length = l2 length +p44400 +tp44401 +a(g826 +V\u000a +p44402 +tp44403 +a(g705 +g1040 +tp44404 +a(g705 +g1040 +tp44405 +a(g744 +Vand +p44406 +tp44407 +a(g826 +g1044 +tp44408 +a(g705 +g1040 +tp44409 +a(g573 +Vnull +p44410 +tp44411 +a(g826 +g1044 +tp44412 +a(g440 +Vl1 +p44413 +tp44414 +a(g705 +g1064 +tp44415 +a(g826 +g1044 +tp44416 +a(g705 +g1040 +tp44417 +a(g573 +Vnull +p44418 +tp44419 +a(g826 +g1044 +tp44420 +a(g440 +Vl2 +p44421 +tp44422 +a(g705 +g1064 +tp44423 +a(g705 +g1064 +tp44424 +a(g705 +g1064 +tp44425 +a(g826 +V\u000a +p44426 +tp44427 +a(g8 +V;; l1 not null and l2 null ==> l1 length > l2 length +p44428 +tp44429 +a(g826 +V\u000a +p44430 +tp44431 +a(g705 +g1040 +tp44432 +a(g440 +Vl1 +p44433 +tp44434 +a(g826 +g1044 +tp44435 +a(g705 +g1040 +tp44436 +a(g573 +V<= +p44437 +tp44438 +a(g826 +g1044 +tp44439 +a(g705 +g1040 +tp44440 +a(g440 +Vversion-list-not-zero +p44441 +tp44442 +a(g826 +g1044 +tp44443 +a(g440 +Vl1 +p44444 +tp44445 +a(g705 +g1064 +tp44446 +a(g826 +g1044 +tp44447 +a(g37 +g2628 +tp44448 +a(g705 +g1064 +tp44449 +a(g705 +g1064 +tp44450 +a(g826 +V\u000a +p44451 +tp44452 +a(g8 +V;; l1 null and l2 not null ==> l2 length > l1 length +p44453 +tp44454 +a(g826 +V\u000a +p44455 +tp44456 +a(g705 +g1040 +tp44457 +a(g565 +g1187 +tp44458 +a(g826 +V +p44459 +tp44460 +a(g705 +g1040 +tp44461 +a(g573 +V<= +p44462 +tp44463 +a(g826 +g1044 +tp44464 +a(g37 +g2628 +tp44465 +a(g826 +g1044 +tp44466 +a(g705 +g1040 +tp44467 +a(g440 +Vversion-list-not-zero +p44468 +tp44469 +a(g826 +g1044 +tp44470 +a(g440 +Vl2 +p44471 +tp44472 +a(g705 +g1064 +tp44473 +a(g705 +g1064 +tp44474 +a(g705 +g1064 +tp44475 +a(g705 +g1064 +tp44476 +a(g705 +g1064 +tp44477 +a(g826 +V\u000a\u000a +p44478 +tp44479 +a(g705 +g1040 +tp44480 +a(g681 +Vdefun +p44481 +tp44482 +a(g826 +g1044 +tp44483 +a(g440 +Vversion-list-not-zero +p44484 +tp44485 +a(g826 +g1044 +tp44486 +a(g705 +g1040 +tp44487 +a(g440 +Vlst +p44488 +tp44489 +a(g705 +g1064 +tp44490 +a(g826 +V\u000a +p44491 +tp44492 +a(g89 +g1068 +tp44493 +a(g89 +VReturn the first non-zero element of LST, which is a list of integers.\u000a\u000aIf all LST elements are zeros or LST is nil, return zero. +p44494 +tp44495 +a(g89 +g1068 +tp44496 +a(g826 +V\u000a +p44497 +tp44498 +a(g705 +g1040 +tp44499 +a(g744 +Vwhile +p44500 +tp44501 +a(g826 +g1044 +tp44502 +a(g705 +g1040 +tp44503 +a(g744 +Vand +p44504 +tp44505 +a(g826 +g1044 +tp44506 +a(g440 +Vlst +p44507 +tp44508 +a(g826 +g1044 +tp44509 +a(g705 +g1040 +tp44510 +a(g440 +Vzerop +p44511 +tp44512 +a(g826 +g1044 +tp44513 +a(g705 +g1040 +tp44514 +a(g573 +Vcar +p44515 +tp44516 +a(g826 +g1044 +tp44517 +a(g440 +Vlst +p44518 +tp44519 +a(g705 +g1064 +tp44520 +a(g705 +g1064 +tp44521 +a(g705 +g1064 +tp44522 +a(g826 +V\u000a +p44523 +tp44524 +a(g705 +g1040 +tp44525 +a(g744 +Vsetq +p44526 +tp44527 +a(g826 +g1044 +tp44528 +a(g440 +Vlst +p44529 +tp44530 +a(g826 +g1044 +tp44531 +a(g705 +g1040 +tp44532 +a(g573 +Vcdr +p44533 +tp44534 +a(g826 +g1044 +tp44535 +a(g440 +Vlst +p44536 +tp44537 +a(g705 +g1064 +tp44538 +a(g705 +g1064 +tp44539 +a(g705 +g1064 +tp44540 +a(g826 +V\u000a +p44541 +tp44542 +a(g705 +g1040 +tp44543 +a(g744 +Vif +p44544 +tp44545 +a(g826 +g1044 +tp44546 +a(g440 +Vlst +p44547 +tp44548 +a(g826 +V\u000a +p44549 +tp44550 +a(g705 +g1040 +tp44551 +a(g573 +Vcar +p44552 +tp44553 +a(g826 +g1044 +tp44554 +a(g440 +Vlst +p44555 +tp44556 +a(g705 +g1064 +tp44557 +a(g826 +V\u000a +p44558 +tp44559 +a(g8 +V;; there is no element different of zero +p44560 +tp44561 +a(g826 +V\u000a +p44562 +tp44563 +a(g37 +g2628 +tp44564 +a(g705 +g1064 +tp44565 +a(g705 +g1064 +tp44566 +a(g826 +V\u000a\u000a\u000a +p44567 +tp44568 +a(g705 +g1040 +tp44569 +a(g681 +Vdefun +p44570 +tp44571 +a(g826 +g1044 +tp44572 +a(g440 +Vversion< +p44573 +tp44574 +a(g826 +g1044 +tp44575 +a(g705 +g1040 +tp44576 +a(g440 +Vv1 +p44577 +tp44578 +a(g826 +g1044 +tp44579 +a(g440 +Vv2 +p44580 +tp44581 +a(g705 +g1064 +tp44582 +a(g826 +V\u000a +p44583 +tp44584 +a(g89 +g1068 +tp44585 +a(g89 +VReturn t if version V1 is lower (older) than V2.\u000a\u000aNote that version string +p44586 +tp44587 +a(g89 +V\u005c" +p44588 +tp44589 +a(g89 +g2048 +tp44590 +a(g89 +V\u005c" +p44591 +tp44592 +a(g89 +V is equal to +p44593 +tp44594 +a(g89 +V\u005c" +p44595 +tp44596 +a(g89 +V1.0 +p44597 +tp44598 +a(g89 +V\u005c" +p44599 +tp44600 +a(g89 +V, +p44601 +tp44602 +a(g89 +V\u005c" +p44603 +tp44604 +a(g89 +V1.0.0 +p44605 +tp44606 +a(g89 +V\u005c" +p44607 +tp44608 +a(g89 +V, +p44609 +tp44610 +a(g89 +V\u005c" +p44611 +tp44612 +a(g89 +V1.0.0.0 +p44613 +tp44614 +a(g89 +V\u005c" +p44615 +tp44616 +a(g89 +V,\u000aetc. That is, the trailing +p44617 +tp44618 +a(g89 +V\u005c" +p44619 +tp44620 +a(g89 +V.0 +p44621 +tp44622 +a(g89 +V\u005c" +p44623 +tp44624 +a(g89 +Vs are insignificant. Also, version\u000astring +p44625 +tp44626 +a(g89 +V\u005c" +p44627 +tp44628 +a(g89 +g2048 +tp44629 +a(g89 +V\u005c" +p44630 +tp44631 +a(g89 +V is higher (newer) than +p44632 +tp44633 +a(g89 +V\u005c" +p44634 +tp44635 +a(g89 +V1pre +p44636 +tp44637 +a(g89 +V\u005c" +p44638 +tp44639 +a(g89 +V, which is higher than +p44640 +tp44641 +a(g89 +V\u005c" +p44642 +tp44643 +a(g89 +V1beta +p44644 +tp44645 +a(g89 +V\u005c" +p44646 +tp44647 +a(g89 +V,\u000awhich is higher than +p44648 +tp44649 +a(g89 +V\u005c" +p44650 +tp44651 +a(g89 +V1alpha +p44652 +tp44653 +a(g89 +V\u005c" +p44654 +tp44655 +a(g89 +V, which is higher than +p44656 +tp44657 +a(g89 +V\u005c" +p44658 +tp44659 +a(g89 +V1snapshot +p44660 +tp44661 +a(g89 +V\u005c" +p44662 +tp44663 +a(g89 +V.\u000aAlso, +p44664 +tp44665 +a(g89 +V\u005c" +p44666 +tp44667 +a(g89 +V-GIT +p44668 +tp44669 +a(g89 +V\u005c" +p44670 +tp44671 +a(g89 +V, +p44672 +tp44673 +a(g89 +V\u005c" +p44674 +tp44675 +a(g89 +V-CVS +p44676 +tp44677 +a(g89 +V\u005c" +p44678 +tp44679 +a(g89 +V and +p44680 +tp44681 +a(g89 +V\u005c" +p44682 +tp44683 +a(g89 +V-NNN +p44684 +tp44685 +a(g89 +V\u005c" +p44686 +tp44687 +a(g89 +V are treated as snapshot versions. +p44688 +tp44689 +a(g89 +g1068 +tp44690 +a(g826 +V\u000a +p44691 +tp44692 +a(g705 +g1040 +tp44693 +a(g440 +Vversion-list-< +p44694 +tp44695 +a(g826 +g1044 +tp44696 +a(g705 +g1040 +tp44697 +a(g440 +Vversion-to-list +p44698 +tp44699 +a(g826 +g1044 +tp44700 +a(g440 +Vv1 +p44701 +tp44702 +a(g705 +g1064 +tp44703 +a(g826 +g1044 +tp44704 +a(g705 +g1040 +tp44705 +a(g440 +Vversion-to-list +p44706 +tp44707 +a(g826 +g1044 +tp44708 +a(g440 +Vv2 +p44709 +tp44710 +a(g705 +g1064 +tp44711 +a(g705 +g1064 +tp44712 +a(g705 +g1064 +tp44713 +a(g826 +V\u000a\u000a +p44714 +tp44715 +a(g705 +g1040 +tp44716 +a(g681 +Vdefun +p44717 +tp44718 +a(g826 +g1044 +tp44719 +a(g440 +Vversion<= +p44720 +tp44721 +a(g826 +g1044 +tp44722 +a(g705 +g1040 +tp44723 +a(g440 +Vv1 +p44724 +tp44725 +a(g826 +g1044 +tp44726 +a(g440 +Vv2 +p44727 +tp44728 +a(g705 +g1064 +tp44729 +a(g826 +V\u000a +p44730 +tp44731 +a(g89 +g1068 +tp44732 +a(g89 +VReturn t if version V1 is lower (older) than or equal to V2.\u000a\u000aNote that version string +p44733 +tp44734 +a(g89 +V\u005c" +p44735 +tp44736 +a(g89 +g2048 +tp44737 +a(g89 +V\u005c" +p44738 +tp44739 +a(g89 +V is equal to +p44740 +tp44741 +a(g89 +V\u005c" +p44742 +tp44743 +a(g89 +V1.0 +p44744 +tp44745 +a(g89 +V\u005c" +p44746 +tp44747 +a(g89 +V, +p44748 +tp44749 +a(g89 +V\u005c" +p44750 +tp44751 +a(g89 +V1.0.0 +p44752 +tp44753 +a(g89 +V\u005c" +p44754 +tp44755 +a(g89 +V, +p44756 +tp44757 +a(g89 +V\u005c" +p44758 +tp44759 +a(g89 +V1.0.0.0 +p44760 +tp44761 +a(g89 +V\u005c" +p44762 +tp44763 +a(g89 +V,\u000aetc. That is, the trailing +p44764 +tp44765 +a(g89 +V\u005c" +p44766 +tp44767 +a(g89 +V.0 +p44768 +tp44769 +a(g89 +V\u005c" +p44770 +tp44771 +a(g89 +Vs are insignificant. Also, version\u000astring +p44772 +tp44773 +a(g89 +V\u005c" +p44774 +tp44775 +a(g89 +g2048 +tp44776 +a(g89 +V\u005c" +p44777 +tp44778 +a(g89 +V is higher (newer) than +p44779 +tp44780 +a(g89 +V\u005c" +p44781 +tp44782 +a(g89 +V1pre +p44783 +tp44784 +a(g89 +V\u005c" +p44785 +tp44786 +a(g89 +V, which is higher than +p44787 +tp44788 +a(g89 +V\u005c" +p44789 +tp44790 +a(g89 +V1beta +p44791 +tp44792 +a(g89 +V\u005c" +p44793 +tp44794 +a(g89 +V,\u000awhich is higher than +p44795 +tp44796 +a(g89 +V\u005c" +p44797 +tp44798 +a(g89 +V1alpha +p44799 +tp44800 +a(g89 +V\u005c" +p44801 +tp44802 +a(g89 +V, which is higher than +p44803 +tp44804 +a(g89 +V\u005c" +p44805 +tp44806 +a(g89 +V1snapshot +p44807 +tp44808 +a(g89 +V\u005c" +p44809 +tp44810 +a(g89 +V.\u000aAlso, +p44811 +tp44812 +a(g89 +V\u005c" +p44813 +tp44814 +a(g89 +V-GIT +p44815 +tp44816 +a(g89 +V\u005c" +p44817 +tp44818 +a(g89 +V, +p44819 +tp44820 +a(g89 +V\u005c" +p44821 +tp44822 +a(g89 +V-CVS +p44823 +tp44824 +a(g89 +V\u005c" +p44825 +tp44826 +a(g89 +V and +p44827 +tp44828 +a(g89 +V\u005c" +p44829 +tp44830 +a(g89 +V-NNN +p44831 +tp44832 +a(g89 +V\u005c" +p44833 +tp44834 +a(g89 +V are treated as snapshot versions. +p44835 +tp44836 +a(g89 +g1068 +tp44837 +a(g826 +V\u000a +p44838 +tp44839 +a(g705 +g1040 +tp44840 +a(g440 +Vversion-list-<= +p44841 +tp44842 +a(g826 +g1044 +tp44843 +a(g705 +g1040 +tp44844 +a(g440 +Vversion-to-list +p44845 +tp44846 +a(g826 +g1044 +tp44847 +a(g440 +Vv1 +p44848 +tp44849 +a(g705 +g1064 +tp44850 +a(g826 +g1044 +tp44851 +a(g705 +g1040 +tp44852 +a(g440 +Vversion-to-list +p44853 +tp44854 +a(g826 +g1044 +tp44855 +a(g440 +Vv2 +p44856 +tp44857 +a(g705 +g1064 +tp44858 +a(g705 +g1064 +tp44859 +a(g705 +g1064 +tp44860 +a(g826 +V\u000a\u000a +p44861 +tp44862 +a(g705 +g1040 +tp44863 +a(g681 +Vdefun +p44864 +tp44865 +a(g826 +g1044 +tp44866 +a(g440 +Vversion= +p44867 +tp44868 +a(g826 +g1044 +tp44869 +a(g705 +g1040 +tp44870 +a(g440 +Vv1 +p44871 +tp44872 +a(g826 +g1044 +tp44873 +a(g440 +Vv2 +p44874 +tp44875 +a(g705 +g1064 +tp44876 +a(g826 +V\u000a +p44877 +tp44878 +a(g89 +g1068 +tp44879 +a(g89 +VReturn t if version V1 is equal to V2.\u000a\u000aNote that version string +p44880 +tp44881 +a(g89 +V\u005c" +p44882 +tp44883 +a(g89 +g2048 +tp44884 +a(g89 +V\u005c" +p44885 +tp44886 +a(g89 +V is equal to +p44887 +tp44888 +a(g89 +V\u005c" +p44889 +tp44890 +a(g89 +V1.0 +p44891 +tp44892 +a(g89 +V\u005c" +p44893 +tp44894 +a(g89 +V, +p44895 +tp44896 +a(g89 +V\u005c" +p44897 +tp44898 +a(g89 +V1.0.0 +p44899 +tp44900 +a(g89 +V\u005c" +p44901 +tp44902 +a(g89 +V, +p44903 +tp44904 +a(g89 +V\u005c" +p44905 +tp44906 +a(g89 +V1.0.0.0 +p44907 +tp44908 +a(g89 +V\u005c" +p44909 +tp44910 +a(g89 +V,\u000aetc. That is, the trailing +p44911 +tp44912 +a(g89 +V\u005c" +p44913 +tp44914 +a(g89 +V.0 +p44915 +tp44916 +a(g89 +V\u005c" +p44917 +tp44918 +a(g89 +Vs are insignificant. Also, version\u000astring +p44919 +tp44920 +a(g89 +V\u005c" +p44921 +tp44922 +a(g89 +g2048 +tp44923 +a(g89 +V\u005c" +p44924 +tp44925 +a(g89 +V is higher (newer) than +p44926 +tp44927 +a(g89 +V\u005c" +p44928 +tp44929 +a(g89 +V1pre +p44930 +tp44931 +a(g89 +V\u005c" +p44932 +tp44933 +a(g89 +V, which is higher than +p44934 +tp44935 +a(g89 +V\u005c" +p44936 +tp44937 +a(g89 +V1beta +p44938 +tp44939 +a(g89 +V\u005c" +p44940 +tp44941 +a(g89 +V,\u000awhich is higher than +p44942 +tp44943 +a(g89 +V\u005c" +p44944 +tp44945 +a(g89 +V1alpha +p44946 +tp44947 +a(g89 +V\u005c" +p44948 +tp44949 +a(g89 +V, which is higher than +p44950 +tp44951 +a(g89 +V\u005c" +p44952 +tp44953 +a(g89 +V1snapshot +p44954 +tp44955 +a(g89 +V\u005c" +p44956 +tp44957 +a(g89 +V.\u000aAlso, +p44958 +tp44959 +a(g89 +V\u005c" +p44960 +tp44961 +a(g89 +V-GIT +p44962 +tp44963 +a(g89 +V\u005c" +p44964 +tp44965 +a(g89 +V, +p44966 +tp44967 +a(g89 +V\u005c" +p44968 +tp44969 +a(g89 +V-CVS +p44970 +tp44971 +a(g89 +V\u005c" +p44972 +tp44973 +a(g89 +V and +p44974 +tp44975 +a(g89 +V\u005c" +p44976 +tp44977 +a(g89 +V-NNN +p44978 +tp44979 +a(g89 +V\u005c" +p44980 +tp44981 +a(g89 +V are treated as snapshot versions. +p44982 +tp44983 +a(g89 +g1068 +tp44984 +a(g826 +V\u000a +p44985 +tp44986 +a(g705 +g1040 +tp44987 +a(g440 +Vversion-list-= +p44988 +tp44989 +a(g826 +g1044 +tp44990 +a(g705 +g1040 +tp44991 +a(g440 +Vversion-to-list +p44992 +tp44993 +a(g826 +g1044 +tp44994 +a(g440 +Vv1 +p44995 +tp44996 +a(g705 +g1064 +tp44997 +a(g826 +g1044 +tp44998 +a(g705 +g1040 +tp44999 +a(g440 +Vversion-to-list +p45000 +tp45001 +a(g826 +g1044 +tp45002 +a(g440 +Vv2 +p45003 +tp45004 +a(g705 +g1064 +tp45005 +a(g705 +g1064 +tp45006 +a(g705 +g1064 +tp45007 +a(g826 +V\u000a\u000a +p45008 +tp45009 +a(g705 +g1040 +tp45010 +a(g744 +Vdefvar +p45011 +tp45012 +a(g826 +g1044 +tp45013 +a(g440 +Vpackage--builtin-versions +p45014 +tp45015 +a(g826 +V\u000a +p45016 +tp45017 +a(g8 +V;; Mostly populated by loaddefs.el via autoload-builtin-package-versions. +p45018 +tp45019 +a(g826 +V\u000a +p45020 +tp45021 +a(g705 +g1040 +tp45022 +a(g573 +Vpurecopy +p45023 +tp45024 +a(g826 +g1044 +tp45025 +a(g412 +g1128 +tp45026 +a(g705 +g1040 +tp45027 +a(g705 +g1040 +tp45028 +a(g440 +Vemacs +p45029 +tp45030 +a(g826 +g1044 +tp45031 +a(g412 +g3307 +tp45032 +a(g826 +g1044 +tp45033 +a(g412 +g1198 +tp45034 +a(g705 +g1040 +tp45035 +a(g440 +Vversion-to-list +p45036 +tp45037 +a(g826 +g1044 +tp45038 +a(g440 +Vemacs-version +p45039 +tp45040 +a(g705 +g1064 +tp45041 +a(g705 +g1064 +tp45042 +a(g705 +g1064 +tp45043 +a(g705 +g1064 +tp45044 +a(g826 +V\u000a +p45045 +tp45046 +a(g89 +g1068 +tp45047 +a(g89 +VAlist giving the version of each versioned builtin package.\u000aI.e. each element of the list is of the form (NAME . VERSION) where\u000aNAME is the package name as a symbol, and VERSION is its version\u000aas a list. +p45048 +tp45049 +a(g89 +g1068 +tp45050 +a(g705 +g1064 +tp45051 +a(g826 +V\u000a\u000a +p45052 +tp45053 +a(g705 +g1040 +tp45054 +a(g681 +Vdefun +p45055 +tp45056 +a(g826 +g1044 +tp45057 +a(g440 +Vpackage--description-file +p45058 +tp45059 +a(g826 +g1044 +tp45060 +a(g705 +g1040 +tp45061 +a(g440 +Vdir +p45062 +tp45063 +a(g705 +g1064 +tp45064 +a(g826 +V\u000a +p45065 +tp45066 +a(g705 +g1040 +tp45067 +a(g573 +Vconcat +p45068 +tp45069 +a(g826 +g1044 +tp45070 +a(g705 +g1040 +tp45071 +a(g744 +Vlet +p45072 +tp45073 +a(g826 +g1044 +tp45074 +a(g705 +g1040 +tp45075 +a(g705 +g1040 +tp45076 +a(g440 +Vsubdir +p45077 +tp45078 +a(g826 +g1044 +tp45079 +a(g705 +g1040 +tp45080 +a(g573 +Vfile-name-nondirectory +p45081 +tp45082 +a(g826 +V\u000a +p45083 +tp45084 +a(g705 +g1040 +tp45085 +a(g573 +Vdirectory-file-name +p45086 +tp45087 +a(g826 +g1044 +tp45088 +a(g440 +Vdir +p45089 +tp45090 +a(g705 +g1064 +tp45091 +a(g705 +g1064 +tp45092 +a(g705 +g1064 +tp45093 +a(g705 +g1064 +tp45094 +a(g826 +V\u000a +p45095 +tp45096 +a(g705 +g1040 +tp45097 +a(g744 +Vif +p45098 +tp45099 +a(g826 +g1044 +tp45100 +a(g705 +g1040 +tp45101 +a(g573 +Vstring-match +p45102 +tp45103 +a(g826 +g1044 +tp45104 +a(g89 +g1068 +tp45105 +a(g89 +V\u005c\u005c +p45106 +tp45107 +a(g89 +V([^.].*? +p45108 +tp45109 +a(g89 +V\u005c\u005c +p45110 +tp45111 +a(g89 +V)- +p45112 +tp45113 +a(g89 +V\u005c\u005c +p45114 +tp45115 +a(g89 +V([0-9]+ +p45116 +tp45117 +a(g89 +V\u005c\u005c +p45118 +tp45119 +a(g89 +V(?:[.][0-9]+ +p45120 +tp45121 +a(g89 +V\u005c\u005c +p45122 +tp45123 +a(g89 +g34128 +tp45124 +a(g89 +V\u005c\u005c +p45125 +tp45126 +a(g89 +V(?:pre +p45127 +tp45128 +a(g89 +V\u005c\u005c +p45129 +tp45130 +a(g89 +V|beta +p45131 +tp45132 +a(g89 +V\u005c\u005c +p45133 +tp45134 +a(g89 +V|alpha +p45135 +tp45136 +a(g89 +V\u005c\u005c +p45137 +tp45138 +a(g89 +V)[0-9]+ +p45139 +tp45140 +a(g89 +V\u005c\u005c +p45141 +tp45142 +a(g89 +V)* +p45143 +tp45144 +a(g89 +V\u005c\u005c +p45145 +tp45146 +a(g89 +g1064 +tp45147 +a(g89 +g1068 +tp45148 +a(g826 +g1044 +tp45149 +a(g440 +Vsubdir +p45150 +tp45151 +a(g705 +g1064 +tp45152 +a(g826 +V\u000a +p45153 +tp45154 +a(g705 +g1040 +tp45155 +a(g440 +Vmatch-string +p45156 +tp45157 +a(g826 +g1044 +tp45158 +a(g37 +g2048 +tp45159 +a(g826 +g1044 +tp45160 +a(g440 +Vsubdir +p45161 +tp45162 +a(g705 +g1064 +tp45163 +a(g826 +g1044 +tp45164 +a(g440 +Vsubdir +p45165 +tp45166 +a(g705 +g1064 +tp45167 +a(g705 +g1064 +tp45168 +a(g826 +V\u000a +p45169 +tp45170 +a(g89 +g1068 +tp45171 +a(g89 +V-pkg.el +p45172 +tp45173 +a(g89 +g1068 +tp45174 +a(g705 +g1064 +tp45175 +a(g705 +g1064 +tp45176 +a(g826 +V\u000a\u000a \u000a +p45177 +tp45178 +a(g8 +V;;; Misc. +p45179 +tp45180 +a(g826 +V\u000a +p45181 +tp45182 +a(g705 +g1040 +tp45183 +a(g744 +Vdefconst +p45184 +tp45185 +a(g826 +g1044 +tp45186 +a(g440 +Vmenu-bar-separator +p45187 +tp45188 +a(g826 +g1044 +tp45189 +a(g412 +g10833 +tp45190 +a(g705 +g1040 +tp45191 +a(g89 +g1068 +tp45192 +a(g89 +V-- +p45193 +tp45194 +a(g89 +g1068 +tp45195 +a(g705 +g1064 +tp45196 +a(g826 +V\u000a +p45197 +tp45198 +a(g89 +g1068 +tp45199 +a(g89 +VSeparator for menus. +p45200 +tp45201 +a(g89 +g1068 +tp45202 +a(g705 +g1064 +tp45203 +a(g826 +V\u000a\u000a +p45204 +tp45205 +a(g8 +V;; The following statement ought to be in print.c, but `provide' can't +p45206 +tp45207 +a(g826 +V\u000a +p45208 +tp45209 +a(g8 +V;; be used there. +p45210 +tp45211 +a(g826 +V\u000a +p45212 +tp45213 +a(g8 +V;; http://lists.gnu.org/archive/html/emacs-devel/2009-08/msg00236.html +p45214 +tp45215 +a(g826 +V\u000a +p45216 +tp45217 +a(g705 +g1040 +tp45218 +a(g681 +Vwhen +p45219 +tp45220 +a(g826 +g1044 +tp45221 +a(g705 +g1040 +tp45222 +a(g573 +Vhash-table-p +p45223 +tp45224 +a(g826 +g1044 +tp45225 +a(g705 +g1040 +tp45226 +a(g573 +Vcar +p45227 +tp45228 +a(g826 +g1044 +tp45229 +a(g705 +g1040 +tp45230 +a(g573 +Vread-from-string +p45231 +tp45232 +a(g826 +V\u000a +p45233 +tp45234 +a(g705 +g1040 +tp45235 +a(g573 +Vprin1-to-string +p45236 +tp45237 +a(g826 +g1044 +tp45238 +a(g705 +g1040 +tp45239 +a(g573 +Vmake-hash-table +p45240 +tp45241 +a(g705 +g1064 +tp45242 +a(g705 +g1064 +tp45243 +a(g705 +g1064 +tp45244 +a(g705 +g1064 +tp45245 +a(g705 +g1064 +tp45246 +a(g826 +V\u000a +p45247 +tp45248 +a(g705 +g1040 +tp45249 +a(g681 +Vprovide +p45250 +tp45251 +a(g826 +g1044 +tp45252 +a(g117 +V'hashtable-print-readable +p45253 +tp45254 +a(g705 +g1064 +tp45255 +a(g705 +g1064 +tp45256 +a(g826 +V\u000a\u000a +p45257 +tp45258 +a(g8 +V;; This is used in lisp/Makefile.in and in leim/Makefile.in to +p45259 +tp45260 +a(g826 +V\u000a +p45261 +tp45262 +a(g8 +V;; generate file names for autoloads, custom-deps, and finder-data. +p45263 +tp45264 +a(g826 +V\u000a +p45265 +tp45266 +a(g705 +g1040 +tp45267 +a(g681 +Vdefun +p45268 +tp45269 +a(g826 +g1044 +tp45270 +a(g440 +Vunmsys--file-name +p45271 +tp45272 +a(g826 +g1044 +tp45273 +a(g705 +g1040 +tp45274 +a(g440 +Vfile +p45275 +tp45276 +a(g705 +g1064 +tp45277 +a(g826 +V\u000a +p45278 +tp45279 +a(g89 +g1068 +tp45280 +a(g89 +VProduce the canonical file name for FILE from its MSYS form.\u000a\u000aOn systems other than MS-Windows, just returns FILE.\u000aOn MS-Windows, converts /d/foo/bar form of file names\u000apassed by MSYS Make into d:/foo/bar that Emacs can grok.\u000a\u000aThis function is called from lisp/Makefile and leim/Makefile. +p45281 +tp45282 +a(g89 +g1068 +tp45283 +a(g826 +V\u000a +p45284 +tp45285 +a(g705 +g1040 +tp45286 +a(g681 +Vwhen +p45287 +tp45288 +a(g826 +g1044 +tp45289 +a(g705 +g1040 +tp45290 +a(g744 +Vand +p45291 +tp45292 +a(g826 +g1044 +tp45293 +a(g705 +g1040 +tp45294 +a(g573 +Veq +p45295 +tp45296 +a(g826 +g1044 +tp45297 +a(g440 +Vsystem-type +p45298 +tp45299 +a(g826 +g1044 +tp45300 +a(g117 +V'windows-nt +p45301 +tp45302 +a(g705 +g1064 +tp45303 +a(g826 +V\u000a +p45304 +tp45305 +a(g705 +g1040 +tp45306 +a(g573 +Vstring-match +p45307 +tp45308 +a(g826 +g1044 +tp45309 +a(g89 +g1068 +tp45310 +a(g89 +V\u005c\u005c +p45311 +tp45312 +a(g89 +g1128 +tp45313 +a(g89 +V/[a-zA-Z]/ +p45314 +tp45315 +a(g89 +g1068 +tp45316 +a(g826 +g1044 +tp45317 +a(g440 +Vfile +p45318 +tp45319 +a(g705 +g1064 +tp45320 +a(g705 +g1064 +tp45321 +a(g826 +V\u000a +p45322 +tp45323 +a(g705 +g1040 +tp45324 +a(g744 +Vsetq +p45325 +tp45326 +a(g826 +g1044 +tp45327 +a(g440 +Vfile +p45328 +tp45329 +a(g826 +g1044 +tp45330 +a(g705 +g1040 +tp45331 +a(g573 +Vconcat +p45332 +tp45333 +a(g826 +g1044 +tp45334 +a(g705 +g1040 +tp45335 +a(g573 +Vsubstring +p45336 +tp45337 +a(g826 +g1044 +tp45338 +a(g440 +Vfile +p45339 +tp45340 +a(g826 +g1044 +tp45341 +a(g37 +g2048 +tp45342 +a(g826 +g1044 +tp45343 +a(g37 +g1370 +tp45344 +a(g705 +g1064 +tp45345 +a(g826 +g1044 +tp45346 +a(g89 +g1068 +tp45347 +a(g89 +V: +p45348 +tp45349 +a(g89 +g1068 +tp45350 +a(g826 +g1044 +tp45351 +a(g705 +g1040 +tp45352 +a(g573 +Vsubstring +p45353 +tp45354 +a(g826 +g1044 +tp45355 +a(g440 +Vfile +p45356 +tp45357 +a(g826 +g1044 +tp45358 +a(g37 +g1370 +tp45359 +a(g705 +g1064 +tp45360 +a(g705 +g1064 +tp45361 +a(g705 +g1064 +tp45362 +a(g705 +g1064 +tp45363 +a(g826 +V\u000a +p45364 +tp45365 +a(g440 +Vfile +p45366 +tp45367 +a(g705 +g1064 +tp45368 +a(g826 +V\u000a\u000a\u000a +p45369 +tp45370 +a(g8 +V;;; subr.el ends here +p45371 +tp45372 +a(g826 +V\u000a +p45373 +tp45374 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/swig_java.swg b/tests/examplefiles/output/swig_java.swg new file mode 100644 index 0000000..09548b6 --- /dev/null +++ b/tests/examplefiles/output/swig_java.swg @@ -0,0 +1,47781 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* -----------------------------------------------------------------------------\u000a * java.swg\u000a *\u000a * Java typemaps\u000a * ----------------------------------------------------------------------------- */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g827 +V\u000a +p960 +tp961 +a(g574 +V%include +p962 +tp963 +a(g827 +V +p964 +tp965 +a(g413 +V< +p966 +tp967 +a(g436 +Vjavahead +p968 +tp969 +a(g706 +V. +p970 +tp971 +a(g436 +Vswg +p972 +tp973 +a(g413 +V> +p974 +tp975 +a(g827 +V\u000a +p976 +tp977 +a(g827 +V\u000a +p978 +tp979 +a(g8 +V/* The jni, jtype and jstype typemaps work together and so there should be one of each. \u000a * The jni typemap contains the JNI type used in the JNI (C/C++) code. \u000a * The jtype typemap contains the Java type used in the JNI intermediary class. \u000a * The jstype typemap contains the Java type used in the Java proxy classes, type wrapper classes and module class. */ +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a(g827 +V\u000a +p984 +tp985 +a(g8 +V/* Fragments */ +p986 +tp987 +a(g827 +V\u000a +p988 +tp989 +a(g574 +V%fragment +p990 +tp991 +a(g706 +V( +p992 +tp993 +a(g89 +V" +p994 +tp995 +a(g89 +VSWIG_PackData +p996 +tp997 +a(g89 +g994 +tp998 +a(g706 +V, +p999 +tp1000 +a(g827 +g964 +tp1001 +a(g89 +g994 +tp1002 +a(g89 +Vheader +p1003 +tp1004 +a(g89 +g994 +tp1005 +a(g706 +V) +p1006 +tp1007 +a(g827 +g964 +tp1008 +a(g706 +V{ +p1009 +tp1010 +a(g827 +V\u000a +p1011 +tp1012 +a(g8 +V/* Pack binary data into a string */ +p1013 +tp1014 +a(g827 +V\u000a +p1015 +tp1016 +a(g436 +VSWIGINTERN +p1017 +tp1018 +a(g827 +g964 +tp1019 +a(g749 +Vchar +p1020 +tp1021 +a(g827 +g964 +tp1022 +a(g413 +V* +p1023 +tp1024 +a(g827 +g964 +tp1025 +a(g436 +VSWIG_PackData +p1026 +tp1027 +a(g706 +g992 +tp1028 +a(g749 +Vchar +p1029 +tp1030 +a(g827 +g964 +tp1031 +a(g413 +g1023 +tp1032 +a(g436 +Vc +p1033 +tp1034 +a(g706 +g999 +tp1035 +a(g827 +g964 +tp1036 +a(g749 +Vvoid +p1037 +tp1038 +a(g827 +g964 +tp1039 +a(g413 +g1023 +tp1040 +a(g436 +Vptr +p1041 +tp1042 +a(g706 +g999 +tp1043 +a(g827 +g964 +tp1044 +a(g749 +Vsize_t +p1045 +tp1046 +a(g827 +g964 +tp1047 +a(g436 +Vsz +p1048 +tp1049 +a(g706 +g1006 +tp1050 +a(g827 +g964 +tp1051 +a(g706 +g1009 +tp1052 +a(g827 +V\u000a +p1053 +tp1054 +a(g827 +V +p1055 +tp1056 +a(g745 +Vstatic +p1057 +tp1058 +a(g827 +g964 +tp1059 +a(g745 +Vconst +p1060 +tp1061 +a(g827 +g964 +tp1062 +a(g749 +Vchar +p1063 +tp1064 +a(g827 +g964 +tp1065 +a(g436 +Vhex +p1066 +tp1067 +a(g706 +V[ +p1068 +tp1069 +a(g37 +V17 +p1070 +tp1071 +a(g706 +V] +p1072 +tp1073 +a(g827 +g964 +tp1074 +a(g413 +V= +p1075 +tp1076 +a(g827 +g964 +tp1077 +a(g89 +g994 +tp1078 +a(g89 +V0123456789abcdef +p1079 +tp1080 +a(g89 +g994 +tp1081 +a(g706 +V; +p1082 +tp1083 +a(g827 +V\u000a +p1084 +tp1085 +a(g827 +V +p1086 +tp1087 +a(g745 +Vregister +p1088 +tp1089 +a(g827 +g964 +tp1090 +a(g745 +Vconst +p1091 +tp1092 +a(g827 +g964 +tp1093 +a(g749 +Vunsigned +p1094 +tp1095 +a(g827 +g964 +tp1096 +a(g749 +Vchar +p1097 +tp1098 +a(g827 +g964 +tp1099 +a(g413 +g1023 +tp1100 +a(g436 +Vu +p1101 +tp1102 +a(g827 +g964 +tp1103 +a(g413 +g1075 +tp1104 +a(g827 +g964 +tp1105 +a(g706 +g992 +tp1106 +a(g749 +Vunsigned +p1107 +tp1108 +a(g827 +g964 +tp1109 +a(g749 +Vchar +p1110 +tp1111 +a(g827 +g964 +tp1112 +a(g413 +g1023 +tp1113 +a(g706 +g1006 +tp1114 +a(g827 +g964 +tp1115 +a(g436 +Vptr +p1116 +tp1117 +a(g706 +g1082 +tp1118 +a(g827 +V\u000a +p1119 +tp1120 +a(g827 +V +p1121 +tp1122 +a(g745 +Vregister +p1123 +tp1124 +a(g827 +g964 +tp1125 +a(g745 +Vconst +p1126 +tp1127 +a(g827 +g964 +tp1128 +a(g749 +Vunsigned +p1129 +tp1130 +a(g827 +g964 +tp1131 +a(g749 +Vchar +p1132 +tp1133 +a(g827 +g964 +tp1134 +a(g413 +g1023 +tp1135 +a(g436 +Veu +p1136 +tp1137 +a(g827 +g964 +tp1138 +a(g413 +g1075 +tp1139 +a(g827 +V +p1140 +tp1141 +a(g436 +g1101 +tp1142 +a(g827 +g964 +tp1143 +a(g413 +V+ +p1144 +tp1145 +a(g827 +g964 +tp1146 +a(g436 +Vsz +p1147 +tp1148 +a(g706 +g1082 +tp1149 +a(g827 +V\u000a +p1150 +tp1151 +a(g827 +V +p1152 +tp1153 +a(g745 +Vfor +p1154 +tp1155 +a(g827 +g964 +tp1156 +a(g706 +g992 +tp1157 +a(g706 +g1082 +tp1158 +a(g827 +g964 +tp1159 +a(g436 +g1101 +tp1160 +a(g827 +g964 +tp1161 +a(g413 +V! +p1162 +tp1163 +a(g413 +g1075 +tp1164 +a(g827 +g964 +tp1165 +a(g436 +Veu +p1166 +tp1167 +a(g706 +g1082 +tp1168 +a(g827 +g964 +tp1169 +a(g413 +g1144 +tp1170 +a(g413 +g1144 +tp1171 +a(g436 +g1101 +tp1172 +a(g706 +g1006 +tp1173 +a(g827 +g964 +tp1174 +a(g706 +g1009 +tp1175 +a(g827 +V\u000a +p1176 +tp1177 +a(g827 +V +p1178 +tp1179 +a(g745 +Vregister +p1180 +tp1181 +a(g827 +g964 +tp1182 +a(g749 +Vunsigned +p1183 +tp1184 +a(g827 +g964 +tp1185 +a(g749 +Vchar +p1186 +tp1187 +a(g827 +g964 +tp1188 +a(g436 +Vuu +p1189 +tp1190 +a(g827 +g964 +tp1191 +a(g413 +g1075 +tp1192 +a(g827 +g964 +tp1193 +a(g413 +g1023 +tp1194 +a(g436 +g1101 +tp1195 +a(g706 +g1082 +tp1196 +a(g827 +V\u000a +p1197 +tp1198 +a(g827 +V +p1199 +tp1200 +a(g413 +g1023 +tp1201 +a(g706 +g992 +tp1202 +a(g436 +g1033 +tp1203 +a(g413 +g1144 +tp1204 +a(g413 +g1144 +tp1205 +a(g706 +g1006 +tp1206 +a(g827 +g964 +tp1207 +a(g413 +g1075 +tp1208 +a(g827 +g964 +tp1209 +a(g436 +Vhex +p1210 +tp1211 +a(g706 +g1068 +tp1212 +a(g706 +g992 +tp1213 +a(g436 +Vuu +p1214 +tp1215 +a(g827 +g964 +tp1216 +a(g413 +V& +p1217 +tp1218 +a(g827 +g964 +tp1219 +a(g298 +V0xf0 +p1220 +tp1221 +a(g706 +g1006 +tp1222 +a(g827 +g964 +tp1223 +a(g413 +g974 +tp1224 +a(g413 +g974 +tp1225 +a(g827 +g964 +tp1226 +a(g37 +V4 +p1227 +tp1228 +a(g706 +g1072 +tp1229 +a(g706 +g1082 +tp1230 +a(g827 +V\u000a +p1231 +tp1232 +a(g827 +V +p1233 +tp1234 +a(g413 +g1023 +tp1235 +a(g706 +g992 +tp1236 +a(g436 +g1033 +tp1237 +a(g413 +g1144 +tp1238 +a(g413 +g1144 +tp1239 +a(g706 +g1006 +tp1240 +a(g827 +g964 +tp1241 +a(g413 +g1075 +tp1242 +a(g827 +g964 +tp1243 +a(g436 +Vhex +p1244 +tp1245 +a(g706 +g1068 +tp1246 +a(g436 +Vuu +p1247 +tp1248 +a(g827 +g964 +tp1249 +a(g413 +g1217 +tp1250 +a(g827 +g964 +tp1251 +a(g298 +V0xf +p1252 +tp1253 +a(g706 +g1072 +tp1254 +a(g706 +g1082 +tp1255 +a(g827 +V\u000a +p1256 +tp1257 +a(g827 +V +p1258 +tp1259 +a(g706 +V} +p1260 +tp1261 +a(g827 +V\u000a +p1262 +tp1263 +a(g827 +V +p1264 +tp1265 +a(g745 +Vreturn +p1266 +tp1267 +a(g827 +g964 +tp1268 +a(g436 +g1033 +tp1269 +a(g706 +g1082 +tp1270 +a(g827 +V\u000a +p1271 +tp1272 +a(g706 +g1260 +tp1273 +a(g827 +V\u000a +p1274 +tp1275 +a(g706 +g1260 +tp1276 +a(g827 +V\u000a +p1277 +tp1278 +a(g827 +V\u000a +p1279 +tp1280 +a(g574 +V%fragment +p1281 +tp1282 +a(g706 +g992 +tp1283 +a(g89 +g994 +tp1284 +a(g89 +VSWIG_UnPackData +p1285 +tp1286 +a(g89 +g994 +tp1287 +a(g706 +g999 +tp1288 +a(g827 +g964 +tp1289 +a(g89 +g994 +tp1290 +a(g89 +Vheader +p1291 +tp1292 +a(g89 +g994 +tp1293 +a(g706 +g1006 +tp1294 +a(g827 +g964 +tp1295 +a(g706 +g1009 +tp1296 +a(g827 +V\u000a +p1297 +tp1298 +a(g8 +V/* Unpack binary data from a string */ +p1299 +tp1300 +a(g827 +V\u000a +p1301 +tp1302 +a(g436 +VSWIGINTERN +p1303 +tp1304 +a(g827 +g964 +tp1305 +a(g745 +Vconst +p1306 +tp1307 +a(g827 +g964 +tp1308 +a(g749 +Vchar +p1309 +tp1310 +a(g827 +g964 +tp1311 +a(g413 +g1023 +tp1312 +a(g827 +g964 +tp1313 +a(g436 +VSWIG_UnpackData +p1314 +tp1315 +a(g706 +g992 +tp1316 +a(g745 +Vconst +p1317 +tp1318 +a(g827 +g964 +tp1319 +a(g749 +Vchar +p1320 +tp1321 +a(g827 +g964 +tp1322 +a(g413 +g1023 +tp1323 +a(g436 +g1033 +tp1324 +a(g706 +g999 +tp1325 +a(g827 +g964 +tp1326 +a(g749 +Vvoid +p1327 +tp1328 +a(g827 +g964 +tp1329 +a(g413 +g1023 +tp1330 +a(g436 +Vptr +p1331 +tp1332 +a(g706 +g999 +tp1333 +a(g827 +g964 +tp1334 +a(g749 +Vsize_t +p1335 +tp1336 +a(g827 +g964 +tp1337 +a(g436 +Vsz +p1338 +tp1339 +a(g706 +g1006 +tp1340 +a(g827 +g964 +tp1341 +a(g706 +g1009 +tp1342 +a(g827 +V\u000a +p1343 +tp1344 +a(g827 +V +p1345 +tp1346 +a(g745 +Vregister +p1347 +tp1348 +a(g827 +g964 +tp1349 +a(g749 +Vunsigned +p1350 +tp1351 +a(g827 +g964 +tp1352 +a(g749 +Vchar +p1353 +tp1354 +a(g827 +g964 +tp1355 +a(g413 +g1023 +tp1356 +a(g436 +g1101 +tp1357 +a(g827 +g964 +tp1358 +a(g413 +g1075 +tp1359 +a(g827 +g964 +tp1360 +a(g706 +g992 +tp1361 +a(g749 +Vunsigned +p1362 +tp1363 +a(g827 +g964 +tp1364 +a(g749 +Vchar +p1365 +tp1366 +a(g827 +g964 +tp1367 +a(g413 +g1023 +tp1368 +a(g706 +g1006 +tp1369 +a(g827 +g964 +tp1370 +a(g436 +Vptr +p1371 +tp1372 +a(g706 +g1082 +tp1373 +a(g827 +V\u000a +p1374 +tp1375 +a(g827 +V +p1376 +tp1377 +a(g745 +Vregister +p1378 +tp1379 +a(g827 +g964 +tp1380 +a(g745 +Vconst +p1381 +tp1382 +a(g827 +g964 +tp1383 +a(g749 +Vunsigned +p1384 +tp1385 +a(g827 +g964 +tp1386 +a(g749 +Vchar +p1387 +tp1388 +a(g827 +g964 +tp1389 +a(g413 +g1023 +tp1390 +a(g436 +Veu +p1391 +tp1392 +a(g827 +g964 +tp1393 +a(g413 +g1075 +tp1394 +a(g827 +g964 +tp1395 +a(g436 +g1101 +tp1396 +a(g827 +g964 +tp1397 +a(g413 +g1144 +tp1398 +a(g827 +g964 +tp1399 +a(g436 +Vsz +p1400 +tp1401 +a(g706 +g1082 +tp1402 +a(g827 +V\u000a +p1403 +tp1404 +a(g827 +V +p1405 +tp1406 +a(g745 +Vfor +p1407 +tp1408 +a(g827 +g964 +tp1409 +a(g706 +g992 +tp1410 +a(g706 +g1082 +tp1411 +a(g827 +g964 +tp1412 +a(g436 +g1101 +tp1413 +a(g827 +g964 +tp1414 +a(g413 +g1162 +tp1415 +a(g413 +g1075 +tp1416 +a(g827 +g964 +tp1417 +a(g436 +Veu +p1418 +tp1419 +a(g706 +g1082 +tp1420 +a(g827 +g964 +tp1421 +a(g413 +g1144 +tp1422 +a(g413 +g1144 +tp1423 +a(g436 +g1101 +tp1424 +a(g706 +g1006 +tp1425 +a(g827 +g964 +tp1426 +a(g706 +g1009 +tp1427 +a(g827 +V\u000a +p1428 +tp1429 +a(g827 +V +p1430 +tp1431 +a(g745 +Vregister +p1432 +tp1433 +a(g827 +g964 +tp1434 +a(g749 +Vchar +p1435 +tp1436 +a(g827 +g964 +tp1437 +a(g436 +Vd +p1438 +tp1439 +a(g827 +g964 +tp1440 +a(g413 +g1075 +tp1441 +a(g827 +g964 +tp1442 +a(g413 +g1023 +tp1443 +a(g706 +g992 +tp1444 +a(g436 +g1033 +tp1445 +a(g413 +g1144 +tp1446 +a(g413 +g1144 +tp1447 +a(g706 +g1006 +tp1448 +a(g706 +g1082 +tp1449 +a(g827 +V\u000a +p1450 +tp1451 +a(g827 +V +p1452 +tp1453 +a(g745 +Vregister +p1454 +tp1455 +a(g827 +g964 +tp1456 +a(g749 +Vunsigned +p1457 +tp1458 +a(g827 +g964 +tp1459 +a(g749 +Vchar +p1460 +tp1461 +a(g827 +g964 +tp1462 +a(g436 +Vuu +p1463 +tp1464 +a(g706 +g1082 +tp1465 +a(g827 +V\u000a +p1466 +tp1467 +a(g827 +V +p1468 +tp1469 +a(g745 +Vif +p1470 +tp1471 +a(g827 +g964 +tp1472 +a(g706 +g992 +tp1473 +a(g706 +g992 +tp1474 +a(g436 +g1438 +tp1475 +a(g827 +g964 +tp1476 +a(g413 +g974 +tp1477 +a(g413 +g1075 +tp1478 +a(g827 +g964 +tp1479 +a(g93 +V' +p1480 +tp1481 +a(g93 +V0 +p1482 +tp1483 +a(g93 +g1480 +tp1484 +a(g706 +g1006 +tp1485 +a(g827 +g964 +tp1486 +a(g413 +g1217 +tp1487 +a(g413 +g1217 +tp1488 +a(g827 +g964 +tp1489 +a(g706 +g992 +tp1490 +a(g436 +g1438 +tp1491 +a(g827 +g964 +tp1492 +a(g413 +g966 +tp1493 +a(g413 +g1075 +tp1494 +a(g827 +g964 +tp1495 +a(g93 +g1480 +tp1496 +a(g93 +V9 +p1497 +tp1498 +a(g93 +g1480 +tp1499 +a(g706 +g1006 +tp1500 +a(g706 +g1006 +tp1501 +a(g827 +V\u000a +p1502 +tp1503 +a(g827 +V +p1504 +tp1505 +a(g436 +Vuu +p1506 +tp1507 +a(g827 +g964 +tp1508 +a(g413 +g1075 +tp1509 +a(g827 +g964 +tp1510 +a(g706 +g992 +tp1511 +a(g706 +g992 +tp1512 +a(g436 +g1438 +tp1513 +a(g827 +g964 +tp1514 +a(g413 +V- +p1515 +tp1516 +a(g827 +g964 +tp1517 +a(g93 +g1480 +tp1518 +a(g93 +g1482 +tp1519 +a(g93 +g1480 +tp1520 +a(g706 +g1006 +tp1521 +a(g827 +g964 +tp1522 +a(g413 +g966 +tp1523 +a(g413 +g966 +tp1524 +a(g827 +g964 +tp1525 +a(g37 +g1227 +tp1526 +a(g706 +g1006 +tp1527 +a(g706 +g1082 +tp1528 +a(g827 +V\u000a +p1529 +tp1530 +a(g827 +V +p1531 +tp1532 +a(g745 +Velse +p1533 +tp1534 +a(g827 +g964 +tp1535 +a(g574 +Vif +p1536 +tp1537 +a(g827 +g964 +tp1538 +a(g706 +g992 +tp1539 +a(g706 +g992 +tp1540 +a(g436 +g1438 +tp1541 +a(g827 +g964 +tp1542 +a(g413 +g974 +tp1543 +a(g413 +g1075 +tp1544 +a(g827 +g964 +tp1545 +a(g93 +g1480 +tp1546 +a(g93 +Va +p1547 +tp1548 +a(g93 +g1480 +tp1549 +a(g706 +g1006 +tp1550 +a(g827 +g964 +tp1551 +a(g413 +g1217 +tp1552 +a(g413 +g1217 +tp1553 +a(g827 +g964 +tp1554 +a(g706 +g992 +tp1555 +a(g436 +g1438 +tp1556 +a(g827 +g964 +tp1557 +a(g413 +g966 +tp1558 +a(g413 +g1075 +tp1559 +a(g827 +g964 +tp1560 +a(g93 +g1480 +tp1561 +a(g93 +Vf +p1562 +tp1563 +a(g93 +g1480 +tp1564 +a(g706 +g1006 +tp1565 +a(g706 +g1006 +tp1566 +a(g827 +V\u000a +p1567 +tp1568 +a(g827 +V +p1569 +tp1570 +a(g436 +Vuu +p1571 +tp1572 +a(g827 +g964 +tp1573 +a(g413 +g1075 +tp1574 +a(g827 +g964 +tp1575 +a(g706 +g992 +tp1576 +a(g706 +g992 +tp1577 +a(g436 +g1438 +tp1578 +a(g827 +g964 +tp1579 +a(g413 +g1515 +tp1580 +a(g827 +g964 +tp1581 +a(g706 +g992 +tp1582 +a(g93 +g1480 +tp1583 +a(g93 +g1547 +tp1584 +a(g93 +g1480 +tp1585 +a(g413 +g1515 +tp1586 +a(g37 +V10 +p1587 +tp1588 +a(g706 +g1006 +tp1589 +a(g706 +g1006 +tp1590 +a(g827 +g964 +tp1591 +a(g413 +g966 +tp1592 +a(g413 +g966 +tp1593 +a(g827 +g964 +tp1594 +a(g37 +g1227 +tp1595 +a(g706 +g1006 +tp1596 +a(g706 +g1082 +tp1597 +a(g827 +V\u000a +p1598 +tp1599 +a(g827 +V +p1600 +tp1601 +a(g745 +Velse +p1602 +tp1603 +a(g827 +V \u000a +p1604 +tp1605 +a(g574 +Vreturn +p1606 +tp1607 +a(g827 +g964 +tp1608 +a(g706 +g992 +tp1609 +a(g749 +Vchar +p1610 +tp1611 +a(g827 +g964 +tp1612 +a(g413 +g1023 +tp1613 +a(g706 +g1006 +tp1614 +a(g827 +g964 +tp1615 +a(g37 +g1482 +tp1616 +a(g706 +g1082 +tp1617 +a(g827 +V\u000a +p1618 +tp1619 +a(g827 +V +p1620 +tp1621 +a(g436 +g1438 +tp1622 +a(g827 +g964 +tp1623 +a(g413 +g1075 +tp1624 +a(g827 +g964 +tp1625 +a(g413 +g1023 +tp1626 +a(g706 +g992 +tp1627 +a(g436 +g1033 +tp1628 +a(g413 +g1144 +tp1629 +a(g413 +g1144 +tp1630 +a(g706 +g1006 +tp1631 +a(g706 +g1082 +tp1632 +a(g827 +V\u000a +p1633 +tp1634 +a(g827 +V +p1635 +tp1636 +a(g745 +Vif +p1637 +tp1638 +a(g827 +g964 +tp1639 +a(g706 +g992 +tp1640 +a(g706 +g992 +tp1641 +a(g436 +g1438 +tp1642 +a(g827 +g964 +tp1643 +a(g413 +g974 +tp1644 +a(g413 +g1075 +tp1645 +a(g827 +g964 +tp1646 +a(g93 +g1480 +tp1647 +a(g93 +g1482 +tp1648 +a(g93 +g1480 +tp1649 +a(g706 +g1006 +tp1650 +a(g827 +g964 +tp1651 +a(g413 +g1217 +tp1652 +a(g413 +g1217 +tp1653 +a(g827 +g964 +tp1654 +a(g706 +g992 +tp1655 +a(g436 +g1438 +tp1656 +a(g827 +g964 +tp1657 +a(g413 +g966 +tp1658 +a(g413 +g1075 +tp1659 +a(g827 +g964 +tp1660 +a(g93 +g1480 +tp1661 +a(g93 +g1497 +tp1662 +a(g93 +g1480 +tp1663 +a(g706 +g1006 +tp1664 +a(g706 +g1006 +tp1665 +a(g827 +V\u000a +p1666 +tp1667 +a(g827 +V +p1668 +tp1669 +a(g436 +Vuu +p1670 +tp1671 +a(g827 +g964 +tp1672 +a(g413 +V| +p1673 +tp1674 +a(g413 +g1075 +tp1675 +a(g827 +g964 +tp1676 +a(g706 +g992 +tp1677 +a(g436 +g1438 +tp1678 +a(g827 +g964 +tp1679 +a(g413 +g1515 +tp1680 +a(g827 +g964 +tp1681 +a(g93 +g1480 +tp1682 +a(g93 +g1482 +tp1683 +a(g93 +g1480 +tp1684 +a(g706 +g1006 +tp1685 +a(g706 +g1082 +tp1686 +a(g827 +V\u000a +p1687 +tp1688 +a(g827 +V +p1689 +tp1690 +a(g745 +Velse +p1691 +tp1692 +a(g827 +g964 +tp1693 +a(g574 +Vif +p1694 +tp1695 +a(g827 +g964 +tp1696 +a(g706 +g992 +tp1697 +a(g706 +g992 +tp1698 +a(g436 +g1438 +tp1699 +a(g827 +g964 +tp1700 +a(g413 +g974 +tp1701 +a(g413 +g1075 +tp1702 +a(g827 +g964 +tp1703 +a(g93 +g1480 +tp1704 +a(g93 +g1547 +tp1705 +a(g93 +g1480 +tp1706 +a(g706 +g1006 +tp1707 +a(g827 +g964 +tp1708 +a(g413 +g1217 +tp1709 +a(g413 +g1217 +tp1710 +a(g827 +g964 +tp1711 +a(g706 +g992 +tp1712 +a(g436 +g1438 +tp1713 +a(g827 +g964 +tp1714 +a(g413 +g966 +tp1715 +a(g413 +g1075 +tp1716 +a(g827 +g964 +tp1717 +a(g93 +g1480 +tp1718 +a(g93 +g1562 +tp1719 +a(g93 +g1480 +tp1720 +a(g706 +g1006 +tp1721 +a(g706 +g1006 +tp1722 +a(g827 +V\u000a +p1723 +tp1724 +a(g827 +V +p1725 +tp1726 +a(g436 +Vuu +p1727 +tp1728 +a(g827 +g964 +tp1729 +a(g413 +g1673 +tp1730 +a(g413 +g1075 +tp1731 +a(g827 +g964 +tp1732 +a(g706 +g992 +tp1733 +a(g436 +g1438 +tp1734 +a(g827 +g964 +tp1735 +a(g413 +g1515 +tp1736 +a(g827 +g964 +tp1737 +a(g706 +g992 +tp1738 +a(g93 +g1480 +tp1739 +a(g93 +g1547 +tp1740 +a(g93 +g1480 +tp1741 +a(g413 +g1515 +tp1742 +a(g37 +V10 +p1743 +tp1744 +a(g706 +g1006 +tp1745 +a(g706 +g1006 +tp1746 +a(g706 +g1082 +tp1747 +a(g827 +V\u000a +p1748 +tp1749 +a(g827 +V +p1750 +tp1751 +a(g745 +Velse +p1752 +tp1753 +a(g827 +V \u000a +p1754 +tp1755 +a(g574 +Vreturn +p1756 +tp1757 +a(g827 +g964 +tp1758 +a(g706 +g992 +tp1759 +a(g749 +Vchar +p1760 +tp1761 +a(g827 +g964 +tp1762 +a(g413 +g1023 +tp1763 +a(g706 +g1006 +tp1764 +a(g827 +g964 +tp1765 +a(g37 +g1482 +tp1766 +a(g706 +g1082 +tp1767 +a(g827 +V\u000a +p1768 +tp1769 +a(g827 +V +p1770 +tp1771 +a(g413 +g1023 +tp1772 +a(g436 +g1101 +tp1773 +a(g827 +g964 +tp1774 +a(g413 +g1075 +tp1775 +a(g827 +g964 +tp1776 +a(g436 +Vuu +p1777 +tp1778 +a(g706 +g1082 +tp1779 +a(g827 +V\u000a +p1780 +tp1781 +a(g827 +V +p1782 +tp1783 +a(g706 +g1260 +tp1784 +a(g827 +V\u000a +p1785 +tp1786 +a(g827 +V +p1787 +tp1788 +a(g745 +Vreturn +p1789 +tp1790 +a(g827 +g964 +tp1791 +a(g436 +g1033 +tp1792 +a(g706 +g1082 +tp1793 +a(g827 +V\u000a +p1794 +tp1795 +a(g706 +g1260 +tp1796 +a(g827 +V\u000a +p1797 +tp1798 +a(g706 +g1260 +tp1799 +a(g827 +V\u000a +p1800 +tp1801 +a(g827 +V\u000a +p1802 +tp1803 +a(g8 +V/* Primitive types */ +p1804 +tp1805 +a(g827 +V\u000a +p1806 +tp1807 +a(g574 +V%typemap +p1808 +tp1809 +a(g706 +g992 +tp1810 +a(g436 +Vjni +p1811 +tp1812 +a(g706 +g1006 +tp1813 +a(g827 +g964 +tp1814 +a(g749 +Vbool +p1815 +tp1816 +a(g706 +g999 +tp1817 +a(g827 +V +p1818 +tp1819 +a(g745 +Vconst +p1820 +tp1821 +a(g827 +g964 +tp1822 +a(g749 +Vbool +p1823 +tp1824 +a(g827 +g964 +tp1825 +a(g413 +g1217 +tp1826 +a(g827 +V +p1827 +tp1828 +a(g89 +g994 +tp1829 +a(g89 +Vjboolean +p1830 +tp1831 +a(g89 +g994 +tp1832 +a(g827 +V\u000a +p1833 +tp1834 +a(g574 +V%typemap +p1835 +tp1836 +a(g706 +g992 +tp1837 +a(g436 +Vjni +p1838 +tp1839 +a(g706 +g1006 +tp1840 +a(g827 +g964 +tp1841 +a(g749 +Vchar +p1842 +tp1843 +a(g706 +g999 +tp1844 +a(g827 +V +p1845 +tp1846 +a(g745 +Vconst +p1847 +tp1848 +a(g827 +g964 +tp1849 +a(g749 +Vchar +p1850 +tp1851 +a(g827 +g964 +tp1852 +a(g413 +g1217 +tp1853 +a(g827 +V +p1854 +tp1855 +a(g89 +g994 +tp1856 +a(g89 +Vjchar +p1857 +tp1858 +a(g89 +g994 +tp1859 +a(g827 +V\u000a +p1860 +tp1861 +a(g574 +V%typemap +p1862 +tp1863 +a(g706 +g992 +tp1864 +a(g436 +Vjni +p1865 +tp1866 +a(g706 +g1006 +tp1867 +a(g827 +g964 +tp1868 +a(g749 +Vsigned +p1869 +tp1870 +a(g827 +g964 +tp1871 +a(g749 +Vchar +p1872 +tp1873 +a(g706 +g999 +tp1874 +a(g827 +V +p1875 +tp1876 +a(g745 +Vconst +p1877 +tp1878 +a(g827 +g964 +tp1879 +a(g749 +Vsigned +p1880 +tp1881 +a(g827 +g964 +tp1882 +a(g749 +Vchar +p1883 +tp1884 +a(g827 +g964 +tp1885 +a(g413 +g1217 +tp1886 +a(g827 +V +p1887 +tp1888 +a(g89 +g994 +tp1889 +a(g89 +Vjbyte +p1890 +tp1891 +a(g89 +g994 +tp1892 +a(g827 +V\u000a +p1893 +tp1894 +a(g574 +V%typemap +p1895 +tp1896 +a(g706 +g992 +tp1897 +a(g436 +Vjni +p1898 +tp1899 +a(g706 +g1006 +tp1900 +a(g827 +g964 +tp1901 +a(g749 +Vunsigned +p1902 +tp1903 +a(g827 +g964 +tp1904 +a(g749 +Vchar +p1905 +tp1906 +a(g706 +g999 +tp1907 +a(g827 +V +p1908 +tp1909 +a(g745 +Vconst +p1910 +tp1911 +a(g827 +g964 +tp1912 +a(g749 +Vunsigned +p1913 +tp1914 +a(g827 +g964 +tp1915 +a(g749 +Vchar +p1916 +tp1917 +a(g827 +g964 +tp1918 +a(g413 +g1217 +tp1919 +a(g827 +V +p1920 +tp1921 +a(g89 +g994 +tp1922 +a(g89 +Vjshort +p1923 +tp1924 +a(g89 +g994 +tp1925 +a(g827 +V\u000a +p1926 +tp1927 +a(g574 +V%typemap +p1928 +tp1929 +a(g706 +g992 +tp1930 +a(g436 +Vjni +p1931 +tp1932 +a(g706 +g1006 +tp1933 +a(g827 +g964 +tp1934 +a(g749 +Vshort +p1935 +tp1936 +a(g706 +g999 +tp1937 +a(g827 +V +p1938 +tp1939 +a(g745 +Vconst +p1940 +tp1941 +a(g827 +g964 +tp1942 +a(g749 +Vshort +p1943 +tp1944 +a(g827 +g964 +tp1945 +a(g413 +g1217 +tp1946 +a(g827 +V +p1947 +tp1948 +a(g89 +g994 +tp1949 +a(g89 +Vjshort +p1950 +tp1951 +a(g89 +g994 +tp1952 +a(g827 +V\u000a +p1953 +tp1954 +a(g574 +V%typemap +p1955 +tp1956 +a(g706 +g992 +tp1957 +a(g436 +Vjni +p1958 +tp1959 +a(g706 +g1006 +tp1960 +a(g827 +g964 +tp1961 +a(g749 +Vunsigned +p1962 +tp1963 +a(g827 +g964 +tp1964 +a(g749 +Vshort +p1965 +tp1966 +a(g706 +g999 +tp1967 +a(g827 +V +p1968 +tp1969 +a(g745 +Vconst +p1970 +tp1971 +a(g827 +g964 +tp1972 +a(g749 +Vunsigned +p1973 +tp1974 +a(g827 +g964 +tp1975 +a(g749 +Vshort +p1976 +tp1977 +a(g827 +g964 +tp1978 +a(g413 +g1217 +tp1979 +a(g827 +V +p1980 +tp1981 +a(g89 +g994 +tp1982 +a(g89 +Vjint +p1983 +tp1984 +a(g89 +g994 +tp1985 +a(g827 +V\u000a +p1986 +tp1987 +a(g574 +V%typemap +p1988 +tp1989 +a(g706 +g992 +tp1990 +a(g436 +Vjni +p1991 +tp1992 +a(g706 +g1006 +tp1993 +a(g827 +g964 +tp1994 +a(g749 +Vint +p1995 +tp1996 +a(g706 +g999 +tp1997 +a(g827 +V +p1998 +tp1999 +a(g745 +Vconst +p2000 +tp2001 +a(g827 +g964 +tp2002 +a(g749 +Vint +p2003 +tp2004 +a(g827 +g964 +tp2005 +a(g413 +g1217 +tp2006 +a(g827 +V +p2007 +tp2008 +a(g89 +g994 +tp2009 +a(g89 +Vjint +p2010 +tp2011 +a(g89 +g994 +tp2012 +a(g827 +V\u000a +p2013 +tp2014 +a(g574 +V%typemap +p2015 +tp2016 +a(g706 +g992 +tp2017 +a(g436 +Vjni +p2018 +tp2019 +a(g706 +g1006 +tp2020 +a(g827 +g964 +tp2021 +a(g749 +Vunsigned +p2022 +tp2023 +a(g827 +g964 +tp2024 +a(g749 +Vint +p2025 +tp2026 +a(g706 +g999 +tp2027 +a(g827 +V +p2028 +tp2029 +a(g745 +Vconst +p2030 +tp2031 +a(g827 +g964 +tp2032 +a(g749 +Vunsigned +p2033 +tp2034 +a(g827 +g964 +tp2035 +a(g749 +Vint +p2036 +tp2037 +a(g827 +g964 +tp2038 +a(g413 +g1217 +tp2039 +a(g827 +V +p2040 +tp2041 +a(g89 +g994 +tp2042 +a(g89 +Vjlong +p2043 +tp2044 +a(g89 +g994 +tp2045 +a(g827 +V\u000a +p2046 +tp2047 +a(g574 +V%typemap +p2048 +tp2049 +a(g706 +g992 +tp2050 +a(g436 +Vjni +p2051 +tp2052 +a(g706 +g1006 +tp2053 +a(g827 +g964 +tp2054 +a(g749 +Vlong +p2055 +tp2056 +a(g706 +g999 +tp2057 +a(g827 +V +p2058 +tp2059 +a(g745 +Vconst +p2060 +tp2061 +a(g827 +g964 +tp2062 +a(g749 +Vlong +p2063 +tp2064 +a(g827 +g964 +tp2065 +a(g413 +g1217 +tp2066 +a(g827 +V +p2067 +tp2068 +a(g89 +g994 +tp2069 +a(g89 +Vjint +p2070 +tp2071 +a(g89 +g994 +tp2072 +a(g827 +V\u000a +p2073 +tp2074 +a(g574 +V%typemap +p2075 +tp2076 +a(g706 +g992 +tp2077 +a(g436 +Vjni +p2078 +tp2079 +a(g706 +g1006 +tp2080 +a(g827 +g964 +tp2081 +a(g749 +Vunsigned +p2082 +tp2083 +a(g827 +g964 +tp2084 +a(g749 +Vlong +p2085 +tp2086 +a(g706 +g999 +tp2087 +a(g827 +V +p2088 +tp2089 +a(g745 +Vconst +p2090 +tp2091 +a(g827 +g964 +tp2092 +a(g749 +Vunsigned +p2093 +tp2094 +a(g827 +g964 +tp2095 +a(g749 +Vlong +p2096 +tp2097 +a(g827 +g964 +tp2098 +a(g413 +g1217 +tp2099 +a(g827 +V +p2100 +tp2101 +a(g89 +g994 +tp2102 +a(g89 +Vjlong +p2103 +tp2104 +a(g89 +g994 +tp2105 +a(g827 +V\u000a +p2106 +tp2107 +a(g574 +V%typemap +p2108 +tp2109 +a(g706 +g992 +tp2110 +a(g436 +Vjni +p2111 +tp2112 +a(g706 +g1006 +tp2113 +a(g827 +g964 +tp2114 +a(g749 +Vlong +p2115 +tp2116 +a(g827 +g964 +tp2117 +a(g749 +Vlong +p2118 +tp2119 +a(g706 +g999 +tp2120 +a(g827 +V +p2121 +tp2122 +a(g745 +Vconst +p2123 +tp2124 +a(g827 +g964 +tp2125 +a(g749 +Vlong +p2126 +tp2127 +a(g827 +g964 +tp2128 +a(g749 +Vlong +p2129 +tp2130 +a(g827 +g964 +tp2131 +a(g413 +g1217 +tp2132 +a(g827 +V +p2133 +tp2134 +a(g89 +g994 +tp2135 +a(g89 +Vjlong +p2136 +tp2137 +a(g89 +g994 +tp2138 +a(g827 +V\u000a +p2139 +tp2140 +a(g574 +V%typemap +p2141 +tp2142 +a(g706 +g992 +tp2143 +a(g436 +Vjni +p2144 +tp2145 +a(g706 +g1006 +tp2146 +a(g827 +g964 +tp2147 +a(g749 +Vunsigned +p2148 +tp2149 +a(g827 +g964 +tp2150 +a(g749 +Vlong +p2151 +tp2152 +a(g827 +g964 +tp2153 +a(g749 +Vlong +p2154 +tp2155 +a(g706 +g999 +tp2156 +a(g827 +g964 +tp2157 +a(g745 +Vconst +p2158 +tp2159 +a(g827 +g964 +tp2160 +a(g749 +Vunsigned +p2161 +tp2162 +a(g827 +g964 +tp2163 +a(g749 +Vlong +p2164 +tp2165 +a(g827 +g964 +tp2166 +a(g749 +Vlong +p2167 +tp2168 +a(g827 +g964 +tp2169 +a(g413 +g1217 +tp2170 +a(g827 +g964 +tp2171 +a(g89 +g994 +tp2172 +a(g89 +Vjobject +p2173 +tp2174 +a(g89 +g994 +tp2175 +a(g827 +V\u000a +p2176 +tp2177 +a(g574 +V%typemap +p2178 +tp2179 +a(g706 +g992 +tp2180 +a(g436 +Vjni +p2181 +tp2182 +a(g706 +g1006 +tp2183 +a(g827 +g964 +tp2184 +a(g749 +Vfloat +p2185 +tp2186 +a(g706 +g999 +tp2187 +a(g827 +V +p2188 +tp2189 +a(g745 +Vconst +p2190 +tp2191 +a(g827 +g964 +tp2192 +a(g749 +Vfloat +p2193 +tp2194 +a(g827 +g964 +tp2195 +a(g413 +g1217 +tp2196 +a(g827 +V +p2197 +tp2198 +a(g89 +g994 +tp2199 +a(g89 +Vjfloat +p2200 +tp2201 +a(g89 +g994 +tp2202 +a(g827 +V\u000a +p2203 +tp2204 +a(g574 +V%typemap +p2205 +tp2206 +a(g706 +g992 +tp2207 +a(g436 +Vjni +p2208 +tp2209 +a(g706 +g1006 +tp2210 +a(g827 +g964 +tp2211 +a(g749 +Vdouble +p2212 +tp2213 +a(g706 +g999 +tp2214 +a(g827 +V +p2215 +tp2216 +a(g745 +Vconst +p2217 +tp2218 +a(g827 +g964 +tp2219 +a(g749 +Vdouble +p2220 +tp2221 +a(g827 +g964 +tp2222 +a(g413 +g1217 +tp2223 +a(g827 +V +p2224 +tp2225 +a(g89 +g994 +tp2226 +a(g89 +Vjdouble +p2227 +tp2228 +a(g89 +g994 +tp2229 +a(g827 +V\u000a +p2230 +tp2231 +a(g574 +V%typemap +p2232 +tp2233 +a(g706 +g992 +tp2234 +a(g436 +Vjni +p2235 +tp2236 +a(g706 +g1006 +tp2237 +a(g827 +g964 +tp2238 +a(g749 +Vvoid +p2239 +tp2240 +a(g827 +V +p2241 +tp2242 +a(g89 +g994 +tp2243 +a(g89 +Vvoid +p2244 +tp2245 +a(g89 +g994 +tp2246 +a(g827 +V\u000a +p2247 +tp2248 +a(g827 +V\u000a +p2249 +tp2250 +a(g574 +V%typemap +p2251 +tp2252 +a(g706 +g992 +tp2253 +a(g436 +Vjtype +p2254 +tp2255 +a(g706 +g1006 +tp2256 +a(g827 +g964 +tp2257 +a(g749 +Vbool +p2258 +tp2259 +a(g706 +g999 +tp2260 +a(g827 +V +p2261 +tp2262 +a(g745 +Vconst +p2263 +tp2264 +a(g827 +g964 +tp2265 +a(g749 +Vbool +p2266 +tp2267 +a(g827 +g964 +tp2268 +a(g413 +g1217 +tp2269 +a(g827 +V +p2270 +tp2271 +a(g89 +g994 +tp2272 +a(g89 +Vboolean +p2273 +tp2274 +a(g89 +g994 +tp2275 +a(g827 +V\u000a +p2276 +tp2277 +a(g574 +V%typemap +p2278 +tp2279 +a(g706 +g992 +tp2280 +a(g436 +Vjtype +p2281 +tp2282 +a(g706 +g1006 +tp2283 +a(g827 +g964 +tp2284 +a(g749 +Vchar +p2285 +tp2286 +a(g706 +g999 +tp2287 +a(g827 +V +p2288 +tp2289 +a(g745 +Vconst +p2290 +tp2291 +a(g827 +g964 +tp2292 +a(g749 +Vchar +p2293 +tp2294 +a(g827 +g964 +tp2295 +a(g413 +g1217 +tp2296 +a(g827 +V +p2297 +tp2298 +a(g89 +g994 +tp2299 +a(g89 +Vchar +p2300 +tp2301 +a(g89 +g994 +tp2302 +a(g827 +V\u000a +p2303 +tp2304 +a(g574 +V%typemap +p2305 +tp2306 +a(g706 +g992 +tp2307 +a(g436 +Vjtype +p2308 +tp2309 +a(g706 +g1006 +tp2310 +a(g827 +g964 +tp2311 +a(g749 +Vsigned +p2312 +tp2313 +a(g827 +g964 +tp2314 +a(g749 +Vchar +p2315 +tp2316 +a(g706 +g999 +tp2317 +a(g827 +V +p2318 +tp2319 +a(g745 +Vconst +p2320 +tp2321 +a(g827 +g964 +tp2322 +a(g749 +Vsigned +p2323 +tp2324 +a(g827 +g964 +tp2325 +a(g749 +Vchar +p2326 +tp2327 +a(g827 +g964 +tp2328 +a(g413 +g1217 +tp2329 +a(g827 +V +p2330 +tp2331 +a(g89 +g994 +tp2332 +a(g89 +Vbyte +p2333 +tp2334 +a(g89 +g994 +tp2335 +a(g827 +V\u000a +p2336 +tp2337 +a(g574 +V%typemap +p2338 +tp2339 +a(g706 +g992 +tp2340 +a(g436 +Vjtype +p2341 +tp2342 +a(g706 +g1006 +tp2343 +a(g827 +g964 +tp2344 +a(g749 +Vunsigned +p2345 +tp2346 +a(g827 +g964 +tp2347 +a(g749 +Vchar +p2348 +tp2349 +a(g706 +g999 +tp2350 +a(g827 +V +p2351 +tp2352 +a(g745 +Vconst +p2353 +tp2354 +a(g827 +g964 +tp2355 +a(g749 +Vunsigned +p2356 +tp2357 +a(g827 +g964 +tp2358 +a(g749 +Vchar +p2359 +tp2360 +a(g827 +g964 +tp2361 +a(g413 +g1217 +tp2362 +a(g827 +V +p2363 +tp2364 +a(g89 +g994 +tp2365 +a(g89 +Vshort +p2366 +tp2367 +a(g89 +g994 +tp2368 +a(g827 +V\u000a +p2369 +tp2370 +a(g574 +V%typemap +p2371 +tp2372 +a(g706 +g992 +tp2373 +a(g436 +Vjtype +p2374 +tp2375 +a(g706 +g1006 +tp2376 +a(g827 +g964 +tp2377 +a(g749 +Vshort +p2378 +tp2379 +a(g706 +g999 +tp2380 +a(g827 +V +p2381 +tp2382 +a(g745 +Vconst +p2383 +tp2384 +a(g827 +g964 +tp2385 +a(g749 +Vshort +p2386 +tp2387 +a(g827 +g964 +tp2388 +a(g413 +g1217 +tp2389 +a(g827 +V +p2390 +tp2391 +a(g89 +g994 +tp2392 +a(g89 +Vshort +p2393 +tp2394 +a(g89 +g994 +tp2395 +a(g827 +V\u000a +p2396 +tp2397 +a(g574 +V%typemap +p2398 +tp2399 +a(g706 +g992 +tp2400 +a(g436 +Vjtype +p2401 +tp2402 +a(g706 +g1006 +tp2403 +a(g827 +g964 +tp2404 +a(g749 +Vunsigned +p2405 +tp2406 +a(g827 +g964 +tp2407 +a(g749 +Vshort +p2408 +tp2409 +a(g706 +g999 +tp2410 +a(g827 +V +p2411 +tp2412 +a(g745 +Vconst +p2413 +tp2414 +a(g827 +g964 +tp2415 +a(g749 +Vunsigned +p2416 +tp2417 +a(g827 +g964 +tp2418 +a(g749 +Vshort +p2419 +tp2420 +a(g827 +g964 +tp2421 +a(g413 +g1217 +tp2422 +a(g827 +V +p2423 +tp2424 +a(g89 +g994 +tp2425 +a(g89 +Vint +p2426 +tp2427 +a(g89 +g994 +tp2428 +a(g827 +V\u000a +p2429 +tp2430 +a(g574 +V%typemap +p2431 +tp2432 +a(g706 +g992 +tp2433 +a(g436 +Vjtype +p2434 +tp2435 +a(g706 +g1006 +tp2436 +a(g827 +g964 +tp2437 +a(g749 +Vint +p2438 +tp2439 +a(g706 +g999 +tp2440 +a(g827 +V +p2441 +tp2442 +a(g745 +Vconst +p2443 +tp2444 +a(g827 +g964 +tp2445 +a(g749 +Vint +p2446 +tp2447 +a(g827 +g964 +tp2448 +a(g413 +g1217 +tp2449 +a(g827 +V +p2450 +tp2451 +a(g89 +g994 +tp2452 +a(g89 +Vint +p2453 +tp2454 +a(g89 +g994 +tp2455 +a(g827 +V\u000a +p2456 +tp2457 +a(g574 +V%typemap +p2458 +tp2459 +a(g706 +g992 +tp2460 +a(g436 +Vjtype +p2461 +tp2462 +a(g706 +g1006 +tp2463 +a(g827 +g964 +tp2464 +a(g749 +Vunsigned +p2465 +tp2466 +a(g827 +g964 +tp2467 +a(g749 +Vint +p2468 +tp2469 +a(g706 +g999 +tp2470 +a(g827 +V +p2471 +tp2472 +a(g745 +Vconst +p2473 +tp2474 +a(g827 +g964 +tp2475 +a(g749 +Vunsigned +p2476 +tp2477 +a(g827 +g964 +tp2478 +a(g749 +Vint +p2479 +tp2480 +a(g827 +g964 +tp2481 +a(g413 +g1217 +tp2482 +a(g827 +V +p2483 +tp2484 +a(g89 +g994 +tp2485 +a(g89 +Vlong +p2486 +tp2487 +a(g89 +g994 +tp2488 +a(g827 +V\u000a +p2489 +tp2490 +a(g574 +V%typemap +p2491 +tp2492 +a(g706 +g992 +tp2493 +a(g436 +Vjtype +p2494 +tp2495 +a(g706 +g1006 +tp2496 +a(g827 +g964 +tp2497 +a(g749 +Vlong +p2498 +tp2499 +a(g706 +g999 +tp2500 +a(g827 +V +p2501 +tp2502 +a(g745 +Vconst +p2503 +tp2504 +a(g827 +g964 +tp2505 +a(g749 +Vlong +p2506 +tp2507 +a(g827 +g964 +tp2508 +a(g413 +g1217 +tp2509 +a(g827 +V +p2510 +tp2511 +a(g89 +g994 +tp2512 +a(g89 +Vint +p2513 +tp2514 +a(g89 +g994 +tp2515 +a(g827 +V\u000a +p2516 +tp2517 +a(g574 +V%typemap +p2518 +tp2519 +a(g706 +g992 +tp2520 +a(g436 +Vjtype +p2521 +tp2522 +a(g706 +g1006 +tp2523 +a(g827 +g964 +tp2524 +a(g749 +Vunsigned +p2525 +tp2526 +a(g827 +g964 +tp2527 +a(g749 +Vlong +p2528 +tp2529 +a(g706 +g999 +tp2530 +a(g827 +V +p2531 +tp2532 +a(g745 +Vconst +p2533 +tp2534 +a(g827 +g964 +tp2535 +a(g749 +Vunsigned +p2536 +tp2537 +a(g827 +g964 +tp2538 +a(g749 +Vlong +p2539 +tp2540 +a(g827 +g964 +tp2541 +a(g413 +g1217 +tp2542 +a(g827 +V +p2543 +tp2544 +a(g89 +g994 +tp2545 +a(g89 +Vlong +p2546 +tp2547 +a(g89 +g994 +tp2548 +a(g827 +V\u000a +p2549 +tp2550 +a(g574 +V%typemap +p2551 +tp2552 +a(g706 +g992 +tp2553 +a(g436 +Vjtype +p2554 +tp2555 +a(g706 +g1006 +tp2556 +a(g827 +g964 +tp2557 +a(g749 +Vlong +p2558 +tp2559 +a(g827 +g964 +tp2560 +a(g749 +Vlong +p2561 +tp2562 +a(g706 +g999 +tp2563 +a(g827 +V +p2564 +tp2565 +a(g745 +Vconst +p2566 +tp2567 +a(g827 +g964 +tp2568 +a(g749 +Vlong +p2569 +tp2570 +a(g827 +g964 +tp2571 +a(g749 +Vlong +p2572 +tp2573 +a(g827 +g964 +tp2574 +a(g413 +g1217 +tp2575 +a(g827 +V +p2576 +tp2577 +a(g89 +g994 +tp2578 +a(g89 +Vlong +p2579 +tp2580 +a(g89 +g994 +tp2581 +a(g827 +V\u000a +p2582 +tp2583 +a(g574 +V%typemap +p2584 +tp2585 +a(g706 +g992 +tp2586 +a(g436 +Vjtype +p2587 +tp2588 +a(g706 +g1006 +tp2589 +a(g827 +g964 +tp2590 +a(g749 +Vunsigned +p2591 +tp2592 +a(g827 +g964 +tp2593 +a(g749 +Vlong +p2594 +tp2595 +a(g827 +g964 +tp2596 +a(g749 +Vlong +p2597 +tp2598 +a(g706 +g999 +tp2599 +a(g827 +g964 +tp2600 +a(g745 +Vconst +p2601 +tp2602 +a(g827 +g964 +tp2603 +a(g749 +Vunsigned +p2604 +tp2605 +a(g827 +g964 +tp2606 +a(g749 +Vlong +p2607 +tp2608 +a(g827 +g964 +tp2609 +a(g749 +Vlong +p2610 +tp2611 +a(g827 +g964 +tp2612 +a(g413 +g1217 +tp2613 +a(g827 +g964 +tp2614 +a(g89 +g994 +tp2615 +a(g89 +Vjava.math.BigInteger +p2616 +tp2617 +a(g89 +g994 +tp2618 +a(g827 +V\u000a +p2619 +tp2620 +a(g574 +V%typemap +p2621 +tp2622 +a(g706 +g992 +tp2623 +a(g436 +Vjtype +p2624 +tp2625 +a(g706 +g1006 +tp2626 +a(g827 +g964 +tp2627 +a(g749 +Vfloat +p2628 +tp2629 +a(g706 +g999 +tp2630 +a(g827 +V +p2631 +tp2632 +a(g745 +Vconst +p2633 +tp2634 +a(g827 +g964 +tp2635 +a(g749 +Vfloat +p2636 +tp2637 +a(g827 +g964 +tp2638 +a(g413 +g1217 +tp2639 +a(g827 +V +p2640 +tp2641 +a(g89 +g994 +tp2642 +a(g89 +Vfloat +p2643 +tp2644 +a(g89 +g994 +tp2645 +a(g827 +V\u000a +p2646 +tp2647 +a(g574 +V%typemap +p2648 +tp2649 +a(g706 +g992 +tp2650 +a(g436 +Vjtype +p2651 +tp2652 +a(g706 +g1006 +tp2653 +a(g827 +g964 +tp2654 +a(g749 +Vdouble +p2655 +tp2656 +a(g706 +g999 +tp2657 +a(g827 +V +p2658 +tp2659 +a(g745 +Vconst +p2660 +tp2661 +a(g827 +g964 +tp2662 +a(g749 +Vdouble +p2663 +tp2664 +a(g827 +g964 +tp2665 +a(g413 +g1217 +tp2666 +a(g827 +V +p2667 +tp2668 +a(g89 +g994 +tp2669 +a(g89 +Vdouble +p2670 +tp2671 +a(g89 +g994 +tp2672 +a(g827 +V\u000a +p2673 +tp2674 +a(g574 +V%typemap +p2675 +tp2676 +a(g706 +g992 +tp2677 +a(g436 +Vjtype +p2678 +tp2679 +a(g706 +g1006 +tp2680 +a(g827 +g964 +tp2681 +a(g749 +Vvoid +p2682 +tp2683 +a(g827 +V +p2684 +tp2685 +a(g89 +g994 +tp2686 +a(g89 +Vvoid +p2687 +tp2688 +a(g89 +g994 +tp2689 +a(g827 +V\u000a +p2690 +tp2691 +a(g827 +V\u000a +p2692 +tp2693 +a(g574 +V%typemap +p2694 +tp2695 +a(g706 +g992 +tp2696 +a(g436 +Vjstype +p2697 +tp2698 +a(g706 +g1006 +tp2699 +a(g827 +g964 +tp2700 +a(g749 +Vbool +p2701 +tp2702 +a(g706 +g999 +tp2703 +a(g827 +V +p2704 +tp2705 +a(g745 +Vconst +p2706 +tp2707 +a(g827 +g964 +tp2708 +a(g749 +Vbool +p2709 +tp2710 +a(g827 +g964 +tp2711 +a(g413 +g1217 +tp2712 +a(g827 +V +p2713 +tp2714 +a(g89 +g994 +tp2715 +a(g89 +Vboolean +p2716 +tp2717 +a(g89 +g994 +tp2718 +a(g827 +V\u000a +p2719 +tp2720 +a(g574 +V%typemap +p2721 +tp2722 +a(g706 +g992 +tp2723 +a(g436 +Vjstype +p2724 +tp2725 +a(g706 +g1006 +tp2726 +a(g827 +g964 +tp2727 +a(g749 +Vchar +p2728 +tp2729 +a(g706 +g999 +tp2730 +a(g827 +V +p2731 +tp2732 +a(g745 +Vconst +p2733 +tp2734 +a(g827 +g964 +tp2735 +a(g749 +Vchar +p2736 +tp2737 +a(g827 +g964 +tp2738 +a(g413 +g1217 +tp2739 +a(g827 +V +p2740 +tp2741 +a(g89 +g994 +tp2742 +a(g89 +Vchar +p2743 +tp2744 +a(g89 +g994 +tp2745 +a(g827 +V\u000a +p2746 +tp2747 +a(g574 +V%typemap +p2748 +tp2749 +a(g706 +g992 +tp2750 +a(g436 +Vjstype +p2751 +tp2752 +a(g706 +g1006 +tp2753 +a(g827 +g964 +tp2754 +a(g749 +Vsigned +p2755 +tp2756 +a(g827 +g964 +tp2757 +a(g749 +Vchar +p2758 +tp2759 +a(g706 +g999 +tp2760 +a(g827 +V +p2761 +tp2762 +a(g745 +Vconst +p2763 +tp2764 +a(g827 +g964 +tp2765 +a(g749 +Vsigned +p2766 +tp2767 +a(g827 +g964 +tp2768 +a(g749 +Vchar +p2769 +tp2770 +a(g827 +g964 +tp2771 +a(g413 +g1217 +tp2772 +a(g827 +V +p2773 +tp2774 +a(g89 +g994 +tp2775 +a(g89 +Vbyte +p2776 +tp2777 +a(g89 +g994 +tp2778 +a(g827 +V\u000a +p2779 +tp2780 +a(g574 +V%typemap +p2781 +tp2782 +a(g706 +g992 +tp2783 +a(g436 +Vjstype +p2784 +tp2785 +a(g706 +g1006 +tp2786 +a(g827 +g964 +tp2787 +a(g749 +Vunsigned +p2788 +tp2789 +a(g827 +g964 +tp2790 +a(g749 +Vchar +p2791 +tp2792 +a(g706 +g999 +tp2793 +a(g827 +V +p2794 +tp2795 +a(g745 +Vconst +p2796 +tp2797 +a(g827 +g964 +tp2798 +a(g749 +Vunsigned +p2799 +tp2800 +a(g827 +g964 +tp2801 +a(g749 +Vchar +p2802 +tp2803 +a(g827 +g964 +tp2804 +a(g413 +g1217 +tp2805 +a(g827 +V +p2806 +tp2807 +a(g89 +g994 +tp2808 +a(g89 +Vshort +p2809 +tp2810 +a(g89 +g994 +tp2811 +a(g827 +V\u000a +p2812 +tp2813 +a(g574 +V%typemap +p2814 +tp2815 +a(g706 +g992 +tp2816 +a(g436 +Vjstype +p2817 +tp2818 +a(g706 +g1006 +tp2819 +a(g827 +g964 +tp2820 +a(g749 +Vshort +p2821 +tp2822 +a(g706 +g999 +tp2823 +a(g827 +V +p2824 +tp2825 +a(g745 +Vconst +p2826 +tp2827 +a(g827 +g964 +tp2828 +a(g749 +Vshort +p2829 +tp2830 +a(g827 +g964 +tp2831 +a(g413 +g1217 +tp2832 +a(g827 +V +p2833 +tp2834 +a(g89 +g994 +tp2835 +a(g89 +Vshort +p2836 +tp2837 +a(g89 +g994 +tp2838 +a(g827 +V\u000a +p2839 +tp2840 +a(g574 +V%typemap +p2841 +tp2842 +a(g706 +g992 +tp2843 +a(g436 +Vjstype +p2844 +tp2845 +a(g706 +g1006 +tp2846 +a(g827 +g964 +tp2847 +a(g749 +Vunsigned +p2848 +tp2849 +a(g827 +g964 +tp2850 +a(g749 +Vshort +p2851 +tp2852 +a(g706 +g999 +tp2853 +a(g827 +V +p2854 +tp2855 +a(g745 +Vconst +p2856 +tp2857 +a(g827 +g964 +tp2858 +a(g749 +Vunsigned +p2859 +tp2860 +a(g827 +g964 +tp2861 +a(g749 +Vshort +p2862 +tp2863 +a(g827 +g964 +tp2864 +a(g413 +g1217 +tp2865 +a(g827 +V +p2866 +tp2867 +a(g89 +g994 +tp2868 +a(g89 +Vint +p2869 +tp2870 +a(g89 +g994 +tp2871 +a(g827 +V\u000a +p2872 +tp2873 +a(g574 +V%typemap +p2874 +tp2875 +a(g706 +g992 +tp2876 +a(g436 +Vjstype +p2877 +tp2878 +a(g706 +g1006 +tp2879 +a(g827 +g964 +tp2880 +a(g749 +Vint +p2881 +tp2882 +a(g706 +g999 +tp2883 +a(g827 +V +p2884 +tp2885 +a(g745 +Vconst +p2886 +tp2887 +a(g827 +g964 +tp2888 +a(g749 +Vint +p2889 +tp2890 +a(g827 +g964 +tp2891 +a(g413 +g1217 +tp2892 +a(g827 +V +p2893 +tp2894 +a(g89 +g994 +tp2895 +a(g89 +Vint +p2896 +tp2897 +a(g89 +g994 +tp2898 +a(g827 +V\u000a +p2899 +tp2900 +a(g574 +V%typemap +p2901 +tp2902 +a(g706 +g992 +tp2903 +a(g436 +Vjstype +p2904 +tp2905 +a(g706 +g1006 +tp2906 +a(g827 +g964 +tp2907 +a(g749 +Vunsigned +p2908 +tp2909 +a(g827 +g964 +tp2910 +a(g749 +Vint +p2911 +tp2912 +a(g706 +g999 +tp2913 +a(g827 +V +p2914 +tp2915 +a(g745 +Vconst +p2916 +tp2917 +a(g827 +g964 +tp2918 +a(g749 +Vunsigned +p2919 +tp2920 +a(g827 +g964 +tp2921 +a(g749 +Vint +p2922 +tp2923 +a(g827 +g964 +tp2924 +a(g413 +g1217 +tp2925 +a(g827 +V +p2926 +tp2927 +a(g89 +g994 +tp2928 +a(g89 +Vlong +p2929 +tp2930 +a(g89 +g994 +tp2931 +a(g827 +V\u000a +p2932 +tp2933 +a(g574 +V%typemap +p2934 +tp2935 +a(g706 +g992 +tp2936 +a(g436 +Vjstype +p2937 +tp2938 +a(g706 +g1006 +tp2939 +a(g827 +g964 +tp2940 +a(g749 +Vlong +p2941 +tp2942 +a(g706 +g999 +tp2943 +a(g827 +V +p2944 +tp2945 +a(g745 +Vconst +p2946 +tp2947 +a(g827 +g964 +tp2948 +a(g749 +Vlong +p2949 +tp2950 +a(g827 +g964 +tp2951 +a(g413 +g1217 +tp2952 +a(g827 +V +p2953 +tp2954 +a(g89 +g994 +tp2955 +a(g89 +Vint +p2956 +tp2957 +a(g89 +g994 +tp2958 +a(g827 +V\u000a +p2959 +tp2960 +a(g574 +V%typemap +p2961 +tp2962 +a(g706 +g992 +tp2963 +a(g436 +Vjstype +p2964 +tp2965 +a(g706 +g1006 +tp2966 +a(g827 +g964 +tp2967 +a(g749 +Vunsigned +p2968 +tp2969 +a(g827 +g964 +tp2970 +a(g749 +Vlong +p2971 +tp2972 +a(g706 +g999 +tp2973 +a(g827 +V +p2974 +tp2975 +a(g745 +Vconst +p2976 +tp2977 +a(g827 +g964 +tp2978 +a(g749 +Vunsigned +p2979 +tp2980 +a(g827 +g964 +tp2981 +a(g749 +Vlong +p2982 +tp2983 +a(g827 +g964 +tp2984 +a(g413 +g1217 +tp2985 +a(g827 +V +p2986 +tp2987 +a(g89 +g994 +tp2988 +a(g89 +Vlong +p2989 +tp2990 +a(g89 +g994 +tp2991 +a(g827 +V\u000a +p2992 +tp2993 +a(g574 +V%typemap +p2994 +tp2995 +a(g706 +g992 +tp2996 +a(g436 +Vjstype +p2997 +tp2998 +a(g706 +g1006 +tp2999 +a(g827 +g964 +tp3000 +a(g749 +Vlong +p3001 +tp3002 +a(g827 +g964 +tp3003 +a(g749 +Vlong +p3004 +tp3005 +a(g706 +g999 +tp3006 +a(g827 +V +p3007 +tp3008 +a(g745 +Vconst +p3009 +tp3010 +a(g827 +g964 +tp3011 +a(g749 +Vlong +p3012 +tp3013 +a(g827 +g964 +tp3014 +a(g749 +Vlong +p3015 +tp3016 +a(g827 +g964 +tp3017 +a(g413 +g1217 +tp3018 +a(g827 +V +p3019 +tp3020 +a(g89 +g994 +tp3021 +a(g89 +Vlong +p3022 +tp3023 +a(g89 +g994 +tp3024 +a(g827 +V\u000a +p3025 +tp3026 +a(g574 +V%typemap +p3027 +tp3028 +a(g706 +g992 +tp3029 +a(g436 +Vjstype +p3030 +tp3031 +a(g706 +g1006 +tp3032 +a(g827 +g964 +tp3033 +a(g749 +Vunsigned +p3034 +tp3035 +a(g827 +g964 +tp3036 +a(g749 +Vlong +p3037 +tp3038 +a(g827 +g964 +tp3039 +a(g749 +Vlong +p3040 +tp3041 +a(g706 +g999 +tp3042 +a(g827 +g964 +tp3043 +a(g745 +Vconst +p3044 +tp3045 +a(g827 +g964 +tp3046 +a(g749 +Vunsigned +p3047 +tp3048 +a(g827 +g964 +tp3049 +a(g749 +Vlong +p3050 +tp3051 +a(g827 +g964 +tp3052 +a(g749 +Vlong +p3053 +tp3054 +a(g827 +g964 +tp3055 +a(g413 +g1217 +tp3056 +a(g827 +g964 +tp3057 +a(g89 +g994 +tp3058 +a(g89 +Vjava.math.BigInteger +p3059 +tp3060 +a(g89 +g994 +tp3061 +a(g827 +V\u000a +p3062 +tp3063 +a(g574 +V%typemap +p3064 +tp3065 +a(g706 +g992 +tp3066 +a(g436 +Vjstype +p3067 +tp3068 +a(g706 +g1006 +tp3069 +a(g827 +g964 +tp3070 +a(g749 +Vfloat +p3071 +tp3072 +a(g706 +g999 +tp3073 +a(g827 +V +p3074 +tp3075 +a(g745 +Vconst +p3076 +tp3077 +a(g827 +g964 +tp3078 +a(g749 +Vfloat +p3079 +tp3080 +a(g827 +g964 +tp3081 +a(g413 +g1217 +tp3082 +a(g827 +V +p3083 +tp3084 +a(g89 +g994 +tp3085 +a(g89 +Vfloat +p3086 +tp3087 +a(g89 +g994 +tp3088 +a(g827 +V\u000a +p3089 +tp3090 +a(g574 +V%typemap +p3091 +tp3092 +a(g706 +g992 +tp3093 +a(g436 +Vjstype +p3094 +tp3095 +a(g706 +g1006 +tp3096 +a(g827 +g964 +tp3097 +a(g749 +Vdouble +p3098 +tp3099 +a(g706 +g999 +tp3100 +a(g827 +V +p3101 +tp3102 +a(g745 +Vconst +p3103 +tp3104 +a(g827 +g964 +tp3105 +a(g749 +Vdouble +p3106 +tp3107 +a(g827 +g964 +tp3108 +a(g413 +g1217 +tp3109 +a(g827 +V +p3110 +tp3111 +a(g89 +g994 +tp3112 +a(g89 +Vdouble +p3113 +tp3114 +a(g89 +g994 +tp3115 +a(g827 +V\u000a +p3116 +tp3117 +a(g574 +V%typemap +p3118 +tp3119 +a(g706 +g992 +tp3120 +a(g436 +Vjstype +p3121 +tp3122 +a(g706 +g1006 +tp3123 +a(g827 +g964 +tp3124 +a(g749 +Vvoid +p3125 +tp3126 +a(g827 +V +p3127 +tp3128 +a(g89 +g994 +tp3129 +a(g89 +Vvoid +p3130 +tp3131 +a(g89 +g994 +tp3132 +a(g827 +V\u000a +p3133 +tp3134 +a(g827 +V\u000a +p3135 +tp3136 +a(g574 +V%typemap +p3137 +tp3138 +a(g706 +g992 +tp3139 +a(g436 +Vjni +p3140 +tp3141 +a(g706 +g1006 +tp3142 +a(g827 +g964 +tp3143 +a(g749 +Vchar +p3144 +tp3145 +a(g827 +g964 +tp3146 +a(g413 +g1023 +tp3147 +a(g706 +g999 +tp3148 +a(g827 +g964 +tp3149 +a(g749 +Vchar +p3150 +tp3151 +a(g827 +g964 +tp3152 +a(g413 +g1023 +tp3153 +a(g413 +g1217 +tp3154 +a(g706 +g999 +tp3155 +a(g827 +g964 +tp3156 +a(g749 +Vchar +p3157 +tp3158 +a(g706 +g1068 +tp3159 +a(g436 +VANY +p3160 +tp3161 +a(g706 +g1072 +tp3162 +a(g706 +g999 +tp3163 +a(g827 +g964 +tp3164 +a(g749 +Vchar +p3165 +tp3166 +a(g706 +g1068 +tp3167 +a(g706 +g1072 +tp3168 +a(g827 +V +p3169 +tp3170 +a(g89 +g994 +tp3171 +a(g89 +Vjstring +p3172 +tp3173 +a(g89 +g994 +tp3174 +a(g827 +V\u000a +p3175 +tp3176 +a(g574 +V%typemap +p3177 +tp3178 +a(g706 +g992 +tp3179 +a(g436 +Vjtype +p3180 +tp3181 +a(g706 +g1006 +tp3182 +a(g827 +g964 +tp3183 +a(g749 +Vchar +p3184 +tp3185 +a(g827 +g964 +tp3186 +a(g413 +g1023 +tp3187 +a(g706 +g999 +tp3188 +a(g827 +g964 +tp3189 +a(g749 +Vchar +p3190 +tp3191 +a(g827 +g964 +tp3192 +a(g413 +g1023 +tp3193 +a(g413 +g1217 +tp3194 +a(g706 +g999 +tp3195 +a(g827 +g964 +tp3196 +a(g749 +Vchar +p3197 +tp3198 +a(g706 +g1068 +tp3199 +a(g436 +VANY +p3200 +tp3201 +a(g706 +g1072 +tp3202 +a(g706 +g999 +tp3203 +a(g827 +g964 +tp3204 +a(g749 +Vchar +p3205 +tp3206 +a(g706 +g1068 +tp3207 +a(g706 +g1072 +tp3208 +a(g827 +V +p3209 +tp3210 +a(g89 +g994 +tp3211 +a(g89 +VString +p3212 +tp3213 +a(g89 +g994 +tp3214 +a(g827 +V\u000a +p3215 +tp3216 +a(g574 +V%typemap +p3217 +tp3218 +a(g706 +g992 +tp3219 +a(g436 +Vjstype +p3220 +tp3221 +a(g706 +g1006 +tp3222 +a(g827 +g964 +tp3223 +a(g749 +Vchar +p3224 +tp3225 +a(g827 +g964 +tp3226 +a(g413 +g1023 +tp3227 +a(g706 +g999 +tp3228 +a(g827 +g964 +tp3229 +a(g749 +Vchar +p3230 +tp3231 +a(g827 +g964 +tp3232 +a(g413 +g1023 +tp3233 +a(g413 +g1217 +tp3234 +a(g706 +g999 +tp3235 +a(g827 +g964 +tp3236 +a(g749 +Vchar +p3237 +tp3238 +a(g706 +g1068 +tp3239 +a(g436 +VANY +p3240 +tp3241 +a(g706 +g1072 +tp3242 +a(g706 +g999 +tp3243 +a(g827 +g964 +tp3244 +a(g749 +Vchar +p3245 +tp3246 +a(g706 +g1068 +tp3247 +a(g706 +g1072 +tp3248 +a(g827 +V +p3249 +tp3250 +a(g89 +g994 +tp3251 +a(g89 +VString +p3252 +tp3253 +a(g89 +g994 +tp3254 +a(g827 +V\u000a +p3255 +tp3256 +a(g827 +V\u000a +p3257 +tp3258 +a(g8 +V/* JNI types */ +p3259 +tp3260 +a(g827 +V\u000a +p3261 +tp3262 +a(g574 +V%typemap +p3263 +tp3264 +a(g706 +g992 +tp3265 +a(g436 +Vjni +p3266 +tp3267 +a(g706 +g1006 +tp3268 +a(g827 +g964 +tp3269 +a(g436 +Vjboolean +p3270 +tp3271 +a(g827 +V +p3272 +tp3273 +a(g89 +g994 +tp3274 +a(g89 +Vjboolean +p3275 +tp3276 +a(g89 +g994 +tp3277 +a(g827 +V\u000a +p3278 +tp3279 +a(g574 +V%typemap +p3280 +tp3281 +a(g706 +g992 +tp3282 +a(g436 +Vjni +p3283 +tp3284 +a(g706 +g1006 +tp3285 +a(g827 +g964 +tp3286 +a(g436 +Vjchar +p3287 +tp3288 +a(g827 +V +p3289 +tp3290 +a(g89 +g994 +tp3291 +a(g89 +Vjchar +p3292 +tp3293 +a(g89 +g994 +tp3294 +a(g827 +V\u000a +p3295 +tp3296 +a(g574 +V%typemap +p3297 +tp3298 +a(g706 +g992 +tp3299 +a(g436 +Vjni +p3300 +tp3301 +a(g706 +g1006 +tp3302 +a(g827 +g964 +tp3303 +a(g436 +Vjbyte +p3304 +tp3305 +a(g827 +V +p3306 +tp3307 +a(g89 +g994 +tp3308 +a(g89 +Vjbyte +p3309 +tp3310 +a(g89 +g994 +tp3311 +a(g827 +V\u000a +p3312 +tp3313 +a(g574 +V%typemap +p3314 +tp3315 +a(g706 +g992 +tp3316 +a(g436 +Vjni +p3317 +tp3318 +a(g706 +g1006 +tp3319 +a(g827 +g964 +tp3320 +a(g436 +Vjshort +p3321 +tp3322 +a(g827 +V +p3323 +tp3324 +a(g89 +g994 +tp3325 +a(g89 +Vjshort +p3326 +tp3327 +a(g89 +g994 +tp3328 +a(g827 +V\u000a +p3329 +tp3330 +a(g574 +V%typemap +p3331 +tp3332 +a(g706 +g992 +tp3333 +a(g436 +Vjni +p3334 +tp3335 +a(g706 +g1006 +tp3336 +a(g827 +g964 +tp3337 +a(g436 +Vjint +p3338 +tp3339 +a(g827 +V +p3340 +tp3341 +a(g89 +g994 +tp3342 +a(g89 +Vjint +p3343 +tp3344 +a(g89 +g994 +tp3345 +a(g827 +V\u000a +p3346 +tp3347 +a(g574 +V%typemap +p3348 +tp3349 +a(g706 +g992 +tp3350 +a(g436 +Vjni +p3351 +tp3352 +a(g706 +g1006 +tp3353 +a(g827 +g964 +tp3354 +a(g436 +Vjlong +p3355 +tp3356 +a(g827 +V +p3357 +tp3358 +a(g89 +g994 +tp3359 +a(g89 +Vjlong +p3360 +tp3361 +a(g89 +g994 +tp3362 +a(g827 +V\u000a +p3363 +tp3364 +a(g574 +V%typemap +p3365 +tp3366 +a(g706 +g992 +tp3367 +a(g436 +Vjni +p3368 +tp3369 +a(g706 +g1006 +tp3370 +a(g827 +g964 +tp3371 +a(g436 +Vjfloat +p3372 +tp3373 +a(g827 +V +p3374 +tp3375 +a(g89 +g994 +tp3376 +a(g89 +Vjfloat +p3377 +tp3378 +a(g89 +g994 +tp3379 +a(g827 +V\u000a +p3380 +tp3381 +a(g574 +V%typemap +p3382 +tp3383 +a(g706 +g992 +tp3384 +a(g436 +Vjni +p3385 +tp3386 +a(g706 +g1006 +tp3387 +a(g827 +g964 +tp3388 +a(g436 +Vjdouble +p3389 +tp3390 +a(g827 +V +p3391 +tp3392 +a(g89 +g994 +tp3393 +a(g89 +Vjdouble +p3394 +tp3395 +a(g89 +g994 +tp3396 +a(g827 +V\u000a +p3397 +tp3398 +a(g574 +V%typemap +p3399 +tp3400 +a(g706 +g992 +tp3401 +a(g436 +Vjni +p3402 +tp3403 +a(g706 +g1006 +tp3404 +a(g827 +g964 +tp3405 +a(g436 +Vjstring +p3406 +tp3407 +a(g827 +V +p3408 +tp3409 +a(g89 +g994 +tp3410 +a(g89 +Vjstring +p3411 +tp3412 +a(g89 +g994 +tp3413 +a(g827 +V\u000a +p3414 +tp3415 +a(g574 +V%typemap +p3416 +tp3417 +a(g706 +g992 +tp3418 +a(g436 +Vjni +p3419 +tp3420 +a(g706 +g1006 +tp3421 +a(g827 +g964 +tp3422 +a(g436 +Vjobject +p3423 +tp3424 +a(g827 +V +p3425 +tp3426 +a(g89 +g994 +tp3427 +a(g89 +Vjobject +p3428 +tp3429 +a(g89 +g994 +tp3430 +a(g827 +V\u000a +p3431 +tp3432 +a(g574 +V%typemap +p3433 +tp3434 +a(g706 +g992 +tp3435 +a(g436 +Vjni +p3436 +tp3437 +a(g706 +g1006 +tp3438 +a(g827 +g964 +tp3439 +a(g436 +VjbooleanArray +p3440 +tp3441 +a(g827 +g964 +tp3442 +a(g89 +g994 +tp3443 +a(g89 +VjbooleanArray +p3444 +tp3445 +a(g89 +g994 +tp3446 +a(g827 +V\u000a +p3447 +tp3448 +a(g574 +V%typemap +p3449 +tp3450 +a(g706 +g992 +tp3451 +a(g436 +Vjni +p3452 +tp3453 +a(g706 +g1006 +tp3454 +a(g827 +g964 +tp3455 +a(g436 +VjcharArray +p3456 +tp3457 +a(g827 +V +p3458 +tp3459 +a(g89 +g994 +tp3460 +a(g89 +VjcharArray +p3461 +tp3462 +a(g89 +g994 +tp3463 +a(g827 +V\u000a +p3464 +tp3465 +a(g574 +V%typemap +p3466 +tp3467 +a(g706 +g992 +tp3468 +a(g436 +Vjni +p3469 +tp3470 +a(g706 +g1006 +tp3471 +a(g827 +g964 +tp3472 +a(g436 +VjbyteArray +p3473 +tp3474 +a(g827 +V +p3475 +tp3476 +a(g89 +g994 +tp3477 +a(g89 +VjbyteArray +p3478 +tp3479 +a(g89 +g994 +tp3480 +a(g827 +V\u000a +p3481 +tp3482 +a(g574 +V%typemap +p3483 +tp3484 +a(g706 +g992 +tp3485 +a(g436 +Vjni +p3486 +tp3487 +a(g706 +g1006 +tp3488 +a(g827 +g964 +tp3489 +a(g436 +VjshortArray +p3490 +tp3491 +a(g827 +V +p3492 +tp3493 +a(g89 +g994 +tp3494 +a(g89 +VjshortArray +p3495 +tp3496 +a(g89 +g994 +tp3497 +a(g827 +V\u000a +p3498 +tp3499 +a(g574 +V%typemap +p3500 +tp3501 +a(g706 +g992 +tp3502 +a(g436 +Vjni +p3503 +tp3504 +a(g706 +g1006 +tp3505 +a(g827 +g964 +tp3506 +a(g436 +VjintArray +p3507 +tp3508 +a(g827 +V +p3509 +tp3510 +a(g89 +g994 +tp3511 +a(g89 +VjintArray +p3512 +tp3513 +a(g89 +g994 +tp3514 +a(g827 +V\u000a +p3515 +tp3516 +a(g574 +V%typemap +p3517 +tp3518 +a(g706 +g992 +tp3519 +a(g436 +Vjni +p3520 +tp3521 +a(g706 +g1006 +tp3522 +a(g827 +g964 +tp3523 +a(g436 +VjlongArray +p3524 +tp3525 +a(g827 +V +p3526 +tp3527 +a(g89 +g994 +tp3528 +a(g89 +VjlongArray +p3529 +tp3530 +a(g89 +g994 +tp3531 +a(g827 +V\u000a +p3532 +tp3533 +a(g574 +V%typemap +p3534 +tp3535 +a(g706 +g992 +tp3536 +a(g436 +Vjni +p3537 +tp3538 +a(g706 +g1006 +tp3539 +a(g827 +g964 +tp3540 +a(g436 +VjfloatArray +p3541 +tp3542 +a(g827 +V +p3543 +tp3544 +a(g89 +g994 +tp3545 +a(g89 +VjfloatArray +p3546 +tp3547 +a(g89 +g994 +tp3548 +a(g827 +V\u000a +p3549 +tp3550 +a(g574 +V%typemap +p3551 +tp3552 +a(g706 +g992 +tp3553 +a(g436 +Vjni +p3554 +tp3555 +a(g706 +g1006 +tp3556 +a(g827 +g964 +tp3557 +a(g436 +VjdoubleArray +p3558 +tp3559 +a(g827 +V +p3560 +tp3561 +a(g89 +g994 +tp3562 +a(g89 +VjdoubleArray +p3563 +tp3564 +a(g89 +g994 +tp3565 +a(g827 +V\u000a +p3566 +tp3567 +a(g574 +V%typemap +p3568 +tp3569 +a(g706 +g992 +tp3570 +a(g436 +Vjni +p3571 +tp3572 +a(g706 +g1006 +tp3573 +a(g827 +g964 +tp3574 +a(g436 +VjobjectArray +p3575 +tp3576 +a(g827 +V +p3577 +tp3578 +a(g89 +g994 +tp3579 +a(g89 +VjobjectArray +p3580 +tp3581 +a(g89 +g994 +tp3582 +a(g827 +V\u000a +p3583 +tp3584 +a(g827 +V\u000a +p3585 +tp3586 +a(g574 +V%typemap +p3587 +tp3588 +a(g706 +g992 +tp3589 +a(g436 +Vjtype +p3590 +tp3591 +a(g706 +g1006 +tp3592 +a(g827 +g964 +tp3593 +a(g436 +Vjboolean +p3594 +tp3595 +a(g827 +V +p3596 +tp3597 +a(g89 +g994 +tp3598 +a(g89 +Vboolean +p3599 +tp3600 +a(g89 +g994 +tp3601 +a(g827 +V\u000a +p3602 +tp3603 +a(g574 +V%typemap +p3604 +tp3605 +a(g706 +g992 +tp3606 +a(g436 +Vjtype +p3607 +tp3608 +a(g706 +g1006 +tp3609 +a(g827 +g964 +tp3610 +a(g436 +Vjchar +p3611 +tp3612 +a(g827 +V +p3613 +tp3614 +a(g89 +g994 +tp3615 +a(g89 +Vchar +p3616 +tp3617 +a(g89 +g994 +tp3618 +a(g827 +V\u000a +p3619 +tp3620 +a(g574 +V%typemap +p3621 +tp3622 +a(g706 +g992 +tp3623 +a(g436 +Vjtype +p3624 +tp3625 +a(g706 +g1006 +tp3626 +a(g827 +g964 +tp3627 +a(g436 +Vjbyte +p3628 +tp3629 +a(g827 +V +p3630 +tp3631 +a(g89 +g994 +tp3632 +a(g89 +Vbyte +p3633 +tp3634 +a(g89 +g994 +tp3635 +a(g827 +V\u000a +p3636 +tp3637 +a(g574 +V%typemap +p3638 +tp3639 +a(g706 +g992 +tp3640 +a(g436 +Vjtype +p3641 +tp3642 +a(g706 +g1006 +tp3643 +a(g827 +g964 +tp3644 +a(g436 +Vjshort +p3645 +tp3646 +a(g827 +V +p3647 +tp3648 +a(g89 +g994 +tp3649 +a(g89 +Vshort +p3650 +tp3651 +a(g89 +g994 +tp3652 +a(g827 +V\u000a +p3653 +tp3654 +a(g574 +V%typemap +p3655 +tp3656 +a(g706 +g992 +tp3657 +a(g436 +Vjtype +p3658 +tp3659 +a(g706 +g1006 +tp3660 +a(g827 +g964 +tp3661 +a(g436 +Vjint +p3662 +tp3663 +a(g827 +V +p3664 +tp3665 +a(g89 +g994 +tp3666 +a(g89 +Vint +p3667 +tp3668 +a(g89 +g994 +tp3669 +a(g827 +V\u000a +p3670 +tp3671 +a(g574 +V%typemap +p3672 +tp3673 +a(g706 +g992 +tp3674 +a(g436 +Vjtype +p3675 +tp3676 +a(g706 +g1006 +tp3677 +a(g827 +g964 +tp3678 +a(g436 +Vjlong +p3679 +tp3680 +a(g827 +V +p3681 +tp3682 +a(g89 +g994 +tp3683 +a(g89 +Vlong +p3684 +tp3685 +a(g89 +g994 +tp3686 +a(g827 +V\u000a +p3687 +tp3688 +a(g574 +V%typemap +p3689 +tp3690 +a(g706 +g992 +tp3691 +a(g436 +Vjtype +p3692 +tp3693 +a(g706 +g1006 +tp3694 +a(g827 +g964 +tp3695 +a(g436 +Vjfloat +p3696 +tp3697 +a(g827 +V +p3698 +tp3699 +a(g89 +g994 +tp3700 +a(g89 +Vfloat +p3701 +tp3702 +a(g89 +g994 +tp3703 +a(g827 +V\u000a +p3704 +tp3705 +a(g574 +V%typemap +p3706 +tp3707 +a(g706 +g992 +tp3708 +a(g436 +Vjtype +p3709 +tp3710 +a(g706 +g1006 +tp3711 +a(g827 +g964 +tp3712 +a(g436 +Vjdouble +p3713 +tp3714 +a(g827 +V +p3715 +tp3716 +a(g89 +g994 +tp3717 +a(g89 +Vdouble +p3718 +tp3719 +a(g89 +g994 +tp3720 +a(g827 +V\u000a +p3721 +tp3722 +a(g574 +V%typemap +p3723 +tp3724 +a(g706 +g992 +tp3725 +a(g436 +Vjtype +p3726 +tp3727 +a(g706 +g1006 +tp3728 +a(g827 +g964 +tp3729 +a(g436 +Vjstring +p3730 +tp3731 +a(g827 +V +p3732 +tp3733 +a(g89 +g994 +tp3734 +a(g89 +VString +p3735 +tp3736 +a(g89 +g994 +tp3737 +a(g827 +V\u000a +p3738 +tp3739 +a(g574 +V%typemap +p3740 +tp3741 +a(g706 +g992 +tp3742 +a(g436 +Vjtype +p3743 +tp3744 +a(g706 +g1006 +tp3745 +a(g827 +g964 +tp3746 +a(g436 +Vjobject +p3747 +tp3748 +a(g827 +V +p3749 +tp3750 +a(g89 +g994 +tp3751 +a(g89 +VObject +p3752 +tp3753 +a(g89 +g994 +tp3754 +a(g827 +V\u000a +p3755 +tp3756 +a(g574 +V%typemap +p3757 +tp3758 +a(g706 +g992 +tp3759 +a(g436 +Vjtype +p3760 +tp3761 +a(g706 +g1006 +tp3762 +a(g827 +g964 +tp3763 +a(g436 +VjbooleanArray +p3764 +tp3765 +a(g827 +g964 +tp3766 +a(g89 +g994 +tp3767 +a(g89 +Vboolean[] +p3768 +tp3769 +a(g89 +g994 +tp3770 +a(g827 +V\u000a +p3771 +tp3772 +a(g574 +V%typemap +p3773 +tp3774 +a(g706 +g992 +tp3775 +a(g436 +Vjtype +p3776 +tp3777 +a(g706 +g1006 +tp3778 +a(g827 +g964 +tp3779 +a(g436 +VjcharArray +p3780 +tp3781 +a(g827 +V +p3782 +tp3783 +a(g89 +g994 +tp3784 +a(g89 +Vchar[] +p3785 +tp3786 +a(g89 +g994 +tp3787 +a(g827 +V\u000a +p3788 +tp3789 +a(g574 +V%typemap +p3790 +tp3791 +a(g706 +g992 +tp3792 +a(g436 +Vjtype +p3793 +tp3794 +a(g706 +g1006 +tp3795 +a(g827 +g964 +tp3796 +a(g436 +VjbyteArray +p3797 +tp3798 +a(g827 +V +p3799 +tp3800 +a(g89 +g994 +tp3801 +a(g89 +Vbyte[] +p3802 +tp3803 +a(g89 +g994 +tp3804 +a(g827 +V\u000a +p3805 +tp3806 +a(g574 +V%typemap +p3807 +tp3808 +a(g706 +g992 +tp3809 +a(g436 +Vjtype +p3810 +tp3811 +a(g706 +g1006 +tp3812 +a(g827 +g964 +tp3813 +a(g436 +VjshortArray +p3814 +tp3815 +a(g827 +V +p3816 +tp3817 +a(g89 +g994 +tp3818 +a(g89 +Vshort[] +p3819 +tp3820 +a(g89 +g994 +tp3821 +a(g827 +V\u000a +p3822 +tp3823 +a(g574 +V%typemap +p3824 +tp3825 +a(g706 +g992 +tp3826 +a(g436 +Vjtype +p3827 +tp3828 +a(g706 +g1006 +tp3829 +a(g827 +g964 +tp3830 +a(g436 +VjintArray +p3831 +tp3832 +a(g827 +V +p3833 +tp3834 +a(g89 +g994 +tp3835 +a(g89 +Vint[] +p3836 +tp3837 +a(g89 +g994 +tp3838 +a(g827 +V\u000a +p3839 +tp3840 +a(g574 +V%typemap +p3841 +tp3842 +a(g706 +g992 +tp3843 +a(g436 +Vjtype +p3844 +tp3845 +a(g706 +g1006 +tp3846 +a(g827 +g964 +tp3847 +a(g436 +VjlongArray +p3848 +tp3849 +a(g827 +V +p3850 +tp3851 +a(g89 +g994 +tp3852 +a(g89 +Vlong[] +p3853 +tp3854 +a(g89 +g994 +tp3855 +a(g827 +V\u000a +p3856 +tp3857 +a(g574 +V%typemap +p3858 +tp3859 +a(g706 +g992 +tp3860 +a(g436 +Vjtype +p3861 +tp3862 +a(g706 +g1006 +tp3863 +a(g827 +g964 +tp3864 +a(g436 +VjfloatArray +p3865 +tp3866 +a(g827 +V +p3867 +tp3868 +a(g89 +g994 +tp3869 +a(g89 +Vfloat[] +p3870 +tp3871 +a(g89 +g994 +tp3872 +a(g827 +V\u000a +p3873 +tp3874 +a(g574 +V%typemap +p3875 +tp3876 +a(g706 +g992 +tp3877 +a(g436 +Vjtype +p3878 +tp3879 +a(g706 +g1006 +tp3880 +a(g827 +g964 +tp3881 +a(g436 +VjdoubleArray +p3882 +tp3883 +a(g827 +V +p3884 +tp3885 +a(g89 +g994 +tp3886 +a(g89 +Vdouble[] +p3887 +tp3888 +a(g89 +g994 +tp3889 +a(g827 +V\u000a +p3890 +tp3891 +a(g574 +V%typemap +p3892 +tp3893 +a(g706 +g992 +tp3894 +a(g436 +Vjtype +p3895 +tp3896 +a(g706 +g1006 +tp3897 +a(g827 +g964 +tp3898 +a(g436 +VjobjectArray +p3899 +tp3900 +a(g827 +V +p3901 +tp3902 +a(g89 +g994 +tp3903 +a(g89 +VObject[] +p3904 +tp3905 +a(g89 +g994 +tp3906 +a(g827 +V\u000a +p3907 +tp3908 +a(g827 +V\u000a +p3909 +tp3910 +a(g574 +V%typemap +p3911 +tp3912 +a(g706 +g992 +tp3913 +a(g436 +Vjstype +p3914 +tp3915 +a(g706 +g1006 +tp3916 +a(g827 +g964 +tp3917 +a(g436 +Vjboolean +p3918 +tp3919 +a(g827 +V +p3920 +tp3921 +a(g89 +g994 +tp3922 +a(g89 +Vboolean +p3923 +tp3924 +a(g89 +g994 +tp3925 +a(g827 +V\u000a +p3926 +tp3927 +a(g574 +V%typemap +p3928 +tp3929 +a(g706 +g992 +tp3930 +a(g436 +Vjstype +p3931 +tp3932 +a(g706 +g1006 +tp3933 +a(g827 +g964 +tp3934 +a(g436 +Vjchar +p3935 +tp3936 +a(g827 +V +p3937 +tp3938 +a(g89 +g994 +tp3939 +a(g89 +Vchar +p3940 +tp3941 +a(g89 +g994 +tp3942 +a(g827 +V\u000a +p3943 +tp3944 +a(g574 +V%typemap +p3945 +tp3946 +a(g706 +g992 +tp3947 +a(g436 +Vjstype +p3948 +tp3949 +a(g706 +g1006 +tp3950 +a(g827 +g964 +tp3951 +a(g436 +Vjbyte +p3952 +tp3953 +a(g827 +V +p3954 +tp3955 +a(g89 +g994 +tp3956 +a(g89 +Vbyte +p3957 +tp3958 +a(g89 +g994 +tp3959 +a(g827 +V\u000a +p3960 +tp3961 +a(g574 +V%typemap +p3962 +tp3963 +a(g706 +g992 +tp3964 +a(g436 +Vjstype +p3965 +tp3966 +a(g706 +g1006 +tp3967 +a(g827 +g964 +tp3968 +a(g436 +Vjshort +p3969 +tp3970 +a(g827 +V +p3971 +tp3972 +a(g89 +g994 +tp3973 +a(g89 +Vshort +p3974 +tp3975 +a(g89 +g994 +tp3976 +a(g827 +V\u000a +p3977 +tp3978 +a(g574 +V%typemap +p3979 +tp3980 +a(g706 +g992 +tp3981 +a(g436 +Vjstype +p3982 +tp3983 +a(g706 +g1006 +tp3984 +a(g827 +g964 +tp3985 +a(g436 +Vjint +p3986 +tp3987 +a(g827 +V +p3988 +tp3989 +a(g89 +g994 +tp3990 +a(g89 +Vint +p3991 +tp3992 +a(g89 +g994 +tp3993 +a(g827 +V\u000a +p3994 +tp3995 +a(g574 +V%typemap +p3996 +tp3997 +a(g706 +g992 +tp3998 +a(g436 +Vjstype +p3999 +tp4000 +a(g706 +g1006 +tp4001 +a(g827 +g964 +tp4002 +a(g436 +Vjlong +p4003 +tp4004 +a(g827 +V +p4005 +tp4006 +a(g89 +g994 +tp4007 +a(g89 +Vlong +p4008 +tp4009 +a(g89 +g994 +tp4010 +a(g827 +V\u000a +p4011 +tp4012 +a(g574 +V%typemap +p4013 +tp4014 +a(g706 +g992 +tp4015 +a(g436 +Vjstype +p4016 +tp4017 +a(g706 +g1006 +tp4018 +a(g827 +g964 +tp4019 +a(g436 +Vjfloat +p4020 +tp4021 +a(g827 +V +p4022 +tp4023 +a(g89 +g994 +tp4024 +a(g89 +Vfloat +p4025 +tp4026 +a(g89 +g994 +tp4027 +a(g827 +V\u000a +p4028 +tp4029 +a(g574 +V%typemap +p4030 +tp4031 +a(g706 +g992 +tp4032 +a(g436 +Vjstype +p4033 +tp4034 +a(g706 +g1006 +tp4035 +a(g827 +g964 +tp4036 +a(g436 +Vjdouble +p4037 +tp4038 +a(g827 +V +p4039 +tp4040 +a(g89 +g994 +tp4041 +a(g89 +Vdouble +p4042 +tp4043 +a(g89 +g994 +tp4044 +a(g827 +V\u000a +p4045 +tp4046 +a(g574 +V%typemap +p4047 +tp4048 +a(g706 +g992 +tp4049 +a(g436 +Vjstype +p4050 +tp4051 +a(g706 +g1006 +tp4052 +a(g827 +g964 +tp4053 +a(g436 +Vjstring +p4054 +tp4055 +a(g827 +V +p4056 +tp4057 +a(g89 +g994 +tp4058 +a(g89 +VString +p4059 +tp4060 +a(g89 +g994 +tp4061 +a(g827 +V\u000a +p4062 +tp4063 +a(g574 +V%typemap +p4064 +tp4065 +a(g706 +g992 +tp4066 +a(g436 +Vjstype +p4067 +tp4068 +a(g706 +g1006 +tp4069 +a(g827 +g964 +tp4070 +a(g436 +Vjobject +p4071 +tp4072 +a(g827 +V +p4073 +tp4074 +a(g89 +g994 +tp4075 +a(g89 +VObject +p4076 +tp4077 +a(g89 +g994 +tp4078 +a(g827 +V\u000a +p4079 +tp4080 +a(g574 +V%typemap +p4081 +tp4082 +a(g706 +g992 +tp4083 +a(g436 +Vjstype +p4084 +tp4085 +a(g706 +g1006 +tp4086 +a(g827 +g964 +tp4087 +a(g436 +VjbooleanArray +p4088 +tp4089 +a(g827 +g964 +tp4090 +a(g89 +g994 +tp4091 +a(g89 +Vboolean[] +p4092 +tp4093 +a(g89 +g994 +tp4094 +a(g827 +V\u000a +p4095 +tp4096 +a(g574 +V%typemap +p4097 +tp4098 +a(g706 +g992 +tp4099 +a(g436 +Vjstype +p4100 +tp4101 +a(g706 +g1006 +tp4102 +a(g827 +g964 +tp4103 +a(g436 +VjcharArray +p4104 +tp4105 +a(g827 +V +p4106 +tp4107 +a(g89 +g994 +tp4108 +a(g89 +Vchar[] +p4109 +tp4110 +a(g89 +g994 +tp4111 +a(g827 +V\u000a +p4112 +tp4113 +a(g574 +V%typemap +p4114 +tp4115 +a(g706 +g992 +tp4116 +a(g436 +Vjstype +p4117 +tp4118 +a(g706 +g1006 +tp4119 +a(g827 +g964 +tp4120 +a(g436 +VjbyteArray +p4121 +tp4122 +a(g827 +V +p4123 +tp4124 +a(g89 +g994 +tp4125 +a(g89 +Vbyte[] +p4126 +tp4127 +a(g89 +g994 +tp4128 +a(g827 +V\u000a +p4129 +tp4130 +a(g574 +V%typemap +p4131 +tp4132 +a(g706 +g992 +tp4133 +a(g436 +Vjstype +p4134 +tp4135 +a(g706 +g1006 +tp4136 +a(g827 +g964 +tp4137 +a(g436 +VjshortArray +p4138 +tp4139 +a(g827 +V +p4140 +tp4141 +a(g89 +g994 +tp4142 +a(g89 +Vshort[] +p4143 +tp4144 +a(g89 +g994 +tp4145 +a(g827 +V\u000a +p4146 +tp4147 +a(g574 +V%typemap +p4148 +tp4149 +a(g706 +g992 +tp4150 +a(g436 +Vjstype +p4151 +tp4152 +a(g706 +g1006 +tp4153 +a(g827 +g964 +tp4154 +a(g436 +VjintArray +p4155 +tp4156 +a(g827 +V +p4157 +tp4158 +a(g89 +g994 +tp4159 +a(g89 +Vint[] +p4160 +tp4161 +a(g89 +g994 +tp4162 +a(g827 +V\u000a +p4163 +tp4164 +a(g574 +V%typemap +p4165 +tp4166 +a(g706 +g992 +tp4167 +a(g436 +Vjstype +p4168 +tp4169 +a(g706 +g1006 +tp4170 +a(g827 +g964 +tp4171 +a(g436 +VjlongArray +p4172 +tp4173 +a(g827 +V +p4174 +tp4175 +a(g89 +g994 +tp4176 +a(g89 +Vlong[] +p4177 +tp4178 +a(g89 +g994 +tp4179 +a(g827 +V\u000a +p4180 +tp4181 +a(g574 +V%typemap +p4182 +tp4183 +a(g706 +g992 +tp4184 +a(g436 +Vjstype +p4185 +tp4186 +a(g706 +g1006 +tp4187 +a(g827 +g964 +tp4188 +a(g436 +VjfloatArray +p4189 +tp4190 +a(g827 +V +p4191 +tp4192 +a(g89 +g994 +tp4193 +a(g89 +Vfloat[] +p4194 +tp4195 +a(g89 +g994 +tp4196 +a(g827 +V\u000a +p4197 +tp4198 +a(g574 +V%typemap +p4199 +tp4200 +a(g706 +g992 +tp4201 +a(g436 +Vjstype +p4202 +tp4203 +a(g706 +g1006 +tp4204 +a(g827 +g964 +tp4205 +a(g436 +VjdoubleArray +p4206 +tp4207 +a(g827 +V +p4208 +tp4209 +a(g89 +g994 +tp4210 +a(g89 +Vdouble[] +p4211 +tp4212 +a(g89 +g994 +tp4213 +a(g827 +V\u000a +p4214 +tp4215 +a(g574 +V%typemap +p4216 +tp4217 +a(g706 +g992 +tp4218 +a(g436 +Vjstype +p4219 +tp4220 +a(g706 +g1006 +tp4221 +a(g827 +g964 +tp4222 +a(g436 +VjobjectArray +p4223 +tp4224 +a(g827 +V +p4225 +tp4226 +a(g89 +g994 +tp4227 +a(g89 +VObject[] +p4228 +tp4229 +a(g89 +g994 +tp4230 +a(g827 +V\u000a +p4231 +tp4232 +a(g827 +V\u000a +p4233 +tp4234 +a(g8 +V/* Non primitive types */ +p4235 +tp4236 +a(g827 +V\u000a +p4237 +tp4238 +a(g574 +V%typemap +p4239 +tp4240 +a(g706 +g992 +tp4241 +a(g436 +Vjni +p4242 +tp4243 +a(g706 +g1006 +tp4244 +a(g827 +g964 +tp4245 +a(g436 +VSWIGTYPE +p4246 +tp4247 +a(g827 +g964 +tp4248 +a(g89 +g994 +tp4249 +a(g89 +Vjlong +p4250 +tp4251 +a(g89 +g994 +tp4252 +a(g827 +V\u000a +p4253 +tp4254 +a(g574 +V%typemap +p4255 +tp4256 +a(g706 +g992 +tp4257 +a(g436 +Vjtype +p4258 +tp4259 +a(g706 +g1006 +tp4260 +a(g827 +g964 +tp4261 +a(g436 +VSWIGTYPE +p4262 +tp4263 +a(g827 +g964 +tp4264 +a(g89 +g994 +tp4265 +a(g89 +Vlong +p4266 +tp4267 +a(g89 +g994 +tp4268 +a(g827 +V\u000a +p4269 +tp4270 +a(g574 +V%typemap +p4271 +tp4272 +a(g706 +g992 +tp4273 +a(g436 +Vjstype +p4274 +tp4275 +a(g706 +g1006 +tp4276 +a(g827 +g964 +tp4277 +a(g436 +VSWIGTYPE +p4278 +tp4279 +a(g827 +g964 +tp4280 +a(g89 +g994 +tp4281 +a(g89 +V$&javaclassname +p4282 +tp4283 +a(g89 +g994 +tp4284 +a(g827 +V\u000a +p4285 +tp4286 +a(g827 +V\u000a +p4287 +tp4288 +a(g574 +V%typemap +p4289 +tp4290 +a(g706 +g992 +tp4291 +a(g436 +Vjni +p4292 +tp4293 +a(g706 +g1006 +tp4294 +a(g827 +g964 +tp4295 +a(g436 +VSWIGTYPE +p4296 +tp4297 +a(g827 +g964 +tp4298 +a(g706 +g1068 +tp4299 +a(g706 +g1072 +tp4300 +a(g827 +g964 +tp4301 +a(g89 +g994 +tp4302 +a(g89 +Vjlong +p4303 +tp4304 +a(g89 +g994 +tp4305 +a(g827 +V\u000a +p4306 +tp4307 +a(g574 +V%typemap +p4308 +tp4309 +a(g706 +g992 +tp4310 +a(g436 +Vjtype +p4311 +tp4312 +a(g706 +g1006 +tp4313 +a(g827 +g964 +tp4314 +a(g436 +VSWIGTYPE +p4315 +tp4316 +a(g827 +g964 +tp4317 +a(g706 +g1068 +tp4318 +a(g706 +g1072 +tp4319 +a(g827 +g964 +tp4320 +a(g89 +g994 +tp4321 +a(g89 +Vlong +p4322 +tp4323 +a(g89 +g994 +tp4324 +a(g827 +V\u000a +p4325 +tp4326 +a(g574 +V%typemap +p4327 +tp4328 +a(g706 +g992 +tp4329 +a(g436 +Vjstype +p4330 +tp4331 +a(g706 +g1006 +tp4332 +a(g827 +g964 +tp4333 +a(g436 +VSWIGTYPE +p4334 +tp4335 +a(g827 +g964 +tp4336 +a(g706 +g1068 +tp4337 +a(g706 +g1072 +tp4338 +a(g827 +g964 +tp4339 +a(g89 +g994 +tp4340 +a(g89 +V$javaclassname +p4341 +tp4342 +a(g89 +g994 +tp4343 +a(g827 +V\u000a +p4344 +tp4345 +a(g827 +V\u000a +p4346 +tp4347 +a(g574 +V%typemap +p4348 +tp4349 +a(g706 +g992 +tp4350 +a(g436 +Vjni +p4351 +tp4352 +a(g706 +g1006 +tp4353 +a(g827 +g964 +tp4354 +a(g436 +VSWIGTYPE +p4355 +tp4356 +a(g827 +g964 +tp4357 +a(g413 +g1023 +tp4358 +a(g827 +g964 +tp4359 +a(g89 +g994 +tp4360 +a(g89 +Vjlong +p4361 +tp4362 +a(g89 +g994 +tp4363 +a(g827 +V\u000a +p4364 +tp4365 +a(g574 +V%typemap +p4366 +tp4367 +a(g706 +g992 +tp4368 +a(g436 +Vjtype +p4369 +tp4370 +a(g706 +g1006 +tp4371 +a(g827 +g964 +tp4372 +a(g436 +VSWIGTYPE +p4373 +tp4374 +a(g827 +g964 +tp4375 +a(g413 +g1023 +tp4376 +a(g827 +g964 +tp4377 +a(g89 +g994 +tp4378 +a(g89 +Vlong +p4379 +tp4380 +a(g89 +g994 +tp4381 +a(g827 +V\u000a +p4382 +tp4383 +a(g574 +V%typemap +p4384 +tp4385 +a(g706 +g992 +tp4386 +a(g436 +Vjstype +p4387 +tp4388 +a(g706 +g1006 +tp4389 +a(g827 +g964 +tp4390 +a(g436 +VSWIGTYPE +p4391 +tp4392 +a(g827 +g964 +tp4393 +a(g413 +g1023 +tp4394 +a(g827 +g964 +tp4395 +a(g89 +g994 +tp4396 +a(g89 +V$javaclassname +p4397 +tp4398 +a(g89 +g994 +tp4399 +a(g827 +V\u000a +p4400 +tp4401 +a(g827 +V\u000a +p4402 +tp4403 +a(g574 +V%typemap +p4404 +tp4405 +a(g706 +g992 +tp4406 +a(g436 +Vjni +p4407 +tp4408 +a(g706 +g1006 +tp4409 +a(g827 +g964 +tp4410 +a(g436 +VSWIGTYPE +p4411 +tp4412 +a(g827 +g964 +tp4413 +a(g413 +g1217 +tp4414 +a(g827 +g964 +tp4415 +a(g89 +g994 +tp4416 +a(g89 +Vjlong +p4417 +tp4418 +a(g89 +g994 +tp4419 +a(g827 +V\u000a +p4420 +tp4421 +a(g574 +V%typemap +p4422 +tp4423 +a(g706 +g992 +tp4424 +a(g436 +Vjtype +p4425 +tp4426 +a(g706 +g1006 +tp4427 +a(g827 +g964 +tp4428 +a(g436 +VSWIGTYPE +p4429 +tp4430 +a(g827 +g964 +tp4431 +a(g413 +g1217 +tp4432 +a(g827 +g964 +tp4433 +a(g89 +g994 +tp4434 +a(g89 +Vlong +p4435 +tp4436 +a(g89 +g994 +tp4437 +a(g827 +V\u000a +p4438 +tp4439 +a(g574 +V%typemap +p4440 +tp4441 +a(g706 +g992 +tp4442 +a(g436 +Vjstype +p4443 +tp4444 +a(g706 +g1006 +tp4445 +a(g827 +g964 +tp4446 +a(g436 +VSWIGTYPE +p4447 +tp4448 +a(g827 +g964 +tp4449 +a(g413 +g1217 +tp4450 +a(g827 +g964 +tp4451 +a(g89 +g994 +tp4452 +a(g89 +V$javaclassname +p4453 +tp4454 +a(g89 +g994 +tp4455 +a(g827 +V\u000a +p4456 +tp4457 +a(g827 +V\u000a +p4458 +tp4459 +a(g8 +V/* pointer to a class member */ +p4460 +tp4461 +a(g827 +V\u000a +p4462 +tp4463 +a(g574 +V%typemap +p4464 +tp4465 +a(g706 +g992 +tp4466 +a(g436 +Vjni +p4467 +tp4468 +a(g706 +g1006 +tp4469 +a(g827 +g964 +tp4470 +a(g436 +VSWIGTYPE +p4471 +tp4472 +a(g827 +g964 +tp4473 +a(g706 +g992 +tp4474 +a(g436 +VCLASS +p4475 +tp4476 +a(g413 +V: +p4477 +tp4478 +a(g413 +g4477 +tp4479 +a(g413 +g1023 +tp4480 +a(g706 +g1006 +tp4481 +a(g827 +g964 +tp4482 +a(g89 +g994 +tp4483 +a(g89 +Vjstring +p4484 +tp4485 +a(g89 +g994 +tp4486 +a(g827 +V\u000a +p4487 +tp4488 +a(g574 +V%typemap +p4489 +tp4490 +a(g706 +g992 +tp4491 +a(g436 +Vjtype +p4492 +tp4493 +a(g706 +g1006 +tp4494 +a(g827 +g964 +tp4495 +a(g436 +VSWIGTYPE +p4496 +tp4497 +a(g827 +g964 +tp4498 +a(g706 +g992 +tp4499 +a(g436 +VCLASS +p4500 +tp4501 +a(g413 +g4477 +tp4502 +a(g413 +g4477 +tp4503 +a(g413 +g1023 +tp4504 +a(g706 +g1006 +tp4505 +a(g827 +g964 +tp4506 +a(g89 +g994 +tp4507 +a(g89 +VString +p4508 +tp4509 +a(g89 +g994 +tp4510 +a(g827 +V\u000a +p4511 +tp4512 +a(g574 +V%typemap +p4513 +tp4514 +a(g706 +g992 +tp4515 +a(g436 +Vjstype +p4516 +tp4517 +a(g706 +g1006 +tp4518 +a(g827 +g964 +tp4519 +a(g436 +VSWIGTYPE +p4520 +tp4521 +a(g827 +g964 +tp4522 +a(g706 +g992 +tp4523 +a(g436 +VCLASS +p4524 +tp4525 +a(g413 +g4477 +tp4526 +a(g413 +g4477 +tp4527 +a(g413 +g1023 +tp4528 +a(g706 +g1006 +tp4529 +a(g827 +g964 +tp4530 +a(g89 +g994 +tp4531 +a(g89 +V$javaclassname +p4532 +tp4533 +a(g89 +g994 +tp4534 +a(g827 +V\u000a +p4535 +tp4536 +a(g827 +V\u000a +p4537 +tp4538 +a(g8 +V/* The following are the in, out, freearg, argout typemaps. These are the JNI code generating typemaps for converting from Java to C and visa versa. */ +p4539 +tp4540 +a(g827 +V\u000a +p4541 +tp4542 +a(g827 +V\u000a +p4543 +tp4544 +a(g8 +V/* primitive types */ +p4545 +tp4546 +a(g827 +V\u000a +p4547 +tp4548 +a(g574 +V%typemap +p4549 +tp4550 +a(g706 +g992 +tp4551 +a(g436 +Vin +p4552 +tp4553 +a(g706 +g1006 +tp4554 +a(g827 +g964 +tp4555 +a(g749 +Vbool +p4556 +tp4557 +a(g827 +V\u000a +p4558 +tp4559 +a(g413 +V% +p4560 +tp4561 +a(g706 +g1009 +tp4562 +a(g827 +g964 +tp4563 +a(g436 +V$1 +p4564 +tp4565 +a(g827 +g964 +tp4566 +a(g413 +g1075 +tp4567 +a(g827 +g964 +tp4568 +a(g436 +V$input +p4569 +tp4570 +a(g827 +g964 +tp4571 +a(g413 +V? +p4572 +tp4573 +a(g827 +g964 +tp4574 +a(g682 +Vtrue +p4575 +tp4576 +a(g827 +g964 +tp4577 +a(g413 +g4477 +tp4578 +a(g827 +g964 +tp4579 +a(g682 +Vfalse +p4580 +tp4581 +a(g706 +g1082 +tp4582 +a(g827 +g964 +tp4583 +a(g413 +g4560 +tp4584 +a(g706 +g1260 +tp4585 +a(g827 +V\u000a +p4586 +tp4587 +a(g827 +V\u000a +p4588 +tp4589 +a(g574 +V%typemap +p4590 +tp4591 +a(g706 +g992 +tp4592 +a(g436 +Vdirectorout +p4593 +tp4594 +a(g706 +g1006 +tp4595 +a(g827 +g964 +tp4596 +a(g749 +Vbool +p4597 +tp4598 +a(g827 +V\u000a +p4599 +tp4600 +a(g413 +g4560 +tp4601 +a(g706 +g1009 +tp4602 +a(g827 +g964 +tp4603 +a(g436 +V$result +p4604 +tp4605 +a(g827 +g964 +tp4606 +a(g413 +g1075 +tp4607 +a(g827 +g964 +tp4608 +a(g436 +V$input +p4609 +tp4610 +a(g827 +g964 +tp4611 +a(g413 +g4572 +tp4612 +a(g827 +g964 +tp4613 +a(g682 +Vtrue +p4614 +tp4615 +a(g827 +g964 +tp4616 +a(g413 +g4477 +tp4617 +a(g827 +g964 +tp4618 +a(g682 +Vfalse +p4619 +tp4620 +a(g706 +g1082 +tp4621 +a(g827 +g964 +tp4622 +a(g413 +g4560 +tp4623 +a(g706 +g1260 +tp4624 +a(g827 +V\u000a +p4625 +tp4626 +a(g827 +V\u000a +p4627 +tp4628 +a(g574 +V%typemap +p4629 +tp4630 +a(g706 +g992 +tp4631 +a(g436 +Vjavadirectorin +p4632 +tp4633 +a(g706 +g1006 +tp4634 +a(g827 +g964 +tp4635 +a(g749 +Vbool +p4636 +tp4637 +a(g827 +g964 +tp4638 +a(g89 +g994 +tp4639 +a(g89 +V$jniinput +p4640 +tp4641 +a(g89 +g994 +tp4642 +a(g827 +V\u000a +p4643 +tp4644 +a(g574 +V%typemap +p4645 +tp4646 +a(g706 +g992 +tp4647 +a(g436 +Vjavadirectorout +p4648 +tp4649 +a(g706 +g1006 +tp4650 +a(g827 +g964 +tp4651 +a(g749 +Vbool +p4652 +tp4653 +a(g827 +g964 +tp4654 +a(g89 +g994 +tp4655 +a(g89 +V$javacall +p4656 +tp4657 +a(g89 +g994 +tp4658 +a(g827 +V\u000a +p4659 +tp4660 +a(g827 +V\u000a +p4661 +tp4662 +a(g574 +V%typemap +p4663 +tp4664 +a(g706 +g992 +tp4665 +a(g436 +Vin +p4666 +tp4667 +a(g706 +g1006 +tp4668 +a(g827 +g964 +tp4669 +a(g749 +Vchar +p4670 +tp4671 +a(g706 +g999 +tp4672 +a(g827 +V \u000a +p4673 +tp4674 +a(g749 +Vsigned +p4675 +tp4676 +a(g827 +g964 +tp4677 +a(g749 +Vchar +p4678 +tp4679 +a(g706 +g999 +tp4680 +a(g827 +V \u000a +p4681 +tp4682 +a(g749 +Vunsigned +p4683 +tp4684 +a(g827 +g964 +tp4685 +a(g749 +Vchar +p4686 +tp4687 +a(g706 +g999 +tp4688 +a(g827 +V \u000a +p4689 +tp4690 +a(g749 +Vshort +p4691 +tp4692 +a(g706 +g999 +tp4693 +a(g827 +V \u000a +p4694 +tp4695 +a(g749 +Vunsigned +p4696 +tp4697 +a(g827 +g964 +tp4698 +a(g749 +Vshort +p4699 +tp4700 +a(g706 +g999 +tp4701 +a(g827 +V \u000a +p4702 +tp4703 +a(g749 +Vint +p4704 +tp4705 +a(g706 +g999 +tp4706 +a(g827 +V \u000a +p4707 +tp4708 +a(g749 +Vunsigned +p4709 +tp4710 +a(g827 +g964 +tp4711 +a(g749 +Vint +p4712 +tp4713 +a(g706 +g999 +tp4714 +a(g827 +V \u000a +p4715 +tp4716 +a(g749 +Vlong +p4717 +tp4718 +a(g706 +g999 +tp4719 +a(g827 +V \u000a +p4720 +tp4721 +a(g749 +Vunsigned +p4722 +tp4723 +a(g827 +g964 +tp4724 +a(g749 +Vlong +p4725 +tp4726 +a(g706 +g999 +tp4727 +a(g827 +V \u000a +p4728 +tp4729 +a(g749 +Vlong +p4730 +tp4731 +a(g827 +g964 +tp4732 +a(g749 +Vlong +p4733 +tp4734 +a(g706 +g999 +tp4735 +a(g827 +V \u000a +p4736 +tp4737 +a(g749 +Vfloat +p4738 +tp4739 +a(g706 +g999 +tp4740 +a(g827 +V \u000a +p4741 +tp4742 +a(g749 +Vdouble +p4743 +tp4744 +a(g827 +V\u000a +p4745 +tp4746 +a(g413 +g4560 +tp4747 +a(g706 +g1009 +tp4748 +a(g827 +g964 +tp4749 +a(g436 +V$1 +p4750 +tp4751 +a(g827 +g964 +tp4752 +a(g413 +g1075 +tp4753 +a(g827 +g964 +tp4754 +a(g706 +g992 +tp4755 +a(g436 +V$1_ltype +p4756 +tp4757 +a(g706 +g1006 +tp4758 +a(g436 +V$input +p4759 +tp4760 +a(g706 +g1082 +tp4761 +a(g827 +g964 +tp4762 +a(g413 +g4560 +tp4763 +a(g706 +g1260 +tp4764 +a(g827 +V\u000a +p4765 +tp4766 +a(g827 +V\u000a +p4767 +tp4768 +a(g574 +V%typemap +p4769 +tp4770 +a(g706 +g992 +tp4771 +a(g436 +Vdirectorout +p4772 +tp4773 +a(g706 +g1006 +tp4774 +a(g827 +g964 +tp4775 +a(g749 +Vchar +p4776 +tp4777 +a(g706 +g999 +tp4778 +a(g827 +V \u000a +p4779 +tp4780 +a(g749 +Vsigned +p4781 +tp4782 +a(g827 +g964 +tp4783 +a(g749 +Vchar +p4784 +tp4785 +a(g706 +g999 +tp4786 +a(g827 +V \u000a +p4787 +tp4788 +a(g749 +Vunsigned +p4789 +tp4790 +a(g827 +g964 +tp4791 +a(g749 +Vchar +p4792 +tp4793 +a(g706 +g999 +tp4794 +a(g827 +V \u000a +p4795 +tp4796 +a(g749 +Vshort +p4797 +tp4798 +a(g706 +g999 +tp4799 +a(g827 +V \u000a +p4800 +tp4801 +a(g749 +Vunsigned +p4802 +tp4803 +a(g827 +g964 +tp4804 +a(g749 +Vshort +p4805 +tp4806 +a(g706 +g999 +tp4807 +a(g827 +V \u000a +p4808 +tp4809 +a(g749 +Vint +p4810 +tp4811 +a(g706 +g999 +tp4812 +a(g827 +V \u000a +p4813 +tp4814 +a(g749 +Vunsigned +p4815 +tp4816 +a(g827 +g964 +tp4817 +a(g749 +Vint +p4818 +tp4819 +a(g706 +g999 +tp4820 +a(g827 +V \u000a +p4821 +tp4822 +a(g749 +Vlong +p4823 +tp4824 +a(g706 +g999 +tp4825 +a(g827 +V \u000a +p4826 +tp4827 +a(g749 +Vunsigned +p4828 +tp4829 +a(g827 +g964 +tp4830 +a(g749 +Vlong +p4831 +tp4832 +a(g706 +g999 +tp4833 +a(g827 +V \u000a +p4834 +tp4835 +a(g749 +Vlong +p4836 +tp4837 +a(g827 +g964 +tp4838 +a(g749 +Vlong +p4839 +tp4840 +a(g706 +g999 +tp4841 +a(g827 +V \u000a +p4842 +tp4843 +a(g749 +Vfloat +p4844 +tp4845 +a(g706 +g999 +tp4846 +a(g827 +V \u000a +p4847 +tp4848 +a(g749 +Vdouble +p4849 +tp4850 +a(g827 +V\u000a +p4851 +tp4852 +a(g413 +g4560 +tp4853 +a(g706 +g1009 +tp4854 +a(g827 +g964 +tp4855 +a(g436 +V$result +p4856 +tp4857 +a(g827 +g964 +tp4858 +a(g413 +g1075 +tp4859 +a(g827 +g964 +tp4860 +a(g706 +g992 +tp4861 +a(g436 +V$1_ltype +p4862 +tp4863 +a(g706 +g1006 +tp4864 +a(g436 +V$input +p4865 +tp4866 +a(g706 +g1082 +tp4867 +a(g827 +g964 +tp4868 +a(g413 +g4560 +tp4869 +a(g706 +g1260 +tp4870 +a(g827 +V\u000a +p4871 +tp4872 +a(g827 +V\u000a +p4873 +tp4874 +a(g574 +V%typemap +p4875 +tp4876 +a(g706 +g992 +tp4877 +a(g436 +Vdirectorin +p4878 +tp4879 +a(g706 +g999 +tp4880 +a(g827 +g964 +tp4881 +a(g436 +Vdescriptor +p4882 +tp4883 +a(g413 +g1075 +tp4884 +a(g89 +g994 +tp4885 +a(g89 +VZ +p4886 +tp4887 +a(g89 +g994 +tp4888 +a(g706 +g1006 +tp4889 +a(g827 +g964 +tp4890 +a(g749 +Vbool +p4891 +tp4892 +a(g827 +V +p4893 +tp4894 +a(g89 +g994 +tp4895 +a(g89 +V$input = (jboolean) $1; +p4896 +tp4897 +a(g89 +g994 +tp4898 +a(g827 +V\u000a +p4899 +tp4900 +a(g574 +V%typemap +p4901 +tp4902 +a(g706 +g992 +tp4903 +a(g436 +Vdirectorin +p4904 +tp4905 +a(g706 +g999 +tp4906 +a(g827 +g964 +tp4907 +a(g436 +Vdescriptor +p4908 +tp4909 +a(g413 +g1075 +tp4910 +a(g89 +g994 +tp4911 +a(g89 +VC +p4912 +tp4913 +a(g89 +g994 +tp4914 +a(g706 +g1006 +tp4915 +a(g827 +g964 +tp4916 +a(g749 +Vchar +p4917 +tp4918 +a(g827 +V +p4919 +tp4920 +a(g89 +g994 +tp4921 +a(g89 +V$input = (jint) $1; +p4922 +tp4923 +a(g89 +g994 +tp4924 +a(g827 +V\u000a +p4925 +tp4926 +a(g574 +V%typemap +p4927 +tp4928 +a(g706 +g992 +tp4929 +a(g436 +Vdirectorin +p4930 +tp4931 +a(g706 +g999 +tp4932 +a(g827 +g964 +tp4933 +a(g436 +Vdescriptor +p4934 +tp4935 +a(g413 +g1075 +tp4936 +a(g89 +g994 +tp4937 +a(g89 +VB +p4938 +tp4939 +a(g89 +g994 +tp4940 +a(g706 +g1006 +tp4941 +a(g827 +g964 +tp4942 +a(g749 +Vsigned +p4943 +tp4944 +a(g827 +g964 +tp4945 +a(g749 +Vchar +p4946 +tp4947 +a(g827 +V +p4948 +tp4949 +a(g89 +g994 +tp4950 +a(g89 +V$input = (jbyte) $1; +p4951 +tp4952 +a(g89 +g994 +tp4953 +a(g827 +V\u000a +p4954 +tp4955 +a(g574 +V%typemap +p4956 +tp4957 +a(g706 +g992 +tp4958 +a(g436 +Vdirectorin +p4959 +tp4960 +a(g706 +g999 +tp4961 +a(g827 +g964 +tp4962 +a(g436 +Vdescriptor +p4963 +tp4964 +a(g413 +g1075 +tp4965 +a(g89 +g994 +tp4966 +a(g89 +VS +p4967 +tp4968 +a(g89 +g994 +tp4969 +a(g706 +g1006 +tp4970 +a(g827 +g964 +tp4971 +a(g749 +Vunsigned +p4972 +tp4973 +a(g827 +g964 +tp4974 +a(g749 +Vchar +p4975 +tp4976 +a(g827 +V +p4977 +tp4978 +a(g89 +g994 +tp4979 +a(g89 +V$input = (jshort) $1; +p4980 +tp4981 +a(g89 +g994 +tp4982 +a(g827 +V\u000a +p4983 +tp4984 +a(g574 +V%typemap +p4985 +tp4986 +a(g706 +g992 +tp4987 +a(g436 +Vdirectorin +p4988 +tp4989 +a(g706 +g999 +tp4990 +a(g827 +g964 +tp4991 +a(g436 +Vdescriptor +p4992 +tp4993 +a(g413 +g1075 +tp4994 +a(g89 +g994 +tp4995 +a(g89 +g4967 +tp4996 +a(g89 +g994 +tp4997 +a(g706 +g1006 +tp4998 +a(g827 +g964 +tp4999 +a(g749 +Vshort +p5000 +tp5001 +a(g827 +V +p5002 +tp5003 +a(g89 +g994 +tp5004 +a(g89 +V$input = (jshort) $1; +p5005 +tp5006 +a(g89 +g994 +tp5007 +a(g827 +V\u000a +p5008 +tp5009 +a(g574 +V%typemap +p5010 +tp5011 +a(g706 +g992 +tp5012 +a(g436 +Vdirectorin +p5013 +tp5014 +a(g706 +g999 +tp5015 +a(g827 +g964 +tp5016 +a(g436 +Vdescriptor +p5017 +tp5018 +a(g413 +g1075 +tp5019 +a(g89 +g994 +tp5020 +a(g89 +VI +p5021 +tp5022 +a(g89 +g994 +tp5023 +a(g706 +g1006 +tp5024 +a(g827 +g964 +tp5025 +a(g749 +Vunsigned +p5026 +tp5027 +a(g827 +g964 +tp5028 +a(g749 +Vshort +p5029 +tp5030 +a(g827 +V +p5031 +tp5032 +a(g89 +g994 +tp5033 +a(g89 +V$input = (jint) $1; +p5034 +tp5035 +a(g89 +g994 +tp5036 +a(g827 +V\u000a +p5037 +tp5038 +a(g574 +V%typemap +p5039 +tp5040 +a(g706 +g992 +tp5041 +a(g436 +Vdirectorin +p5042 +tp5043 +a(g706 +g999 +tp5044 +a(g827 +g964 +tp5045 +a(g436 +Vdescriptor +p5046 +tp5047 +a(g413 +g1075 +tp5048 +a(g89 +g994 +tp5049 +a(g89 +g5021 +tp5050 +a(g89 +g994 +tp5051 +a(g706 +g1006 +tp5052 +a(g827 +g964 +tp5053 +a(g749 +Vint +p5054 +tp5055 +a(g827 +V +p5056 +tp5057 +a(g89 +g994 +tp5058 +a(g89 +V$input = (jint) $1; +p5059 +tp5060 +a(g89 +g994 +tp5061 +a(g827 +V\u000a +p5062 +tp5063 +a(g574 +V%typemap +p5064 +tp5065 +a(g706 +g992 +tp5066 +a(g436 +Vdirectorin +p5067 +tp5068 +a(g706 +g999 +tp5069 +a(g827 +g964 +tp5070 +a(g436 +Vdescriptor +p5071 +tp5072 +a(g413 +g1075 +tp5073 +a(g89 +g994 +tp5074 +a(g89 +VJ +p5075 +tp5076 +a(g89 +g994 +tp5077 +a(g706 +g1006 +tp5078 +a(g827 +g964 +tp5079 +a(g749 +Vunsigned +p5080 +tp5081 +a(g827 +g964 +tp5082 +a(g749 +Vint +p5083 +tp5084 +a(g827 +V +p5085 +tp5086 +a(g89 +g994 +tp5087 +a(g89 +V$input = (jlong) $1; +p5088 +tp5089 +a(g89 +g994 +tp5090 +a(g827 +V\u000a +p5091 +tp5092 +a(g574 +V%typemap +p5093 +tp5094 +a(g706 +g992 +tp5095 +a(g436 +Vdirectorin +p5096 +tp5097 +a(g706 +g999 +tp5098 +a(g827 +g964 +tp5099 +a(g436 +Vdescriptor +p5100 +tp5101 +a(g413 +g1075 +tp5102 +a(g89 +g994 +tp5103 +a(g89 +g5021 +tp5104 +a(g89 +g994 +tp5105 +a(g706 +g1006 +tp5106 +a(g827 +g964 +tp5107 +a(g749 +Vlong +p5108 +tp5109 +a(g827 +V +p5110 +tp5111 +a(g89 +g994 +tp5112 +a(g89 +V$input = (jint) $1; +p5113 +tp5114 +a(g89 +g994 +tp5115 +a(g827 +V\u000a +p5116 +tp5117 +a(g574 +V%typemap +p5118 +tp5119 +a(g706 +g992 +tp5120 +a(g436 +Vdirectorin +p5121 +tp5122 +a(g706 +g999 +tp5123 +a(g827 +g964 +tp5124 +a(g436 +Vdescriptor +p5125 +tp5126 +a(g413 +g1075 +tp5127 +a(g89 +g994 +tp5128 +a(g89 +g5075 +tp5129 +a(g89 +g994 +tp5130 +a(g706 +g1006 +tp5131 +a(g827 +g964 +tp5132 +a(g749 +Vunsigned +p5133 +tp5134 +a(g827 +g964 +tp5135 +a(g749 +Vlong +p5136 +tp5137 +a(g827 +V +p5138 +tp5139 +a(g89 +g994 +tp5140 +a(g89 +V$input = (jlong) $1; +p5141 +tp5142 +a(g89 +g994 +tp5143 +a(g827 +V\u000a +p5144 +tp5145 +a(g574 +V%typemap +p5146 +tp5147 +a(g706 +g992 +tp5148 +a(g436 +Vdirectorin +p5149 +tp5150 +a(g706 +g999 +tp5151 +a(g827 +g964 +tp5152 +a(g436 +Vdescriptor +p5153 +tp5154 +a(g413 +g1075 +tp5155 +a(g89 +g994 +tp5156 +a(g89 +g5075 +tp5157 +a(g89 +g994 +tp5158 +a(g706 +g1006 +tp5159 +a(g827 +g964 +tp5160 +a(g749 +Vlong +p5161 +tp5162 +a(g827 +g964 +tp5163 +a(g749 +Vlong +p5164 +tp5165 +a(g827 +V +p5166 +tp5167 +a(g89 +g994 +tp5168 +a(g89 +V$input = (jlong) $1; +p5169 +tp5170 +a(g89 +g994 +tp5171 +a(g827 +V\u000a +p5172 +tp5173 +a(g574 +V%typemap +p5174 +tp5175 +a(g706 +g992 +tp5176 +a(g436 +Vdirectorin +p5177 +tp5178 +a(g706 +g999 +tp5179 +a(g827 +g964 +tp5180 +a(g436 +Vdescriptor +p5181 +tp5182 +a(g413 +g1075 +tp5183 +a(g89 +g994 +tp5184 +a(g89 +VF +p5185 +tp5186 +a(g89 +g994 +tp5187 +a(g706 +g1006 +tp5188 +a(g827 +g964 +tp5189 +a(g749 +Vfloat +p5190 +tp5191 +a(g827 +V +p5192 +tp5193 +a(g89 +g994 +tp5194 +a(g89 +V$input = (jfloat) $1; +p5195 +tp5196 +a(g89 +g994 +tp5197 +a(g827 +V\u000a +p5198 +tp5199 +a(g574 +V%typemap +p5200 +tp5201 +a(g706 +g992 +tp5202 +a(g436 +Vdirectorin +p5203 +tp5204 +a(g706 +g999 +tp5205 +a(g827 +g964 +tp5206 +a(g436 +Vdescriptor +p5207 +tp5208 +a(g413 +g1075 +tp5209 +a(g89 +g994 +tp5210 +a(g89 +VD +p5211 +tp5212 +a(g89 +g994 +tp5213 +a(g706 +g1006 +tp5214 +a(g827 +g964 +tp5215 +a(g749 +Vdouble +p5216 +tp5217 +a(g827 +V +p5218 +tp5219 +a(g89 +g994 +tp5220 +a(g89 +V$input = (jdouble) $1; +p5221 +tp5222 +a(g89 +g994 +tp5223 +a(g827 +V\u000a +p5224 +tp5225 +a(g827 +V\u000a +p5226 +tp5227 +a(g574 +V%typemap +p5228 +tp5229 +a(g706 +g992 +tp5230 +a(g436 +Vjavadirectorin +p5231 +tp5232 +a(g706 +g1006 +tp5233 +a(g827 +g964 +tp5234 +a(g749 +Vchar +p5235 +tp5236 +a(g706 +g999 +tp5237 +a(g827 +V \u000a +p5238 +tp5239 +a(g749 +Vsigned +p5240 +tp5241 +a(g827 +g964 +tp5242 +a(g749 +Vchar +p5243 +tp5244 +a(g706 +g999 +tp5245 +a(g827 +V \u000a +p5246 +tp5247 +a(g749 +Vunsigned +p5248 +tp5249 +a(g827 +g964 +tp5250 +a(g749 +Vchar +p5251 +tp5252 +a(g706 +g999 +tp5253 +a(g827 +V \u000a +p5254 +tp5255 +a(g749 +Vshort +p5256 +tp5257 +a(g706 +g999 +tp5258 +a(g827 +V \u000a +p5259 +tp5260 +a(g749 +Vunsigned +p5261 +tp5262 +a(g827 +g964 +tp5263 +a(g749 +Vshort +p5264 +tp5265 +a(g706 +g999 +tp5266 +a(g827 +V \u000a +p5267 +tp5268 +a(g749 +Vint +p5269 +tp5270 +a(g706 +g999 +tp5271 +a(g827 +V \u000a +p5272 +tp5273 +a(g749 +Vunsigned +p5274 +tp5275 +a(g827 +g964 +tp5276 +a(g749 +Vint +p5277 +tp5278 +a(g706 +g999 +tp5279 +a(g827 +V \u000a +p5280 +tp5281 +a(g749 +Vlong +p5282 +tp5283 +a(g706 +g999 +tp5284 +a(g827 +V \u000a +p5285 +tp5286 +a(g749 +Vunsigned +p5287 +tp5288 +a(g827 +g964 +tp5289 +a(g749 +Vlong +p5290 +tp5291 +a(g706 +g999 +tp5292 +a(g827 +V \u000a +p5293 +tp5294 +a(g749 +Vlong +p5295 +tp5296 +a(g827 +g964 +tp5297 +a(g749 +Vlong +p5298 +tp5299 +a(g706 +g999 +tp5300 +a(g827 +V \u000a +p5301 +tp5302 +a(g749 +Vfloat +p5303 +tp5304 +a(g706 +g999 +tp5305 +a(g827 +V \u000a +p5306 +tp5307 +a(g749 +Vdouble +p5308 +tp5309 +a(g827 +V\u000a +p5310 +tp5311 +a(g827 +V +p5312 +tp5313 +a(g89 +g994 +tp5314 +a(g89 +V$jniinput +p5315 +tp5316 +a(g89 +g994 +tp5317 +a(g827 +V\u000a +p5318 +tp5319 +a(g827 +V\u000a +p5320 +tp5321 +a(g574 +V%typemap +p5322 +tp5323 +a(g706 +g992 +tp5324 +a(g436 +Vjavadirectorout +p5325 +tp5326 +a(g706 +g1006 +tp5327 +a(g827 +g964 +tp5328 +a(g749 +Vchar +p5329 +tp5330 +a(g706 +g999 +tp5331 +a(g827 +V \u000a +p5332 +tp5333 +a(g749 +Vsigned +p5334 +tp5335 +a(g827 +g964 +tp5336 +a(g749 +Vchar +p5337 +tp5338 +a(g706 +g999 +tp5339 +a(g827 +V \u000a +p5340 +tp5341 +a(g749 +Vunsigned +p5342 +tp5343 +a(g827 +g964 +tp5344 +a(g749 +Vchar +p5345 +tp5346 +a(g706 +g999 +tp5347 +a(g827 +V \u000a +p5348 +tp5349 +a(g749 +Vshort +p5350 +tp5351 +a(g706 +g999 +tp5352 +a(g827 +V \u000a +p5353 +tp5354 +a(g749 +Vunsigned +p5355 +tp5356 +a(g827 +g964 +tp5357 +a(g749 +Vshort +p5358 +tp5359 +a(g706 +g999 +tp5360 +a(g827 +V \u000a +p5361 +tp5362 +a(g749 +Vint +p5363 +tp5364 +a(g706 +g999 +tp5365 +a(g827 +V \u000a +p5366 +tp5367 +a(g749 +Vunsigned +p5368 +tp5369 +a(g827 +g964 +tp5370 +a(g749 +Vint +p5371 +tp5372 +a(g706 +g999 +tp5373 +a(g827 +V \u000a +p5374 +tp5375 +a(g749 +Vlong +p5376 +tp5377 +a(g706 +g999 +tp5378 +a(g827 +V \u000a +p5379 +tp5380 +a(g749 +Vunsigned +p5381 +tp5382 +a(g827 +g964 +tp5383 +a(g749 +Vlong +p5384 +tp5385 +a(g706 +g999 +tp5386 +a(g827 +V \u000a +p5387 +tp5388 +a(g749 +Vlong +p5389 +tp5390 +a(g827 +g964 +tp5391 +a(g749 +Vlong +p5392 +tp5393 +a(g706 +g999 +tp5394 +a(g827 +V \u000a +p5395 +tp5396 +a(g749 +Vfloat +p5397 +tp5398 +a(g706 +g999 +tp5399 +a(g827 +V \u000a +p5400 +tp5401 +a(g749 +Vdouble +p5402 +tp5403 +a(g827 +V\u000a +p5404 +tp5405 +a(g827 +V +p5406 +tp5407 +a(g89 +g994 +tp5408 +a(g89 +V$javacall +p5409 +tp5410 +a(g89 +g994 +tp5411 +a(g827 +V\u000a +p5412 +tp5413 +a(g827 +V\u000a +p5414 +tp5415 +a(g574 +V%typemap +p5416 +tp5417 +a(g706 +g992 +tp5418 +a(g436 +Vout +p5419 +tp5420 +a(g706 +g1006 +tp5421 +a(g827 +g964 +tp5422 +a(g749 +Vbool +p5423 +tp5424 +a(g827 +V +p5425 +tp5426 +a(g413 +g4560 +tp5427 +a(g706 +g1009 +tp5428 +a(g827 +g964 +tp5429 +a(g436 +V$result +p5430 +tp5431 +a(g827 +g964 +tp5432 +a(g413 +g1075 +tp5433 +a(g827 +g964 +tp5434 +a(g706 +g992 +tp5435 +a(g436 +Vjboolean +p5436 +tp5437 +a(g706 +g1006 +tp5438 +a(g436 +V$1 +p5439 +tp5440 +a(g706 +g1082 +tp5441 +a(g827 +g964 +tp5442 +a(g413 +g4560 +tp5443 +a(g706 +g1260 +tp5444 +a(g827 +V\u000a +p5445 +tp5446 +a(g574 +V%typemap +p5447 +tp5448 +a(g706 +g992 +tp5449 +a(g436 +Vout +p5450 +tp5451 +a(g706 +g1006 +tp5452 +a(g827 +g964 +tp5453 +a(g749 +Vchar +p5454 +tp5455 +a(g827 +V +p5456 +tp5457 +a(g413 +g4560 +tp5458 +a(g706 +g1009 +tp5459 +a(g827 +g964 +tp5460 +a(g436 +V$result +p5461 +tp5462 +a(g827 +g964 +tp5463 +a(g413 +g1075 +tp5464 +a(g827 +g964 +tp5465 +a(g706 +g992 +tp5466 +a(g436 +Vjchar +p5467 +tp5468 +a(g706 +g1006 +tp5469 +a(g436 +V$1 +p5470 +tp5471 +a(g706 +g1082 +tp5472 +a(g827 +g964 +tp5473 +a(g413 +g4560 +tp5474 +a(g706 +g1260 +tp5475 +a(g827 +V\u000a +p5476 +tp5477 +a(g574 +V%typemap +p5478 +tp5479 +a(g706 +g992 +tp5480 +a(g436 +Vout +p5481 +tp5482 +a(g706 +g1006 +tp5483 +a(g827 +g964 +tp5484 +a(g749 +Vsigned +p5485 +tp5486 +a(g827 +g964 +tp5487 +a(g749 +Vchar +p5488 +tp5489 +a(g827 +V +p5490 +tp5491 +a(g413 +g4560 +tp5492 +a(g706 +g1009 +tp5493 +a(g827 +g964 +tp5494 +a(g436 +V$result +p5495 +tp5496 +a(g827 +g964 +tp5497 +a(g413 +g1075 +tp5498 +a(g827 +g964 +tp5499 +a(g706 +g992 +tp5500 +a(g436 +Vjbyte +p5501 +tp5502 +a(g706 +g1006 +tp5503 +a(g436 +V$1 +p5504 +tp5505 +a(g706 +g1082 +tp5506 +a(g827 +g964 +tp5507 +a(g413 +g4560 +tp5508 +a(g706 +g1260 +tp5509 +a(g827 +V\u000a +p5510 +tp5511 +a(g574 +V%typemap +p5512 +tp5513 +a(g706 +g992 +tp5514 +a(g436 +Vout +p5515 +tp5516 +a(g706 +g1006 +tp5517 +a(g827 +g964 +tp5518 +a(g749 +Vunsigned +p5519 +tp5520 +a(g827 +g964 +tp5521 +a(g749 +Vchar +p5522 +tp5523 +a(g827 +V +p5524 +tp5525 +a(g413 +g4560 +tp5526 +a(g706 +g1009 +tp5527 +a(g827 +g964 +tp5528 +a(g436 +V$result +p5529 +tp5530 +a(g827 +g964 +tp5531 +a(g413 +g1075 +tp5532 +a(g827 +g964 +tp5533 +a(g706 +g992 +tp5534 +a(g436 +Vjshort +p5535 +tp5536 +a(g706 +g1006 +tp5537 +a(g436 +V$1 +p5538 +tp5539 +a(g706 +g1082 +tp5540 +a(g827 +g964 +tp5541 +a(g413 +g4560 +tp5542 +a(g706 +g1260 +tp5543 +a(g827 +V\u000a +p5544 +tp5545 +a(g574 +V%typemap +p5546 +tp5547 +a(g706 +g992 +tp5548 +a(g436 +Vout +p5549 +tp5550 +a(g706 +g1006 +tp5551 +a(g827 +g964 +tp5552 +a(g749 +Vshort +p5553 +tp5554 +a(g827 +V +p5555 +tp5556 +a(g413 +g4560 +tp5557 +a(g706 +g1009 +tp5558 +a(g827 +g964 +tp5559 +a(g436 +V$result +p5560 +tp5561 +a(g827 +g964 +tp5562 +a(g413 +g1075 +tp5563 +a(g827 +g964 +tp5564 +a(g706 +g992 +tp5565 +a(g436 +Vjshort +p5566 +tp5567 +a(g706 +g1006 +tp5568 +a(g436 +V$1 +p5569 +tp5570 +a(g706 +g1082 +tp5571 +a(g827 +g964 +tp5572 +a(g413 +g4560 +tp5573 +a(g706 +g1260 +tp5574 +a(g827 +V\u000a +p5575 +tp5576 +a(g574 +V%typemap +p5577 +tp5578 +a(g706 +g992 +tp5579 +a(g436 +Vout +p5580 +tp5581 +a(g706 +g1006 +tp5582 +a(g827 +g964 +tp5583 +a(g749 +Vunsigned +p5584 +tp5585 +a(g827 +g964 +tp5586 +a(g749 +Vshort +p5587 +tp5588 +a(g827 +g964 +tp5589 +a(g413 +g4560 +tp5590 +a(g706 +g1009 +tp5591 +a(g827 +g964 +tp5592 +a(g436 +V$result +p5593 +tp5594 +a(g827 +g964 +tp5595 +a(g413 +g1075 +tp5596 +a(g827 +g964 +tp5597 +a(g706 +g992 +tp5598 +a(g436 +Vjint +p5599 +tp5600 +a(g706 +g1006 +tp5601 +a(g436 +V$1 +p5602 +tp5603 +a(g706 +g1082 +tp5604 +a(g827 +g964 +tp5605 +a(g413 +g4560 +tp5606 +a(g706 +g1260 +tp5607 +a(g827 +V\u000a +p5608 +tp5609 +a(g574 +V%typemap +p5610 +tp5611 +a(g706 +g992 +tp5612 +a(g436 +Vout +p5613 +tp5614 +a(g706 +g1006 +tp5615 +a(g827 +g964 +tp5616 +a(g749 +Vint +p5617 +tp5618 +a(g827 +V +p5619 +tp5620 +a(g413 +g4560 +tp5621 +a(g706 +g1009 +tp5622 +a(g827 +g964 +tp5623 +a(g436 +V$result +p5624 +tp5625 +a(g827 +g964 +tp5626 +a(g413 +g1075 +tp5627 +a(g827 +g964 +tp5628 +a(g706 +g992 +tp5629 +a(g436 +Vjint +p5630 +tp5631 +a(g706 +g1006 +tp5632 +a(g436 +V$1 +p5633 +tp5634 +a(g706 +g1082 +tp5635 +a(g827 +g964 +tp5636 +a(g413 +g4560 +tp5637 +a(g706 +g1260 +tp5638 +a(g827 +V\u000a +p5639 +tp5640 +a(g574 +V%typemap +p5641 +tp5642 +a(g706 +g992 +tp5643 +a(g436 +Vout +p5644 +tp5645 +a(g706 +g1006 +tp5646 +a(g827 +g964 +tp5647 +a(g749 +Vunsigned +p5648 +tp5649 +a(g827 +g964 +tp5650 +a(g749 +Vint +p5651 +tp5652 +a(g827 +V +p5653 +tp5654 +a(g413 +g4560 +tp5655 +a(g706 +g1009 +tp5656 +a(g827 +g964 +tp5657 +a(g436 +V$result +p5658 +tp5659 +a(g827 +g964 +tp5660 +a(g413 +g1075 +tp5661 +a(g827 +g964 +tp5662 +a(g706 +g992 +tp5663 +a(g436 +Vjlong +p5664 +tp5665 +a(g706 +g1006 +tp5666 +a(g436 +V$1 +p5667 +tp5668 +a(g706 +g1082 +tp5669 +a(g827 +g964 +tp5670 +a(g413 +g4560 +tp5671 +a(g706 +g1260 +tp5672 +a(g827 +V\u000a +p5673 +tp5674 +a(g574 +V%typemap +p5675 +tp5676 +a(g706 +g992 +tp5677 +a(g436 +Vout +p5678 +tp5679 +a(g706 +g1006 +tp5680 +a(g827 +g964 +tp5681 +a(g749 +Vlong +p5682 +tp5683 +a(g827 +V +p5684 +tp5685 +a(g413 +g4560 +tp5686 +a(g706 +g1009 +tp5687 +a(g827 +g964 +tp5688 +a(g436 +V$result +p5689 +tp5690 +a(g827 +g964 +tp5691 +a(g413 +g1075 +tp5692 +a(g827 +g964 +tp5693 +a(g706 +g992 +tp5694 +a(g436 +Vjint +p5695 +tp5696 +a(g706 +g1006 +tp5697 +a(g436 +V$1 +p5698 +tp5699 +a(g706 +g1082 +tp5700 +a(g827 +g964 +tp5701 +a(g413 +g4560 +tp5702 +a(g706 +g1260 +tp5703 +a(g827 +V\u000a +p5704 +tp5705 +a(g574 +V%typemap +p5706 +tp5707 +a(g706 +g992 +tp5708 +a(g436 +Vout +p5709 +tp5710 +a(g706 +g1006 +tp5711 +a(g827 +g964 +tp5712 +a(g749 +Vunsigned +p5713 +tp5714 +a(g827 +g964 +tp5715 +a(g749 +Vlong +p5716 +tp5717 +a(g827 +V +p5718 +tp5719 +a(g413 +g4560 +tp5720 +a(g706 +g1009 +tp5721 +a(g827 +g964 +tp5722 +a(g436 +V$result +p5723 +tp5724 +a(g827 +g964 +tp5725 +a(g413 +g1075 +tp5726 +a(g827 +g964 +tp5727 +a(g706 +g992 +tp5728 +a(g436 +Vjlong +p5729 +tp5730 +a(g706 +g1006 +tp5731 +a(g436 +V$1 +p5732 +tp5733 +a(g706 +g1082 +tp5734 +a(g827 +g964 +tp5735 +a(g413 +g4560 +tp5736 +a(g706 +g1260 +tp5737 +a(g827 +V\u000a +p5738 +tp5739 +a(g574 +V%typemap +p5740 +tp5741 +a(g706 +g992 +tp5742 +a(g436 +Vout +p5743 +tp5744 +a(g706 +g1006 +tp5745 +a(g827 +g964 +tp5746 +a(g749 +Vlong +p5747 +tp5748 +a(g827 +g964 +tp5749 +a(g749 +Vlong +p5750 +tp5751 +a(g827 +V +p5752 +tp5753 +a(g413 +g4560 +tp5754 +a(g706 +g1009 +tp5755 +a(g827 +g964 +tp5756 +a(g436 +V$result +p5757 +tp5758 +a(g827 +g964 +tp5759 +a(g413 +g1075 +tp5760 +a(g827 +g964 +tp5761 +a(g706 +g992 +tp5762 +a(g436 +Vjlong +p5763 +tp5764 +a(g706 +g1006 +tp5765 +a(g436 +V$1 +p5766 +tp5767 +a(g706 +g1082 +tp5768 +a(g827 +g964 +tp5769 +a(g413 +g4560 +tp5770 +a(g706 +g1260 +tp5771 +a(g827 +V\u000a +p5772 +tp5773 +a(g574 +V%typemap +p5774 +tp5775 +a(g706 +g992 +tp5776 +a(g436 +Vout +p5777 +tp5778 +a(g706 +g1006 +tp5779 +a(g827 +g964 +tp5780 +a(g749 +Vfloat +p5781 +tp5782 +a(g827 +V +p5783 +tp5784 +a(g413 +g4560 +tp5785 +a(g706 +g1009 +tp5786 +a(g827 +g964 +tp5787 +a(g436 +V$result +p5788 +tp5789 +a(g827 +g964 +tp5790 +a(g413 +g1075 +tp5791 +a(g827 +g964 +tp5792 +a(g706 +g992 +tp5793 +a(g436 +Vjfloat +p5794 +tp5795 +a(g706 +g1006 +tp5796 +a(g436 +V$1 +p5797 +tp5798 +a(g706 +g1082 +tp5799 +a(g827 +g964 +tp5800 +a(g413 +g4560 +tp5801 +a(g706 +g1260 +tp5802 +a(g827 +V\u000a +p5803 +tp5804 +a(g574 +V%typemap +p5805 +tp5806 +a(g706 +g992 +tp5807 +a(g436 +Vout +p5808 +tp5809 +a(g706 +g1006 +tp5810 +a(g827 +g964 +tp5811 +a(g749 +Vdouble +p5812 +tp5813 +a(g827 +V +p5814 +tp5815 +a(g413 +g4560 +tp5816 +a(g706 +g1009 +tp5817 +a(g827 +g964 +tp5818 +a(g436 +V$result +p5819 +tp5820 +a(g827 +g964 +tp5821 +a(g413 +g1075 +tp5822 +a(g827 +g964 +tp5823 +a(g706 +g992 +tp5824 +a(g436 +Vjdouble +p5825 +tp5826 +a(g706 +g1006 +tp5827 +a(g436 +V$1 +p5828 +tp5829 +a(g706 +g1082 +tp5830 +a(g827 +g964 +tp5831 +a(g413 +g4560 +tp5832 +a(g706 +g1260 +tp5833 +a(g827 +V\u000a +p5834 +tp5835 +a(g827 +V\u000a +p5836 +tp5837 +a(g8 +V/* unsigned long long */ +p5838 +tp5839 +a(g827 +V\u000a +p5840 +tp5841 +a(g8 +V/* Convert from BigInteger using the toByteArray member function */ +p5842 +tp5843 +a(g827 +V\u000a +p5844 +tp5845 +a(g574 +V%typemap +p5846 +tp5847 +a(g706 +g992 +tp5848 +a(g436 +Vin +p5849 +tp5850 +a(g706 +g1006 +tp5851 +a(g827 +g964 +tp5852 +a(g749 +Vunsigned +p5853 +tp5854 +a(g827 +g964 +tp5855 +a(g749 +Vlong +p5856 +tp5857 +a(g827 +g964 +tp5858 +a(g749 +Vlong +p5859 +tp5860 +a(g827 +g964 +tp5861 +a(g706 +g1009 +tp5862 +a(g827 +V \u000a +p5863 +tp5864 +a(g436 +Vjclass +p5865 +tp5866 +a(g827 +g964 +tp5867 +a(g436 +Vclazz +p5868 +tp5869 +a(g706 +g1082 +tp5870 +a(g827 +V\u000a +p5871 +tp5872 +a(g827 +V +p5873 +tp5874 +a(g436 +VjmethodID +p5875 +tp5876 +a(g827 +g964 +tp5877 +a(g436 +Vmid +p5878 +tp5879 +a(g706 +g1082 +tp5880 +a(g827 +V\u000a +p5881 +tp5882 +a(g827 +V +p5883 +tp5884 +a(g436 +VjbyteArray +p5885 +tp5886 +a(g827 +g964 +tp5887 +a(g436 +Vba +p5888 +tp5889 +a(g706 +g1082 +tp5890 +a(g827 +V\u000a +p5891 +tp5892 +a(g827 +V +p5893 +tp5894 +a(g436 +Vjbyte +p5895 +tp5896 +a(g413 +g1023 +tp5897 +a(g827 +g964 +tp5898 +a(g436 +Vbae +p5899 +tp5900 +a(g706 +g1082 +tp5901 +a(g827 +V\u000a +p5902 +tp5903 +a(g827 +V +p5904 +tp5905 +a(g436 +Vjsize +p5906 +tp5907 +a(g827 +g964 +tp5908 +a(g436 +Vsz +p5909 +tp5910 +a(g706 +g1082 +tp5911 +a(g827 +V\u000a +p5912 +tp5913 +a(g827 +V +p5914 +tp5915 +a(g749 +Vint +p5916 +tp5917 +a(g827 +g964 +tp5918 +a(g436 +Vi +p5919 +tp5920 +a(g706 +g1082 +tp5921 +a(g827 +V\u000a +p5922 +tp5923 +a(g827 +V\u000a +p5924 +tp5925 +a(g827 +V +p5926 +tp5927 +a(g745 +Vif +p5928 +tp5929 +a(g827 +g964 +tp5930 +a(g706 +g992 +tp5931 +a(g413 +g1162 +tp5932 +a(g436 +V$input +p5933 +tp5934 +a(g706 +g1006 +tp5935 +a(g827 +g964 +tp5936 +a(g706 +g1009 +tp5937 +a(g827 +V\u000a +p5938 +tp5939 +a(g827 +V +p5940 +tp5941 +a(g436 +VSWIG_JavaThrowException +p5942 +tp5943 +a(g706 +g992 +tp5944 +a(g436 +Vjenv +p5945 +tp5946 +a(g706 +g999 +tp5947 +a(g827 +g964 +tp5948 +a(g436 +VSWIG_JavaNullPointerException +p5949 +tp5950 +a(g706 +g999 +tp5951 +a(g827 +g964 +tp5952 +a(g89 +g994 +tp5953 +a(g89 +VBigInteger null +p5954 +tp5955 +a(g89 +g994 +tp5956 +a(g706 +g1006 +tp5957 +a(g706 +g1082 +tp5958 +a(g827 +V\u000a +p5959 +tp5960 +a(g827 +V +p5961 +tp5962 +a(g745 +Vreturn +p5963 +tp5964 +a(g827 +g964 +tp5965 +a(g436 +V$null +p5966 +tp5967 +a(g706 +g1082 +tp5968 +a(g827 +V\u000a +p5969 +tp5970 +a(g827 +V +p5971 +tp5972 +a(g706 +g1260 +tp5973 +a(g827 +V\u000a +p5974 +tp5975 +a(g827 +V +p5976 +tp5977 +a(g436 +Vclazz +p5978 +tp5979 +a(g827 +g964 +tp5980 +a(g413 +g1075 +tp5981 +a(g827 +g964 +tp5982 +a(g436 +VJCALL1 +p5983 +tp5984 +a(g706 +g992 +tp5985 +a(g436 +VGetObjectClass +p5986 +tp5987 +a(g706 +g999 +tp5988 +a(g827 +g964 +tp5989 +a(g436 +Vjenv +p5990 +tp5991 +a(g706 +g999 +tp5992 +a(g827 +g964 +tp5993 +a(g436 +V$input +p5994 +tp5995 +a(g706 +g1006 +tp5996 +a(g706 +g1082 +tp5997 +a(g827 +V\u000a +p5998 +tp5999 +a(g827 +V +p6000 +tp6001 +a(g436 +Vmid +p6002 +tp6003 +a(g827 +g964 +tp6004 +a(g413 +g1075 +tp6005 +a(g827 +g964 +tp6006 +a(g436 +VJCALL3 +p6007 +tp6008 +a(g706 +g992 +tp6009 +a(g436 +VGetMethodID +p6010 +tp6011 +a(g706 +g999 +tp6012 +a(g827 +g964 +tp6013 +a(g436 +Vjenv +p6014 +tp6015 +a(g706 +g999 +tp6016 +a(g827 +g964 +tp6017 +a(g436 +Vclazz +p6018 +tp6019 +a(g706 +g999 +tp6020 +a(g827 +g964 +tp6021 +a(g89 +g994 +tp6022 +a(g89 +VtoByteArray +p6023 +tp6024 +a(g89 +g994 +tp6025 +a(g706 +g999 +tp6026 +a(g827 +g964 +tp6027 +a(g89 +g994 +tp6028 +a(g89 +V()[B +p6029 +tp6030 +a(g89 +g994 +tp6031 +a(g706 +g1006 +tp6032 +a(g706 +g1082 +tp6033 +a(g827 +V\u000a +p6034 +tp6035 +a(g827 +V +p6036 +tp6037 +a(g436 +Vba +p6038 +tp6039 +a(g827 +g964 +tp6040 +a(g413 +g1075 +tp6041 +a(g827 +g964 +tp6042 +a(g706 +g992 +tp6043 +a(g436 +VjbyteArray +p6044 +tp6045 +a(g706 +g1006 +tp6046 +a(g436 +VJCALL2 +p6047 +tp6048 +a(g706 +g992 +tp6049 +a(g436 +VCallObjectMethod +p6050 +tp6051 +a(g706 +g999 +tp6052 +a(g827 +g964 +tp6053 +a(g436 +Vjenv +p6054 +tp6055 +a(g706 +g999 +tp6056 +a(g827 +g964 +tp6057 +a(g436 +V$input +p6058 +tp6059 +a(g706 +g999 +tp6060 +a(g827 +g964 +tp6061 +a(g436 +Vmid +p6062 +tp6063 +a(g706 +g1006 +tp6064 +a(g706 +g1082 +tp6065 +a(g827 +V\u000a +p6066 +tp6067 +a(g827 +V +p6068 +tp6069 +a(g436 +Vbae +p6070 +tp6071 +a(g827 +g964 +tp6072 +a(g413 +g1075 +tp6073 +a(g827 +g964 +tp6074 +a(g436 +VJCALL2 +p6075 +tp6076 +a(g706 +g992 +tp6077 +a(g436 +VGetByteArrayElements +p6078 +tp6079 +a(g706 +g999 +tp6080 +a(g827 +g964 +tp6081 +a(g436 +Vjenv +p6082 +tp6083 +a(g706 +g999 +tp6084 +a(g827 +g964 +tp6085 +a(g436 +Vba +p6086 +tp6087 +a(g706 +g999 +tp6088 +a(g827 +g964 +tp6089 +a(g37 +g1482 +tp6090 +a(g706 +g1006 +tp6091 +a(g706 +g1082 +tp6092 +a(g827 +V\u000a +p6093 +tp6094 +a(g827 +V +p6095 +tp6096 +a(g436 +Vsz +p6097 +tp6098 +a(g827 +g964 +tp6099 +a(g413 +g1075 +tp6100 +a(g827 +g964 +tp6101 +a(g436 +VJCALL1 +p6102 +tp6103 +a(g706 +g992 +tp6104 +a(g436 +VGetArrayLength +p6105 +tp6106 +a(g706 +g999 +tp6107 +a(g827 +g964 +tp6108 +a(g436 +Vjenv +p6109 +tp6110 +a(g706 +g999 +tp6111 +a(g827 +g964 +tp6112 +a(g436 +Vba +p6113 +tp6114 +a(g706 +g1006 +tp6115 +a(g706 +g1082 +tp6116 +a(g827 +V\u000a +p6117 +tp6118 +a(g827 +V +p6119 +tp6120 +a(g436 +V$1 +p6121 +tp6122 +a(g827 +g964 +tp6123 +a(g413 +g1075 +tp6124 +a(g827 +g964 +tp6125 +a(g37 +g1482 +tp6126 +a(g706 +g1082 +tp6127 +a(g827 +V\u000a +p6128 +tp6129 +a(g827 +V +p6130 +tp6131 +a(g745 +Vfor +p6132 +tp6133 +a(g706 +g992 +tp6134 +a(g436 +g5919 +tp6135 +a(g413 +g1075 +tp6136 +a(g37 +g1482 +tp6137 +a(g706 +g1082 +tp6138 +a(g827 +g964 +tp6139 +a(g436 +g5919 +tp6140 +a(g413 +g966 +tp6141 +a(g436 +Vsz +p6142 +tp6143 +a(g706 +g1082 +tp6144 +a(g827 +g964 +tp6145 +a(g436 +g5919 +tp6146 +a(g413 +g1144 +tp6147 +a(g413 +g1144 +tp6148 +a(g706 +g1006 +tp6149 +a(g827 +g964 +tp6150 +a(g706 +g1009 +tp6151 +a(g827 +V\u000a +p6152 +tp6153 +a(g827 +V +p6154 +tp6155 +a(g436 +V$1 +p6156 +tp6157 +a(g827 +g964 +tp6158 +a(g413 +g1075 +tp6159 +a(g827 +g964 +tp6160 +a(g706 +g992 +tp6161 +a(g436 +V$1 +p6162 +tp6163 +a(g827 +g964 +tp6164 +a(g413 +g966 +tp6165 +a(g413 +g966 +tp6166 +a(g827 +g964 +tp6167 +a(g37 +V8 +p6168 +tp6169 +a(g706 +g1006 +tp6170 +a(g827 +g964 +tp6171 +a(g413 +g1673 +tp6172 +a(g827 +g964 +tp6173 +a(g706 +g992 +tp6174 +a(g436 +V$1_type +p6175 +tp6176 +a(g706 +g1006 +tp6177 +a(g706 +g992 +tp6178 +a(g749 +Vunsigned +p6179 +tp6180 +a(g827 +g964 +tp6181 +a(g749 +Vchar +p6182 +tp6183 +a(g706 +g1006 +tp6184 +a(g436 +Vbae +p6185 +tp6186 +a(g706 +g1068 +tp6187 +a(g436 +g5919 +tp6188 +a(g706 +g1072 +tp6189 +a(g706 +g1082 +tp6190 +a(g827 +V\u000a +p6191 +tp6192 +a(g827 +V +p6193 +tp6194 +a(g706 +g1260 +tp6195 +a(g827 +V\u000a +p6196 +tp6197 +a(g827 +V +p6198 +tp6199 +a(g436 +VJCALL3 +p6200 +tp6201 +a(g706 +g992 +tp6202 +a(g436 +VReleaseByteArrayElements +p6203 +tp6204 +a(g706 +g999 +tp6205 +a(g827 +g964 +tp6206 +a(g436 +Vjenv +p6207 +tp6208 +a(g706 +g999 +tp6209 +a(g827 +g964 +tp6210 +a(g436 +Vba +p6211 +tp6212 +a(g706 +g999 +tp6213 +a(g827 +g964 +tp6214 +a(g436 +Vbae +p6215 +tp6216 +a(g706 +g999 +tp6217 +a(g827 +g964 +tp6218 +a(g37 +g1482 +tp6219 +a(g706 +g1006 +tp6220 +a(g706 +g1082 +tp6221 +a(g827 +V\u000a +p6222 +tp6223 +a(g706 +g1260 +tp6224 +a(g827 +V\u000a +p6225 +tp6226 +a(g827 +V\u000a +p6227 +tp6228 +a(g574 +V%typemap +p6229 +tp6230 +a(g706 +g992 +tp6231 +a(g436 +Vdirectorout +p6232 +tp6233 +a(g706 +g1006 +tp6234 +a(g827 +g964 +tp6235 +a(g749 +Vunsigned +p6236 +tp6237 +a(g827 +g964 +tp6238 +a(g749 +Vlong +p6239 +tp6240 +a(g827 +g964 +tp6241 +a(g749 +Vlong +p6242 +tp6243 +a(g827 +g964 +tp6244 +a(g706 +g1009 +tp6245 +a(g827 +V \u000a +p6246 +tp6247 +a(g436 +Vjclass +p6248 +tp6249 +a(g827 +g964 +tp6250 +a(g436 +Vclazz +p6251 +tp6252 +a(g706 +g1082 +tp6253 +a(g827 +V\u000a +p6254 +tp6255 +a(g827 +V +p6256 +tp6257 +a(g436 +VjmethodID +p6258 +tp6259 +a(g827 +g964 +tp6260 +a(g436 +Vmid +p6261 +tp6262 +a(g706 +g1082 +tp6263 +a(g827 +V\u000a +p6264 +tp6265 +a(g827 +V +p6266 +tp6267 +a(g436 +VjbyteArray +p6268 +tp6269 +a(g827 +g964 +tp6270 +a(g436 +Vba +p6271 +tp6272 +a(g706 +g1082 +tp6273 +a(g827 +V\u000a +p6274 +tp6275 +a(g827 +V +p6276 +tp6277 +a(g436 +Vjbyte +p6278 +tp6279 +a(g413 +g1023 +tp6280 +a(g827 +g964 +tp6281 +a(g436 +Vbae +p6282 +tp6283 +a(g706 +g1082 +tp6284 +a(g827 +V\u000a +p6285 +tp6286 +a(g827 +V +p6287 +tp6288 +a(g436 +Vjsize +p6289 +tp6290 +a(g827 +g964 +tp6291 +a(g436 +Vsz +p6292 +tp6293 +a(g706 +g1082 +tp6294 +a(g827 +V\u000a +p6295 +tp6296 +a(g827 +V +p6297 +tp6298 +a(g749 +Vint +p6299 +tp6300 +a(g827 +g964 +tp6301 +a(g436 +g5919 +tp6302 +a(g706 +g1082 +tp6303 +a(g827 +V\u000a +p6304 +tp6305 +a(g827 +V\u000a +p6306 +tp6307 +a(g827 +V +p6308 +tp6309 +a(g745 +Vif +p6310 +tp6311 +a(g827 +g964 +tp6312 +a(g706 +g992 +tp6313 +a(g413 +g1162 +tp6314 +a(g436 +V$input +p6315 +tp6316 +a(g706 +g1006 +tp6317 +a(g827 +g964 +tp6318 +a(g706 +g1009 +tp6319 +a(g827 +V\u000a +p6320 +tp6321 +a(g827 +V +p6322 +tp6323 +a(g436 +VSWIG_JavaThrowException +p6324 +tp6325 +a(g706 +g992 +tp6326 +a(g436 +Vjenv +p6327 +tp6328 +a(g706 +g999 +tp6329 +a(g827 +g964 +tp6330 +a(g436 +VSWIG_JavaNullPointerException +p6331 +tp6332 +a(g706 +g999 +tp6333 +a(g827 +g964 +tp6334 +a(g89 +g994 +tp6335 +a(g89 +VBigInteger null +p6336 +tp6337 +a(g89 +g994 +tp6338 +a(g706 +g1006 +tp6339 +a(g706 +g1082 +tp6340 +a(g827 +V\u000a +p6341 +tp6342 +a(g827 +V +p6343 +tp6344 +a(g745 +Vreturn +p6345 +tp6346 +a(g827 +g964 +tp6347 +a(g436 +V$null +p6348 +tp6349 +a(g706 +g1082 +tp6350 +a(g827 +V\u000a +p6351 +tp6352 +a(g827 +V +p6353 +tp6354 +a(g706 +g1260 +tp6355 +a(g827 +V\u000a +p6356 +tp6357 +a(g827 +V +p6358 +tp6359 +a(g436 +Vclazz +p6360 +tp6361 +a(g827 +g964 +tp6362 +a(g413 +g1075 +tp6363 +a(g827 +g964 +tp6364 +a(g436 +VJCALL1 +p6365 +tp6366 +a(g706 +g992 +tp6367 +a(g436 +VGetObjectClass +p6368 +tp6369 +a(g706 +g999 +tp6370 +a(g827 +g964 +tp6371 +a(g436 +Vjenv +p6372 +tp6373 +a(g706 +g999 +tp6374 +a(g827 +g964 +tp6375 +a(g436 +V$input +p6376 +tp6377 +a(g706 +g1006 +tp6378 +a(g706 +g1082 +tp6379 +a(g827 +V\u000a +p6380 +tp6381 +a(g827 +V +p6382 +tp6383 +a(g436 +Vmid +p6384 +tp6385 +a(g827 +g964 +tp6386 +a(g413 +g1075 +tp6387 +a(g827 +g964 +tp6388 +a(g436 +VJCALL3 +p6389 +tp6390 +a(g706 +g992 +tp6391 +a(g436 +VGetMethodID +p6392 +tp6393 +a(g706 +g999 +tp6394 +a(g827 +g964 +tp6395 +a(g436 +Vjenv +p6396 +tp6397 +a(g706 +g999 +tp6398 +a(g827 +g964 +tp6399 +a(g436 +Vclazz +p6400 +tp6401 +a(g706 +g999 +tp6402 +a(g827 +g964 +tp6403 +a(g89 +g994 +tp6404 +a(g89 +VtoByteArray +p6405 +tp6406 +a(g89 +g994 +tp6407 +a(g706 +g999 +tp6408 +a(g827 +g964 +tp6409 +a(g89 +g994 +tp6410 +a(g89 +V()[B +p6411 +tp6412 +a(g89 +g994 +tp6413 +a(g706 +g1006 +tp6414 +a(g706 +g1082 +tp6415 +a(g827 +V\u000a +p6416 +tp6417 +a(g827 +V +p6418 +tp6419 +a(g436 +Vba +p6420 +tp6421 +a(g827 +g964 +tp6422 +a(g413 +g1075 +tp6423 +a(g827 +g964 +tp6424 +a(g706 +g992 +tp6425 +a(g436 +VjbyteArray +p6426 +tp6427 +a(g706 +g1006 +tp6428 +a(g436 +VJCALL2 +p6429 +tp6430 +a(g706 +g992 +tp6431 +a(g436 +VCallObjectMethod +p6432 +tp6433 +a(g706 +g999 +tp6434 +a(g827 +g964 +tp6435 +a(g436 +Vjenv +p6436 +tp6437 +a(g706 +g999 +tp6438 +a(g827 +g964 +tp6439 +a(g436 +V$input +p6440 +tp6441 +a(g706 +g999 +tp6442 +a(g827 +g964 +tp6443 +a(g436 +Vmid +p6444 +tp6445 +a(g706 +g1006 +tp6446 +a(g706 +g1082 +tp6447 +a(g827 +V\u000a +p6448 +tp6449 +a(g827 +V +p6450 +tp6451 +a(g436 +Vbae +p6452 +tp6453 +a(g827 +g964 +tp6454 +a(g413 +g1075 +tp6455 +a(g827 +g964 +tp6456 +a(g436 +VJCALL2 +p6457 +tp6458 +a(g706 +g992 +tp6459 +a(g436 +VGetByteArrayElements +p6460 +tp6461 +a(g706 +g999 +tp6462 +a(g827 +g964 +tp6463 +a(g436 +Vjenv +p6464 +tp6465 +a(g706 +g999 +tp6466 +a(g827 +g964 +tp6467 +a(g436 +Vba +p6468 +tp6469 +a(g706 +g999 +tp6470 +a(g827 +g964 +tp6471 +a(g37 +g1482 +tp6472 +a(g706 +g1006 +tp6473 +a(g706 +g1082 +tp6474 +a(g827 +V\u000a +p6475 +tp6476 +a(g827 +V +p6477 +tp6478 +a(g436 +Vsz +p6479 +tp6480 +a(g827 +g964 +tp6481 +a(g413 +g1075 +tp6482 +a(g827 +g964 +tp6483 +a(g436 +VJCALL1 +p6484 +tp6485 +a(g706 +g992 +tp6486 +a(g436 +VGetArrayLength +p6487 +tp6488 +a(g706 +g999 +tp6489 +a(g827 +g964 +tp6490 +a(g436 +Vjenv +p6491 +tp6492 +a(g706 +g999 +tp6493 +a(g827 +g964 +tp6494 +a(g436 +Vba +p6495 +tp6496 +a(g706 +g1006 +tp6497 +a(g706 +g1082 +tp6498 +a(g827 +V\u000a +p6499 +tp6500 +a(g827 +V +p6501 +tp6502 +a(g436 +V$result +p6503 +tp6504 +a(g827 +g964 +tp6505 +a(g413 +g1075 +tp6506 +a(g827 +g964 +tp6507 +a(g37 +g1482 +tp6508 +a(g706 +g1082 +tp6509 +a(g827 +V\u000a +p6510 +tp6511 +a(g827 +V +p6512 +tp6513 +a(g745 +Vfor +p6514 +tp6515 +a(g706 +g992 +tp6516 +a(g436 +g5919 +tp6517 +a(g413 +g1075 +tp6518 +a(g37 +g1482 +tp6519 +a(g706 +g1082 +tp6520 +a(g827 +g964 +tp6521 +a(g436 +g5919 +tp6522 +a(g413 +g966 +tp6523 +a(g436 +Vsz +p6524 +tp6525 +a(g706 +g1082 +tp6526 +a(g827 +g964 +tp6527 +a(g436 +g5919 +tp6528 +a(g413 +g1144 +tp6529 +a(g413 +g1144 +tp6530 +a(g706 +g1006 +tp6531 +a(g827 +g964 +tp6532 +a(g706 +g1009 +tp6533 +a(g827 +V\u000a +p6534 +tp6535 +a(g827 +V +p6536 +tp6537 +a(g436 +V$result +p6538 +tp6539 +a(g827 +g964 +tp6540 +a(g413 +g1075 +tp6541 +a(g827 +g964 +tp6542 +a(g706 +g992 +tp6543 +a(g436 +V$result +p6544 +tp6545 +a(g827 +g964 +tp6546 +a(g413 +g966 +tp6547 +a(g413 +g966 +tp6548 +a(g827 +g964 +tp6549 +a(g37 +g6168 +tp6550 +a(g706 +g1006 +tp6551 +a(g827 +g964 +tp6552 +a(g413 +g1673 +tp6553 +a(g827 +g964 +tp6554 +a(g706 +g992 +tp6555 +a(g436 +V$1_type +p6556 +tp6557 +a(g706 +g1006 +tp6558 +a(g706 +g992 +tp6559 +a(g749 +Vunsigned +p6560 +tp6561 +a(g827 +g964 +tp6562 +a(g749 +Vchar +p6563 +tp6564 +a(g706 +g1006 +tp6565 +a(g436 +Vbae +p6566 +tp6567 +a(g706 +g1068 +tp6568 +a(g436 +g5919 +tp6569 +a(g706 +g1072 +tp6570 +a(g706 +g1082 +tp6571 +a(g827 +V\u000a +p6572 +tp6573 +a(g827 +V +p6574 +tp6575 +a(g706 +g1260 +tp6576 +a(g827 +V\u000a +p6577 +tp6578 +a(g827 +V +p6579 +tp6580 +a(g436 +VJCALL3 +p6581 +tp6582 +a(g706 +g992 +tp6583 +a(g436 +VReleaseByteArrayElements +p6584 +tp6585 +a(g706 +g999 +tp6586 +a(g827 +g964 +tp6587 +a(g436 +Vjenv +p6588 +tp6589 +a(g706 +g999 +tp6590 +a(g827 +g964 +tp6591 +a(g436 +Vba +p6592 +tp6593 +a(g706 +g999 +tp6594 +a(g827 +g964 +tp6595 +a(g436 +Vbae +p6596 +tp6597 +a(g706 +g999 +tp6598 +a(g827 +g964 +tp6599 +a(g37 +g1482 +tp6600 +a(g706 +g1006 +tp6601 +a(g706 +g1082 +tp6602 +a(g827 +V\u000a +p6603 +tp6604 +a(g706 +g1260 +tp6605 +a(g827 +V\u000a +p6606 +tp6607 +a(g827 +V\u000a +p6608 +tp6609 +a(g827 +V\u000a +p6610 +tp6611 +a(g8 +V/* Convert to BigInteger - byte array holds number in 2's complement big endian format */ +p6612 +tp6613 +a(g827 +V\u000a +p6614 +tp6615 +a(g574 +V%typemap +p6616 +tp6617 +a(g706 +g992 +tp6618 +a(g436 +Vout +p6619 +tp6620 +a(g706 +g1006 +tp6621 +a(g827 +g964 +tp6622 +a(g749 +Vunsigned +p6623 +tp6624 +a(g827 +g964 +tp6625 +a(g749 +Vlong +p6626 +tp6627 +a(g827 +g964 +tp6628 +a(g749 +Vlong +p6629 +tp6630 +a(g827 +g964 +tp6631 +a(g706 +g1009 +tp6632 +a(g827 +V \u000a +p6633 +tp6634 +a(g436 +VjbyteArray +p6635 +tp6636 +a(g827 +g964 +tp6637 +a(g436 +Vba +p6638 +tp6639 +a(g827 +g964 +tp6640 +a(g413 +g1075 +tp6641 +a(g827 +g964 +tp6642 +a(g436 +VJCALL1 +p6643 +tp6644 +a(g706 +g992 +tp6645 +a(g436 +VNewByteArray +p6646 +tp6647 +a(g706 +g999 +tp6648 +a(g827 +g964 +tp6649 +a(g436 +Vjenv +p6650 +tp6651 +a(g706 +g999 +tp6652 +a(g827 +g964 +tp6653 +a(g37 +g1497 +tp6654 +a(g706 +g1006 +tp6655 +a(g706 +g1082 +tp6656 +a(g827 +V\u000a +p6657 +tp6658 +a(g827 +V +p6659 +tp6660 +a(g436 +Vjbyte +p6661 +tp6662 +a(g413 +g1023 +tp6663 +a(g827 +g964 +tp6664 +a(g436 +Vbae +p6665 +tp6666 +a(g827 +g964 +tp6667 +a(g413 +g1075 +tp6668 +a(g827 +g964 +tp6669 +a(g436 +VJCALL2 +p6670 +tp6671 +a(g706 +g992 +tp6672 +a(g436 +VGetByteArrayElements +p6673 +tp6674 +a(g706 +g999 +tp6675 +a(g827 +g964 +tp6676 +a(g436 +Vjenv +p6677 +tp6678 +a(g706 +g999 +tp6679 +a(g827 +g964 +tp6680 +a(g436 +Vba +p6681 +tp6682 +a(g706 +g999 +tp6683 +a(g827 +g964 +tp6684 +a(g37 +g1482 +tp6685 +a(g706 +g1006 +tp6686 +a(g706 +g1082 +tp6687 +a(g827 +V\u000a +p6688 +tp6689 +a(g827 +V +p6690 +tp6691 +a(g436 +Vjclass +p6692 +tp6693 +a(g827 +g964 +tp6694 +a(g436 +Vclazz +p6695 +tp6696 +a(g827 +g964 +tp6697 +a(g413 +g1075 +tp6698 +a(g827 +g964 +tp6699 +a(g436 +VJCALL1 +p6700 +tp6701 +a(g706 +g992 +tp6702 +a(g436 +VFindClass +p6703 +tp6704 +a(g706 +g999 +tp6705 +a(g827 +g964 +tp6706 +a(g436 +Vjenv +p6707 +tp6708 +a(g706 +g999 +tp6709 +a(g827 +g964 +tp6710 +a(g89 +g994 +tp6711 +a(g89 +Vjava/math/BigInteger +p6712 +tp6713 +a(g89 +g994 +tp6714 +a(g706 +g1006 +tp6715 +a(g706 +g1082 +tp6716 +a(g827 +V\u000a +p6717 +tp6718 +a(g827 +V +p6719 +tp6720 +a(g436 +VjmethodID +p6721 +tp6722 +a(g827 +g964 +tp6723 +a(g436 +Vmid +p6724 +tp6725 +a(g827 +g964 +tp6726 +a(g413 +g1075 +tp6727 +a(g827 +g964 +tp6728 +a(g436 +VJCALL3 +p6729 +tp6730 +a(g706 +g992 +tp6731 +a(g436 +VGetMethodID +p6732 +tp6733 +a(g706 +g999 +tp6734 +a(g827 +g964 +tp6735 +a(g436 +Vjenv +p6736 +tp6737 +a(g706 +g999 +tp6738 +a(g827 +g964 +tp6739 +a(g436 +Vclazz +p6740 +tp6741 +a(g706 +g999 +tp6742 +a(g827 +g964 +tp6743 +a(g89 +g994 +tp6744 +a(g89 +V +p6745 +tp6746 +a(g89 +g994 +tp6747 +a(g706 +g999 +tp6748 +a(g827 +g964 +tp6749 +a(g89 +g994 +tp6750 +a(g89 +V([B)V +p6751 +tp6752 +a(g89 +g994 +tp6753 +a(g706 +g1006 +tp6754 +a(g706 +g1082 +tp6755 +a(g827 +V\u000a +p6756 +tp6757 +a(g827 +V +p6758 +tp6759 +a(g436 +Vjobject +p6760 +tp6761 +a(g827 +g964 +tp6762 +a(g436 +Vbigint +p6763 +tp6764 +a(g706 +g1082 +tp6765 +a(g827 +V\u000a +p6766 +tp6767 +a(g827 +V +p6768 +tp6769 +a(g749 +Vint +p6770 +tp6771 +a(g827 +g964 +tp6772 +a(g436 +g5919 +tp6773 +a(g706 +g1082 +tp6774 +a(g827 +V\u000a +p6775 +tp6776 +a(g827 +V\u000a +p6777 +tp6778 +a(g827 +V +p6779 +tp6780 +a(g436 +Vbae +p6781 +tp6782 +a(g706 +g1068 +tp6783 +a(g37 +g1482 +tp6784 +a(g706 +g1072 +tp6785 +a(g827 +g964 +tp6786 +a(g413 +g1075 +tp6787 +a(g827 +g964 +tp6788 +a(g37 +g1482 +tp6789 +a(g706 +g1082 +tp6790 +a(g827 +V\u000a +p6791 +tp6792 +a(g827 +V +p6793 +tp6794 +a(g745 +Vfor +p6795 +tp6796 +a(g706 +g992 +tp6797 +a(g436 +g5919 +tp6798 +a(g413 +g1075 +tp6799 +a(g37 +V1 +p6800 +tp6801 +a(g706 +g1082 +tp6802 +a(g827 +g964 +tp6803 +a(g436 +g5919 +tp6804 +a(g413 +g966 +tp6805 +a(g37 +g1497 +tp6806 +a(g706 +g1082 +tp6807 +a(g827 +g964 +tp6808 +a(g436 +g5919 +tp6809 +a(g413 +g1144 +tp6810 +a(g413 +g1144 +tp6811 +a(g827 +g964 +tp6812 +a(g706 +g1006 +tp6813 +a(g827 +g964 +tp6814 +a(g706 +g1009 +tp6815 +a(g827 +V\u000a +p6816 +tp6817 +a(g827 +V +p6818 +tp6819 +a(g436 +Vbae +p6820 +tp6821 +a(g706 +g1068 +tp6822 +a(g436 +g5919 +tp6823 +a(g706 +g1072 +tp6824 +a(g827 +g964 +tp6825 +a(g413 +g1075 +tp6826 +a(g827 +g964 +tp6827 +a(g706 +g992 +tp6828 +a(g436 +Vjbyte +p6829 +tp6830 +a(g706 +g1006 +tp6831 +a(g706 +g992 +tp6832 +a(g436 +V$1 +p6833 +tp6834 +a(g413 +g974 +tp6835 +a(g413 +g974 +tp6836 +a(g37 +g6168 +tp6837 +a(g413 +g1023 +tp6838 +a(g706 +g992 +tp6839 +a(g37 +g6168 +tp6840 +a(g413 +g1515 +tp6841 +a(g436 +g5919 +tp6842 +a(g706 +g1006 +tp6843 +a(g706 +g1006 +tp6844 +a(g706 +g1082 +tp6845 +a(g827 +V\u000a +p6846 +tp6847 +a(g827 +V +p6848 +tp6849 +a(g706 +g1260 +tp6850 +a(g827 +V\u000a +p6851 +tp6852 +a(g827 +V\u000a +p6853 +tp6854 +a(g827 +V +p6855 +tp6856 +a(g436 +VJCALL3 +p6857 +tp6858 +a(g706 +g992 +tp6859 +a(g436 +VReleaseByteArrayElements +p6860 +tp6861 +a(g706 +g999 +tp6862 +a(g827 +g964 +tp6863 +a(g436 +Vjenv +p6864 +tp6865 +a(g706 +g999 +tp6866 +a(g827 +g964 +tp6867 +a(g436 +Vba +p6868 +tp6869 +a(g706 +g999 +tp6870 +a(g827 +g964 +tp6871 +a(g436 +Vbae +p6872 +tp6873 +a(g706 +g999 +tp6874 +a(g827 +g964 +tp6875 +a(g37 +g1482 +tp6876 +a(g706 +g1006 +tp6877 +a(g706 +g1082 +tp6878 +a(g827 +V\u000a +p6879 +tp6880 +a(g827 +V +p6881 +tp6882 +a(g436 +Vbigint +p6883 +tp6884 +a(g827 +g964 +tp6885 +a(g413 +g1075 +tp6886 +a(g827 +g964 +tp6887 +a(g436 +VJCALL3 +p6888 +tp6889 +a(g706 +g992 +tp6890 +a(g436 +VNewObject +p6891 +tp6892 +a(g706 +g999 +tp6893 +a(g827 +g964 +tp6894 +a(g436 +Vjenv +p6895 +tp6896 +a(g706 +g999 +tp6897 +a(g827 +g964 +tp6898 +a(g436 +Vclazz +p6899 +tp6900 +a(g706 +g999 +tp6901 +a(g827 +g964 +tp6902 +a(g436 +Vmid +p6903 +tp6904 +a(g706 +g999 +tp6905 +a(g827 +g964 +tp6906 +a(g436 +Vba +p6907 +tp6908 +a(g706 +g1006 +tp6909 +a(g706 +g1082 +tp6910 +a(g827 +V\u000a +p6911 +tp6912 +a(g827 +V +p6913 +tp6914 +a(g436 +V$result +p6915 +tp6916 +a(g827 +g964 +tp6917 +a(g413 +g1075 +tp6918 +a(g827 +g964 +tp6919 +a(g436 +Vbigint +p6920 +tp6921 +a(g706 +g1082 +tp6922 +a(g827 +V\u000a +p6923 +tp6924 +a(g706 +g1260 +tp6925 +a(g827 +V\u000a +p6926 +tp6927 +a(g827 +V\u000a +p6928 +tp6929 +a(g8 +V/* Convert to BigInteger (see out typemap) */ +p6930 +tp6931 +a(g827 +V\u000a +p6932 +tp6933 +a(g574 +V%typemap +p6934 +tp6935 +a(g706 +g992 +tp6936 +a(g436 +Vdirectorin +p6937 +tp6938 +a(g706 +g999 +tp6939 +a(g827 +g964 +tp6940 +a(g436 +Vdescriptor +p6941 +tp6942 +a(g413 +g1075 +tp6943 +a(g89 +g994 +tp6944 +a(g89 +VLjava/math/BigInteger; +p6945 +tp6946 +a(g89 +g994 +tp6947 +a(g706 +g1006 +tp6948 +a(g827 +g964 +tp6949 +a(g749 +Vunsigned +p6950 +tp6951 +a(g827 +g964 +tp6952 +a(g749 +Vlong +p6953 +tp6954 +a(g827 +g964 +tp6955 +a(g749 +Vlong +p6956 +tp6957 +a(g706 +g999 +tp6958 +a(g827 +g964 +tp6959 +a(g745 +Vconst +p6960 +tp6961 +a(g827 +g964 +tp6962 +a(g749 +Vunsigned +p6963 +tp6964 +a(g827 +g964 +tp6965 +a(g749 +Vlong +p6966 +tp6967 +a(g827 +g964 +tp6968 +a(g749 +Vlong +p6969 +tp6970 +a(g827 +g964 +tp6971 +a(g413 +g1217 +tp6972 +a(g827 +g964 +tp6973 +a(g706 +g1009 +tp6974 +a(g827 +V\u000a +p6975 +tp6976 +a(g827 +V +p6977 +tp6978 +a(g436 +VjbyteArray +p6979 +tp6980 +a(g827 +g964 +tp6981 +a(g436 +Vba +p6982 +tp6983 +a(g827 +g964 +tp6984 +a(g413 +g1075 +tp6985 +a(g827 +g964 +tp6986 +a(g436 +VJCALL1 +p6987 +tp6988 +a(g706 +g992 +tp6989 +a(g436 +VNewByteArray +p6990 +tp6991 +a(g706 +g999 +tp6992 +a(g827 +g964 +tp6993 +a(g436 +Vjenv +p6994 +tp6995 +a(g706 +g999 +tp6996 +a(g827 +g964 +tp6997 +a(g37 +g1497 +tp6998 +a(g706 +g1006 +tp6999 +a(g706 +g1082 +tp7000 +a(g827 +V\u000a +p7001 +tp7002 +a(g827 +V +p7003 +tp7004 +a(g436 +Vjbyte +p7005 +tp7006 +a(g413 +g1023 +tp7007 +a(g827 +g964 +tp7008 +a(g436 +Vbae +p7009 +tp7010 +a(g827 +g964 +tp7011 +a(g413 +g1075 +tp7012 +a(g827 +g964 +tp7013 +a(g436 +VJCALL2 +p7014 +tp7015 +a(g706 +g992 +tp7016 +a(g436 +VGetByteArrayElements +p7017 +tp7018 +a(g706 +g999 +tp7019 +a(g827 +g964 +tp7020 +a(g436 +Vjenv +p7021 +tp7022 +a(g706 +g999 +tp7023 +a(g827 +g964 +tp7024 +a(g436 +Vba +p7025 +tp7026 +a(g706 +g999 +tp7027 +a(g827 +g964 +tp7028 +a(g37 +g1482 +tp7029 +a(g706 +g1006 +tp7030 +a(g706 +g1082 +tp7031 +a(g827 +V\u000a +p7032 +tp7033 +a(g827 +V +p7034 +tp7035 +a(g436 +Vjclass +p7036 +tp7037 +a(g827 +g964 +tp7038 +a(g436 +Vclazz +p7039 +tp7040 +a(g827 +g964 +tp7041 +a(g413 +g1075 +tp7042 +a(g827 +g964 +tp7043 +a(g436 +VJCALL1 +p7044 +tp7045 +a(g706 +g992 +tp7046 +a(g436 +VFindClass +p7047 +tp7048 +a(g706 +g999 +tp7049 +a(g827 +g964 +tp7050 +a(g436 +Vjenv +p7051 +tp7052 +a(g706 +g999 +tp7053 +a(g827 +g964 +tp7054 +a(g89 +g994 +tp7055 +a(g89 +Vjava/math/BigInteger +p7056 +tp7057 +a(g89 +g994 +tp7058 +a(g706 +g1006 +tp7059 +a(g706 +g1082 +tp7060 +a(g827 +V\u000a +p7061 +tp7062 +a(g827 +V +p7063 +tp7064 +a(g436 +VjmethodID +p7065 +tp7066 +a(g827 +g964 +tp7067 +a(g436 +Vmid +p7068 +tp7069 +a(g827 +g964 +tp7070 +a(g413 +g1075 +tp7071 +a(g827 +g964 +tp7072 +a(g436 +VJCALL3 +p7073 +tp7074 +a(g706 +g992 +tp7075 +a(g436 +VGetMethodID +p7076 +tp7077 +a(g706 +g999 +tp7078 +a(g827 +g964 +tp7079 +a(g436 +Vjenv +p7080 +tp7081 +a(g706 +g999 +tp7082 +a(g827 +g964 +tp7083 +a(g436 +Vclazz +p7084 +tp7085 +a(g706 +g999 +tp7086 +a(g827 +g964 +tp7087 +a(g89 +g994 +tp7088 +a(g89 +V +p7089 +tp7090 +a(g89 +g994 +tp7091 +a(g706 +g999 +tp7092 +a(g827 +g964 +tp7093 +a(g89 +g994 +tp7094 +a(g89 +V([B)V +p7095 +tp7096 +a(g89 +g994 +tp7097 +a(g706 +g1006 +tp7098 +a(g706 +g1082 +tp7099 +a(g827 +V\u000a +p7100 +tp7101 +a(g827 +V +p7102 +tp7103 +a(g436 +Vjobject +p7104 +tp7105 +a(g827 +g964 +tp7106 +a(g436 +Vbigint +p7107 +tp7108 +a(g706 +g1082 +tp7109 +a(g827 +V\u000a +p7110 +tp7111 +a(g827 +V +p7112 +tp7113 +a(g749 +Vint +p7114 +tp7115 +a(g827 +g964 +tp7116 +a(g436 +Vswig_i +p7117 +tp7118 +a(g706 +g1082 +tp7119 +a(g827 +V\u000a +p7120 +tp7121 +a(g827 +V\u000a +p7122 +tp7123 +a(g827 +V +p7124 +tp7125 +a(g436 +Vbae +p7126 +tp7127 +a(g706 +g1068 +tp7128 +a(g37 +g1482 +tp7129 +a(g706 +g1072 +tp7130 +a(g827 +g964 +tp7131 +a(g413 +g1075 +tp7132 +a(g827 +g964 +tp7133 +a(g37 +g1482 +tp7134 +a(g706 +g1082 +tp7135 +a(g827 +V\u000a +p7136 +tp7137 +a(g827 +V +p7138 +tp7139 +a(g745 +Vfor +p7140 +tp7141 +a(g706 +g992 +tp7142 +a(g436 +Vswig_i +p7143 +tp7144 +a(g413 +g1075 +tp7145 +a(g37 +g6800 +tp7146 +a(g706 +g1082 +tp7147 +a(g827 +g964 +tp7148 +a(g436 +Vswig_i +p7149 +tp7150 +a(g413 +g966 +tp7151 +a(g37 +g1497 +tp7152 +a(g706 +g1082 +tp7153 +a(g827 +g964 +tp7154 +a(g436 +Vswig_i +p7155 +tp7156 +a(g413 +g1144 +tp7157 +a(g413 +g1144 +tp7158 +a(g827 +g964 +tp7159 +a(g706 +g1006 +tp7160 +a(g827 +g964 +tp7161 +a(g706 +g1009 +tp7162 +a(g827 +V\u000a +p7163 +tp7164 +a(g827 +V +p7165 +tp7166 +a(g436 +Vbae +p7167 +tp7168 +a(g706 +g1068 +tp7169 +a(g436 +Vswig_i +p7170 +tp7171 +a(g706 +g1072 +tp7172 +a(g827 +g964 +tp7173 +a(g413 +g1075 +tp7174 +a(g827 +g964 +tp7175 +a(g706 +g992 +tp7176 +a(g436 +Vjbyte +p7177 +tp7178 +a(g706 +g1006 +tp7179 +a(g706 +g992 +tp7180 +a(g436 +V$1 +p7181 +tp7182 +a(g413 +g974 +tp7183 +a(g413 +g974 +tp7184 +a(g37 +g6168 +tp7185 +a(g413 +g1023 +tp7186 +a(g706 +g992 +tp7187 +a(g37 +g6168 +tp7188 +a(g413 +g1515 +tp7189 +a(g436 +Vswig_i +p7190 +tp7191 +a(g706 +g1006 +tp7192 +a(g706 +g1006 +tp7193 +a(g706 +g1082 +tp7194 +a(g827 +V\u000a +p7195 +tp7196 +a(g827 +V +p7197 +tp7198 +a(g706 +g1260 +tp7199 +a(g827 +V\u000a +p7200 +tp7201 +a(g827 +V\u000a +p7202 +tp7203 +a(g827 +V +p7204 +tp7205 +a(g436 +VJCALL3 +p7206 +tp7207 +a(g706 +g992 +tp7208 +a(g436 +VReleaseByteArrayElements +p7209 +tp7210 +a(g706 +g999 +tp7211 +a(g827 +g964 +tp7212 +a(g436 +Vjenv +p7213 +tp7214 +a(g706 +g999 +tp7215 +a(g827 +g964 +tp7216 +a(g436 +Vba +p7217 +tp7218 +a(g706 +g999 +tp7219 +a(g827 +g964 +tp7220 +a(g436 +Vbae +p7221 +tp7222 +a(g706 +g999 +tp7223 +a(g827 +g964 +tp7224 +a(g37 +g1482 +tp7225 +a(g706 +g1006 +tp7226 +a(g706 +g1082 +tp7227 +a(g827 +V\u000a +p7228 +tp7229 +a(g827 +V +p7230 +tp7231 +a(g436 +Vbigint +p7232 +tp7233 +a(g827 +g964 +tp7234 +a(g413 +g1075 +tp7235 +a(g827 +g964 +tp7236 +a(g436 +VJCALL3 +p7237 +tp7238 +a(g706 +g992 +tp7239 +a(g436 +VNewObject +p7240 +tp7241 +a(g706 +g999 +tp7242 +a(g827 +g964 +tp7243 +a(g436 +Vjenv +p7244 +tp7245 +a(g706 +g999 +tp7246 +a(g827 +g964 +tp7247 +a(g436 +Vclazz +p7248 +tp7249 +a(g706 +g999 +tp7250 +a(g827 +g964 +tp7251 +a(g436 +Vmid +p7252 +tp7253 +a(g706 +g999 +tp7254 +a(g827 +g964 +tp7255 +a(g436 +Vba +p7256 +tp7257 +a(g706 +g1006 +tp7258 +a(g706 +g1082 +tp7259 +a(g827 +V\u000a +p7260 +tp7261 +a(g827 +V +p7262 +tp7263 +a(g436 +V$input +p7264 +tp7265 +a(g827 +g964 +tp7266 +a(g413 +g1075 +tp7267 +a(g827 +g964 +tp7268 +a(g436 +Vbigint +p7269 +tp7270 +a(g706 +g1082 +tp7271 +a(g827 +V\u000a +p7272 +tp7273 +a(g706 +g1260 +tp7274 +a(g827 +V\u000a +p7275 +tp7276 +a(g827 +V\u000a +p7277 +tp7278 +a(g574 +V%typemap +p7279 +tp7280 +a(g706 +g992 +tp7281 +a(g436 +Vjavadirectorin +p7282 +tp7283 +a(g706 +g1006 +tp7284 +a(g827 +g964 +tp7285 +a(g749 +Vunsigned +p7286 +tp7287 +a(g827 +g964 +tp7288 +a(g749 +Vlong +p7289 +tp7290 +a(g827 +g964 +tp7291 +a(g749 +Vlong +p7292 +tp7293 +a(g827 +g964 +tp7294 +a(g89 +g994 +tp7295 +a(g89 +V$jniinput +p7296 +tp7297 +a(g89 +g994 +tp7298 +a(g827 +V\u000a +p7299 +tp7300 +a(g574 +V%typemap +p7301 +tp7302 +a(g706 +g992 +tp7303 +a(g436 +Vjavadirectorout +p7304 +tp7305 +a(g706 +g1006 +tp7306 +a(g827 +g964 +tp7307 +a(g749 +Vunsigned +p7308 +tp7309 +a(g827 +g964 +tp7310 +a(g749 +Vlong +p7311 +tp7312 +a(g827 +g964 +tp7313 +a(g749 +Vlong +p7314 +tp7315 +a(g827 +g964 +tp7316 +a(g89 +g994 +tp7317 +a(g89 +V$javacall +p7318 +tp7319 +a(g89 +g994 +tp7320 +a(g827 +V\u000a +p7321 +tp7322 +a(g827 +V\u000a +p7323 +tp7324 +a(g8 +V/* char * - treat as String */ +p7325 +tp7326 +a(g827 +V\u000a +p7327 +tp7328 +a(g574 +V%typemap +p7329 +tp7330 +a(g706 +g992 +tp7331 +a(g436 +Vin +p7332 +tp7333 +a(g706 +g999 +tp7334 +a(g827 +g964 +tp7335 +a(g436 +Vnoblock +p7336 +tp7337 +a(g413 +g1075 +tp7338 +a(g37 +g6800 +tp7339 +a(g706 +g1006 +tp7340 +a(g827 +g964 +tp7341 +a(g749 +Vchar +p7342 +tp7343 +a(g827 +g964 +tp7344 +a(g413 +g1023 +tp7345 +a(g827 +g964 +tp7346 +a(g706 +g1009 +tp7347 +a(g827 +V\u000a +p7348 +tp7349 +a(g827 +g964 +tp7350 +a(g436 +V$1 +p7351 +tp7352 +a(g827 +g964 +tp7353 +a(g413 +g1075 +tp7354 +a(g827 +g964 +tp7355 +a(g37 +g1482 +tp7356 +a(g706 +g1082 +tp7357 +a(g827 +V\u000a +p7358 +tp7359 +a(g827 +V +p7360 +tp7361 +a(g745 +Vif +p7362 +tp7363 +a(g827 +g964 +tp7364 +a(g706 +g992 +tp7365 +a(g436 +V$input +p7366 +tp7367 +a(g706 +g1006 +tp7368 +a(g827 +g964 +tp7369 +a(g706 +g1009 +tp7370 +a(g827 +V\u000a +p7371 +tp7372 +a(g827 +V +p7373 +tp7374 +a(g436 +V$1 +p7375 +tp7376 +a(g827 +g964 +tp7377 +a(g413 +g1075 +tp7378 +a(g827 +g964 +tp7379 +a(g706 +g992 +tp7380 +a(g436 +V$1_ltype +p7381 +tp7382 +a(g706 +g1006 +tp7383 +a(g436 +VJCALL2 +p7384 +tp7385 +a(g706 +g992 +tp7386 +a(g436 +VGetStringUTFChars +p7387 +tp7388 +a(g706 +g999 +tp7389 +a(g827 +g964 +tp7390 +a(g436 +Vjenv +p7391 +tp7392 +a(g706 +g999 +tp7393 +a(g827 +g964 +tp7394 +a(g436 +V$input +p7395 +tp7396 +a(g706 +g999 +tp7397 +a(g827 +g964 +tp7398 +a(g37 +g1482 +tp7399 +a(g706 +g1006 +tp7400 +a(g706 +g1082 +tp7401 +a(g827 +V\u000a +p7402 +tp7403 +a(g827 +V +p7404 +tp7405 +a(g745 +Vif +p7406 +tp7407 +a(g827 +g964 +tp7408 +a(g706 +g992 +tp7409 +a(g413 +g1162 +tp7410 +a(g436 +V$1 +p7411 +tp7412 +a(g706 +g1006 +tp7413 +a(g827 +g964 +tp7414 +a(g745 +Vreturn +p7415 +tp7416 +a(g827 +g964 +tp7417 +a(g436 +V$null +p7418 +tp7419 +a(g706 +g1082 +tp7420 +a(g827 +V\u000a +p7421 +tp7422 +a(g827 +V +p7423 +tp7424 +a(g706 +g1260 +tp7425 +a(g827 +V\u000a +p7426 +tp7427 +a(g706 +g1260 +tp7428 +a(g827 +V\u000a +p7429 +tp7430 +a(g827 +V\u000a +p7431 +tp7432 +a(g574 +V%typemap +p7433 +tp7434 +a(g706 +g992 +tp7435 +a(g436 +Vdirectorout +p7436 +tp7437 +a(g706 +g999 +tp7438 +a(g827 +g964 +tp7439 +a(g436 +Vnoblock +p7440 +tp7441 +a(g413 +g1075 +tp7442 +a(g37 +g6800 +tp7443 +a(g706 +g999 +tp7444 +a(g827 +g964 +tp7445 +a(g436 +Vwarning +p7446 +tp7447 +a(g413 +g1075 +tp7448 +a(g436 +VSWIGWARN_TYPEMAP_DIRECTOROUT_PTR_MSG +p7449 +tp7450 +a(g706 +g1006 +tp7451 +a(g827 +g964 +tp7452 +a(g749 +Vchar +p7453 +tp7454 +a(g827 +g964 +tp7455 +a(g413 +g1023 +tp7456 +a(g827 +g964 +tp7457 +a(g706 +g1009 +tp7458 +a(g827 +V\u000a +p7459 +tp7460 +a(g827 +V +p7461 +tp7462 +a(g436 +V$1 +p7463 +tp7464 +a(g827 +g964 +tp7465 +a(g413 +g1075 +tp7466 +a(g827 +g964 +tp7467 +a(g37 +g1482 +tp7468 +a(g706 +g1082 +tp7469 +a(g827 +V\u000a +p7470 +tp7471 +a(g827 +V +p7472 +tp7473 +a(g745 +Vif +p7474 +tp7475 +a(g827 +g964 +tp7476 +a(g706 +g992 +tp7477 +a(g436 +V$input +p7478 +tp7479 +a(g706 +g1006 +tp7480 +a(g827 +g964 +tp7481 +a(g706 +g1009 +tp7482 +a(g827 +V\u000a +p7483 +tp7484 +a(g827 +V +p7485 +tp7486 +a(g436 +V$result +p7487 +tp7488 +a(g827 +g964 +tp7489 +a(g413 +g1075 +tp7490 +a(g827 +g964 +tp7491 +a(g706 +g992 +tp7492 +a(g436 +V$1_ltype +p7493 +tp7494 +a(g706 +g1006 +tp7495 +a(g436 +VJCALL2 +p7496 +tp7497 +a(g706 +g992 +tp7498 +a(g436 +VGetStringUTFChars +p7499 +tp7500 +a(g706 +g999 +tp7501 +a(g827 +g964 +tp7502 +a(g436 +Vjenv +p7503 +tp7504 +a(g706 +g999 +tp7505 +a(g827 +g964 +tp7506 +a(g436 +V$input +p7507 +tp7508 +a(g706 +g999 +tp7509 +a(g827 +g964 +tp7510 +a(g37 +g1482 +tp7511 +a(g706 +g1006 +tp7512 +a(g706 +g1082 +tp7513 +a(g827 +V\u000a +p7514 +tp7515 +a(g827 +V +p7516 +tp7517 +a(g745 +Vif +p7518 +tp7519 +a(g827 +g964 +tp7520 +a(g706 +g992 +tp7521 +a(g413 +g1162 +tp7522 +a(g436 +V$result +p7523 +tp7524 +a(g706 +g1006 +tp7525 +a(g827 +g964 +tp7526 +a(g745 +Vreturn +p7527 +tp7528 +a(g827 +g964 +tp7529 +a(g436 +V$null +p7530 +tp7531 +a(g706 +g1082 +tp7532 +a(g827 +V\u000a +p7533 +tp7534 +a(g827 +V +p7535 +tp7536 +a(g706 +g1260 +tp7537 +a(g827 +V\u000a +p7538 +tp7539 +a(g706 +g1260 +tp7540 +a(g827 +V\u000a +p7541 +tp7542 +a(g827 +V\u000a +p7543 +tp7544 +a(g574 +V%typemap +p7545 +tp7546 +a(g706 +g992 +tp7547 +a(g436 +Vdirectorin +p7548 +tp7549 +a(g706 +g999 +tp7550 +a(g827 +g964 +tp7551 +a(g436 +Vdescriptor +p7552 +tp7553 +a(g413 +g1075 +tp7554 +a(g89 +g994 +tp7555 +a(g89 +VLjava/lang/String; +p7556 +tp7557 +a(g89 +g994 +tp7558 +a(g706 +g999 +tp7559 +a(g827 +g964 +tp7560 +a(g436 +Vnoblock +p7561 +tp7562 +a(g413 +g1075 +tp7563 +a(g37 +g6800 +tp7564 +a(g706 +g1006 +tp7565 +a(g827 +g964 +tp7566 +a(g749 +Vchar +p7567 +tp7568 +a(g827 +g964 +tp7569 +a(g413 +g1023 +tp7570 +a(g827 +g964 +tp7571 +a(g706 +g1009 +tp7572 +a(g827 +V\u000a +p7573 +tp7574 +a(g827 +g964 +tp7575 +a(g436 +V$input +p7576 +tp7577 +a(g827 +g964 +tp7578 +a(g413 +g1075 +tp7579 +a(g827 +g964 +tp7580 +a(g37 +g1482 +tp7581 +a(g706 +g1082 +tp7582 +a(g827 +V\u000a +p7583 +tp7584 +a(g827 +V +p7585 +tp7586 +a(g745 +Vif +p7587 +tp7588 +a(g827 +g964 +tp7589 +a(g706 +g992 +tp7590 +a(g436 +V$1 +p7591 +tp7592 +a(g706 +g1006 +tp7593 +a(g827 +g964 +tp7594 +a(g706 +g1009 +tp7595 +a(g827 +V\u000a +p7596 +tp7597 +a(g827 +V +p7598 +tp7599 +a(g436 +V$input +p7600 +tp7601 +a(g827 +g964 +tp7602 +a(g413 +g1075 +tp7603 +a(g827 +g964 +tp7604 +a(g436 +VJCALL1 +p7605 +tp7606 +a(g706 +g992 +tp7607 +a(g436 +VNewStringUTF +p7608 +tp7609 +a(g706 +g999 +tp7610 +a(g827 +g964 +tp7611 +a(g436 +Vjenv +p7612 +tp7613 +a(g706 +g999 +tp7614 +a(g827 +g964 +tp7615 +a(g706 +g992 +tp7616 +a(g745 +Vconst +p7617 +tp7618 +a(g827 +g964 +tp7619 +a(g749 +Vchar +p7620 +tp7621 +a(g827 +g964 +tp7622 +a(g413 +g1023 +tp7623 +a(g706 +g1006 +tp7624 +a(g436 +V$1 +p7625 +tp7626 +a(g706 +g1006 +tp7627 +a(g706 +g1082 +tp7628 +a(g827 +V\u000a +p7629 +tp7630 +a(g827 +V +p7631 +tp7632 +a(g745 +Vif +p7633 +tp7634 +a(g827 +g964 +tp7635 +a(g706 +g992 +tp7636 +a(g413 +g1162 +tp7637 +a(g436 +V$input +p7638 +tp7639 +a(g706 +g1006 +tp7640 +a(g827 +g964 +tp7641 +a(g745 +Vreturn +p7642 +tp7643 +a(g827 +g964 +tp7644 +a(g436 +V$null +p7645 +tp7646 +a(g706 +g1082 +tp7647 +a(g827 +V\u000a +p7648 +tp7649 +a(g827 +V +p7650 +tp7651 +a(g706 +g1260 +tp7652 +a(g827 +V\u000a +p7653 +tp7654 +a(g706 +g1260 +tp7655 +a(g827 +V\u000a +p7656 +tp7657 +a(g827 +V\u000a +p7658 +tp7659 +a(g574 +V%typemap +p7660 +tp7661 +a(g706 +g992 +tp7662 +a(g436 +Vfreearg +p7663 +tp7664 +a(g706 +g999 +tp7665 +a(g827 +g964 +tp7666 +a(g436 +Vnoblock +p7667 +tp7668 +a(g413 +g1075 +tp7669 +a(g37 +g6800 +tp7670 +a(g706 +g1006 +tp7671 +a(g827 +g964 +tp7672 +a(g749 +Vchar +p7673 +tp7674 +a(g827 +g964 +tp7675 +a(g413 +g1023 +tp7676 +a(g827 +g964 +tp7677 +a(g706 +g1009 +tp7678 +a(g827 +g964 +tp7679 +a(g745 +Vif +p7680 +tp7681 +a(g827 +g964 +tp7682 +a(g706 +g992 +tp7683 +a(g436 +V$1 +p7684 +tp7685 +a(g706 +g1006 +tp7686 +a(g827 +g964 +tp7687 +a(g436 +VJCALL2 +p7688 +tp7689 +a(g706 +g992 +tp7690 +a(g436 +VReleaseStringUTFChars +p7691 +tp7692 +a(g706 +g999 +tp7693 +a(g827 +g964 +tp7694 +a(g436 +Vjenv +p7695 +tp7696 +a(g706 +g999 +tp7697 +a(g827 +g964 +tp7698 +a(g436 +V$input +p7699 +tp7700 +a(g706 +g999 +tp7701 +a(g827 +g964 +tp7702 +a(g706 +g992 +tp7703 +a(g745 +Vconst +p7704 +tp7705 +a(g827 +g964 +tp7706 +a(g749 +Vchar +p7707 +tp7708 +a(g827 +g964 +tp7709 +a(g413 +g1023 +tp7710 +a(g706 +g1006 +tp7711 +a(g436 +V$1 +p7712 +tp7713 +a(g706 +g1006 +tp7714 +a(g706 +g1082 +tp7715 +a(g827 +g964 +tp7716 +a(g706 +g1260 +tp7717 +a(g827 +V\u000a +p7718 +tp7719 +a(g574 +V%typemap +p7720 +tp7721 +a(g706 +g992 +tp7722 +a(g436 +Vout +p7723 +tp7724 +a(g706 +g999 +tp7725 +a(g827 +g964 +tp7726 +a(g436 +Vnoblock +p7727 +tp7728 +a(g413 +g1075 +tp7729 +a(g37 +g6800 +tp7730 +a(g706 +g1006 +tp7731 +a(g827 +g964 +tp7732 +a(g749 +Vchar +p7733 +tp7734 +a(g827 +g964 +tp7735 +a(g413 +g1023 +tp7736 +a(g827 +g964 +tp7737 +a(g706 +g1009 +tp7738 +a(g827 +g964 +tp7739 +a(g745 +Vif +p7740 +tp7741 +a(g827 +g964 +tp7742 +a(g706 +g992 +tp7743 +a(g436 +V$1 +p7744 +tp7745 +a(g706 +g1006 +tp7746 +a(g827 +g964 +tp7747 +a(g436 +V$result +p7748 +tp7749 +a(g827 +g964 +tp7750 +a(g413 +g1075 +tp7751 +a(g827 +g964 +tp7752 +a(g436 +VJCALL1 +p7753 +tp7754 +a(g706 +g992 +tp7755 +a(g436 +VNewStringUTF +p7756 +tp7757 +a(g706 +g999 +tp7758 +a(g827 +g964 +tp7759 +a(g436 +Vjenv +p7760 +tp7761 +a(g706 +g999 +tp7762 +a(g827 +g964 +tp7763 +a(g706 +g992 +tp7764 +a(g745 +Vconst +p7765 +tp7766 +a(g827 +g964 +tp7767 +a(g749 +Vchar +p7768 +tp7769 +a(g827 +g964 +tp7770 +a(g413 +g1023 +tp7771 +a(g706 +g1006 +tp7772 +a(g436 +V$1 +p7773 +tp7774 +a(g706 +g1006 +tp7775 +a(g706 +g1082 +tp7776 +a(g827 +g964 +tp7777 +a(g706 +g1260 +tp7778 +a(g827 +V\u000a +p7779 +tp7780 +a(g574 +V%typemap +p7781 +tp7782 +a(g706 +g992 +tp7783 +a(g436 +Vjavadirectorin +p7784 +tp7785 +a(g706 +g1006 +tp7786 +a(g827 +g964 +tp7787 +a(g749 +Vchar +p7788 +tp7789 +a(g827 +g964 +tp7790 +a(g413 +g1023 +tp7791 +a(g827 +g964 +tp7792 +a(g89 +g994 +tp7793 +a(g89 +V$jniinput +p7794 +tp7795 +a(g89 +g994 +tp7796 +a(g827 +V\u000a +p7797 +tp7798 +a(g574 +V%typemap +p7799 +tp7800 +a(g706 +g992 +tp7801 +a(g436 +Vjavadirectorout +p7802 +tp7803 +a(g706 +g1006 +tp7804 +a(g827 +g964 +tp7805 +a(g749 +Vchar +p7806 +tp7807 +a(g827 +g964 +tp7808 +a(g413 +g1023 +tp7809 +a(g827 +g964 +tp7810 +a(g89 +g994 +tp7811 +a(g89 +V$javacall +p7812 +tp7813 +a(g89 +g994 +tp7814 +a(g827 +V\u000a +p7815 +tp7816 +a(g827 +V\u000a +p7817 +tp7818 +a(g8 +V/* char *& - treat as String */ +p7819 +tp7820 +a(g827 +V\u000a +p7821 +tp7822 +a(g574 +V%typemap +p7823 +tp7824 +a(g706 +g992 +tp7825 +a(g436 +Vin +p7826 +tp7827 +a(g706 +g999 +tp7828 +a(g827 +g964 +tp7829 +a(g436 +Vnoblock +p7830 +tp7831 +a(g413 +g1075 +tp7832 +a(g37 +g6800 +tp7833 +a(g706 +g1006 +tp7834 +a(g827 +g964 +tp7835 +a(g749 +Vchar +p7836 +tp7837 +a(g827 +g964 +tp7838 +a(g413 +g1023 +tp7839 +a(g413 +g1217 +tp7840 +a(g827 +g964 +tp7841 +a(g706 +g992 +tp7842 +a(g436 +V$*1_ltype +p7843 +tp7844 +a(g827 +g964 +tp7845 +a(g436 +Vtemp +p7846 +tp7847 +a(g827 +g964 +tp7848 +a(g413 +g1075 +tp7849 +a(g827 +g964 +tp7850 +a(g37 +g1482 +tp7851 +a(g706 +g1006 +tp7852 +a(g827 +g964 +tp7853 +a(g706 +g1009 +tp7854 +a(g827 +V\u000a +p7855 +tp7856 +a(g827 +g964 +tp7857 +a(g436 +V$1 +p7858 +tp7859 +a(g827 +g964 +tp7860 +a(g413 +g1075 +tp7861 +a(g827 +g964 +tp7862 +a(g37 +g1482 +tp7863 +a(g706 +g1082 +tp7864 +a(g827 +V\u000a +p7865 +tp7866 +a(g827 +V +p7867 +tp7868 +a(g745 +Vif +p7869 +tp7870 +a(g827 +g964 +tp7871 +a(g706 +g992 +tp7872 +a(g436 +V$input +p7873 +tp7874 +a(g706 +g1006 +tp7875 +a(g827 +g964 +tp7876 +a(g706 +g1009 +tp7877 +a(g827 +V\u000a +p7878 +tp7879 +a(g827 +V +p7880 +tp7881 +a(g436 +Vtemp +p7882 +tp7883 +a(g827 +g964 +tp7884 +a(g413 +g1075 +tp7885 +a(g827 +g964 +tp7886 +a(g706 +g992 +tp7887 +a(g436 +V$*1_ltype +p7888 +tp7889 +a(g706 +g1006 +tp7890 +a(g436 +VJCALL2 +p7891 +tp7892 +a(g706 +g992 +tp7893 +a(g436 +VGetStringUTFChars +p7894 +tp7895 +a(g706 +g999 +tp7896 +a(g827 +g964 +tp7897 +a(g436 +Vjenv +p7898 +tp7899 +a(g706 +g999 +tp7900 +a(g827 +g964 +tp7901 +a(g436 +V$input +p7902 +tp7903 +a(g706 +g999 +tp7904 +a(g827 +g964 +tp7905 +a(g37 +g1482 +tp7906 +a(g706 +g1006 +tp7907 +a(g706 +g1082 +tp7908 +a(g827 +V\u000a +p7909 +tp7910 +a(g827 +V +p7911 +tp7912 +a(g745 +Vif +p7913 +tp7914 +a(g827 +g964 +tp7915 +a(g706 +g992 +tp7916 +a(g413 +g1162 +tp7917 +a(g436 +Vtemp +p7918 +tp7919 +a(g706 +g1006 +tp7920 +a(g827 +g964 +tp7921 +a(g745 +Vreturn +p7922 +tp7923 +a(g827 +g964 +tp7924 +a(g436 +V$null +p7925 +tp7926 +a(g706 +g1082 +tp7927 +a(g827 +V\u000a +p7928 +tp7929 +a(g827 +V +p7930 +tp7931 +a(g706 +g1260 +tp7932 +a(g827 +V\u000a +p7933 +tp7934 +a(g827 +V +p7935 +tp7936 +a(g436 +V$1 +p7937 +tp7938 +a(g827 +g964 +tp7939 +a(g413 +g1075 +tp7940 +a(g827 +g964 +tp7941 +a(g413 +g1217 +tp7942 +a(g436 +Vtemp +p7943 +tp7944 +a(g706 +g1082 +tp7945 +a(g827 +V\u000a +p7946 +tp7947 +a(g706 +g1260 +tp7948 +a(g827 +V\u000a +p7949 +tp7950 +a(g574 +V%typemap +p7951 +tp7952 +a(g706 +g992 +tp7953 +a(g436 +Vfreearg +p7954 +tp7955 +a(g706 +g999 +tp7956 +a(g827 +g964 +tp7957 +a(g436 +Vnoblock +p7958 +tp7959 +a(g413 +g1075 +tp7960 +a(g37 +g6800 +tp7961 +a(g706 +g1006 +tp7962 +a(g827 +g964 +tp7963 +a(g749 +Vchar +p7964 +tp7965 +a(g827 +g964 +tp7966 +a(g413 +g1023 +tp7967 +a(g413 +g1217 +tp7968 +a(g827 +g964 +tp7969 +a(g706 +g1009 +tp7970 +a(g827 +g964 +tp7971 +a(g745 +Vif +p7972 +tp7973 +a(g827 +g964 +tp7974 +a(g706 +g992 +tp7975 +a(g436 +V$1 +p7976 +tp7977 +a(g827 +g964 +tp7978 +a(g413 +g1217 +tp7979 +a(g413 +g1217 +tp7980 +a(g827 +g964 +tp7981 +a(g413 +g1023 +tp7982 +a(g436 +V$1 +p7983 +tp7984 +a(g706 +g1006 +tp7985 +a(g827 +g964 +tp7986 +a(g436 +VJCALL2 +p7987 +tp7988 +a(g706 +g992 +tp7989 +a(g436 +VReleaseStringUTFChars +p7990 +tp7991 +a(g706 +g999 +tp7992 +a(g827 +g964 +tp7993 +a(g436 +Vjenv +p7994 +tp7995 +a(g706 +g999 +tp7996 +a(g827 +g964 +tp7997 +a(g436 +V$input +p7998 +tp7999 +a(g706 +g999 +tp8000 +a(g827 +g964 +tp8001 +a(g706 +g992 +tp8002 +a(g745 +Vconst +p8003 +tp8004 +a(g827 +g964 +tp8005 +a(g749 +Vchar +p8006 +tp8007 +a(g827 +g964 +tp8008 +a(g413 +g1023 +tp8009 +a(g706 +g1006 +tp8010 +a(g413 +g1023 +tp8011 +a(g436 +V$1 +p8012 +tp8013 +a(g706 +g1006 +tp8014 +a(g706 +g1082 +tp8015 +a(g827 +g964 +tp8016 +a(g706 +g1260 +tp8017 +a(g827 +V\u000a +p8018 +tp8019 +a(g574 +V%typemap +p8020 +tp8021 +a(g706 +g992 +tp8022 +a(g436 +Vout +p8023 +tp8024 +a(g706 +g999 +tp8025 +a(g827 +g964 +tp8026 +a(g436 +Vnoblock +p8027 +tp8028 +a(g413 +g1075 +tp8029 +a(g37 +g6800 +tp8030 +a(g706 +g1006 +tp8031 +a(g827 +g964 +tp8032 +a(g749 +Vchar +p8033 +tp8034 +a(g827 +g964 +tp8035 +a(g413 +g1023 +tp8036 +a(g413 +g1217 +tp8037 +a(g827 +g964 +tp8038 +a(g706 +g1009 +tp8039 +a(g827 +g964 +tp8040 +a(g745 +Vif +p8041 +tp8042 +a(g827 +g964 +tp8043 +a(g706 +g992 +tp8044 +a(g413 +g1023 +tp8045 +a(g436 +V$1 +p8046 +tp8047 +a(g706 +g1006 +tp8048 +a(g827 +g964 +tp8049 +a(g436 +V$result +p8050 +tp8051 +a(g827 +g964 +tp8052 +a(g413 +g1075 +tp8053 +a(g827 +g964 +tp8054 +a(g436 +VJCALL1 +p8055 +tp8056 +a(g706 +g992 +tp8057 +a(g436 +VNewStringUTF +p8058 +tp8059 +a(g706 +g999 +tp8060 +a(g827 +g964 +tp8061 +a(g436 +Vjenv +p8062 +tp8063 +a(g706 +g999 +tp8064 +a(g827 +g964 +tp8065 +a(g706 +g992 +tp8066 +a(g745 +Vconst +p8067 +tp8068 +a(g827 +g964 +tp8069 +a(g749 +Vchar +p8070 +tp8071 +a(g827 +g964 +tp8072 +a(g413 +g1023 +tp8073 +a(g706 +g1006 +tp8074 +a(g413 +g1023 +tp8075 +a(g436 +V$1 +p8076 +tp8077 +a(g706 +g1006 +tp8078 +a(g706 +g1082 +tp8079 +a(g827 +g964 +tp8080 +a(g706 +g1260 +tp8081 +a(g827 +V\u000a +p8082 +tp8083 +a(g827 +V\u000a +p8084 +tp8085 +a(g574 +V%typemap +p8086 +tp8087 +a(g706 +g992 +tp8088 +a(g436 +Vout +p8089 +tp8090 +a(g706 +g1006 +tp8091 +a(g827 +g964 +tp8092 +a(g749 +Vvoid +p8093 +tp8094 +a(g827 +g964 +tp8095 +a(g89 +g994 +tp8096 +a(g89 +g994 +tp8097 +a(g827 +V\u000a +p8098 +tp8099 +a(g574 +V%typemap +p8100 +tp8101 +a(g706 +g992 +tp8102 +a(g436 +Vjavadirectorin +p8103 +tp8104 +a(g706 +g1006 +tp8105 +a(g827 +g964 +tp8106 +a(g749 +Vvoid +p8107 +tp8108 +a(g827 +g964 +tp8109 +a(g89 +g994 +tp8110 +a(g89 +V$jniinput +p8111 +tp8112 +a(g89 +g994 +tp8113 +a(g827 +V\u000a +p8114 +tp8115 +a(g574 +V%typemap +p8116 +tp8117 +a(g706 +g992 +tp8118 +a(g436 +Vjavadirectorout +p8119 +tp8120 +a(g706 +g1006 +tp8121 +a(g827 +g964 +tp8122 +a(g749 +Vvoid +p8123 +tp8124 +a(g827 +g964 +tp8125 +a(g89 +g994 +tp8126 +a(g89 +V$javacall +p8127 +tp8128 +a(g89 +g994 +tp8129 +a(g827 +V\u000a +p8130 +tp8131 +a(g574 +V%typemap +p8132 +tp8133 +a(g706 +g992 +tp8134 +a(g436 +Vdirectorin +p8135 +tp8136 +a(g706 +g999 +tp8137 +a(g827 +g964 +tp8138 +a(g436 +Vdescriptor +p8139 +tp8140 +a(g413 +g1075 +tp8141 +a(g89 +g994 +tp8142 +a(g89 +VV +p8143 +tp8144 +a(g89 +g994 +tp8145 +a(g706 +g1006 +tp8146 +a(g827 +g964 +tp8147 +a(g749 +Vvoid +p8148 +tp8149 +a(g827 +g964 +tp8150 +a(g89 +g994 +tp8151 +a(g89 +g994 +tp8152 +a(g827 +V\u000a +p8153 +tp8154 +a(g827 +V\u000a +p8155 +tp8156 +a(g8 +V/* primitive types by reference */ +p8157 +tp8158 +a(g827 +V\u000a +p8159 +tp8160 +a(g574 +V%typemap +p8161 +tp8162 +a(g706 +g992 +tp8163 +a(g436 +Vin +p8164 +tp8165 +a(g706 +g1006 +tp8166 +a(g827 +g964 +tp8167 +a(g745 +Vconst +p8168 +tp8169 +a(g827 +g964 +tp8170 +a(g749 +Vbool +p8171 +tp8172 +a(g827 +g964 +tp8173 +a(g413 +g1217 +tp8174 +a(g827 +g964 +tp8175 +a(g706 +g992 +tp8176 +a(g436 +V$*1_ltype +p8177 +tp8178 +a(g827 +g964 +tp8179 +a(g436 +Vtemp +p8180 +tp8181 +a(g706 +g1006 +tp8182 +a(g827 +V\u000a +p8183 +tp8184 +a(g413 +g4560 +tp8185 +a(g706 +g1009 +tp8186 +a(g827 +g964 +tp8187 +a(g436 +Vtemp +p8188 +tp8189 +a(g827 +g964 +tp8190 +a(g413 +g1075 +tp8191 +a(g827 +g964 +tp8192 +a(g436 +V$input +p8193 +tp8194 +a(g827 +g964 +tp8195 +a(g413 +g4572 +tp8196 +a(g827 +g964 +tp8197 +a(g682 +Vtrue +p8198 +tp8199 +a(g827 +g964 +tp8200 +a(g413 +g4477 +tp8201 +a(g827 +g964 +tp8202 +a(g682 +Vfalse +p8203 +tp8204 +a(g706 +g1082 +tp8205 +a(g827 +V \u000a +p8206 +tp8207 +a(g436 +V$1 +p8208 +tp8209 +a(g827 +g964 +tp8210 +a(g413 +g1075 +tp8211 +a(g827 +g964 +tp8212 +a(g413 +g1217 +tp8213 +a(g436 +Vtemp +p8214 +tp8215 +a(g706 +g1082 +tp8216 +a(g827 +g964 +tp8217 +a(g413 +g4560 +tp8218 +a(g706 +g1260 +tp8219 +a(g827 +V\u000a +p8220 +tp8221 +a(g827 +V\u000a +p8222 +tp8223 +a(g574 +V%typemap +p8224 +tp8225 +a(g706 +g992 +tp8226 +a(g436 +Vdirectorout +p8227 +tp8228 +a(g706 +g999 +tp8229 +a(g436 +Vwarning +p8230 +tp8231 +a(g413 +g1075 +tp8232 +a(g436 +VSWIGWARN_TYPEMAP_THREAD_UNSAFE_MSG +p8233 +tp8234 +a(g706 +g1006 +tp8235 +a(g827 +g964 +tp8236 +a(g745 +Vconst +p8237 +tp8238 +a(g827 +g964 +tp8239 +a(g749 +Vbool +p8240 +tp8241 +a(g827 +g964 +tp8242 +a(g413 +g1217 +tp8243 +a(g827 +V\u000a +p8244 +tp8245 +a(g413 +g4560 +tp8246 +a(g706 +g1009 +tp8247 +a(g827 +g964 +tp8248 +a(g745 +Vstatic +p8249 +tp8250 +a(g827 +g964 +tp8251 +a(g436 +V$*1_ltype +p8252 +tp8253 +a(g827 +g964 +tp8254 +a(g436 +Vtemp +p8255 +tp8256 +a(g706 +g1082 +tp8257 +a(g827 +V\u000a +p8258 +tp8259 +a(g827 +V +p8260 +tp8261 +a(g436 +Vtemp +p8262 +tp8263 +a(g827 +g964 +tp8264 +a(g413 +g1075 +tp8265 +a(g827 +g964 +tp8266 +a(g436 +V$input +p8267 +tp8268 +a(g827 +g964 +tp8269 +a(g413 +g4572 +tp8270 +a(g827 +g964 +tp8271 +a(g682 +Vtrue +p8272 +tp8273 +a(g827 +g964 +tp8274 +a(g413 +g4477 +tp8275 +a(g827 +g964 +tp8276 +a(g682 +Vfalse +p8277 +tp8278 +a(g706 +g1082 +tp8279 +a(g827 +V \u000a +p8280 +tp8281 +a(g436 +V$result +p8282 +tp8283 +a(g827 +g964 +tp8284 +a(g413 +g1075 +tp8285 +a(g827 +g964 +tp8286 +a(g413 +g1217 +tp8287 +a(g436 +Vtemp +p8288 +tp8289 +a(g706 +g1082 +tp8290 +a(g827 +g964 +tp8291 +a(g413 +g4560 +tp8292 +a(g706 +g1260 +tp8293 +a(g827 +V\u000a +p8294 +tp8295 +a(g827 +V\u000a +p8296 +tp8297 +a(g574 +V%typemap +p8298 +tp8299 +a(g706 +g992 +tp8300 +a(g436 +Vjavadirectorin +p8301 +tp8302 +a(g706 +g1006 +tp8303 +a(g827 +g964 +tp8304 +a(g745 +Vconst +p8305 +tp8306 +a(g827 +g964 +tp8307 +a(g749 +Vbool +p8308 +tp8309 +a(g827 +g964 +tp8310 +a(g413 +g1217 +tp8311 +a(g827 +g964 +tp8312 +a(g89 +g994 +tp8313 +a(g89 +V$jniinput +p8314 +tp8315 +a(g89 +g994 +tp8316 +a(g827 +V\u000a +p8317 +tp8318 +a(g574 +V%typemap +p8319 +tp8320 +a(g706 +g992 +tp8321 +a(g436 +Vjavadirectorout +p8322 +tp8323 +a(g706 +g1006 +tp8324 +a(g827 +g964 +tp8325 +a(g745 +Vconst +p8326 +tp8327 +a(g827 +g964 +tp8328 +a(g749 +Vbool +p8329 +tp8330 +a(g827 +g964 +tp8331 +a(g413 +g1217 +tp8332 +a(g827 +g964 +tp8333 +a(g89 +g994 +tp8334 +a(g89 +V$javacall +p8335 +tp8336 +a(g89 +g994 +tp8337 +a(g827 +V\u000a +p8338 +tp8339 +a(g827 +V\u000a +p8340 +tp8341 +a(g574 +V%typemap +p8342 +tp8343 +a(g706 +g992 +tp8344 +a(g436 +Vin +p8345 +tp8346 +a(g706 +g1006 +tp8347 +a(g827 +g964 +tp8348 +a(g745 +Vconst +p8349 +tp8350 +a(g827 +g964 +tp8351 +a(g749 +Vchar +p8352 +tp8353 +a(g827 +g964 +tp8354 +a(g413 +g1217 +tp8355 +a(g827 +g964 +tp8356 +a(g706 +g992 +tp8357 +a(g436 +V$*1_ltype +p8358 +tp8359 +a(g827 +g964 +tp8360 +a(g436 +Vtemp +p8361 +tp8362 +a(g706 +g1006 +tp8363 +a(g706 +g999 +tp8364 +a(g827 +V \u000a +p8365 +tp8366 +a(g745 +Vconst +p8367 +tp8368 +a(g827 +g964 +tp8369 +a(g749 +Vsigned +p8370 +tp8371 +a(g827 +g964 +tp8372 +a(g749 +Vchar +p8373 +tp8374 +a(g827 +g964 +tp8375 +a(g413 +g1217 +tp8376 +a(g827 +g964 +tp8377 +a(g706 +g992 +tp8378 +a(g436 +V$*1_ltype +p8379 +tp8380 +a(g827 +g964 +tp8381 +a(g436 +Vtemp +p8382 +tp8383 +a(g706 +g1006 +tp8384 +a(g706 +g999 +tp8385 +a(g827 +V \u000a +p8386 +tp8387 +a(g745 +Vconst +p8388 +tp8389 +a(g827 +g964 +tp8390 +a(g749 +Vunsigned +p8391 +tp8392 +a(g827 +g964 +tp8393 +a(g749 +Vchar +p8394 +tp8395 +a(g827 +g964 +tp8396 +a(g413 +g1217 +tp8397 +a(g827 +g964 +tp8398 +a(g706 +g992 +tp8399 +a(g436 +V$*1_ltype +p8400 +tp8401 +a(g827 +g964 +tp8402 +a(g436 +Vtemp +p8403 +tp8404 +a(g706 +g1006 +tp8405 +a(g706 +g999 +tp8406 +a(g827 +V \u000a +p8407 +tp8408 +a(g745 +Vconst +p8409 +tp8410 +a(g827 +g964 +tp8411 +a(g749 +Vshort +p8412 +tp8413 +a(g827 +g964 +tp8414 +a(g413 +g1217 +tp8415 +a(g827 +g964 +tp8416 +a(g706 +g992 +tp8417 +a(g436 +V$*1_ltype +p8418 +tp8419 +a(g827 +g964 +tp8420 +a(g436 +Vtemp +p8421 +tp8422 +a(g706 +g1006 +tp8423 +a(g706 +g999 +tp8424 +a(g827 +V \u000a +p8425 +tp8426 +a(g745 +Vconst +p8427 +tp8428 +a(g827 +g964 +tp8429 +a(g749 +Vunsigned +p8430 +tp8431 +a(g827 +g964 +tp8432 +a(g749 +Vshort +p8433 +tp8434 +a(g827 +g964 +tp8435 +a(g413 +g1217 +tp8436 +a(g827 +g964 +tp8437 +a(g706 +g992 +tp8438 +a(g436 +V$*1_ltype +p8439 +tp8440 +a(g827 +g964 +tp8441 +a(g436 +Vtemp +p8442 +tp8443 +a(g706 +g1006 +tp8444 +a(g706 +g999 +tp8445 +a(g827 +V \u000a +p8446 +tp8447 +a(g745 +Vconst +p8448 +tp8449 +a(g827 +g964 +tp8450 +a(g749 +Vint +p8451 +tp8452 +a(g827 +g964 +tp8453 +a(g413 +g1217 +tp8454 +a(g827 +g964 +tp8455 +a(g706 +g992 +tp8456 +a(g436 +V$*1_ltype +p8457 +tp8458 +a(g827 +g964 +tp8459 +a(g436 +Vtemp +p8460 +tp8461 +a(g706 +g1006 +tp8462 +a(g706 +g999 +tp8463 +a(g827 +V \u000a +p8464 +tp8465 +a(g745 +Vconst +p8466 +tp8467 +a(g827 +g964 +tp8468 +a(g749 +Vunsigned +p8469 +tp8470 +a(g827 +g964 +tp8471 +a(g749 +Vint +p8472 +tp8473 +a(g827 +g964 +tp8474 +a(g413 +g1217 +tp8475 +a(g827 +g964 +tp8476 +a(g706 +g992 +tp8477 +a(g436 +V$*1_ltype +p8478 +tp8479 +a(g827 +g964 +tp8480 +a(g436 +Vtemp +p8481 +tp8482 +a(g706 +g1006 +tp8483 +a(g706 +g999 +tp8484 +a(g827 +V \u000a +p8485 +tp8486 +a(g745 +Vconst +p8487 +tp8488 +a(g827 +g964 +tp8489 +a(g749 +Vlong +p8490 +tp8491 +a(g827 +g964 +tp8492 +a(g413 +g1217 +tp8493 +a(g827 +g964 +tp8494 +a(g706 +g992 +tp8495 +a(g436 +V$*1_ltype +p8496 +tp8497 +a(g827 +g964 +tp8498 +a(g436 +Vtemp +p8499 +tp8500 +a(g706 +g1006 +tp8501 +a(g706 +g999 +tp8502 +a(g827 +V \u000a +p8503 +tp8504 +a(g745 +Vconst +p8505 +tp8506 +a(g827 +g964 +tp8507 +a(g749 +Vunsigned +p8508 +tp8509 +a(g827 +g964 +tp8510 +a(g749 +Vlong +p8511 +tp8512 +a(g827 +g964 +tp8513 +a(g413 +g1217 +tp8514 +a(g827 +g964 +tp8515 +a(g706 +g992 +tp8516 +a(g436 +V$*1_ltype +p8517 +tp8518 +a(g827 +g964 +tp8519 +a(g436 +Vtemp +p8520 +tp8521 +a(g706 +g1006 +tp8522 +a(g706 +g999 +tp8523 +a(g827 +V \u000a +p8524 +tp8525 +a(g745 +Vconst +p8526 +tp8527 +a(g827 +g964 +tp8528 +a(g749 +Vlong +p8529 +tp8530 +a(g827 +g964 +tp8531 +a(g749 +Vlong +p8532 +tp8533 +a(g827 +g964 +tp8534 +a(g413 +g1217 +tp8535 +a(g827 +g964 +tp8536 +a(g706 +g992 +tp8537 +a(g436 +V$*1_ltype +p8538 +tp8539 +a(g827 +g964 +tp8540 +a(g436 +Vtemp +p8541 +tp8542 +a(g706 +g1006 +tp8543 +a(g706 +g999 +tp8544 +a(g827 +V \u000a +p8545 +tp8546 +a(g745 +Vconst +p8547 +tp8548 +a(g827 +g964 +tp8549 +a(g749 +Vfloat +p8550 +tp8551 +a(g827 +g964 +tp8552 +a(g413 +g1217 +tp8553 +a(g827 +g964 +tp8554 +a(g706 +g992 +tp8555 +a(g436 +V$*1_ltype +p8556 +tp8557 +a(g827 +g964 +tp8558 +a(g436 +Vtemp +p8559 +tp8560 +a(g706 +g1006 +tp8561 +a(g706 +g999 +tp8562 +a(g827 +V \u000a +p8563 +tp8564 +a(g745 +Vconst +p8565 +tp8566 +a(g827 +g964 +tp8567 +a(g749 +Vdouble +p8568 +tp8569 +a(g827 +g964 +tp8570 +a(g413 +g1217 +tp8571 +a(g827 +g964 +tp8572 +a(g706 +g992 +tp8573 +a(g436 +V$*1_ltype +p8574 +tp8575 +a(g827 +g964 +tp8576 +a(g436 +Vtemp +p8577 +tp8578 +a(g706 +g1006 +tp8579 +a(g827 +V\u000a +p8580 +tp8581 +a(g413 +g4560 +tp8582 +a(g706 +g1009 +tp8583 +a(g827 +g964 +tp8584 +a(g436 +Vtemp +p8585 +tp8586 +a(g827 +g964 +tp8587 +a(g413 +g1075 +tp8588 +a(g827 +g964 +tp8589 +a(g706 +g992 +tp8590 +a(g436 +V$*1_ltype +p8591 +tp8592 +a(g706 +g1006 +tp8593 +a(g436 +V$input +p8594 +tp8595 +a(g706 +g1082 +tp8596 +a(g827 +V \u000a +p8597 +tp8598 +a(g436 +V$1 +p8599 +tp8600 +a(g827 +g964 +tp8601 +a(g413 +g1075 +tp8602 +a(g827 +g964 +tp8603 +a(g413 +g1217 +tp8604 +a(g436 +Vtemp +p8605 +tp8606 +a(g706 +g1082 +tp8607 +a(g827 +g964 +tp8608 +a(g413 +g4560 +tp8609 +a(g706 +g1260 +tp8610 +a(g827 +V\u000a +p8611 +tp8612 +a(g827 +V\u000a +p8613 +tp8614 +a(g574 +V%typemap +p8615 +tp8616 +a(g706 +g992 +tp8617 +a(g436 +Vdirectorout +p8618 +tp8619 +a(g706 +g999 +tp8620 +a(g436 +Vwarning +p8621 +tp8622 +a(g413 +g1075 +tp8623 +a(g436 +VSWIGWARN_TYPEMAP_THREAD_UNSAFE_MSG +p8624 +tp8625 +a(g706 +g1006 +tp8626 +a(g827 +g964 +tp8627 +a(g745 +Vconst +p8628 +tp8629 +a(g827 +g964 +tp8630 +a(g749 +Vchar +p8631 +tp8632 +a(g827 +g964 +tp8633 +a(g413 +g1217 +tp8634 +a(g706 +g999 +tp8635 +a(g827 +V\u000a +p8636 +tp8637 +a(g827 +V +p8638 +tp8639 +a(g745 +Vconst +p8640 +tp8641 +a(g827 +g964 +tp8642 +a(g749 +Vsigned +p8643 +tp8644 +a(g827 +g964 +tp8645 +a(g749 +Vchar +p8646 +tp8647 +a(g827 +g964 +tp8648 +a(g413 +g1217 +tp8649 +a(g706 +g999 +tp8650 +a(g827 +V\u000a +p8651 +tp8652 +a(g827 +V +p8653 +tp8654 +a(g745 +Vconst +p8655 +tp8656 +a(g827 +g964 +tp8657 +a(g749 +Vunsigned +p8658 +tp8659 +a(g827 +g964 +tp8660 +a(g749 +Vchar +p8661 +tp8662 +a(g827 +g964 +tp8663 +a(g413 +g1217 +tp8664 +a(g706 +g999 +tp8665 +a(g827 +V\u000a +p8666 +tp8667 +a(g827 +V +p8668 +tp8669 +a(g745 +Vconst +p8670 +tp8671 +a(g827 +g964 +tp8672 +a(g749 +Vshort +p8673 +tp8674 +a(g827 +g964 +tp8675 +a(g413 +g1217 +tp8676 +a(g706 +g999 +tp8677 +a(g827 +V\u000a +p8678 +tp8679 +a(g827 +V +p8680 +tp8681 +a(g745 +Vconst +p8682 +tp8683 +a(g827 +g964 +tp8684 +a(g749 +Vunsigned +p8685 +tp8686 +a(g827 +g964 +tp8687 +a(g749 +Vshort +p8688 +tp8689 +a(g827 +g964 +tp8690 +a(g413 +g1217 +tp8691 +a(g706 +g999 +tp8692 +a(g827 +V\u000a +p8693 +tp8694 +a(g827 +V +p8695 +tp8696 +a(g745 +Vconst +p8697 +tp8698 +a(g827 +g964 +tp8699 +a(g749 +Vint +p8700 +tp8701 +a(g827 +g964 +tp8702 +a(g413 +g1217 +tp8703 +a(g706 +g999 +tp8704 +a(g827 +V\u000a +p8705 +tp8706 +a(g827 +V +p8707 +tp8708 +a(g745 +Vconst +p8709 +tp8710 +a(g827 +g964 +tp8711 +a(g749 +Vunsigned +p8712 +tp8713 +a(g827 +g964 +tp8714 +a(g749 +Vint +p8715 +tp8716 +a(g827 +g964 +tp8717 +a(g413 +g1217 +tp8718 +a(g706 +g999 +tp8719 +a(g827 +V\u000a +p8720 +tp8721 +a(g827 +V +p8722 +tp8723 +a(g745 +Vconst +p8724 +tp8725 +a(g827 +g964 +tp8726 +a(g749 +Vlong +p8727 +tp8728 +a(g827 +g964 +tp8729 +a(g413 +g1217 +tp8730 +a(g706 +g999 +tp8731 +a(g827 +V\u000a +p8732 +tp8733 +a(g827 +V +p8734 +tp8735 +a(g745 +Vconst +p8736 +tp8737 +a(g827 +g964 +tp8738 +a(g749 +Vunsigned +p8739 +tp8740 +a(g827 +g964 +tp8741 +a(g749 +Vlong +p8742 +tp8743 +a(g827 +g964 +tp8744 +a(g413 +g1217 +tp8745 +a(g706 +g999 +tp8746 +a(g827 +V\u000a +p8747 +tp8748 +a(g827 +V +p8749 +tp8750 +a(g745 +Vconst +p8751 +tp8752 +a(g827 +g964 +tp8753 +a(g749 +Vlong +p8754 +tp8755 +a(g827 +g964 +tp8756 +a(g749 +Vlong +p8757 +tp8758 +a(g827 +g964 +tp8759 +a(g413 +g1217 +tp8760 +a(g706 +g999 +tp8761 +a(g827 +V\u000a +p8762 +tp8763 +a(g827 +V +p8764 +tp8765 +a(g745 +Vconst +p8766 +tp8767 +a(g827 +g964 +tp8768 +a(g749 +Vfloat +p8769 +tp8770 +a(g827 +g964 +tp8771 +a(g413 +g1217 +tp8772 +a(g706 +g999 +tp8773 +a(g827 +V\u000a +p8774 +tp8775 +a(g827 +V +p8776 +tp8777 +a(g745 +Vconst +p8778 +tp8779 +a(g827 +g964 +tp8780 +a(g749 +Vdouble +p8781 +tp8782 +a(g827 +g964 +tp8783 +a(g413 +g1217 +tp8784 +a(g827 +V\u000a +p8785 +tp8786 +a(g413 +g4560 +tp8787 +a(g706 +g1009 +tp8788 +a(g827 +g964 +tp8789 +a(g745 +Vstatic +p8790 +tp8791 +a(g827 +g964 +tp8792 +a(g436 +V$*1_ltype +p8793 +tp8794 +a(g827 +g964 +tp8795 +a(g436 +Vtemp +p8796 +tp8797 +a(g706 +g1082 +tp8798 +a(g827 +V\u000a +p8799 +tp8800 +a(g827 +V +p8801 +tp8802 +a(g436 +Vtemp +p8803 +tp8804 +a(g827 +g964 +tp8805 +a(g413 +g1075 +tp8806 +a(g827 +g964 +tp8807 +a(g706 +g992 +tp8808 +a(g436 +V$*1_ltype +p8809 +tp8810 +a(g706 +g1006 +tp8811 +a(g436 +V$input +p8812 +tp8813 +a(g706 +g1082 +tp8814 +a(g827 +V \u000a +p8815 +tp8816 +a(g436 +V$result +p8817 +tp8818 +a(g827 +g964 +tp8819 +a(g413 +g1075 +tp8820 +a(g827 +g964 +tp8821 +a(g413 +g1217 +tp8822 +a(g436 +Vtemp +p8823 +tp8824 +a(g706 +g1082 +tp8825 +a(g827 +g964 +tp8826 +a(g413 +g4560 +tp8827 +a(g706 +g1260 +tp8828 +a(g827 +V\u000a +p8829 +tp8830 +a(g827 +V\u000a +p8831 +tp8832 +a(g574 +V%typemap +p8833 +tp8834 +a(g706 +g992 +tp8835 +a(g436 +Vdirectorin +p8836 +tp8837 +a(g706 +g999 +tp8838 +a(g827 +g964 +tp8839 +a(g436 +Vdescriptor +p8840 +tp8841 +a(g413 +g1075 +tp8842 +a(g89 +g994 +tp8843 +a(g89 +g4886 +tp8844 +a(g89 +g994 +tp8845 +a(g706 +g1006 +tp8846 +a(g827 +g964 +tp8847 +a(g745 +Vconst +p8848 +tp8849 +a(g827 +g964 +tp8850 +a(g749 +Vbool +p8851 +tp8852 +a(g827 +g964 +tp8853 +a(g413 +g1217 +tp8854 +a(g827 +V +p8855 +tp8856 +a(g89 +g994 +tp8857 +a(g89 +V$input = (jboolean)$1; +p8858 +tp8859 +a(g89 +g994 +tp8860 +a(g827 +V\u000a +p8861 +tp8862 +a(g574 +V%typemap +p8863 +tp8864 +a(g706 +g992 +tp8865 +a(g436 +Vdirectorin +p8866 +tp8867 +a(g706 +g999 +tp8868 +a(g827 +g964 +tp8869 +a(g436 +Vdescriptor +p8870 +tp8871 +a(g413 +g1075 +tp8872 +a(g89 +g994 +tp8873 +a(g89 +g4912 +tp8874 +a(g89 +g994 +tp8875 +a(g706 +g1006 +tp8876 +a(g827 +g964 +tp8877 +a(g745 +Vconst +p8878 +tp8879 +a(g827 +g964 +tp8880 +a(g749 +Vchar +p8881 +tp8882 +a(g827 +g964 +tp8883 +a(g413 +g1217 +tp8884 +a(g827 +V +p8885 +tp8886 +a(g89 +g994 +tp8887 +a(g89 +V$input = (jchar)$1; +p8888 +tp8889 +a(g89 +g994 +tp8890 +a(g827 +V\u000a +p8891 +tp8892 +a(g574 +V%typemap +p8893 +tp8894 +a(g706 +g992 +tp8895 +a(g436 +Vdirectorin +p8896 +tp8897 +a(g706 +g999 +tp8898 +a(g827 +g964 +tp8899 +a(g436 +Vdescriptor +p8900 +tp8901 +a(g413 +g1075 +tp8902 +a(g89 +g994 +tp8903 +a(g89 +g4938 +tp8904 +a(g89 +g994 +tp8905 +a(g706 +g1006 +tp8906 +a(g827 +g964 +tp8907 +a(g745 +Vconst +p8908 +tp8909 +a(g827 +g964 +tp8910 +a(g749 +Vsigned +p8911 +tp8912 +a(g827 +g964 +tp8913 +a(g749 +Vchar +p8914 +tp8915 +a(g827 +g964 +tp8916 +a(g413 +g1217 +tp8917 +a(g827 +V +p8918 +tp8919 +a(g89 +g994 +tp8920 +a(g89 +V$input = (jbyte)$1; +p8921 +tp8922 +a(g89 +g994 +tp8923 +a(g827 +V\u000a +p8924 +tp8925 +a(g574 +V%typemap +p8926 +tp8927 +a(g706 +g992 +tp8928 +a(g436 +Vdirectorin +p8929 +tp8930 +a(g706 +g999 +tp8931 +a(g827 +g964 +tp8932 +a(g436 +Vdescriptor +p8933 +tp8934 +a(g413 +g1075 +tp8935 +a(g89 +g994 +tp8936 +a(g89 +g4967 +tp8937 +a(g89 +g994 +tp8938 +a(g706 +g1006 +tp8939 +a(g827 +g964 +tp8940 +a(g745 +Vconst +p8941 +tp8942 +a(g827 +g964 +tp8943 +a(g749 +Vunsigned +p8944 +tp8945 +a(g827 +g964 +tp8946 +a(g749 +Vchar +p8947 +tp8948 +a(g827 +g964 +tp8949 +a(g413 +g1217 +tp8950 +a(g827 +V +p8951 +tp8952 +a(g89 +g994 +tp8953 +a(g89 +V$input = (jshort)$1; +p8954 +tp8955 +a(g89 +g994 +tp8956 +a(g827 +V\u000a +p8957 +tp8958 +a(g574 +V%typemap +p8959 +tp8960 +a(g706 +g992 +tp8961 +a(g436 +Vdirectorin +p8962 +tp8963 +a(g706 +g999 +tp8964 +a(g827 +g964 +tp8965 +a(g436 +Vdescriptor +p8966 +tp8967 +a(g413 +g1075 +tp8968 +a(g89 +g994 +tp8969 +a(g89 +g4967 +tp8970 +a(g89 +g994 +tp8971 +a(g706 +g1006 +tp8972 +a(g827 +g964 +tp8973 +a(g745 +Vconst +p8974 +tp8975 +a(g827 +g964 +tp8976 +a(g749 +Vshort +p8977 +tp8978 +a(g827 +g964 +tp8979 +a(g413 +g1217 +tp8980 +a(g827 +V +p8981 +tp8982 +a(g89 +g994 +tp8983 +a(g89 +V$input = (jshort)$1; +p8984 +tp8985 +a(g89 +g994 +tp8986 +a(g827 +V\u000a +p8987 +tp8988 +a(g574 +V%typemap +p8989 +tp8990 +a(g706 +g992 +tp8991 +a(g436 +Vdirectorin +p8992 +tp8993 +a(g706 +g999 +tp8994 +a(g827 +g964 +tp8995 +a(g436 +Vdescriptor +p8996 +tp8997 +a(g413 +g1075 +tp8998 +a(g89 +g994 +tp8999 +a(g89 +g5021 +tp9000 +a(g89 +g994 +tp9001 +a(g706 +g1006 +tp9002 +a(g827 +g964 +tp9003 +a(g745 +Vconst +p9004 +tp9005 +a(g827 +g964 +tp9006 +a(g749 +Vunsigned +p9007 +tp9008 +a(g827 +g964 +tp9009 +a(g749 +Vshort +p9010 +tp9011 +a(g827 +g964 +tp9012 +a(g413 +g1217 +tp9013 +a(g827 +g964 +tp9014 +a(g89 +g994 +tp9015 +a(g89 +V$input = (jint)$1; +p9016 +tp9017 +a(g89 +g994 +tp9018 +a(g827 +V\u000a +p9019 +tp9020 +a(g574 +V%typemap +p9021 +tp9022 +a(g706 +g992 +tp9023 +a(g436 +Vdirectorin +p9024 +tp9025 +a(g706 +g999 +tp9026 +a(g827 +g964 +tp9027 +a(g436 +Vdescriptor +p9028 +tp9029 +a(g413 +g1075 +tp9030 +a(g89 +g994 +tp9031 +a(g89 +g5021 +tp9032 +a(g89 +g994 +tp9033 +a(g706 +g1006 +tp9034 +a(g827 +g964 +tp9035 +a(g745 +Vconst +p9036 +tp9037 +a(g827 +g964 +tp9038 +a(g749 +Vint +p9039 +tp9040 +a(g827 +g964 +tp9041 +a(g413 +g1217 +tp9042 +a(g827 +V +p9043 +tp9044 +a(g89 +g994 +tp9045 +a(g89 +V$input = (jint)$1; +p9046 +tp9047 +a(g89 +g994 +tp9048 +a(g827 +V\u000a +p9049 +tp9050 +a(g574 +V%typemap +p9051 +tp9052 +a(g706 +g992 +tp9053 +a(g436 +Vdirectorin +p9054 +tp9055 +a(g706 +g999 +tp9056 +a(g827 +g964 +tp9057 +a(g436 +Vdescriptor +p9058 +tp9059 +a(g413 +g1075 +tp9060 +a(g89 +g994 +tp9061 +a(g89 +g5075 +tp9062 +a(g89 +g994 +tp9063 +a(g706 +g1006 +tp9064 +a(g827 +g964 +tp9065 +a(g745 +Vconst +p9066 +tp9067 +a(g827 +g964 +tp9068 +a(g749 +Vunsigned +p9069 +tp9070 +a(g827 +g964 +tp9071 +a(g749 +Vint +p9072 +tp9073 +a(g827 +g964 +tp9074 +a(g413 +g1217 +tp9075 +a(g827 +V +p9076 +tp9077 +a(g89 +g994 +tp9078 +a(g89 +V$input = (jlong)$1; +p9079 +tp9080 +a(g89 +g994 +tp9081 +a(g827 +V\u000a +p9082 +tp9083 +a(g574 +V%typemap +p9084 +tp9085 +a(g706 +g992 +tp9086 +a(g436 +Vdirectorin +p9087 +tp9088 +a(g706 +g999 +tp9089 +a(g827 +g964 +tp9090 +a(g436 +Vdescriptor +p9091 +tp9092 +a(g413 +g1075 +tp9093 +a(g89 +g994 +tp9094 +a(g89 +g5021 +tp9095 +a(g89 +g994 +tp9096 +a(g706 +g1006 +tp9097 +a(g827 +g964 +tp9098 +a(g745 +Vconst +p9099 +tp9100 +a(g827 +g964 +tp9101 +a(g749 +Vlong +p9102 +tp9103 +a(g827 +g964 +tp9104 +a(g413 +g1217 +tp9105 +a(g827 +V +p9106 +tp9107 +a(g89 +g994 +tp9108 +a(g89 +V$input = (jint)$1; +p9109 +tp9110 +a(g89 +g994 +tp9111 +a(g827 +V\u000a +p9112 +tp9113 +a(g574 +V%typemap +p9114 +tp9115 +a(g706 +g992 +tp9116 +a(g436 +Vdirectorin +p9117 +tp9118 +a(g706 +g999 +tp9119 +a(g827 +g964 +tp9120 +a(g436 +Vdescriptor +p9121 +tp9122 +a(g413 +g1075 +tp9123 +a(g89 +g994 +tp9124 +a(g89 +g5075 +tp9125 +a(g89 +g994 +tp9126 +a(g706 +g1006 +tp9127 +a(g827 +g964 +tp9128 +a(g745 +Vconst +p9129 +tp9130 +a(g827 +g964 +tp9131 +a(g749 +Vunsigned +p9132 +tp9133 +a(g827 +g964 +tp9134 +a(g749 +Vlong +p9135 +tp9136 +a(g827 +g964 +tp9137 +a(g413 +g1217 +tp9138 +a(g827 +V +p9139 +tp9140 +a(g89 +g994 +tp9141 +a(g89 +V$input = (jlong)$1; +p9142 +tp9143 +a(g89 +g994 +tp9144 +a(g827 +V\u000a +p9145 +tp9146 +a(g574 +V%typemap +p9147 +tp9148 +a(g706 +g992 +tp9149 +a(g436 +Vdirectorin +p9150 +tp9151 +a(g706 +g999 +tp9152 +a(g827 +g964 +tp9153 +a(g436 +Vdescriptor +p9154 +tp9155 +a(g413 +g1075 +tp9156 +a(g89 +g994 +tp9157 +a(g89 +g5075 +tp9158 +a(g89 +g994 +tp9159 +a(g706 +g1006 +tp9160 +a(g827 +g964 +tp9161 +a(g745 +Vconst +p9162 +tp9163 +a(g827 +g964 +tp9164 +a(g749 +Vlong +p9165 +tp9166 +a(g827 +g964 +tp9167 +a(g749 +Vlong +p9168 +tp9169 +a(g827 +g964 +tp9170 +a(g413 +g1217 +tp9171 +a(g827 +V +p9172 +tp9173 +a(g89 +g994 +tp9174 +a(g89 +V$input = (jlong)$1; +p9175 +tp9176 +a(g89 +g994 +tp9177 +a(g827 +V\u000a +p9178 +tp9179 +a(g574 +V%typemap +p9180 +tp9181 +a(g706 +g992 +tp9182 +a(g436 +Vdirectorin +p9183 +tp9184 +a(g706 +g999 +tp9185 +a(g827 +g964 +tp9186 +a(g436 +Vdescriptor +p9187 +tp9188 +a(g413 +g1075 +tp9189 +a(g89 +g994 +tp9190 +a(g89 +g5185 +tp9191 +a(g89 +g994 +tp9192 +a(g706 +g1006 +tp9193 +a(g827 +g964 +tp9194 +a(g745 +Vconst +p9195 +tp9196 +a(g827 +g964 +tp9197 +a(g749 +Vfloat +p9198 +tp9199 +a(g827 +g964 +tp9200 +a(g413 +g1217 +tp9201 +a(g827 +V +p9202 +tp9203 +a(g89 +g994 +tp9204 +a(g89 +V$input = (jfloat)$1; +p9205 +tp9206 +a(g89 +g994 +tp9207 +a(g827 +V\u000a +p9208 +tp9209 +a(g574 +V%typemap +p9210 +tp9211 +a(g706 +g992 +tp9212 +a(g436 +Vdirectorin +p9213 +tp9214 +a(g706 +g999 +tp9215 +a(g827 +g964 +tp9216 +a(g436 +Vdescriptor +p9217 +tp9218 +a(g413 +g1075 +tp9219 +a(g89 +g994 +tp9220 +a(g89 +g5211 +tp9221 +a(g89 +g994 +tp9222 +a(g706 +g1006 +tp9223 +a(g827 +g964 +tp9224 +a(g745 +Vconst +p9225 +tp9226 +a(g827 +g964 +tp9227 +a(g749 +Vdouble +p9228 +tp9229 +a(g827 +g964 +tp9230 +a(g413 +g1217 +tp9231 +a(g827 +V +p9232 +tp9233 +a(g89 +g994 +tp9234 +a(g89 +V$input = (jdouble)$1; +p9235 +tp9236 +a(g89 +g994 +tp9237 +a(g827 +V\u000a +p9238 +tp9239 +a(g827 +V\u000a +p9240 +tp9241 +a(g574 +V%typemap +p9242 +tp9243 +a(g706 +g992 +tp9244 +a(g436 +Vjavadirectorin +p9245 +tp9246 +a(g706 +g1006 +tp9247 +a(g827 +g964 +tp9248 +a(g745 +Vconst +p9249 +tp9250 +a(g827 +g964 +tp9251 +a(g749 +Vchar +p9252 +tp9253 +a(g827 +g964 +tp9254 +a(g413 +g1217 +tp9255 +a(g827 +g964 +tp9256 +a(g706 +g992 +tp9257 +a(g436 +V$*1_ltype +p9258 +tp9259 +a(g827 +g964 +tp9260 +a(g436 +Vtemp +p9261 +tp9262 +a(g706 +g1006 +tp9263 +a(g706 +g999 +tp9264 +a(g827 +V \u000a +p9265 +tp9266 +a(g745 +Vconst +p9267 +tp9268 +a(g827 +g964 +tp9269 +a(g749 +Vsigned +p9270 +tp9271 +a(g827 +g964 +tp9272 +a(g749 +Vchar +p9273 +tp9274 +a(g827 +g964 +tp9275 +a(g413 +g1217 +tp9276 +a(g827 +g964 +tp9277 +a(g706 +g992 +tp9278 +a(g436 +V$*1_ltype +p9279 +tp9280 +a(g827 +g964 +tp9281 +a(g436 +Vtemp +p9282 +tp9283 +a(g706 +g1006 +tp9284 +a(g706 +g999 +tp9285 +a(g827 +V \u000a +p9286 +tp9287 +a(g745 +Vconst +p9288 +tp9289 +a(g827 +g964 +tp9290 +a(g749 +Vunsigned +p9291 +tp9292 +a(g827 +g964 +tp9293 +a(g749 +Vchar +p9294 +tp9295 +a(g827 +g964 +tp9296 +a(g413 +g1217 +tp9297 +a(g827 +g964 +tp9298 +a(g706 +g992 +tp9299 +a(g436 +V$*1_ltype +p9300 +tp9301 +a(g827 +g964 +tp9302 +a(g436 +Vtemp +p9303 +tp9304 +a(g706 +g1006 +tp9305 +a(g706 +g999 +tp9306 +a(g827 +V \u000a +p9307 +tp9308 +a(g745 +Vconst +p9309 +tp9310 +a(g827 +g964 +tp9311 +a(g749 +Vshort +p9312 +tp9313 +a(g827 +g964 +tp9314 +a(g413 +g1217 +tp9315 +a(g827 +g964 +tp9316 +a(g706 +g992 +tp9317 +a(g436 +V$*1_ltype +p9318 +tp9319 +a(g827 +g964 +tp9320 +a(g436 +Vtemp +p9321 +tp9322 +a(g706 +g1006 +tp9323 +a(g706 +g999 +tp9324 +a(g827 +V \u000a +p9325 +tp9326 +a(g745 +Vconst +p9327 +tp9328 +a(g827 +g964 +tp9329 +a(g749 +Vunsigned +p9330 +tp9331 +a(g827 +g964 +tp9332 +a(g749 +Vshort +p9333 +tp9334 +a(g827 +g964 +tp9335 +a(g413 +g1217 +tp9336 +a(g827 +g964 +tp9337 +a(g706 +g992 +tp9338 +a(g436 +V$*1_ltype +p9339 +tp9340 +a(g827 +g964 +tp9341 +a(g436 +Vtemp +p9342 +tp9343 +a(g706 +g1006 +tp9344 +a(g706 +g999 +tp9345 +a(g827 +V \u000a +p9346 +tp9347 +a(g745 +Vconst +p9348 +tp9349 +a(g827 +g964 +tp9350 +a(g749 +Vint +p9351 +tp9352 +a(g827 +g964 +tp9353 +a(g413 +g1217 +tp9354 +a(g827 +g964 +tp9355 +a(g706 +g992 +tp9356 +a(g436 +V$*1_ltype +p9357 +tp9358 +a(g827 +g964 +tp9359 +a(g436 +Vtemp +p9360 +tp9361 +a(g706 +g1006 +tp9362 +a(g706 +g999 +tp9363 +a(g827 +V \u000a +p9364 +tp9365 +a(g745 +Vconst +p9366 +tp9367 +a(g827 +g964 +tp9368 +a(g749 +Vunsigned +p9369 +tp9370 +a(g827 +g964 +tp9371 +a(g749 +Vint +p9372 +tp9373 +a(g827 +g964 +tp9374 +a(g413 +g1217 +tp9375 +a(g827 +g964 +tp9376 +a(g706 +g992 +tp9377 +a(g436 +V$*1_ltype +p9378 +tp9379 +a(g827 +g964 +tp9380 +a(g436 +Vtemp +p9381 +tp9382 +a(g706 +g1006 +tp9383 +a(g706 +g999 +tp9384 +a(g827 +V \u000a +p9385 +tp9386 +a(g745 +Vconst +p9387 +tp9388 +a(g827 +g964 +tp9389 +a(g749 +Vlong +p9390 +tp9391 +a(g827 +g964 +tp9392 +a(g413 +g1217 +tp9393 +a(g827 +g964 +tp9394 +a(g706 +g992 +tp9395 +a(g436 +V$*1_ltype +p9396 +tp9397 +a(g827 +g964 +tp9398 +a(g436 +Vtemp +p9399 +tp9400 +a(g706 +g1006 +tp9401 +a(g706 +g999 +tp9402 +a(g827 +V \u000a +p9403 +tp9404 +a(g745 +Vconst +p9405 +tp9406 +a(g827 +g964 +tp9407 +a(g749 +Vunsigned +p9408 +tp9409 +a(g827 +g964 +tp9410 +a(g749 +Vlong +p9411 +tp9412 +a(g827 +g964 +tp9413 +a(g413 +g1217 +tp9414 +a(g827 +g964 +tp9415 +a(g706 +g992 +tp9416 +a(g436 +V$*1_ltype +p9417 +tp9418 +a(g827 +g964 +tp9419 +a(g436 +Vtemp +p9420 +tp9421 +a(g706 +g1006 +tp9422 +a(g706 +g999 +tp9423 +a(g827 +V \u000a +p9424 +tp9425 +a(g745 +Vconst +p9426 +tp9427 +a(g827 +g964 +tp9428 +a(g749 +Vlong +p9429 +tp9430 +a(g827 +g964 +tp9431 +a(g749 +Vlong +p9432 +tp9433 +a(g827 +g964 +tp9434 +a(g413 +g1217 +tp9435 +a(g827 +g964 +tp9436 +a(g706 +g992 +tp9437 +a(g436 +V$*1_ltype +p9438 +tp9439 +a(g827 +g964 +tp9440 +a(g436 +Vtemp +p9441 +tp9442 +a(g706 +g1006 +tp9443 +a(g706 +g999 +tp9444 +a(g827 +V \u000a +p9445 +tp9446 +a(g745 +Vconst +p9447 +tp9448 +a(g827 +g964 +tp9449 +a(g749 +Vfloat +p9450 +tp9451 +a(g827 +g964 +tp9452 +a(g413 +g1217 +tp9453 +a(g827 +g964 +tp9454 +a(g706 +g992 +tp9455 +a(g436 +V$*1_ltype +p9456 +tp9457 +a(g827 +g964 +tp9458 +a(g436 +Vtemp +p9459 +tp9460 +a(g706 +g1006 +tp9461 +a(g706 +g999 +tp9462 +a(g827 +V \u000a +p9463 +tp9464 +a(g745 +Vconst +p9465 +tp9466 +a(g827 +g964 +tp9467 +a(g749 +Vdouble +p9468 +tp9469 +a(g827 +g964 +tp9470 +a(g413 +g1217 +tp9471 +a(g827 +g964 +tp9472 +a(g706 +g992 +tp9473 +a(g436 +V$*1_ltype +p9474 +tp9475 +a(g827 +g964 +tp9476 +a(g436 +Vtemp +p9477 +tp9478 +a(g706 +g1006 +tp9479 +a(g827 +V\u000a +p9480 +tp9481 +a(g827 +V +p9482 +tp9483 +a(g89 +g994 +tp9484 +a(g89 +V$jniinput +p9485 +tp9486 +a(g89 +g994 +tp9487 +a(g827 +V\u000a +p9488 +tp9489 +a(g827 +V\u000a +p9490 +tp9491 +a(g574 +V%typemap +p9492 +tp9493 +a(g706 +g992 +tp9494 +a(g436 +Vjavadirectorout +p9495 +tp9496 +a(g706 +g1006 +tp9497 +a(g827 +g964 +tp9498 +a(g745 +Vconst +p9499 +tp9500 +a(g827 +g964 +tp9501 +a(g749 +Vchar +p9502 +tp9503 +a(g827 +g964 +tp9504 +a(g413 +g1217 +tp9505 +a(g827 +g964 +tp9506 +a(g706 +g992 +tp9507 +a(g436 +V$*1_ltype +p9508 +tp9509 +a(g827 +g964 +tp9510 +a(g436 +Vtemp +p9511 +tp9512 +a(g706 +g1006 +tp9513 +a(g706 +g999 +tp9514 +a(g827 +V \u000a +p9515 +tp9516 +a(g745 +Vconst +p9517 +tp9518 +a(g827 +g964 +tp9519 +a(g749 +Vsigned +p9520 +tp9521 +a(g827 +g964 +tp9522 +a(g749 +Vchar +p9523 +tp9524 +a(g827 +g964 +tp9525 +a(g413 +g1217 +tp9526 +a(g827 +g964 +tp9527 +a(g706 +g992 +tp9528 +a(g436 +V$*1_ltype +p9529 +tp9530 +a(g827 +g964 +tp9531 +a(g436 +Vtemp +p9532 +tp9533 +a(g706 +g1006 +tp9534 +a(g706 +g999 +tp9535 +a(g827 +V \u000a +p9536 +tp9537 +a(g745 +Vconst +p9538 +tp9539 +a(g827 +g964 +tp9540 +a(g749 +Vunsigned +p9541 +tp9542 +a(g827 +g964 +tp9543 +a(g749 +Vchar +p9544 +tp9545 +a(g827 +g964 +tp9546 +a(g413 +g1217 +tp9547 +a(g827 +g964 +tp9548 +a(g706 +g992 +tp9549 +a(g436 +V$*1_ltype +p9550 +tp9551 +a(g827 +g964 +tp9552 +a(g436 +Vtemp +p9553 +tp9554 +a(g706 +g1006 +tp9555 +a(g706 +g999 +tp9556 +a(g827 +V \u000a +p9557 +tp9558 +a(g745 +Vconst +p9559 +tp9560 +a(g827 +g964 +tp9561 +a(g749 +Vshort +p9562 +tp9563 +a(g827 +g964 +tp9564 +a(g413 +g1217 +tp9565 +a(g827 +g964 +tp9566 +a(g706 +g992 +tp9567 +a(g436 +V$*1_ltype +p9568 +tp9569 +a(g827 +g964 +tp9570 +a(g436 +Vtemp +p9571 +tp9572 +a(g706 +g1006 +tp9573 +a(g706 +g999 +tp9574 +a(g827 +V \u000a +p9575 +tp9576 +a(g745 +Vconst +p9577 +tp9578 +a(g827 +g964 +tp9579 +a(g749 +Vunsigned +p9580 +tp9581 +a(g827 +g964 +tp9582 +a(g749 +Vshort +p9583 +tp9584 +a(g827 +g964 +tp9585 +a(g413 +g1217 +tp9586 +a(g827 +g964 +tp9587 +a(g706 +g992 +tp9588 +a(g436 +V$*1_ltype +p9589 +tp9590 +a(g827 +g964 +tp9591 +a(g436 +Vtemp +p9592 +tp9593 +a(g706 +g1006 +tp9594 +a(g706 +g999 +tp9595 +a(g827 +V \u000a +p9596 +tp9597 +a(g745 +Vconst +p9598 +tp9599 +a(g827 +g964 +tp9600 +a(g749 +Vint +p9601 +tp9602 +a(g827 +g964 +tp9603 +a(g413 +g1217 +tp9604 +a(g827 +g964 +tp9605 +a(g706 +g992 +tp9606 +a(g436 +V$*1_ltype +p9607 +tp9608 +a(g827 +g964 +tp9609 +a(g436 +Vtemp +p9610 +tp9611 +a(g706 +g1006 +tp9612 +a(g706 +g999 +tp9613 +a(g827 +V \u000a +p9614 +tp9615 +a(g745 +Vconst +p9616 +tp9617 +a(g827 +g964 +tp9618 +a(g749 +Vunsigned +p9619 +tp9620 +a(g827 +g964 +tp9621 +a(g749 +Vint +p9622 +tp9623 +a(g827 +g964 +tp9624 +a(g413 +g1217 +tp9625 +a(g827 +g964 +tp9626 +a(g706 +g992 +tp9627 +a(g436 +V$*1_ltype +p9628 +tp9629 +a(g827 +g964 +tp9630 +a(g436 +Vtemp +p9631 +tp9632 +a(g706 +g1006 +tp9633 +a(g706 +g999 +tp9634 +a(g827 +V \u000a +p9635 +tp9636 +a(g745 +Vconst +p9637 +tp9638 +a(g827 +g964 +tp9639 +a(g749 +Vlong +p9640 +tp9641 +a(g827 +g964 +tp9642 +a(g413 +g1217 +tp9643 +a(g827 +g964 +tp9644 +a(g706 +g992 +tp9645 +a(g436 +V$*1_ltype +p9646 +tp9647 +a(g827 +g964 +tp9648 +a(g436 +Vtemp +p9649 +tp9650 +a(g706 +g1006 +tp9651 +a(g706 +g999 +tp9652 +a(g827 +V \u000a +p9653 +tp9654 +a(g745 +Vconst +p9655 +tp9656 +a(g827 +g964 +tp9657 +a(g749 +Vunsigned +p9658 +tp9659 +a(g827 +g964 +tp9660 +a(g749 +Vlong +p9661 +tp9662 +a(g827 +g964 +tp9663 +a(g413 +g1217 +tp9664 +a(g827 +g964 +tp9665 +a(g706 +g992 +tp9666 +a(g436 +V$*1_ltype +p9667 +tp9668 +a(g827 +g964 +tp9669 +a(g436 +Vtemp +p9670 +tp9671 +a(g706 +g1006 +tp9672 +a(g706 +g999 +tp9673 +a(g827 +V \u000a +p9674 +tp9675 +a(g745 +Vconst +p9676 +tp9677 +a(g827 +g964 +tp9678 +a(g749 +Vlong +p9679 +tp9680 +a(g827 +g964 +tp9681 +a(g749 +Vlong +p9682 +tp9683 +a(g827 +g964 +tp9684 +a(g413 +g1217 +tp9685 +a(g827 +g964 +tp9686 +a(g706 +g992 +tp9687 +a(g436 +V$*1_ltype +p9688 +tp9689 +a(g827 +g964 +tp9690 +a(g436 +Vtemp +p9691 +tp9692 +a(g706 +g1006 +tp9693 +a(g706 +g999 +tp9694 +a(g827 +V \u000a +p9695 +tp9696 +a(g745 +Vconst +p9697 +tp9698 +a(g827 +g964 +tp9699 +a(g749 +Vfloat +p9700 +tp9701 +a(g827 +g964 +tp9702 +a(g413 +g1217 +tp9703 +a(g827 +g964 +tp9704 +a(g706 +g992 +tp9705 +a(g436 +V$*1_ltype +p9706 +tp9707 +a(g827 +g964 +tp9708 +a(g436 +Vtemp +p9709 +tp9710 +a(g706 +g1006 +tp9711 +a(g706 +g999 +tp9712 +a(g827 +V \u000a +p9713 +tp9714 +a(g745 +Vconst +p9715 +tp9716 +a(g827 +g964 +tp9717 +a(g749 +Vdouble +p9718 +tp9719 +a(g827 +g964 +tp9720 +a(g413 +g1217 +tp9721 +a(g827 +g964 +tp9722 +a(g706 +g992 +tp9723 +a(g436 +V$*1_ltype +p9724 +tp9725 +a(g827 +g964 +tp9726 +a(g436 +Vtemp +p9727 +tp9728 +a(g706 +g1006 +tp9729 +a(g827 +V\u000a +p9730 +tp9731 +a(g827 +V +p9732 +tp9733 +a(g89 +g994 +tp9734 +a(g89 +V$javacall +p9735 +tp9736 +a(g89 +g994 +tp9737 +a(g827 +V\u000a +p9738 +tp9739 +a(g827 +V\u000a +p9740 +tp9741 +a(g827 +V\u000a +p9742 +tp9743 +a(g574 +V%typemap +p9744 +tp9745 +a(g706 +g992 +tp9746 +a(g436 +Vout +p9747 +tp9748 +a(g706 +g1006 +tp9749 +a(g827 +g964 +tp9750 +a(g745 +Vconst +p9751 +tp9752 +a(g827 +g964 +tp9753 +a(g749 +Vbool +p9754 +tp9755 +a(g827 +g964 +tp9756 +a(g413 +g1217 +tp9757 +a(g827 +V +p9758 +tp9759 +a(g413 +g4560 +tp9760 +a(g706 +g1009 +tp9761 +a(g827 +g964 +tp9762 +a(g436 +V$result +p9763 +tp9764 +a(g827 +g964 +tp9765 +a(g413 +g1075 +tp9766 +a(g827 +g964 +tp9767 +a(g706 +g992 +tp9768 +a(g436 +Vjboolean +p9769 +tp9770 +a(g706 +g1006 +tp9771 +a(g413 +g1023 +tp9772 +a(g436 +V$1 +p9773 +tp9774 +a(g706 +g1082 +tp9775 +a(g827 +g964 +tp9776 +a(g413 +g4560 +tp9777 +a(g706 +g1260 +tp9778 +a(g827 +V\u000a +p9779 +tp9780 +a(g574 +V%typemap +p9781 +tp9782 +a(g706 +g992 +tp9783 +a(g436 +Vout +p9784 +tp9785 +a(g706 +g1006 +tp9786 +a(g827 +g964 +tp9787 +a(g745 +Vconst +p9788 +tp9789 +a(g827 +g964 +tp9790 +a(g749 +Vchar +p9791 +tp9792 +a(g827 +g964 +tp9793 +a(g413 +g1217 +tp9794 +a(g827 +V +p9795 +tp9796 +a(g413 +g4560 +tp9797 +a(g706 +g1009 +tp9798 +a(g827 +g964 +tp9799 +a(g436 +V$result +p9800 +tp9801 +a(g827 +g964 +tp9802 +a(g413 +g1075 +tp9803 +a(g827 +g964 +tp9804 +a(g706 +g992 +tp9805 +a(g436 +Vjchar +p9806 +tp9807 +a(g706 +g1006 +tp9808 +a(g413 +g1023 +tp9809 +a(g436 +V$1 +p9810 +tp9811 +a(g706 +g1082 +tp9812 +a(g827 +g964 +tp9813 +a(g413 +g4560 +tp9814 +a(g706 +g1260 +tp9815 +a(g827 +V\u000a +p9816 +tp9817 +a(g574 +V%typemap +p9818 +tp9819 +a(g706 +g992 +tp9820 +a(g436 +Vout +p9821 +tp9822 +a(g706 +g1006 +tp9823 +a(g827 +g964 +tp9824 +a(g745 +Vconst +p9825 +tp9826 +a(g827 +g964 +tp9827 +a(g749 +Vsigned +p9828 +tp9829 +a(g827 +g964 +tp9830 +a(g749 +Vchar +p9831 +tp9832 +a(g827 +g964 +tp9833 +a(g413 +g1217 +tp9834 +a(g827 +V +p9835 +tp9836 +a(g413 +g4560 +tp9837 +a(g706 +g1009 +tp9838 +a(g827 +g964 +tp9839 +a(g436 +V$result +p9840 +tp9841 +a(g827 +g964 +tp9842 +a(g413 +g1075 +tp9843 +a(g827 +g964 +tp9844 +a(g706 +g992 +tp9845 +a(g436 +Vjbyte +p9846 +tp9847 +a(g706 +g1006 +tp9848 +a(g413 +g1023 +tp9849 +a(g436 +V$1 +p9850 +tp9851 +a(g706 +g1082 +tp9852 +a(g827 +g964 +tp9853 +a(g413 +g4560 +tp9854 +a(g706 +g1260 +tp9855 +a(g827 +V\u000a +p9856 +tp9857 +a(g574 +V%typemap +p9858 +tp9859 +a(g706 +g992 +tp9860 +a(g436 +Vout +p9861 +tp9862 +a(g706 +g1006 +tp9863 +a(g827 +g964 +tp9864 +a(g745 +Vconst +p9865 +tp9866 +a(g827 +g964 +tp9867 +a(g749 +Vunsigned +p9868 +tp9869 +a(g827 +g964 +tp9870 +a(g749 +Vchar +p9871 +tp9872 +a(g827 +g964 +tp9873 +a(g413 +g1217 +tp9874 +a(g827 +V +p9875 +tp9876 +a(g413 +g4560 +tp9877 +a(g706 +g1009 +tp9878 +a(g827 +g964 +tp9879 +a(g436 +V$result +p9880 +tp9881 +a(g827 +g964 +tp9882 +a(g413 +g1075 +tp9883 +a(g827 +g964 +tp9884 +a(g706 +g992 +tp9885 +a(g436 +Vjshort +p9886 +tp9887 +a(g706 +g1006 +tp9888 +a(g413 +g1023 +tp9889 +a(g436 +V$1 +p9890 +tp9891 +a(g706 +g1082 +tp9892 +a(g827 +g964 +tp9893 +a(g413 +g4560 +tp9894 +a(g706 +g1260 +tp9895 +a(g827 +V\u000a +p9896 +tp9897 +a(g574 +V%typemap +p9898 +tp9899 +a(g706 +g992 +tp9900 +a(g436 +Vout +p9901 +tp9902 +a(g706 +g1006 +tp9903 +a(g827 +g964 +tp9904 +a(g745 +Vconst +p9905 +tp9906 +a(g827 +g964 +tp9907 +a(g749 +Vshort +p9908 +tp9909 +a(g827 +g964 +tp9910 +a(g413 +g1217 +tp9911 +a(g827 +V +p9912 +tp9913 +a(g413 +g4560 +tp9914 +a(g706 +g1009 +tp9915 +a(g827 +g964 +tp9916 +a(g436 +V$result +p9917 +tp9918 +a(g827 +g964 +tp9919 +a(g413 +g1075 +tp9920 +a(g827 +g964 +tp9921 +a(g706 +g992 +tp9922 +a(g436 +Vjshort +p9923 +tp9924 +a(g706 +g1006 +tp9925 +a(g413 +g1023 +tp9926 +a(g436 +V$1 +p9927 +tp9928 +a(g706 +g1082 +tp9929 +a(g827 +g964 +tp9930 +a(g413 +g4560 +tp9931 +a(g706 +g1260 +tp9932 +a(g827 +V\u000a +p9933 +tp9934 +a(g574 +V%typemap +p9935 +tp9936 +a(g706 +g992 +tp9937 +a(g436 +Vout +p9938 +tp9939 +a(g706 +g1006 +tp9940 +a(g827 +g964 +tp9941 +a(g745 +Vconst +p9942 +tp9943 +a(g827 +g964 +tp9944 +a(g749 +Vunsigned +p9945 +tp9946 +a(g827 +g964 +tp9947 +a(g749 +Vshort +p9948 +tp9949 +a(g827 +g964 +tp9950 +a(g413 +g1217 +tp9951 +a(g827 +g964 +tp9952 +a(g413 +g4560 +tp9953 +a(g706 +g1009 +tp9954 +a(g827 +g964 +tp9955 +a(g436 +V$result +p9956 +tp9957 +a(g827 +g964 +tp9958 +a(g413 +g1075 +tp9959 +a(g827 +g964 +tp9960 +a(g706 +g992 +tp9961 +a(g436 +Vjint +p9962 +tp9963 +a(g706 +g1006 +tp9964 +a(g413 +g1023 +tp9965 +a(g436 +V$1 +p9966 +tp9967 +a(g706 +g1082 +tp9968 +a(g827 +g964 +tp9969 +a(g413 +g4560 +tp9970 +a(g706 +g1260 +tp9971 +a(g827 +V\u000a +p9972 +tp9973 +a(g574 +V%typemap +p9974 +tp9975 +a(g706 +g992 +tp9976 +a(g436 +Vout +p9977 +tp9978 +a(g706 +g1006 +tp9979 +a(g827 +g964 +tp9980 +a(g745 +Vconst +p9981 +tp9982 +a(g827 +g964 +tp9983 +a(g749 +Vint +p9984 +tp9985 +a(g827 +g964 +tp9986 +a(g413 +g1217 +tp9987 +a(g827 +V +p9988 +tp9989 +a(g413 +g4560 +tp9990 +a(g706 +g1009 +tp9991 +a(g827 +g964 +tp9992 +a(g436 +V$result +p9993 +tp9994 +a(g827 +g964 +tp9995 +a(g413 +g1075 +tp9996 +a(g827 +g964 +tp9997 +a(g706 +g992 +tp9998 +a(g436 +Vjint +p9999 +tp10000 +a(g706 +g1006 +tp10001 +a(g413 +g1023 +tp10002 +a(g436 +V$1 +p10003 +tp10004 +a(g706 +g1082 +tp10005 +a(g827 +g964 +tp10006 +a(g413 +g4560 +tp10007 +a(g706 +g1260 +tp10008 +a(g827 +V\u000a +p10009 +tp10010 +a(g574 +V%typemap +p10011 +tp10012 +a(g706 +g992 +tp10013 +a(g436 +Vout +p10014 +tp10015 +a(g706 +g1006 +tp10016 +a(g827 +g964 +tp10017 +a(g745 +Vconst +p10018 +tp10019 +a(g827 +g964 +tp10020 +a(g749 +Vunsigned +p10021 +tp10022 +a(g827 +g964 +tp10023 +a(g749 +Vint +p10024 +tp10025 +a(g827 +g964 +tp10026 +a(g413 +g1217 +tp10027 +a(g827 +V +p10028 +tp10029 +a(g413 +g4560 +tp10030 +a(g706 +g1009 +tp10031 +a(g827 +g964 +tp10032 +a(g436 +V$result +p10033 +tp10034 +a(g827 +g964 +tp10035 +a(g413 +g1075 +tp10036 +a(g827 +g964 +tp10037 +a(g706 +g992 +tp10038 +a(g436 +Vjlong +p10039 +tp10040 +a(g706 +g1006 +tp10041 +a(g413 +g1023 +tp10042 +a(g436 +V$1 +p10043 +tp10044 +a(g706 +g1082 +tp10045 +a(g827 +g964 +tp10046 +a(g413 +g4560 +tp10047 +a(g706 +g1260 +tp10048 +a(g827 +V\u000a +p10049 +tp10050 +a(g574 +V%typemap +p10051 +tp10052 +a(g706 +g992 +tp10053 +a(g436 +Vout +p10054 +tp10055 +a(g706 +g1006 +tp10056 +a(g827 +g964 +tp10057 +a(g745 +Vconst +p10058 +tp10059 +a(g827 +g964 +tp10060 +a(g749 +Vlong +p10061 +tp10062 +a(g827 +g964 +tp10063 +a(g413 +g1217 +tp10064 +a(g827 +V +p10065 +tp10066 +a(g413 +g4560 +tp10067 +a(g706 +g1009 +tp10068 +a(g827 +g964 +tp10069 +a(g436 +V$result +p10070 +tp10071 +a(g827 +g964 +tp10072 +a(g413 +g1075 +tp10073 +a(g827 +g964 +tp10074 +a(g706 +g992 +tp10075 +a(g436 +Vjint +p10076 +tp10077 +a(g706 +g1006 +tp10078 +a(g413 +g1023 +tp10079 +a(g436 +V$1 +p10080 +tp10081 +a(g706 +g1082 +tp10082 +a(g827 +g964 +tp10083 +a(g413 +g4560 +tp10084 +a(g706 +g1260 +tp10085 +a(g827 +V\u000a +p10086 +tp10087 +a(g574 +V%typemap +p10088 +tp10089 +a(g706 +g992 +tp10090 +a(g436 +Vout +p10091 +tp10092 +a(g706 +g1006 +tp10093 +a(g827 +g964 +tp10094 +a(g745 +Vconst +p10095 +tp10096 +a(g827 +g964 +tp10097 +a(g749 +Vunsigned +p10098 +tp10099 +a(g827 +g964 +tp10100 +a(g749 +Vlong +p10101 +tp10102 +a(g827 +g964 +tp10103 +a(g413 +g1217 +tp10104 +a(g827 +V +p10105 +tp10106 +a(g413 +g4560 +tp10107 +a(g706 +g1009 +tp10108 +a(g827 +g964 +tp10109 +a(g436 +V$result +p10110 +tp10111 +a(g827 +g964 +tp10112 +a(g413 +g1075 +tp10113 +a(g827 +g964 +tp10114 +a(g706 +g992 +tp10115 +a(g436 +Vjlong +p10116 +tp10117 +a(g706 +g1006 +tp10118 +a(g413 +g1023 +tp10119 +a(g436 +V$1 +p10120 +tp10121 +a(g706 +g1082 +tp10122 +a(g827 +g964 +tp10123 +a(g413 +g4560 +tp10124 +a(g706 +g1260 +tp10125 +a(g827 +V\u000a +p10126 +tp10127 +a(g574 +V%typemap +p10128 +tp10129 +a(g706 +g992 +tp10130 +a(g436 +Vout +p10131 +tp10132 +a(g706 +g1006 +tp10133 +a(g827 +g964 +tp10134 +a(g745 +Vconst +p10135 +tp10136 +a(g827 +g964 +tp10137 +a(g749 +Vlong +p10138 +tp10139 +a(g827 +g964 +tp10140 +a(g749 +Vlong +p10141 +tp10142 +a(g827 +g964 +tp10143 +a(g413 +g1217 +tp10144 +a(g827 +V +p10145 +tp10146 +a(g413 +g4560 +tp10147 +a(g706 +g1009 +tp10148 +a(g827 +g964 +tp10149 +a(g436 +V$result +p10150 +tp10151 +a(g827 +g964 +tp10152 +a(g413 +g1075 +tp10153 +a(g827 +g964 +tp10154 +a(g706 +g992 +tp10155 +a(g436 +Vjlong +p10156 +tp10157 +a(g706 +g1006 +tp10158 +a(g413 +g1023 +tp10159 +a(g436 +V$1 +p10160 +tp10161 +a(g706 +g1082 +tp10162 +a(g827 +g964 +tp10163 +a(g413 +g4560 +tp10164 +a(g706 +g1260 +tp10165 +a(g827 +V\u000a +p10166 +tp10167 +a(g574 +V%typemap +p10168 +tp10169 +a(g706 +g992 +tp10170 +a(g436 +Vout +p10171 +tp10172 +a(g706 +g1006 +tp10173 +a(g827 +g964 +tp10174 +a(g745 +Vconst +p10175 +tp10176 +a(g827 +g964 +tp10177 +a(g749 +Vfloat +p10178 +tp10179 +a(g827 +g964 +tp10180 +a(g413 +g1217 +tp10181 +a(g827 +V +p10182 +tp10183 +a(g413 +g4560 +tp10184 +a(g706 +g1009 +tp10185 +a(g827 +g964 +tp10186 +a(g436 +V$result +p10187 +tp10188 +a(g827 +g964 +tp10189 +a(g413 +g1075 +tp10190 +a(g827 +g964 +tp10191 +a(g706 +g992 +tp10192 +a(g436 +Vjfloat +p10193 +tp10194 +a(g706 +g1006 +tp10195 +a(g413 +g1023 +tp10196 +a(g436 +V$1 +p10197 +tp10198 +a(g706 +g1082 +tp10199 +a(g827 +g964 +tp10200 +a(g413 +g4560 +tp10201 +a(g706 +g1260 +tp10202 +a(g827 +V\u000a +p10203 +tp10204 +a(g574 +V%typemap +p10205 +tp10206 +a(g706 +g992 +tp10207 +a(g436 +Vout +p10208 +tp10209 +a(g706 +g1006 +tp10210 +a(g827 +g964 +tp10211 +a(g745 +Vconst +p10212 +tp10213 +a(g827 +g964 +tp10214 +a(g749 +Vdouble +p10215 +tp10216 +a(g827 +g964 +tp10217 +a(g413 +g1217 +tp10218 +a(g827 +V +p10219 +tp10220 +a(g413 +g4560 +tp10221 +a(g706 +g1009 +tp10222 +a(g827 +g964 +tp10223 +a(g436 +V$result +p10224 +tp10225 +a(g827 +g964 +tp10226 +a(g413 +g1075 +tp10227 +a(g827 +g964 +tp10228 +a(g706 +g992 +tp10229 +a(g436 +Vjdouble +p10230 +tp10231 +a(g706 +g1006 +tp10232 +a(g413 +g1023 +tp10233 +a(g436 +V$1 +p10234 +tp10235 +a(g706 +g1082 +tp10236 +a(g827 +g964 +tp10237 +a(g413 +g4560 +tp10238 +a(g706 +g1260 +tp10239 +a(g827 +V\u000a +p10240 +tp10241 +a(g827 +V\u000a +p10242 +tp10243 +a(g8 +V/* const unsigned long long & */ +p10244 +tp10245 +a(g827 +V\u000a +p10246 +tp10247 +a(g8 +V/* Similar to unsigned long long */ +p10248 +tp10249 +a(g827 +V\u000a +p10250 +tp10251 +a(g574 +V%typemap +p10252 +tp10253 +a(g706 +g992 +tp10254 +a(g436 +Vin +p10255 +tp10256 +a(g706 +g1006 +tp10257 +a(g827 +g964 +tp10258 +a(g745 +Vconst +p10259 +tp10260 +a(g827 +g964 +tp10261 +a(g749 +Vunsigned +p10262 +tp10263 +a(g827 +g964 +tp10264 +a(g749 +Vlong +p10265 +tp10266 +a(g827 +g964 +tp10267 +a(g749 +Vlong +p10268 +tp10269 +a(g827 +g964 +tp10270 +a(g413 +g1217 +tp10271 +a(g827 +g964 +tp10272 +a(g706 +g992 +tp10273 +a(g436 +V$*1_ltype +p10274 +tp10275 +a(g827 +g964 +tp10276 +a(g436 +Vtemp +p10277 +tp10278 +a(g706 +g1006 +tp10279 +a(g827 +g964 +tp10280 +a(g706 +g1009 +tp10281 +a(g827 +V \u000a +p10282 +tp10283 +a(g436 +Vjclass +p10284 +tp10285 +a(g827 +g964 +tp10286 +a(g436 +Vclazz +p10287 +tp10288 +a(g706 +g1082 +tp10289 +a(g827 +V\u000a +p10290 +tp10291 +a(g827 +V +p10292 +tp10293 +a(g436 +VjmethodID +p10294 +tp10295 +a(g827 +g964 +tp10296 +a(g436 +Vmid +p10297 +tp10298 +a(g706 +g1082 +tp10299 +a(g827 +V\u000a +p10300 +tp10301 +a(g827 +V +p10302 +tp10303 +a(g436 +VjbyteArray +p10304 +tp10305 +a(g827 +g964 +tp10306 +a(g436 +Vba +p10307 +tp10308 +a(g706 +g1082 +tp10309 +a(g827 +V\u000a +p10310 +tp10311 +a(g827 +V +p10312 +tp10313 +a(g436 +Vjbyte +p10314 +tp10315 +a(g413 +g1023 +tp10316 +a(g827 +g964 +tp10317 +a(g436 +Vbae +p10318 +tp10319 +a(g706 +g1082 +tp10320 +a(g827 +V\u000a +p10321 +tp10322 +a(g827 +V +p10323 +tp10324 +a(g436 +Vjsize +p10325 +tp10326 +a(g827 +g964 +tp10327 +a(g436 +Vsz +p10328 +tp10329 +a(g706 +g1082 +tp10330 +a(g827 +V\u000a +p10331 +tp10332 +a(g827 +V +p10333 +tp10334 +a(g749 +Vint +p10335 +tp10336 +a(g827 +g964 +tp10337 +a(g436 +g5919 +tp10338 +a(g706 +g1082 +tp10339 +a(g827 +V\u000a +p10340 +tp10341 +a(g827 +V\u000a +p10342 +tp10343 +a(g827 +V +p10344 +tp10345 +a(g745 +Vif +p10346 +tp10347 +a(g827 +g964 +tp10348 +a(g706 +g992 +tp10349 +a(g413 +g1162 +tp10350 +a(g436 +V$input +p10351 +tp10352 +a(g706 +g1006 +tp10353 +a(g827 +g964 +tp10354 +a(g706 +g1009 +tp10355 +a(g827 +V\u000a +p10356 +tp10357 +a(g827 +V +p10358 +tp10359 +a(g436 +VSWIG_JavaThrowException +p10360 +tp10361 +a(g706 +g992 +tp10362 +a(g436 +Vjenv +p10363 +tp10364 +a(g706 +g999 +tp10365 +a(g827 +g964 +tp10366 +a(g436 +VSWIG_JavaNullPointerException +p10367 +tp10368 +a(g706 +g999 +tp10369 +a(g827 +g964 +tp10370 +a(g89 +g994 +tp10371 +a(g89 +VBigInteger null +p10372 +tp10373 +a(g89 +g994 +tp10374 +a(g706 +g1006 +tp10375 +a(g706 +g1082 +tp10376 +a(g827 +V\u000a +p10377 +tp10378 +a(g827 +V +p10379 +tp10380 +a(g745 +Vreturn +p10381 +tp10382 +a(g827 +g964 +tp10383 +a(g436 +V$null +p10384 +tp10385 +a(g706 +g1082 +tp10386 +a(g827 +V\u000a +p10387 +tp10388 +a(g827 +V +p10389 +tp10390 +a(g706 +g1260 +tp10391 +a(g827 +V\u000a +p10392 +tp10393 +a(g827 +V +p10394 +tp10395 +a(g436 +Vclazz +p10396 +tp10397 +a(g827 +g964 +tp10398 +a(g413 +g1075 +tp10399 +a(g827 +g964 +tp10400 +a(g436 +VJCALL1 +p10401 +tp10402 +a(g706 +g992 +tp10403 +a(g436 +VGetObjectClass +p10404 +tp10405 +a(g706 +g999 +tp10406 +a(g827 +g964 +tp10407 +a(g436 +Vjenv +p10408 +tp10409 +a(g706 +g999 +tp10410 +a(g827 +g964 +tp10411 +a(g436 +V$input +p10412 +tp10413 +a(g706 +g1006 +tp10414 +a(g706 +g1082 +tp10415 +a(g827 +V\u000a +p10416 +tp10417 +a(g827 +V +p10418 +tp10419 +a(g436 +Vmid +p10420 +tp10421 +a(g827 +g964 +tp10422 +a(g413 +g1075 +tp10423 +a(g827 +g964 +tp10424 +a(g436 +VJCALL3 +p10425 +tp10426 +a(g706 +g992 +tp10427 +a(g436 +VGetMethodID +p10428 +tp10429 +a(g706 +g999 +tp10430 +a(g827 +g964 +tp10431 +a(g436 +Vjenv +p10432 +tp10433 +a(g706 +g999 +tp10434 +a(g827 +g964 +tp10435 +a(g436 +Vclazz +p10436 +tp10437 +a(g706 +g999 +tp10438 +a(g827 +g964 +tp10439 +a(g89 +g994 +tp10440 +a(g89 +VtoByteArray +p10441 +tp10442 +a(g89 +g994 +tp10443 +a(g706 +g999 +tp10444 +a(g827 +g964 +tp10445 +a(g89 +g994 +tp10446 +a(g89 +V()[B +p10447 +tp10448 +a(g89 +g994 +tp10449 +a(g706 +g1006 +tp10450 +a(g706 +g1082 +tp10451 +a(g827 +V\u000a +p10452 +tp10453 +a(g827 +V +p10454 +tp10455 +a(g436 +Vba +p10456 +tp10457 +a(g827 +g964 +tp10458 +a(g413 +g1075 +tp10459 +a(g827 +g964 +tp10460 +a(g706 +g992 +tp10461 +a(g436 +VjbyteArray +p10462 +tp10463 +a(g706 +g1006 +tp10464 +a(g436 +VJCALL2 +p10465 +tp10466 +a(g706 +g992 +tp10467 +a(g436 +VCallObjectMethod +p10468 +tp10469 +a(g706 +g999 +tp10470 +a(g827 +g964 +tp10471 +a(g436 +Vjenv +p10472 +tp10473 +a(g706 +g999 +tp10474 +a(g827 +g964 +tp10475 +a(g436 +V$input +p10476 +tp10477 +a(g706 +g999 +tp10478 +a(g827 +g964 +tp10479 +a(g436 +Vmid +p10480 +tp10481 +a(g706 +g1006 +tp10482 +a(g706 +g1082 +tp10483 +a(g827 +V\u000a +p10484 +tp10485 +a(g827 +V +p10486 +tp10487 +a(g436 +Vbae +p10488 +tp10489 +a(g827 +g964 +tp10490 +a(g413 +g1075 +tp10491 +a(g827 +g964 +tp10492 +a(g436 +VJCALL2 +p10493 +tp10494 +a(g706 +g992 +tp10495 +a(g436 +VGetByteArrayElements +p10496 +tp10497 +a(g706 +g999 +tp10498 +a(g827 +g964 +tp10499 +a(g436 +Vjenv +p10500 +tp10501 +a(g706 +g999 +tp10502 +a(g827 +g964 +tp10503 +a(g436 +Vba +p10504 +tp10505 +a(g706 +g999 +tp10506 +a(g827 +g964 +tp10507 +a(g37 +g1482 +tp10508 +a(g706 +g1006 +tp10509 +a(g706 +g1082 +tp10510 +a(g827 +V\u000a +p10511 +tp10512 +a(g827 +V +p10513 +tp10514 +a(g436 +Vsz +p10515 +tp10516 +a(g827 +g964 +tp10517 +a(g413 +g1075 +tp10518 +a(g827 +g964 +tp10519 +a(g436 +VJCALL1 +p10520 +tp10521 +a(g706 +g992 +tp10522 +a(g436 +VGetArrayLength +p10523 +tp10524 +a(g706 +g999 +tp10525 +a(g827 +g964 +tp10526 +a(g436 +Vjenv +p10527 +tp10528 +a(g706 +g999 +tp10529 +a(g827 +g964 +tp10530 +a(g436 +Vba +p10531 +tp10532 +a(g706 +g1006 +tp10533 +a(g706 +g1082 +tp10534 +a(g827 +V\u000a +p10535 +tp10536 +a(g827 +V +p10537 +tp10538 +a(g436 +V$1 +p10539 +tp10540 +a(g827 +g964 +tp10541 +a(g413 +g1075 +tp10542 +a(g827 +g964 +tp10543 +a(g413 +g1217 +tp10544 +a(g436 +Vtemp +p10545 +tp10546 +a(g706 +g1082 +tp10547 +a(g827 +V\u000a +p10548 +tp10549 +a(g827 +V +p10550 +tp10551 +a(g436 +Vtemp +p10552 +tp10553 +a(g827 +g964 +tp10554 +a(g413 +g1075 +tp10555 +a(g827 +g964 +tp10556 +a(g37 +g1482 +tp10557 +a(g706 +g1082 +tp10558 +a(g827 +V\u000a +p10559 +tp10560 +a(g827 +V +p10561 +tp10562 +a(g745 +Vfor +p10563 +tp10564 +a(g706 +g992 +tp10565 +a(g436 +g5919 +tp10566 +a(g413 +g1075 +tp10567 +a(g37 +g1482 +tp10568 +a(g706 +g1082 +tp10569 +a(g827 +g964 +tp10570 +a(g436 +g5919 +tp10571 +a(g413 +g966 +tp10572 +a(g436 +Vsz +p10573 +tp10574 +a(g706 +g1082 +tp10575 +a(g827 +g964 +tp10576 +a(g436 +g5919 +tp10577 +a(g413 +g1144 +tp10578 +a(g413 +g1144 +tp10579 +a(g706 +g1006 +tp10580 +a(g827 +g964 +tp10581 +a(g706 +g1009 +tp10582 +a(g827 +V\u000a +p10583 +tp10584 +a(g827 +V +p10585 +tp10586 +a(g436 +Vtemp +p10587 +tp10588 +a(g827 +g964 +tp10589 +a(g413 +g1075 +tp10590 +a(g827 +g964 +tp10591 +a(g706 +g992 +tp10592 +a(g436 +Vtemp +p10593 +tp10594 +a(g827 +g964 +tp10595 +a(g413 +g966 +tp10596 +a(g413 +g966 +tp10597 +a(g827 +g964 +tp10598 +a(g37 +g6168 +tp10599 +a(g706 +g1006 +tp10600 +a(g827 +g964 +tp10601 +a(g413 +g1673 +tp10602 +a(g827 +g964 +tp10603 +a(g706 +g992 +tp10604 +a(g436 +V$*1_ltype +p10605 +tp10606 +a(g706 +g1006 +tp10607 +a(g706 +g992 +tp10608 +a(g749 +Vunsigned +p10609 +tp10610 +a(g827 +g964 +tp10611 +a(g749 +Vchar +p10612 +tp10613 +a(g706 +g1006 +tp10614 +a(g436 +Vbae +p10615 +tp10616 +a(g706 +g1068 +tp10617 +a(g436 +g5919 +tp10618 +a(g706 +g1072 +tp10619 +a(g706 +g1082 +tp10620 +a(g827 +V\u000a +p10621 +tp10622 +a(g827 +V +p10623 +tp10624 +a(g706 +g1260 +tp10625 +a(g827 +V\u000a +p10626 +tp10627 +a(g827 +V +p10628 +tp10629 +a(g436 +VJCALL3 +p10630 +tp10631 +a(g706 +g992 +tp10632 +a(g436 +VReleaseByteArrayElements +p10633 +tp10634 +a(g706 +g999 +tp10635 +a(g827 +g964 +tp10636 +a(g436 +Vjenv +p10637 +tp10638 +a(g706 +g999 +tp10639 +a(g827 +g964 +tp10640 +a(g436 +Vba +p10641 +tp10642 +a(g706 +g999 +tp10643 +a(g827 +g964 +tp10644 +a(g436 +Vbae +p10645 +tp10646 +a(g706 +g999 +tp10647 +a(g827 +g964 +tp10648 +a(g37 +g1482 +tp10649 +a(g706 +g1006 +tp10650 +a(g706 +g1082 +tp10651 +a(g827 +V\u000a +p10652 +tp10653 +a(g706 +g1260 +tp10654 +a(g827 +V\u000a +p10655 +tp10656 +a(g827 +V\u000a +p10657 +tp10658 +a(g574 +V%typemap +p10659 +tp10660 +a(g706 +g992 +tp10661 +a(g436 +Vdirectorout +p10662 +tp10663 +a(g706 +g999 +tp10664 +a(g436 +Vwarning +p10665 +tp10666 +a(g413 +g1075 +tp10667 +a(g436 +VSWIGWARN_TYPEMAP_THREAD_UNSAFE_MSG +p10668 +tp10669 +a(g706 +g1006 +tp10670 +a(g827 +g964 +tp10671 +a(g745 +Vconst +p10672 +tp10673 +a(g827 +g964 +tp10674 +a(g749 +Vunsigned +p10675 +tp10676 +a(g827 +g964 +tp10677 +a(g749 +Vlong +p10678 +tp10679 +a(g827 +g964 +tp10680 +a(g749 +Vlong +p10681 +tp10682 +a(g827 +g964 +tp10683 +a(g413 +g1217 +tp10684 +a(g827 +g964 +tp10685 +a(g706 +g1009 +tp10686 +a(g827 +V \u000a +p10687 +tp10688 +a(g745 +Vstatic +p10689 +tp10690 +a(g827 +g964 +tp10691 +a(g436 +V$*1_ltype +p10692 +tp10693 +a(g827 +g964 +tp10694 +a(g436 +Vtemp +p10695 +tp10696 +a(g706 +g1082 +tp10697 +a(g827 +V\u000a +p10698 +tp10699 +a(g827 +V +p10700 +tp10701 +a(g436 +Vjclass +p10702 +tp10703 +a(g827 +g964 +tp10704 +a(g436 +Vclazz +p10705 +tp10706 +a(g706 +g1082 +tp10707 +a(g827 +V\u000a +p10708 +tp10709 +a(g827 +V +p10710 +tp10711 +a(g436 +VjmethodID +p10712 +tp10713 +a(g827 +g964 +tp10714 +a(g436 +Vmid +p10715 +tp10716 +a(g706 +g1082 +tp10717 +a(g827 +V\u000a +p10718 +tp10719 +a(g827 +V +p10720 +tp10721 +a(g436 +VjbyteArray +p10722 +tp10723 +a(g827 +g964 +tp10724 +a(g436 +Vba +p10725 +tp10726 +a(g706 +g1082 +tp10727 +a(g827 +V\u000a +p10728 +tp10729 +a(g827 +V +p10730 +tp10731 +a(g436 +Vjbyte +p10732 +tp10733 +a(g413 +g1023 +tp10734 +a(g827 +g964 +tp10735 +a(g436 +Vbae +p10736 +tp10737 +a(g706 +g1082 +tp10738 +a(g827 +V\u000a +p10739 +tp10740 +a(g827 +V +p10741 +tp10742 +a(g436 +Vjsize +p10743 +tp10744 +a(g827 +g964 +tp10745 +a(g436 +Vsz +p10746 +tp10747 +a(g706 +g1082 +tp10748 +a(g827 +V\u000a +p10749 +tp10750 +a(g827 +V +p10751 +tp10752 +a(g749 +Vint +p10753 +tp10754 +a(g827 +g964 +tp10755 +a(g436 +g5919 +tp10756 +a(g706 +g1082 +tp10757 +a(g827 +V\u000a +p10758 +tp10759 +a(g827 +V\u000a +p10760 +tp10761 +a(g827 +V +p10762 +tp10763 +a(g745 +Vif +p10764 +tp10765 +a(g827 +g964 +tp10766 +a(g706 +g992 +tp10767 +a(g413 +g1162 +tp10768 +a(g436 +V$input +p10769 +tp10770 +a(g706 +g1006 +tp10771 +a(g827 +g964 +tp10772 +a(g706 +g1009 +tp10773 +a(g827 +V\u000a +p10774 +tp10775 +a(g827 +V +p10776 +tp10777 +a(g436 +VSWIG_JavaThrowException +p10778 +tp10779 +a(g706 +g992 +tp10780 +a(g436 +Vjenv +p10781 +tp10782 +a(g706 +g999 +tp10783 +a(g827 +g964 +tp10784 +a(g436 +VSWIG_JavaNullPointerException +p10785 +tp10786 +a(g706 +g999 +tp10787 +a(g827 +g964 +tp10788 +a(g89 +g994 +tp10789 +a(g89 +VBigInteger null +p10790 +tp10791 +a(g89 +g994 +tp10792 +a(g706 +g1006 +tp10793 +a(g706 +g1082 +tp10794 +a(g827 +V\u000a +p10795 +tp10796 +a(g827 +V +p10797 +tp10798 +a(g745 +Vreturn +p10799 +tp10800 +a(g827 +g964 +tp10801 +a(g436 +V$null +p10802 +tp10803 +a(g706 +g1082 +tp10804 +a(g827 +V\u000a +p10805 +tp10806 +a(g827 +V +p10807 +tp10808 +a(g706 +g1260 +tp10809 +a(g827 +V\u000a +p10810 +tp10811 +a(g827 +V +p10812 +tp10813 +a(g436 +Vclazz +p10814 +tp10815 +a(g827 +g964 +tp10816 +a(g413 +g1075 +tp10817 +a(g827 +g964 +tp10818 +a(g436 +VJCALL1 +p10819 +tp10820 +a(g706 +g992 +tp10821 +a(g436 +VGetObjectClass +p10822 +tp10823 +a(g706 +g999 +tp10824 +a(g827 +g964 +tp10825 +a(g436 +Vjenv +p10826 +tp10827 +a(g706 +g999 +tp10828 +a(g827 +g964 +tp10829 +a(g436 +V$input +p10830 +tp10831 +a(g706 +g1006 +tp10832 +a(g706 +g1082 +tp10833 +a(g827 +V\u000a +p10834 +tp10835 +a(g827 +V +p10836 +tp10837 +a(g436 +Vmid +p10838 +tp10839 +a(g827 +g964 +tp10840 +a(g413 +g1075 +tp10841 +a(g827 +g964 +tp10842 +a(g436 +VJCALL3 +p10843 +tp10844 +a(g706 +g992 +tp10845 +a(g436 +VGetMethodID +p10846 +tp10847 +a(g706 +g999 +tp10848 +a(g827 +g964 +tp10849 +a(g436 +Vjenv +p10850 +tp10851 +a(g706 +g999 +tp10852 +a(g827 +g964 +tp10853 +a(g436 +Vclazz +p10854 +tp10855 +a(g706 +g999 +tp10856 +a(g827 +g964 +tp10857 +a(g89 +g994 +tp10858 +a(g89 +VtoByteArray +p10859 +tp10860 +a(g89 +g994 +tp10861 +a(g706 +g999 +tp10862 +a(g827 +g964 +tp10863 +a(g89 +g994 +tp10864 +a(g89 +V()[B +p10865 +tp10866 +a(g89 +g994 +tp10867 +a(g706 +g1006 +tp10868 +a(g706 +g1082 +tp10869 +a(g827 +V\u000a +p10870 +tp10871 +a(g827 +V +p10872 +tp10873 +a(g436 +Vba +p10874 +tp10875 +a(g827 +g964 +tp10876 +a(g413 +g1075 +tp10877 +a(g827 +g964 +tp10878 +a(g706 +g992 +tp10879 +a(g436 +VjbyteArray +p10880 +tp10881 +a(g706 +g1006 +tp10882 +a(g436 +VJCALL2 +p10883 +tp10884 +a(g706 +g992 +tp10885 +a(g436 +VCallObjectMethod +p10886 +tp10887 +a(g706 +g999 +tp10888 +a(g827 +g964 +tp10889 +a(g436 +Vjenv +p10890 +tp10891 +a(g706 +g999 +tp10892 +a(g827 +g964 +tp10893 +a(g436 +V$input +p10894 +tp10895 +a(g706 +g999 +tp10896 +a(g827 +g964 +tp10897 +a(g436 +Vmid +p10898 +tp10899 +a(g706 +g1006 +tp10900 +a(g706 +g1082 +tp10901 +a(g827 +V\u000a +p10902 +tp10903 +a(g827 +V +p10904 +tp10905 +a(g436 +Vbae +p10906 +tp10907 +a(g827 +g964 +tp10908 +a(g413 +g1075 +tp10909 +a(g827 +g964 +tp10910 +a(g436 +VJCALL2 +p10911 +tp10912 +a(g706 +g992 +tp10913 +a(g436 +VGetByteArrayElements +p10914 +tp10915 +a(g706 +g999 +tp10916 +a(g827 +g964 +tp10917 +a(g436 +Vjenv +p10918 +tp10919 +a(g706 +g999 +tp10920 +a(g827 +g964 +tp10921 +a(g436 +Vba +p10922 +tp10923 +a(g706 +g999 +tp10924 +a(g827 +g964 +tp10925 +a(g37 +g1482 +tp10926 +a(g706 +g1006 +tp10927 +a(g706 +g1082 +tp10928 +a(g827 +V\u000a +p10929 +tp10930 +a(g827 +V +p10931 +tp10932 +a(g436 +Vsz +p10933 +tp10934 +a(g827 +g964 +tp10935 +a(g413 +g1075 +tp10936 +a(g827 +g964 +tp10937 +a(g436 +VJCALL1 +p10938 +tp10939 +a(g706 +g992 +tp10940 +a(g436 +VGetArrayLength +p10941 +tp10942 +a(g706 +g999 +tp10943 +a(g827 +g964 +tp10944 +a(g436 +Vjenv +p10945 +tp10946 +a(g706 +g999 +tp10947 +a(g827 +g964 +tp10948 +a(g436 +Vba +p10949 +tp10950 +a(g706 +g1006 +tp10951 +a(g706 +g1082 +tp10952 +a(g827 +V\u000a +p10953 +tp10954 +a(g827 +V +p10955 +tp10956 +a(g436 +V$result +p10957 +tp10958 +a(g827 +g964 +tp10959 +a(g413 +g1075 +tp10960 +a(g827 +g964 +tp10961 +a(g413 +g1217 +tp10962 +a(g436 +Vtemp +p10963 +tp10964 +a(g706 +g1082 +tp10965 +a(g827 +V\u000a +p10966 +tp10967 +a(g827 +V +p10968 +tp10969 +a(g436 +Vtemp +p10970 +tp10971 +a(g827 +g964 +tp10972 +a(g413 +g1075 +tp10973 +a(g827 +g964 +tp10974 +a(g37 +g1482 +tp10975 +a(g706 +g1082 +tp10976 +a(g827 +V\u000a +p10977 +tp10978 +a(g827 +V +p10979 +tp10980 +a(g745 +Vfor +p10981 +tp10982 +a(g706 +g992 +tp10983 +a(g436 +g5919 +tp10984 +a(g413 +g1075 +tp10985 +a(g37 +g1482 +tp10986 +a(g706 +g1082 +tp10987 +a(g827 +g964 +tp10988 +a(g436 +g5919 +tp10989 +a(g413 +g966 +tp10990 +a(g436 +Vsz +p10991 +tp10992 +a(g706 +g1082 +tp10993 +a(g827 +g964 +tp10994 +a(g436 +g5919 +tp10995 +a(g413 +g1144 +tp10996 +a(g413 +g1144 +tp10997 +a(g706 +g1006 +tp10998 +a(g827 +g964 +tp10999 +a(g706 +g1009 +tp11000 +a(g827 +V\u000a +p11001 +tp11002 +a(g827 +V +p11003 +tp11004 +a(g436 +Vtemp +p11005 +tp11006 +a(g827 +g964 +tp11007 +a(g413 +g1075 +tp11008 +a(g827 +g964 +tp11009 +a(g706 +g992 +tp11010 +a(g436 +Vtemp +p11011 +tp11012 +a(g827 +g964 +tp11013 +a(g413 +g966 +tp11014 +a(g413 +g966 +tp11015 +a(g827 +g964 +tp11016 +a(g37 +g6168 +tp11017 +a(g706 +g1006 +tp11018 +a(g827 +g964 +tp11019 +a(g413 +g1673 +tp11020 +a(g827 +g964 +tp11021 +a(g706 +g992 +tp11022 +a(g436 +V$*1_ltype +p11023 +tp11024 +a(g706 +g1006 +tp11025 +a(g706 +g992 +tp11026 +a(g749 +Vunsigned +p11027 +tp11028 +a(g827 +g964 +tp11029 +a(g749 +Vchar +p11030 +tp11031 +a(g706 +g1006 +tp11032 +a(g436 +Vbae +p11033 +tp11034 +a(g706 +g1068 +tp11035 +a(g436 +g5919 +tp11036 +a(g706 +g1072 +tp11037 +a(g706 +g1082 +tp11038 +a(g827 +V\u000a +p11039 +tp11040 +a(g827 +V +p11041 +tp11042 +a(g706 +g1260 +tp11043 +a(g827 +V\u000a +p11044 +tp11045 +a(g827 +V +p11046 +tp11047 +a(g436 +VJCALL3 +p11048 +tp11049 +a(g706 +g992 +tp11050 +a(g436 +VReleaseByteArrayElements +p11051 +tp11052 +a(g706 +g999 +tp11053 +a(g827 +g964 +tp11054 +a(g436 +Vjenv +p11055 +tp11056 +a(g706 +g999 +tp11057 +a(g827 +g964 +tp11058 +a(g436 +Vba +p11059 +tp11060 +a(g706 +g999 +tp11061 +a(g827 +g964 +tp11062 +a(g436 +Vbae +p11063 +tp11064 +a(g706 +g999 +tp11065 +a(g827 +g964 +tp11066 +a(g37 +g1482 +tp11067 +a(g706 +g1006 +tp11068 +a(g706 +g1082 +tp11069 +a(g827 +V\u000a +p11070 +tp11071 +a(g706 +g1260 +tp11072 +a(g827 +V\u000a +p11073 +tp11074 +a(g827 +V\u000a +p11075 +tp11076 +a(g574 +V%typemap +p11077 +tp11078 +a(g706 +g992 +tp11079 +a(g436 +Vout +p11080 +tp11081 +a(g706 +g1006 +tp11082 +a(g827 +g964 +tp11083 +a(g745 +Vconst +p11084 +tp11085 +a(g827 +g964 +tp11086 +a(g749 +Vunsigned +p11087 +tp11088 +a(g827 +g964 +tp11089 +a(g749 +Vlong +p11090 +tp11091 +a(g827 +g964 +tp11092 +a(g749 +Vlong +p11093 +tp11094 +a(g827 +g964 +tp11095 +a(g413 +g1217 +tp11096 +a(g827 +g964 +tp11097 +a(g706 +g1009 +tp11098 +a(g827 +V \u000a +p11099 +tp11100 +a(g436 +VjbyteArray +p11101 +tp11102 +a(g827 +g964 +tp11103 +a(g436 +Vba +p11104 +tp11105 +a(g827 +g964 +tp11106 +a(g413 +g1075 +tp11107 +a(g827 +g964 +tp11108 +a(g436 +VJCALL1 +p11109 +tp11110 +a(g706 +g992 +tp11111 +a(g436 +VNewByteArray +p11112 +tp11113 +a(g706 +g999 +tp11114 +a(g827 +g964 +tp11115 +a(g436 +Vjenv +p11116 +tp11117 +a(g706 +g999 +tp11118 +a(g827 +g964 +tp11119 +a(g37 +g1497 +tp11120 +a(g706 +g1006 +tp11121 +a(g706 +g1082 +tp11122 +a(g827 +V\u000a +p11123 +tp11124 +a(g827 +V +p11125 +tp11126 +a(g436 +Vjbyte +p11127 +tp11128 +a(g413 +g1023 +tp11129 +a(g827 +g964 +tp11130 +a(g436 +Vbae +p11131 +tp11132 +a(g827 +g964 +tp11133 +a(g413 +g1075 +tp11134 +a(g827 +g964 +tp11135 +a(g436 +VJCALL2 +p11136 +tp11137 +a(g706 +g992 +tp11138 +a(g436 +VGetByteArrayElements +p11139 +tp11140 +a(g706 +g999 +tp11141 +a(g827 +g964 +tp11142 +a(g436 +Vjenv +p11143 +tp11144 +a(g706 +g999 +tp11145 +a(g827 +g964 +tp11146 +a(g436 +Vba +p11147 +tp11148 +a(g706 +g999 +tp11149 +a(g827 +g964 +tp11150 +a(g37 +g1482 +tp11151 +a(g706 +g1006 +tp11152 +a(g706 +g1082 +tp11153 +a(g827 +V\u000a +p11154 +tp11155 +a(g827 +V +p11156 +tp11157 +a(g436 +Vjclass +p11158 +tp11159 +a(g827 +g964 +tp11160 +a(g436 +Vclazz +p11161 +tp11162 +a(g827 +g964 +tp11163 +a(g413 +g1075 +tp11164 +a(g827 +g964 +tp11165 +a(g436 +VJCALL1 +p11166 +tp11167 +a(g706 +g992 +tp11168 +a(g436 +VFindClass +p11169 +tp11170 +a(g706 +g999 +tp11171 +a(g827 +g964 +tp11172 +a(g436 +Vjenv +p11173 +tp11174 +a(g706 +g999 +tp11175 +a(g827 +g964 +tp11176 +a(g89 +g994 +tp11177 +a(g89 +Vjava/math/BigInteger +p11178 +tp11179 +a(g89 +g994 +tp11180 +a(g706 +g1006 +tp11181 +a(g706 +g1082 +tp11182 +a(g827 +V\u000a +p11183 +tp11184 +a(g827 +V +p11185 +tp11186 +a(g436 +VjmethodID +p11187 +tp11188 +a(g827 +g964 +tp11189 +a(g436 +Vmid +p11190 +tp11191 +a(g827 +g964 +tp11192 +a(g413 +g1075 +tp11193 +a(g827 +g964 +tp11194 +a(g436 +VJCALL3 +p11195 +tp11196 +a(g706 +g992 +tp11197 +a(g436 +VGetMethodID +p11198 +tp11199 +a(g706 +g999 +tp11200 +a(g827 +g964 +tp11201 +a(g436 +Vjenv +p11202 +tp11203 +a(g706 +g999 +tp11204 +a(g827 +g964 +tp11205 +a(g436 +Vclazz +p11206 +tp11207 +a(g706 +g999 +tp11208 +a(g827 +g964 +tp11209 +a(g89 +g994 +tp11210 +a(g89 +V +p11211 +tp11212 +a(g89 +g994 +tp11213 +a(g706 +g999 +tp11214 +a(g827 +g964 +tp11215 +a(g89 +g994 +tp11216 +a(g89 +V([B)V +p11217 +tp11218 +a(g89 +g994 +tp11219 +a(g706 +g1006 +tp11220 +a(g706 +g1082 +tp11221 +a(g827 +V\u000a +p11222 +tp11223 +a(g827 +V +p11224 +tp11225 +a(g436 +Vjobject +p11226 +tp11227 +a(g827 +g964 +tp11228 +a(g436 +Vbigint +p11229 +tp11230 +a(g706 +g1082 +tp11231 +a(g827 +V\u000a +p11232 +tp11233 +a(g827 +V +p11234 +tp11235 +a(g749 +Vint +p11236 +tp11237 +a(g827 +g964 +tp11238 +a(g436 +g5919 +tp11239 +a(g706 +g1082 +tp11240 +a(g827 +V\u000a +p11241 +tp11242 +a(g827 +V\u000a +p11243 +tp11244 +a(g827 +V +p11245 +tp11246 +a(g436 +Vbae +p11247 +tp11248 +a(g706 +g1068 +tp11249 +a(g37 +g1482 +tp11250 +a(g706 +g1072 +tp11251 +a(g827 +g964 +tp11252 +a(g413 +g1075 +tp11253 +a(g827 +g964 +tp11254 +a(g37 +g1482 +tp11255 +a(g706 +g1082 +tp11256 +a(g827 +V\u000a +p11257 +tp11258 +a(g827 +V +p11259 +tp11260 +a(g745 +Vfor +p11261 +tp11262 +a(g706 +g992 +tp11263 +a(g436 +g5919 +tp11264 +a(g413 +g1075 +tp11265 +a(g37 +g6800 +tp11266 +a(g706 +g1082 +tp11267 +a(g827 +g964 +tp11268 +a(g436 +g5919 +tp11269 +a(g413 +g966 +tp11270 +a(g37 +g1497 +tp11271 +a(g706 +g1082 +tp11272 +a(g827 +g964 +tp11273 +a(g436 +g5919 +tp11274 +a(g413 +g1144 +tp11275 +a(g413 +g1144 +tp11276 +a(g827 +g964 +tp11277 +a(g706 +g1006 +tp11278 +a(g827 +g964 +tp11279 +a(g706 +g1009 +tp11280 +a(g827 +V\u000a +p11281 +tp11282 +a(g827 +V +p11283 +tp11284 +a(g436 +Vbae +p11285 +tp11286 +a(g706 +g1068 +tp11287 +a(g436 +g5919 +tp11288 +a(g706 +g1072 +tp11289 +a(g827 +g964 +tp11290 +a(g413 +g1075 +tp11291 +a(g827 +g964 +tp11292 +a(g706 +g992 +tp11293 +a(g436 +Vjbyte +p11294 +tp11295 +a(g706 +g1006 +tp11296 +a(g706 +g992 +tp11297 +a(g413 +g1023 +tp11298 +a(g436 +V$1 +p11299 +tp11300 +a(g413 +g974 +tp11301 +a(g413 +g974 +tp11302 +a(g37 +g6168 +tp11303 +a(g413 +g1023 +tp11304 +a(g706 +g992 +tp11305 +a(g37 +g6168 +tp11306 +a(g413 +g1515 +tp11307 +a(g436 +g5919 +tp11308 +a(g706 +g1006 +tp11309 +a(g706 +g1006 +tp11310 +a(g706 +g1082 +tp11311 +a(g827 +V\u000a +p11312 +tp11313 +a(g827 +V +p11314 +tp11315 +a(g706 +g1260 +tp11316 +a(g827 +V\u000a +p11317 +tp11318 +a(g827 +V\u000a +p11319 +tp11320 +a(g827 +V +p11321 +tp11322 +a(g436 +VJCALL3 +p11323 +tp11324 +a(g706 +g992 +tp11325 +a(g436 +VReleaseByteArrayElements +p11326 +tp11327 +a(g706 +g999 +tp11328 +a(g827 +g964 +tp11329 +a(g436 +Vjenv +p11330 +tp11331 +a(g706 +g999 +tp11332 +a(g827 +g964 +tp11333 +a(g436 +Vba +p11334 +tp11335 +a(g706 +g999 +tp11336 +a(g827 +g964 +tp11337 +a(g436 +Vbae +p11338 +tp11339 +a(g706 +g999 +tp11340 +a(g827 +g964 +tp11341 +a(g37 +g1482 +tp11342 +a(g706 +g1006 +tp11343 +a(g706 +g1082 +tp11344 +a(g827 +V\u000a +p11345 +tp11346 +a(g827 +V +p11347 +tp11348 +a(g436 +Vbigint +p11349 +tp11350 +a(g827 +g964 +tp11351 +a(g413 +g1075 +tp11352 +a(g827 +g964 +tp11353 +a(g436 +VJCALL3 +p11354 +tp11355 +a(g706 +g992 +tp11356 +a(g436 +VNewObject +p11357 +tp11358 +a(g706 +g999 +tp11359 +a(g827 +g964 +tp11360 +a(g436 +Vjenv +p11361 +tp11362 +a(g706 +g999 +tp11363 +a(g827 +g964 +tp11364 +a(g436 +Vclazz +p11365 +tp11366 +a(g706 +g999 +tp11367 +a(g827 +g964 +tp11368 +a(g436 +Vmid +p11369 +tp11370 +a(g706 +g999 +tp11371 +a(g827 +g964 +tp11372 +a(g436 +Vba +p11373 +tp11374 +a(g706 +g1006 +tp11375 +a(g706 +g1082 +tp11376 +a(g827 +V\u000a +p11377 +tp11378 +a(g827 +V +p11379 +tp11380 +a(g436 +V$result +p11381 +tp11382 +a(g827 +g964 +tp11383 +a(g413 +g1075 +tp11384 +a(g827 +g964 +tp11385 +a(g436 +Vbigint +p11386 +tp11387 +a(g706 +g1082 +tp11388 +a(g827 +V\u000a +p11389 +tp11390 +a(g706 +g1260 +tp11391 +a(g827 +V\u000a +p11392 +tp11393 +a(g827 +V\u000a +p11394 +tp11395 +a(g574 +V%typemap +p11396 +tp11397 +a(g706 +g992 +tp11398 +a(g436 +Vjavadirectorin +p11399 +tp11400 +a(g706 +g1006 +tp11401 +a(g827 +g964 +tp11402 +a(g745 +Vconst +p11403 +tp11404 +a(g827 +g964 +tp11405 +a(g749 +Vunsigned +p11406 +tp11407 +a(g827 +g964 +tp11408 +a(g749 +Vlong +p11409 +tp11410 +a(g827 +g964 +tp11411 +a(g749 +Vlong +p11412 +tp11413 +a(g827 +g964 +tp11414 +a(g413 +g1217 +tp11415 +a(g827 +g964 +tp11416 +a(g89 +g994 +tp11417 +a(g89 +V$jniinput +p11418 +tp11419 +a(g89 +g994 +tp11420 +a(g827 +V\u000a +p11421 +tp11422 +a(g574 +V%typemap +p11423 +tp11424 +a(g706 +g992 +tp11425 +a(g436 +Vjavadirectorout +p11426 +tp11427 +a(g706 +g1006 +tp11428 +a(g827 +g964 +tp11429 +a(g745 +Vconst +p11430 +tp11431 +a(g827 +g964 +tp11432 +a(g749 +Vunsigned +p11433 +tp11434 +a(g827 +g964 +tp11435 +a(g749 +Vlong +p11436 +tp11437 +a(g827 +g964 +tp11438 +a(g749 +Vlong +p11439 +tp11440 +a(g827 +g964 +tp11441 +a(g413 +g1217 +tp11442 +a(g827 +g964 +tp11443 +a(g89 +g994 +tp11444 +a(g89 +V$javacall +p11445 +tp11446 +a(g89 +g994 +tp11447 +a(g827 +V\u000a +p11448 +tp11449 +a(g827 +V\u000a +p11450 +tp11451 +a(g8 +V/* Default handling. Object passed by value. Convert to a pointer */ +p11452 +tp11453 +a(g827 +V\u000a +p11454 +tp11455 +a(g574 +V%typemap +p11456 +tp11457 +a(g706 +g992 +tp11458 +a(g436 +Vin +p11459 +tp11460 +a(g706 +g1006 +tp11461 +a(g827 +g964 +tp11462 +a(g436 +VSWIGTYPE +p11463 +tp11464 +a(g827 +g964 +tp11465 +a(g706 +g992 +tp11466 +a(g436 +V$&1_type +p11467 +tp11468 +a(g827 +g964 +tp11469 +a(g436 +Vargp +p11470 +tp11471 +a(g706 +g1006 +tp11472 +a(g827 +V\u000a +p11473 +tp11474 +a(g413 +g4560 +tp11475 +a(g706 +g1009 +tp11476 +a(g827 +g964 +tp11477 +a(g436 +Vargp +p11478 +tp11479 +a(g827 +g964 +tp11480 +a(g413 +g1075 +tp11481 +a(g827 +g964 +tp11482 +a(g413 +g1023 +tp11483 +a(g706 +g992 +tp11484 +a(g436 +V$&1_ltype +p11485 +tp11486 +a(g413 +g1023 +tp11487 +a(g706 +g1006 +tp11488 +a(g413 +g1217 +tp11489 +a(g436 +V$input +p11490 +tp11491 +a(g706 +g1082 +tp11492 +a(g827 +V \u000a +p11493 +tp11494 +a(g745 +Vif +p11495 +tp11496 +a(g827 +g964 +tp11497 +a(g706 +g992 +tp11498 +a(g413 +g1162 +tp11499 +a(g436 +Vargp +p11500 +tp11501 +a(g706 +g1006 +tp11502 +a(g827 +g964 +tp11503 +a(g706 +g1009 +tp11504 +a(g827 +V\u000a +p11505 +tp11506 +a(g827 +V +p11507 +tp11508 +a(g436 +VSWIG_JavaThrowException +p11509 +tp11510 +a(g706 +g992 +tp11511 +a(g436 +Vjenv +p11512 +tp11513 +a(g706 +g999 +tp11514 +a(g827 +g964 +tp11515 +a(g436 +VSWIG_JavaNullPointerException +p11516 +tp11517 +a(g706 +g999 +tp11518 +a(g827 +g964 +tp11519 +a(g89 +g994 +tp11520 +a(g89 +VAttempt to dereference null $1_type +p11521 +tp11522 +a(g89 +g994 +tp11523 +a(g706 +g1006 +tp11524 +a(g706 +g1082 +tp11525 +a(g827 +V\u000a +p11526 +tp11527 +a(g827 +V +p11528 +tp11529 +a(g745 +Vreturn +p11530 +tp11531 +a(g827 +g964 +tp11532 +a(g436 +V$null +p11533 +tp11534 +a(g706 +g1082 +tp11535 +a(g827 +V\u000a +p11536 +tp11537 +a(g827 +V +p11538 +tp11539 +a(g706 +g1260 +tp11540 +a(g827 +V\u000a +p11541 +tp11542 +a(g827 +V +p11543 +tp11544 +a(g436 +V$1 +p11545 +tp11546 +a(g827 +g964 +tp11547 +a(g413 +g1075 +tp11548 +a(g827 +g964 +tp11549 +a(g413 +g1023 +tp11550 +a(g436 +Vargp +p11551 +tp11552 +a(g706 +g1082 +tp11553 +a(g827 +g964 +tp11554 +a(g413 +g4560 +tp11555 +a(g706 +g1260 +tp11556 +a(g827 +V\u000a +p11557 +tp11558 +a(g827 +V\u000a +p11559 +tp11560 +a(g574 +V%typemap +p11561 +tp11562 +a(g706 +g992 +tp11563 +a(g436 +Vdirectorout +p11564 +tp11565 +a(g706 +g1006 +tp11566 +a(g827 +g964 +tp11567 +a(g436 +VSWIGTYPE +p11568 +tp11569 +a(g827 +g964 +tp11570 +a(g706 +g992 +tp11571 +a(g436 +V$&1_type +p11572 +tp11573 +a(g827 +g964 +tp11574 +a(g436 +Vargp +p11575 +tp11576 +a(g706 +g1006 +tp11577 +a(g827 +V\u000a +p11578 +tp11579 +a(g413 +g4560 +tp11580 +a(g706 +g1009 +tp11581 +a(g827 +g964 +tp11582 +a(g436 +Vargp +p11583 +tp11584 +a(g827 +g964 +tp11585 +a(g413 +g1075 +tp11586 +a(g827 +g964 +tp11587 +a(g413 +g1023 +tp11588 +a(g706 +g992 +tp11589 +a(g436 +V$&1_ltype +p11590 +tp11591 +a(g413 +g1023 +tp11592 +a(g706 +g1006 +tp11593 +a(g413 +g1217 +tp11594 +a(g436 +V$input +p11595 +tp11596 +a(g706 +g1082 +tp11597 +a(g827 +V \u000a +p11598 +tp11599 +a(g745 +Vif +p11600 +tp11601 +a(g827 +g964 +tp11602 +a(g706 +g992 +tp11603 +a(g413 +g1162 +tp11604 +a(g436 +Vargp +p11605 +tp11606 +a(g706 +g1006 +tp11607 +a(g827 +g964 +tp11608 +a(g706 +g1009 +tp11609 +a(g827 +V\u000a +p11610 +tp11611 +a(g827 +V +p11612 +tp11613 +a(g436 +VSWIG_JavaThrowException +p11614 +tp11615 +a(g706 +g992 +tp11616 +a(g436 +Vjenv +p11617 +tp11618 +a(g706 +g999 +tp11619 +a(g827 +g964 +tp11620 +a(g436 +VSWIG_JavaNullPointerException +p11621 +tp11622 +a(g706 +g999 +tp11623 +a(g827 +g964 +tp11624 +a(g89 +g994 +tp11625 +a(g89 +VUnexpected null return for type $1_type +p11626 +tp11627 +a(g89 +g994 +tp11628 +a(g706 +g1006 +tp11629 +a(g706 +g1082 +tp11630 +a(g827 +V\u000a +p11631 +tp11632 +a(g827 +V +p11633 +tp11634 +a(g745 +Vreturn +p11635 +tp11636 +a(g827 +g964 +tp11637 +a(g436 +V$null +p11638 +tp11639 +a(g706 +g1082 +tp11640 +a(g827 +V\u000a +p11641 +tp11642 +a(g827 +V +p11643 +tp11644 +a(g706 +g1260 +tp11645 +a(g827 +V\u000a +p11646 +tp11647 +a(g827 +V +p11648 +tp11649 +a(g436 +V$result +p11650 +tp11651 +a(g827 +g964 +tp11652 +a(g413 +g1075 +tp11653 +a(g827 +g964 +tp11654 +a(g413 +g1023 +tp11655 +a(g436 +Vargp +p11656 +tp11657 +a(g706 +g1082 +tp11658 +a(g827 +g964 +tp11659 +a(g413 +g4560 +tp11660 +a(g706 +g1260 +tp11661 +a(g827 +V\u000a +p11662 +tp11663 +a(g827 +V\u000a +p11664 +tp11665 +a(g574 +V%typemap +p11666 +tp11667 +a(g706 +g992 +tp11668 +a(g436 +Vout +p11669 +tp11670 +a(g706 +g1006 +tp11671 +a(g827 +g964 +tp11672 +a(g436 +VSWIGTYPE +p11673 +tp11674 +a(g827 +V \u000a +p11675 +tp11676 +a(g899 +V# +p11677 +tp11678 +a(g899 +Vifdef __cplusplus +p11679 +tp11680 +a(g899 +V\u000a +p11681 +tp11682 +a(g413 +g4560 +tp11683 +a(g706 +g1009 +tp11684 +a(g827 +g964 +tp11685 +a(g413 +g1023 +tp11686 +a(g706 +g992 +tp11687 +a(g436 +V$&1_ltype +p11688 +tp11689 +a(g413 +g1023 +tp11690 +a(g706 +g1006 +tp11691 +a(g413 +g1217 +tp11692 +a(g436 +V$result +p11693 +tp11694 +a(g827 +g964 +tp11695 +a(g413 +g1075 +tp11696 +a(g827 +g964 +tp11697 +a(g745 +Vnew +p11698 +tp11699 +a(g827 +g964 +tp11700 +a(g436 +V$1_ltype +p11701 +tp11702 +a(g706 +g992 +tp11703 +a(g706 +g992 +tp11704 +a(g745 +Vconst +p11705 +tp11706 +a(g827 +g964 +tp11707 +a(g436 +V$1_ltype +p11708 +tp11709 +a(g827 +g964 +tp11710 +a(g413 +g1217 +tp11711 +a(g706 +g1006 +tp11712 +a(g436 +V$1 +p11713 +tp11714 +a(g706 +g1006 +tp11715 +a(g706 +g1082 +tp11716 +a(g827 +g964 +tp11717 +a(g413 +g4560 +tp11718 +a(g706 +g1260 +tp11719 +a(g827 +V\u000a +p11720 +tp11721 +a(g899 +g11677 +tp11722 +a(g899 +Velse +p11723 +tp11724 +a(g899 +V\u000a +p11725 +tp11726 +a(g706 +g1009 +tp11727 +a(g827 +V\u000a +p11728 +tp11729 +a(g827 +V +p11730 +tp11731 +a(g436 +V$&1_ltype +p11732 +tp11733 +a(g827 +g964 +tp11734 +a(g436 +V$1ptr +p11735 +tp11736 +a(g827 +g964 +tp11737 +a(g413 +g1075 +tp11738 +a(g827 +g964 +tp11739 +a(g706 +g992 +tp11740 +a(g436 +V$&1_ltype +p11741 +tp11742 +a(g706 +g1006 +tp11743 +a(g827 +g964 +tp11744 +a(g436 +Vmalloc +p11745 +tp11746 +a(g706 +g992 +tp11747 +a(g745 +Vsizeof +p11748 +tp11749 +a(g706 +g992 +tp11750 +a(g436 +V$1_ltype +p11751 +tp11752 +a(g706 +g1006 +tp11753 +a(g706 +g1006 +tp11754 +a(g706 +g1082 +tp11755 +a(g827 +V\u000a +p11756 +tp11757 +a(g827 +V +p11758 +tp11759 +a(g436 +Vmemmove +p11760 +tp11761 +a(g706 +g992 +tp11762 +a(g436 +V$1ptr +p11763 +tp11764 +a(g706 +g999 +tp11765 +a(g827 +g964 +tp11766 +a(g413 +g1217 +tp11767 +a(g436 +V$1 +p11768 +tp11769 +a(g706 +g999 +tp11770 +a(g827 +g964 +tp11771 +a(g745 +Vsizeof +p11772 +tp11773 +a(g706 +g992 +tp11774 +a(g436 +V$1_type +p11775 +tp11776 +a(g706 +g1006 +tp11777 +a(g706 +g1006 +tp11778 +a(g706 +g1082 +tp11779 +a(g827 +V\u000a +p11780 +tp11781 +a(g827 +V +p11782 +tp11783 +a(g413 +g1023 +tp11784 +a(g706 +g992 +tp11785 +a(g436 +V$&1_ltype +p11786 +tp11787 +a(g413 +g1023 +tp11788 +a(g706 +g1006 +tp11789 +a(g413 +g1217 +tp11790 +a(g436 +V$result +p11791 +tp11792 +a(g827 +g964 +tp11793 +a(g413 +g1075 +tp11794 +a(g827 +g964 +tp11795 +a(g436 +V$1ptr +p11796 +tp11797 +a(g706 +g1082 +tp11798 +a(g827 +V\u000a +p11799 +tp11800 +a(g706 +g1260 +tp11801 +a(g827 +V\u000a +p11802 +tp11803 +a(g899 +g11677 +tp11804 +a(g899 +Vendif +p11805 +tp11806 +a(g899 +V\u000a +p11807 +tp11808 +a(g827 +V\u000a +p11809 +tp11810 +a(g574 +V%typemap +p11811 +tp11812 +a(g706 +g992 +tp11813 +a(g436 +Vdirectorin +p11814 +tp11815 +a(g706 +g999 +tp11816 +a(g436 +Vdescriptor +p11817 +tp11818 +a(g413 +g1075 +tp11819 +a(g89 +g994 +tp11820 +a(g89 +VL$packagepath/$&javaclassname; +p11821 +tp11822 +a(g89 +g994 +tp11823 +a(g706 +g1006 +tp11824 +a(g827 +g964 +tp11825 +a(g436 +VSWIGTYPE +p11826 +tp11827 +a(g827 +V \u000a +p11828 +tp11829 +a(g413 +g4560 +tp11830 +a(g706 +g1009 +tp11831 +a(g827 +g964 +tp11832 +a(g436 +V$input +p11833 +tp11834 +a(g827 +g964 +tp11835 +a(g413 +g1075 +tp11836 +a(g827 +g964 +tp11837 +a(g37 +g1482 +tp11838 +a(g706 +g1082 +tp11839 +a(g827 +V\u000a +p11840 +tp11841 +a(g827 +V +p11842 +tp11843 +a(g413 +g1023 +tp11844 +a(g706 +g992 +tp11845 +a(g706 +g992 +tp11846 +a(g436 +V$&1_ltype +p11847 +tp11848 +a(g413 +g1023 +tp11849 +a(g706 +g1006 +tp11850 +a(g413 +g1217 +tp11851 +a(g436 +V$input +p11852 +tp11853 +a(g706 +g1006 +tp11854 +a(g827 +g964 +tp11855 +a(g413 +g1075 +tp11856 +a(g827 +g964 +tp11857 +a(g413 +g1217 +tp11858 +a(g436 +V$1 +p11859 +tp11860 +a(g706 +g1082 +tp11861 +a(g827 +g964 +tp11862 +a(g413 +g4560 +tp11863 +a(g706 +g1260 +tp11864 +a(g827 +V\u000a +p11865 +tp11866 +a(g574 +V%typemap +p11867 +tp11868 +a(g706 +g992 +tp11869 +a(g436 +Vjavadirectorin +p11870 +tp11871 +a(g706 +g1006 +tp11872 +a(g827 +g964 +tp11873 +a(g436 +VSWIGTYPE +p11874 +tp11875 +a(g827 +g964 +tp11876 +a(g89 +g994 +tp11877 +a(g89 +Vnew $&javaclassname($jniinput, false) +p11878 +tp11879 +a(g89 +g994 +tp11880 +a(g827 +V\u000a +p11881 +tp11882 +a(g574 +V%typemap +p11883 +tp11884 +a(g706 +g992 +tp11885 +a(g436 +Vjavadirectorout +p11886 +tp11887 +a(g706 +g1006 +tp11888 +a(g827 +g964 +tp11889 +a(g436 +VSWIGTYPE +p11890 +tp11891 +a(g827 +g964 +tp11892 +a(g89 +g994 +tp11893 +a(g89 +V$&javaclassname.getCPtr($javacall) +p11894 +tp11895 +a(g89 +g994 +tp11896 +a(g827 +V\u000a +p11897 +tp11898 +a(g827 +V\u000a +p11899 +tp11900 +a(g8 +V/* Generic pointers and references */ +p11901 +tp11902 +a(g827 +V\u000a +p11903 +tp11904 +a(g574 +V%typemap +p11905 +tp11906 +a(g706 +g992 +tp11907 +a(g436 +Vin +p11908 +tp11909 +a(g706 +g1006 +tp11910 +a(g827 +g964 +tp11911 +a(g436 +VSWIGTYPE +p11912 +tp11913 +a(g827 +g964 +tp11914 +a(g413 +g1023 +tp11915 +a(g827 +g964 +tp11916 +a(g413 +g4560 +tp11917 +a(g706 +g1009 +tp11918 +a(g827 +g964 +tp11919 +a(g436 +V$1 +p11920 +tp11921 +a(g827 +g964 +tp11922 +a(g413 +g1075 +tp11923 +a(g827 +g964 +tp11924 +a(g413 +g1023 +tp11925 +a(g706 +g992 +tp11926 +a(g436 +V$&1_ltype +p11927 +tp11928 +a(g706 +g1006 +tp11929 +a(g413 +g1217 +tp11930 +a(g436 +V$input +p11931 +tp11932 +a(g706 +g1082 +tp11933 +a(g827 +g964 +tp11934 +a(g413 +g4560 +tp11935 +a(g706 +g1260 +tp11936 +a(g827 +V\u000a +p11937 +tp11938 +a(g574 +V%typemap +p11939 +tp11940 +a(g706 +g992 +tp11941 +a(g436 +Vin +p11942 +tp11943 +a(g706 +g999 +tp11944 +a(g827 +g964 +tp11945 +a(g436 +Vfragment +p11946 +tp11947 +a(g413 +g1075 +tp11948 +a(g89 +g994 +tp11949 +a(g89 +VSWIG_UnPackData +p11950 +tp11951 +a(g89 +g994 +tp11952 +a(g706 +g1006 +tp11953 +a(g827 +g964 +tp11954 +a(g436 +VSWIGTYPE +p11955 +tp11956 +a(g827 +g964 +tp11957 +a(g706 +g992 +tp11958 +a(g436 +VCLASS +p11959 +tp11960 +a(g413 +g4477 +tp11961 +a(g413 +g4477 +tp11962 +a(g413 +g1023 +tp11963 +a(g706 +g1006 +tp11964 +a(g827 +g964 +tp11965 +a(g706 +g1009 +tp11966 +a(g827 +V \u000a +p11967 +tp11968 +a(g745 +Vconst +p11969 +tp11970 +a(g827 +g964 +tp11971 +a(g749 +Vchar +p11972 +tp11973 +a(g827 +g964 +tp11974 +a(g413 +g1023 +tp11975 +a(g436 +Vtemp +p11976 +tp11977 +a(g827 +g964 +tp11978 +a(g413 +g1075 +tp11979 +a(g827 +g964 +tp11980 +a(g37 +g1482 +tp11981 +a(g706 +g1082 +tp11982 +a(g827 +V\u000a +p11983 +tp11984 +a(g827 +V +p11985 +tp11986 +a(g745 +Vif +p11987 +tp11988 +a(g827 +g964 +tp11989 +a(g706 +g992 +tp11990 +a(g436 +V$input +p11991 +tp11992 +a(g706 +g1006 +tp11993 +a(g827 +g964 +tp11994 +a(g706 +g1009 +tp11995 +a(g827 +V\u000a +p11996 +tp11997 +a(g827 +V +p11998 +tp11999 +a(g436 +Vtemp +p12000 +tp12001 +a(g827 +g964 +tp12002 +a(g413 +g1075 +tp12003 +a(g827 +g964 +tp12004 +a(g436 +VJCALL2 +p12005 +tp12006 +a(g706 +g992 +tp12007 +a(g436 +VGetStringUTFChars +p12008 +tp12009 +a(g706 +g999 +tp12010 +a(g827 +g964 +tp12011 +a(g436 +Vjenv +p12012 +tp12013 +a(g706 +g999 +tp12014 +a(g827 +g964 +tp12015 +a(g436 +V$input +p12016 +tp12017 +a(g706 +g999 +tp12018 +a(g827 +g964 +tp12019 +a(g37 +g1482 +tp12020 +a(g706 +g1006 +tp12021 +a(g706 +g1082 +tp12022 +a(g827 +V\u000a +p12023 +tp12024 +a(g827 +V +p12025 +tp12026 +a(g745 +Vif +p12027 +tp12028 +a(g827 +g964 +tp12029 +a(g706 +g992 +tp12030 +a(g413 +g1162 +tp12031 +a(g436 +Vtemp +p12032 +tp12033 +a(g706 +g1006 +tp12034 +a(g827 +g964 +tp12035 +a(g745 +Vreturn +p12036 +tp12037 +a(g827 +g964 +tp12038 +a(g436 +V$null +p12039 +tp12040 +a(g706 +g1082 +tp12041 +a(g827 +V\u000a +p12042 +tp12043 +a(g827 +V +p12044 +tp12045 +a(g706 +g1260 +tp12046 +a(g827 +V\u000a +p12047 +tp12048 +a(g827 +V +p12049 +tp12050 +a(g436 +VSWIG_UnpackData +p12051 +tp12052 +a(g706 +g992 +tp12053 +a(g436 +Vtemp +p12054 +tp12055 +a(g706 +g999 +tp12056 +a(g827 +g964 +tp12057 +a(g706 +g992 +tp12058 +a(g749 +Vvoid +p12059 +tp12060 +a(g827 +g964 +tp12061 +a(g413 +g1023 +tp12062 +a(g706 +g1006 +tp12063 +a(g413 +g1217 +tp12064 +a(g436 +V$1 +p12065 +tp12066 +a(g706 +g999 +tp12067 +a(g827 +g964 +tp12068 +a(g745 +Vsizeof +p12069 +tp12070 +a(g706 +g992 +tp12071 +a(g436 +V$1 +p12072 +tp12073 +a(g706 +g1006 +tp12074 +a(g706 +g1006 +tp12075 +a(g706 +g1082 +tp12076 +a(g827 +V\u000a +p12077 +tp12078 +a(g706 +g1260 +tp12079 +a(g827 +V\u000a +p12080 +tp12081 +a(g574 +V%typemap +p12082 +tp12083 +a(g706 +g992 +tp12084 +a(g436 +Vin +p12085 +tp12086 +a(g706 +g1006 +tp12087 +a(g827 +g964 +tp12088 +a(g436 +VSWIGTYPE +p12089 +tp12090 +a(g827 +g964 +tp12091 +a(g413 +g1217 +tp12092 +a(g827 +g964 +tp12093 +a(g413 +g4560 +tp12094 +a(g706 +g1009 +tp12095 +a(g827 +g964 +tp12096 +a(g436 +V$1 +p12097 +tp12098 +a(g827 +g964 +tp12099 +a(g413 +g1075 +tp12100 +a(g827 +g964 +tp12101 +a(g413 +g1023 +tp12102 +a(g706 +g992 +tp12103 +a(g436 +V$&1_ltype +p12104 +tp12105 +a(g706 +g1006 +tp12106 +a(g413 +g1217 +tp12107 +a(g436 +V$input +p12108 +tp12109 +a(g706 +g1082 +tp12110 +a(g827 +V\u000a +p12111 +tp12112 +a(g827 +V +p12113 +tp12114 +a(g745 +Vif +p12115 +tp12116 +a(g827 +g964 +tp12117 +a(g706 +g992 +tp12118 +a(g413 +g1162 +tp12119 +a(g436 +V$1 +p12120 +tp12121 +a(g706 +g1006 +tp12122 +a(g827 +g964 +tp12123 +a(g706 +g1009 +tp12124 +a(g827 +V\u000a +p12125 +tp12126 +a(g827 +V +p12127 +tp12128 +a(g436 +VSWIG_JavaThrowException +p12129 +tp12130 +a(g706 +g992 +tp12131 +a(g436 +Vjenv +p12132 +tp12133 +a(g706 +g999 +tp12134 +a(g827 +g964 +tp12135 +a(g436 +VSWIG_JavaNullPointerException +p12136 +tp12137 +a(g706 +g999 +tp12138 +a(g827 +g964 +tp12139 +a(g89 +g994 +tp12140 +a(g89 +V$1_type reference is null +p12141 +tp12142 +a(g89 +g994 +tp12143 +a(g706 +g1006 +tp12144 +a(g706 +g1082 +tp12145 +a(g827 +V\u000a +p12146 +tp12147 +a(g827 +V +p12148 +tp12149 +a(g745 +Vreturn +p12150 +tp12151 +a(g827 +g964 +tp12152 +a(g436 +V$null +p12153 +tp12154 +a(g706 +g1082 +tp12155 +a(g827 +V\u000a +p12156 +tp12157 +a(g827 +V +p12158 +tp12159 +a(g706 +g1260 +tp12160 +a(g827 +g964 +tp12161 +a(g413 +g4560 +tp12162 +a(g706 +g1260 +tp12163 +a(g827 +V\u000a +p12164 +tp12165 +a(g574 +V%typemap +p12166 +tp12167 +a(g706 +g992 +tp12168 +a(g436 +Vout +p12169 +tp12170 +a(g706 +g1006 +tp12171 +a(g827 +g964 +tp12172 +a(g436 +VSWIGTYPE +p12173 +tp12174 +a(g827 +g964 +tp12175 +a(g413 +g1023 +tp12176 +a(g827 +V\u000a +p12177 +tp12178 +a(g413 +g4560 +tp12179 +a(g706 +g1009 +tp12180 +a(g827 +g964 +tp12181 +a(g413 +g1023 +tp12182 +a(g706 +g992 +tp12183 +a(g436 +V$&1_ltype +p12184 +tp12185 +a(g706 +g1006 +tp12186 +a(g413 +g1217 +tp12187 +a(g436 +V$result +p12188 +tp12189 +a(g827 +g964 +tp12190 +a(g413 +g1075 +tp12191 +a(g827 +g964 +tp12192 +a(g436 +V$1 +p12193 +tp12194 +a(g706 +g1082 +tp12195 +a(g827 +g964 +tp12196 +a(g413 +g4560 +tp12197 +a(g706 +g1260 +tp12198 +a(g827 +V \u000a +p12199 +tp12200 +a(g574 +V%typemap +p12201 +tp12202 +a(g706 +g992 +tp12203 +a(g436 +Vout +p12204 +tp12205 +a(g706 +g999 +tp12206 +a(g827 +g964 +tp12207 +a(g436 +Vfragment +p12208 +tp12209 +a(g413 +g1075 +tp12210 +a(g89 +g994 +tp12211 +a(g89 +VSWIG_PackData +p12212 +tp12213 +a(g89 +g994 +tp12214 +a(g706 +g999 +tp12215 +a(g827 +g964 +tp12216 +a(g436 +Vnoblock +p12217 +tp12218 +a(g413 +g1075 +tp12219 +a(g37 +g6800 +tp12220 +a(g706 +g1006 +tp12221 +a(g827 +g964 +tp12222 +a(g436 +VSWIGTYPE +p12223 +tp12224 +a(g827 +g964 +tp12225 +a(g706 +g992 +tp12226 +a(g436 +VCLASS +p12227 +tp12228 +a(g413 +g4477 +tp12229 +a(g413 +g4477 +tp12230 +a(g413 +g1023 +tp12231 +a(g706 +g1006 +tp12232 +a(g827 +g964 +tp12233 +a(g706 +g1009 +tp12234 +a(g827 +V\u000a +p12235 +tp12236 +a(g827 +V +p12237 +tp12238 +a(g749 +Vchar +p12239 +tp12240 +a(g827 +g964 +tp12241 +a(g436 +Vbuf +p12242 +tp12243 +a(g706 +g1068 +tp12244 +a(g37 +V128 +p12245 +tp12246 +a(g706 +g1072 +tp12247 +a(g706 +g1082 +tp12248 +a(g827 +V\u000a +p12249 +tp12250 +a(g827 +V +p12251 +tp12252 +a(g749 +Vchar +p12253 +tp12254 +a(g827 +g964 +tp12255 +a(g413 +g1023 +tp12256 +a(g436 +Vdata +p12257 +tp12258 +a(g827 +g964 +tp12259 +a(g413 +g1075 +tp12260 +a(g827 +g964 +tp12261 +a(g436 +VSWIG_PackData +p12262 +tp12263 +a(g706 +g992 +tp12264 +a(g436 +Vbuf +p12265 +tp12266 +a(g706 +g999 +tp12267 +a(g827 +g964 +tp12268 +a(g706 +g992 +tp12269 +a(g749 +Vvoid +p12270 +tp12271 +a(g827 +g964 +tp12272 +a(g413 +g1023 +tp12273 +a(g706 +g1006 +tp12274 +a(g413 +g1217 +tp12275 +a(g436 +V$1 +p12276 +tp12277 +a(g706 +g999 +tp12278 +a(g827 +g964 +tp12279 +a(g745 +Vsizeof +p12280 +tp12281 +a(g706 +g992 +tp12282 +a(g436 +V$1 +p12283 +tp12284 +a(g706 +g1006 +tp12285 +a(g706 +g1006 +tp12286 +a(g706 +g1082 +tp12287 +a(g827 +V\u000a +p12288 +tp12289 +a(g827 +V +p12290 +tp12291 +a(g413 +g1023 +tp12292 +a(g436 +Vdata +p12293 +tp12294 +a(g827 +g964 +tp12295 +a(g413 +g1075 +tp12296 +a(g827 +g964 +tp12297 +a(g93 +g1480 +tp12298 +a(g93 +V\u005c0 +p12299 +tp12300 +a(g93 +g1480 +tp12301 +a(g706 +g1082 +tp12302 +a(g827 +V\u000a +p12303 +tp12304 +a(g827 +V +p12305 +tp12306 +a(g436 +V$result +p12307 +tp12308 +a(g827 +g964 +tp12309 +a(g413 +g1075 +tp12310 +a(g827 +g964 +tp12311 +a(g436 +VJCALL1 +p12312 +tp12313 +a(g706 +g992 +tp12314 +a(g436 +VNewStringUTF +p12315 +tp12316 +a(g706 +g999 +tp12317 +a(g827 +g964 +tp12318 +a(g436 +Vjenv +p12319 +tp12320 +a(g706 +g999 +tp12321 +a(g827 +g964 +tp12322 +a(g436 +Vbuf +p12323 +tp12324 +a(g706 +g1006 +tp12325 +a(g706 +g1082 +tp12326 +a(g827 +V\u000a +p12327 +tp12328 +a(g706 +g1260 +tp12329 +a(g827 +V\u000a +p12330 +tp12331 +a(g574 +V%typemap +p12332 +tp12333 +a(g706 +g992 +tp12334 +a(g436 +Vout +p12335 +tp12336 +a(g706 +g1006 +tp12337 +a(g827 +g964 +tp12338 +a(g436 +VSWIGTYPE +p12339 +tp12340 +a(g827 +g964 +tp12341 +a(g413 +g1217 +tp12342 +a(g827 +V\u000a +p12343 +tp12344 +a(g413 +g4560 +tp12345 +a(g706 +g1009 +tp12346 +a(g827 +g964 +tp12347 +a(g413 +g1023 +tp12348 +a(g706 +g992 +tp12349 +a(g436 +V$&1_ltype +p12350 +tp12351 +a(g706 +g1006 +tp12352 +a(g413 +g1217 +tp12353 +a(g436 +V$result +p12354 +tp12355 +a(g827 +g964 +tp12356 +a(g413 +g1075 +tp12357 +a(g827 +g964 +tp12358 +a(g436 +V$1 +p12359 +tp12360 +a(g706 +g1082 +tp12361 +a(g827 +g964 +tp12362 +a(g413 +g4560 +tp12363 +a(g706 +g1260 +tp12364 +a(g827 +V \u000a\u000a +p12365 +tp12366 +a(g574 +V%typemap +p12367 +tp12368 +a(g706 +g992 +tp12369 +a(g436 +Vdirectorout +p12370 +tp12371 +a(g706 +g999 +tp12372 +a(g827 +g964 +tp12373 +a(g436 +Vwarning +p12374 +tp12375 +a(g413 +g1075 +tp12376 +a(g436 +VSWIGWARN_TYPEMAP_DIRECTOROUT_PTR_MSG +p12377 +tp12378 +a(g706 +g1006 +tp12379 +a(g827 +g964 +tp12380 +a(g436 +VSWIGTYPE +p12381 +tp12382 +a(g827 +g964 +tp12383 +a(g413 +g1023 +tp12384 +a(g827 +V\u000a +p12385 +tp12386 +a(g413 +g4560 +tp12387 +a(g706 +g1009 +tp12388 +a(g827 +g964 +tp12389 +a(g436 +V$result +p12390 +tp12391 +a(g827 +g964 +tp12392 +a(g413 +g1075 +tp12393 +a(g827 +g964 +tp12394 +a(g413 +g1023 +tp12395 +a(g706 +g992 +tp12396 +a(g436 +V$&1_ltype +p12397 +tp12398 +a(g706 +g1006 +tp12399 +a(g413 +g1217 +tp12400 +a(g436 +V$input +p12401 +tp12402 +a(g706 +g1082 +tp12403 +a(g827 +g964 +tp12404 +a(g413 +g4560 +tp12405 +a(g706 +g1260 +tp12406 +a(g827 +V\u000a +p12407 +tp12408 +a(g574 +V%typemap +p12409 +tp12410 +a(g706 +g992 +tp12411 +a(g436 +Vdirectorout +p12412 +tp12413 +a(g706 +g999 +tp12414 +a(g827 +g964 +tp12415 +a(g436 +Vwarning +p12416 +tp12417 +a(g413 +g1075 +tp12418 +a(g436 +VSWIGWARN_TYPEMAP_DIRECTOROUT_PTR_MSG +p12419 +tp12420 +a(g706 +g1006 +tp12421 +a(g827 +g964 +tp12422 +a(g436 +VSWIGTYPE +p12423 +tp12424 +a(g827 +g964 +tp12425 +a(g706 +g992 +tp12426 +a(g436 +VCLASS +p12427 +tp12428 +a(g413 +g4477 +tp12429 +a(g413 +g4477 +tp12430 +a(g413 +g1023 +tp12431 +a(g706 +g1006 +tp12432 +a(g827 +V\u000a +p12433 +tp12434 +a(g413 +g4560 +tp12435 +a(g706 +g1009 +tp12436 +a(g827 +g964 +tp12437 +a(g436 +V$result +p12438 +tp12439 +a(g827 +g964 +tp12440 +a(g413 +g1075 +tp12441 +a(g827 +g964 +tp12442 +a(g413 +g1023 +tp12443 +a(g706 +g992 +tp12444 +a(g436 +V$&1_ltype +p12445 +tp12446 +a(g706 +g1006 +tp12447 +a(g413 +g1217 +tp12448 +a(g436 +V$input +p12449 +tp12450 +a(g706 +g1082 +tp12451 +a(g827 +g964 +tp12452 +a(g413 +g4560 +tp12453 +a(g706 +g1260 +tp12454 +a(g827 +V\u000a +p12455 +tp12456 +a(g827 +V\u000a +p12457 +tp12458 +a(g574 +V%typemap +p12459 +tp12460 +a(g706 +g992 +tp12461 +a(g436 +Vdirectorin +p12462 +tp12463 +a(g706 +g999 +tp12464 +a(g436 +Vdescriptor +p12465 +tp12466 +a(g413 +g1075 +tp12467 +a(g89 +g994 +tp12468 +a(g89 +VL$packagepath/$javaclassname; +p12469 +tp12470 +a(g89 +g994 +tp12471 +a(g706 +g1006 +tp12472 +a(g827 +g964 +tp12473 +a(g436 +VSWIGTYPE +p12474 +tp12475 +a(g827 +g964 +tp12476 +a(g413 +g1023 +tp12477 +a(g827 +V\u000a +p12478 +tp12479 +a(g413 +g4560 +tp12480 +a(g706 +g1009 +tp12481 +a(g827 +g964 +tp12482 +a(g413 +g1023 +tp12483 +a(g706 +g992 +tp12484 +a(g706 +g992 +tp12485 +a(g436 +V$&1_ltype +p12486 +tp12487 +a(g706 +g1006 +tp12488 +a(g413 +g1217 +tp12489 +a(g436 +V$input +p12490 +tp12491 +a(g706 +g1006 +tp12492 +a(g827 +g964 +tp12493 +a(g413 +g1075 +tp12494 +a(g827 +g964 +tp12495 +a(g706 +g992 +tp12496 +a(g436 +V$1_ltype +p12497 +tp12498 +a(g706 +g1006 +tp12499 +a(g827 +g964 +tp12500 +a(g436 +V$1 +p12501 +tp12502 +a(g706 +g1082 +tp12503 +a(g827 +g964 +tp12504 +a(g413 +g4560 +tp12505 +a(g706 +g1260 +tp12506 +a(g827 +V\u000a +p12507 +tp12508 +a(g574 +V%typemap +p12509 +tp12510 +a(g706 +g992 +tp12511 +a(g436 +Vdirectorin +p12512 +tp12513 +a(g706 +g999 +tp12514 +a(g436 +Vdescriptor +p12515 +tp12516 +a(g413 +g1075 +tp12517 +a(g89 +g994 +tp12518 +a(g89 +VL$packagepath/$javaclassname; +p12519 +tp12520 +a(g89 +g994 +tp12521 +a(g706 +g1006 +tp12522 +a(g827 +g964 +tp12523 +a(g436 +VSWIGTYPE +p12524 +tp12525 +a(g827 +g964 +tp12526 +a(g706 +g992 +tp12527 +a(g436 +VCLASS +p12528 +tp12529 +a(g413 +g4477 +tp12530 +a(g413 +g4477 +tp12531 +a(g413 +g1023 +tp12532 +a(g706 +g1006 +tp12533 +a(g827 +V\u000a +p12534 +tp12535 +a(g413 +g4560 +tp12536 +a(g706 +g1009 +tp12537 +a(g827 +g964 +tp12538 +a(g413 +g1023 +tp12539 +a(g706 +g992 +tp12540 +a(g706 +g992 +tp12541 +a(g436 +V$&1_ltype +p12542 +tp12543 +a(g706 +g1006 +tp12544 +a(g413 +g1217 +tp12545 +a(g436 +V$input +p12546 +tp12547 +a(g706 +g1006 +tp12548 +a(g827 +g964 +tp12549 +a(g413 +g1075 +tp12550 +a(g827 +g964 +tp12551 +a(g706 +g992 +tp12552 +a(g436 +V$1_ltype +p12553 +tp12554 +a(g706 +g1006 +tp12555 +a(g827 +g964 +tp12556 +a(g436 +V$1 +p12557 +tp12558 +a(g706 +g1082 +tp12559 +a(g827 +g964 +tp12560 +a(g413 +g4560 +tp12561 +a(g706 +g1260 +tp12562 +a(g827 +V\u000a +p12563 +tp12564 +a(g827 +V\u000a +p12565 +tp12566 +a(g574 +V%typemap +p12567 +tp12568 +a(g706 +g992 +tp12569 +a(g436 +Vdirectorout +p12570 +tp12571 +a(g706 +g999 +tp12572 +a(g827 +g964 +tp12573 +a(g436 +Vwarning +p12574 +tp12575 +a(g413 +g1075 +tp12576 +a(g436 +VSWIGWARN_TYPEMAP_DIRECTOROUT_PTR_MSG +p12577 +tp12578 +a(g706 +g1006 +tp12579 +a(g827 +g964 +tp12580 +a(g436 +VSWIGTYPE +p12581 +tp12582 +a(g827 +g964 +tp12583 +a(g413 +g1217 +tp12584 +a(g827 +V\u000a +p12585 +tp12586 +a(g413 +g4560 +tp12587 +a(g706 +g1009 +tp12588 +a(g827 +g964 +tp12589 +a(g745 +Vif +p12590 +tp12591 +a(g827 +g964 +tp12592 +a(g706 +g992 +tp12593 +a(g413 +g1162 +tp12594 +a(g436 +V$input +p12595 +tp12596 +a(g706 +g1006 +tp12597 +a(g827 +g964 +tp12598 +a(g706 +g1009 +tp12599 +a(g827 +V\u000a +p12600 +tp12601 +a(g827 +V +p12602 +tp12603 +a(g436 +VSWIG_JavaThrowException +p12604 +tp12605 +a(g706 +g992 +tp12606 +a(g436 +Vjenv +p12607 +tp12608 +a(g706 +g999 +tp12609 +a(g827 +g964 +tp12610 +a(g436 +VSWIG_JavaNullPointerException +p12611 +tp12612 +a(g706 +g999 +tp12613 +a(g827 +g964 +tp12614 +a(g89 +g994 +tp12615 +a(g89 +VUnexpected null return for type $1_type +p12616 +tp12617 +a(g89 +g994 +tp12618 +a(g706 +g1006 +tp12619 +a(g706 +g1082 +tp12620 +a(g827 +V\u000a +p12621 +tp12622 +a(g827 +V +p12623 +tp12624 +a(g745 +Vreturn +p12625 +tp12626 +a(g827 +g964 +tp12627 +a(g436 +V$null +p12628 +tp12629 +a(g706 +g1082 +tp12630 +a(g827 +V\u000a +p12631 +tp12632 +a(g827 +V +p12633 +tp12634 +a(g706 +g1260 +tp12635 +a(g827 +V\u000a +p12636 +tp12637 +a(g827 +V +p12638 +tp12639 +a(g436 +V$result +p12640 +tp12641 +a(g827 +g964 +tp12642 +a(g413 +g1075 +tp12643 +a(g827 +g964 +tp12644 +a(g413 +g1023 +tp12645 +a(g706 +g992 +tp12646 +a(g436 +V$&1_ltype +p12647 +tp12648 +a(g706 +g1006 +tp12649 +a(g413 +g1217 +tp12650 +a(g436 +V$input +p12651 +tp12652 +a(g706 +g1082 +tp12653 +a(g827 +g964 +tp12654 +a(g413 +g4560 +tp12655 +a(g706 +g1260 +tp12656 +a(g827 +V\u000a +p12657 +tp12658 +a(g574 +V%typemap +p12659 +tp12660 +a(g706 +g992 +tp12661 +a(g436 +Vdirectorin +p12662 +tp12663 +a(g706 +g999 +tp12664 +a(g436 +Vdescriptor +p12665 +tp12666 +a(g413 +g1075 +tp12667 +a(g89 +g994 +tp12668 +a(g89 +VL$packagepath/$javaclassname; +p12669 +tp12670 +a(g89 +g994 +tp12671 +a(g706 +g1006 +tp12672 +a(g827 +g964 +tp12673 +a(g436 +VSWIGTYPE +p12674 +tp12675 +a(g827 +g964 +tp12676 +a(g413 +g1217 +tp12677 +a(g827 +V\u000a +p12678 +tp12679 +a(g413 +g4560 +tp12680 +a(g706 +g1009 +tp12681 +a(g827 +g964 +tp12682 +a(g413 +g1023 +tp12683 +a(g706 +g992 +tp12684 +a(g436 +V$&1_ltype +p12685 +tp12686 +a(g706 +g1006 +tp12687 +a(g413 +g1217 +tp12688 +a(g436 +V$input +p12689 +tp12690 +a(g827 +g964 +tp12691 +a(g413 +g1075 +tp12692 +a(g827 +g964 +tp12693 +a(g706 +g992 +tp12694 +a(g436 +V$1_ltype +p12695 +tp12696 +a(g706 +g1006 +tp12697 +a(g827 +g964 +tp12698 +a(g413 +g1217 +tp12699 +a(g436 +V$1 +p12700 +tp12701 +a(g706 +g1082 +tp12702 +a(g827 +g964 +tp12703 +a(g413 +g4560 +tp12704 +a(g706 +g1260 +tp12705 +a(g827 +V\u000a +p12706 +tp12707 +a(g827 +V\u000a +p12708 +tp12709 +a(g574 +V%typemap +p12710 +tp12711 +a(g706 +g992 +tp12712 +a(g436 +Vjavadirectorin +p12713 +tp12714 +a(g706 +g1006 +tp12715 +a(g827 +g964 +tp12716 +a(g436 +VSWIGTYPE +p12717 +tp12718 +a(g827 +g964 +tp12719 +a(g413 +g1023 +tp12720 +a(g706 +g999 +tp12721 +a(g827 +g964 +tp12722 +a(g436 +VSWIGTYPE +p12723 +tp12724 +a(g827 +g964 +tp12725 +a(g706 +g992 +tp12726 +a(g436 +VCLASS +p12727 +tp12728 +a(g413 +g4477 +tp12729 +a(g413 +g4477 +tp12730 +a(g413 +g1023 +tp12731 +a(g706 +g1006 +tp12732 +a(g827 +g964 +tp12733 +a(g89 +g994 +tp12734 +a(g89 +V($jniinput == 0) ? null : new $javaclassname($jniinput, false) +p12735 +tp12736 +a(g89 +g994 +tp12737 +a(g827 +V\u000a +p12738 +tp12739 +a(g574 +V%typemap +p12740 +tp12741 +a(g706 +g992 +tp12742 +a(g436 +Vjavadirectorin +p12743 +tp12744 +a(g706 +g1006 +tp12745 +a(g827 +g964 +tp12746 +a(g436 +VSWIGTYPE +p12747 +tp12748 +a(g827 +g964 +tp12749 +a(g413 +g1217 +tp12750 +a(g827 +g964 +tp12751 +a(g89 +g994 +tp12752 +a(g89 +Vnew $javaclassname($jniinput, false) +p12753 +tp12754 +a(g89 +g994 +tp12755 +a(g827 +V\u000a +p12756 +tp12757 +a(g574 +V%typemap +p12758 +tp12759 +a(g706 +g992 +tp12760 +a(g436 +Vjavadirectorout +p12761 +tp12762 +a(g706 +g1006 +tp12763 +a(g827 +g964 +tp12764 +a(g436 +VSWIGTYPE +p12765 +tp12766 +a(g827 +g964 +tp12767 +a(g413 +g1023 +tp12768 +a(g706 +g999 +tp12769 +a(g827 +g964 +tp12770 +a(g436 +VSWIGTYPE +p12771 +tp12772 +a(g827 +g964 +tp12773 +a(g706 +g992 +tp12774 +a(g436 +VCLASS +p12775 +tp12776 +a(g413 +g4477 +tp12777 +a(g413 +g4477 +tp12778 +a(g413 +g1023 +tp12779 +a(g706 +g1006 +tp12780 +a(g706 +g999 +tp12781 +a(g827 +g964 +tp12782 +a(g436 +VSWIGTYPE +p12783 +tp12784 +a(g827 +g964 +tp12785 +a(g413 +g1217 +tp12786 +a(g827 +g964 +tp12787 +a(g89 +g994 +tp12788 +a(g89 +V$javaclassname.getCPtr($javacall) +p12789 +tp12790 +a(g89 +g994 +tp12791 +a(g827 +V\u000a +p12792 +tp12793 +a(g827 +V\u000a +p12794 +tp12795 +a(g8 +V/* Default array handling */ +p12796 +tp12797 +a(g827 +V\u000a +p12798 +tp12799 +a(g574 +V%typemap +p12800 +tp12801 +a(g706 +g992 +tp12802 +a(g436 +Vin +p12803 +tp12804 +a(g706 +g1006 +tp12805 +a(g827 +g964 +tp12806 +a(g436 +VSWIGTYPE +p12807 +tp12808 +a(g827 +g964 +tp12809 +a(g706 +g1068 +tp12810 +a(g706 +g1072 +tp12811 +a(g827 +g964 +tp12812 +a(g413 +g4560 +tp12813 +a(g706 +g1009 +tp12814 +a(g827 +g964 +tp12815 +a(g436 +V$1 +p12816 +tp12817 +a(g827 +g964 +tp12818 +a(g413 +g1075 +tp12819 +a(g827 +g964 +tp12820 +a(g413 +g1023 +tp12821 +a(g706 +g992 +tp12822 +a(g436 +V$&1_ltype +p12823 +tp12824 +a(g706 +g1006 +tp12825 +a(g413 +g1217 +tp12826 +a(g436 +V$input +p12827 +tp12828 +a(g706 +g1082 +tp12829 +a(g827 +g964 +tp12830 +a(g413 +g4560 +tp12831 +a(g706 +g1260 +tp12832 +a(g827 +V\u000a +p12833 +tp12834 +a(g574 +V%typemap +p12835 +tp12836 +a(g706 +g992 +tp12837 +a(g436 +Vout +p12838 +tp12839 +a(g706 +g1006 +tp12840 +a(g827 +g964 +tp12841 +a(g436 +VSWIGTYPE +p12842 +tp12843 +a(g827 +g964 +tp12844 +a(g706 +g1068 +tp12845 +a(g706 +g1072 +tp12846 +a(g827 +g964 +tp12847 +a(g413 +g4560 +tp12848 +a(g706 +g1009 +tp12849 +a(g827 +g964 +tp12850 +a(g413 +g1023 +tp12851 +a(g706 +g992 +tp12852 +a(g436 +V$&1_ltype +p12853 +tp12854 +a(g706 +g1006 +tp12855 +a(g413 +g1217 +tp12856 +a(g436 +V$result +p12857 +tp12858 +a(g827 +g964 +tp12859 +a(g413 +g1075 +tp12860 +a(g827 +g964 +tp12861 +a(g436 +V$1 +p12862 +tp12863 +a(g706 +g1082 +tp12864 +a(g827 +g964 +tp12865 +a(g413 +g4560 +tp12866 +a(g706 +g1260 +tp12867 +a(g827 +V \u000a +p12868 +tp12869 +a(g574 +V%typemap +p12870 +tp12871 +a(g706 +g992 +tp12872 +a(g436 +Vfreearg +p12873 +tp12874 +a(g706 +g1006 +tp12875 +a(g827 +g964 +tp12876 +a(g436 +VSWIGTYPE +p12877 +tp12878 +a(g827 +g964 +tp12879 +a(g706 +g1068 +tp12880 +a(g436 +VANY +p12881 +tp12882 +a(g706 +g1072 +tp12883 +a(g706 +g999 +tp12884 +a(g827 +g964 +tp12885 +a(g436 +VSWIGTYPE +p12886 +tp12887 +a(g827 +g964 +tp12888 +a(g706 +g1068 +tp12889 +a(g706 +g1072 +tp12890 +a(g827 +g964 +tp12891 +a(g89 +g994 +tp12892 +a(g89 +g994 +tp12893 +a(g827 +V\u000a +p12894 +tp12895 +a(g827 +V\u000a +p12896 +tp12897 +a(g8 +V/* char arrays - treat as String */ +p12898 +tp12899 +a(g827 +V\u000a +p12900 +tp12901 +a(g574 +V%typemap +p12902 +tp12903 +a(g706 +g992 +tp12904 +a(g436 +Vin +p12905 +tp12906 +a(g706 +g999 +tp12907 +a(g827 +g964 +tp12908 +a(g436 +Vnoblock +p12909 +tp12910 +a(g413 +g1075 +tp12911 +a(g37 +g6800 +tp12912 +a(g706 +g1006 +tp12913 +a(g827 +g964 +tp12914 +a(g749 +Vchar +p12915 +tp12916 +a(g706 +g1068 +tp12917 +a(g436 +VANY +p12918 +tp12919 +a(g706 +g1072 +tp12920 +a(g706 +g999 +tp12921 +a(g827 +g964 +tp12922 +a(g749 +Vchar +p12923 +tp12924 +a(g706 +g1068 +tp12925 +a(g706 +g1072 +tp12926 +a(g827 +g964 +tp12927 +a(g706 +g1009 +tp12928 +a(g827 +V\u000a +p12929 +tp12930 +a(g827 +V +p12931 +tp12932 +a(g436 +V$1 +p12933 +tp12934 +a(g827 +g964 +tp12935 +a(g413 +g1075 +tp12936 +a(g827 +g964 +tp12937 +a(g37 +g1482 +tp12938 +a(g706 +g1082 +tp12939 +a(g827 +V\u000a +p12940 +tp12941 +a(g827 +V +p12942 +tp12943 +a(g745 +Vif +p12944 +tp12945 +a(g827 +g964 +tp12946 +a(g706 +g992 +tp12947 +a(g436 +V$input +p12948 +tp12949 +a(g706 +g1006 +tp12950 +a(g827 +g964 +tp12951 +a(g706 +g1009 +tp12952 +a(g827 +V\u000a +p12953 +tp12954 +a(g827 +V +p12955 +tp12956 +a(g436 +V$1 +p12957 +tp12958 +a(g827 +g964 +tp12959 +a(g413 +g1075 +tp12960 +a(g827 +g964 +tp12961 +a(g706 +g992 +tp12962 +a(g436 +V$1_ltype +p12963 +tp12964 +a(g706 +g1006 +tp12965 +a(g436 +VJCALL2 +p12966 +tp12967 +a(g706 +g992 +tp12968 +a(g436 +VGetStringUTFChars +p12969 +tp12970 +a(g706 +g999 +tp12971 +a(g827 +g964 +tp12972 +a(g436 +Vjenv +p12973 +tp12974 +a(g706 +g999 +tp12975 +a(g827 +g964 +tp12976 +a(g436 +V$input +p12977 +tp12978 +a(g706 +g999 +tp12979 +a(g827 +g964 +tp12980 +a(g37 +g1482 +tp12981 +a(g706 +g1006 +tp12982 +a(g706 +g1082 +tp12983 +a(g827 +V\u000a +p12984 +tp12985 +a(g827 +V +p12986 +tp12987 +a(g745 +Vif +p12988 +tp12989 +a(g827 +g964 +tp12990 +a(g706 +g992 +tp12991 +a(g413 +g1162 +tp12992 +a(g436 +V$1 +p12993 +tp12994 +a(g706 +g1006 +tp12995 +a(g827 +g964 +tp12996 +a(g745 +Vreturn +p12997 +tp12998 +a(g827 +g964 +tp12999 +a(g436 +V$null +p13000 +tp13001 +a(g706 +g1082 +tp13002 +a(g827 +V\u000a +p13003 +tp13004 +a(g827 +V +p13005 +tp13006 +a(g706 +g1260 +tp13007 +a(g827 +V\u000a +p13008 +tp13009 +a(g706 +g1260 +tp13010 +a(g827 +V\u000a +p13011 +tp13012 +a(g827 +V\u000a +p13013 +tp13014 +a(g574 +V%typemap +p13015 +tp13016 +a(g706 +g992 +tp13017 +a(g436 +Vdirectorout +p13018 +tp13019 +a(g706 +g999 +tp13020 +a(g827 +g964 +tp13021 +a(g436 +Vnoblock +p13022 +tp13023 +a(g413 +g1075 +tp13024 +a(g37 +g6800 +tp13025 +a(g706 +g1006 +tp13026 +a(g827 +g964 +tp13027 +a(g749 +Vchar +p13028 +tp13029 +a(g706 +g1068 +tp13030 +a(g436 +VANY +p13031 +tp13032 +a(g706 +g1072 +tp13033 +a(g706 +g999 +tp13034 +a(g827 +g964 +tp13035 +a(g749 +Vchar +p13036 +tp13037 +a(g706 +g1068 +tp13038 +a(g706 +g1072 +tp13039 +a(g827 +g964 +tp13040 +a(g706 +g1009 +tp13041 +a(g827 +V\u000a +p13042 +tp13043 +a(g827 +V +p13044 +tp13045 +a(g436 +V$1 +p13046 +tp13047 +a(g827 +g964 +tp13048 +a(g413 +g1075 +tp13049 +a(g827 +g964 +tp13050 +a(g37 +g1482 +tp13051 +a(g706 +g1082 +tp13052 +a(g827 +V\u000a +p13053 +tp13054 +a(g827 +V +p13055 +tp13056 +a(g745 +Vif +p13057 +tp13058 +a(g827 +g964 +tp13059 +a(g706 +g992 +tp13060 +a(g436 +V$input +p13061 +tp13062 +a(g706 +g1006 +tp13063 +a(g827 +g964 +tp13064 +a(g706 +g1009 +tp13065 +a(g827 +V\u000a +p13066 +tp13067 +a(g827 +V +p13068 +tp13069 +a(g436 +V$result +p13070 +tp13071 +a(g827 +g964 +tp13072 +a(g413 +g1075 +tp13073 +a(g827 +g964 +tp13074 +a(g706 +g992 +tp13075 +a(g436 +V$1_ltype +p13076 +tp13077 +a(g706 +g1006 +tp13078 +a(g436 +VJCALL2 +p13079 +tp13080 +a(g706 +g992 +tp13081 +a(g436 +VGetStringUTFChars +p13082 +tp13083 +a(g706 +g999 +tp13084 +a(g827 +g964 +tp13085 +a(g436 +Vjenv +p13086 +tp13087 +a(g706 +g999 +tp13088 +a(g827 +g964 +tp13089 +a(g436 +V$input +p13090 +tp13091 +a(g706 +g999 +tp13092 +a(g827 +g964 +tp13093 +a(g37 +g1482 +tp13094 +a(g706 +g1006 +tp13095 +a(g706 +g1082 +tp13096 +a(g827 +V\u000a +p13097 +tp13098 +a(g827 +V +p13099 +tp13100 +a(g745 +Vif +p13101 +tp13102 +a(g827 +g964 +tp13103 +a(g706 +g992 +tp13104 +a(g413 +g1162 +tp13105 +a(g436 +V$result +p13106 +tp13107 +a(g706 +g1006 +tp13108 +a(g827 +g964 +tp13109 +a(g745 +Vreturn +p13110 +tp13111 +a(g827 +g964 +tp13112 +a(g436 +V$null +p13113 +tp13114 +a(g706 +g1082 +tp13115 +a(g827 +V\u000a +p13116 +tp13117 +a(g827 +V +p13118 +tp13119 +a(g706 +g1260 +tp13120 +a(g827 +V\u000a +p13121 +tp13122 +a(g706 +g1260 +tp13123 +a(g827 +V\u000a +p13124 +tp13125 +a(g827 +V\u000a +p13126 +tp13127 +a(g574 +V%typemap +p13128 +tp13129 +a(g706 +g992 +tp13130 +a(g436 +Vdirectorin +p13131 +tp13132 +a(g706 +g999 +tp13133 +a(g827 +g964 +tp13134 +a(g436 +Vdescriptor +p13135 +tp13136 +a(g413 +g1075 +tp13137 +a(g89 +g994 +tp13138 +a(g89 +VLjava/lang/String; +p13139 +tp13140 +a(g89 +g994 +tp13141 +a(g706 +g999 +tp13142 +a(g827 +g964 +tp13143 +a(g436 +Vnoblock +p13144 +tp13145 +a(g413 +g1075 +tp13146 +a(g37 +g6800 +tp13147 +a(g706 +g1006 +tp13148 +a(g827 +g964 +tp13149 +a(g749 +Vchar +p13150 +tp13151 +a(g706 +g1068 +tp13152 +a(g436 +VANY +p13153 +tp13154 +a(g706 +g1072 +tp13155 +a(g706 +g999 +tp13156 +a(g827 +g964 +tp13157 +a(g749 +Vchar +p13158 +tp13159 +a(g706 +g1068 +tp13160 +a(g706 +g1072 +tp13161 +a(g827 +g964 +tp13162 +a(g706 +g1009 +tp13163 +a(g827 +V\u000a +p13164 +tp13165 +a(g827 +V +p13166 +tp13167 +a(g436 +V$input +p13168 +tp13169 +a(g827 +g964 +tp13170 +a(g413 +g1075 +tp13171 +a(g827 +g964 +tp13172 +a(g37 +g1482 +tp13173 +a(g706 +g1082 +tp13174 +a(g827 +V\u000a +p13175 +tp13176 +a(g827 +V +p13177 +tp13178 +a(g745 +Vif +p13179 +tp13180 +a(g827 +g964 +tp13181 +a(g706 +g992 +tp13182 +a(g436 +V$1 +p13183 +tp13184 +a(g706 +g1006 +tp13185 +a(g827 +g964 +tp13186 +a(g706 +g1009 +tp13187 +a(g827 +V\u000a +p13188 +tp13189 +a(g827 +V +p13190 +tp13191 +a(g436 +V$input +p13192 +tp13193 +a(g827 +g964 +tp13194 +a(g413 +g1075 +tp13195 +a(g827 +g964 +tp13196 +a(g436 +VJCALL1 +p13197 +tp13198 +a(g706 +g992 +tp13199 +a(g436 +VNewStringUTF +p13200 +tp13201 +a(g706 +g999 +tp13202 +a(g827 +g964 +tp13203 +a(g436 +Vjenv +p13204 +tp13205 +a(g706 +g999 +tp13206 +a(g827 +g964 +tp13207 +a(g706 +g992 +tp13208 +a(g745 +Vconst +p13209 +tp13210 +a(g827 +g964 +tp13211 +a(g749 +Vchar +p13212 +tp13213 +a(g827 +g964 +tp13214 +a(g413 +g1023 +tp13215 +a(g706 +g1006 +tp13216 +a(g436 +V$1 +p13217 +tp13218 +a(g706 +g1006 +tp13219 +a(g706 +g1082 +tp13220 +a(g827 +V\u000a +p13221 +tp13222 +a(g827 +V +p13223 +tp13224 +a(g745 +Vif +p13225 +tp13226 +a(g827 +g964 +tp13227 +a(g706 +g992 +tp13228 +a(g413 +g1162 +tp13229 +a(g436 +V$input +p13230 +tp13231 +a(g706 +g1006 +tp13232 +a(g827 +g964 +tp13233 +a(g745 +Vreturn +p13234 +tp13235 +a(g827 +g964 +tp13236 +a(g436 +V$null +p13237 +tp13238 +a(g706 +g1082 +tp13239 +a(g827 +V\u000a +p13240 +tp13241 +a(g827 +V +p13242 +tp13243 +a(g706 +g1260 +tp13244 +a(g827 +V\u000a +p13245 +tp13246 +a(g706 +g1260 +tp13247 +a(g827 +V\u000a +p13248 +tp13249 +a(g827 +V\u000a +p13250 +tp13251 +a(g574 +V%typemap +p13252 +tp13253 +a(g706 +g992 +tp13254 +a(g436 +Vargout +p13255 +tp13256 +a(g706 +g1006 +tp13257 +a(g827 +g964 +tp13258 +a(g749 +Vchar +p13259 +tp13260 +a(g706 +g1068 +tp13261 +a(g436 +VANY +p13262 +tp13263 +a(g706 +g1072 +tp13264 +a(g706 +g999 +tp13265 +a(g827 +g964 +tp13266 +a(g749 +Vchar +p13267 +tp13268 +a(g706 +g1068 +tp13269 +a(g706 +g1072 +tp13270 +a(g827 +g964 +tp13271 +a(g89 +g994 +tp13272 +a(g89 +g994 +tp13273 +a(g827 +V\u000a +p13274 +tp13275 +a(g574 +V%typemap +p13276 +tp13277 +a(g706 +g992 +tp13278 +a(g436 +Vfreearg +p13279 +tp13280 +a(g706 +g999 +tp13281 +a(g827 +g964 +tp13282 +a(g436 +Vnoblock +p13283 +tp13284 +a(g413 +g1075 +tp13285 +a(g37 +g6800 +tp13286 +a(g706 +g1006 +tp13287 +a(g827 +g964 +tp13288 +a(g749 +Vchar +p13289 +tp13290 +a(g706 +g1068 +tp13291 +a(g436 +VANY +p13292 +tp13293 +a(g706 +g1072 +tp13294 +a(g706 +g999 +tp13295 +a(g827 +g964 +tp13296 +a(g749 +Vchar +p13297 +tp13298 +a(g706 +g1068 +tp13299 +a(g706 +g1072 +tp13300 +a(g827 +g964 +tp13301 +a(g706 +g1009 +tp13302 +a(g827 +g964 +tp13303 +a(g745 +Vif +p13304 +tp13305 +a(g827 +g964 +tp13306 +a(g706 +g992 +tp13307 +a(g436 +V$1 +p13308 +tp13309 +a(g706 +g1006 +tp13310 +a(g827 +g964 +tp13311 +a(g436 +VJCALL2 +p13312 +tp13313 +a(g706 +g992 +tp13314 +a(g436 +VReleaseStringUTFChars +p13315 +tp13316 +a(g706 +g999 +tp13317 +a(g827 +g964 +tp13318 +a(g436 +Vjenv +p13319 +tp13320 +a(g706 +g999 +tp13321 +a(g827 +g964 +tp13322 +a(g436 +V$input +p13323 +tp13324 +a(g706 +g999 +tp13325 +a(g827 +g964 +tp13326 +a(g706 +g992 +tp13327 +a(g745 +Vconst +p13328 +tp13329 +a(g827 +g964 +tp13330 +a(g749 +Vchar +p13331 +tp13332 +a(g827 +g964 +tp13333 +a(g413 +g1023 +tp13334 +a(g706 +g1006 +tp13335 +a(g436 +V$1 +p13336 +tp13337 +a(g706 +g1006 +tp13338 +a(g706 +g1082 +tp13339 +a(g827 +g964 +tp13340 +a(g706 +g1260 +tp13341 +a(g827 +V\u000a +p13342 +tp13343 +a(g574 +V%typemap +p13344 +tp13345 +a(g706 +g992 +tp13346 +a(g436 +Vout +p13347 +tp13348 +a(g706 +g999 +tp13349 +a(g827 +g964 +tp13350 +a(g436 +Vnoblock +p13351 +tp13352 +a(g413 +g1075 +tp13353 +a(g37 +g6800 +tp13354 +a(g706 +g1006 +tp13355 +a(g827 +g964 +tp13356 +a(g749 +Vchar +p13357 +tp13358 +a(g706 +g1068 +tp13359 +a(g436 +VANY +p13360 +tp13361 +a(g706 +g1072 +tp13362 +a(g706 +g999 +tp13363 +a(g827 +g964 +tp13364 +a(g749 +Vchar +p13365 +tp13366 +a(g706 +g1068 +tp13367 +a(g706 +g1072 +tp13368 +a(g827 +g964 +tp13369 +a(g706 +g1009 +tp13370 +a(g827 +g964 +tp13371 +a(g745 +Vif +p13372 +tp13373 +a(g827 +g964 +tp13374 +a(g706 +g992 +tp13375 +a(g436 +V$1 +p13376 +tp13377 +a(g706 +g1006 +tp13378 +a(g827 +g964 +tp13379 +a(g436 +V$result +p13380 +tp13381 +a(g827 +g964 +tp13382 +a(g413 +g1075 +tp13383 +a(g827 +g964 +tp13384 +a(g436 +VJCALL1 +p13385 +tp13386 +a(g706 +g992 +tp13387 +a(g436 +VNewStringUTF +p13388 +tp13389 +a(g706 +g999 +tp13390 +a(g827 +g964 +tp13391 +a(g436 +Vjenv +p13392 +tp13393 +a(g706 +g999 +tp13394 +a(g827 +g964 +tp13395 +a(g706 +g992 +tp13396 +a(g745 +Vconst +p13397 +tp13398 +a(g827 +g964 +tp13399 +a(g749 +Vchar +p13400 +tp13401 +a(g827 +g964 +tp13402 +a(g413 +g1023 +tp13403 +a(g706 +g1006 +tp13404 +a(g436 +V$1 +p13405 +tp13406 +a(g706 +g1006 +tp13407 +a(g706 +g1082 +tp13408 +a(g827 +g964 +tp13409 +a(g706 +g1260 +tp13410 +a(g827 +V\u000a +p13411 +tp13412 +a(g574 +V%typemap +p13413 +tp13414 +a(g706 +g992 +tp13415 +a(g436 +Vjavadirectorin +p13416 +tp13417 +a(g706 +g1006 +tp13418 +a(g827 +g964 +tp13419 +a(g749 +Vchar +p13420 +tp13421 +a(g706 +g1068 +tp13422 +a(g436 +VANY +p13423 +tp13424 +a(g706 +g1072 +tp13425 +a(g706 +g999 +tp13426 +a(g827 +g964 +tp13427 +a(g749 +Vchar +p13428 +tp13429 +a(g706 +g1068 +tp13430 +a(g706 +g1072 +tp13431 +a(g827 +g964 +tp13432 +a(g89 +g994 +tp13433 +a(g89 +V$jniinput +p13434 +tp13435 +a(g89 +g994 +tp13436 +a(g827 +V\u000a +p13437 +tp13438 +a(g574 +V%typemap +p13439 +tp13440 +a(g706 +g992 +tp13441 +a(g436 +Vjavadirectorout +p13442 +tp13443 +a(g706 +g1006 +tp13444 +a(g827 +g964 +tp13445 +a(g749 +Vchar +p13446 +tp13447 +a(g706 +g1068 +tp13448 +a(g436 +VANY +p13449 +tp13450 +a(g706 +g1072 +tp13451 +a(g706 +g999 +tp13452 +a(g827 +g964 +tp13453 +a(g749 +Vchar +p13454 +tp13455 +a(g706 +g1068 +tp13456 +a(g706 +g1072 +tp13457 +a(g827 +g964 +tp13458 +a(g89 +g994 +tp13459 +a(g89 +V$javacall +p13460 +tp13461 +a(g89 +g994 +tp13462 +a(g827 +V\u000a +p13463 +tp13464 +a(g827 +V\u000a +p13465 +tp13466 +a(g8 +V/* JNI types */ +p13467 +tp13468 +a(g827 +V\u000a +p13469 +tp13470 +a(g574 +V%typemap +p13471 +tp13472 +a(g706 +g992 +tp13473 +a(g436 +Vin +p13474 +tp13475 +a(g706 +g1006 +tp13476 +a(g827 +g964 +tp13477 +a(g436 +Vjboolean +p13478 +tp13479 +a(g706 +g999 +tp13480 +a(g827 +V\u000a +p13481 +tp13482 +a(g827 +V +p13483 +tp13484 +a(g436 +Vjchar +p13485 +tp13486 +a(g706 +g999 +tp13487 +a(g827 +V\u000a +p13488 +tp13489 +a(g827 +V +p13490 +tp13491 +a(g436 +Vjbyte +p13492 +tp13493 +a(g706 +g999 +tp13494 +a(g827 +V\u000a +p13495 +tp13496 +a(g827 +V +p13497 +tp13498 +a(g436 +Vjshort +p13499 +tp13500 +a(g706 +g999 +tp13501 +a(g827 +V\u000a +p13502 +tp13503 +a(g827 +V +p13504 +tp13505 +a(g436 +Vjint +p13506 +tp13507 +a(g706 +g999 +tp13508 +a(g827 +V\u000a +p13509 +tp13510 +a(g827 +V +p13511 +tp13512 +a(g436 +Vjlong +p13513 +tp13514 +a(g706 +g999 +tp13515 +a(g827 +V\u000a +p13516 +tp13517 +a(g827 +V +p13518 +tp13519 +a(g436 +Vjfloat +p13520 +tp13521 +a(g706 +g999 +tp13522 +a(g827 +V\u000a +p13523 +tp13524 +a(g827 +V +p13525 +tp13526 +a(g436 +Vjdouble +p13527 +tp13528 +a(g706 +g999 +tp13529 +a(g827 +V\u000a +p13530 +tp13531 +a(g827 +V +p13532 +tp13533 +a(g436 +Vjstring +p13534 +tp13535 +a(g706 +g999 +tp13536 +a(g827 +V\u000a +p13537 +tp13538 +a(g827 +V +p13539 +tp13540 +a(g436 +Vjobject +p13541 +tp13542 +a(g706 +g999 +tp13543 +a(g827 +V\u000a +p13544 +tp13545 +a(g827 +V +p13546 +tp13547 +a(g436 +VjbooleanArray +p13548 +tp13549 +a(g706 +g999 +tp13550 +a(g827 +V\u000a +p13551 +tp13552 +a(g827 +V +p13553 +tp13554 +a(g436 +VjcharArray +p13555 +tp13556 +a(g706 +g999 +tp13557 +a(g827 +V\u000a +p13558 +tp13559 +a(g827 +V +p13560 +tp13561 +a(g436 +VjbyteArray +p13562 +tp13563 +a(g706 +g999 +tp13564 +a(g827 +V\u000a +p13565 +tp13566 +a(g827 +V +p13567 +tp13568 +a(g436 +VjshortArray +p13569 +tp13570 +a(g706 +g999 +tp13571 +a(g827 +V\u000a +p13572 +tp13573 +a(g827 +V +p13574 +tp13575 +a(g436 +VjintArray +p13576 +tp13577 +a(g706 +g999 +tp13578 +a(g827 +V\u000a +p13579 +tp13580 +a(g827 +V +p13581 +tp13582 +a(g436 +VjlongArray +p13583 +tp13584 +a(g706 +g999 +tp13585 +a(g827 +V\u000a +p13586 +tp13587 +a(g827 +V +p13588 +tp13589 +a(g436 +VjfloatArray +p13590 +tp13591 +a(g706 +g999 +tp13592 +a(g827 +V\u000a +p13593 +tp13594 +a(g827 +V +p13595 +tp13596 +a(g436 +VjdoubleArray +p13597 +tp13598 +a(g706 +g999 +tp13599 +a(g827 +V\u000a +p13600 +tp13601 +a(g827 +V +p13602 +tp13603 +a(g436 +VjobjectArray +p13604 +tp13605 +a(g827 +V\u000a +p13606 +tp13607 +a(g413 +g4560 +tp13608 +a(g706 +g1009 +tp13609 +a(g827 +g964 +tp13610 +a(g436 +V$1 +p13611 +tp13612 +a(g827 +g964 +tp13613 +a(g413 +g1075 +tp13614 +a(g827 +g964 +tp13615 +a(g436 +V$input +p13616 +tp13617 +a(g706 +g1082 +tp13618 +a(g827 +g964 +tp13619 +a(g413 +g4560 +tp13620 +a(g706 +g1260 +tp13621 +a(g827 +V\u000a +p13622 +tp13623 +a(g827 +V\u000a +p13624 +tp13625 +a(g574 +V%typemap +p13626 +tp13627 +a(g706 +g992 +tp13628 +a(g436 +Vdirectorout +p13629 +tp13630 +a(g706 +g1006 +tp13631 +a(g827 +g964 +tp13632 +a(g436 +Vjboolean +p13633 +tp13634 +a(g706 +g999 +tp13635 +a(g827 +V\u000a +p13636 +tp13637 +a(g827 +V +p13638 +tp13639 +a(g436 +Vjchar +p13640 +tp13641 +a(g706 +g999 +tp13642 +a(g827 +V\u000a +p13643 +tp13644 +a(g827 +V +p13645 +tp13646 +a(g436 +Vjbyte +p13647 +tp13648 +a(g706 +g999 +tp13649 +a(g827 +V\u000a +p13650 +tp13651 +a(g827 +V +p13652 +tp13653 +a(g436 +Vjshort +p13654 +tp13655 +a(g706 +g999 +tp13656 +a(g827 +V\u000a +p13657 +tp13658 +a(g827 +V +p13659 +tp13660 +a(g436 +Vjint +p13661 +tp13662 +a(g706 +g999 +tp13663 +a(g827 +V\u000a +p13664 +tp13665 +a(g827 +V +p13666 +tp13667 +a(g436 +Vjlong +p13668 +tp13669 +a(g706 +g999 +tp13670 +a(g827 +V\u000a +p13671 +tp13672 +a(g827 +V +p13673 +tp13674 +a(g436 +Vjfloat +p13675 +tp13676 +a(g706 +g999 +tp13677 +a(g827 +V\u000a +p13678 +tp13679 +a(g827 +V +p13680 +tp13681 +a(g436 +Vjdouble +p13682 +tp13683 +a(g706 +g999 +tp13684 +a(g827 +V\u000a +p13685 +tp13686 +a(g827 +V +p13687 +tp13688 +a(g436 +Vjstring +p13689 +tp13690 +a(g706 +g999 +tp13691 +a(g827 +V\u000a +p13692 +tp13693 +a(g827 +V +p13694 +tp13695 +a(g436 +Vjobject +p13696 +tp13697 +a(g706 +g999 +tp13698 +a(g827 +V\u000a +p13699 +tp13700 +a(g827 +V +p13701 +tp13702 +a(g436 +VjbooleanArray +p13703 +tp13704 +a(g706 +g999 +tp13705 +a(g827 +V\u000a +p13706 +tp13707 +a(g827 +V +p13708 +tp13709 +a(g436 +VjcharArray +p13710 +tp13711 +a(g706 +g999 +tp13712 +a(g827 +V\u000a +p13713 +tp13714 +a(g827 +V +p13715 +tp13716 +a(g436 +VjbyteArray +p13717 +tp13718 +a(g706 +g999 +tp13719 +a(g827 +V\u000a +p13720 +tp13721 +a(g827 +V +p13722 +tp13723 +a(g436 +VjshortArray +p13724 +tp13725 +a(g706 +g999 +tp13726 +a(g827 +V\u000a +p13727 +tp13728 +a(g827 +V +p13729 +tp13730 +a(g436 +VjintArray +p13731 +tp13732 +a(g706 +g999 +tp13733 +a(g827 +V\u000a +p13734 +tp13735 +a(g827 +V +p13736 +tp13737 +a(g436 +VjlongArray +p13738 +tp13739 +a(g706 +g999 +tp13740 +a(g827 +V\u000a +p13741 +tp13742 +a(g827 +V +p13743 +tp13744 +a(g436 +VjfloatArray +p13745 +tp13746 +a(g706 +g999 +tp13747 +a(g827 +V\u000a +p13748 +tp13749 +a(g827 +V +p13750 +tp13751 +a(g436 +VjdoubleArray +p13752 +tp13753 +a(g706 +g999 +tp13754 +a(g827 +V\u000a +p13755 +tp13756 +a(g827 +V +p13757 +tp13758 +a(g436 +VjobjectArray +p13759 +tp13760 +a(g827 +V\u000a +p13761 +tp13762 +a(g413 +g4560 +tp13763 +a(g706 +g1009 +tp13764 +a(g827 +g964 +tp13765 +a(g436 +V$result +p13766 +tp13767 +a(g827 +g964 +tp13768 +a(g413 +g1075 +tp13769 +a(g827 +g964 +tp13770 +a(g436 +V$input +p13771 +tp13772 +a(g706 +g1082 +tp13773 +a(g827 +g964 +tp13774 +a(g413 +g4560 +tp13775 +a(g706 +g1260 +tp13776 +a(g827 +V\u000a +p13777 +tp13778 +a(g827 +V\u000a +p13779 +tp13780 +a(g574 +V%typemap +p13781 +tp13782 +a(g706 +g992 +tp13783 +a(g436 +Vout +p13784 +tp13785 +a(g706 +g1006 +tp13786 +a(g827 +g964 +tp13787 +a(g436 +Vjboolean +p13788 +tp13789 +a(g706 +g999 +tp13790 +a(g827 +V\u000a +p13791 +tp13792 +a(g827 +V +p13793 +tp13794 +a(g436 +Vjchar +p13795 +tp13796 +a(g706 +g999 +tp13797 +a(g827 +V\u000a +p13798 +tp13799 +a(g827 +V +p13800 +tp13801 +a(g436 +Vjbyte +p13802 +tp13803 +a(g706 +g999 +tp13804 +a(g827 +V\u000a +p13805 +tp13806 +a(g827 +V +p13807 +tp13808 +a(g436 +Vjshort +p13809 +tp13810 +a(g706 +g999 +tp13811 +a(g827 +V\u000a +p13812 +tp13813 +a(g827 +V +p13814 +tp13815 +a(g436 +Vjint +p13816 +tp13817 +a(g706 +g999 +tp13818 +a(g827 +V\u000a +p13819 +tp13820 +a(g827 +V +p13821 +tp13822 +a(g436 +Vjlong +p13823 +tp13824 +a(g706 +g999 +tp13825 +a(g827 +V\u000a +p13826 +tp13827 +a(g827 +V +p13828 +tp13829 +a(g436 +Vjfloat +p13830 +tp13831 +a(g706 +g999 +tp13832 +a(g827 +V\u000a +p13833 +tp13834 +a(g827 +V +p13835 +tp13836 +a(g436 +Vjdouble +p13837 +tp13838 +a(g706 +g999 +tp13839 +a(g827 +V\u000a +p13840 +tp13841 +a(g827 +V +p13842 +tp13843 +a(g436 +Vjstring +p13844 +tp13845 +a(g706 +g999 +tp13846 +a(g827 +V\u000a +p13847 +tp13848 +a(g827 +V +p13849 +tp13850 +a(g436 +Vjobject +p13851 +tp13852 +a(g706 +g999 +tp13853 +a(g827 +V\u000a +p13854 +tp13855 +a(g827 +V +p13856 +tp13857 +a(g436 +VjbooleanArray +p13858 +tp13859 +a(g706 +g999 +tp13860 +a(g827 +V\u000a +p13861 +tp13862 +a(g827 +V +p13863 +tp13864 +a(g436 +VjcharArray +p13865 +tp13866 +a(g706 +g999 +tp13867 +a(g827 +V\u000a +p13868 +tp13869 +a(g827 +V +p13870 +tp13871 +a(g436 +VjbyteArray +p13872 +tp13873 +a(g706 +g999 +tp13874 +a(g827 +V\u000a +p13875 +tp13876 +a(g827 +V +p13877 +tp13878 +a(g436 +VjshortArray +p13879 +tp13880 +a(g706 +g999 +tp13881 +a(g827 +V\u000a +p13882 +tp13883 +a(g827 +V +p13884 +tp13885 +a(g436 +VjintArray +p13886 +tp13887 +a(g706 +g999 +tp13888 +a(g827 +V\u000a +p13889 +tp13890 +a(g827 +V +p13891 +tp13892 +a(g436 +VjlongArray +p13893 +tp13894 +a(g706 +g999 +tp13895 +a(g827 +V\u000a +p13896 +tp13897 +a(g827 +V +p13898 +tp13899 +a(g436 +VjfloatArray +p13900 +tp13901 +a(g706 +g999 +tp13902 +a(g827 +V\u000a +p13903 +tp13904 +a(g827 +V +p13905 +tp13906 +a(g436 +VjdoubleArray +p13907 +tp13908 +a(g706 +g999 +tp13909 +a(g827 +V\u000a +p13910 +tp13911 +a(g827 +V +p13912 +tp13913 +a(g436 +VjobjectArray +p13914 +tp13915 +a(g827 +V\u000a +p13916 +tp13917 +a(g413 +g4560 +tp13918 +a(g706 +g1009 +tp13919 +a(g827 +g964 +tp13920 +a(g436 +V$result +p13921 +tp13922 +a(g827 +g964 +tp13923 +a(g413 +g1075 +tp13924 +a(g827 +g964 +tp13925 +a(g436 +V$1 +p13926 +tp13927 +a(g706 +g1082 +tp13928 +a(g827 +g964 +tp13929 +a(g413 +g4560 +tp13930 +a(g706 +g1260 +tp13931 +a(g827 +V\u000a +p13932 +tp13933 +a(g827 +V\u000a +p13934 +tp13935 +a(g574 +V%typemap +p13936 +tp13937 +a(g706 +g992 +tp13938 +a(g436 +Vdirectorin +p13939 +tp13940 +a(g706 +g999 +tp13941 +a(g436 +Vdescriptor +p13942 +tp13943 +a(g413 +g1075 +tp13944 +a(g89 +g994 +tp13945 +a(g89 +g4886 +tp13946 +a(g89 +g994 +tp13947 +a(g706 +g1006 +tp13948 +a(g827 +V +p13949 +tp13950 +a(g436 +Vjboolean +p13951 +tp13952 +a(g827 +V +p13953 +tp13954 +a(g89 +g994 +tp13955 +a(g89 +V$input = $1; +p13956 +tp13957 +a(g89 +g994 +tp13958 +a(g827 +V\u000a +p13959 +tp13960 +a(g574 +V%typemap +p13961 +tp13962 +a(g706 +g992 +tp13963 +a(g436 +Vdirectorin +p13964 +tp13965 +a(g706 +g999 +tp13966 +a(g436 +Vdescriptor +p13967 +tp13968 +a(g413 +g1075 +tp13969 +a(g89 +g994 +tp13970 +a(g89 +g4912 +tp13971 +a(g89 +g994 +tp13972 +a(g706 +g1006 +tp13973 +a(g827 +V +p13974 +tp13975 +a(g436 +Vjchar +p13976 +tp13977 +a(g827 +V +p13978 +tp13979 +a(g89 +g994 +tp13980 +a(g89 +V$input = $1; +p13981 +tp13982 +a(g89 +g994 +tp13983 +a(g827 +V\u000a +p13984 +tp13985 +a(g574 +V%typemap +p13986 +tp13987 +a(g706 +g992 +tp13988 +a(g436 +Vdirectorin +p13989 +tp13990 +a(g706 +g999 +tp13991 +a(g436 +Vdescriptor +p13992 +tp13993 +a(g413 +g1075 +tp13994 +a(g89 +g994 +tp13995 +a(g89 +g4938 +tp13996 +a(g89 +g994 +tp13997 +a(g706 +g1006 +tp13998 +a(g827 +V +p13999 +tp14000 +a(g436 +Vjbyte +p14001 +tp14002 +a(g827 +V +p14003 +tp14004 +a(g89 +g994 +tp14005 +a(g89 +V$input = $1; +p14006 +tp14007 +a(g89 +g994 +tp14008 +a(g827 +V\u000a +p14009 +tp14010 +a(g574 +V%typemap +p14011 +tp14012 +a(g706 +g992 +tp14013 +a(g436 +Vdirectorin +p14014 +tp14015 +a(g706 +g999 +tp14016 +a(g436 +Vdescriptor +p14017 +tp14018 +a(g413 +g1075 +tp14019 +a(g89 +g994 +tp14020 +a(g89 +g4967 +tp14021 +a(g89 +g994 +tp14022 +a(g706 +g1006 +tp14023 +a(g827 +V +p14024 +tp14025 +a(g436 +Vjshort +p14026 +tp14027 +a(g827 +V +p14028 +tp14029 +a(g89 +g994 +tp14030 +a(g89 +V$input = $1; +p14031 +tp14032 +a(g89 +g994 +tp14033 +a(g827 +V\u000a +p14034 +tp14035 +a(g574 +V%typemap +p14036 +tp14037 +a(g706 +g992 +tp14038 +a(g436 +Vdirectorin +p14039 +tp14040 +a(g706 +g999 +tp14041 +a(g436 +Vdescriptor +p14042 +tp14043 +a(g413 +g1075 +tp14044 +a(g89 +g994 +tp14045 +a(g89 +g5021 +tp14046 +a(g89 +g994 +tp14047 +a(g706 +g1006 +tp14048 +a(g827 +V +p14049 +tp14050 +a(g436 +Vjint +p14051 +tp14052 +a(g827 +V +p14053 +tp14054 +a(g89 +g994 +tp14055 +a(g89 +V$input = $1; +p14056 +tp14057 +a(g89 +g994 +tp14058 +a(g827 +V\u000a +p14059 +tp14060 +a(g574 +V%typemap +p14061 +tp14062 +a(g706 +g992 +tp14063 +a(g436 +Vdirectorin +p14064 +tp14065 +a(g706 +g999 +tp14066 +a(g436 +Vdescriptor +p14067 +tp14068 +a(g413 +g1075 +tp14069 +a(g89 +g994 +tp14070 +a(g89 +g5075 +tp14071 +a(g89 +g994 +tp14072 +a(g706 +g1006 +tp14073 +a(g827 +V +p14074 +tp14075 +a(g436 +Vjlong +p14076 +tp14077 +a(g827 +V +p14078 +tp14079 +a(g89 +g994 +tp14080 +a(g89 +V$input = $1; +p14081 +tp14082 +a(g89 +g994 +tp14083 +a(g827 +V\u000a +p14084 +tp14085 +a(g574 +V%typemap +p14086 +tp14087 +a(g706 +g992 +tp14088 +a(g436 +Vdirectorin +p14089 +tp14090 +a(g706 +g999 +tp14091 +a(g436 +Vdescriptor +p14092 +tp14093 +a(g413 +g1075 +tp14094 +a(g89 +g994 +tp14095 +a(g89 +g5185 +tp14096 +a(g89 +g994 +tp14097 +a(g706 +g1006 +tp14098 +a(g827 +V +p14099 +tp14100 +a(g436 +Vjfloat +p14101 +tp14102 +a(g827 +V +p14103 +tp14104 +a(g89 +g994 +tp14105 +a(g89 +V$input = $1; +p14106 +tp14107 +a(g89 +g994 +tp14108 +a(g827 +V\u000a +p14109 +tp14110 +a(g574 +V%typemap +p14111 +tp14112 +a(g706 +g992 +tp14113 +a(g436 +Vdirectorin +p14114 +tp14115 +a(g706 +g999 +tp14116 +a(g436 +Vdescriptor +p14117 +tp14118 +a(g413 +g1075 +tp14119 +a(g89 +g994 +tp14120 +a(g89 +g5211 +tp14121 +a(g89 +g994 +tp14122 +a(g706 +g1006 +tp14123 +a(g827 +V +p14124 +tp14125 +a(g436 +Vjdouble +p14126 +tp14127 +a(g827 +V +p14128 +tp14129 +a(g89 +g994 +tp14130 +a(g89 +V$input = $1; +p14131 +tp14132 +a(g89 +g994 +tp14133 +a(g827 +V\u000a +p14134 +tp14135 +a(g574 +V%typemap +p14136 +tp14137 +a(g706 +g992 +tp14138 +a(g436 +Vdirectorin +p14139 +tp14140 +a(g706 +g999 +tp14141 +a(g436 +Vdescriptor +p14142 +tp14143 +a(g413 +g1075 +tp14144 +a(g89 +g994 +tp14145 +a(g89 +VLjava/lang/String; +p14146 +tp14147 +a(g89 +g994 +tp14148 +a(g706 +g1006 +tp14149 +a(g827 +V +p14150 +tp14151 +a(g436 +Vjstring +p14152 +tp14153 +a(g827 +V +p14154 +tp14155 +a(g89 +g994 +tp14156 +a(g89 +V$input = $1; +p14157 +tp14158 +a(g89 +g994 +tp14159 +a(g827 +V\u000a +p14160 +tp14161 +a(g574 +V%typemap +p14162 +tp14163 +a(g706 +g992 +tp14164 +a(g436 +Vdirectorin +p14165 +tp14166 +a(g706 +g999 +tp14167 +a(g436 +Vdescriptor +p14168 +tp14169 +a(g413 +g1075 +tp14170 +a(g89 +g994 +tp14171 +a(g89 +VLjava/lang/Object; +p14172 +tp14173 +a(g89 +g994 +tp14174 +a(g706 +g999 +tp14175 +a(g436 +Vnouse +p14176 +tp14177 +a(g413 +g1075 +tp14178 +a(g89 +g994 +tp14179 +a(g89 +g6800 +tp14180 +a(g89 +g994 +tp14181 +a(g706 +g1006 +tp14182 +a(g827 +V +p14183 +tp14184 +a(g436 +Vjobject +p14185 +tp14186 +a(g827 +V +p14187 +tp14188 +a(g89 +g994 +tp14189 +a(g89 +V$input = $1; +p14190 +tp14191 +a(g89 +g994 +tp14192 +a(g827 +V\u000a +p14193 +tp14194 +a(g574 +V%typemap +p14195 +tp14196 +a(g706 +g992 +tp14197 +a(g436 +Vdirectorin +p14198 +tp14199 +a(g706 +g999 +tp14200 +a(g436 +Vdescriptor +p14201 +tp14202 +a(g413 +g1075 +tp14203 +a(g89 +g994 +tp14204 +a(g89 +V[Z +p14205 +tp14206 +a(g89 +g994 +tp14207 +a(g706 +g1006 +tp14208 +a(g827 +V +p14209 +tp14210 +a(g436 +VjbooleanArray +p14211 +tp14212 +a(g827 +g964 +tp14213 +a(g89 +g994 +tp14214 +a(g89 +V$input = $1; +p14215 +tp14216 +a(g89 +g994 +tp14217 +a(g827 +V\u000a +p14218 +tp14219 +a(g574 +V%typemap +p14220 +tp14221 +a(g706 +g992 +tp14222 +a(g436 +Vdirectorin +p14223 +tp14224 +a(g706 +g999 +tp14225 +a(g436 +Vdescriptor +p14226 +tp14227 +a(g413 +g1075 +tp14228 +a(g89 +g994 +tp14229 +a(g89 +V[C +p14230 +tp14231 +a(g89 +g994 +tp14232 +a(g706 +g1006 +tp14233 +a(g827 +V +p14234 +tp14235 +a(g436 +VjcharArray +p14236 +tp14237 +a(g827 +V +p14238 +tp14239 +a(g89 +g994 +tp14240 +a(g89 +V$input = $1; +p14241 +tp14242 +a(g89 +g994 +tp14243 +a(g827 +V\u000a +p14244 +tp14245 +a(g574 +V%typemap +p14246 +tp14247 +a(g706 +g992 +tp14248 +a(g436 +Vdirectorin +p14249 +tp14250 +a(g706 +g999 +tp14251 +a(g436 +Vdescriptor +p14252 +tp14253 +a(g413 +g1075 +tp14254 +a(g89 +g994 +tp14255 +a(g89 +V[B +p14256 +tp14257 +a(g89 +g994 +tp14258 +a(g706 +g1006 +tp14259 +a(g827 +V +p14260 +tp14261 +a(g436 +VjbyteArray +p14262 +tp14263 +a(g827 +V +p14264 +tp14265 +a(g89 +g994 +tp14266 +a(g89 +V$input = $1; +p14267 +tp14268 +a(g89 +g994 +tp14269 +a(g827 +V\u000a +p14270 +tp14271 +a(g574 +V%typemap +p14272 +tp14273 +a(g706 +g992 +tp14274 +a(g436 +Vdirectorin +p14275 +tp14276 +a(g706 +g999 +tp14277 +a(g436 +Vdescriptor +p14278 +tp14279 +a(g413 +g1075 +tp14280 +a(g89 +g994 +tp14281 +a(g89 +V[S +p14282 +tp14283 +a(g89 +g994 +tp14284 +a(g706 +g1006 +tp14285 +a(g827 +V +p14286 +tp14287 +a(g436 +VjshortArray +p14288 +tp14289 +a(g827 +V +p14290 +tp14291 +a(g89 +g994 +tp14292 +a(g89 +V$input = $1; +p14293 +tp14294 +a(g89 +g994 +tp14295 +a(g827 +V\u000a +p14296 +tp14297 +a(g574 +V%typemap +p14298 +tp14299 +a(g706 +g992 +tp14300 +a(g436 +Vdirectorin +p14301 +tp14302 +a(g706 +g999 +tp14303 +a(g436 +Vdescriptor +p14304 +tp14305 +a(g413 +g1075 +tp14306 +a(g89 +g994 +tp14307 +a(g89 +V[I +p14308 +tp14309 +a(g89 +g994 +tp14310 +a(g706 +g1006 +tp14311 +a(g827 +V +p14312 +tp14313 +a(g436 +VjintArray +p14314 +tp14315 +a(g827 +V +p14316 +tp14317 +a(g89 +g994 +tp14318 +a(g89 +V$input = $1; +p14319 +tp14320 +a(g89 +g994 +tp14321 +a(g827 +V\u000a +p14322 +tp14323 +a(g574 +V%typemap +p14324 +tp14325 +a(g706 +g992 +tp14326 +a(g436 +Vdirectorin +p14327 +tp14328 +a(g706 +g999 +tp14329 +a(g436 +Vdescriptor +p14330 +tp14331 +a(g413 +g1075 +tp14332 +a(g89 +g994 +tp14333 +a(g89 +V[J +p14334 +tp14335 +a(g89 +g994 +tp14336 +a(g706 +g1006 +tp14337 +a(g827 +V +p14338 +tp14339 +a(g436 +VjlongArray +p14340 +tp14341 +a(g827 +V +p14342 +tp14343 +a(g89 +g994 +tp14344 +a(g89 +V$input = $1; +p14345 +tp14346 +a(g89 +g994 +tp14347 +a(g827 +V\u000a +p14348 +tp14349 +a(g574 +V%typemap +p14350 +tp14351 +a(g706 +g992 +tp14352 +a(g436 +Vdirectorin +p14353 +tp14354 +a(g706 +g999 +tp14355 +a(g436 +Vdescriptor +p14356 +tp14357 +a(g413 +g1075 +tp14358 +a(g89 +g994 +tp14359 +a(g89 +V[F +p14360 +tp14361 +a(g89 +g994 +tp14362 +a(g706 +g1006 +tp14363 +a(g827 +V +p14364 +tp14365 +a(g436 +VjfloatArray +p14366 +tp14367 +a(g827 +V +p14368 +tp14369 +a(g89 +g994 +tp14370 +a(g89 +V$input = $1; +p14371 +tp14372 +a(g89 +g994 +tp14373 +a(g827 +V\u000a +p14374 +tp14375 +a(g574 +V%typemap +p14376 +tp14377 +a(g706 +g992 +tp14378 +a(g436 +Vdirectorin +p14379 +tp14380 +a(g706 +g999 +tp14381 +a(g436 +Vdescriptor +p14382 +tp14383 +a(g413 +g1075 +tp14384 +a(g89 +g994 +tp14385 +a(g89 +V[D +p14386 +tp14387 +a(g89 +g994 +tp14388 +a(g706 +g1006 +tp14389 +a(g827 +V +p14390 +tp14391 +a(g436 +VjdoubleArray +p14392 +tp14393 +a(g827 +V +p14394 +tp14395 +a(g89 +g994 +tp14396 +a(g89 +V$input = $1; +p14397 +tp14398 +a(g89 +g994 +tp14399 +a(g827 +V\u000a +p14400 +tp14401 +a(g574 +V%typemap +p14402 +tp14403 +a(g706 +g992 +tp14404 +a(g436 +Vdirectorin +p14405 +tp14406 +a(g706 +g999 +tp14407 +a(g436 +Vdescriptor +p14408 +tp14409 +a(g413 +g1075 +tp14410 +a(g89 +g994 +tp14411 +a(g89 +V[Ljava/lang/Object; +p14412 +tp14413 +a(g89 +g994 +tp14414 +a(g706 +g999 +tp14415 +a(g436 +Vnouse +p14416 +tp14417 +a(g413 +g1075 +tp14418 +a(g89 +g994 +tp14419 +a(g89 +g6800 +tp14420 +a(g89 +g994 +tp14421 +a(g706 +g1006 +tp14422 +a(g827 +g964 +tp14423 +a(g436 +VjobjectArray +p14424 +tp14425 +a(g827 +V +p14426 +tp14427 +a(g89 +g994 +tp14428 +a(g89 +V$input = $1; +p14429 +tp14430 +a(g89 +g994 +tp14431 +a(g827 +V\u000a +p14432 +tp14433 +a(g827 +V\u000a +p14434 +tp14435 +a(g574 +V%typemap +p14436 +tp14437 +a(g706 +g992 +tp14438 +a(g436 +Vjavadirectorin +p14439 +tp14440 +a(g706 +g1006 +tp14441 +a(g827 +g964 +tp14442 +a(g436 +Vjboolean +p14443 +tp14444 +a(g706 +g999 +tp14445 +a(g827 +V\u000a +p14446 +tp14447 +a(g827 +V +p14448 +tp14449 +a(g436 +Vjchar +p14450 +tp14451 +a(g706 +g999 +tp14452 +a(g827 +V\u000a +p14453 +tp14454 +a(g827 +V +p14455 +tp14456 +a(g436 +Vjbyte +p14457 +tp14458 +a(g706 +g999 +tp14459 +a(g827 +V\u000a +p14460 +tp14461 +a(g827 +V +p14462 +tp14463 +a(g436 +Vjshort +p14464 +tp14465 +a(g706 +g999 +tp14466 +a(g827 +V\u000a +p14467 +tp14468 +a(g827 +V +p14469 +tp14470 +a(g436 +Vjint +p14471 +tp14472 +a(g706 +g999 +tp14473 +a(g827 +V\u000a +p14474 +tp14475 +a(g827 +V +p14476 +tp14477 +a(g436 +Vjlong +p14478 +tp14479 +a(g706 +g999 +tp14480 +a(g827 +V\u000a +p14481 +tp14482 +a(g827 +V +p14483 +tp14484 +a(g436 +Vjfloat +p14485 +tp14486 +a(g706 +g999 +tp14487 +a(g827 +V\u000a +p14488 +tp14489 +a(g827 +V +p14490 +tp14491 +a(g436 +Vjdouble +p14492 +tp14493 +a(g706 +g999 +tp14494 +a(g827 +V\u000a +p14495 +tp14496 +a(g827 +V +p14497 +tp14498 +a(g436 +Vjstring +p14499 +tp14500 +a(g706 +g999 +tp14501 +a(g827 +V\u000a +p14502 +tp14503 +a(g827 +V +p14504 +tp14505 +a(g436 +Vjobject +p14506 +tp14507 +a(g706 +g999 +tp14508 +a(g827 +V\u000a +p14509 +tp14510 +a(g827 +V +p14511 +tp14512 +a(g436 +VjbooleanArray +p14513 +tp14514 +a(g706 +g999 +tp14515 +a(g827 +V\u000a +p14516 +tp14517 +a(g827 +V +p14518 +tp14519 +a(g436 +VjcharArray +p14520 +tp14521 +a(g706 +g999 +tp14522 +a(g827 +V\u000a +p14523 +tp14524 +a(g827 +V +p14525 +tp14526 +a(g436 +VjbyteArray +p14527 +tp14528 +a(g706 +g999 +tp14529 +a(g827 +V\u000a +p14530 +tp14531 +a(g827 +V +p14532 +tp14533 +a(g436 +VjshortArray +p14534 +tp14535 +a(g706 +g999 +tp14536 +a(g827 +V\u000a +p14537 +tp14538 +a(g827 +V +p14539 +tp14540 +a(g436 +VjintArray +p14541 +tp14542 +a(g706 +g999 +tp14543 +a(g827 +V\u000a +p14544 +tp14545 +a(g827 +V +p14546 +tp14547 +a(g436 +VjlongArray +p14548 +tp14549 +a(g706 +g999 +tp14550 +a(g827 +V\u000a +p14551 +tp14552 +a(g827 +V +p14553 +tp14554 +a(g436 +VjfloatArray +p14555 +tp14556 +a(g706 +g999 +tp14557 +a(g827 +V\u000a +p14558 +tp14559 +a(g827 +V +p14560 +tp14561 +a(g436 +VjdoubleArray +p14562 +tp14563 +a(g706 +g999 +tp14564 +a(g827 +V\u000a +p14565 +tp14566 +a(g827 +V +p14567 +tp14568 +a(g436 +VjobjectArray +p14569 +tp14570 +a(g827 +V\u000a +p14571 +tp14572 +a(g827 +V +p14573 +tp14574 +a(g89 +g994 +tp14575 +a(g89 +V$jniinput +p14576 +tp14577 +a(g89 +g994 +tp14578 +a(g827 +V\u000a +p14579 +tp14580 +a(g827 +V\u000a +p14581 +tp14582 +a(g574 +V%typemap +p14583 +tp14584 +a(g706 +g992 +tp14585 +a(g436 +Vjavadirectorout +p14586 +tp14587 +a(g706 +g1006 +tp14588 +a(g827 +g964 +tp14589 +a(g436 +Vjboolean +p14590 +tp14591 +a(g706 +g999 +tp14592 +a(g827 +V\u000a +p14593 +tp14594 +a(g827 +V +p14595 +tp14596 +a(g436 +Vjchar +p14597 +tp14598 +a(g706 +g999 +tp14599 +a(g827 +V\u000a +p14600 +tp14601 +a(g827 +V +p14602 +tp14603 +a(g436 +Vjbyte +p14604 +tp14605 +a(g706 +g999 +tp14606 +a(g827 +V\u000a +p14607 +tp14608 +a(g827 +V +p14609 +tp14610 +a(g436 +Vjshort +p14611 +tp14612 +a(g706 +g999 +tp14613 +a(g827 +V\u000a +p14614 +tp14615 +a(g827 +V +p14616 +tp14617 +a(g436 +Vjint +p14618 +tp14619 +a(g706 +g999 +tp14620 +a(g827 +V\u000a +p14621 +tp14622 +a(g827 +V +p14623 +tp14624 +a(g436 +Vjlong +p14625 +tp14626 +a(g706 +g999 +tp14627 +a(g827 +V\u000a +p14628 +tp14629 +a(g827 +V +p14630 +tp14631 +a(g436 +Vjfloat +p14632 +tp14633 +a(g706 +g999 +tp14634 +a(g827 +V\u000a +p14635 +tp14636 +a(g827 +V +p14637 +tp14638 +a(g436 +Vjdouble +p14639 +tp14640 +a(g706 +g999 +tp14641 +a(g827 +V\u000a +p14642 +tp14643 +a(g827 +V +p14644 +tp14645 +a(g436 +Vjstring +p14646 +tp14647 +a(g706 +g999 +tp14648 +a(g827 +V\u000a +p14649 +tp14650 +a(g827 +V +p14651 +tp14652 +a(g436 +Vjobject +p14653 +tp14654 +a(g706 +g999 +tp14655 +a(g827 +V\u000a +p14656 +tp14657 +a(g827 +V +p14658 +tp14659 +a(g436 +VjbooleanArray +p14660 +tp14661 +a(g706 +g999 +tp14662 +a(g827 +V\u000a +p14663 +tp14664 +a(g827 +V +p14665 +tp14666 +a(g436 +VjcharArray +p14667 +tp14668 +a(g706 +g999 +tp14669 +a(g827 +V\u000a +p14670 +tp14671 +a(g827 +V +p14672 +tp14673 +a(g436 +VjbyteArray +p14674 +tp14675 +a(g706 +g999 +tp14676 +a(g827 +V\u000a +p14677 +tp14678 +a(g827 +V +p14679 +tp14680 +a(g436 +VjshortArray +p14681 +tp14682 +a(g706 +g999 +tp14683 +a(g827 +V\u000a +p14684 +tp14685 +a(g827 +V +p14686 +tp14687 +a(g436 +VjintArray +p14688 +tp14689 +a(g706 +g999 +tp14690 +a(g827 +V\u000a +p14691 +tp14692 +a(g827 +V +p14693 +tp14694 +a(g436 +VjlongArray +p14695 +tp14696 +a(g706 +g999 +tp14697 +a(g827 +V\u000a +p14698 +tp14699 +a(g827 +V +p14700 +tp14701 +a(g436 +VjfloatArray +p14702 +tp14703 +a(g706 +g999 +tp14704 +a(g827 +V\u000a +p14705 +tp14706 +a(g827 +V +p14707 +tp14708 +a(g436 +VjdoubleArray +p14709 +tp14710 +a(g706 +g999 +tp14711 +a(g827 +V\u000a +p14712 +tp14713 +a(g827 +V +p14714 +tp14715 +a(g436 +VjobjectArray +p14716 +tp14717 +a(g827 +V\u000a +p14718 +tp14719 +a(g827 +V +p14720 +tp14721 +a(g89 +g994 +tp14722 +a(g89 +V$javacall +p14723 +tp14724 +a(g89 +g994 +tp14725 +a(g827 +V\u000a +p14726 +tp14727 +a(g827 +V\u000a +p14728 +tp14729 +a(g8 +V/* Typecheck typemaps - The purpose of these is merely to issue a warning for overloaded C++ functions \u000a * that cannot be overloaded in Java as more than one C++ type maps to a single Java type */ +p14730 +tp14731 +a(g827 +V\u000a +p14732 +tp14733 +a(g827 +V\u000a +p14734 +tp14735 +a(g574 +V%typecheck +p14736 +tp14737 +a(g706 +g992 +tp14738 +a(g436 +VSWIG_TYPECHECK_BOOL +p14739 +tp14740 +a(g706 +g1006 +tp14741 +a(g827 +g964 +tp14742 +a(g8 +V/* Java boolean */ +p14743 +tp14744 +a(g827 +V\u000a +p14745 +tp14746 +a(g827 +V +p14747 +tp14748 +a(g436 +Vjboolean +p14749 +tp14750 +a(g706 +g999 +tp14751 +a(g827 +V\u000a +p14752 +tp14753 +a(g827 +V +p14754 +tp14755 +a(g749 +Vbool +p14756 +tp14757 +a(g706 +g999 +tp14758 +a(g827 +V\u000a +p14759 +tp14760 +a(g827 +V +p14761 +tp14762 +a(g745 +Vconst +p14763 +tp14764 +a(g827 +g964 +tp14765 +a(g749 +Vbool +p14766 +tp14767 +a(g827 +g964 +tp14768 +a(g413 +g1217 +tp14769 +a(g827 +V\u000a +p14770 +tp14771 +a(g827 +V +p14772 +tp14773 +a(g89 +g994 +tp14774 +a(g89 +g994 +tp14775 +a(g827 +V\u000a +p14776 +tp14777 +a(g827 +V\u000a +p14778 +tp14779 +a(g574 +V%typecheck +p14780 +tp14781 +a(g706 +g992 +tp14782 +a(g436 +VSWIG_TYPECHECK_CHAR +p14783 +tp14784 +a(g706 +g1006 +tp14785 +a(g827 +g964 +tp14786 +a(g8 +V/* Java char */ +p14787 +tp14788 +a(g827 +V\u000a +p14789 +tp14790 +a(g827 +V +p14791 +tp14792 +a(g436 +Vjchar +p14793 +tp14794 +a(g706 +g999 +tp14795 +a(g827 +V\u000a +p14796 +tp14797 +a(g827 +V +p14798 +tp14799 +a(g749 +Vchar +p14800 +tp14801 +a(g706 +g999 +tp14802 +a(g827 +V \u000a +p14803 +tp14804 +a(g745 +Vconst +p14805 +tp14806 +a(g827 +g964 +tp14807 +a(g749 +Vchar +p14808 +tp14809 +a(g827 +g964 +tp14810 +a(g413 +g1217 +tp14811 +a(g827 +V\u000a +p14812 +tp14813 +a(g827 +V +p14814 +tp14815 +a(g89 +g994 +tp14816 +a(g89 +g994 +tp14817 +a(g827 +V\u000a +p14818 +tp14819 +a(g827 +V\u000a +p14820 +tp14821 +a(g574 +V%typecheck +p14822 +tp14823 +a(g706 +g992 +tp14824 +a(g436 +VSWIG_TYPECHECK_INT8 +p14825 +tp14826 +a(g706 +g1006 +tp14827 +a(g827 +g964 +tp14828 +a(g8 +V/* Java byte */ +p14829 +tp14830 +a(g827 +V\u000a +p14831 +tp14832 +a(g827 +V +p14833 +tp14834 +a(g436 +Vjbyte +p14835 +tp14836 +a(g706 +g999 +tp14837 +a(g827 +V\u000a +p14838 +tp14839 +a(g827 +V +p14840 +tp14841 +a(g749 +Vsigned +p14842 +tp14843 +a(g827 +g964 +tp14844 +a(g749 +Vchar +p14845 +tp14846 +a(g706 +g999 +tp14847 +a(g827 +V\u000a +p14848 +tp14849 +a(g827 +V +p14850 +tp14851 +a(g745 +Vconst +p14852 +tp14853 +a(g827 +g964 +tp14854 +a(g749 +Vsigned +p14855 +tp14856 +a(g827 +g964 +tp14857 +a(g749 +Vchar +p14858 +tp14859 +a(g827 +g964 +tp14860 +a(g413 +g1217 +tp14861 +a(g827 +V\u000a +p14862 +tp14863 +a(g827 +V +p14864 +tp14865 +a(g89 +g994 +tp14866 +a(g89 +g994 +tp14867 +a(g827 +V\u000a +p14868 +tp14869 +a(g827 +V\u000a +p14870 +tp14871 +a(g574 +V%typecheck +p14872 +tp14873 +a(g706 +g992 +tp14874 +a(g436 +VSWIG_TYPECHECK_INT16 +p14875 +tp14876 +a(g706 +g1006 +tp14877 +a(g827 +g964 +tp14878 +a(g8 +V/* Java short */ +p14879 +tp14880 +a(g827 +V\u000a +p14881 +tp14882 +a(g827 +V +p14883 +tp14884 +a(g436 +Vjshort +p14885 +tp14886 +a(g706 +g999 +tp14887 +a(g827 +V\u000a +p14888 +tp14889 +a(g827 +V +p14890 +tp14891 +a(g749 +Vunsigned +p14892 +tp14893 +a(g827 +g964 +tp14894 +a(g749 +Vchar +p14895 +tp14896 +a(g706 +g999 +tp14897 +a(g827 +V \u000a +p14898 +tp14899 +a(g749 +Vshort +p14900 +tp14901 +a(g706 +g999 +tp14902 +a(g827 +V \u000a +p14903 +tp14904 +a(g745 +Vconst +p14905 +tp14906 +a(g827 +g964 +tp14907 +a(g749 +Vunsigned +p14908 +tp14909 +a(g827 +g964 +tp14910 +a(g749 +Vchar +p14911 +tp14912 +a(g827 +g964 +tp14913 +a(g413 +g1217 +tp14914 +a(g706 +g999 +tp14915 +a(g827 +V \u000a +p14916 +tp14917 +a(g745 +Vconst +p14918 +tp14919 +a(g827 +g964 +tp14920 +a(g749 +Vshort +p14921 +tp14922 +a(g827 +g964 +tp14923 +a(g413 +g1217 +tp14924 +a(g827 +V\u000a +p14925 +tp14926 +a(g827 +V +p14927 +tp14928 +a(g89 +g994 +tp14929 +a(g89 +g994 +tp14930 +a(g827 +V\u000a +p14931 +tp14932 +a(g827 +V\u000a +p14933 +tp14934 +a(g574 +V%typecheck +p14935 +tp14936 +a(g706 +g992 +tp14937 +a(g436 +VSWIG_TYPECHECK_INT32 +p14938 +tp14939 +a(g706 +g1006 +tp14940 +a(g827 +g964 +tp14941 +a(g8 +V/* Java int */ +p14942 +tp14943 +a(g827 +V\u000a +p14944 +tp14945 +a(g827 +V +p14946 +tp14947 +a(g436 +Vjint +p14948 +tp14949 +a(g706 +g999 +tp14950 +a(g827 +V\u000a +p14951 +tp14952 +a(g827 +V +p14953 +tp14954 +a(g749 +Vunsigned +p14955 +tp14956 +a(g827 +g964 +tp14957 +a(g749 +Vshort +p14958 +tp14959 +a(g706 +g999 +tp14960 +a(g827 +V \u000a +p14961 +tp14962 +a(g749 +Vint +p14963 +tp14964 +a(g706 +g999 +tp14965 +a(g827 +V \u000a +p14966 +tp14967 +a(g749 +Vlong +p14968 +tp14969 +a(g706 +g999 +tp14970 +a(g827 +V \u000a +p14971 +tp14972 +a(g745 +Vconst +p14973 +tp14974 +a(g827 +g964 +tp14975 +a(g749 +Vunsigned +p14976 +tp14977 +a(g827 +g964 +tp14978 +a(g749 +Vshort +p14979 +tp14980 +a(g827 +g964 +tp14981 +a(g413 +g1217 +tp14982 +a(g706 +g999 +tp14983 +a(g827 +V \u000a +p14984 +tp14985 +a(g745 +Vconst +p14986 +tp14987 +a(g827 +g964 +tp14988 +a(g749 +Vint +p14989 +tp14990 +a(g827 +g964 +tp14991 +a(g413 +g1217 +tp14992 +a(g706 +g999 +tp14993 +a(g827 +V \u000a +p14994 +tp14995 +a(g745 +Vconst +p14996 +tp14997 +a(g827 +g964 +tp14998 +a(g749 +Vlong +p14999 +tp15000 +a(g827 +g964 +tp15001 +a(g413 +g1217 +tp15002 +a(g827 +V\u000a +p15003 +tp15004 +a(g827 +V +p15005 +tp15006 +a(g89 +g994 +tp15007 +a(g89 +g994 +tp15008 +a(g827 +V\u000a +p15009 +tp15010 +a(g827 +V\u000a +p15011 +tp15012 +a(g574 +V%typecheck +p15013 +tp15014 +a(g706 +g992 +tp15015 +a(g436 +VSWIG_TYPECHECK_INT64 +p15016 +tp15017 +a(g706 +g1006 +tp15018 +a(g827 +g964 +tp15019 +a(g8 +V/* Java long */ +p15020 +tp15021 +a(g827 +V\u000a +p15022 +tp15023 +a(g827 +V +p15024 +tp15025 +a(g436 +Vjlong +p15026 +tp15027 +a(g706 +g999 +tp15028 +a(g827 +V\u000a +p15029 +tp15030 +a(g827 +V +p15031 +tp15032 +a(g749 +Vunsigned +p15033 +tp15034 +a(g827 +g964 +tp15035 +a(g749 +Vint +p15036 +tp15037 +a(g706 +g999 +tp15038 +a(g827 +V \u000a +p15039 +tp15040 +a(g749 +Vunsigned +p15041 +tp15042 +a(g827 +g964 +tp15043 +a(g749 +Vlong +p15044 +tp15045 +a(g706 +g999 +tp15046 +a(g827 +V \u000a +p15047 +tp15048 +a(g749 +Vlong +p15049 +tp15050 +a(g827 +g964 +tp15051 +a(g749 +Vlong +p15052 +tp15053 +a(g706 +g999 +tp15054 +a(g827 +V \u000a +p15055 +tp15056 +a(g745 +Vconst +p15057 +tp15058 +a(g827 +g964 +tp15059 +a(g749 +Vunsigned +p15060 +tp15061 +a(g827 +g964 +tp15062 +a(g749 +Vint +p15063 +tp15064 +a(g827 +g964 +tp15065 +a(g413 +g1217 +tp15066 +a(g706 +g999 +tp15067 +a(g827 +V \u000a +p15068 +tp15069 +a(g745 +Vconst +p15070 +tp15071 +a(g827 +g964 +tp15072 +a(g749 +Vunsigned +p15073 +tp15074 +a(g827 +g964 +tp15075 +a(g749 +Vlong +p15076 +tp15077 +a(g827 +g964 +tp15078 +a(g413 +g1217 +tp15079 +a(g706 +g999 +tp15080 +a(g827 +V \u000a +p15081 +tp15082 +a(g745 +Vconst +p15083 +tp15084 +a(g827 +g964 +tp15085 +a(g749 +Vlong +p15086 +tp15087 +a(g827 +g964 +tp15088 +a(g749 +Vlong +p15089 +tp15090 +a(g827 +g964 +tp15091 +a(g413 +g1217 +tp15092 +a(g827 +V\u000a +p15093 +tp15094 +a(g827 +V +p15095 +tp15096 +a(g89 +g994 +tp15097 +a(g89 +g994 +tp15098 +a(g827 +V\u000a +p15099 +tp15100 +a(g827 +V\u000a +p15101 +tp15102 +a(g574 +V%typecheck +p15103 +tp15104 +a(g706 +g992 +tp15105 +a(g436 +VSWIG_TYPECHECK_INT128 +p15106 +tp15107 +a(g706 +g1006 +tp15108 +a(g827 +g964 +tp15109 +a(g8 +V/* Java BigInteger */ +p15110 +tp15111 +a(g827 +V\u000a +p15112 +tp15113 +a(g827 +V +p15114 +tp15115 +a(g749 +Vunsigned +p15116 +tp15117 +a(g827 +g964 +tp15118 +a(g749 +Vlong +p15119 +tp15120 +a(g827 +g964 +tp15121 +a(g749 +Vlong +p15122 +tp15123 +a(g706 +g999 +tp15124 +a(g827 +V\u000a +p15125 +tp15126 +a(g827 +V +p15127 +tp15128 +a(g745 +Vconst +p15129 +tp15130 +a(g827 +g964 +tp15131 +a(g749 +Vunsigned +p15132 +tp15133 +a(g827 +g964 +tp15134 +a(g749 +Vlong +p15135 +tp15136 +a(g827 +g964 +tp15137 +a(g749 +Vlong +p15138 +tp15139 +a(g827 +g964 +tp15140 +a(g413 +g1217 +tp15141 +a(g827 +V\u000a +p15142 +tp15143 +a(g827 +V +p15144 +tp15145 +a(g89 +g994 +tp15146 +a(g89 +g994 +tp15147 +a(g827 +V\u000a +p15148 +tp15149 +a(g827 +V\u000a +p15150 +tp15151 +a(g574 +V%typecheck +p15152 +tp15153 +a(g706 +g992 +tp15154 +a(g436 +VSWIG_TYPECHECK_FLOAT +p15155 +tp15156 +a(g706 +g1006 +tp15157 +a(g827 +g964 +tp15158 +a(g8 +V/* Java float */ +p15159 +tp15160 +a(g827 +V\u000a +p15161 +tp15162 +a(g827 +V +p15163 +tp15164 +a(g436 +Vjfloat +p15165 +tp15166 +a(g706 +g999 +tp15167 +a(g827 +V\u000a +p15168 +tp15169 +a(g827 +V +p15170 +tp15171 +a(g749 +Vfloat +p15172 +tp15173 +a(g706 +g999 +tp15174 +a(g827 +V\u000a +p15175 +tp15176 +a(g827 +V +p15177 +tp15178 +a(g745 +Vconst +p15179 +tp15180 +a(g827 +g964 +tp15181 +a(g749 +Vfloat +p15182 +tp15183 +a(g827 +g964 +tp15184 +a(g413 +g1217 +tp15185 +a(g827 +V\u000a +p15186 +tp15187 +a(g827 +V +p15188 +tp15189 +a(g89 +g994 +tp15190 +a(g89 +g994 +tp15191 +a(g827 +V\u000a +p15192 +tp15193 +a(g827 +V\u000a +p15194 +tp15195 +a(g574 +V%typecheck +p15196 +tp15197 +a(g706 +g992 +tp15198 +a(g436 +VSWIG_TYPECHECK_DOUBLE +p15199 +tp15200 +a(g706 +g1006 +tp15201 +a(g827 +g964 +tp15202 +a(g8 +V/* Java double */ +p15203 +tp15204 +a(g827 +V\u000a +p15205 +tp15206 +a(g827 +V +p15207 +tp15208 +a(g436 +Vjdouble +p15209 +tp15210 +a(g706 +g999 +tp15211 +a(g827 +V\u000a +p15212 +tp15213 +a(g827 +V +p15214 +tp15215 +a(g749 +Vdouble +p15216 +tp15217 +a(g706 +g999 +tp15218 +a(g827 +V\u000a +p15219 +tp15220 +a(g827 +V +p15221 +tp15222 +a(g745 +Vconst +p15223 +tp15224 +a(g827 +g964 +tp15225 +a(g749 +Vdouble +p15226 +tp15227 +a(g827 +g964 +tp15228 +a(g413 +g1217 +tp15229 +a(g827 +V\u000a +p15230 +tp15231 +a(g827 +V +p15232 +tp15233 +a(g89 +g994 +tp15234 +a(g89 +g994 +tp15235 +a(g827 +V\u000a +p15236 +tp15237 +a(g827 +V\u000a +p15238 +tp15239 +a(g574 +V%typecheck +p15240 +tp15241 +a(g706 +g992 +tp15242 +a(g436 +VSWIG_TYPECHECK_STRING +p15243 +tp15244 +a(g706 +g1006 +tp15245 +a(g827 +g964 +tp15246 +a(g8 +V/* Java String */ +p15247 +tp15248 +a(g827 +V\u000a +p15249 +tp15250 +a(g827 +V +p15251 +tp15252 +a(g436 +Vjstring +p15253 +tp15254 +a(g706 +g999 +tp15255 +a(g827 +V\u000a +p15256 +tp15257 +a(g827 +V +p15258 +tp15259 +a(g749 +Vchar +p15260 +tp15261 +a(g827 +g964 +tp15262 +a(g413 +g1023 +tp15263 +a(g706 +g999 +tp15264 +a(g827 +V\u000a +p15265 +tp15266 +a(g827 +V +p15267 +tp15268 +a(g749 +Vchar +p15269 +tp15270 +a(g827 +g964 +tp15271 +a(g413 +g1023 +tp15272 +a(g413 +g1217 +tp15273 +a(g706 +g999 +tp15274 +a(g827 +V\u000a +p15275 +tp15276 +a(g827 +V +p15277 +tp15278 +a(g749 +Vchar +p15279 +tp15280 +a(g706 +g1068 +tp15281 +a(g436 +VANY +p15282 +tp15283 +a(g706 +g1072 +tp15284 +a(g706 +g999 +tp15285 +a(g827 +V\u000a +p15286 +tp15287 +a(g827 +V +p15288 +tp15289 +a(g749 +Vchar +p15290 +tp15291 +a(g827 +g964 +tp15292 +a(g706 +g1068 +tp15293 +a(g706 +g1072 +tp15294 +a(g827 +V\u000a +p15295 +tp15296 +a(g827 +V +p15297 +tp15298 +a(g89 +g994 +tp15299 +a(g89 +g994 +tp15300 +a(g827 +V\u000a +p15301 +tp15302 +a(g827 +V\u000a +p15303 +tp15304 +a(g574 +V%typecheck +p15305 +tp15306 +a(g706 +g992 +tp15307 +a(g436 +VSWIG_TYPECHECK_BOOL_ARRAY +p15308 +tp15309 +a(g706 +g1006 +tp15310 +a(g827 +g964 +tp15311 +a(g8 +V/* Java boolean[] */ +p15312 +tp15313 +a(g827 +V\u000a +p15314 +tp15315 +a(g827 +V +p15316 +tp15317 +a(g436 +VjbooleanArray +p15318 +tp15319 +a(g827 +V\u000a +p15320 +tp15321 +a(g827 +V +p15322 +tp15323 +a(g89 +g994 +tp15324 +a(g89 +g994 +tp15325 +a(g827 +V\u000a +p15326 +tp15327 +a(g827 +V\u000a +p15328 +tp15329 +a(g574 +V%typecheck +p15330 +tp15331 +a(g706 +g992 +tp15332 +a(g436 +VSWIG_TYPECHECK_CHAR_ARRAY +p15333 +tp15334 +a(g706 +g1006 +tp15335 +a(g827 +g964 +tp15336 +a(g8 +V/* Java char[] */ +p15337 +tp15338 +a(g827 +V\u000a +p15339 +tp15340 +a(g827 +V +p15341 +tp15342 +a(g436 +VjcharArray +p15343 +tp15344 +a(g827 +V\u000a +p15345 +tp15346 +a(g827 +V +p15347 +tp15348 +a(g89 +g994 +tp15349 +a(g89 +g994 +tp15350 +a(g827 +V\u000a +p15351 +tp15352 +a(g827 +V\u000a +p15353 +tp15354 +a(g574 +V%typecheck +p15355 +tp15356 +a(g706 +g992 +tp15357 +a(g436 +VSWIG_TYPECHECK_INT8_ARRAY +p15358 +tp15359 +a(g706 +g1006 +tp15360 +a(g827 +g964 +tp15361 +a(g8 +V/* Java byte[] */ +p15362 +tp15363 +a(g827 +V\u000a +p15364 +tp15365 +a(g827 +V +p15366 +tp15367 +a(g436 +VjbyteArray +p15368 +tp15369 +a(g827 +V\u000a +p15370 +tp15371 +a(g827 +V +p15372 +tp15373 +a(g89 +g994 +tp15374 +a(g89 +g994 +tp15375 +a(g827 +V\u000a +p15376 +tp15377 +a(g827 +V\u000a +p15378 +tp15379 +a(g574 +V%typecheck +p15380 +tp15381 +a(g706 +g992 +tp15382 +a(g436 +VSWIG_TYPECHECK_INT16_ARRAY +p15383 +tp15384 +a(g706 +g1006 +tp15385 +a(g827 +g964 +tp15386 +a(g8 +V/* Java short[] */ +p15387 +tp15388 +a(g827 +V\u000a +p15389 +tp15390 +a(g827 +V +p15391 +tp15392 +a(g436 +VjshortArray +p15393 +tp15394 +a(g827 +V\u000a +p15395 +tp15396 +a(g827 +V +p15397 +tp15398 +a(g89 +g994 +tp15399 +a(g89 +g994 +tp15400 +a(g827 +V\u000a +p15401 +tp15402 +a(g827 +V\u000a +p15403 +tp15404 +a(g574 +V%typecheck +p15405 +tp15406 +a(g706 +g992 +tp15407 +a(g436 +VSWIG_TYPECHECK_INT32_ARRAY +p15408 +tp15409 +a(g706 +g1006 +tp15410 +a(g827 +g964 +tp15411 +a(g8 +V/* Java int[] */ +p15412 +tp15413 +a(g827 +V\u000a +p15414 +tp15415 +a(g827 +V +p15416 +tp15417 +a(g436 +VjintArray +p15418 +tp15419 +a(g827 +V\u000a +p15420 +tp15421 +a(g827 +V +p15422 +tp15423 +a(g89 +g994 +tp15424 +a(g89 +g994 +tp15425 +a(g827 +V\u000a +p15426 +tp15427 +a(g827 +V\u000a +p15428 +tp15429 +a(g574 +V%typecheck +p15430 +tp15431 +a(g706 +g992 +tp15432 +a(g436 +VSWIG_TYPECHECK_INT64_ARRAY +p15433 +tp15434 +a(g706 +g1006 +tp15435 +a(g827 +g964 +tp15436 +a(g8 +V/* Java long[] */ +p15437 +tp15438 +a(g827 +V\u000a +p15439 +tp15440 +a(g827 +V +p15441 +tp15442 +a(g436 +VjlongArray +p15443 +tp15444 +a(g827 +V\u000a +p15445 +tp15446 +a(g827 +V +p15447 +tp15448 +a(g89 +g994 +tp15449 +a(g89 +g994 +tp15450 +a(g827 +V\u000a +p15451 +tp15452 +a(g827 +V\u000a +p15453 +tp15454 +a(g574 +V%typecheck +p15455 +tp15456 +a(g706 +g992 +tp15457 +a(g436 +VSWIG_TYPECHECK_FLOAT_ARRAY +p15458 +tp15459 +a(g706 +g1006 +tp15460 +a(g827 +g964 +tp15461 +a(g8 +V/* Java float[] */ +p15462 +tp15463 +a(g827 +V\u000a +p15464 +tp15465 +a(g827 +V +p15466 +tp15467 +a(g436 +VjfloatArray +p15468 +tp15469 +a(g827 +V\u000a +p15470 +tp15471 +a(g827 +V +p15472 +tp15473 +a(g89 +g994 +tp15474 +a(g89 +g994 +tp15475 +a(g827 +V\u000a +p15476 +tp15477 +a(g827 +V\u000a +p15478 +tp15479 +a(g574 +V%typecheck +p15480 +tp15481 +a(g706 +g992 +tp15482 +a(g436 +VSWIG_TYPECHECK_DOUBLE_ARRAY +p15483 +tp15484 +a(g706 +g1006 +tp15485 +a(g827 +g964 +tp15486 +a(g8 +V/* Java double[] */ +p15487 +tp15488 +a(g827 +V\u000a +p15489 +tp15490 +a(g827 +V +p15491 +tp15492 +a(g436 +VjdoubleArray +p15493 +tp15494 +a(g827 +V\u000a +p15495 +tp15496 +a(g827 +V +p15497 +tp15498 +a(g89 +g994 +tp15499 +a(g89 +g994 +tp15500 +a(g827 +V\u000a +p15501 +tp15502 +a(g827 +V\u000a +p15503 +tp15504 +a(g574 +V%typecheck +p15505 +tp15506 +a(g706 +g992 +tp15507 +a(g436 +VSWIG_TYPECHECK_OBJECT_ARRAY +p15508 +tp15509 +a(g706 +g1006 +tp15510 +a(g827 +g964 +tp15511 +a(g8 +V/* Java jobject[] */ +p15512 +tp15513 +a(g827 +V\u000a +p15514 +tp15515 +a(g827 +V +p15516 +tp15517 +a(g436 +VjobjectArray +p15518 +tp15519 +a(g827 +V\u000a +p15520 +tp15521 +a(g827 +V +p15522 +tp15523 +a(g89 +g994 +tp15524 +a(g89 +g994 +tp15525 +a(g827 +V\u000a +p15526 +tp15527 +a(g827 +V\u000a +p15528 +tp15529 +a(g574 +V%typecheck +p15530 +tp15531 +a(g706 +g992 +tp15532 +a(g436 +VSWIG_TYPECHECK_POINTER +p15533 +tp15534 +a(g706 +g1006 +tp15535 +a(g827 +g964 +tp15536 +a(g8 +V/* Default */ +p15537 +tp15538 +a(g827 +V\u000a +p15539 +tp15540 +a(g827 +V +p15541 +tp15542 +a(g436 +VSWIGTYPE +p15543 +tp15544 +a(g706 +g999 +tp15545 +a(g827 +V \u000a +p15546 +tp15547 +a(g436 +VSWIGTYPE +p15548 +tp15549 +a(g827 +g964 +tp15550 +a(g413 +g1023 +tp15551 +a(g706 +g999 +tp15552 +a(g827 +V \u000a +p15553 +tp15554 +a(g436 +VSWIGTYPE +p15555 +tp15556 +a(g827 +g964 +tp15557 +a(g413 +g1217 +tp15558 +a(g706 +g999 +tp15559 +a(g827 +V \u000a +p15560 +tp15561 +a(g436 +VSWIGTYPE +p15562 +tp15563 +a(g827 +g964 +tp15564 +a(g413 +g1023 +tp15565 +a(g745 +Vconst +p15566 +tp15567 +a(g413 +g1217 +tp15568 +a(g706 +g999 +tp15569 +a(g827 +V \u000a +p15570 +tp15571 +a(g436 +VSWIGTYPE +p15572 +tp15573 +a(g827 +g964 +tp15574 +a(g706 +g1068 +tp15575 +a(g706 +g1072 +tp15576 +a(g706 +g999 +tp15577 +a(g827 +V\u000a +p15578 +tp15579 +a(g827 +V +p15580 +tp15581 +a(g436 +VSWIGTYPE +p15582 +tp15583 +a(g827 +g964 +tp15584 +a(g706 +g992 +tp15585 +a(g436 +VCLASS +p15586 +tp15587 +a(g413 +g4477 +tp15588 +a(g413 +g4477 +tp15589 +a(g413 +g1023 +tp15590 +a(g706 +g1006 +tp15591 +a(g827 +V\u000a +p15592 +tp15593 +a(g827 +V +p15594 +tp15595 +a(g89 +g994 +tp15596 +a(g89 +g994 +tp15597 +a(g827 +V\u000a +p15598 +tp15599 +a(g827 +V\u000a +p15600 +tp15601 +a(g827 +V\u000a +p15602 +tp15603 +a(g8 +V/* Exception handling */ +p15604 +tp15605 +a(g827 +V\u000a +p15606 +tp15607 +a(g827 +V\u000a +p15608 +tp15609 +a(g574 +V%typemap +p15610 +tp15611 +a(g706 +g992 +tp15612 +a(g745 +Vthrows +p15613 +tp15614 +a(g706 +g1006 +tp15615 +a(g827 +g964 +tp15616 +a(g749 +Vint +p15617 +tp15618 +a(g706 +g999 +tp15619 +a(g827 +V \u000a +p15620 +tp15621 +a(g749 +Vlong +p15622 +tp15623 +a(g706 +g999 +tp15624 +a(g827 +V \u000a +p15625 +tp15626 +a(g749 +Vshort +p15627 +tp15628 +a(g706 +g999 +tp15629 +a(g827 +V \u000a +p15630 +tp15631 +a(g749 +Vunsigned +p15632 +tp15633 +a(g827 +g964 +tp15634 +a(g749 +Vint +p15635 +tp15636 +a(g706 +g999 +tp15637 +a(g827 +V \u000a +p15638 +tp15639 +a(g749 +Vunsigned +p15640 +tp15641 +a(g827 +g964 +tp15642 +a(g749 +Vlong +p15643 +tp15644 +a(g706 +g999 +tp15645 +a(g827 +V \u000a +p15646 +tp15647 +a(g749 +Vunsigned +p15648 +tp15649 +a(g827 +g964 +tp15650 +a(g749 +Vshort +p15651 +tp15652 +a(g827 +V\u000a +p15653 +tp15654 +a(g413 +g4560 +tp15655 +a(g706 +g1009 +tp15656 +a(g827 +g964 +tp15657 +a(g749 +Vchar +p15658 +tp15659 +a(g827 +g964 +tp15660 +a(g436 +Verror_msg +p15661 +tp15662 +a(g706 +g1068 +tp15663 +a(g37 +V256 +p15664 +tp15665 +a(g706 +g1072 +tp15666 +a(g706 +g1082 +tp15667 +a(g827 +V\u000a +p15668 +tp15669 +a(g827 +V +p15670 +tp15671 +a(g436 +Vsprintf +p15672 +tp15673 +a(g706 +g992 +tp15674 +a(g436 +Verror_msg +p15675 +tp15676 +a(g706 +g999 +tp15677 +a(g827 +g964 +tp15678 +a(g89 +g994 +tp15679 +a(g89 +VC++ $1_type exception thrown, value: %d +p15680 +tp15681 +a(g89 +g994 +tp15682 +a(g706 +g999 +tp15683 +a(g827 +g964 +tp15684 +a(g436 +V$1 +p15685 +tp15686 +a(g706 +g1006 +tp15687 +a(g706 +g1082 +tp15688 +a(g827 +V\u000a +p15689 +tp15690 +a(g827 +V +p15691 +tp15692 +a(g436 +VSWIG_JavaThrowException +p15693 +tp15694 +a(g706 +g992 +tp15695 +a(g436 +Vjenv +p15696 +tp15697 +a(g706 +g999 +tp15698 +a(g827 +g964 +tp15699 +a(g436 +VSWIG_JavaRuntimeException +p15700 +tp15701 +a(g706 +g999 +tp15702 +a(g827 +g964 +tp15703 +a(g436 +Verror_msg +p15704 +tp15705 +a(g706 +g1006 +tp15706 +a(g706 +g1082 +tp15707 +a(g827 +V\u000a +p15708 +tp15709 +a(g827 +V +p15710 +tp15711 +a(g745 +Vreturn +p15712 +tp15713 +a(g827 +g964 +tp15714 +a(g436 +V$null +p15715 +tp15716 +a(g706 +g1082 +tp15717 +a(g827 +g964 +tp15718 +a(g413 +g4560 +tp15719 +a(g706 +g1260 +tp15720 +a(g827 +V\u000a +p15721 +tp15722 +a(g827 +V\u000a +p15723 +tp15724 +a(g574 +V%typemap +p15725 +tp15726 +a(g706 +g992 +tp15727 +a(g745 +Vthrows +p15728 +tp15729 +a(g706 +g1006 +tp15730 +a(g827 +g964 +tp15731 +a(g436 +VSWIGTYPE +p15732 +tp15733 +a(g706 +g999 +tp15734 +a(g827 +g964 +tp15735 +a(g436 +VSWIGTYPE +p15736 +tp15737 +a(g827 +g964 +tp15738 +a(g413 +g1217 +tp15739 +a(g706 +g999 +tp15740 +a(g827 +g964 +tp15741 +a(g436 +VSWIGTYPE +p15742 +tp15743 +a(g827 +g964 +tp15744 +a(g413 +g1023 +tp15745 +a(g706 +g999 +tp15746 +a(g827 +g964 +tp15747 +a(g436 +VSWIGTYPE +p15748 +tp15749 +a(g827 +g964 +tp15750 +a(g706 +g1068 +tp15751 +a(g706 +g1072 +tp15752 +a(g706 +g999 +tp15753 +a(g827 +g964 +tp15754 +a(g436 +VSWIGTYPE +p15755 +tp15756 +a(g827 +g964 +tp15757 +a(g706 +g1068 +tp15758 +a(g436 +VANY +p15759 +tp15760 +a(g706 +g1072 +tp15761 +a(g827 +V\u000a +p15762 +tp15763 +a(g413 +g4560 +tp15764 +a(g706 +g1009 +tp15765 +a(g827 +g964 +tp15766 +a(g706 +g992 +tp15767 +a(g749 +Vvoid +p15768 +tp15769 +a(g706 +g1006 +tp15770 +a(g436 +V$1 +p15771 +tp15772 +a(g706 +g1082 +tp15773 +a(g827 +V\u000a +p15774 +tp15775 +a(g827 +V +p15776 +tp15777 +a(g436 +VSWIG_JavaThrowException +p15778 +tp15779 +a(g706 +g992 +tp15780 +a(g436 +Vjenv +p15781 +tp15782 +a(g706 +g999 +tp15783 +a(g827 +g964 +tp15784 +a(g436 +VSWIG_JavaRuntimeException +p15785 +tp15786 +a(g706 +g999 +tp15787 +a(g827 +g964 +tp15788 +a(g89 +g994 +tp15789 +a(g89 +VC++ $1_type exception thrown +p15790 +tp15791 +a(g89 +g994 +tp15792 +a(g706 +g1006 +tp15793 +a(g706 +g1082 +tp15794 +a(g827 +V\u000a +p15795 +tp15796 +a(g827 +V +p15797 +tp15798 +a(g745 +Vreturn +p15799 +tp15800 +a(g827 +g964 +tp15801 +a(g436 +V$null +p15802 +tp15803 +a(g706 +g1082 +tp15804 +a(g827 +g964 +tp15805 +a(g413 +g4560 +tp15806 +a(g706 +g1260 +tp15807 +a(g827 +V\u000a +p15808 +tp15809 +a(g827 +V\u000a +p15810 +tp15811 +a(g574 +V%typemap +p15812 +tp15813 +a(g706 +g992 +tp15814 +a(g745 +Vthrows +p15815 +tp15816 +a(g706 +g1006 +tp15817 +a(g827 +g964 +tp15818 +a(g749 +Vchar +p15819 +tp15820 +a(g827 +g964 +tp15821 +a(g413 +g1023 +tp15822 +a(g827 +V\u000a +p15823 +tp15824 +a(g413 +g4560 +tp15825 +a(g706 +g1009 +tp15826 +a(g827 +g964 +tp15827 +a(g436 +VSWIG_JavaThrowException +p15828 +tp15829 +a(g706 +g992 +tp15830 +a(g436 +Vjenv +p15831 +tp15832 +a(g706 +g999 +tp15833 +a(g827 +g964 +tp15834 +a(g436 +VSWIG_JavaRuntimeException +p15835 +tp15836 +a(g706 +g999 +tp15837 +a(g827 +g964 +tp15838 +a(g436 +V$1 +p15839 +tp15840 +a(g706 +g1006 +tp15841 +a(g706 +g1082 +tp15842 +a(g827 +V\u000a +p15843 +tp15844 +a(g827 +V +p15845 +tp15846 +a(g745 +Vreturn +p15847 +tp15848 +a(g827 +g964 +tp15849 +a(g436 +V$null +p15850 +tp15851 +a(g706 +g1082 +tp15852 +a(g827 +g964 +tp15853 +a(g413 +g4560 +tp15854 +a(g706 +g1260 +tp15855 +a(g827 +V\u000a +p15856 +tp15857 +a(g827 +V\u000a +p15858 +tp15859 +a(g827 +V\u000a +p15860 +tp15861 +a(g8 +V/* Typemaps for code generation in proxy classes and Java type wrapper classes */ +p15862 +tp15863 +a(g827 +V\u000a +p15864 +tp15865 +a(g827 +V\u000a +p15866 +tp15867 +a(g8 +V/* The javain typemap is used for converting function parameter types from the type \u000a * used in the proxy, module or type wrapper class to the type used in the JNI class. */ +p15868 +tp15869 +a(g827 +V\u000a +p15870 +tp15871 +a(g574 +V%typemap +p15872 +tp15873 +a(g706 +g992 +tp15874 +a(g436 +Vjavain +p15875 +tp15876 +a(g706 +g1006 +tp15877 +a(g827 +g964 +tp15878 +a(g749 +Vbool +p15879 +tp15880 +a(g706 +g999 +tp15881 +a(g827 +V +p15882 +tp15883 +a(g745 +Vconst +p15884 +tp15885 +a(g827 +g964 +tp15886 +a(g749 +Vbool +p15887 +tp15888 +a(g827 +g964 +tp15889 +a(g413 +g1217 +tp15890 +a(g706 +g999 +tp15891 +a(g827 +V\u000a +p15892 +tp15893 +a(g827 +V +p15894 +tp15895 +a(g749 +Vchar +p15896 +tp15897 +a(g706 +g999 +tp15898 +a(g827 +V +p15899 +tp15900 +a(g745 +Vconst +p15901 +tp15902 +a(g827 +g964 +tp15903 +a(g749 +Vchar +p15904 +tp15905 +a(g827 +g964 +tp15906 +a(g413 +g1217 +tp15907 +a(g706 +g999 +tp15908 +a(g827 +V\u000a +p15909 +tp15910 +a(g827 +V +p15911 +tp15912 +a(g749 +Vsigned +p15913 +tp15914 +a(g827 +g964 +tp15915 +a(g749 +Vchar +p15916 +tp15917 +a(g706 +g999 +tp15918 +a(g827 +V +p15919 +tp15920 +a(g745 +Vconst +p15921 +tp15922 +a(g827 +g964 +tp15923 +a(g749 +Vsigned +p15924 +tp15925 +a(g827 +g964 +tp15926 +a(g749 +Vchar +p15927 +tp15928 +a(g827 +g964 +tp15929 +a(g413 +g1217 +tp15930 +a(g706 +g999 +tp15931 +a(g827 +V\u000a +p15932 +tp15933 +a(g827 +V +p15934 +tp15935 +a(g749 +Vunsigned +p15936 +tp15937 +a(g827 +g964 +tp15938 +a(g749 +Vchar +p15939 +tp15940 +a(g706 +g999 +tp15941 +a(g827 +V +p15942 +tp15943 +a(g745 +Vconst +p15944 +tp15945 +a(g827 +g964 +tp15946 +a(g749 +Vunsigned +p15947 +tp15948 +a(g827 +g964 +tp15949 +a(g749 +Vchar +p15950 +tp15951 +a(g827 +g964 +tp15952 +a(g413 +g1217 +tp15953 +a(g706 +g999 +tp15954 +a(g827 +V\u000a +p15955 +tp15956 +a(g827 +V +p15957 +tp15958 +a(g749 +Vshort +p15959 +tp15960 +a(g706 +g999 +tp15961 +a(g827 +V +p15962 +tp15963 +a(g745 +Vconst +p15964 +tp15965 +a(g827 +g964 +tp15966 +a(g749 +Vshort +p15967 +tp15968 +a(g827 +g964 +tp15969 +a(g413 +g1217 +tp15970 +a(g706 +g999 +tp15971 +a(g827 +V\u000a +p15972 +tp15973 +a(g827 +V +p15974 +tp15975 +a(g749 +Vunsigned +p15976 +tp15977 +a(g827 +g964 +tp15978 +a(g749 +Vshort +p15979 +tp15980 +a(g706 +g999 +tp15981 +a(g827 +V +p15982 +tp15983 +a(g745 +Vconst +p15984 +tp15985 +a(g827 +g964 +tp15986 +a(g749 +Vunsigned +p15987 +tp15988 +a(g827 +g964 +tp15989 +a(g749 +Vshort +p15990 +tp15991 +a(g827 +g964 +tp15992 +a(g413 +g1217 +tp15993 +a(g706 +g999 +tp15994 +a(g827 +V\u000a +p15995 +tp15996 +a(g827 +V +p15997 +tp15998 +a(g749 +Vint +p15999 +tp16000 +a(g706 +g999 +tp16001 +a(g827 +V +p16002 +tp16003 +a(g745 +Vconst +p16004 +tp16005 +a(g827 +g964 +tp16006 +a(g749 +Vint +p16007 +tp16008 +a(g827 +g964 +tp16009 +a(g413 +g1217 +tp16010 +a(g706 +g999 +tp16011 +a(g827 +V\u000a +p16012 +tp16013 +a(g827 +V +p16014 +tp16015 +a(g749 +Vunsigned +p16016 +tp16017 +a(g827 +g964 +tp16018 +a(g749 +Vint +p16019 +tp16020 +a(g706 +g999 +tp16021 +a(g827 +V +p16022 +tp16023 +a(g745 +Vconst +p16024 +tp16025 +a(g827 +g964 +tp16026 +a(g749 +Vunsigned +p16027 +tp16028 +a(g827 +g964 +tp16029 +a(g749 +Vint +p16030 +tp16031 +a(g827 +g964 +tp16032 +a(g413 +g1217 +tp16033 +a(g706 +g999 +tp16034 +a(g827 +V\u000a +p16035 +tp16036 +a(g827 +V +p16037 +tp16038 +a(g749 +Vlong +p16039 +tp16040 +a(g706 +g999 +tp16041 +a(g827 +V +p16042 +tp16043 +a(g745 +Vconst +p16044 +tp16045 +a(g827 +g964 +tp16046 +a(g749 +Vlong +p16047 +tp16048 +a(g827 +g964 +tp16049 +a(g413 +g1217 +tp16050 +a(g706 +g999 +tp16051 +a(g827 +V\u000a +p16052 +tp16053 +a(g827 +V +p16054 +tp16055 +a(g749 +Vunsigned +p16056 +tp16057 +a(g827 +g964 +tp16058 +a(g749 +Vlong +p16059 +tp16060 +a(g706 +g999 +tp16061 +a(g827 +V +p16062 +tp16063 +a(g745 +Vconst +p16064 +tp16065 +a(g827 +g964 +tp16066 +a(g749 +Vunsigned +p16067 +tp16068 +a(g827 +g964 +tp16069 +a(g749 +Vlong +p16070 +tp16071 +a(g827 +g964 +tp16072 +a(g413 +g1217 +tp16073 +a(g706 +g999 +tp16074 +a(g827 +V\u000a +p16075 +tp16076 +a(g827 +V +p16077 +tp16078 +a(g749 +Vlong +p16079 +tp16080 +a(g827 +g964 +tp16081 +a(g749 +Vlong +p16082 +tp16083 +a(g706 +g999 +tp16084 +a(g827 +V +p16085 +tp16086 +a(g745 +Vconst +p16087 +tp16088 +a(g827 +g964 +tp16089 +a(g749 +Vlong +p16090 +tp16091 +a(g827 +g964 +tp16092 +a(g749 +Vlong +p16093 +tp16094 +a(g827 +g964 +tp16095 +a(g413 +g1217 +tp16096 +a(g706 +g999 +tp16097 +a(g827 +V\u000a +p16098 +tp16099 +a(g827 +V +p16100 +tp16101 +a(g749 +Vunsigned +p16102 +tp16103 +a(g827 +g964 +tp16104 +a(g749 +Vlong +p16105 +tp16106 +a(g827 +g964 +tp16107 +a(g749 +Vlong +p16108 +tp16109 +a(g706 +g999 +tp16110 +a(g827 +g964 +tp16111 +a(g745 +Vconst +p16112 +tp16113 +a(g827 +g964 +tp16114 +a(g749 +Vunsigned +p16115 +tp16116 +a(g827 +g964 +tp16117 +a(g749 +Vlong +p16118 +tp16119 +a(g827 +g964 +tp16120 +a(g749 +Vlong +p16121 +tp16122 +a(g827 +g964 +tp16123 +a(g413 +g1217 +tp16124 +a(g706 +g999 +tp16125 +a(g827 +V\u000a +p16126 +tp16127 +a(g827 +V +p16128 +tp16129 +a(g749 +Vfloat +p16130 +tp16131 +a(g706 +g999 +tp16132 +a(g827 +V +p16133 +tp16134 +a(g745 +Vconst +p16135 +tp16136 +a(g827 +g964 +tp16137 +a(g749 +Vfloat +p16138 +tp16139 +a(g827 +g964 +tp16140 +a(g413 +g1217 +tp16141 +a(g706 +g999 +tp16142 +a(g827 +V\u000a +p16143 +tp16144 +a(g827 +V +p16145 +tp16146 +a(g749 +Vdouble +p16147 +tp16148 +a(g706 +g999 +tp16149 +a(g827 +V +p16150 +tp16151 +a(g745 +Vconst +p16152 +tp16153 +a(g827 +g964 +tp16154 +a(g749 +Vdouble +p16155 +tp16156 +a(g827 +g964 +tp16157 +a(g413 +g1217 +tp16158 +a(g827 +V\u000a +p16159 +tp16160 +a(g827 +V +p16161 +tp16162 +a(g89 +g994 +tp16163 +a(g89 +V$javainput +p16164 +tp16165 +a(g89 +g994 +tp16166 +a(g827 +V\u000a +p16167 +tp16168 +a(g574 +V%typemap +p16169 +tp16170 +a(g706 +g992 +tp16171 +a(g436 +Vjavain +p16172 +tp16173 +a(g706 +g1006 +tp16174 +a(g827 +g964 +tp16175 +a(g749 +Vchar +p16176 +tp16177 +a(g827 +g964 +tp16178 +a(g413 +g1023 +tp16179 +a(g706 +g999 +tp16180 +a(g827 +g964 +tp16181 +a(g749 +Vchar +p16182 +tp16183 +a(g827 +g964 +tp16184 +a(g413 +g1023 +tp16185 +a(g413 +g1217 +tp16186 +a(g706 +g999 +tp16187 +a(g827 +g964 +tp16188 +a(g749 +Vchar +p16189 +tp16190 +a(g706 +g1068 +tp16191 +a(g436 +VANY +p16192 +tp16193 +a(g706 +g1072 +tp16194 +a(g706 +g999 +tp16195 +a(g827 +g964 +tp16196 +a(g749 +Vchar +p16197 +tp16198 +a(g706 +g1068 +tp16199 +a(g706 +g1072 +tp16200 +a(g827 +g964 +tp16201 +a(g89 +g994 +tp16202 +a(g89 +V$javainput +p16203 +tp16204 +a(g89 +g994 +tp16205 +a(g827 +V\u000a +p16206 +tp16207 +a(g574 +V%typemap +p16208 +tp16209 +a(g706 +g992 +tp16210 +a(g436 +Vjavain +p16211 +tp16212 +a(g706 +g1006 +tp16213 +a(g827 +g964 +tp16214 +a(g436 +Vjboolean +p16215 +tp16216 +a(g706 +g999 +tp16217 +a(g827 +V\u000a +p16218 +tp16219 +a(g827 +V +p16220 +tp16221 +a(g436 +Vjchar +p16222 +tp16223 +a(g706 +g999 +tp16224 +a(g827 +V\u000a +p16225 +tp16226 +a(g827 +V +p16227 +tp16228 +a(g436 +Vjbyte +p16229 +tp16230 +a(g706 +g999 +tp16231 +a(g827 +V\u000a +p16232 +tp16233 +a(g827 +V +p16234 +tp16235 +a(g436 +Vjshort +p16236 +tp16237 +a(g706 +g999 +tp16238 +a(g827 +V\u000a +p16239 +tp16240 +a(g827 +V +p16241 +tp16242 +a(g436 +Vjint +p16243 +tp16244 +a(g706 +g999 +tp16245 +a(g827 +V\u000a +p16246 +tp16247 +a(g827 +V +p16248 +tp16249 +a(g436 +Vjlong +p16250 +tp16251 +a(g706 +g999 +tp16252 +a(g827 +V\u000a +p16253 +tp16254 +a(g827 +V +p16255 +tp16256 +a(g436 +Vjfloat +p16257 +tp16258 +a(g706 +g999 +tp16259 +a(g827 +V\u000a +p16260 +tp16261 +a(g827 +V +p16262 +tp16263 +a(g436 +Vjdouble +p16264 +tp16265 +a(g706 +g999 +tp16266 +a(g827 +V\u000a +p16267 +tp16268 +a(g827 +V +p16269 +tp16270 +a(g436 +Vjstring +p16271 +tp16272 +a(g706 +g999 +tp16273 +a(g827 +V\u000a +p16274 +tp16275 +a(g827 +V +p16276 +tp16277 +a(g436 +Vjobject +p16278 +tp16279 +a(g706 +g999 +tp16280 +a(g827 +V\u000a +p16281 +tp16282 +a(g827 +V +p16283 +tp16284 +a(g436 +VjbooleanArray +p16285 +tp16286 +a(g706 +g999 +tp16287 +a(g827 +V\u000a +p16288 +tp16289 +a(g827 +V +p16290 +tp16291 +a(g436 +VjcharArray +p16292 +tp16293 +a(g706 +g999 +tp16294 +a(g827 +V\u000a +p16295 +tp16296 +a(g827 +V +p16297 +tp16298 +a(g436 +VjbyteArray +p16299 +tp16300 +a(g706 +g999 +tp16301 +a(g827 +V\u000a +p16302 +tp16303 +a(g827 +V +p16304 +tp16305 +a(g436 +VjshortArray +p16306 +tp16307 +a(g706 +g999 +tp16308 +a(g827 +V\u000a +p16309 +tp16310 +a(g827 +V +p16311 +tp16312 +a(g436 +VjintArray +p16313 +tp16314 +a(g706 +g999 +tp16315 +a(g827 +V\u000a +p16316 +tp16317 +a(g827 +V +p16318 +tp16319 +a(g436 +VjlongArray +p16320 +tp16321 +a(g706 +g999 +tp16322 +a(g827 +V\u000a +p16323 +tp16324 +a(g827 +V +p16325 +tp16326 +a(g436 +VjfloatArray +p16327 +tp16328 +a(g706 +g999 +tp16329 +a(g827 +V\u000a +p16330 +tp16331 +a(g827 +V +p16332 +tp16333 +a(g436 +VjdoubleArray +p16334 +tp16335 +a(g706 +g999 +tp16336 +a(g827 +V\u000a +p16337 +tp16338 +a(g827 +V +p16339 +tp16340 +a(g436 +VjobjectArray +p16341 +tp16342 +a(g827 +V\u000a +p16343 +tp16344 +a(g827 +V +p16345 +tp16346 +a(g89 +g994 +tp16347 +a(g89 +V$javainput +p16348 +tp16349 +a(g89 +g994 +tp16350 +a(g827 +V\u000a +p16351 +tp16352 +a(g574 +V%typemap +p16353 +tp16354 +a(g706 +g992 +tp16355 +a(g436 +Vjavain +p16356 +tp16357 +a(g706 +g1006 +tp16358 +a(g827 +g964 +tp16359 +a(g436 +VSWIGTYPE +p16360 +tp16361 +a(g827 +g964 +tp16362 +a(g89 +g994 +tp16363 +a(g89 +V$&javaclassname.getCPtr($javainput) +p16364 +tp16365 +a(g89 +g994 +tp16366 +a(g827 +V\u000a +p16367 +tp16368 +a(g574 +V%typemap +p16369 +tp16370 +a(g706 +g992 +tp16371 +a(g436 +Vjavain +p16372 +tp16373 +a(g706 +g1006 +tp16374 +a(g827 +g964 +tp16375 +a(g436 +VSWIGTYPE +p16376 +tp16377 +a(g827 +g964 +tp16378 +a(g413 +g1023 +tp16379 +a(g706 +g999 +tp16380 +a(g827 +g964 +tp16381 +a(g436 +VSWIGTYPE +p16382 +tp16383 +a(g827 +g964 +tp16384 +a(g413 +g1217 +tp16385 +a(g706 +g999 +tp16386 +a(g827 +g964 +tp16387 +a(g436 +VSWIGTYPE +p16388 +tp16389 +a(g827 +g964 +tp16390 +a(g706 +g1068 +tp16391 +a(g706 +g1072 +tp16392 +a(g827 +g964 +tp16393 +a(g89 +g994 +tp16394 +a(g89 +V$javaclassname.getCPtr($javainput) +p16395 +tp16396 +a(g89 +g994 +tp16397 +a(g827 +V\u000a +p16398 +tp16399 +a(g574 +V%typemap +p16400 +tp16401 +a(g706 +g992 +tp16402 +a(g436 +Vjavain +p16403 +tp16404 +a(g706 +g1006 +tp16405 +a(g827 +g964 +tp16406 +a(g436 +VSWIGTYPE +p16407 +tp16408 +a(g827 +g964 +tp16409 +a(g706 +g992 +tp16410 +a(g436 +VCLASS +p16411 +tp16412 +a(g413 +g4477 +tp16413 +a(g413 +g4477 +tp16414 +a(g413 +g1023 +tp16415 +a(g706 +g1006 +tp16416 +a(g827 +g964 +tp16417 +a(g89 +g994 +tp16418 +a(g89 +V$javaclassname.getCMemberPtr($javainput) +p16419 +tp16420 +a(g89 +g994 +tp16421 +a(g827 +V\u000a +p16422 +tp16423 +a(g827 +V\u000a +p16424 +tp16425 +a(g8 +V/* The javaout typemap is used for converting function return types from the return type\u000a * used in the JNI class to the type returned by the proxy, module or type wrapper class. */ +p16426 +tp16427 +a(g827 +V\u000a +p16428 +tp16429 +a(g574 +V%typemap +p16430 +tp16431 +a(g706 +g992 +tp16432 +a(g436 +Vjavaout +p16433 +tp16434 +a(g706 +g1006 +tp16435 +a(g827 +g964 +tp16436 +a(g749 +Vbool +p16437 +tp16438 +a(g706 +g999 +tp16439 +a(g827 +V +p16440 +tp16441 +a(g745 +Vconst +p16442 +tp16443 +a(g827 +g964 +tp16444 +a(g749 +Vbool +p16445 +tp16446 +a(g827 +g964 +tp16447 +a(g413 +g1217 +tp16448 +a(g706 +g999 +tp16449 +a(g827 +V\u000a +p16450 +tp16451 +a(g827 +V +p16452 +tp16453 +a(g749 +Vchar +p16454 +tp16455 +a(g706 +g999 +tp16456 +a(g827 +V +p16457 +tp16458 +a(g745 +Vconst +p16459 +tp16460 +a(g827 +g964 +tp16461 +a(g749 +Vchar +p16462 +tp16463 +a(g827 +g964 +tp16464 +a(g413 +g1217 +tp16465 +a(g706 +g999 +tp16466 +a(g827 +V\u000a +p16467 +tp16468 +a(g827 +V +p16469 +tp16470 +a(g749 +Vsigned +p16471 +tp16472 +a(g827 +g964 +tp16473 +a(g749 +Vchar +p16474 +tp16475 +a(g706 +g999 +tp16476 +a(g827 +V +p16477 +tp16478 +a(g745 +Vconst +p16479 +tp16480 +a(g827 +g964 +tp16481 +a(g749 +Vsigned +p16482 +tp16483 +a(g827 +g964 +tp16484 +a(g749 +Vchar +p16485 +tp16486 +a(g827 +g964 +tp16487 +a(g413 +g1217 +tp16488 +a(g706 +g999 +tp16489 +a(g827 +V\u000a +p16490 +tp16491 +a(g827 +V +p16492 +tp16493 +a(g749 +Vunsigned +p16494 +tp16495 +a(g827 +g964 +tp16496 +a(g749 +Vchar +p16497 +tp16498 +a(g706 +g999 +tp16499 +a(g827 +V +p16500 +tp16501 +a(g745 +Vconst +p16502 +tp16503 +a(g827 +g964 +tp16504 +a(g749 +Vunsigned +p16505 +tp16506 +a(g827 +g964 +tp16507 +a(g749 +Vchar +p16508 +tp16509 +a(g827 +g964 +tp16510 +a(g413 +g1217 +tp16511 +a(g706 +g999 +tp16512 +a(g827 +V\u000a +p16513 +tp16514 +a(g827 +V +p16515 +tp16516 +a(g749 +Vshort +p16517 +tp16518 +a(g706 +g999 +tp16519 +a(g827 +V +p16520 +tp16521 +a(g745 +Vconst +p16522 +tp16523 +a(g827 +g964 +tp16524 +a(g749 +Vshort +p16525 +tp16526 +a(g827 +g964 +tp16527 +a(g413 +g1217 +tp16528 +a(g706 +g999 +tp16529 +a(g827 +V\u000a +p16530 +tp16531 +a(g827 +V +p16532 +tp16533 +a(g749 +Vunsigned +p16534 +tp16535 +a(g827 +g964 +tp16536 +a(g749 +Vshort +p16537 +tp16538 +a(g706 +g999 +tp16539 +a(g827 +V +p16540 +tp16541 +a(g745 +Vconst +p16542 +tp16543 +a(g827 +g964 +tp16544 +a(g749 +Vunsigned +p16545 +tp16546 +a(g827 +g964 +tp16547 +a(g749 +Vshort +p16548 +tp16549 +a(g827 +g964 +tp16550 +a(g413 +g1217 +tp16551 +a(g706 +g999 +tp16552 +a(g827 +V\u000a +p16553 +tp16554 +a(g827 +V +p16555 +tp16556 +a(g749 +Vint +p16557 +tp16558 +a(g706 +g999 +tp16559 +a(g827 +V +p16560 +tp16561 +a(g745 +Vconst +p16562 +tp16563 +a(g827 +g964 +tp16564 +a(g749 +Vint +p16565 +tp16566 +a(g827 +g964 +tp16567 +a(g413 +g1217 +tp16568 +a(g706 +g999 +tp16569 +a(g827 +V\u000a +p16570 +tp16571 +a(g827 +V +p16572 +tp16573 +a(g749 +Vunsigned +p16574 +tp16575 +a(g827 +g964 +tp16576 +a(g749 +Vint +p16577 +tp16578 +a(g706 +g999 +tp16579 +a(g827 +V +p16580 +tp16581 +a(g745 +Vconst +p16582 +tp16583 +a(g827 +g964 +tp16584 +a(g749 +Vunsigned +p16585 +tp16586 +a(g827 +g964 +tp16587 +a(g749 +Vint +p16588 +tp16589 +a(g827 +g964 +tp16590 +a(g413 +g1217 +tp16591 +a(g706 +g999 +tp16592 +a(g827 +V\u000a +p16593 +tp16594 +a(g827 +V +p16595 +tp16596 +a(g749 +Vlong +p16597 +tp16598 +a(g706 +g999 +tp16599 +a(g827 +V +p16600 +tp16601 +a(g745 +Vconst +p16602 +tp16603 +a(g827 +g964 +tp16604 +a(g749 +Vlong +p16605 +tp16606 +a(g827 +g964 +tp16607 +a(g413 +g1217 +tp16608 +a(g706 +g999 +tp16609 +a(g827 +V\u000a +p16610 +tp16611 +a(g827 +V +p16612 +tp16613 +a(g749 +Vunsigned +p16614 +tp16615 +a(g827 +g964 +tp16616 +a(g749 +Vlong +p16617 +tp16618 +a(g706 +g999 +tp16619 +a(g827 +V +p16620 +tp16621 +a(g745 +Vconst +p16622 +tp16623 +a(g827 +g964 +tp16624 +a(g749 +Vunsigned +p16625 +tp16626 +a(g827 +g964 +tp16627 +a(g749 +Vlong +p16628 +tp16629 +a(g827 +g964 +tp16630 +a(g413 +g1217 +tp16631 +a(g706 +g999 +tp16632 +a(g827 +V\u000a +p16633 +tp16634 +a(g827 +V +p16635 +tp16636 +a(g749 +Vlong +p16637 +tp16638 +a(g827 +g964 +tp16639 +a(g749 +Vlong +p16640 +tp16641 +a(g706 +g999 +tp16642 +a(g827 +V +p16643 +tp16644 +a(g745 +Vconst +p16645 +tp16646 +a(g827 +g964 +tp16647 +a(g749 +Vlong +p16648 +tp16649 +a(g827 +g964 +tp16650 +a(g749 +Vlong +p16651 +tp16652 +a(g827 +g964 +tp16653 +a(g413 +g1217 +tp16654 +a(g706 +g999 +tp16655 +a(g827 +V\u000a +p16656 +tp16657 +a(g827 +V +p16658 +tp16659 +a(g749 +Vunsigned +p16660 +tp16661 +a(g827 +g964 +tp16662 +a(g749 +Vlong +p16663 +tp16664 +a(g827 +g964 +tp16665 +a(g749 +Vlong +p16666 +tp16667 +a(g706 +g999 +tp16668 +a(g827 +g964 +tp16669 +a(g745 +Vconst +p16670 +tp16671 +a(g827 +g964 +tp16672 +a(g749 +Vunsigned +p16673 +tp16674 +a(g827 +g964 +tp16675 +a(g749 +Vlong +p16676 +tp16677 +a(g827 +g964 +tp16678 +a(g749 +Vlong +p16679 +tp16680 +a(g827 +g964 +tp16681 +a(g413 +g1217 +tp16682 +a(g706 +g999 +tp16683 +a(g827 +V\u000a +p16684 +tp16685 +a(g827 +V +p16686 +tp16687 +a(g749 +Vfloat +p16688 +tp16689 +a(g706 +g999 +tp16690 +a(g827 +V +p16691 +tp16692 +a(g745 +Vconst +p16693 +tp16694 +a(g827 +g964 +tp16695 +a(g749 +Vfloat +p16696 +tp16697 +a(g827 +g964 +tp16698 +a(g413 +g1217 +tp16699 +a(g706 +g999 +tp16700 +a(g827 +V\u000a +p16701 +tp16702 +a(g827 +V +p16703 +tp16704 +a(g749 +Vdouble +p16705 +tp16706 +a(g706 +g999 +tp16707 +a(g827 +V +p16708 +tp16709 +a(g745 +Vconst +p16710 +tp16711 +a(g827 +g964 +tp16712 +a(g749 +Vdouble +p16713 +tp16714 +a(g827 +g964 +tp16715 +a(g413 +g1217 +tp16716 +a(g827 +g964 +tp16717 +a(g706 +g1009 +tp16718 +a(g827 +V\u000a +p16719 +tp16720 +a(g827 +V +p16721 +tp16722 +a(g745 +Vreturn +p16723 +tp16724 +a(g827 +g964 +tp16725 +a(g436 +V$jnicall +p16726 +tp16727 +a(g706 +g1082 +tp16728 +a(g827 +V\u000a +p16729 +tp16730 +a(g827 +V +p16731 +tp16732 +a(g706 +g1260 +tp16733 +a(g827 +V\u000a +p16734 +tp16735 +a(g574 +V%typemap +p16736 +tp16737 +a(g706 +g992 +tp16738 +a(g436 +Vjavaout +p16739 +tp16740 +a(g706 +g1006 +tp16741 +a(g827 +g964 +tp16742 +a(g749 +Vchar +p16743 +tp16744 +a(g827 +g964 +tp16745 +a(g413 +g1023 +tp16746 +a(g706 +g999 +tp16747 +a(g827 +g964 +tp16748 +a(g749 +Vchar +p16749 +tp16750 +a(g827 +g964 +tp16751 +a(g413 +g1023 +tp16752 +a(g413 +g1217 +tp16753 +a(g706 +g999 +tp16754 +a(g827 +g964 +tp16755 +a(g749 +Vchar +p16756 +tp16757 +a(g706 +g1068 +tp16758 +a(g436 +VANY +p16759 +tp16760 +a(g706 +g1072 +tp16761 +a(g706 +g999 +tp16762 +a(g827 +g964 +tp16763 +a(g749 +Vchar +p16764 +tp16765 +a(g706 +g1068 +tp16766 +a(g706 +g1072 +tp16767 +a(g827 +g964 +tp16768 +a(g706 +g1009 +tp16769 +a(g827 +V\u000a +p16770 +tp16771 +a(g827 +V +p16772 +tp16773 +a(g745 +Vreturn +p16774 +tp16775 +a(g827 +g964 +tp16776 +a(g436 +V$jnicall +p16777 +tp16778 +a(g706 +g1082 +tp16779 +a(g827 +V\u000a +p16780 +tp16781 +a(g827 +V +p16782 +tp16783 +a(g706 +g1260 +tp16784 +a(g827 +V\u000a +p16785 +tp16786 +a(g574 +V%typemap +p16787 +tp16788 +a(g706 +g992 +tp16789 +a(g436 +Vjavaout +p16790 +tp16791 +a(g706 +g1006 +tp16792 +a(g827 +g964 +tp16793 +a(g436 +Vjboolean +p16794 +tp16795 +a(g706 +g999 +tp16796 +a(g827 +V\u000a +p16797 +tp16798 +a(g827 +V +p16799 +tp16800 +a(g436 +Vjchar +p16801 +tp16802 +a(g706 +g999 +tp16803 +a(g827 +V\u000a +p16804 +tp16805 +a(g827 +V +p16806 +tp16807 +a(g436 +Vjbyte +p16808 +tp16809 +a(g706 +g999 +tp16810 +a(g827 +V\u000a +p16811 +tp16812 +a(g827 +V +p16813 +tp16814 +a(g436 +Vjshort +p16815 +tp16816 +a(g706 +g999 +tp16817 +a(g827 +V\u000a +p16818 +tp16819 +a(g827 +V +p16820 +tp16821 +a(g436 +Vjint +p16822 +tp16823 +a(g706 +g999 +tp16824 +a(g827 +V\u000a +p16825 +tp16826 +a(g827 +V +p16827 +tp16828 +a(g436 +Vjlong +p16829 +tp16830 +a(g706 +g999 +tp16831 +a(g827 +V\u000a +p16832 +tp16833 +a(g827 +V +p16834 +tp16835 +a(g436 +Vjfloat +p16836 +tp16837 +a(g706 +g999 +tp16838 +a(g827 +V\u000a +p16839 +tp16840 +a(g827 +V +p16841 +tp16842 +a(g436 +Vjdouble +p16843 +tp16844 +a(g706 +g999 +tp16845 +a(g827 +V\u000a +p16846 +tp16847 +a(g827 +V +p16848 +tp16849 +a(g436 +Vjstring +p16850 +tp16851 +a(g706 +g999 +tp16852 +a(g827 +V\u000a +p16853 +tp16854 +a(g827 +V +p16855 +tp16856 +a(g436 +Vjobject +p16857 +tp16858 +a(g706 +g999 +tp16859 +a(g827 +V\u000a +p16860 +tp16861 +a(g827 +V +p16862 +tp16863 +a(g436 +VjbooleanArray +p16864 +tp16865 +a(g706 +g999 +tp16866 +a(g827 +V\u000a +p16867 +tp16868 +a(g827 +V +p16869 +tp16870 +a(g436 +VjcharArray +p16871 +tp16872 +a(g706 +g999 +tp16873 +a(g827 +V\u000a +p16874 +tp16875 +a(g827 +V +p16876 +tp16877 +a(g436 +VjbyteArray +p16878 +tp16879 +a(g706 +g999 +tp16880 +a(g827 +V\u000a +p16881 +tp16882 +a(g827 +V +p16883 +tp16884 +a(g436 +VjshortArray +p16885 +tp16886 +a(g706 +g999 +tp16887 +a(g827 +V\u000a +p16888 +tp16889 +a(g827 +V +p16890 +tp16891 +a(g436 +VjintArray +p16892 +tp16893 +a(g706 +g999 +tp16894 +a(g827 +V\u000a +p16895 +tp16896 +a(g827 +V +p16897 +tp16898 +a(g436 +VjlongArray +p16899 +tp16900 +a(g706 +g999 +tp16901 +a(g827 +V\u000a +p16902 +tp16903 +a(g827 +V +p16904 +tp16905 +a(g436 +VjfloatArray +p16906 +tp16907 +a(g706 +g999 +tp16908 +a(g827 +V\u000a +p16909 +tp16910 +a(g827 +V +p16911 +tp16912 +a(g436 +VjdoubleArray +p16913 +tp16914 +a(g706 +g999 +tp16915 +a(g827 +V\u000a +p16916 +tp16917 +a(g827 +V +p16918 +tp16919 +a(g436 +VjobjectArray +p16920 +tp16921 +a(g827 +g964 +tp16922 +a(g706 +g1009 +tp16923 +a(g827 +V\u000a +p16924 +tp16925 +a(g827 +V +p16926 +tp16927 +a(g745 +Vreturn +p16928 +tp16929 +a(g827 +g964 +tp16930 +a(g436 +V$jnicall +p16931 +tp16932 +a(g706 +g1082 +tp16933 +a(g827 +V\u000a +p16934 +tp16935 +a(g827 +V +p16936 +tp16937 +a(g706 +g1260 +tp16938 +a(g827 +V\u000a +p16939 +tp16940 +a(g574 +V%typemap +p16941 +tp16942 +a(g706 +g992 +tp16943 +a(g436 +Vjavaout +p16944 +tp16945 +a(g706 +g1006 +tp16946 +a(g827 +g964 +tp16947 +a(g749 +Vvoid +p16948 +tp16949 +a(g827 +g964 +tp16950 +a(g706 +g1009 +tp16951 +a(g827 +V\u000a +p16952 +tp16953 +a(g827 +V +p16954 +tp16955 +a(g436 +V$jnicall +p16956 +tp16957 +a(g706 +g1082 +tp16958 +a(g827 +V\u000a +p16959 +tp16960 +a(g827 +V +p16961 +tp16962 +a(g706 +g1260 +tp16963 +a(g827 +V\u000a +p16964 +tp16965 +a(g574 +V%typemap +p16966 +tp16967 +a(g706 +g992 +tp16968 +a(g436 +Vjavaout +p16969 +tp16970 +a(g706 +g1006 +tp16971 +a(g827 +g964 +tp16972 +a(g436 +VSWIGTYPE +p16973 +tp16974 +a(g827 +g964 +tp16975 +a(g706 +g1009 +tp16976 +a(g827 +V\u000a +p16977 +tp16978 +a(g827 +V +p16979 +tp16980 +a(g745 +Vreturn +p16981 +tp16982 +a(g827 +g964 +tp16983 +a(g745 +Vnew +p16984 +tp16985 +a(g827 +g964 +tp16986 +a(g436 +V$&javaclassname +p16987 +tp16988 +a(g706 +g992 +tp16989 +a(g436 +V$jnicall +p16990 +tp16991 +a(g706 +g999 +tp16992 +a(g827 +g964 +tp16993 +a(g682 +Vtrue +p16994 +tp16995 +a(g706 +g1006 +tp16996 +a(g706 +g1082 +tp16997 +a(g827 +V\u000a +p16998 +tp16999 +a(g827 +V +p17000 +tp17001 +a(g706 +g1260 +tp17002 +a(g827 +V\u000a +p17003 +tp17004 +a(g574 +V%typemap +p17005 +tp17006 +a(g706 +g992 +tp17007 +a(g436 +Vjavaout +p17008 +tp17009 +a(g706 +g1006 +tp17010 +a(g827 +g964 +tp17011 +a(g436 +VSWIGTYPE +p17012 +tp17013 +a(g827 +g964 +tp17014 +a(g413 +g1217 +tp17015 +a(g827 +g964 +tp17016 +a(g706 +g1009 +tp17017 +a(g827 +V\u000a +p17018 +tp17019 +a(g827 +V +p17020 +tp17021 +a(g745 +Vreturn +p17022 +tp17023 +a(g827 +g964 +tp17024 +a(g745 +Vnew +p17025 +tp17026 +a(g827 +g964 +tp17027 +a(g436 +V$javaclassname +p17028 +tp17029 +a(g706 +g992 +tp17030 +a(g436 +V$jnicall +p17031 +tp17032 +a(g706 +g999 +tp17033 +a(g827 +g964 +tp17034 +a(g436 +V$owner +p17035 +tp17036 +a(g706 +g1006 +tp17037 +a(g706 +g1082 +tp17038 +a(g827 +V\u000a +p17039 +tp17040 +a(g827 +V +p17041 +tp17042 +a(g706 +g1260 +tp17043 +a(g827 +V\u000a +p17044 +tp17045 +a(g574 +V%typemap +p17046 +tp17047 +a(g706 +g992 +tp17048 +a(g436 +Vjavaout +p17049 +tp17050 +a(g706 +g1006 +tp17051 +a(g827 +g964 +tp17052 +a(g436 +VSWIGTYPE +p17053 +tp17054 +a(g827 +g964 +tp17055 +a(g413 +g1023 +tp17056 +a(g706 +g999 +tp17057 +a(g827 +g964 +tp17058 +a(g436 +VSWIGTYPE +p17059 +tp17060 +a(g827 +g964 +tp17061 +a(g706 +g1068 +tp17062 +a(g706 +g1072 +tp17063 +a(g827 +g964 +tp17064 +a(g706 +g1009 +tp17065 +a(g827 +V\u000a +p17066 +tp17067 +a(g827 +V +p17068 +tp17069 +a(g749 +Vlong +p17070 +tp17071 +a(g827 +g964 +tp17072 +a(g436 +VcPtr +p17073 +tp17074 +a(g827 +g964 +tp17075 +a(g413 +g1075 +tp17076 +a(g827 +g964 +tp17077 +a(g436 +V$jnicall +p17078 +tp17079 +a(g706 +g1082 +tp17080 +a(g827 +V\u000a +p17081 +tp17082 +a(g827 +V +p17083 +tp17084 +a(g745 +Vreturn +p17085 +tp17086 +a(g827 +g964 +tp17087 +a(g706 +g992 +tp17088 +a(g436 +VcPtr +p17089 +tp17090 +a(g827 +g964 +tp17091 +a(g413 +g1075 +tp17092 +a(g413 +g1075 +tp17093 +a(g827 +g964 +tp17094 +a(g37 +g1482 +tp17095 +a(g706 +g1006 +tp17096 +a(g827 +g964 +tp17097 +a(g413 +g4572 +tp17098 +a(g827 +g964 +tp17099 +a(g597 +Vnull +p17100 +tp17101 +a(g827 +g964 +tp17102 +a(g706 +g4477 +tp17103 +a(g827 +g964 +tp17104 +a(g745 +Vnew +p17105 +tp17106 +a(g827 +g964 +tp17107 +a(g436 +V$javaclassname +p17108 +tp17109 +a(g706 +g992 +tp17110 +a(g436 +VcPtr +p17111 +tp17112 +a(g706 +g999 +tp17113 +a(g827 +g964 +tp17114 +a(g436 +V$owner +p17115 +tp17116 +a(g706 +g1006 +tp17117 +a(g706 +g1082 +tp17118 +a(g827 +V\u000a +p17119 +tp17120 +a(g827 +V +p17121 +tp17122 +a(g706 +g1260 +tp17123 +a(g827 +V\u000a +p17124 +tp17125 +a(g574 +V%typemap +p17126 +tp17127 +a(g706 +g992 +tp17128 +a(g436 +Vjavaout +p17129 +tp17130 +a(g706 +g1006 +tp17131 +a(g827 +g964 +tp17132 +a(g436 +VSWIGTYPE +p17133 +tp17134 +a(g827 +g964 +tp17135 +a(g706 +g992 +tp17136 +a(g436 +VCLASS +p17137 +tp17138 +a(g413 +g4477 +tp17139 +a(g413 +g4477 +tp17140 +a(g413 +g1023 +tp17141 +a(g706 +g1006 +tp17142 +a(g827 +g964 +tp17143 +a(g706 +g1009 +tp17144 +a(g827 +V\u000a +p17145 +tp17146 +a(g827 +V +p17147 +tp17148 +a(g436 +VString +p17149 +tp17150 +a(g827 +g964 +tp17151 +a(g436 +VcMemberPtr +p17152 +tp17153 +a(g827 +g964 +tp17154 +a(g413 +g1075 +tp17155 +a(g827 +g964 +tp17156 +a(g436 +V$jnicall +p17157 +tp17158 +a(g706 +g1082 +tp17159 +a(g827 +V\u000a +p17160 +tp17161 +a(g827 +V +p17162 +tp17163 +a(g745 +Vreturn +p17164 +tp17165 +a(g827 +g964 +tp17166 +a(g706 +g992 +tp17167 +a(g436 +VcMemberPtr +p17168 +tp17169 +a(g827 +g964 +tp17170 +a(g413 +g1075 +tp17171 +a(g413 +g1075 +tp17172 +a(g827 +g964 +tp17173 +a(g436 +Vnull +p17174 +tp17175 +a(g706 +g1006 +tp17176 +a(g827 +g964 +tp17177 +a(g413 +g4572 +tp17178 +a(g827 +g964 +tp17179 +a(g597 +Vnull +p17180 +tp17181 +a(g827 +g964 +tp17182 +a(g706 +g4477 +tp17183 +a(g827 +g964 +tp17184 +a(g745 +Vnew +p17185 +tp17186 +a(g827 +g964 +tp17187 +a(g436 +V$javaclassname +p17188 +tp17189 +a(g706 +g992 +tp17190 +a(g436 +VcMemberPtr +p17191 +tp17192 +a(g706 +g999 +tp17193 +a(g827 +g964 +tp17194 +a(g436 +V$owner +p17195 +tp17196 +a(g706 +g1006 +tp17197 +a(g706 +g1082 +tp17198 +a(g827 +V\u000a +p17199 +tp17200 +a(g827 +V +p17201 +tp17202 +a(g706 +g1260 +tp17203 +a(g827 +V\u000a +p17204 +tp17205 +a(g827 +V\u000a +p17206 +tp17207 +a(g8 +V/* Pointer reference typemaps */ +p17208 +tp17209 +a(g827 +V\u000a +p17210 +tp17211 +a(g574 +V%typemap +p17212 +tp17213 +a(g706 +g992 +tp17214 +a(g436 +Vjni +p17215 +tp17216 +a(g706 +g1006 +tp17217 +a(g827 +g964 +tp17218 +a(g436 +VSWIGTYPE +p17219 +tp17220 +a(g827 +g964 +tp17221 +a(g413 +g1023 +tp17222 +a(g745 +Vconst +p17223 +tp17224 +a(g413 +g1217 +tp17225 +a(g827 +g964 +tp17226 +a(g89 +g994 +tp17227 +a(g89 +Vjlong +p17228 +tp17229 +a(g89 +g994 +tp17230 +a(g827 +V\u000a +p17231 +tp17232 +a(g574 +V%typemap +p17233 +tp17234 +a(g706 +g992 +tp17235 +a(g436 +Vjtype +p17236 +tp17237 +a(g706 +g1006 +tp17238 +a(g827 +g964 +tp17239 +a(g436 +VSWIGTYPE +p17240 +tp17241 +a(g827 +g964 +tp17242 +a(g413 +g1023 +tp17243 +a(g745 +Vconst +p17244 +tp17245 +a(g413 +g1217 +tp17246 +a(g827 +g964 +tp17247 +a(g89 +g994 +tp17248 +a(g89 +Vlong +p17249 +tp17250 +a(g89 +g994 +tp17251 +a(g827 +V\u000a +p17252 +tp17253 +a(g574 +V%typemap +p17254 +tp17255 +a(g706 +g992 +tp17256 +a(g436 +Vjstype +p17257 +tp17258 +a(g706 +g1006 +tp17259 +a(g827 +g964 +tp17260 +a(g436 +VSWIGTYPE +p17261 +tp17262 +a(g827 +g964 +tp17263 +a(g413 +g1023 +tp17264 +a(g745 +Vconst +p17265 +tp17266 +a(g413 +g1217 +tp17267 +a(g827 +g964 +tp17268 +a(g89 +g994 +tp17269 +a(g89 +V$*javaclassname +p17270 +tp17271 +a(g89 +g994 +tp17272 +a(g827 +V\u000a +p17273 +tp17274 +a(g574 +V%typemap +p17275 +tp17276 +a(g706 +g992 +tp17277 +a(g436 +Vjavain +p17278 +tp17279 +a(g706 +g1006 +tp17280 +a(g827 +g964 +tp17281 +a(g436 +VSWIGTYPE +p17282 +tp17283 +a(g827 +g964 +tp17284 +a(g413 +g1023 +tp17285 +a(g745 +Vconst +p17286 +tp17287 +a(g413 +g1217 +tp17288 +a(g827 +g964 +tp17289 +a(g89 +g994 +tp17290 +a(g89 +V$*javaclassname.getCPtr($javainput) +p17291 +tp17292 +a(g89 +g994 +tp17293 +a(g827 +V\u000a +p17294 +tp17295 +a(g574 +V%typemap +p17296 +tp17297 +a(g706 +g992 +tp17298 +a(g436 +Vjavaout +p17299 +tp17300 +a(g706 +g1006 +tp17301 +a(g827 +g964 +tp17302 +a(g436 +VSWIGTYPE +p17303 +tp17304 +a(g827 +g964 +tp17305 +a(g413 +g1023 +tp17306 +a(g745 +Vconst +p17307 +tp17308 +a(g413 +g1217 +tp17309 +a(g827 +g964 +tp17310 +a(g706 +g1009 +tp17311 +a(g827 +V\u000a +p17312 +tp17313 +a(g827 +V +p17314 +tp17315 +a(g749 +Vlong +p17316 +tp17317 +a(g827 +g964 +tp17318 +a(g436 +VcPtr +p17319 +tp17320 +a(g827 +g964 +tp17321 +a(g413 +g1075 +tp17322 +a(g827 +g964 +tp17323 +a(g436 +V$jnicall +p17324 +tp17325 +a(g706 +g1082 +tp17326 +a(g827 +V\u000a +p17327 +tp17328 +a(g827 +V +p17329 +tp17330 +a(g745 +Vreturn +p17331 +tp17332 +a(g827 +g964 +tp17333 +a(g706 +g992 +tp17334 +a(g436 +VcPtr +p17335 +tp17336 +a(g827 +g964 +tp17337 +a(g413 +g1075 +tp17338 +a(g413 +g1075 +tp17339 +a(g827 +g964 +tp17340 +a(g37 +g1482 +tp17341 +a(g706 +g1006 +tp17342 +a(g827 +g964 +tp17343 +a(g413 +g4572 +tp17344 +a(g827 +g964 +tp17345 +a(g597 +Vnull +p17346 +tp17347 +a(g827 +g964 +tp17348 +a(g706 +g4477 +tp17349 +a(g827 +g964 +tp17350 +a(g745 +Vnew +p17351 +tp17352 +a(g827 +g964 +tp17353 +a(g436 +V$*javaclassname +p17354 +tp17355 +a(g706 +g992 +tp17356 +a(g436 +VcPtr +p17357 +tp17358 +a(g706 +g999 +tp17359 +a(g827 +g964 +tp17360 +a(g436 +V$owner +p17361 +tp17362 +a(g706 +g1006 +tp17363 +a(g706 +g1082 +tp17364 +a(g827 +V\u000a +p17365 +tp17366 +a(g827 +V +p17367 +tp17368 +a(g706 +g1260 +tp17369 +a(g827 +V\u000a +p17370 +tp17371 +a(g574 +V%typemap +p17372 +tp17373 +a(g706 +g992 +tp17374 +a(g436 +Vin +p17375 +tp17376 +a(g706 +g1006 +tp17377 +a(g827 +g964 +tp17378 +a(g436 +VSWIGTYPE +p17379 +tp17380 +a(g827 +g964 +tp17381 +a(g413 +g1023 +tp17382 +a(g745 +Vconst +p17383 +tp17384 +a(g413 +g1217 +tp17385 +a(g827 +g964 +tp17386 +a(g706 +g992 +tp17387 +a(g436 +V$*1_ltype +p17388 +tp17389 +a(g827 +g964 +tp17390 +a(g436 +Vtemp +p17391 +tp17392 +a(g827 +g964 +tp17393 +a(g413 +g1075 +tp17394 +a(g827 +g964 +tp17395 +a(g37 +g1482 +tp17396 +a(g706 +g1006 +tp17397 +a(g827 +V\u000a +p17398 +tp17399 +a(g413 +g4560 +tp17400 +a(g706 +g1009 +tp17401 +a(g827 +g964 +tp17402 +a(g436 +Vtemp +p17403 +tp17404 +a(g827 +g964 +tp17405 +a(g413 +g1075 +tp17406 +a(g827 +g964 +tp17407 +a(g413 +g1023 +tp17408 +a(g706 +g992 +tp17409 +a(g436 +V$1_ltype +p17410 +tp17411 +a(g706 +g1006 +tp17412 +a(g413 +g1217 +tp17413 +a(g436 +V$input +p17414 +tp17415 +a(g706 +g1082 +tp17416 +a(g827 +V\u000a +p17417 +tp17418 +a(g827 +V +p17419 +tp17420 +a(g436 +V$1 +p17421 +tp17422 +a(g827 +g964 +tp17423 +a(g413 +g1075 +tp17424 +a(g827 +g964 +tp17425 +a(g706 +g992 +tp17426 +a(g436 +V$1_ltype +p17427 +tp17428 +a(g706 +g1006 +tp17429 +a(g413 +g1217 +tp17430 +a(g436 +Vtemp +p17431 +tp17432 +a(g706 +g1082 +tp17433 +a(g827 +g964 +tp17434 +a(g413 +g4560 +tp17435 +a(g706 +g1260 +tp17436 +a(g827 +V\u000a +p17437 +tp17438 +a(g574 +V%typemap +p17439 +tp17440 +a(g706 +g992 +tp17441 +a(g436 +Vout +p17442 +tp17443 +a(g706 +g1006 +tp17444 +a(g827 +g964 +tp17445 +a(g436 +VSWIGTYPE +p17446 +tp17447 +a(g827 +g964 +tp17448 +a(g413 +g1023 +tp17449 +a(g745 +Vconst +p17450 +tp17451 +a(g413 +g1217 +tp17452 +a(g827 +V\u000a +p17453 +tp17454 +a(g413 +g4560 +tp17455 +a(g706 +g1009 +tp17456 +a(g827 +g964 +tp17457 +a(g413 +g1023 +tp17458 +a(g706 +g992 +tp17459 +a(g436 +V$1_ltype +p17460 +tp17461 +a(g706 +g1006 +tp17462 +a(g413 +g1217 +tp17463 +a(g436 +V$result +p17464 +tp17465 +a(g827 +g964 +tp17466 +a(g413 +g1075 +tp17467 +a(g827 +g964 +tp17468 +a(g413 +g1023 +tp17469 +a(g436 +V$1 +p17470 +tp17471 +a(g706 +g1082 +tp17472 +a(g827 +g964 +tp17473 +a(g413 +g4560 +tp17474 +a(g706 +g1260 +tp17475 +a(g827 +V \u000a\u000a +p17476 +tp17477 +a(g8 +V/* Typemaps used for the generation of proxy and type wrapper class code */ +p17478 +tp17479 +a(g827 +V\u000a +p17480 +tp17481 +a(g574 +V%typemap +p17482 +tp17483 +a(g706 +g992 +tp17484 +a(g436 +Vjavabase +p17485 +tp17486 +a(g706 +g1006 +tp17487 +a(g827 +V +p17488 +tp17489 +a(g436 +VSWIGTYPE +p17490 +tp17491 +a(g706 +g999 +tp17492 +a(g827 +g964 +tp17493 +a(g436 +VSWIGTYPE +p17494 +tp17495 +a(g827 +g964 +tp17496 +a(g413 +g1023 +tp17497 +a(g706 +g999 +tp17498 +a(g827 +g964 +tp17499 +a(g436 +VSWIGTYPE +p17500 +tp17501 +a(g827 +g964 +tp17502 +a(g413 +g1217 +tp17503 +a(g706 +g999 +tp17504 +a(g827 +g964 +tp17505 +a(g436 +VSWIGTYPE +p17506 +tp17507 +a(g827 +g964 +tp17508 +a(g706 +g1068 +tp17509 +a(g706 +g1072 +tp17510 +a(g706 +g999 +tp17511 +a(g827 +g964 +tp17512 +a(g436 +VSWIGTYPE +p17513 +tp17514 +a(g827 +g964 +tp17515 +a(g706 +g992 +tp17516 +a(g436 +VCLASS +p17517 +tp17518 +a(g413 +g4477 +tp17519 +a(g413 +g4477 +tp17520 +a(g413 +g1023 +tp17521 +a(g706 +g1006 +tp17522 +a(g827 +g964 +tp17523 +a(g89 +g994 +tp17524 +a(g89 +g994 +tp17525 +a(g827 +V\u000a +p17526 +tp17527 +a(g574 +V%typemap +p17528 +tp17529 +a(g706 +g992 +tp17530 +a(g436 +Vjavaclassmodifiers +p17531 +tp17532 +a(g706 +g1006 +tp17533 +a(g827 +V +p17534 +tp17535 +a(g436 +VSWIGTYPE +p17536 +tp17537 +a(g706 +g999 +tp17538 +a(g827 +g964 +tp17539 +a(g436 +VSWIGTYPE +p17540 +tp17541 +a(g827 +g964 +tp17542 +a(g413 +g1023 +tp17543 +a(g706 +g999 +tp17544 +a(g827 +g964 +tp17545 +a(g436 +VSWIGTYPE +p17546 +tp17547 +a(g827 +g964 +tp17548 +a(g413 +g1217 +tp17549 +a(g706 +g999 +tp17550 +a(g827 +g964 +tp17551 +a(g436 +VSWIGTYPE +p17552 +tp17553 +a(g827 +g964 +tp17554 +a(g706 +g1068 +tp17555 +a(g706 +g1072 +tp17556 +a(g706 +g999 +tp17557 +a(g827 +g964 +tp17558 +a(g436 +VSWIGTYPE +p17559 +tp17560 +a(g827 +g964 +tp17561 +a(g706 +g992 +tp17562 +a(g436 +VCLASS +p17563 +tp17564 +a(g413 +g4477 +tp17565 +a(g413 +g4477 +tp17566 +a(g413 +g1023 +tp17567 +a(g706 +g1006 +tp17568 +a(g827 +g964 +tp17569 +a(g89 +g994 +tp17570 +a(g89 +Vpublic class +p17571 +tp17572 +a(g89 +g994 +tp17573 +a(g827 +V\u000a +p17574 +tp17575 +a(g574 +V%typemap +p17576 +tp17577 +a(g706 +g992 +tp17578 +a(g436 +Vjavacode +p17579 +tp17580 +a(g706 +g1006 +tp17581 +a(g827 +V +p17582 +tp17583 +a(g436 +VSWIGTYPE +p17584 +tp17585 +a(g706 +g999 +tp17586 +a(g827 +g964 +tp17587 +a(g436 +VSWIGTYPE +p17588 +tp17589 +a(g827 +g964 +tp17590 +a(g413 +g1023 +tp17591 +a(g706 +g999 +tp17592 +a(g827 +g964 +tp17593 +a(g436 +VSWIGTYPE +p17594 +tp17595 +a(g827 +g964 +tp17596 +a(g413 +g1217 +tp17597 +a(g706 +g999 +tp17598 +a(g827 +g964 +tp17599 +a(g436 +VSWIGTYPE +p17600 +tp17601 +a(g827 +g964 +tp17602 +a(g706 +g1068 +tp17603 +a(g706 +g1072 +tp17604 +a(g706 +g999 +tp17605 +a(g827 +g964 +tp17606 +a(g436 +VSWIGTYPE +p17607 +tp17608 +a(g827 +g964 +tp17609 +a(g706 +g992 +tp17610 +a(g436 +VCLASS +p17611 +tp17612 +a(g413 +g4477 +tp17613 +a(g413 +g4477 +tp17614 +a(g413 +g1023 +tp17615 +a(g706 +g1006 +tp17616 +a(g827 +g964 +tp17617 +a(g89 +g994 +tp17618 +a(g89 +g994 +tp17619 +a(g827 +V\u000a +p17620 +tp17621 +a(g574 +V%typemap +p17622 +tp17623 +a(g706 +g992 +tp17624 +a(g436 +Vjavaimports +p17625 +tp17626 +a(g706 +g1006 +tp17627 +a(g827 +V +p17628 +tp17629 +a(g436 +VSWIGTYPE +p17630 +tp17631 +a(g706 +g999 +tp17632 +a(g827 +g964 +tp17633 +a(g436 +VSWIGTYPE +p17634 +tp17635 +a(g827 +g964 +tp17636 +a(g413 +g1023 +tp17637 +a(g706 +g999 +tp17638 +a(g827 +g964 +tp17639 +a(g436 +VSWIGTYPE +p17640 +tp17641 +a(g827 +g964 +tp17642 +a(g413 +g1217 +tp17643 +a(g706 +g999 +tp17644 +a(g827 +g964 +tp17645 +a(g436 +VSWIGTYPE +p17646 +tp17647 +a(g827 +g964 +tp17648 +a(g706 +g1068 +tp17649 +a(g706 +g1072 +tp17650 +a(g706 +g999 +tp17651 +a(g827 +g964 +tp17652 +a(g436 +VSWIGTYPE +p17653 +tp17654 +a(g827 +g964 +tp17655 +a(g706 +g992 +tp17656 +a(g436 +VCLASS +p17657 +tp17658 +a(g413 +g4477 +tp17659 +a(g413 +g4477 +tp17660 +a(g413 +g1023 +tp17661 +a(g706 +g1006 +tp17662 +a(g827 +g964 +tp17663 +a(g89 +g994 +tp17664 +a(g89 +g994 +tp17665 +a(g827 +V\u000a +p17666 +tp17667 +a(g574 +V%typemap +p17668 +tp17669 +a(g706 +g992 +tp17670 +a(g436 +Vjavainterfaces +p17671 +tp17672 +a(g706 +g1006 +tp17673 +a(g827 +V +p17674 +tp17675 +a(g436 +VSWIGTYPE +p17676 +tp17677 +a(g706 +g999 +tp17678 +a(g827 +g964 +tp17679 +a(g436 +VSWIGTYPE +p17680 +tp17681 +a(g827 +g964 +tp17682 +a(g413 +g1023 +tp17683 +a(g706 +g999 +tp17684 +a(g827 +g964 +tp17685 +a(g436 +VSWIGTYPE +p17686 +tp17687 +a(g827 +g964 +tp17688 +a(g413 +g1217 +tp17689 +a(g706 +g999 +tp17690 +a(g827 +g964 +tp17691 +a(g436 +VSWIGTYPE +p17692 +tp17693 +a(g827 +g964 +tp17694 +a(g706 +g1068 +tp17695 +a(g706 +g1072 +tp17696 +a(g706 +g999 +tp17697 +a(g827 +g964 +tp17698 +a(g436 +VSWIGTYPE +p17699 +tp17700 +a(g827 +g964 +tp17701 +a(g706 +g992 +tp17702 +a(g436 +VCLASS +p17703 +tp17704 +a(g413 +g4477 +tp17705 +a(g413 +g4477 +tp17706 +a(g413 +g1023 +tp17707 +a(g706 +g1006 +tp17708 +a(g827 +g964 +tp17709 +a(g89 +g994 +tp17710 +a(g89 +g994 +tp17711 +a(g827 +V\u000a +p17712 +tp17713 +a(g827 +V\u000a +p17714 +tp17715 +a(g8 +V/* javabody typemaps */ +p17716 +tp17717 +a(g827 +V\u000a +p17718 +tp17719 +a(g827 +V\u000a +p17720 +tp17721 +a(g574 +V%define +p17722 +tp17723 +a(g827 +g964 +tp17724 +a(g436 +VSWIG_JAVABODY_METHODS +p17725 +tp17726 +a(g706 +g992 +tp17727 +a(g436 +VPTRCTOR_VISIBILITY +p17728 +tp17729 +a(g706 +g999 +tp17730 +a(g827 +g964 +tp17731 +a(g436 +VCPTR_VISIBILITY +p17732 +tp17733 +a(g706 +g999 +tp17734 +a(g827 +g964 +tp17735 +a(g436 +VTYPE +p17736 +tp17737 +a(g706 +g970 +tp17738 +a(g706 +g970 +tp17739 +a(g706 +g970 +tp17740 +a(g706 +g1006 +tp17741 +a(g827 +g964 +tp17742 +a(g436 +VSWIG_JAVABODY_PROXY +p17743 +tp17744 +a(g706 +g992 +tp17745 +a(g436 +VPTRCTOR_VISIBILITY +p17746 +tp17747 +a(g706 +g999 +tp17748 +a(g827 +g964 +tp17749 +a(g436 +VCPTR_VISIBILITY +p17750 +tp17751 +a(g706 +g999 +tp17752 +a(g827 +g964 +tp17753 +a(g436 +VTYPE +p17754 +tp17755 +a(g706 +g1006 +tp17756 +a(g827 +g964 +tp17757 +a(g574 +V%enddef +p17758 +tp17759 +a(g827 +g964 +tp17760 +a(g906 +V// legacy name\u000a +p17761 +tp17762 +a(g827 +V\u000a +p17763 +tp17764 +a(g574 +V%define +p17765 +tp17766 +a(g827 +g964 +tp17767 +a(g436 +VSWIG_JAVABODY_PROXY +p17768 +tp17769 +a(g706 +g992 +tp17770 +a(g436 +VPTRCTOR_VISIBILITY +p17771 +tp17772 +a(g706 +g999 +tp17773 +a(g827 +g964 +tp17774 +a(g436 +VCPTR_VISIBILITY +p17775 +tp17776 +a(g706 +g999 +tp17777 +a(g827 +g964 +tp17778 +a(g436 +VTYPE +p17779 +tp17780 +a(g706 +g970 +tp17781 +a(g706 +g970 +tp17782 +a(g706 +g970 +tp17783 +a(g706 +g1006 +tp17784 +a(g827 +V\u000a +p17785 +tp17786 +a(g906 +V// Base proxy classes\u000a +p17787 +tp17788 +a(g574 +V%typemap +p17789 +tp17790 +a(g706 +g992 +tp17791 +a(g436 +Vjavabody +p17792 +tp17793 +a(g706 +g1006 +tp17794 +a(g827 +g964 +tp17795 +a(g436 +VTYPE +p17796 +tp17797 +a(g827 +g964 +tp17798 +a(g413 +g4560 +tp17799 +a(g706 +g1009 +tp17800 +a(g827 +V\u000a +p17801 +tp17802 +a(g827 +V +p17803 +tp17804 +a(g745 +Vprivate +p17805 +tp17806 +a(g827 +g964 +tp17807 +a(g749 +Vlong +p17808 +tp17809 +a(g827 +g964 +tp17810 +a(g436 +VswigCPtr +p17811 +tp17812 +a(g706 +g1082 +tp17813 +a(g827 +V\u000a +p17814 +tp17815 +a(g827 +V +p17816 +tp17817 +a(g745 +Vprotected +p17818 +tp17819 +a(g827 +g964 +tp17820 +a(g436 +Vboolean +p17821 +tp17822 +a(g827 +g964 +tp17823 +a(g436 +VswigCMemOwn +p17824 +tp17825 +a(g706 +g1082 +tp17826 +a(g827 +V\u000a +p17827 +tp17828 +a(g827 +V\u000a +p17829 +tp17830 +a(g827 +V +p17831 +tp17832 +a(g436 +VPTRCTOR_VISIBILITY +p17833 +tp17834 +a(g827 +g964 +tp17835 +a(g436 +V$javaclassname +p17836 +tp17837 +a(g706 +g992 +tp17838 +a(g749 +Vlong +p17839 +tp17840 +a(g827 +g964 +tp17841 +a(g436 +VcPtr +p17842 +tp17843 +a(g706 +g999 +tp17844 +a(g827 +g964 +tp17845 +a(g436 +Vboolean +p17846 +tp17847 +a(g827 +g964 +tp17848 +a(g436 +VcMemoryOwn +p17849 +tp17850 +a(g706 +g1006 +tp17851 +a(g827 +g964 +tp17852 +a(g706 +g1009 +tp17853 +a(g827 +V\u000a +p17854 +tp17855 +a(g827 +V +p17856 +tp17857 +a(g436 +VswigCMemOwn +p17858 +tp17859 +a(g827 +g964 +tp17860 +a(g413 +g1075 +tp17861 +a(g827 +g964 +tp17862 +a(g436 +VcMemoryOwn +p17863 +tp17864 +a(g706 +g1082 +tp17865 +a(g827 +V\u000a +p17866 +tp17867 +a(g827 +V +p17868 +tp17869 +a(g436 +VswigCPtr +p17870 +tp17871 +a(g827 +g964 +tp17872 +a(g413 +g1075 +tp17873 +a(g827 +g964 +tp17874 +a(g436 +VcPtr +p17875 +tp17876 +a(g706 +g1082 +tp17877 +a(g827 +V\u000a +p17878 +tp17879 +a(g827 +V +p17880 +tp17881 +a(g706 +g1260 +tp17882 +a(g827 +V\u000a +p17883 +tp17884 +a(g827 +V\u000a +p17885 +tp17886 +a(g827 +V +p17887 +tp17888 +a(g436 +VCPTR_VISIBILITY +p17889 +tp17890 +a(g827 +g964 +tp17891 +a(g745 +Vstatic +p17892 +tp17893 +a(g827 +g964 +tp17894 +a(g749 +Vlong +p17895 +tp17896 +a(g827 +g964 +tp17897 +a(g436 +VgetCPtr +p17898 +tp17899 +a(g706 +g992 +tp17900 +a(g436 +V$javaclassname +p17901 +tp17902 +a(g827 +g964 +tp17903 +a(g436 +Vobj +p17904 +tp17905 +a(g706 +g1006 +tp17906 +a(g827 +g964 +tp17907 +a(g706 +g1009 +tp17908 +a(g827 +V\u000a +p17909 +tp17910 +a(g827 +V +p17911 +tp17912 +a(g745 +Vreturn +p17913 +tp17914 +a(g827 +g964 +tp17915 +a(g706 +g992 +tp17916 +a(g436 +Vobj +p17917 +tp17918 +a(g827 +g964 +tp17919 +a(g413 +g1075 +tp17920 +a(g413 +g1075 +tp17921 +a(g827 +g964 +tp17922 +a(g436 +Vnull +p17923 +tp17924 +a(g706 +g1006 +tp17925 +a(g827 +g964 +tp17926 +a(g413 +g4572 +tp17927 +a(g827 +g964 +tp17928 +a(g37 +g1482 +tp17929 +a(g827 +g964 +tp17930 +a(g413 +g4477 +tp17931 +a(g827 +g964 +tp17932 +a(g436 +Vobj +p17933 +tp17934 +a(g706 +g970 +tp17935 +a(g436 +VswigCPtr +p17936 +tp17937 +a(g706 +g1082 +tp17938 +a(g827 +V\u000a +p17939 +tp17940 +a(g827 +V +p17941 +tp17942 +a(g706 +g1260 +tp17943 +a(g827 +V\u000a +p17944 +tp17945 +a(g413 +g4560 +tp17946 +a(g706 +g1260 +tp17947 +a(g827 +V\u000a +p17948 +tp17949 +a(g827 +V\u000a +p17950 +tp17951 +a(g906 +V// Derived proxy classes\u000a +p17952 +tp17953 +a(g574 +V%typemap +p17954 +tp17955 +a(g706 +g992 +tp17956 +a(g436 +Vjavabody_derived +p17957 +tp17958 +a(g706 +g1006 +tp17959 +a(g827 +g964 +tp17960 +a(g436 +VTYPE +p17961 +tp17962 +a(g827 +g964 +tp17963 +a(g413 +g4560 +tp17964 +a(g706 +g1009 +tp17965 +a(g827 +V\u000a +p17966 +tp17967 +a(g827 +V +p17968 +tp17969 +a(g745 +Vprivate +p17970 +tp17971 +a(g827 +g964 +tp17972 +a(g749 +Vlong +p17973 +tp17974 +a(g827 +g964 +tp17975 +a(g436 +VswigCPtr +p17976 +tp17977 +a(g706 +g1082 +tp17978 +a(g827 +V\u000a +p17979 +tp17980 +a(g827 +V\u000a +p17981 +tp17982 +a(g827 +V +p17983 +tp17984 +a(g436 +VPTRCTOR_VISIBILITY +p17985 +tp17986 +a(g827 +g964 +tp17987 +a(g436 +V$javaclassname +p17988 +tp17989 +a(g706 +g992 +tp17990 +a(g749 +Vlong +p17991 +tp17992 +a(g827 +g964 +tp17993 +a(g436 +VcPtr +p17994 +tp17995 +a(g706 +g999 +tp17996 +a(g827 +g964 +tp17997 +a(g436 +Vboolean +p17998 +tp17999 +a(g827 +g964 +tp18000 +a(g436 +VcMemoryOwn +p18001 +tp18002 +a(g706 +g1006 +tp18003 +a(g827 +g964 +tp18004 +a(g706 +g1009 +tp18005 +a(g827 +V\u000a +p18006 +tp18007 +a(g827 +V +p18008 +tp18009 +a(g436 +Vsuper +p18010 +tp18011 +a(g706 +g992 +tp18012 +a(g436 +V$imclassname +p18013 +tp18014 +a(g706 +g970 +tp18015 +a(g436 +V$javaclazznameSWIGUpcast +p18016 +tp18017 +a(g706 +g992 +tp18018 +a(g436 +VcPtr +p18019 +tp18020 +a(g706 +g1006 +tp18021 +a(g706 +g999 +tp18022 +a(g827 +g964 +tp18023 +a(g436 +VcMemoryOwn +p18024 +tp18025 +a(g706 +g1006 +tp18026 +a(g706 +g1082 +tp18027 +a(g827 +V\u000a +p18028 +tp18029 +a(g827 +V +p18030 +tp18031 +a(g436 +VswigCPtr +p18032 +tp18033 +a(g827 +g964 +tp18034 +a(g413 +g1075 +tp18035 +a(g827 +g964 +tp18036 +a(g436 +VcPtr +p18037 +tp18038 +a(g706 +g1082 +tp18039 +a(g827 +V\u000a +p18040 +tp18041 +a(g827 +V +p18042 +tp18043 +a(g706 +g1260 +tp18044 +a(g827 +V\u000a +p18045 +tp18046 +a(g827 +V\u000a +p18047 +tp18048 +a(g827 +V +p18049 +tp18050 +a(g436 +VCPTR_VISIBILITY +p18051 +tp18052 +a(g827 +g964 +tp18053 +a(g745 +Vstatic +p18054 +tp18055 +a(g827 +g964 +tp18056 +a(g749 +Vlong +p18057 +tp18058 +a(g827 +g964 +tp18059 +a(g436 +VgetCPtr +p18060 +tp18061 +a(g706 +g992 +tp18062 +a(g436 +V$javaclassname +p18063 +tp18064 +a(g827 +g964 +tp18065 +a(g436 +Vobj +p18066 +tp18067 +a(g706 +g1006 +tp18068 +a(g827 +g964 +tp18069 +a(g706 +g1009 +tp18070 +a(g827 +V\u000a +p18071 +tp18072 +a(g827 +V +p18073 +tp18074 +a(g745 +Vreturn +p18075 +tp18076 +a(g827 +g964 +tp18077 +a(g706 +g992 +tp18078 +a(g436 +Vobj +p18079 +tp18080 +a(g827 +g964 +tp18081 +a(g413 +g1075 +tp18082 +a(g413 +g1075 +tp18083 +a(g827 +g964 +tp18084 +a(g436 +Vnull +p18085 +tp18086 +a(g706 +g1006 +tp18087 +a(g827 +g964 +tp18088 +a(g413 +g4572 +tp18089 +a(g827 +g964 +tp18090 +a(g37 +g1482 +tp18091 +a(g827 +g964 +tp18092 +a(g413 +g4477 +tp18093 +a(g827 +g964 +tp18094 +a(g436 +Vobj +p18095 +tp18096 +a(g706 +g970 +tp18097 +a(g436 +VswigCPtr +p18098 +tp18099 +a(g706 +g1082 +tp18100 +a(g827 +V\u000a +p18101 +tp18102 +a(g827 +V +p18103 +tp18104 +a(g706 +g1260 +tp18105 +a(g827 +V\u000a +p18106 +tp18107 +a(g413 +g4560 +tp18108 +a(g706 +g1260 +tp18109 +a(g827 +V\u000a +p18110 +tp18111 +a(g574 +V%enddef +p18112 +tp18113 +a(g827 +V\u000a +p18114 +tp18115 +a(g827 +V\u000a +p18116 +tp18117 +a(g574 +V%define +p18118 +tp18119 +a(g827 +g964 +tp18120 +a(g436 +VSWIG_JAVABODY_TYPEWRAPPER +p18121 +tp18122 +a(g706 +g992 +tp18123 +a(g436 +VPTRCTOR_VISIBILITY +p18124 +tp18125 +a(g706 +g999 +tp18126 +a(g827 +g964 +tp18127 +a(g436 +VDEFAULTCTOR_VISIBILITY +p18128 +tp18129 +a(g706 +g999 +tp18130 +a(g827 +g964 +tp18131 +a(g436 +VCPTR_VISIBILITY +p18132 +tp18133 +a(g706 +g999 +tp18134 +a(g827 +g964 +tp18135 +a(g436 +VTYPE +p18136 +tp18137 +a(g706 +g970 +tp18138 +a(g706 +g970 +tp18139 +a(g706 +g970 +tp18140 +a(g706 +g1006 +tp18141 +a(g827 +V\u000a +p18142 +tp18143 +a(g906 +V// Typewrapper classes\u000a +p18144 +tp18145 +a(g574 +V%typemap +p18146 +tp18147 +a(g706 +g992 +tp18148 +a(g436 +Vjavabody +p18149 +tp18150 +a(g706 +g1006 +tp18151 +a(g827 +g964 +tp18152 +a(g436 +VTYPE +p18153 +tp18154 +a(g827 +g964 +tp18155 +a(g413 +g1023 +tp18156 +a(g706 +g999 +tp18157 +a(g827 +g964 +tp18158 +a(g436 +VTYPE +p18159 +tp18160 +a(g827 +g964 +tp18161 +a(g413 +g1217 +tp18162 +a(g706 +g999 +tp18163 +a(g827 +g964 +tp18164 +a(g436 +VTYPE +p18165 +tp18166 +a(g827 +g964 +tp18167 +a(g706 +g1068 +tp18168 +a(g706 +g1072 +tp18169 +a(g827 +g964 +tp18170 +a(g413 +g4560 +tp18171 +a(g706 +g1009 +tp18172 +a(g827 +V\u000a +p18173 +tp18174 +a(g827 +V +p18175 +tp18176 +a(g745 +Vprivate +p18177 +tp18178 +a(g827 +g964 +tp18179 +a(g749 +Vlong +p18180 +tp18181 +a(g827 +g964 +tp18182 +a(g436 +VswigCPtr +p18183 +tp18184 +a(g706 +g1082 +tp18185 +a(g827 +V\u000a +p18186 +tp18187 +a(g827 +V\u000a +p18188 +tp18189 +a(g827 +V +p18190 +tp18191 +a(g436 +VPTRCTOR_VISIBILITY +p18192 +tp18193 +a(g827 +g964 +tp18194 +a(g436 +V$javaclassname +p18195 +tp18196 +a(g706 +g992 +tp18197 +a(g749 +Vlong +p18198 +tp18199 +a(g827 +g964 +tp18200 +a(g436 +VcPtr +p18201 +tp18202 +a(g706 +g999 +tp18203 +a(g827 +g964 +tp18204 +a(g436 +Vboolean +p18205 +tp18206 +a(g827 +g964 +tp18207 +a(g436 +VfutureUse +p18208 +tp18209 +a(g706 +g1006 +tp18210 +a(g827 +g964 +tp18211 +a(g706 +g1009 +tp18212 +a(g827 +V\u000a +p18213 +tp18214 +a(g827 +V +p18215 +tp18216 +a(g436 +VswigCPtr +p18217 +tp18218 +a(g827 +g964 +tp18219 +a(g413 +g1075 +tp18220 +a(g827 +g964 +tp18221 +a(g436 +VcPtr +p18222 +tp18223 +a(g706 +g1082 +tp18224 +a(g827 +V\u000a +p18225 +tp18226 +a(g827 +V +p18227 +tp18228 +a(g706 +g1260 +tp18229 +a(g827 +V\u000a +p18230 +tp18231 +a(g827 +V\u000a +p18232 +tp18233 +a(g827 +V +p18234 +tp18235 +a(g436 +VDEFAULTCTOR_VISIBILITY +p18236 +tp18237 +a(g827 +g964 +tp18238 +a(g436 +V$javaclassname +p18239 +tp18240 +a(g706 +g992 +tp18241 +a(g706 +g1006 +tp18242 +a(g827 +g964 +tp18243 +a(g706 +g1009 +tp18244 +a(g827 +V\u000a +p18245 +tp18246 +a(g827 +V +p18247 +tp18248 +a(g436 +VswigCPtr +p18249 +tp18250 +a(g827 +g964 +tp18251 +a(g413 +g1075 +tp18252 +a(g827 +g964 +tp18253 +a(g37 +g1482 +tp18254 +a(g706 +g1082 +tp18255 +a(g827 +V\u000a +p18256 +tp18257 +a(g827 +V +p18258 +tp18259 +a(g706 +g1260 +tp18260 +a(g827 +V\u000a +p18261 +tp18262 +a(g827 +V\u000a +p18263 +tp18264 +a(g827 +V +p18265 +tp18266 +a(g436 +VCPTR_VISIBILITY +p18267 +tp18268 +a(g827 +g964 +tp18269 +a(g745 +Vstatic +p18270 +tp18271 +a(g827 +g964 +tp18272 +a(g749 +Vlong +p18273 +tp18274 +a(g827 +g964 +tp18275 +a(g436 +VgetCPtr +p18276 +tp18277 +a(g706 +g992 +tp18278 +a(g436 +V$javaclassname +p18279 +tp18280 +a(g827 +g964 +tp18281 +a(g436 +Vobj +p18282 +tp18283 +a(g706 +g1006 +tp18284 +a(g827 +g964 +tp18285 +a(g706 +g1009 +tp18286 +a(g827 +V\u000a +p18287 +tp18288 +a(g827 +V +p18289 +tp18290 +a(g745 +Vreturn +p18291 +tp18292 +a(g827 +g964 +tp18293 +a(g706 +g992 +tp18294 +a(g436 +Vobj +p18295 +tp18296 +a(g827 +g964 +tp18297 +a(g413 +g1075 +tp18298 +a(g413 +g1075 +tp18299 +a(g827 +g964 +tp18300 +a(g436 +Vnull +p18301 +tp18302 +a(g706 +g1006 +tp18303 +a(g827 +g964 +tp18304 +a(g413 +g4572 +tp18305 +a(g827 +g964 +tp18306 +a(g37 +g1482 +tp18307 +a(g827 +g964 +tp18308 +a(g413 +g4477 +tp18309 +a(g827 +g964 +tp18310 +a(g436 +Vobj +p18311 +tp18312 +a(g706 +g970 +tp18313 +a(g436 +VswigCPtr +p18314 +tp18315 +a(g706 +g1082 +tp18316 +a(g827 +V\u000a +p18317 +tp18318 +a(g827 +V +p18319 +tp18320 +a(g706 +g1260 +tp18321 +a(g827 +V\u000a +p18322 +tp18323 +a(g413 +g4560 +tp18324 +a(g706 +g1260 +tp18325 +a(g827 +V\u000a +p18326 +tp18327 +a(g827 +V\u000a +p18328 +tp18329 +a(g574 +V%typemap +p18330 +tp18331 +a(g706 +g992 +tp18332 +a(g436 +Vjavabody +p18333 +tp18334 +a(g706 +g1006 +tp18335 +a(g827 +g964 +tp18336 +a(g436 +VTYPE +p18337 +tp18338 +a(g827 +g964 +tp18339 +a(g706 +g992 +tp18340 +a(g436 +VCLASS +p18341 +tp18342 +a(g413 +g4477 +tp18343 +a(g413 +g4477 +tp18344 +a(g413 +g1023 +tp18345 +a(g706 +g1006 +tp18346 +a(g827 +g964 +tp18347 +a(g413 +g4560 +tp18348 +a(g706 +g1009 +tp18349 +a(g827 +V\u000a +p18350 +tp18351 +a(g827 +V +p18352 +tp18353 +a(g745 +Vprivate +p18354 +tp18355 +a(g827 +g964 +tp18356 +a(g436 +VString +p18357 +tp18358 +a(g827 +g964 +tp18359 +a(g436 +VswigCMemberPtr +p18360 +tp18361 +a(g706 +g1082 +tp18362 +a(g827 +V\u000a +p18363 +tp18364 +a(g827 +V\u000a +p18365 +tp18366 +a(g827 +V +p18367 +tp18368 +a(g436 +VPTRCTOR_VISIBILITY +p18369 +tp18370 +a(g827 +g964 +tp18371 +a(g436 +V$javaclassname +p18372 +tp18373 +a(g706 +g992 +tp18374 +a(g436 +VString +p18375 +tp18376 +a(g827 +g964 +tp18377 +a(g436 +VcMemberPtr +p18378 +tp18379 +a(g706 +g999 +tp18380 +a(g827 +g964 +tp18381 +a(g436 +Vboolean +p18382 +tp18383 +a(g827 +g964 +tp18384 +a(g436 +VfutureUse +p18385 +tp18386 +a(g706 +g1006 +tp18387 +a(g827 +g964 +tp18388 +a(g706 +g1009 +tp18389 +a(g827 +V\u000a +p18390 +tp18391 +a(g827 +V +p18392 +tp18393 +a(g436 +VswigCMemberPtr +p18394 +tp18395 +a(g827 +g964 +tp18396 +a(g413 +g1075 +tp18397 +a(g827 +g964 +tp18398 +a(g436 +VcMemberPtr +p18399 +tp18400 +a(g706 +g1082 +tp18401 +a(g827 +V\u000a +p18402 +tp18403 +a(g827 +V +p18404 +tp18405 +a(g706 +g1260 +tp18406 +a(g827 +V\u000a +p18407 +tp18408 +a(g827 +V\u000a +p18409 +tp18410 +a(g827 +V +p18411 +tp18412 +a(g436 +VDEFAULTCTOR_VISIBILITY +p18413 +tp18414 +a(g827 +g964 +tp18415 +a(g436 +V$javaclassname +p18416 +tp18417 +a(g706 +g992 +tp18418 +a(g706 +g1006 +tp18419 +a(g827 +g964 +tp18420 +a(g706 +g1009 +tp18421 +a(g827 +V\u000a +p18422 +tp18423 +a(g827 +V +p18424 +tp18425 +a(g436 +VswigCMemberPtr +p18426 +tp18427 +a(g827 +g964 +tp18428 +a(g413 +g1075 +tp18429 +a(g827 +g964 +tp18430 +a(g436 +Vnull +p18431 +tp18432 +a(g706 +g1082 +tp18433 +a(g827 +V\u000a +p18434 +tp18435 +a(g827 +V +p18436 +tp18437 +a(g706 +g1260 +tp18438 +a(g827 +V\u000a +p18439 +tp18440 +a(g827 +V\u000a +p18441 +tp18442 +a(g827 +V +p18443 +tp18444 +a(g436 +VCPTR_VISIBILITY +p18445 +tp18446 +a(g827 +g964 +tp18447 +a(g745 +Vstatic +p18448 +tp18449 +a(g827 +g964 +tp18450 +a(g436 +VString +p18451 +tp18452 +a(g827 +g964 +tp18453 +a(g436 +VgetCMemberPtr +p18454 +tp18455 +a(g706 +g992 +tp18456 +a(g436 +V$javaclassname +p18457 +tp18458 +a(g827 +g964 +tp18459 +a(g436 +Vobj +p18460 +tp18461 +a(g706 +g1006 +tp18462 +a(g827 +g964 +tp18463 +a(g706 +g1009 +tp18464 +a(g827 +V\u000a +p18465 +tp18466 +a(g827 +V +p18467 +tp18468 +a(g745 +Vreturn +p18469 +tp18470 +a(g827 +g964 +tp18471 +a(g436 +Vobj +p18472 +tp18473 +a(g706 +g970 +tp18474 +a(g436 +VswigCMemberPtr +p18475 +tp18476 +a(g706 +g1082 +tp18477 +a(g827 +V\u000a +p18478 +tp18479 +a(g827 +V +p18480 +tp18481 +a(g706 +g1260 +tp18482 +a(g827 +V\u000a +p18483 +tp18484 +a(g413 +g4560 +tp18485 +a(g706 +g1260 +tp18486 +a(g827 +V\u000a +p18487 +tp18488 +a(g574 +V%enddef +p18489 +tp18490 +a(g827 +V\u000a +p18491 +tp18492 +a(g827 +V\u000a +p18493 +tp18494 +a(g8 +V/* Set the default javabody typemaps to use protected visibility.\u000a Use the macros to change to public if using multiple modules. */ +p18495 +tp18496 +a(g827 +V\u000a +p18497 +tp18498 +a(g436 +VSWIG_JAVABODY_PROXY +p18499 +tp18500 +a(g706 +g992 +tp18501 +a(g745 +Vprotected +p18502 +tp18503 +a(g706 +g999 +tp18504 +a(g827 +g964 +tp18505 +a(g745 +Vprotected +p18506 +tp18507 +a(g706 +g999 +tp18508 +a(g827 +g964 +tp18509 +a(g436 +VSWIGTYPE +p18510 +tp18511 +a(g706 +g1006 +tp18512 +a(g827 +V\u000a +p18513 +tp18514 +a(g436 +VSWIG_JAVABODY_TYPEWRAPPER +p18515 +tp18516 +a(g706 +g992 +tp18517 +a(g745 +Vprotected +p18518 +tp18519 +a(g706 +g999 +tp18520 +a(g827 +g964 +tp18521 +a(g745 +Vprotected +p18522 +tp18523 +a(g706 +g999 +tp18524 +a(g827 +g964 +tp18525 +a(g745 +Vprotected +p18526 +tp18527 +a(g706 +g999 +tp18528 +a(g827 +g964 +tp18529 +a(g436 +VSWIGTYPE +p18530 +tp18531 +a(g706 +g1006 +tp18532 +a(g827 +V\u000a +p18533 +tp18534 +a(g827 +V\u000a +p18535 +tp18536 +a(g574 +V%typemap +p18537 +tp18538 +a(g706 +g992 +tp18539 +a(g436 +Vjavafinalize +p18540 +tp18541 +a(g706 +g1006 +tp18542 +a(g827 +g964 +tp18543 +a(g436 +VSWIGTYPE +p18544 +tp18545 +a(g827 +g964 +tp18546 +a(g413 +g4560 +tp18547 +a(g706 +g1009 +tp18548 +a(g827 +V\u000a +p18549 +tp18550 +a(g827 +V +p18551 +tp18552 +a(g745 +Vprotected +p18553 +tp18554 +a(g827 +g964 +tp18555 +a(g749 +Vvoid +p18556 +tp18557 +a(g827 +g964 +tp18558 +a(g436 +Vfinalize +p18559 +tp18560 +a(g706 +g992 +tp18561 +a(g706 +g1006 +tp18562 +a(g827 +g964 +tp18563 +a(g706 +g1009 +tp18564 +a(g827 +V\u000a +p18565 +tp18566 +a(g827 +V +p18567 +tp18568 +a(g745 +Vdelete +p18569 +tp18570 +a(g706 +g992 +tp18571 +a(g706 +g1006 +tp18572 +a(g706 +g1082 +tp18573 +a(g827 +V\u000a +p18574 +tp18575 +a(g827 +V +p18576 +tp18577 +a(g706 +g1260 +tp18578 +a(g827 +V\u000a +p18579 +tp18580 +a(g413 +g4560 +tp18581 +a(g706 +g1260 +tp18582 +a(g827 +V\u000a +p18583 +tp18584 +a(g827 +V\u000a +p18585 +tp18586 +a(g8 +V/*\u000a * Java constructor typemaps:\u000a *\u000a * The javaconstruct typemap is inserted when a proxy class's constructor is generated.\u000a * This typemap allows control over what code is executed in the constructor as\u000a * well as specifying who owns the underlying C/C++ object. Normally, Java has\u000a * ownership and the underlying C/C++ object is deallocated when the Java object\u000a * is finalized (swigCMemOwn is true.) If swigCMemOwn is false, C/C++ is\u000a * ultimately responsible for deallocating the underlying object's memory.\u000a *\u000a * The SWIG_PROXY_CONSTRUCTOR macro defines the javaconstruct typemap for a proxy\u000a * class for a particular TYPENAME. OWNERSHIP is passed as the value of\u000a * swigCMemOwn to the pointer constructor method. WEAKREF determines which kind\u000a * of Java object reference will be used by the C++ director class (WeakGlobalRef\u000a * vs. GlobalRef.)\u000a *\u000a * The SWIG_DIRECTOR_OWNED macro sets the ownership of director-based proxy\u000a * classes and the weak reference flag to false, meaning that the underlying C++\u000a * object will be reclaimed by C++.\u000a */ +p18587 +tp18588 +a(g827 +V\u000a +p18589 +tp18590 +a(g827 +V\u000a +p18591 +tp18592 +a(g574 +V%define +p18593 +tp18594 +a(g827 +g964 +tp18595 +a(g436 +VSWIG_PROXY_CONSTRUCTOR +p18596 +tp18597 +a(g706 +g992 +tp18598 +a(g436 +VOWNERSHIP +p18599 +tp18600 +a(g706 +g999 +tp18601 +a(g827 +g964 +tp18602 +a(g436 +VWEAKREF +p18603 +tp18604 +a(g706 +g999 +tp18605 +a(g827 +g964 +tp18606 +a(g436 +VTYPENAME +p18607 +tp18608 +a(g706 +g970 +tp18609 +a(g706 +g970 +tp18610 +a(g706 +g970 +tp18611 +a(g706 +g1006 +tp18612 +a(g827 +V\u000a +p18613 +tp18614 +a(g574 +V%typemap +p18615 +tp18616 +a(g706 +g992 +tp18617 +a(g436 +Vjavaconstruct +p18618 +tp18619 +a(g706 +g999 +tp18620 +a(g436 +Vdirectorconnect +p18621 +tp18622 +a(g413 +g1075 +tp18623 +a(g89 +g994 +tp18624 +a(g213 +V\u005cn +p18625 +tp18626 +a(g89 +V $imclassname.$javaclazznamedirector_connect(this, swigCPtr, swigCMemOwn, WEAKREF); +p18627 +tp18628 +a(g89 +g994 +tp18629 +a(g706 +g1006 +tp18630 +a(g827 +g964 +tp18631 +a(g436 +VTYPENAME +p18632 +tp18633 +a(g827 +g964 +tp18634 +a(g706 +g1009 +tp18635 +a(g827 +V\u000a +p18636 +tp18637 +a(g827 +V +p18638 +tp18639 +a(g745 +Vthis +p18640 +tp18641 +a(g706 +g992 +tp18642 +a(g436 +V$imcall +p18643 +tp18644 +a(g706 +g999 +tp18645 +a(g827 +g964 +tp18646 +a(g436 +VOWNERSHIP +p18647 +tp18648 +a(g706 +g1006 +tp18649 +a(g706 +g1082 +tp18650 +a(g436 +V$directorconnect +p18651 +tp18652 +a(g827 +V\u000a +p18653 +tp18654 +a(g827 +V +p18655 +tp18656 +a(g706 +g1260 +tp18657 +a(g827 +V\u000a +p18658 +tp18659 +a(g574 +V%enddef +p18660 +tp18661 +a(g827 +V\u000a +p18662 +tp18663 +a(g827 +V\u000a +p18664 +tp18665 +a(g574 +V%define +p18666 +tp18667 +a(g827 +g964 +tp18668 +a(g436 +VSWIG_DIRECTOR_OWNED +p18669 +tp18670 +a(g706 +g992 +tp18671 +a(g436 +VTYPENAME +p18672 +tp18673 +a(g706 +g970 +tp18674 +a(g706 +g970 +tp18675 +a(g706 +g970 +tp18676 +a(g706 +g1006 +tp18677 +a(g827 +V\u000a +p18678 +tp18679 +a(g436 +VSWIG_PROXY_CONSTRUCTOR +p18680 +tp18681 +a(g706 +g992 +tp18682 +a(g682 +Vtrue +p18683 +tp18684 +a(g706 +g999 +tp18685 +a(g827 +g964 +tp18686 +a(g682 +Vfalse +p18687 +tp18688 +a(g706 +g999 +tp18689 +a(g827 +g964 +tp18690 +a(g436 +VTYPENAME +p18691 +tp18692 +a(g706 +g1006 +tp18693 +a(g827 +V\u000a +p18694 +tp18695 +a(g574 +V%enddef +p18696 +tp18697 +a(g827 +V\u000a +p18698 +tp18699 +a(g827 +V\u000a +p18700 +tp18701 +a(g906 +V// Set the default for SWIGTYPE: Java owns the C/C++ object.\u000a +p18702 +tp18703 +a(g436 +VSWIG_PROXY_CONSTRUCTOR +p18704 +tp18705 +a(g706 +g992 +tp18706 +a(g682 +Vtrue +p18707 +tp18708 +a(g706 +g999 +tp18709 +a(g827 +g964 +tp18710 +a(g682 +Vtrue +p18711 +tp18712 +a(g706 +g999 +tp18713 +a(g827 +g964 +tp18714 +a(g436 +VSWIGTYPE +p18715 +tp18716 +a(g706 +g1006 +tp18717 +a(g827 +V\u000a +p18718 +tp18719 +a(g827 +V\u000a +p18720 +tp18721 +a(g574 +V%typemap +p18722 +tp18723 +a(g706 +g992 +tp18724 +a(g436 +Vjavadestruct +p18725 +tp18726 +a(g706 +g999 +tp18727 +a(g827 +g964 +tp18728 +a(g436 +Vmethodname +p18729 +tp18730 +a(g413 +g1075 +tp18731 +a(g89 +g994 +tp18732 +a(g89 +Vdelete +p18733 +tp18734 +a(g89 +g994 +tp18735 +a(g706 +g999 +tp18736 +a(g827 +g964 +tp18737 +a(g436 +Vmethodmodifiers +p18738 +tp18739 +a(g413 +g1075 +tp18740 +a(g89 +g994 +tp18741 +a(g89 +Vpublic synchronized +p18742 +tp18743 +a(g89 +g994 +tp18744 +a(g706 +g1006 +tp18745 +a(g827 +g964 +tp18746 +a(g436 +VSWIGTYPE +p18747 +tp18748 +a(g827 +g964 +tp18749 +a(g706 +g1009 +tp18750 +a(g827 +V\u000a +p18751 +tp18752 +a(g827 +V +p18753 +tp18754 +a(g745 +Vif +p18755 +tp18756 +a(g827 +g964 +tp18757 +a(g706 +g992 +tp18758 +a(g436 +VswigCPtr +p18759 +tp18760 +a(g827 +g964 +tp18761 +a(g413 +g1162 +tp18762 +a(g413 +g1075 +tp18763 +a(g827 +g964 +tp18764 +a(g37 +g1482 +tp18765 +a(g706 +g1006 +tp18766 +a(g827 +g964 +tp18767 +a(g706 +g1009 +tp18768 +a(g827 +V\u000a +p18769 +tp18770 +a(g827 +V +p18771 +tp18772 +a(g745 +Vif +p18773 +tp18774 +a(g827 +g964 +tp18775 +a(g706 +g992 +tp18776 +a(g436 +VswigCMemOwn +p18777 +tp18778 +a(g706 +g1006 +tp18779 +a(g827 +g964 +tp18780 +a(g706 +g1009 +tp18781 +a(g827 +V\u000a +p18782 +tp18783 +a(g827 +V +p18784 +tp18785 +a(g436 +VswigCMemOwn +p18786 +tp18787 +a(g827 +g964 +tp18788 +a(g413 +g1075 +tp18789 +a(g827 +g964 +tp18790 +a(g682 +Vfalse +p18791 +tp18792 +a(g706 +g1082 +tp18793 +a(g827 +V\u000a +p18794 +tp18795 +a(g827 +V +p18796 +tp18797 +a(g436 +V$jnicall +p18798 +tp18799 +a(g706 +g1082 +tp18800 +a(g827 +V\u000a +p18801 +tp18802 +a(g827 +V +p18803 +tp18804 +a(g706 +g1260 +tp18805 +a(g827 +V\u000a +p18806 +tp18807 +a(g827 +V +p18808 +tp18809 +a(g436 +VswigCPtr +p18810 +tp18811 +a(g827 +g964 +tp18812 +a(g413 +g1075 +tp18813 +a(g827 +g964 +tp18814 +a(g37 +g1482 +tp18815 +a(g706 +g1082 +tp18816 +a(g827 +V\u000a +p18817 +tp18818 +a(g827 +V +p18819 +tp18820 +a(g706 +g1260 +tp18821 +a(g827 +V\u000a +p18822 +tp18823 +a(g827 +V +p18824 +tp18825 +a(g706 +g1260 +tp18826 +a(g827 +V\u000a +p18827 +tp18828 +a(g827 +V\u000a +p18829 +tp18830 +a(g574 +V%typemap +p18831 +tp18832 +a(g706 +g992 +tp18833 +a(g436 +Vjavadestruct_derived +p18834 +tp18835 +a(g706 +g999 +tp18836 +a(g827 +g964 +tp18837 +a(g436 +Vmethodname +p18838 +tp18839 +a(g413 +g1075 +tp18840 +a(g89 +g994 +tp18841 +a(g89 +Vdelete +p18842 +tp18843 +a(g89 +g994 +tp18844 +a(g706 +g999 +tp18845 +a(g827 +g964 +tp18846 +a(g436 +Vmethodmodifiers +p18847 +tp18848 +a(g413 +g1075 +tp18849 +a(g89 +g994 +tp18850 +a(g89 +Vpublic synchronized +p18851 +tp18852 +a(g89 +g994 +tp18853 +a(g706 +g1006 +tp18854 +a(g827 +g964 +tp18855 +a(g436 +VSWIGTYPE +p18856 +tp18857 +a(g827 +g964 +tp18858 +a(g706 +g1009 +tp18859 +a(g827 +V\u000a +p18860 +tp18861 +a(g827 +V +p18862 +tp18863 +a(g745 +Vif +p18864 +tp18865 +a(g827 +g964 +tp18866 +a(g706 +g992 +tp18867 +a(g436 +VswigCPtr +p18868 +tp18869 +a(g827 +g964 +tp18870 +a(g413 +g1162 +tp18871 +a(g413 +g1075 +tp18872 +a(g827 +g964 +tp18873 +a(g37 +g1482 +tp18874 +a(g706 +g1006 +tp18875 +a(g827 +g964 +tp18876 +a(g706 +g1009 +tp18877 +a(g827 +V\u000a +p18878 +tp18879 +a(g827 +V +p18880 +tp18881 +a(g745 +Vif +p18882 +tp18883 +a(g827 +g964 +tp18884 +a(g706 +g992 +tp18885 +a(g436 +VswigCMemOwn +p18886 +tp18887 +a(g706 +g1006 +tp18888 +a(g827 +g964 +tp18889 +a(g706 +g1009 +tp18890 +a(g827 +V\u000a +p18891 +tp18892 +a(g827 +V +p18893 +tp18894 +a(g436 +VswigCMemOwn +p18895 +tp18896 +a(g827 +g964 +tp18897 +a(g413 +g1075 +tp18898 +a(g827 +g964 +tp18899 +a(g682 +Vfalse +p18900 +tp18901 +a(g706 +g1082 +tp18902 +a(g827 +V\u000a +p18903 +tp18904 +a(g827 +V +p18905 +tp18906 +a(g436 +V$jnicall +p18907 +tp18908 +a(g706 +g1082 +tp18909 +a(g827 +V\u000a +p18910 +tp18911 +a(g827 +V +p18912 +tp18913 +a(g706 +g1260 +tp18914 +a(g827 +V\u000a +p18915 +tp18916 +a(g827 +V +p18917 +tp18918 +a(g436 +VswigCPtr +p18919 +tp18920 +a(g827 +g964 +tp18921 +a(g413 +g1075 +tp18922 +a(g827 +g964 +tp18923 +a(g37 +g1482 +tp18924 +a(g706 +g1082 +tp18925 +a(g827 +V\u000a +p18926 +tp18927 +a(g827 +V +p18928 +tp18929 +a(g706 +g1260 +tp18930 +a(g827 +V\u000a +p18931 +tp18932 +a(g827 +V +p18933 +tp18934 +a(g436 +Vsuper +p18935 +tp18936 +a(g706 +g970 +tp18937 +a(g745 +Vdelete +p18938 +tp18939 +a(g706 +g992 +tp18940 +a(g706 +g1006 +tp18941 +a(g706 +g1082 +tp18942 +a(g827 +V\u000a +p18943 +tp18944 +a(g827 +V +p18945 +tp18946 +a(g706 +g1260 +tp18947 +a(g827 +V\u000a +p18948 +tp18949 +a(g827 +V\u000a +p18950 +tp18951 +a(g574 +V%typemap +p18952 +tp18953 +a(g706 +g992 +tp18954 +a(g436 +Vdirectordisconnect +p18955 +tp18956 +a(g706 +g999 +tp18957 +a(g827 +g964 +tp18958 +a(g436 +Vmethodname +p18959 +tp18960 +a(g413 +g1075 +tp18961 +a(g89 +g994 +tp18962 +a(g89 +VswigDirectorDisconnect +p18963 +tp18964 +a(g89 +g994 +tp18965 +a(g706 +g1006 +tp18966 +a(g827 +g964 +tp18967 +a(g436 +VSWIGTYPE +p18968 +tp18969 +a(g827 +g964 +tp18970 +a(g413 +g4560 +tp18971 +a(g706 +g1009 +tp18972 +a(g827 +V\u000a +p18973 +tp18974 +a(g827 +V +p18975 +tp18976 +a(g745 +Vprotected +p18977 +tp18978 +a(g827 +g964 +tp18979 +a(g749 +Vvoid +p18980 +tp18981 +a(g827 +g964 +tp18982 +a(g436 +V$methodname +p18983 +tp18984 +a(g706 +g992 +tp18985 +a(g706 +g1006 +tp18986 +a(g827 +g964 +tp18987 +a(g706 +g1009 +tp18988 +a(g827 +V\u000a +p18989 +tp18990 +a(g827 +V +p18991 +tp18992 +a(g436 +VswigCMemOwn +p18993 +tp18994 +a(g827 +g964 +tp18995 +a(g413 +g1075 +tp18996 +a(g827 +g964 +tp18997 +a(g682 +Vfalse +p18998 +tp18999 +a(g706 +g1082 +tp19000 +a(g827 +V\u000a +p19001 +tp19002 +a(g827 +V +p19003 +tp19004 +a(g436 +V$jnicall +p19005 +tp19006 +a(g706 +g1082 +tp19007 +a(g827 +V\u000a +p19008 +tp19009 +a(g827 +V +p19010 +tp19011 +a(g706 +g1260 +tp19012 +a(g827 +V\u000a +p19013 +tp19014 +a(g413 +g4560 +tp19015 +a(g706 +g1260 +tp19016 +a(g827 +V\u000a +p19017 +tp19018 +a(g827 +V\u000a +p19019 +tp19020 +a(g574 +V%typemap +p19021 +tp19022 +a(g706 +g992 +tp19023 +a(g436 +Vdirectorowner_release +p19024 +tp19025 +a(g706 +g999 +tp19026 +a(g827 +g964 +tp19027 +a(g436 +Vmethodname +p19028 +tp19029 +a(g413 +g1075 +tp19030 +a(g89 +g994 +tp19031 +a(g89 +VswigReleaseOwnership +p19032 +tp19033 +a(g89 +g994 +tp19034 +a(g706 +g1006 +tp19035 +a(g827 +g964 +tp19036 +a(g436 +VSWIGTYPE +p19037 +tp19038 +a(g827 +g964 +tp19039 +a(g413 +g4560 +tp19040 +a(g706 +g1009 +tp19041 +a(g827 +V\u000a +p19042 +tp19043 +a(g827 +V +p19044 +tp19045 +a(g745 +Vpublic +p19046 +tp19047 +a(g827 +g964 +tp19048 +a(g749 +Vvoid +p19049 +tp19050 +a(g827 +g964 +tp19051 +a(g436 +V$methodname +p19052 +tp19053 +a(g706 +g992 +tp19054 +a(g706 +g1006 +tp19055 +a(g827 +g964 +tp19056 +a(g706 +g1009 +tp19057 +a(g827 +V\u000a +p19058 +tp19059 +a(g827 +V +p19060 +tp19061 +a(g436 +VswigCMemOwn +p19062 +tp19063 +a(g827 +g964 +tp19064 +a(g413 +g1075 +tp19065 +a(g827 +g964 +tp19066 +a(g682 +Vfalse +p19067 +tp19068 +a(g706 +g1082 +tp19069 +a(g827 +V\u000a +p19070 +tp19071 +a(g827 +V +p19072 +tp19073 +a(g436 +V$jnicall +p19074 +tp19075 +a(g706 +g1082 +tp19076 +a(g827 +V\u000a +p19077 +tp19078 +a(g827 +V +p19079 +tp19080 +a(g706 +g1260 +tp19081 +a(g827 +V\u000a +p19082 +tp19083 +a(g413 +g4560 +tp19084 +a(g706 +g1260 +tp19085 +a(g827 +V\u000a +p19086 +tp19087 +a(g827 +V\u000a +p19088 +tp19089 +a(g574 +V%typemap +p19090 +tp19091 +a(g706 +g992 +tp19092 +a(g436 +Vdirectorowner_take +p19093 +tp19094 +a(g706 +g999 +tp19095 +a(g827 +g964 +tp19096 +a(g436 +Vmethodname +p19097 +tp19098 +a(g413 +g1075 +tp19099 +a(g89 +g994 +tp19100 +a(g89 +VswigTakeOwnership +p19101 +tp19102 +a(g89 +g994 +tp19103 +a(g706 +g1006 +tp19104 +a(g827 +g964 +tp19105 +a(g436 +VSWIGTYPE +p19106 +tp19107 +a(g827 +g964 +tp19108 +a(g413 +g4560 +tp19109 +a(g706 +g1009 +tp19110 +a(g827 +V\u000a +p19111 +tp19112 +a(g827 +V +p19113 +tp19114 +a(g745 +Vpublic +p19115 +tp19116 +a(g827 +g964 +tp19117 +a(g749 +Vvoid +p19118 +tp19119 +a(g827 +g964 +tp19120 +a(g436 +V$methodname +p19121 +tp19122 +a(g706 +g992 +tp19123 +a(g706 +g1006 +tp19124 +a(g827 +g964 +tp19125 +a(g706 +g1009 +tp19126 +a(g827 +V\u000a +p19127 +tp19128 +a(g827 +V +p19129 +tp19130 +a(g436 +VswigCMemOwn +p19131 +tp19132 +a(g827 +g964 +tp19133 +a(g413 +g1075 +tp19134 +a(g827 +g964 +tp19135 +a(g682 +Vtrue +p19136 +tp19137 +a(g706 +g1082 +tp19138 +a(g827 +V\u000a +p19139 +tp19140 +a(g827 +V +p19141 +tp19142 +a(g436 +V$jnicall +p19143 +tp19144 +a(g706 +g1082 +tp19145 +a(g827 +V\u000a +p19146 +tp19147 +a(g827 +V +p19148 +tp19149 +a(g706 +g1260 +tp19150 +a(g827 +V\u000a +p19151 +tp19152 +a(g413 +g4560 +tp19153 +a(g706 +g1260 +tp19154 +a(g827 +V\u000a +p19155 +tp19156 +a(g827 +V\u000a +p19157 +tp19158 +a(g8 +V/* Java specific directives */ +p19159 +tp19160 +a(g827 +V\u000a +p19161 +tp19162 +a(g899 +g11677 +tp19163 +a(g899 +Vdefine %javaconst(flag) %feature("java:const","flag") +p19164 +tp19165 +a(g899 +V\u000a +p19166 +tp19167 +a(g899 +g11677 +tp19168 +a(g899 +Vdefine %javaconstvalue(value) %feature("java:constvalue",value) +p19169 +tp19170 +a(g899 +V\u000a +p19171 +tp19172 +a(g899 +g11677 +tp19173 +a(g899 +Vdefine %javaenum(wrapapproach) %feature("java:enum","wrapapproach") +p19174 +tp19175 +a(g899 +V\u000a +p19176 +tp19177 +a(g899 +g11677 +tp19178 +a(g899 +Vdefine %javamethodmodifiers %feature("java:methodmodifiers") +p19179 +tp19180 +a(g899 +V\u000a +p19181 +tp19182 +a(g899 +g11677 +tp19183 +a(g899 +Vdefine %javaexception(exceptionclasses) %feature("except",throws=exceptionclasses) +p19184 +tp19185 +a(g899 +V\u000a +p19186 +tp19187 +a(g899 +g11677 +tp19188 +a(g899 +Vdefine %nojavaexception %feature("except","0",throws="") +p19189 +tp19190 +a(g899 +V\u000a +p19191 +tp19192 +a(g899 +g11677 +tp19193 +a(g899 +Vdefine %clearjavaexception %feature("except","",throws="") +p19194 +tp19195 +a(g899 +V\u000a +p19196 +tp19197 +a(g827 +V\u000a +p19198 +tp19199 +a(g574 +V%pragma +p19200 +tp19201 +a(g706 +g992 +tp19202 +a(g436 +Vjava +p19203 +tp19204 +a(g706 +g1006 +tp19205 +a(g827 +g964 +tp19206 +a(g436 +Vjniclassclassmodifiers +p19207 +tp19208 +a(g413 +g1075 +tp19209 +a(g89 +g994 +tp19210 +a(g89 +Vpublic class +p19211 +tp19212 +a(g89 +g994 +tp19213 +a(g827 +V\u000a +p19214 +tp19215 +a(g574 +V%pragma +p19216 +tp19217 +a(g706 +g992 +tp19218 +a(g436 +Vjava +p19219 +tp19220 +a(g706 +g1006 +tp19221 +a(g827 +g964 +tp19222 +a(g436 +Vmoduleclassmodifiers +p19223 +tp19224 +a(g413 +g1075 +tp19225 +a(g89 +g994 +tp19226 +a(g89 +Vpublic class +p19227 +tp19228 +a(g89 +g994 +tp19229 +a(g827 +V\u000a +p19230 +tp19231 +a(g827 +V\u000a +p19232 +tp19233 +a(g8 +V/* Some ANSI C typemaps */ +p19234 +tp19235 +a(g827 +V\u000a +p19236 +tp19237 +a(g827 +V\u000a +p19238 +tp19239 +a(g574 +V%apply +p19240 +tp19241 +a(g827 +g964 +tp19242 +a(g749 +Vunsigned +p19243 +tp19244 +a(g827 +g964 +tp19245 +a(g749 +Vlong +p19246 +tp19247 +a(g827 +g964 +tp19248 +a(g706 +g1009 +tp19249 +a(g827 +g964 +tp19250 +a(g749 +Vsize_t +p19251 +tp19252 +a(g827 +g964 +tp19253 +a(g706 +g1260 +tp19254 +a(g706 +g1082 +tp19255 +a(g827 +V\u000a +p19256 +tp19257 +a(g574 +V%apply +p19258 +tp19259 +a(g827 +g964 +tp19260 +a(g745 +Vconst +p19261 +tp19262 +a(g827 +g964 +tp19263 +a(g749 +Vunsigned +p19264 +tp19265 +a(g827 +g964 +tp19266 +a(g749 +Vlong +p19267 +tp19268 +a(g827 +g964 +tp19269 +a(g413 +g1217 +tp19270 +a(g827 +g964 +tp19271 +a(g706 +g1009 +tp19272 +a(g827 +g964 +tp19273 +a(g745 +Vconst +p19274 +tp19275 +a(g827 +g964 +tp19276 +a(g749 +Vsize_t +p19277 +tp19278 +a(g827 +g964 +tp19279 +a(g413 +g1217 +tp19280 +a(g827 +g964 +tp19281 +a(g706 +g1260 +tp19282 +a(g706 +g1082 +tp19283 +a(g827 +V\u000a +p19284 +tp19285 +a(g827 +V\u000a +p19286 +tp19287 +a(g8 +V/* Array reference typemaps */ +p19288 +tp19289 +a(g827 +V\u000a +p19290 +tp19291 +a(g574 +V%apply +p19292 +tp19293 +a(g827 +g964 +tp19294 +a(g436 +VSWIGTYPE +p19295 +tp19296 +a(g827 +g964 +tp19297 +a(g413 +g1217 +tp19298 +a(g827 +g964 +tp19299 +a(g706 +g1009 +tp19300 +a(g827 +g964 +tp19301 +a(g436 +VSWIGTYPE +p19302 +tp19303 +a(g827 +g964 +tp19304 +a(g706 +g992 +tp19305 +a(g706 +g992 +tp19306 +a(g413 +g1217 +tp19307 +a(g706 +g1006 +tp19308 +a(g706 +g1068 +tp19309 +a(g436 +VANY +p19310 +tp19311 +a(g706 +g1072 +tp19312 +a(g706 +g1006 +tp19313 +a(g827 +g964 +tp19314 +a(g706 +g1260 +tp19315 +a(g827 +V\u000a +p19316 +tp19317 +a(g827 +V\u000a +p19318 +tp19319 +a(g8 +V/* const pointers */ +p19320 +tp19321 +a(g827 +V\u000a +p19322 +tp19323 +a(g574 +V%apply +p19324 +tp19325 +a(g827 +g964 +tp19326 +a(g436 +VSWIGTYPE +p19327 +tp19328 +a(g827 +g964 +tp19329 +a(g413 +g1023 +tp19330 +a(g827 +g964 +tp19331 +a(g706 +g1009 +tp19332 +a(g827 +g964 +tp19333 +a(g436 +VSWIGTYPE +p19334 +tp19335 +a(g827 +g964 +tp19336 +a(g413 +g1023 +tp19337 +a(g745 +Vconst +p19338 +tp19339 +a(g827 +g964 +tp19340 +a(g706 +g1260 +tp19341 +a(g827 +V\u000a +p19342 +tp19343 +a(g827 +V\u000a +p19344 +tp19345 +a(g8 +V/* String & length */ +p19346 +tp19347 +a(g827 +V\u000a +p19348 +tp19349 +a(g574 +V%typemap +p19350 +tp19351 +a(g706 +g992 +tp19352 +a(g436 +Vjni +p19353 +tp19354 +a(g706 +g1006 +tp19355 +a(g827 +V +p19356 +tp19357 +a(g706 +g992 +tp19358 +a(g749 +Vchar +p19359 +tp19360 +a(g827 +g964 +tp19361 +a(g413 +g1023 +tp19362 +a(g436 +VSTRING +p19363 +tp19364 +a(g706 +g999 +tp19365 +a(g827 +g964 +tp19366 +a(g749 +Vsize_t +p19367 +tp19368 +a(g827 +g964 +tp19369 +a(g436 +VLENGTH +p19370 +tp19371 +a(g706 +g1006 +tp19372 +a(g827 +g964 +tp19373 +a(g89 +g994 +tp19374 +a(g89 +VjbyteArray +p19375 +tp19376 +a(g89 +g994 +tp19377 +a(g827 +V\u000a +p19378 +tp19379 +a(g574 +V%typemap +p19380 +tp19381 +a(g706 +g992 +tp19382 +a(g436 +Vjtype +p19383 +tp19384 +a(g706 +g1006 +tp19385 +a(g827 +V +p19386 +tp19387 +a(g706 +g992 +tp19388 +a(g749 +Vchar +p19389 +tp19390 +a(g827 +g964 +tp19391 +a(g413 +g1023 +tp19392 +a(g436 +VSTRING +p19393 +tp19394 +a(g706 +g999 +tp19395 +a(g827 +g964 +tp19396 +a(g749 +Vsize_t +p19397 +tp19398 +a(g827 +g964 +tp19399 +a(g436 +VLENGTH +p19400 +tp19401 +a(g706 +g1006 +tp19402 +a(g827 +g964 +tp19403 +a(g89 +g994 +tp19404 +a(g89 +Vbyte[] +p19405 +tp19406 +a(g89 +g994 +tp19407 +a(g827 +V\u000a +p19408 +tp19409 +a(g574 +V%typemap +p19410 +tp19411 +a(g706 +g992 +tp19412 +a(g436 +Vjstype +p19413 +tp19414 +a(g706 +g1006 +tp19415 +a(g827 +V +p19416 +tp19417 +a(g706 +g992 +tp19418 +a(g749 +Vchar +p19419 +tp19420 +a(g827 +g964 +tp19421 +a(g413 +g1023 +tp19422 +a(g436 +VSTRING +p19423 +tp19424 +a(g706 +g999 +tp19425 +a(g827 +g964 +tp19426 +a(g749 +Vsize_t +p19427 +tp19428 +a(g827 +g964 +tp19429 +a(g436 +VLENGTH +p19430 +tp19431 +a(g706 +g1006 +tp19432 +a(g827 +g964 +tp19433 +a(g89 +g994 +tp19434 +a(g89 +Vbyte[] +p19435 +tp19436 +a(g89 +g994 +tp19437 +a(g827 +V\u000a +p19438 +tp19439 +a(g574 +V%typemap +p19440 +tp19441 +a(g706 +g992 +tp19442 +a(g436 +Vjavain +p19443 +tp19444 +a(g706 +g1006 +tp19445 +a(g827 +V +p19446 +tp19447 +a(g706 +g992 +tp19448 +a(g749 +Vchar +p19449 +tp19450 +a(g827 +g964 +tp19451 +a(g413 +g1023 +tp19452 +a(g436 +VSTRING +p19453 +tp19454 +a(g706 +g999 +tp19455 +a(g827 +g964 +tp19456 +a(g749 +Vsize_t +p19457 +tp19458 +a(g827 +g964 +tp19459 +a(g436 +VLENGTH +p19460 +tp19461 +a(g706 +g1006 +tp19462 +a(g827 +g964 +tp19463 +a(g89 +g994 +tp19464 +a(g89 +V$javainput +p19465 +tp19466 +a(g89 +g994 +tp19467 +a(g827 +V\u000a +p19468 +tp19469 +a(g574 +V%typemap +p19470 +tp19471 +a(g706 +g992 +tp19472 +a(g436 +Vfreearg +p19473 +tp19474 +a(g706 +g1006 +tp19475 +a(g827 +g964 +tp19476 +a(g706 +g992 +tp19477 +a(g749 +Vchar +p19478 +tp19479 +a(g827 +g964 +tp19480 +a(g413 +g1023 +tp19481 +a(g436 +VSTRING +p19482 +tp19483 +a(g706 +g999 +tp19484 +a(g827 +g964 +tp19485 +a(g749 +Vsize_t +p19486 +tp19487 +a(g827 +g964 +tp19488 +a(g436 +VLENGTH +p19489 +tp19490 +a(g706 +g1006 +tp19491 +a(g827 +g964 +tp19492 +a(g89 +g994 +tp19493 +a(g89 +g994 +tp19494 +a(g827 +V\u000a +p19495 +tp19496 +a(g574 +V%typemap +p19497 +tp19498 +a(g706 +g992 +tp19499 +a(g436 +Vin +p19500 +tp19501 +a(g706 +g1006 +tp19502 +a(g827 +V +p19503 +tp19504 +a(g706 +g992 +tp19505 +a(g749 +Vchar +p19506 +tp19507 +a(g827 +g964 +tp19508 +a(g413 +g1023 +tp19509 +a(g436 +VSTRING +p19510 +tp19511 +a(g706 +g999 +tp19512 +a(g827 +g964 +tp19513 +a(g749 +Vsize_t +p19514 +tp19515 +a(g827 +g964 +tp19516 +a(g436 +VLENGTH +p19517 +tp19518 +a(g706 +g1006 +tp19519 +a(g827 +g964 +tp19520 +a(g706 +g1009 +tp19521 +a(g827 +V\u000a +p19522 +tp19523 +a(g827 +V +p19524 +tp19525 +a(g745 +Vif +p19526 +tp19527 +a(g827 +g964 +tp19528 +a(g706 +g992 +tp19529 +a(g436 +V$input +p19530 +tp19531 +a(g706 +g1006 +tp19532 +a(g827 +g964 +tp19533 +a(g706 +g1009 +tp19534 +a(g827 +V\u000a +p19535 +tp19536 +a(g827 +V +p19537 +tp19538 +a(g436 +V$1 +p19539 +tp19540 +a(g827 +g964 +tp19541 +a(g413 +g1075 +tp19542 +a(g827 +g964 +tp19543 +a(g706 +g992 +tp19544 +a(g749 +Vchar +p19545 +tp19546 +a(g827 +g964 +tp19547 +a(g413 +g1023 +tp19548 +a(g706 +g1006 +tp19549 +a(g827 +g964 +tp19550 +a(g436 +VJCALL2 +p19551 +tp19552 +a(g706 +g992 +tp19553 +a(g436 +VGetByteArrayElements +p19554 +tp19555 +a(g706 +g999 +tp19556 +a(g827 +g964 +tp19557 +a(g436 +Vjenv +p19558 +tp19559 +a(g706 +g999 +tp19560 +a(g827 +g964 +tp19561 +a(g436 +V$input +p19562 +tp19563 +a(g706 +g999 +tp19564 +a(g827 +g964 +tp19565 +a(g37 +g1482 +tp19566 +a(g706 +g1006 +tp19567 +a(g706 +g1082 +tp19568 +a(g827 +V\u000a +p19569 +tp19570 +a(g827 +V +p19571 +tp19572 +a(g436 +V$2 +p19573 +tp19574 +a(g827 +g964 +tp19575 +a(g413 +g1075 +tp19576 +a(g827 +g964 +tp19577 +a(g706 +g992 +tp19578 +a(g749 +Vsize_t +p19579 +tp19580 +a(g706 +g1006 +tp19581 +a(g827 +g964 +tp19582 +a(g436 +VJCALL1 +p19583 +tp19584 +a(g706 +g992 +tp19585 +a(g436 +VGetArrayLength +p19586 +tp19587 +a(g706 +g999 +tp19588 +a(g827 +g964 +tp19589 +a(g436 +Vjenv +p19590 +tp19591 +a(g706 +g999 +tp19592 +a(g827 +g964 +tp19593 +a(g436 +V$input +p19594 +tp19595 +a(g706 +g1006 +tp19596 +a(g706 +g1082 +tp19597 +a(g827 +V\u000a +p19598 +tp19599 +a(g827 +V +p19600 +tp19601 +a(g706 +g1260 +tp19602 +a(g827 +g964 +tp19603 +a(g745 +Velse +p19604 +tp19605 +a(g827 +g964 +tp19606 +a(g706 +g1009 +tp19607 +a(g827 +V\u000a +p19608 +tp19609 +a(g827 +V +p19610 +tp19611 +a(g436 +V$1 +p19612 +tp19613 +a(g827 +g964 +tp19614 +a(g413 +g1075 +tp19615 +a(g827 +g964 +tp19616 +a(g37 +g1482 +tp19617 +a(g706 +g1082 +tp19618 +a(g827 +V\u000a +p19619 +tp19620 +a(g827 +V +p19621 +tp19622 +a(g436 +V$2 +p19623 +tp19624 +a(g827 +g964 +tp19625 +a(g413 +g1075 +tp19626 +a(g827 +g964 +tp19627 +a(g37 +g1482 +tp19628 +a(g706 +g1082 +tp19629 +a(g827 +V\u000a +p19630 +tp19631 +a(g827 +V +p19632 +tp19633 +a(g706 +g1260 +tp19634 +a(g827 +V\u000a +p19635 +tp19636 +a(g706 +g1260 +tp19637 +a(g827 +V\u000a +p19638 +tp19639 +a(g574 +V%typemap +p19640 +tp19641 +a(g706 +g992 +tp19642 +a(g436 +Vargout +p19643 +tp19644 +a(g706 +g1006 +tp19645 +a(g827 +V +p19646 +tp19647 +a(g706 +g992 +tp19648 +a(g749 +Vchar +p19649 +tp19650 +a(g827 +g964 +tp19651 +a(g413 +g1023 +tp19652 +a(g436 +VSTRING +p19653 +tp19654 +a(g706 +g999 +tp19655 +a(g827 +g964 +tp19656 +a(g749 +Vsize_t +p19657 +tp19658 +a(g827 +g964 +tp19659 +a(g436 +VLENGTH +p19660 +tp19661 +a(g706 +g1006 +tp19662 +a(g827 +g964 +tp19663 +a(g706 +g1009 +tp19664 +a(g827 +V\u000a +p19665 +tp19666 +a(g827 +V +p19667 +tp19668 +a(g745 +Vif +p19669 +tp19670 +a(g827 +g964 +tp19671 +a(g706 +g992 +tp19672 +a(g436 +V$input +p19673 +tp19674 +a(g706 +g1006 +tp19675 +a(g827 +g964 +tp19676 +a(g436 +VJCALL3 +p19677 +tp19678 +a(g706 +g992 +tp19679 +a(g436 +VReleaseByteArrayElements +p19680 +tp19681 +a(g706 +g999 +tp19682 +a(g827 +g964 +tp19683 +a(g436 +Vjenv +p19684 +tp19685 +a(g706 +g999 +tp19686 +a(g827 +g964 +tp19687 +a(g436 +V$input +p19688 +tp19689 +a(g706 +g999 +tp19690 +a(g827 +g964 +tp19691 +a(g706 +g992 +tp19692 +a(g436 +Vjbyte +p19693 +tp19694 +a(g827 +g964 +tp19695 +a(g413 +g1023 +tp19696 +a(g706 +g1006 +tp19697 +a(g436 +V$1 +p19698 +tp19699 +a(g706 +g999 +tp19700 +a(g827 +g964 +tp19701 +a(g37 +g1482 +tp19702 +a(g706 +g1006 +tp19703 +a(g706 +g1082 +tp19704 +a(g827 +V\u000a +p19705 +tp19706 +a(g706 +g1260 +tp19707 +a(g827 +V\u000a +p19708 +tp19709 +a(g574 +V%typemap +p19710 +tp19711 +a(g706 +g992 +tp19712 +a(g436 +Vdirectorin +p19713 +tp19714 +a(g706 +g999 +tp19715 +a(g827 +g964 +tp19716 +a(g436 +Vdescriptor +p19717 +tp19718 +a(g413 +g1075 +tp19719 +a(g89 +g994 +tp19720 +a(g89 +V[B +p19721 +tp19722 +a(g89 +g994 +tp19723 +a(g706 +g1006 +tp19724 +a(g827 +g964 +tp19725 +a(g706 +g992 +tp19726 +a(g749 +Vchar +p19727 +tp19728 +a(g827 +g964 +tp19729 +a(g413 +g1023 +tp19730 +a(g436 +VSTRING +p19731 +tp19732 +a(g706 +g999 +tp19733 +a(g827 +g964 +tp19734 +a(g749 +Vsize_t +p19735 +tp19736 +a(g827 +g964 +tp19737 +a(g436 +VLENGTH +p19738 +tp19739 +a(g706 +g1006 +tp19740 +a(g827 +g964 +tp19741 +a(g706 +g1009 +tp19742 +a(g827 +V\u000a +p19743 +tp19744 +a(g827 +V +p19745 +tp19746 +a(g436 +VjbyteArray +p19747 +tp19748 +a(g827 +g964 +tp19749 +a(g436 +Vjb +p19750 +tp19751 +a(g827 +g964 +tp19752 +a(g413 +g1075 +tp19753 +a(g827 +g964 +tp19754 +a(g706 +g992 +tp19755 +a(g436 +Vjenv +p19756 +tp19757 +a(g706 +g1006 +tp19758 +a(g413 +g1515 +tp19759 +a(g413 +g974 +tp19760 +a(g436 +VNewByteArray +p19761 +tp19762 +a(g706 +g992 +tp19763 +a(g436 +V$2 +p19764 +tp19765 +a(g706 +g1006 +tp19766 +a(g706 +g1082 +tp19767 +a(g827 +V\u000a +p19768 +tp19769 +a(g827 +V +p19770 +tp19771 +a(g706 +g992 +tp19772 +a(g436 +Vjenv +p19773 +tp19774 +a(g706 +g1006 +tp19775 +a(g413 +g1515 +tp19776 +a(g413 +g974 +tp19777 +a(g436 +VSetByteArrayRegion +p19778 +tp19779 +a(g706 +g992 +tp19780 +a(g436 +Vjb +p19781 +tp19782 +a(g706 +g999 +tp19783 +a(g827 +g964 +tp19784 +a(g37 +g1482 +tp19785 +a(g706 +g999 +tp19786 +a(g827 +g964 +tp19787 +a(g436 +V$2 +p19788 +tp19789 +a(g706 +g999 +tp19790 +a(g827 +g964 +tp19791 +a(g706 +g992 +tp19792 +a(g436 +Vjbyte +p19793 +tp19794 +a(g827 +g964 +tp19795 +a(g413 +g1023 +tp19796 +a(g706 +g1006 +tp19797 +a(g436 +V$1 +p19798 +tp19799 +a(g706 +g1006 +tp19800 +a(g706 +g1082 +tp19801 +a(g827 +V\u000a +p19802 +tp19803 +a(g827 +V +p19804 +tp19805 +a(g436 +V$input +p19806 +tp19807 +a(g827 +g964 +tp19808 +a(g413 +g1075 +tp19809 +a(g827 +g964 +tp19810 +a(g436 +Vjb +p19811 +tp19812 +a(g706 +g1082 +tp19813 +a(g827 +V\u000a +p19814 +tp19815 +a(g706 +g1260 +tp19816 +a(g827 +V\u000a +p19817 +tp19818 +a(g574 +V%typemap +p19819 +tp19820 +a(g706 +g992 +tp19821 +a(g436 +Vdirectorargout +p19822 +tp19823 +a(g706 +g1006 +tp19824 +a(g827 +g964 +tp19825 +a(g706 +g992 +tp19826 +a(g749 +Vchar +p19827 +tp19828 +a(g827 +g964 +tp19829 +a(g413 +g1023 +tp19830 +a(g436 +VSTRING +p19831 +tp19832 +a(g706 +g999 +tp19833 +a(g827 +g964 +tp19834 +a(g749 +Vsize_t +p19835 +tp19836 +a(g827 +g964 +tp19837 +a(g436 +VLENGTH +p19838 +tp19839 +a(g706 +g1006 +tp19840 +a(g827 +V\u000a +p19841 +tp19842 +a(g413 +g4560 +tp19843 +a(g706 +g1009 +tp19844 +a(g706 +g992 +tp19845 +a(g436 +Vjenv +p19846 +tp19847 +a(g706 +g1006 +tp19848 +a(g413 +g1515 +tp19849 +a(g413 +g974 +tp19850 +a(g436 +VGetByteArrayRegion +p19851 +tp19852 +a(g706 +g992 +tp19853 +a(g436 +V$input +p19854 +tp19855 +a(g706 +g999 +tp19856 +a(g827 +g964 +tp19857 +a(g37 +g1482 +tp19858 +a(g706 +g999 +tp19859 +a(g827 +g964 +tp19860 +a(g436 +V$2 +p19861 +tp19862 +a(g706 +g999 +tp19863 +a(g827 +g964 +tp19864 +a(g706 +g992 +tp19865 +a(g436 +Vjbyte +p19866 +tp19867 +a(g827 +g964 +tp19868 +a(g413 +g1023 +tp19869 +a(g706 +g1006 +tp19870 +a(g436 +V$1 +p19871 +tp19872 +a(g706 +g1006 +tp19873 +a(g706 +g1082 +tp19874 +a(g827 +g964 +tp19875 +a(g413 +g4560 +tp19876 +a(g706 +g1260 +tp19877 +a(g827 +V\u000a +p19878 +tp19879 +a(g574 +V%apply +p19880 +tp19881 +a(g827 +g964 +tp19882 +a(g706 +g992 +tp19883 +a(g749 +Vchar +p19884 +tp19885 +a(g827 +g964 +tp19886 +a(g413 +g1023 +tp19887 +a(g436 +VSTRING +p19888 +tp19889 +a(g706 +g999 +tp19890 +a(g827 +g964 +tp19891 +a(g749 +Vsize_t +p19892 +tp19893 +a(g827 +g964 +tp19894 +a(g436 +VLENGTH +p19895 +tp19896 +a(g706 +g1006 +tp19897 +a(g827 +g964 +tp19898 +a(g706 +g1009 +tp19899 +a(g827 +g964 +tp19900 +a(g706 +g992 +tp19901 +a(g749 +Vchar +p19902 +tp19903 +a(g827 +g964 +tp19904 +a(g413 +g1023 +tp19905 +a(g436 +VSTRING +p19906 +tp19907 +a(g706 +g999 +tp19908 +a(g827 +g964 +tp19909 +a(g749 +Vint +p19910 +tp19911 +a(g827 +g964 +tp19912 +a(g436 +VLENGTH +p19913 +tp19914 +a(g706 +g1006 +tp19915 +a(g827 +g964 +tp19916 +a(g706 +g1260 +tp19917 +a(g827 +V\u000a +p19918 +tp19919 +a(g827 +V\u000a +p19920 +tp19921 +a(g8 +V/* java keywords */ +p19922 +tp19923 +a(g827 +V\u000a +p19924 +tp19925 +a(g574 +V%include +p19926 +tp19927 +a(g827 +g964 +tp19928 +a(g413 +g966 +tp19929 +a(g436 +Vjavakw +p19930 +tp19931 +a(g706 +g970 +tp19932 +a(g436 +Vswg +p19933 +tp19934 +a(g413 +g974 +tp19935 +a(g827 +V\u000a +p19936 +tp19937 +a(g827 +V\u000a +p19938 +tp19939 +a(g906 +V// Default enum handling\u000a +p19940 +tp19941 +a(g574 +V%include +p19942 +tp19943 +a(g827 +g964 +tp19944 +a(g413 +g966 +tp19945 +a(g436 +Venumtypesafe +p19946 +tp19947 +a(g706 +g970 +tp19948 +a(g436 +Vswg +p19949 +tp19950 +a(g413 +g974 +tp19951 +a(g827 +V\u000a +p19952 +tp19953 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/swig_std_vector.i b/tests/examplefiles/output/swig_std_vector.i new file mode 100644 index 0000000..7d6c57d --- /dev/null +++ b/tests/examplefiles/output/swig_std_vector.i @@ -0,0 +1,7279 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV//\u000a +p956 +tp957 +a(g8 +V// std::vector\u000a +p958 +tp959 +a(g8 +V//\u000a +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g573 +V%include +p964 +tp965 +a(g826 +V +p966 +tp967 +a(g412 +V< +p968 +tp969 +a(g435 +Vstd_container +p970 +tp971 +a(g705 +V. +p972 +tp973 +a(g435 +Vi +p974 +tp975 +a(g412 +V> +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g8 +V// Vector\u000a +p982 +tp983 +a(g826 +V\u000a +p984 +tp985 +a(g573 +V%define +p986 +tp987 +a(g826 +g966 +tp988 +a(g573 +V%std_vector_methods +p989 +tp990 +a(g705 +V( +p991 +tp992 +a(g435 +Vvector +p993 +tp994 +a(g705 +g972 +tp995 +a(g705 +g972 +tp996 +a(g705 +g972 +tp997 +a(g705 +V) +p998 +tp999 +a(g826 +V\u000a +p1000 +tp1001 +a(g826 +V +p1002 +tp1003 +a(g573 +V%std_sequence_methods +p1004 +tp1005 +a(g705 +g991 +tp1006 +a(g435 +Vvector +p1007 +tp1008 +a(g705 +g998 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g826 +V \u000a +p1012 +tp1013 +a(g748 +Vvoid +p1014 +tp1015 +a(g826 +g966 +tp1016 +a(g435 +Vreserve +p1017 +tp1018 +a(g705 +g991 +tp1019 +a(g435 +Vsize_type +p1020 +tp1021 +a(g826 +g966 +tp1022 +a(g435 +Vn +p1023 +tp1024 +a(g705 +g998 +tp1025 +a(g705 +V; +p1026 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g826 +V +p1030 +tp1031 +a(g435 +Vsize_type +p1032 +tp1033 +a(g826 +g966 +tp1034 +a(g573 +Vcapacity +p1035 +tp1036 +a(g705 +g991 +tp1037 +a(g705 +g998 +tp1038 +a(g826 +g966 +tp1039 +a(g744 +Vconst +p1040 +tp1041 +a(g705 +g1026 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g573 +V%enddef +p1045 +tp1046 +a(g826 +V\u000a +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g826 +V\u000a +p1051 +tp1052 +a(g573 +V%define +p1053 +tp1054 +a(g826 +g966 +tp1055 +a(g573 +V%std_vector_methods_val +p1056 +tp1057 +a(g705 +g991 +tp1058 +a(g435 +Vvector +p1059 +tp1060 +a(g705 +g972 +tp1061 +a(g705 +g972 +tp1062 +a(g705 +g972 +tp1063 +a(g705 +g998 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g826 +V +p1067 +tp1068 +a(g573 +V%std_sequence_methods_val +p1069 +tp1070 +a(g705 +g991 +tp1071 +a(g435 +Vvector +p1072 +tp1073 +a(g705 +g998 +tp1074 +a(g826 +V\u000a +p1075 +tp1076 +a(g826 +V \u000a +p1077 +tp1078 +a(g748 +Vvoid +p1079 +tp1080 +a(g826 +g966 +tp1081 +a(g435 +Vreserve +p1082 +tp1083 +a(g705 +g991 +tp1084 +a(g435 +Vsize_type +p1085 +tp1086 +a(g826 +g966 +tp1087 +a(g435 +g1023 +tp1088 +a(g705 +g998 +tp1089 +a(g705 +g1026 +tp1090 +a(g826 +V\u000a +p1091 +tp1092 +a(g826 +V +p1093 +tp1094 +a(g435 +Vsize_type +p1095 +tp1096 +a(g826 +g966 +tp1097 +a(g573 +Vcapacity +p1098 +tp1099 +a(g705 +g991 +tp1100 +a(g705 +g998 +tp1101 +a(g826 +g966 +tp1102 +a(g744 +Vconst +p1103 +tp1104 +a(g705 +g1026 +tp1105 +a(g826 +V\u000a +p1106 +tp1107 +a(g573 +V%enddef +p1108 +tp1109 +a(g826 +V\u000a +p1110 +tp1111 +a(g826 +V\u000a +p1112 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g8 +V// ------------------------------------------------------------------------\u000a +p1116 +tp1117 +a(g8 +V// std::vector\u000a +p1118 +tp1119 +a(g8 +V// \u000a +p1120 +tp1121 +a(g8 +V// The aim of all that follows would be to integrate std::vector with \u000a +p1122 +tp1123 +a(g8 +V// as much as possible, namely, to allow the user to pass and \u000a +p1124 +tp1125 +a(g8 +V// be returned tuples or lists.\u000a +p1126 +tp1127 +a(g8 +V// const declarations are used to guess the intent of the function being\u000a +p1128 +tp1129 +a(g8 +V// exported; therefore, the following rationale is applied:\u000a +p1130 +tp1131 +a(g8 +V// \u000a +p1132 +tp1133 +a(g8 +V// -- f(std::vector), f(const std::vector&):\u000a +p1134 +tp1135 +a(g8 +V// the parameter being read-only, either a sequence or a\u000a +p1136 +tp1137 +a(g8 +V// previously wrapped std::vector can be passed.\u000a +p1138 +tp1139 +a(g8 +V// -- f(std::vector&), f(std::vector*):\u000a +p1140 +tp1141 +a(g8 +V// the parameter may be modified; therefore, only a wrapped std::vector\u000a +p1142 +tp1143 +a(g8 +V// can be passed.\u000a +p1144 +tp1145 +a(g8 +V// -- std::vector f(), const std::vector& f():\u000a +p1146 +tp1147 +a(g8 +V// the vector is returned by copy; therefore, a sequence of T:s \u000a +p1148 +tp1149 +a(g8 +V// is returned which is most easily used in other functions\u000a +p1150 +tp1151 +a(g8 +V// -- std::vector& f(), std::vector* f():\u000a +p1152 +tp1153 +a(g8 +V// the vector is returned by reference; therefore, a wrapped std::vector\u000a +p1154 +tp1155 +a(g8 +V// is returned\u000a +p1156 +tp1157 +a(g8 +V// -- const std::vector* f(), f(const std::vector*):\u000a +p1158 +tp1159 +a(g8 +V// for consistency, they expect and return a plain vector pointer.\u000a +p1160 +tp1161 +a(g8 +V// ------------------------------------------------------------------------\u000a +p1162 +tp1163 +a(g826 +V\u000a +p1164 +tp1165 +a(g412 +V% +p1166 +tp1167 +a(g705 +V{ +p1168 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g898 +V# +p1172 +tp1173 +a(g898 +Vinclude +p1174 +tp1175 +a(g826 +g966 +tp1176 +a(g938 +V +p1177 +tp1178 +a(g898 +V\u000a +p1179 +tp1180 +a(g412 +g1166 +tp1181 +a(g705 +V} +p1182 +tp1183 +a(g826 +V \u000a\u000a +p1184 +tp1185 +a(g8 +V// exported classes\u000a +p1186 +tp1187 +a(g826 +V\u000a +p1188 +tp1189 +a(g826 +V\u000a +p1190 +tp1191 +a(g744 +Vnamespace +p1192 +tp1193 +a(g826 +g966 +tp1194 +a(g435 +Vstd +p1195 +tp1196 +a(g826 +g966 +tp1197 +a(g705 +g1168 +tp1198 +a(g826 +V\u000a +p1199 +tp1200 +a(g826 +V\u000a +p1201 +tp1202 +a(g826 +V +p1203 +tp1204 +a(g744 +Vtemplate +p1205 +tp1206 +a(g412 +g968 +tp1207 +a(g744 +Vclass +p1208 +tp1209 +a(g826 +g966 +tp1210 +a(g628 +V_Tp +p1211 +tp1212 +a(g705 +V, +p1213 +tp1214 +a(g826 +g966 +tp1215 +a(g744 +Vclass +p1216 +tp1217 +a(g826 +g966 +tp1218 +a(g628 +V_Alloc +p1219 +tp1220 +a(g826 +g966 +tp1221 +a(g412 +V= +p1222 +tp1223 +a(g826 +g966 +tp1224 +a(g435 +Vallocator +p1225 +tp1226 +a(g412 +g968 +tp1227 +a(g826 +g966 +tp1228 +a(g435 +V_Tp +p1229 +tp1230 +a(g826 +g966 +tp1231 +a(g412 +g976 +tp1232 +a(g826 +g966 +tp1233 +a(g412 +g976 +tp1234 +a(g826 +V\u000a +p1235 +tp1236 +a(g826 +V +p1237 +tp1238 +a(g744 +Vclass +p1239 +tp1240 +a(g826 +g966 +tp1241 +a(g628 +Vvector +p1242 +tp1243 +a(g826 +g966 +tp1244 +a(g705 +g1168 +tp1245 +a(g826 +V\u000a +p1246 +tp1247 +a(g826 +V +p1248 +tp1249 +a(g744 +Vpublic +p1250 +tp1251 +a(g412 +V: +p1252 +tp1253 +a(g826 +V\u000a +p1254 +tp1255 +a(g826 +V +p1256 +tp1257 +a(g744 +Vtypedef +p1258 +tp1259 +a(g826 +g966 +tp1260 +a(g748 +Vsize_t +p1261 +tp1262 +a(g826 +g966 +tp1263 +a(g435 +Vsize_type +p1264 +tp1265 +a(g705 +g1026 +tp1266 +a(g826 +V\u000a +p1267 +tp1268 +a(g826 +V +p1269 +tp1270 +a(g744 +Vtypedef +p1271 +tp1272 +a(g826 +g966 +tp1273 +a(g748 +Vptrdiff_t +p1274 +tp1275 +a(g826 +g966 +tp1276 +a(g435 +Vdifference_type +p1277 +tp1278 +a(g705 +g1026 +tp1279 +a(g826 +V\u000a +p1280 +tp1281 +a(g826 +V +p1282 +tp1283 +a(g744 +Vtypedef +p1284 +tp1285 +a(g826 +g966 +tp1286 +a(g435 +V_Tp +p1287 +tp1288 +a(g826 +g966 +tp1289 +a(g435 +Vvalue_type +p1290 +tp1291 +a(g705 +g1026 +tp1292 +a(g826 +V\u000a +p1293 +tp1294 +a(g826 +V +p1295 +tp1296 +a(g744 +Vtypedef +p1297 +tp1298 +a(g826 +g966 +tp1299 +a(g435 +Vvalue_type +p1300 +tp1301 +a(g412 +V* +p1302 +tp1303 +a(g826 +g966 +tp1304 +a(g435 +Vpointer +p1305 +tp1306 +a(g705 +g1026 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g826 +V +p1310 +tp1311 +a(g744 +Vtypedef +p1312 +tp1313 +a(g826 +g966 +tp1314 +a(g744 +Vconst +p1315 +tp1316 +a(g826 +g966 +tp1317 +a(g435 +Vvalue_type +p1318 +tp1319 +a(g412 +g1302 +tp1320 +a(g826 +g966 +tp1321 +a(g435 +Vconst_pointer +p1322 +tp1323 +a(g705 +g1026 +tp1324 +a(g826 +V\u000a +p1325 +tp1326 +a(g826 +V +p1327 +tp1328 +a(g744 +Vtypedef +p1329 +tp1330 +a(g826 +g966 +tp1331 +a(g435 +V_Tp +p1332 +tp1333 +a(g412 +V& +p1334 +tp1335 +a(g826 +g966 +tp1336 +a(g435 +Vreference +p1337 +tp1338 +a(g705 +g1026 +tp1339 +a(g826 +V\u000a +p1340 +tp1341 +a(g826 +V +p1342 +tp1343 +a(g744 +Vtypedef +p1344 +tp1345 +a(g826 +g966 +tp1346 +a(g744 +Vconst +p1347 +tp1348 +a(g826 +g966 +tp1349 +a(g435 +V_Tp +p1350 +tp1351 +a(g412 +g1334 +tp1352 +a(g826 +g966 +tp1353 +a(g435 +Vconst_reference +p1354 +tp1355 +a(g705 +g1026 +tp1356 +a(g826 +V\u000a +p1357 +tp1358 +a(g826 +V +p1359 +tp1360 +a(g744 +Vtypedef +p1361 +tp1362 +a(g826 +g966 +tp1363 +a(g435 +V_Alloc +p1364 +tp1365 +a(g826 +g966 +tp1366 +a(g435 +Vallocator_type +p1367 +tp1368 +a(g705 +g1026 +tp1369 +a(g826 +V\u000a +p1370 +tp1371 +a(g826 +V\u000a +p1372 +tp1373 +a(g826 +V +p1374 +tp1375 +a(g573 +V%traits_swigtype +p1376 +tp1377 +a(g705 +g991 +tp1378 +a(g435 +V_Tp +p1379 +tp1380 +a(g705 +g998 +tp1381 +a(g705 +g1026 +tp1382 +a(g826 +V\u000a +p1383 +tp1384 +a(g826 +V +p1385 +tp1386 +a(g573 +V%traits_enum +p1387 +tp1388 +a(g705 +g991 +tp1389 +a(g435 +V_Tp +p1390 +tp1391 +a(g705 +g998 +tp1392 +a(g705 +g1026 +tp1393 +a(g826 +V\u000a +p1394 +tp1395 +a(g826 +V\u000a +p1396 +tp1397 +a(g826 +V +p1398 +tp1399 +a(g573 +V%fragment +p1400 +tp1401 +a(g705 +g991 +tp1402 +a(g435 +VSWIG_Traits_frag +p1403 +tp1404 +a(g705 +g991 +tp1405 +a(g435 +Vstd +p1406 +tp1407 +a(g412 +g1252 +tp1408 +a(g412 +g1252 +tp1409 +a(g435 +Vvector +p1410 +tp1411 +a(g412 +g968 +tp1412 +a(g435 +V_Tp +p1413 +tp1414 +a(g705 +g1213 +tp1415 +a(g826 +g966 +tp1416 +a(g435 +V_Alloc +p1417 +tp1418 +a(g826 +g966 +tp1419 +a(g412 +g976 +tp1420 +a(g705 +g998 +tp1421 +a(g705 +g1213 +tp1422 +a(g826 +g966 +tp1423 +a(g89 +V" +p1424 +tp1425 +a(g89 +Vheader +p1426 +tp1427 +a(g89 +g1424 +tp1428 +a(g705 +g1213 +tp1429 +a(g826 +V\u000a +p1430 +tp1431 +a(g826 +V +p1432 +tp1433 +a(g435 +Vfragment +p1434 +tp1435 +a(g412 +g1222 +tp1436 +a(g435 +VSWIG_Traits_frag +p1437 +tp1438 +a(g705 +g991 +tp1439 +a(g435 +V_Tp +p1440 +tp1441 +a(g705 +g998 +tp1442 +a(g705 +g1213 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g826 +V +p1446 +tp1447 +a(g435 +Vfragment +p1448 +tp1449 +a(g412 +g1222 +tp1450 +a(g89 +g1424 +tp1451 +a(g89 +VStdVectorTraits +p1452 +tp1453 +a(g89 +g1424 +tp1454 +a(g705 +g998 +tp1455 +a(g826 +g966 +tp1456 +a(g705 +g1168 +tp1457 +a(g826 +V\u000a +p1458 +tp1459 +a(g826 +V +p1460 +tp1461 +a(g744 +Vnamespace +p1462 +tp1463 +a(g826 +g966 +tp1464 +a(g435 +Vswig +p1465 +tp1466 +a(g826 +g966 +tp1467 +a(g705 +g1168 +tp1468 +a(g826 +V\u000a +p1469 +tp1470 +a(g826 +V +p1471 +tp1472 +a(g744 +Vtemplate +p1473 +tp1474 +a(g826 +g966 +tp1475 +a(g412 +g968 +tp1476 +a(g412 +g976 +tp1477 +a(g826 +V +p1478 +tp1479 +a(g744 +Vstruct +p1480 +tp1481 +a(g826 +g966 +tp1482 +a(g435 +Vtraits +p1483 +tp1484 +a(g412 +g968 +tp1485 +a(g435 +Vstd +p1486 +tp1487 +a(g412 +g1252 +tp1488 +a(g412 +g1252 +tp1489 +a(g435 +Vvector +p1490 +tp1491 +a(g412 +g968 +tp1492 +a(g435 +V_Tp +p1493 +tp1494 +a(g705 +g1213 +tp1495 +a(g826 +g966 +tp1496 +a(g435 +V_Alloc +p1497 +tp1498 +a(g826 +g966 +tp1499 +a(g412 +g976 +tp1500 +a(g826 +g966 +tp1501 +a(g412 +g976 +tp1502 +a(g826 +g966 +tp1503 +a(g705 +g1168 +tp1504 +a(g826 +V\u000a +p1505 +tp1506 +a(g826 +V +p1507 +tp1508 +a(g744 +Vtypedef +p1509 +tp1510 +a(g826 +g966 +tp1511 +a(g435 +Vpointer_category +p1512 +tp1513 +a(g826 +g966 +tp1514 +a(g435 +Vcategory +p1515 +tp1516 +a(g705 +g1026 +tp1517 +a(g826 +V\u000a +p1518 +tp1519 +a(g826 +V +p1520 +tp1521 +a(g744 +Vstatic +p1522 +tp1523 +a(g826 +g966 +tp1524 +a(g744 +Vconst +p1525 +tp1526 +a(g826 +g966 +tp1527 +a(g748 +Vchar +p1528 +tp1529 +a(g412 +g1302 +tp1530 +a(g826 +g966 +tp1531 +a(g573 +Vtype_name +p1532 +tp1533 +a(g705 +g991 +tp1534 +a(g705 +g998 +tp1535 +a(g826 +g966 +tp1536 +a(g705 +g1168 +tp1537 +a(g826 +V\u000a +p1538 +tp1539 +a(g826 +V +p1540 +tp1541 +a(g744 +Vreturn +p1542 +tp1543 +a(g826 +g966 +tp1544 +a(g89 +g1424 +tp1545 +a(g89 +Vstd::vector< +p1546 +tp1547 +a(g89 +g1424 +tp1548 +a(g826 +g966 +tp1549 +a(g898 +V#_Tp +p1550 +tp1551 +a(g826 +g966 +tp1552 +a(g89 +g1424 +tp1553 +a(g89 +g1213 +tp1554 +a(g89 +g1424 +tp1555 +a(g826 +g966 +tp1556 +a(g898 +V#_Alloc +p1557 +tp1558 +a(g826 +g966 +tp1559 +a(g89 +g1424 +tp1560 +a(g89 +V > +p1561 +tp1562 +a(g89 +g1424 +tp1563 +a(g705 +g1026 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +V +p1567 +tp1568 +a(g705 +g1182 +tp1569 +a(g826 +V\u000a +p1570 +tp1571 +a(g826 +g1471 +tp1572 +a(g705 +g1182 +tp1573 +a(g705 +g1026 +tp1574 +a(g826 +V\u000a +p1575 +tp1576 +a(g826 +V +p1577 +tp1578 +a(g705 +g1182 +tp1579 +a(g826 +V\u000a +p1580 +tp1581 +a(g826 +V +p1582 +tp1583 +a(g705 +g1182 +tp1584 +a(g826 +V\u000a +p1585 +tp1586 +a(g826 +V\u000a +p1587 +tp1588 +a(g826 +V +p1589 +tp1590 +a(g573 +V%typemap_traits_ptr +p1591 +tp1592 +a(g705 +g991 +tp1593 +a(g435 +VSWIG_TYPECHECK_VECTOR +p1594 +tp1595 +a(g705 +g1213 +tp1596 +a(g826 +g966 +tp1597 +a(g435 +Vstd +p1598 +tp1599 +a(g412 +g1252 +tp1600 +a(g412 +g1252 +tp1601 +a(g435 +Vvector +p1602 +tp1603 +a(g412 +g968 +tp1604 +a(g435 +V_Tp +p1605 +tp1606 +a(g705 +g1213 +tp1607 +a(g826 +g966 +tp1608 +a(g435 +V_Alloc +p1609 +tp1610 +a(g826 +g966 +tp1611 +a(g412 +g976 +tp1612 +a(g705 +g998 +tp1613 +a(g705 +g1026 +tp1614 +a(g826 +V\u000a +p1615 +tp1616 +a(g826 +V\u000a +p1617 +tp1618 +a(g898 +g1172 +tp1619 +a(g898 +Vifdef %swig_vector_methods +p1620 +tp1621 +a(g898 +V\u000a +p1622 +tp1623 +a(g826 +V +p1624 +tp1625 +a(g8 +V// Add swig/language extra methods\u000a +p1626 +tp1627 +a(g826 +V +p1628 +tp1629 +a(g573 +V%swig_vector_methods +p1630 +tp1631 +a(g705 +g991 +tp1632 +a(g435 +Vstd +p1633 +tp1634 +a(g412 +g1252 +tp1635 +a(g412 +g1252 +tp1636 +a(g435 +Vvector +p1637 +tp1638 +a(g412 +g968 +tp1639 +a(g435 +V_Tp +p1640 +tp1641 +a(g705 +g1213 +tp1642 +a(g826 +g966 +tp1643 +a(g435 +V_Alloc +p1644 +tp1645 +a(g826 +g966 +tp1646 +a(g412 +g976 +tp1647 +a(g705 +g998 +tp1648 +a(g705 +g1026 +tp1649 +a(g826 +V\u000a +p1650 +tp1651 +a(g898 +g1172 +tp1652 +a(g898 +Vendif +p1653 +tp1654 +a(g898 +V\u000a +p1655 +tp1656 +a(g826 +V \u000a +p1657 +tp1658 +a(g573 +V%std_vector_methods +p1659 +tp1660 +a(g705 +g991 +tp1661 +a(g435 +Vvector +p1662 +tp1663 +a(g705 +g998 +tp1664 +a(g705 +g1026 +tp1665 +a(g826 +V\u000a +p1666 +tp1667 +a(g826 +V +p1668 +tp1669 +a(g705 +g1182 +tp1670 +a(g705 +g1026 +tp1671 +a(g826 +V\u000a +p1672 +tp1673 +a(g826 +V\u000a +p1674 +tp1675 +a(g826 +V +p1676 +tp1677 +a(g8 +V// ***\u000a +p1678 +tp1679 +a(g826 +V +p1680 +tp1681 +a(g8 +V// This specialization should disappear or get simplified when\u000a +p1682 +tp1683 +a(g826 +V +p1684 +tp1685 +a(g8 +V// a 'const SWIGTYPE*&' can be defined\u000a +p1686 +tp1687 +a(g826 +V +p1688 +tp1689 +a(g8 +V// ***\u000a +p1690 +tp1691 +a(g826 +V +p1692 +tp1693 +a(g744 +Vtemplate +p1694 +tp1695 +a(g412 +g968 +tp1696 +a(g744 +Vclass +p1697 +tp1698 +a(g826 +g966 +tp1699 +a(g628 +V_Tp +p1700 +tp1701 +a(g705 +g1213 +tp1702 +a(g826 +g966 +tp1703 +a(g744 +Vclass +p1704 +tp1705 +a(g826 +g966 +tp1706 +a(g628 +V_Alloc +p1707 +tp1708 +a(g826 +g966 +tp1709 +a(g412 +g976 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g826 +V +p1713 +tp1714 +a(g744 +Vclass +p1715 +tp1716 +a(g826 +g966 +tp1717 +a(g628 +Vvector +p1718 +tp1719 +a(g412 +g968 +tp1720 +a(g435 +V_Tp +p1721 +tp1722 +a(g412 +g1302 +tp1723 +a(g705 +g1213 +tp1724 +a(g826 +g966 +tp1725 +a(g435 +V_Alloc +p1726 +tp1727 +a(g826 +g966 +tp1728 +a(g412 +g976 +tp1729 +a(g826 +g966 +tp1730 +a(g705 +g1168 +tp1731 +a(g826 +V\u000a +p1732 +tp1733 +a(g826 +V +p1734 +tp1735 +a(g744 +Vpublic +p1736 +tp1737 +a(g412 +g1252 +tp1738 +a(g826 +V\u000a +p1739 +tp1740 +a(g826 +V +p1741 +tp1742 +a(g744 +Vtypedef +p1743 +tp1744 +a(g826 +g966 +tp1745 +a(g748 +Vsize_t +p1746 +tp1747 +a(g826 +g966 +tp1748 +a(g435 +Vsize_type +p1749 +tp1750 +a(g705 +g1026 +tp1751 +a(g826 +V \u000a +p1752 +tp1753 +a(g744 +Vtypedef +p1754 +tp1755 +a(g826 +g966 +tp1756 +a(g748 +Vptrdiff_t +p1757 +tp1758 +a(g826 +g966 +tp1759 +a(g435 +Vdifference_type +p1760 +tp1761 +a(g705 +g1026 +tp1762 +a(g826 +V\u000a +p1763 +tp1764 +a(g826 +V +p1765 +tp1766 +a(g744 +Vtypedef +p1767 +tp1768 +a(g826 +g966 +tp1769 +a(g435 +V_Tp +p1770 +tp1771 +a(g412 +g1302 +tp1772 +a(g826 +g966 +tp1773 +a(g435 +Vvalue_type +p1774 +tp1775 +a(g705 +g1026 +tp1776 +a(g826 +V\u000a +p1777 +tp1778 +a(g826 +V +p1779 +tp1780 +a(g744 +Vtypedef +p1781 +tp1782 +a(g826 +g966 +tp1783 +a(g435 +Vvalue_type +p1784 +tp1785 +a(g412 +g1302 +tp1786 +a(g826 +g966 +tp1787 +a(g435 +Vpointer +p1788 +tp1789 +a(g705 +g1026 +tp1790 +a(g826 +V\u000a +p1791 +tp1792 +a(g826 +V +p1793 +tp1794 +a(g744 +Vtypedef +p1795 +tp1796 +a(g826 +g966 +tp1797 +a(g744 +Vconst +p1798 +tp1799 +a(g826 +g966 +tp1800 +a(g435 +Vvalue_type +p1801 +tp1802 +a(g412 +g1302 +tp1803 +a(g826 +g966 +tp1804 +a(g435 +Vconst_pointer +p1805 +tp1806 +a(g705 +g1026 +tp1807 +a(g826 +V\u000a +p1808 +tp1809 +a(g826 +V +p1810 +tp1811 +a(g744 +Vtypedef +p1812 +tp1813 +a(g826 +g966 +tp1814 +a(g435 +Vvalue_type +p1815 +tp1816 +a(g826 +g966 +tp1817 +a(g435 +Vreference +p1818 +tp1819 +a(g705 +g1026 +tp1820 +a(g826 +V\u000a +p1821 +tp1822 +a(g826 +V +p1823 +tp1824 +a(g744 +Vtypedef +p1825 +tp1826 +a(g826 +g966 +tp1827 +a(g435 +Vvalue_type +p1828 +tp1829 +a(g826 +g966 +tp1830 +a(g435 +Vconst_reference +p1831 +tp1832 +a(g705 +g1026 +tp1833 +a(g826 +V\u000a +p1834 +tp1835 +a(g826 +V +p1836 +tp1837 +a(g744 +Vtypedef +p1838 +tp1839 +a(g826 +g966 +tp1840 +a(g435 +V_Alloc +p1841 +tp1842 +a(g826 +g966 +tp1843 +a(g435 +Vallocator_type +p1844 +tp1845 +a(g705 +g1026 +tp1846 +a(g826 +V\u000a +p1847 +tp1848 +a(g826 +V\u000a +p1849 +tp1850 +a(g826 +V +p1851 +tp1852 +a(g573 +V%traits_swigtype +p1853 +tp1854 +a(g705 +g991 +tp1855 +a(g435 +V_Tp +p1856 +tp1857 +a(g705 +g998 +tp1858 +a(g705 +g1026 +tp1859 +a(g826 +V\u000a +p1860 +tp1861 +a(g826 +V\u000a +p1862 +tp1863 +a(g826 +V +p1864 +tp1865 +a(g573 +V%fragment +p1866 +tp1867 +a(g705 +g991 +tp1868 +a(g435 +VSWIG_Traits_frag +p1869 +tp1870 +a(g705 +g991 +tp1871 +a(g435 +Vstd +p1872 +tp1873 +a(g412 +g1252 +tp1874 +a(g412 +g1252 +tp1875 +a(g435 +Vvector +p1876 +tp1877 +a(g412 +g968 +tp1878 +a(g435 +V_Tp +p1879 +tp1880 +a(g412 +g1302 +tp1881 +a(g705 +g1213 +tp1882 +a(g826 +g966 +tp1883 +a(g435 +V_Alloc +p1884 +tp1885 +a(g826 +g966 +tp1886 +a(g412 +g976 +tp1887 +a(g705 +g998 +tp1888 +a(g705 +g1213 +tp1889 +a(g826 +g966 +tp1890 +a(g89 +g1424 +tp1891 +a(g89 +Vheader +p1892 +tp1893 +a(g89 +g1424 +tp1894 +a(g705 +g1213 +tp1895 +a(g826 +V\u000a +p1896 +tp1897 +a(g826 +V +p1898 +tp1899 +a(g435 +Vfragment +p1900 +tp1901 +a(g412 +g1222 +tp1902 +a(g435 +VSWIG_Traits_frag +p1903 +tp1904 +a(g705 +g991 +tp1905 +a(g435 +V_Tp +p1906 +tp1907 +a(g705 +g998 +tp1908 +a(g705 +g1213 +tp1909 +a(g826 +V\u000a +p1910 +tp1911 +a(g826 +V +p1912 +tp1913 +a(g435 +Vfragment +p1914 +tp1915 +a(g412 +g1222 +tp1916 +a(g89 +g1424 +tp1917 +a(g89 +VStdVectorTraits +p1918 +tp1919 +a(g89 +g1424 +tp1920 +a(g705 +g998 +tp1921 +a(g826 +g966 +tp1922 +a(g705 +g1168 +tp1923 +a(g826 +V\u000a +p1924 +tp1925 +a(g826 +V +p1926 +tp1927 +a(g744 +Vnamespace +p1928 +tp1929 +a(g826 +g966 +tp1930 +a(g435 +Vswig +p1931 +tp1932 +a(g826 +g966 +tp1933 +a(g705 +g1168 +tp1934 +a(g826 +V\u000a +p1935 +tp1936 +a(g826 +g1471 +tp1937 +a(g744 +Vtemplate +p1938 +tp1939 +a(g826 +g966 +tp1940 +a(g412 +g968 +tp1941 +a(g412 +g976 +tp1942 +a(g826 +V +p1943 +tp1944 +a(g744 +Vstruct +p1945 +tp1946 +a(g826 +g966 +tp1947 +a(g435 +Vtraits +p1948 +tp1949 +a(g412 +g968 +tp1950 +a(g435 +Vstd +p1951 +tp1952 +a(g412 +g1252 +tp1953 +a(g412 +g1252 +tp1954 +a(g435 +Vvector +p1955 +tp1956 +a(g412 +g968 +tp1957 +a(g435 +V_Tp +p1958 +tp1959 +a(g412 +g1302 +tp1960 +a(g705 +g1213 +tp1961 +a(g826 +g966 +tp1962 +a(g435 +V_Alloc +p1963 +tp1964 +a(g826 +g966 +tp1965 +a(g412 +g976 +tp1966 +a(g826 +g966 +tp1967 +a(g412 +g976 +tp1968 +a(g826 +g966 +tp1969 +a(g705 +g1168 +tp1970 +a(g826 +V\u000a +p1971 +tp1972 +a(g826 +V +p1973 +tp1974 +a(g744 +Vtypedef +p1975 +tp1976 +a(g826 +g966 +tp1977 +a(g435 +Vvalue_category +p1978 +tp1979 +a(g826 +g966 +tp1980 +a(g435 +Vcategory +p1981 +tp1982 +a(g705 +g1026 +tp1983 +a(g826 +V\u000a +p1984 +tp1985 +a(g826 +V +p1986 +tp1987 +a(g744 +Vstatic +p1988 +tp1989 +a(g826 +g966 +tp1990 +a(g744 +Vconst +p1991 +tp1992 +a(g826 +g966 +tp1993 +a(g748 +Vchar +p1994 +tp1995 +a(g412 +g1302 +tp1996 +a(g826 +g966 +tp1997 +a(g573 +Vtype_name +p1998 +tp1999 +a(g705 +g991 +tp2000 +a(g705 +g998 +tp2001 +a(g826 +g966 +tp2002 +a(g705 +g1168 +tp2003 +a(g826 +V\u000a +p2004 +tp2005 +a(g826 +V +p2006 +tp2007 +a(g744 +Vreturn +p2008 +tp2009 +a(g826 +g966 +tp2010 +a(g89 +g1424 +tp2011 +a(g89 +Vstd::vector< +p2012 +tp2013 +a(g89 +g1424 +tp2014 +a(g826 +g966 +tp2015 +a(g898 +V#_Tp +p2016 +tp2017 +a(g826 +g966 +tp2018 +a(g89 +g1424 +tp2019 +a(g89 +V *, +p2020 +tp2021 +a(g89 +g1424 +tp2022 +a(g826 +g966 +tp2023 +a(g898 +V#_Alloc +p2024 +tp2025 +a(g826 +g966 +tp2026 +a(g89 +g1424 +tp2027 +a(g89 +V > +p2028 +tp2029 +a(g89 +g1424 +tp2030 +a(g705 +g1026 +tp2031 +a(g826 +V\u000a +p2032 +tp2033 +a(g826 +V +p2034 +tp2035 +a(g705 +g1182 +tp2036 +a(g826 +V\u000a +p2037 +tp2038 +a(g826 +g1471 +tp2039 +a(g705 +g1182 +tp2040 +a(g705 +g1026 +tp2041 +a(g826 +V\u000a +p2042 +tp2043 +a(g826 +V +p2044 +tp2045 +a(g705 +g1182 +tp2046 +a(g826 +V\u000a +p2047 +tp2048 +a(g826 +V +p2049 +tp2050 +a(g705 +g1182 +tp2051 +a(g826 +V\u000a +p2052 +tp2053 +a(g826 +V\u000a +p2054 +tp2055 +a(g826 +V +p2056 +tp2057 +a(g573 +V%typemap_traits_ptr +p2058 +tp2059 +a(g705 +g991 +tp2060 +a(g435 +VSWIG_TYPECHECK_VECTOR +p2061 +tp2062 +a(g705 +g1213 +tp2063 +a(g826 +g966 +tp2064 +a(g435 +Vstd +p2065 +tp2066 +a(g412 +g1252 +tp2067 +a(g412 +g1252 +tp2068 +a(g435 +Vvector +p2069 +tp2070 +a(g412 +g968 +tp2071 +a(g435 +V_Tp +p2072 +tp2073 +a(g412 +g1302 +tp2074 +a(g705 +g1213 +tp2075 +a(g826 +g966 +tp2076 +a(g435 +V_Alloc +p2077 +tp2078 +a(g826 +g966 +tp2079 +a(g412 +g976 +tp2080 +a(g705 +g998 +tp2081 +a(g705 +g1026 +tp2082 +a(g826 +V\u000a +p2083 +tp2084 +a(g826 +V\u000a +p2085 +tp2086 +a(g898 +g1172 +tp2087 +a(g898 +Vifdef %swig_vector_methods_val +p2088 +tp2089 +a(g898 +V\u000a +p2090 +tp2091 +a(g826 +V +p2092 +tp2093 +a(g8 +V// Add swig/language extra methods\u000a +p2094 +tp2095 +a(g826 +V +p2096 +tp2097 +a(g573 +V%swig_vector_methods_val +p2098 +tp2099 +a(g705 +g991 +tp2100 +a(g435 +Vstd +p2101 +tp2102 +a(g412 +g1252 +tp2103 +a(g412 +g1252 +tp2104 +a(g435 +Vvector +p2105 +tp2106 +a(g412 +g968 +tp2107 +a(g435 +V_Tp +p2108 +tp2109 +a(g412 +g1302 +tp2110 +a(g705 +g1213 +tp2111 +a(g826 +g966 +tp2112 +a(g435 +V_Alloc +p2113 +tp2114 +a(g826 +g966 +tp2115 +a(g412 +g976 +tp2116 +a(g705 +g998 +tp2117 +a(g705 +g1026 +tp2118 +a(g826 +V\u000a +p2119 +tp2120 +a(g898 +g1172 +tp2121 +a(g898 +Vendif +p2122 +tp2123 +a(g898 +V\u000a +p2124 +tp2125 +a(g826 +V\u000a +p2126 +tp2127 +a(g826 +V +p2128 +tp2129 +a(g573 +V%std_vector_methods_val +p2130 +tp2131 +a(g705 +g991 +tp2132 +a(g435 +Vvector +p2133 +tp2134 +a(g705 +g998 +tp2135 +a(g705 +g1026 +tp2136 +a(g826 +V\u000a +p2137 +tp2138 +a(g826 +V +p2139 +tp2140 +a(g705 +g1182 +tp2141 +a(g705 +g1026 +tp2142 +a(g826 +V\u000a +p2143 +tp2144 +a(g826 +V\u000a +p2145 +tp2146 +a(g826 +V +p2147 +tp2148 +a(g8 +V// ***\u000a +p2149 +tp2150 +a(g826 +V +p2151 +tp2152 +a(g8 +V// const pointer specialization\u000a +p2153 +tp2154 +a(g826 +V +p2155 +tp2156 +a(g8 +V// ***\u000a +p2157 +tp2158 +a(g826 +V +p2159 +tp2160 +a(g744 +Vtemplate +p2161 +tp2162 +a(g412 +g968 +tp2163 +a(g744 +Vclass +p2164 +tp2165 +a(g826 +g966 +tp2166 +a(g628 +V_Tp +p2167 +tp2168 +a(g705 +g1213 +tp2169 +a(g826 +g966 +tp2170 +a(g744 +Vclass +p2171 +tp2172 +a(g826 +g966 +tp2173 +a(g628 +V_Alloc +p2174 +tp2175 +a(g826 +g966 +tp2176 +a(g412 +g976 +tp2177 +a(g826 +V\u000a +p2178 +tp2179 +a(g826 +V +p2180 +tp2181 +a(g744 +Vclass +p2182 +tp2183 +a(g826 +g966 +tp2184 +a(g628 +Vvector +p2185 +tp2186 +a(g412 +g968 +tp2187 +a(g435 +V_Tp +p2188 +tp2189 +a(g826 +g966 +tp2190 +a(g744 +Vconst +p2191 +tp2192 +a(g826 +g966 +tp2193 +a(g412 +g1302 +tp2194 +a(g705 +g1213 +tp2195 +a(g826 +g966 +tp2196 +a(g435 +V_Alloc +p2197 +tp2198 +a(g826 +g966 +tp2199 +a(g412 +g976 +tp2200 +a(g826 +g966 +tp2201 +a(g705 +g1168 +tp2202 +a(g826 +V\u000a +p2203 +tp2204 +a(g826 +V +p2205 +tp2206 +a(g744 +Vpublic +p2207 +tp2208 +a(g412 +g1252 +tp2209 +a(g826 +V\u000a +p2210 +tp2211 +a(g826 +V +p2212 +tp2213 +a(g744 +Vtypedef +p2214 +tp2215 +a(g826 +g966 +tp2216 +a(g748 +Vsize_t +p2217 +tp2218 +a(g826 +g966 +tp2219 +a(g435 +Vsize_type +p2220 +tp2221 +a(g705 +g1026 +tp2222 +a(g826 +V \u000a +p2223 +tp2224 +a(g744 +Vtypedef +p2225 +tp2226 +a(g826 +g966 +tp2227 +a(g748 +Vptrdiff_t +p2228 +tp2229 +a(g826 +g966 +tp2230 +a(g435 +Vdifference_type +p2231 +tp2232 +a(g705 +g1026 +tp2233 +a(g826 +V\u000a +p2234 +tp2235 +a(g826 +V +p2236 +tp2237 +a(g744 +Vtypedef +p2238 +tp2239 +a(g826 +g966 +tp2240 +a(g435 +V_Tp +p2241 +tp2242 +a(g826 +g966 +tp2243 +a(g744 +Vconst +p2244 +tp2245 +a(g826 +g966 +tp2246 +a(g412 +g1302 +tp2247 +a(g826 +g966 +tp2248 +a(g435 +Vvalue_type +p2249 +tp2250 +a(g705 +g1026 +tp2251 +a(g826 +V\u000a +p2252 +tp2253 +a(g826 +V +p2254 +tp2255 +a(g744 +Vtypedef +p2256 +tp2257 +a(g826 +g966 +tp2258 +a(g435 +Vvalue_type +p2259 +tp2260 +a(g412 +g1302 +tp2261 +a(g826 +g966 +tp2262 +a(g435 +Vpointer +p2263 +tp2264 +a(g705 +g1026 +tp2265 +a(g826 +V\u000a +p2266 +tp2267 +a(g826 +V +p2268 +tp2269 +a(g744 +Vtypedef +p2270 +tp2271 +a(g826 +g966 +tp2272 +a(g744 +Vconst +p2273 +tp2274 +a(g826 +g966 +tp2275 +a(g435 +Vvalue_type +p2276 +tp2277 +a(g412 +g1302 +tp2278 +a(g826 +g966 +tp2279 +a(g435 +Vconst_pointer +p2280 +tp2281 +a(g705 +g1026 +tp2282 +a(g826 +V\u000a +p2283 +tp2284 +a(g826 +V +p2285 +tp2286 +a(g744 +Vtypedef +p2287 +tp2288 +a(g826 +g966 +tp2289 +a(g435 +Vvalue_type +p2290 +tp2291 +a(g826 +g966 +tp2292 +a(g435 +Vreference +p2293 +tp2294 +a(g705 +g1026 +tp2295 +a(g826 +V\u000a +p2296 +tp2297 +a(g826 +V +p2298 +tp2299 +a(g744 +Vtypedef +p2300 +tp2301 +a(g826 +g966 +tp2302 +a(g435 +Vvalue_type +p2303 +tp2304 +a(g826 +g966 +tp2305 +a(g435 +Vconst_reference +p2306 +tp2307 +a(g705 +g1026 +tp2308 +a(g826 +V\u000a +p2309 +tp2310 +a(g826 +V +p2311 +tp2312 +a(g744 +Vtypedef +p2313 +tp2314 +a(g826 +g966 +tp2315 +a(g435 +V_Alloc +p2316 +tp2317 +a(g826 +g966 +tp2318 +a(g435 +Vallocator_type +p2319 +tp2320 +a(g705 +g1026 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g826 +V\u000a +p2324 +tp2325 +a(g826 +V +p2326 +tp2327 +a(g573 +V%traits_swigtype +p2328 +tp2329 +a(g705 +g991 +tp2330 +a(g435 +V_Tp +p2331 +tp2332 +a(g705 +g998 +tp2333 +a(g705 +g1026 +tp2334 +a(g826 +V\u000a +p2335 +tp2336 +a(g826 +V\u000a +p2337 +tp2338 +a(g826 +V +p2339 +tp2340 +a(g573 +V%fragment +p2341 +tp2342 +a(g705 +g991 +tp2343 +a(g435 +VSWIG_Traits_frag +p2344 +tp2345 +a(g705 +g991 +tp2346 +a(g435 +Vstd +p2347 +tp2348 +a(g412 +g1252 +tp2349 +a(g412 +g1252 +tp2350 +a(g435 +Vvector +p2351 +tp2352 +a(g412 +g968 +tp2353 +a(g435 +V_Tp +p2354 +tp2355 +a(g826 +g966 +tp2356 +a(g744 +Vconst +p2357 +tp2358 +a(g412 +g1302 +tp2359 +a(g705 +g1213 +tp2360 +a(g826 +g966 +tp2361 +a(g435 +V_Alloc +p2362 +tp2363 +a(g826 +g966 +tp2364 +a(g412 +g976 +tp2365 +a(g705 +g998 +tp2366 +a(g705 +g1213 +tp2367 +a(g826 +g966 +tp2368 +a(g89 +g1424 +tp2369 +a(g89 +Vheader +p2370 +tp2371 +a(g89 +g1424 +tp2372 +a(g705 +g1213 +tp2373 +a(g826 +V\u000a +p2374 +tp2375 +a(g826 +V +p2376 +tp2377 +a(g435 +Vfragment +p2378 +tp2379 +a(g412 +g1222 +tp2380 +a(g435 +VSWIG_Traits_frag +p2381 +tp2382 +a(g705 +g991 +tp2383 +a(g435 +V_Tp +p2384 +tp2385 +a(g705 +g998 +tp2386 +a(g705 +g1213 +tp2387 +a(g826 +V\u000a +p2388 +tp2389 +a(g826 +V +p2390 +tp2391 +a(g435 +Vfragment +p2392 +tp2393 +a(g412 +g1222 +tp2394 +a(g89 +g1424 +tp2395 +a(g89 +VStdVectorTraits +p2396 +tp2397 +a(g89 +g1424 +tp2398 +a(g705 +g998 +tp2399 +a(g826 +g966 +tp2400 +a(g705 +g1168 +tp2401 +a(g826 +V\u000a +p2402 +tp2403 +a(g826 +V +p2404 +tp2405 +a(g744 +Vnamespace +p2406 +tp2407 +a(g826 +g966 +tp2408 +a(g435 +Vswig +p2409 +tp2410 +a(g826 +g966 +tp2411 +a(g705 +g1168 +tp2412 +a(g826 +V\u000a +p2413 +tp2414 +a(g826 +g1471 +tp2415 +a(g744 +Vtemplate +p2416 +tp2417 +a(g826 +g966 +tp2418 +a(g412 +g968 +tp2419 +a(g412 +g976 +tp2420 +a(g826 +V +p2421 +tp2422 +a(g744 +Vstruct +p2423 +tp2424 +a(g826 +g966 +tp2425 +a(g435 +Vtraits +p2426 +tp2427 +a(g412 +g968 +tp2428 +a(g435 +Vstd +p2429 +tp2430 +a(g412 +g1252 +tp2431 +a(g412 +g1252 +tp2432 +a(g435 +Vvector +p2433 +tp2434 +a(g412 +g968 +tp2435 +a(g435 +V_Tp +p2436 +tp2437 +a(g826 +g966 +tp2438 +a(g744 +Vconst +p2439 +tp2440 +a(g412 +g1302 +tp2441 +a(g705 +g1213 +tp2442 +a(g826 +g966 +tp2443 +a(g435 +V_Alloc +p2444 +tp2445 +a(g826 +g966 +tp2446 +a(g412 +g976 +tp2447 +a(g826 +g966 +tp2448 +a(g412 +g976 +tp2449 +a(g826 +g966 +tp2450 +a(g705 +g1168 +tp2451 +a(g826 +V\u000a +p2452 +tp2453 +a(g826 +V +p2454 +tp2455 +a(g744 +Vtypedef +p2456 +tp2457 +a(g826 +g966 +tp2458 +a(g435 +Vvalue_category +p2459 +tp2460 +a(g826 +g966 +tp2461 +a(g435 +Vcategory +p2462 +tp2463 +a(g705 +g1026 +tp2464 +a(g826 +V\u000a +p2465 +tp2466 +a(g826 +V +p2467 +tp2468 +a(g744 +Vstatic +p2469 +tp2470 +a(g826 +g966 +tp2471 +a(g744 +Vconst +p2472 +tp2473 +a(g826 +g966 +tp2474 +a(g748 +Vchar +p2475 +tp2476 +a(g412 +g1302 +tp2477 +a(g826 +g966 +tp2478 +a(g573 +Vtype_name +p2479 +tp2480 +a(g705 +g991 +tp2481 +a(g705 +g998 +tp2482 +a(g826 +g966 +tp2483 +a(g705 +g1168 +tp2484 +a(g826 +V\u000a +p2485 +tp2486 +a(g826 +V +p2487 +tp2488 +a(g744 +Vreturn +p2489 +tp2490 +a(g826 +g966 +tp2491 +a(g89 +g1424 +tp2492 +a(g89 +Vstd::vector< +p2493 +tp2494 +a(g89 +g1424 +tp2495 +a(g826 +g966 +tp2496 +a(g898 +V#_Tp +p2497 +tp2498 +a(g826 +g966 +tp2499 +a(g89 +g1424 +tp2500 +a(g89 +V const*, +p2501 +tp2502 +a(g89 +g1424 +tp2503 +a(g826 +g966 +tp2504 +a(g898 +V#_Alloc +p2505 +tp2506 +a(g826 +g966 +tp2507 +a(g89 +g1424 +tp2508 +a(g89 +V > +p2509 +tp2510 +a(g89 +g1424 +tp2511 +a(g705 +g1026 +tp2512 +a(g826 +V\u000a +p2513 +tp2514 +a(g826 +V +p2515 +tp2516 +a(g705 +g1182 +tp2517 +a(g826 +V\u000a +p2518 +tp2519 +a(g826 +g1471 +tp2520 +a(g705 +g1182 +tp2521 +a(g705 +g1026 +tp2522 +a(g826 +V\u000a +p2523 +tp2524 +a(g826 +V +p2525 +tp2526 +a(g705 +g1182 +tp2527 +a(g826 +V\u000a +p2528 +tp2529 +a(g826 +V +p2530 +tp2531 +a(g705 +g1182 +tp2532 +a(g826 +V\u000a +p2533 +tp2534 +a(g826 +V\u000a +p2535 +tp2536 +a(g826 +V +p2537 +tp2538 +a(g573 +V%typemap_traits_ptr +p2539 +tp2540 +a(g705 +g991 +tp2541 +a(g435 +VSWIG_TYPECHECK_VECTOR +p2542 +tp2543 +a(g705 +g1213 +tp2544 +a(g826 +g966 +tp2545 +a(g435 +Vstd +p2546 +tp2547 +a(g412 +g1252 +tp2548 +a(g412 +g1252 +tp2549 +a(g435 +Vvector +p2550 +tp2551 +a(g412 +g968 +tp2552 +a(g435 +V_Tp +p2553 +tp2554 +a(g826 +g966 +tp2555 +a(g744 +Vconst +p2556 +tp2557 +a(g412 +g1302 +tp2558 +a(g705 +g1213 +tp2559 +a(g826 +g966 +tp2560 +a(g435 +V_Alloc +p2561 +tp2562 +a(g826 +g966 +tp2563 +a(g412 +g976 +tp2564 +a(g705 +g998 +tp2565 +a(g705 +g1026 +tp2566 +a(g826 +V\u000a +p2567 +tp2568 +a(g826 +V\u000a +p2569 +tp2570 +a(g898 +g1172 +tp2571 +a(g898 +Vifdef %swig_vector_methods_val +p2572 +tp2573 +a(g898 +V\u000a +p2574 +tp2575 +a(g826 +V +p2576 +tp2577 +a(g8 +V// Add swig/language extra methods\u000a +p2578 +tp2579 +a(g826 +V +p2580 +tp2581 +a(g573 +V%swig_vector_methods_val +p2582 +tp2583 +a(g705 +g991 +tp2584 +a(g435 +Vstd +p2585 +tp2586 +a(g412 +g1252 +tp2587 +a(g412 +g1252 +tp2588 +a(g435 +Vvector +p2589 +tp2590 +a(g412 +g968 +tp2591 +a(g435 +V_Tp +p2592 +tp2593 +a(g826 +g966 +tp2594 +a(g744 +Vconst +p2595 +tp2596 +a(g412 +g1302 +tp2597 +a(g705 +g1213 +tp2598 +a(g826 +g966 +tp2599 +a(g435 +V_Alloc +p2600 +tp2601 +a(g826 +g966 +tp2602 +a(g412 +g976 +tp2603 +a(g705 +g998 +tp2604 +a(g705 +g1026 +tp2605 +a(g826 +V\u000a +p2606 +tp2607 +a(g898 +g1172 +tp2608 +a(g898 +Vendif +p2609 +tp2610 +a(g898 +V\u000a +p2611 +tp2612 +a(g826 +V\u000a +p2613 +tp2614 +a(g826 +V +p2615 +tp2616 +a(g573 +V%std_vector_methods_val +p2617 +tp2618 +a(g705 +g991 +tp2619 +a(g435 +Vvector +p2620 +tp2621 +a(g705 +g998 +tp2622 +a(g705 +g1026 +tp2623 +a(g826 +V\u000a +p2624 +tp2625 +a(g826 +V +p2626 +tp2627 +a(g705 +g1182 +tp2628 +a(g705 +g1026 +tp2629 +a(g826 +V\u000a +p2630 +tp2631 +a(g826 +V\u000a +p2632 +tp2633 +a(g826 +V +p2634 +tp2635 +a(g8 +V// ***\u000a +p2636 +tp2637 +a(g826 +V +p2638 +tp2639 +a(g8 +V// bool specialization\u000a +p2640 +tp2641 +a(g826 +V +p2642 +tp2643 +a(g8 +V// ***\u000a +p2644 +tp2645 +a(g826 +V\u000a +p2646 +tp2647 +a(g826 +V +p2648 +tp2649 +a(g744 +Vtemplate +p2650 +tp2651 +a(g412 +g968 +tp2652 +a(g744 +Vclass +p2653 +tp2654 +a(g826 +g966 +tp2655 +a(g628 +V_Alloc +p2656 +tp2657 +a(g826 +g966 +tp2658 +a(g412 +g976 +tp2659 +a(g826 +V \u000a +p2660 +tp2661 +a(g744 +Vclass +p2662 +tp2663 +a(g826 +g966 +tp2664 +a(g628 +Vvector +p2665 +tp2666 +a(g412 +g968 +tp2667 +a(g748 +Vbool +p2668 +tp2669 +a(g705 +g1213 +tp2670 +a(g435 +V_Alloc +p2671 +tp2672 +a(g826 +g966 +tp2673 +a(g412 +g976 +tp2674 +a(g826 +g966 +tp2675 +a(g705 +g1168 +tp2676 +a(g826 +V\u000a +p2677 +tp2678 +a(g826 +V +p2679 +tp2680 +a(g744 +Vpublic +p2681 +tp2682 +a(g412 +g1252 +tp2683 +a(g826 +V\u000a +p2684 +tp2685 +a(g826 +V +p2686 +tp2687 +a(g744 +Vtypedef +p2688 +tp2689 +a(g826 +g966 +tp2690 +a(g748 +Vsize_t +p2691 +tp2692 +a(g826 +g966 +tp2693 +a(g435 +Vsize_type +p2694 +tp2695 +a(g705 +g1026 +tp2696 +a(g826 +V \u000a +p2697 +tp2698 +a(g744 +Vtypedef +p2699 +tp2700 +a(g826 +g966 +tp2701 +a(g748 +Vptrdiff_t +p2702 +tp2703 +a(g826 +g966 +tp2704 +a(g435 +Vdifference_type +p2705 +tp2706 +a(g705 +g1026 +tp2707 +a(g826 +V\u000a +p2708 +tp2709 +a(g826 +V +p2710 +tp2711 +a(g744 +Vtypedef +p2712 +tp2713 +a(g826 +g966 +tp2714 +a(g748 +Vbool +p2715 +tp2716 +a(g826 +g966 +tp2717 +a(g435 +Vvalue_type +p2718 +tp2719 +a(g705 +g1026 +tp2720 +a(g826 +V\u000a +p2721 +tp2722 +a(g826 +V +p2723 +tp2724 +a(g744 +Vtypedef +p2725 +tp2726 +a(g826 +g966 +tp2727 +a(g435 +Vvalue_type +p2728 +tp2729 +a(g412 +g1302 +tp2730 +a(g826 +g966 +tp2731 +a(g435 +Vpointer +p2732 +tp2733 +a(g705 +g1026 +tp2734 +a(g826 +V\u000a +p2735 +tp2736 +a(g826 +V +p2737 +tp2738 +a(g744 +Vtypedef +p2739 +tp2740 +a(g826 +g966 +tp2741 +a(g744 +Vconst +p2742 +tp2743 +a(g826 +g966 +tp2744 +a(g435 +Vvalue_type +p2745 +tp2746 +a(g412 +g1302 +tp2747 +a(g826 +g966 +tp2748 +a(g435 +Vconst_pointer +p2749 +tp2750 +a(g705 +g1026 +tp2751 +a(g826 +V\u000a +p2752 +tp2753 +a(g826 +V +p2754 +tp2755 +a(g744 +Vtypedef +p2756 +tp2757 +a(g826 +g966 +tp2758 +a(g435 +Vvalue_type +p2759 +tp2760 +a(g826 +g966 +tp2761 +a(g435 +Vreference +p2762 +tp2763 +a(g705 +g1026 +tp2764 +a(g826 +V\u000a +p2765 +tp2766 +a(g826 +V +p2767 +tp2768 +a(g744 +Vtypedef +p2769 +tp2770 +a(g826 +g966 +tp2771 +a(g435 +Vvalue_type +p2772 +tp2773 +a(g826 +g966 +tp2774 +a(g435 +Vconst_reference +p2775 +tp2776 +a(g705 +g1026 +tp2777 +a(g826 +V\u000a +p2778 +tp2779 +a(g826 +V +p2780 +tp2781 +a(g744 +Vtypedef +p2782 +tp2783 +a(g826 +g966 +tp2784 +a(g435 +V_Alloc +p2785 +tp2786 +a(g826 +g966 +tp2787 +a(g435 +Vallocator_type +p2788 +tp2789 +a(g705 +g1026 +tp2790 +a(g826 +V\u000a +p2791 +tp2792 +a(g826 +V\u000a +p2793 +tp2794 +a(g826 +V +p2795 +tp2796 +a(g573 +V%traits_swigtype +p2797 +tp2798 +a(g705 +g991 +tp2799 +a(g748 +Vbool +p2800 +tp2801 +a(g705 +g998 +tp2802 +a(g705 +g1026 +tp2803 +a(g826 +V\u000a +p2804 +tp2805 +a(g826 +V\u000a +p2806 +tp2807 +a(g826 +V +p2808 +tp2809 +a(g573 +V%fragment +p2810 +tp2811 +a(g705 +g991 +tp2812 +a(g435 +VSWIG_Traits_frag +p2813 +tp2814 +a(g705 +g991 +tp2815 +a(g435 +Vstd +p2816 +tp2817 +a(g412 +g1252 +tp2818 +a(g412 +g1252 +tp2819 +a(g435 +Vvector +p2820 +tp2821 +a(g412 +g968 +tp2822 +a(g748 +Vbool +p2823 +tp2824 +a(g705 +g1213 +tp2825 +a(g826 +g966 +tp2826 +a(g435 +V_Alloc +p2827 +tp2828 +a(g826 +g966 +tp2829 +a(g412 +g976 +tp2830 +a(g705 +g998 +tp2831 +a(g705 +g1213 +tp2832 +a(g826 +g966 +tp2833 +a(g89 +g1424 +tp2834 +a(g89 +Vheader +p2835 +tp2836 +a(g89 +g1424 +tp2837 +a(g705 +g1213 +tp2838 +a(g826 +V\u000a +p2839 +tp2840 +a(g826 +V +p2841 +tp2842 +a(g435 +Vfragment +p2843 +tp2844 +a(g412 +g1222 +tp2845 +a(g435 +VSWIG_Traits_frag +p2846 +tp2847 +a(g705 +g991 +tp2848 +a(g748 +Vbool +p2849 +tp2850 +a(g705 +g998 +tp2851 +a(g705 +g1213 +tp2852 +a(g826 +V\u000a +p2853 +tp2854 +a(g826 +V +p2855 +tp2856 +a(g435 +Vfragment +p2857 +tp2858 +a(g412 +g1222 +tp2859 +a(g89 +g1424 +tp2860 +a(g89 +VStdVectorTraits +p2861 +tp2862 +a(g89 +g1424 +tp2863 +a(g705 +g998 +tp2864 +a(g826 +g966 +tp2865 +a(g705 +g1168 +tp2866 +a(g826 +V\u000a +p2867 +tp2868 +a(g826 +V +p2869 +tp2870 +a(g744 +Vnamespace +p2871 +tp2872 +a(g826 +g966 +tp2873 +a(g435 +Vswig +p2874 +tp2875 +a(g826 +g966 +tp2876 +a(g705 +g1168 +tp2877 +a(g826 +V\u000a +p2878 +tp2879 +a(g826 +g1471 +tp2880 +a(g744 +Vtemplate +p2881 +tp2882 +a(g826 +g966 +tp2883 +a(g412 +g968 +tp2884 +a(g412 +g976 +tp2885 +a(g826 +V +p2886 +tp2887 +a(g744 +Vstruct +p2888 +tp2889 +a(g826 +g966 +tp2890 +a(g435 +Vtraits +p2891 +tp2892 +a(g412 +g968 +tp2893 +a(g435 +Vstd +p2894 +tp2895 +a(g412 +g1252 +tp2896 +a(g412 +g1252 +tp2897 +a(g435 +Vvector +p2898 +tp2899 +a(g412 +g968 +tp2900 +a(g748 +Vbool +p2901 +tp2902 +a(g705 +g1213 +tp2903 +a(g826 +g966 +tp2904 +a(g435 +V_Alloc +p2905 +tp2906 +a(g826 +g966 +tp2907 +a(g412 +g976 +tp2908 +a(g826 +g966 +tp2909 +a(g412 +g976 +tp2910 +a(g826 +g966 +tp2911 +a(g705 +g1168 +tp2912 +a(g826 +V\u000a +p2913 +tp2914 +a(g826 +V +p2915 +tp2916 +a(g744 +Vtypedef +p2917 +tp2918 +a(g826 +g966 +tp2919 +a(g435 +Vvalue_category +p2920 +tp2921 +a(g826 +g966 +tp2922 +a(g435 +Vcategory +p2923 +tp2924 +a(g705 +g1026 +tp2925 +a(g826 +V\u000a +p2926 +tp2927 +a(g826 +V +p2928 +tp2929 +a(g744 +Vstatic +p2930 +tp2931 +a(g826 +g966 +tp2932 +a(g744 +Vconst +p2933 +tp2934 +a(g826 +g966 +tp2935 +a(g748 +Vchar +p2936 +tp2937 +a(g412 +g1302 +tp2938 +a(g826 +g966 +tp2939 +a(g573 +Vtype_name +p2940 +tp2941 +a(g705 +g991 +tp2942 +a(g705 +g998 +tp2943 +a(g826 +g966 +tp2944 +a(g705 +g1168 +tp2945 +a(g826 +V\u000a +p2946 +tp2947 +a(g826 +V +p2948 +tp2949 +a(g744 +Vreturn +p2950 +tp2951 +a(g826 +g966 +tp2952 +a(g89 +g1424 +tp2953 +a(g89 +Vstd::vector +p2954 +tp2955 +a(g89 +g1424 +tp2956 +a(g705 +g1026 +tp2957 +a(g826 +V\u000a +p2958 +tp2959 +a(g826 +V +p2960 +tp2961 +a(g705 +g1182 +tp2962 +a(g826 +V\u000a +p2963 +tp2964 +a(g826 +g1471 +tp2965 +a(g705 +g1182 +tp2966 +a(g705 +g1026 +tp2967 +a(g826 +V\u000a +p2968 +tp2969 +a(g826 +V +p2970 +tp2971 +a(g705 +g1182 +tp2972 +a(g826 +V\u000a +p2973 +tp2974 +a(g826 +V +p2975 +tp2976 +a(g705 +g1182 +tp2977 +a(g826 +V\u000a +p2978 +tp2979 +a(g826 +V\u000a +p2980 +tp2981 +a(g826 +V +p2982 +tp2983 +a(g573 +V%typemap_traits_ptr +p2984 +tp2985 +a(g705 +g991 +tp2986 +a(g435 +VSWIG_TYPECHECK_VECTOR +p2987 +tp2988 +a(g705 +g1213 +tp2989 +a(g826 +g966 +tp2990 +a(g435 +Vstd +p2991 +tp2992 +a(g412 +g1252 +tp2993 +a(g412 +g1252 +tp2994 +a(g435 +Vvector +p2995 +tp2996 +a(g412 +g968 +tp2997 +a(g748 +Vbool +p2998 +tp2999 +a(g705 +g1213 +tp3000 +a(g826 +g966 +tp3001 +a(g435 +V_Alloc +p3002 +tp3003 +a(g826 +g966 +tp3004 +a(g412 +g976 +tp3005 +a(g705 +g998 +tp3006 +a(g705 +g1026 +tp3007 +a(g826 +V\u000a +p3008 +tp3009 +a(g826 +V\u000a +p3010 +tp3011 +a(g826 +V\u000a +p3012 +tp3013 +a(g898 +g1172 +tp3014 +a(g898 +Vifdef %swig_vector_methods_val +p3015 +tp3016 +a(g898 +V\u000a +p3017 +tp3018 +a(g826 +V +p3019 +tp3020 +a(g8 +V// Add swig/language extra methods\u000a +p3021 +tp3022 +a(g826 +V +p3023 +tp3024 +a(g573 +V%swig_vector_methods_val +p3025 +tp3026 +a(g705 +g991 +tp3027 +a(g435 +Vstd +p3028 +tp3029 +a(g412 +g1252 +tp3030 +a(g412 +g1252 +tp3031 +a(g435 +Vvector +p3032 +tp3033 +a(g412 +g968 +tp3034 +a(g748 +Vbool +p3035 +tp3036 +a(g705 +g1213 +tp3037 +a(g826 +g966 +tp3038 +a(g435 +V_Alloc +p3039 +tp3040 +a(g826 +g966 +tp3041 +a(g412 +g976 +tp3042 +a(g705 +g998 +tp3043 +a(g705 +g1026 +tp3044 +a(g826 +V\u000a +p3045 +tp3046 +a(g898 +g1172 +tp3047 +a(g898 +Vendif +p3048 +tp3049 +a(g898 +V\u000a +p3050 +tp3051 +a(g826 +V\u000a +p3052 +tp3053 +a(g826 +V +p3054 +tp3055 +a(g573 +V%std_vector_methods_val +p3056 +tp3057 +a(g705 +g991 +tp3058 +a(g435 +Vvector +p3059 +tp3060 +a(g705 +g998 +tp3061 +a(g705 +g1026 +tp3062 +a(g826 +V\u000a +p3063 +tp3064 +a(g826 +V\u000a +p3065 +tp3066 +a(g898 +g1172 +tp3067 +a(g898 +Vif defined(SWIG_STD_MODERN_STL) && !defined(SWIG_STD_NOMODERN_STL) +p3068 +tp3069 +a(g898 +V\u000a +p3070 +tp3071 +a(g826 +V +p3072 +tp3073 +a(g748 +Vvoid +p3074 +tp3075 +a(g826 +g966 +tp3076 +a(g573 +Vflip +p3077 +tp3078 +a(g705 +g991 +tp3079 +a(g705 +g998 +tp3080 +a(g705 +g1026 +tp3081 +a(g826 +V\u000a +p3082 +tp3083 +a(g898 +g1172 +tp3084 +a(g898 +Vendif +p3085 +tp3086 +a(g898 +V\u000a +p3087 +tp3088 +a(g826 +V\u000a +p3089 +tp3090 +a(g826 +V +p3091 +tp3092 +a(g705 +g1182 +tp3093 +a(g705 +g1026 +tp3094 +a(g826 +V\u000a +p3095 +tp3096 +a(g826 +V\u000a +p3097 +tp3098 +a(g705 +g1182 +tp3099 +a(g826 +V\u000a +p3100 +tp3101 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/tads3_example.t b/tests/examplefiles/output/tads3_example.t new file mode 100644 index 0000000..4bf61cb --- /dev/null +++ b/tests/examplefiles/output/tads3_example.t @@ -0,0 +1,43669 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#charset "utf-8"\u000a +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g8 +V#include \u000a +p960 +tp961 +a(g8 +V#include \u000a +p962 +tp963 +a(g827 +V\u000a +p964 +tp965 +a(g827 +V +p966 +tp967 +a(g794 +Vextern +p968 +tp969 +a(g827 +V +p970 +tp971 +a(g794 +Vfunction +p972 +tp973 +a(g827 +g970 +tp974 +a(g574 +Vextern_function +p975 +tp976 +a(g706 +V; +p977 +tp978 +a(g827 +V\u000a +p979 +tp980 +a(g827 +g966 +tp981 +a(g794 +Vextern +p982 +tp983 +a(g827 +g970 +tp984 +a(g794 +Vmethod +p985 +tp986 +a(g827 +g970 +tp987 +a(g574 +Vextern_method +p988 +tp989 +a(g706 +g977 +tp990 +a(g827 +V\u000a +p991 +tp992 +a(g827 +g966 +tp993 +a(g794 +Vextern +p994 +tp995 +a(g827 +g970 +tp996 +a(g794 +Vfunction +p997 +tp998 +a(g827 +g970 +tp999 +a(g827 +g966 +tp1000 +a(g574 +Vextern_function +p1001 +tp1002 +a(g706 +V( +p1003 +tp1004 +a(g441 +Va +p1005 +tp1006 +a(g706 +V, +p1007 +tp1008 +a(g827 +g970 +tp1009 +a(g441 +Vb +p1010 +tp1011 +a(g706 +V= +p1012 +tp1013 +a(g436 +g1005 +tp1014 +a(g827 +g966 +tp1015 +a(g706 +g1007 +tp1016 +a(g827 +g970 +tp1017 +a(g441 +Vc +p1018 +tp1019 +a(g706 +g1012 +tp1020 +a(g230 +V' +p1021 +tp1022 +a(g125 +V<< +p1023 +tp1024 +a(g436 +g1005 +tp1025 +a(g125 +V>> +p1026 +tp1027 +a(g230 +g1021 +tp1028 +a(g827 +g966 +tp1029 +a(g706 +g1007 +tp1030 +a(g827 +g970 +tp1031 +a(g441 +Vd +p1032 +tp1033 +a(g706 +V: +p1034 +tp1035 +a(g706 +g1007 +tp1036 +a(g827 +g970 +tp1037 +a(g441 +Ve +p1038 +tp1039 +a(g706 +g1034 +tp1040 +a(g706 +g1012 +tp1041 +a(g37 +V1 +p1042 +tp1043 +a(g827 +g966 +tp1044 +a(g706 +g1007 +tp1045 +a(g827 +g970 +tp1046 +a(g441 +Vf +p1047 +tp1048 +a(g706 +V? +p1049 +tp1050 +a(g706 +g1007 +tp1051 +a(g827 +g970 +tp1052 +a(g706 +V... +p1053 +tp1054 +a(g706 +V) +p1055 +tp1056 +a(g706 +g977 +tp1057 +a(g827 +V\u000a +p1058 +tp1059 +a(g827 +g966 +tp1060 +a(g794 +Vextern +p1061 +tp1062 +a(g827 +g970 +tp1063 +a(g794 +Vmethod +p1064 +tp1065 +a(g827 +g970 +tp1066 +a(g827 +g966 +tp1067 +a(g574 +Vextern_method +p1068 +tp1069 +a(g706 +g1003 +tp1070 +a(g441 +g1005 +tp1071 +a(g706 +g1007 +tp1072 +a(g827 +g970 +tp1073 +a(g441 +g1010 +tp1074 +a(g706 +g1012 +tp1075 +a(g436 +g1005 +tp1076 +a(g827 +g966 +tp1077 +a(g706 +g1007 +tp1078 +a(g827 +g970 +tp1079 +a(g441 +g1018 +tp1080 +a(g706 +g1012 +tp1081 +a(g230 +g1021 +tp1082 +a(g125 +V<< +p1083 +tp1084 +a(g436 +g1005 +tp1085 +a(g125 +V>> +p1086 +tp1087 +a(g230 +g1021 +tp1088 +a(g827 +g966 +tp1089 +a(g706 +g1007 +tp1090 +a(g827 +g970 +tp1091 +a(g441 +g1032 +tp1092 +a(g706 +g1034 +tp1093 +a(g706 +g1007 +tp1094 +a(g827 +g970 +tp1095 +a(g441 +g1038 +tp1096 +a(g706 +g1034 +tp1097 +a(g706 +g1012 +tp1098 +a(g37 +g1042 +tp1099 +a(g827 +g966 +tp1100 +a(g706 +g1007 +tp1101 +a(g827 +g970 +tp1102 +a(g441 +g1047 +tp1103 +a(g706 +g1049 +tp1104 +a(g706 +g1007 +tp1105 +a(g827 +g970 +tp1106 +a(g706 +V[ +p1107 +tp1108 +a(g441 +Vg +p1109 +tp1110 +a(g706 +V] +p1111 +tp1112 +a(g706 +g1055 +tp1113 +a(g706 +g977 +tp1114 +a(g706 +g977 +tp1115 +a(g827 +V\u000a +p1116 +tp1117 +a(g827 +g966 +tp1118 +a(g794 +Vextern +p1119 +tp1120 +a(g827 +g970 +tp1121 +a(g794 +Vclass +p1122 +tp1123 +a(g827 +g970 +tp1124 +a(g629 +Vextern_class +p1125 +tp1126 +a(g706 +g977 +tp1127 +a(g827 +V\u000a +p1128 +tp1129 +a(g827 +g966 +tp1130 +a(g794 +Vextern +p1131 +tp1132 +a(g827 +g970 +tp1133 +a(g794 +Vobject +p1134 +tp1135 +a(g827 +g970 +tp1136 +a(g629 +Vextern_object +p1137 +tp1138 +a(g706 +g977 +tp1139 +a(g827 +V\u000a +p1140 +tp1141 +a(g827 +g966 +tp1142 +a(g794 +Vintrinsic +p1143 +tp1144 +a(g827 +g970 +tp1145 +a(g230 +g1021 +tp1146 +a(g230 +Vt3vm +p1147 +tp1148 +a(g230 +g1021 +tp1149 +a(g827 +g970 +tp1150 +a(g706 +V{ +p1151 +tp1152 +a(g827 +g970 +tp1153 +a(g706 +V} +p1154 +tp1155 +a(g706 +g977 +tp1156 +a(g827 +V\u000a +p1157 +tp1158 +a(g8 +V#ifndef PropDefAny\u000a +p1159 +tp1160 +a(g827 +g966 +tp1161 +a(g794 +Vintrinsic +p1162 +tp1163 +a(g827 +g970 +tp1164 +a(g794 +Vclass +p1165 +tp1166 +a(g827 +g970 +tp1167 +a(g629 +VObject +p1168 +tp1169 +a(g827 +g970 +tp1170 +a(g230 +g1021 +tp1171 +a(g230 +Vroot-object/030004 +p1172 +tp1173 +a(g230 +g1021 +tp1174 +a(g827 +g970 +tp1175 +a(g706 +g1151 +tp1176 +a(g827 +g970 +tp1177 +a(g706 +g1154 +tp1178 +a(g706 +g977 +tp1179 +a(g827 +V\u000a +p1180 +tp1181 +a(g8 +V#endif\u000a +p1182 +tp1183 +a(g827 +g966 +tp1184 +a(g794 +Vobject +p1185 +tp1186 +a(g827 +g970 +tp1187 +a(g929 +V/**/ +p1188 +tp1189 +a(g929 +V/**/ +p1190 +tp1191 +a(g827 +g970 +tp1192 +a(g898 +V// /* \u005c\u005c\u000a#define Room Unthing +p1193 +tp1194 +a(g827 +V\u000a +p1195 +tp1196 +a(g827 +V +p1197 +tp1198 +a(g794 +Vtemplate +p1199 +tp1200 +a(g827 +g970 +tp1201 +a(g706 +g1107 +tp1202 +a(g441 +Vlst +p1203 +tp1204 +a(g706 +g1111 +tp1205 +a(g827 +g966 +tp1206 +a(g706 +g977 +tp1207 +a(g827 +V\u000a\u000a +p1208 +tp1209 +a(g929 +V/*\u000a * Quotations from "Le Roman de la Rose" are transcribed from MS. Douce 195,\u000a * owned by Bodleian Library, University of Oxford\u000a * (http://image.ox.ac.uk/show?collection=bodleian&manuscript=msdouce195).\u000a */ +p1210 +tp1211 +a(g827 +V\u000a\u000a +p1212 +tp1213 +a(g827 +g966 +tp1214 +a(g629 +VversionInfo +p1215 +tp1216 +a(g706 +g1034 +tp1217 +a(g827 +g970 +tp1218 +a(g629 +VGameID +p1219 +tp1220 +a(g827 +V\u000a +p1221 +tp1222 +a(g827 +V +p1223 +tp1224 +a(g441 +VIFID +p1225 +tp1226 +a(g827 +g970 +tp1227 +a(g706 +g1012 +tp1228 +a(g827 +g970 +tp1229 +a(g230 +g1021 +tp1230 +a(g230 +V17d8efc3-07da-4dde-a837-ff7c4e386a77 +p1231 +tp1232 +a(g230 +g1021 +tp1233 +a(g827 +V\u000a +p1234 +tp1235 +a(g827 +V +p1236 +tp1237 +a(g441 +Vname +p1238 +tp1239 +a(g827 +g970 +tp1240 +a(g706 +g1012 +tp1241 +a(g827 +g970 +tp1242 +a(g230 +g1021 +tp1243 +a(g230 +VPygmentalion +p1244 +tp1245 +a(g230 +g1021 +tp1246 +a(g827 +V\u000a +p1247 +tp1248 +a(g827 +V +p1249 +tp1250 +a(g441 +Vbyline +p1251 +tp1252 +a(g827 +g970 +tp1253 +a(g706 +g1012 +tp1254 +a(g827 +g970 +tp1255 +a(g230 +g1021 +tp1256 +a(g230 +Vby David Corbett +p1257 +tp1258 +a(g230 +g1021 +tp1259 +a(g827 +V\u000a +p1260 +tp1261 +a(g827 +V +p1262 +tp1263 +a(g441 +VhtmlByline +p1264 +tp1265 +a(g827 +g970 +tp1266 +a(g706 +g1012 +tp1267 +a(g827 +g970 +tp1268 +a(g230 +g1021 +tp1269 +a(g230 +Vby +p1270 +tp1271 +a(g558 +V +p1287 +tp1288 +a(g230 +VDavid\u000a Corbett +p1289 +tp1290 +a(g558 +V> +p1509 +tp1510 +a(g558 +V> +p1527 +tp1528 +a(g213 +V\u005cb +p1529 +tp1530 +a(g157 +g1278 +tp1531 +a(g706 +g966 +tp1532 +a(g706 +g977 +tp1533 +a(g827 +V\u000a +p1534 +tp1535 +a(g827 +V +p1536 +tp1537 +a(g706 +g1154 +tp1538 +a(g827 +V\u000a +p1539 +tp1540 +a(g706 +g977 +tp1541 +a(g827 +V\u000a\u000a +p1542 +tp1543 +a(g827 +g966 +tp1544 +a(g794 +Venum +p1545 +tp1546 +a(g827 +g970 +tp1547 +a(g745 +Vtoken +p1548 +tp1549 +a(g827 +g970 +tp1550 +a(g566 +Vtoken +p1551 +tp1552 +a(g706 +g1007 +tp1553 +a(g827 +g970 +tp1554 +a(g566 +VtokOp +p1555 +tp1556 +a(g706 +g1007 +tp1557 +a(g827 +g970 +tp1558 +a(g566 +Vtoken +p1559 +tp1560 +a(g706 +g977 +tp1561 +a(g827 +V\u000a\u000a +p1562 +tp1563 +a(g827 +g966 +tp1564 +a(g794 +Vmodify +p1565 +tp1566 +a(g827 +g970 +tp1567 +a(g827 +g966 +tp1568 +a(g629 +VcmdTokenizer +p1569 +tp1570 +a(g827 +V\u000a +p1571 +tp1572 +a(g827 +V +p1573 +tp1574 +a(g441 +Vrules_ +p1575 +tp1576 +a(g827 +g970 +tp1577 +a(g706 +g1012 +tp1578 +a(g827 +g970 +tp1579 +a(g794 +Vstatic +p1580 +tp1581 +a(g827 +V\u000a +p1582 +tp1583 +a(g827 +V +p1584 +tp1585 +a(g706 +g1107 +tp1586 +a(g827 +V\u000a +p1587 +tp1588 +a(g827 +V +p1589 +tp1590 +a(g706 +g1107 +tp1591 +a(g230 +g1021 +tp1592 +a(g230 +Vwhitespace +p1593 +tp1594 +a(g230 +g1021 +tp1595 +a(g706 +g1007 +tp1596 +a(g827 +g970 +tp1597 +a(g794 +Vnew +p1598 +tp1599 +a(g827 +g970 +tp1600 +a(g629 +VRexPattern +p1601 +tp1602 +a(g706 +g1003 +tp1603 +a(g230 +g1021 +tp1604 +a(g230 +V%s+ +p1605 +tp1606 +a(g230 +g1021 +tp1607 +a(g706 +g1055 +tp1608 +a(g706 +g1007 +tp1609 +a(g827 +g970 +tp1610 +a(g764 +Vnil +p1611 +tp1612 +a(g706 +g1007 +tp1613 +a(g827 +g970 +tp1614 +a(g413 +V& +p1615 +tp1616 +a(g436 +VtokCvtSkip +p1617 +tp1618 +a(g706 +g1007 +tp1619 +a(g827 +g970 +tp1620 +a(g764 +Vnil +p1621 +tp1622 +a(g706 +g1111 +tp1623 +a(g706 +g1007 +tp1624 +a(g827 +V\u000a +p1625 +tp1626 +a(g827 +V +p1627 +tp1628 +a(g706 +g1107 +tp1629 +a(g230 +g1021 +tp1630 +a(g230 +Vpunctuation +p1631 +tp1632 +a(g230 +g1021 +tp1633 +a(g706 +g1007 +tp1634 +a(g827 +g970 +tp1635 +a(g794 +Vnew +p1636 +tp1637 +a(g827 +g970 +tp1638 +a(g629 +VRexPattern +p1639 +tp1640 +a(g706 +g1003 +tp1641 +a(g230 +g1021 +tp1642 +a(g230 +V[.,;:?!] +p1643 +tp1644 +a(g230 +g1021 +tp1645 +a(g706 +g1055 +tp1646 +a(g706 +g1007 +tp1647 +a(g827 +g970 +tp1648 +a(g436 +VtokPunct +p1649 +tp1650 +a(g706 +g1007 +tp1651 +a(g827 +g970 +tp1652 +a(g764 +Vnil +p1653 +tp1654 +a(g706 +g1007 +tp1655 +a(g827 +g970 +tp1656 +a(g764 +Vnil +p1657 +tp1658 +a(g706 +g1111 +tp1659 +a(g706 +g1007 +tp1660 +a(g827 +V\u000a +p1661 +tp1662 +a(g827 +V +p1663 +tp1664 +a(g706 +g1107 +tp1665 +a(g230 +g1021 +tp1666 +a(g230 +Vspelled number +p1667 +tp1668 +a(g230 +g1021 +tp1669 +a(g706 +g1007 +tp1670 +a(g827 +V\u000a +p1671 +tp1672 +a(g827 +V +p1673 +tp1674 +a(g794 +Vnew +p1675 +tp1676 +a(g827 +g970 +tp1677 +a(g629 +VRexPattern +p1678 +tp1679 +a(g706 +g1003 +tp1680 +a(g230 +g1021 +tp1681 +a(g558 +V>>? +p1826 +tp1827 +a(g197 +g1021 +tp1828 +a(g706 +g1007 +tp1829 +a(g827 +g970 +tp1830 +a(g436 +VtokOp +p1831 +tp1832 +a(g706 +g1007 +tp1833 +a(g827 +g970 +tp1834 +a(g764 +Vnil +p1835 +tp1836 +a(g706 +g1007 +tp1837 +a(g827 +g970 +tp1838 +a(g764 +Vnil +p1839 +tp1840 +a(g706 +g1111 +tp1841 +a(g706 +g1007 +tp1842 +a(g827 +V\u000a +p1843 +tp1844 +a(g827 +V +p1845 +tp1846 +a(g706 +g1107 +tp1847 +a(g230 +g1021 +tp1848 +a(g230 +Vword +p1849 +tp1850 +a(g230 +g1021 +tp1851 +a(g706 +g1007 +tp1852 +a(g827 +g970 +tp1853 +a(g794 +Vnew +p1854 +tp1855 +a(g827 +g970 +tp1856 +a(g629 +VRexPattern +p1857 +tp1858 +a(g706 +g1003 +tp1859 +a(g230 +g1021 +tp1860 +a(g558 +V([` +p1901 +tp1902 +a(g197 +V\u005c +p1903 +tp1904 +a(g197 +g1021 +tp1905 +a(g197 +g1278 +tp1906 +a(g197 +V])(.*)%1(?!) +p1907 +tp1908 +a(g197 +V""" +p1909 +tp1910 +a(g706 +g1007 +tp1911 +a(g827 +V\u000a +p1912 +tp1913 +a(g827 +V +p1914 +tp1915 +a(g436 +VtokString +p1916 +tp1917 +a(g706 +g1007 +tp1918 +a(g827 +g970 +tp1919 +a(g764 +Vnil +p1920 +tp1921 +a(g706 +g1007 +tp1922 +a(g827 +g970 +tp1923 +a(g764 +Vnil +p1924 +tp1925 +a(g706 +g1111 +tp1926 +a(g706 +g1007 +tp1927 +a(g827 +V\u000a +p1928 +tp1929 +a(g827 +V +p1930 +tp1931 +a(g706 +g1107 +tp1932 +a(g230 +g1021 +tp1933 +a(g230 +Vstring back-quote +p1934 +tp1935 +a(g230 +g1021 +tp1936 +a(g706 +g1007 +tp1937 +a(g827 +g970 +tp1938 +a(g197 +VR" +p1939 +tp1940 +a(g197 +V`(.*)'(?!%w) +p1941 +tp1942 +a(g197 +g1278 +tp1943 +a(g706 +g1007 +tp1944 +a(g827 +g970 +tp1945 +a(g436 +VtokString +p1946 +tp1947 +a(g706 +g1007 +tp1948 +a(g827 +g970 +tp1949 +a(g764 +Vnil +p1950 +tp1951 +a(g706 +g1007 +tp1952 +a(g827 +g970 +tp1953 +a(g764 +Vnil +p1954 +tp1955 +a(g706 +g1111 +tp1956 +a(g706 +g1007 +tp1957 +a(g827 +V\u000a +p1958 +tp1959 +a(g827 +V +p1960 +tp1961 +a(g706 +g1107 +tp1962 +a(g230 +g1021 +tp1963 +a(g230 +Vstring curly single-quote +p1964 +tp1965 +a(g230 +g1021 +tp1966 +a(g706 +g1007 +tp1967 +a(g827 +g970 +tp1968 +a(g794 +Vnew +p1969 +tp1970 +a(g827 +g970 +tp1971 +a(g629 +VRexPattern +p1972 +tp1973 +a(g706 +g1003 +tp1974 +a(g230 +g1021 +tp1975 +a(g558 +V> +p2266 +tp2267 +a(g125 +V<< +p2268 +tp2269 +a(g436 +Vdesc_ +p2270 +tp2271 +a(g125 +V>> +p2272 +tp2273 +a(g125 +V<< +p2274 +tp2275 +a(g436 +Vafter_ +p2276 +tp2277 +a(g125 +V>> +p2278 +tp2279 +a(g157 +g1278 +tp2280 +a(g706 +g966 +tp2281 +a(g706 +g977 +tp2282 +a(g827 +V\u000a +p2283 +tp2284 +a(g827 +V +p2285 +tp2286 +a(g441 +Vbefore +p2287 +tp2288 +a(g827 +g970 +tp2289 +a(g706 +g1012 +tp2290 +a(g827 +g970 +tp2291 +a(g436 +Vbefore +p2292 +tp2293 +a(g827 +g970 +tp2294 +a(g413 +g1012 +tp2295 +a(g827 +g970 +tp2296 +a(g230 +g1021 +tp2297 +a(g230 +g1021 +tp2298 +a(g413 +g1007 +tp2299 +a(g827 +g970 +tp2300 +a(g436 +Vbefore_ +p2301 +tp2302 +a(g827 +V\u000a +p2303 +tp2304 +a(g827 +V +p2305 +tp2306 +a(g441 +Vafter +p2307 +tp2308 +a(g827 +g970 +tp2309 +a(g706 +g1012 +tp2310 +a(g827 +g970 +tp2311 +a(g706 +g1003 +tp2312 +a(g436 +Vafter +p2313 +tp2314 +a(g827 +g970 +tp2315 +a(g413 +g1012 +tp2316 +a(g827 +g970 +tp2317 +a(g230 +g1021 +tp2318 +a(g230 +g1021 +tp2319 +a(g413 +g1007 +tp2320 +a(g827 +g970 +tp2321 +a(g436 +Vafter_ +p2322 +tp2323 +a(g706 +g1055 +tp2324 +a(g827 +V\u000a +p2325 +tp2326 +a(g706 +g1154 +tp2327 +a(g827 +V\u000a\u000a +p2328 +tp2329 +a(g827 +g966 +tp2330 +a(g629 +VToken +p2331 +tp2332 +a(g827 +g970 +tp2333 +a(g794 +Vtemplate +p2334 +tp2335 +a(g827 +g970 +tp2336 +a(g794 +Vinherited +p2337 +tp2338 +a(g827 +g970 +tp2339 +a(g230 +g1021 +tp2340 +a(g230 +Vbefore_ +p2341 +tp2342 +a(g230 +g1021 +tp2343 +a(g827 +g970 +tp2344 +a(g230 +g1021 +tp2345 +a(g230 +Vafter_ +p2346 +tp2347 +a(g230 +g1021 +tp2348 +a(g827 +g970 +tp2349 +a(g230 +g1021 +tp2350 +a(g230 +Vdesc_ +p2351 +tp2352 +a(g230 +g1021 +tp2353 +a(g827 +g966 +tp2354 +a(g706 +g977 +tp2355 +a(g827 +V\u000a\u000a +p2356 +tp2357 +a(g8 +V#define DefineToken(name, before, after) name##Token: Token before after #@name\u000a +p2358 +tp2359 +a(g827 +V\u000a +p2360 +tp2361 +a(g574 +VDefineToken +p2362 +tp2363 +a(g706 +g1003 +tp2364 +a(g441 +Vbuiltin +p2365 +tp2366 +a(g706 +g1007 +tp2367 +a(g827 +g970 +tp2368 +a(g230 +g1021 +tp2369 +a(g558 +V +p2855 +tp2856 +a(g827 +g970 +tp2857 +a(g436 +VbuiltinToken +p2858 +tp2859 +a(g706 +g1007 +tp2860 +a(g827 +V\u000a +p2861 +tp2862 +a(g827 +V +p2863 +tp2864 +a(g230 +g1021 +tp2865 +a(g230 +Vcomment +p2866 +tp2867 +a(g230 +g1021 +tp2868 +a(g827 +g970 +tp2869 +a(g706 +V-> +p2870 +tp2871 +a(g827 +g970 +tp2872 +a(g436 +VcommentToken +p2873 +tp2874 +a(g706 +g1007 +tp2875 +a(g827 +V\u000a +p2876 +tp2877 +a(g827 +V +p2878 +tp2879 +a(g230 +g1021 +tp2880 +a(g230 +Vdecorator +p2881 +tp2882 +a(g230 +g1021 +tp2883 +a(g827 +g970 +tp2884 +a(g706 +V-> +p2885 +tp2886 +a(g827 +g970 +tp2887 +a(g436 +VdecoratorToken +p2888 +tp2889 +a(g706 +g1007 +tp2890 +a(g827 +V\u000a +p2891 +tp2892 +a(g827 +V +p2893 +tp2894 +a(g230 +g1021 +tp2895 +a(g230 +Verror +p2896 +tp2897 +a(g230 +g1021 +tp2898 +a(g827 +g970 +tp2899 +a(g706 +V-> +p2900 +tp2901 +a(g827 +g970 +tp2902 +a(g436 +VerrorToken +p2903 +tp2904 +a(g706 +g1007 +tp2905 +a(g827 +V\u000a +p2906 +tp2907 +a(g827 +V +p2908 +tp2909 +a(g230 +g1021 +tp2910 +a(g230 +Vescape +p2911 +tp2912 +a(g230 +g1021 +tp2913 +a(g827 +g970 +tp2914 +a(g706 +V-> +p2915 +tp2916 +a(g827 +g970 +tp2917 +a(g436 +VescapeToken +p2918 +tp2919 +a(g706 +g1007 +tp2920 +a(g827 +V\u000a +p2921 +tp2922 +a(g827 +V +p2923 +tp2924 +a(g230 +g1021 +tp2925 +a(g230 +Vfloat +p2926 +tp2927 +a(g230 +g1021 +tp2928 +a(g827 +g970 +tp2929 +a(g706 +V-> +p2930 +tp2931 +a(g827 +g970 +tp2932 +a(g436 +VfloatToken +p2933 +tp2934 +a(g706 +g1007 +tp2935 +a(g827 +V\u000a +p2936 +tp2937 +a(g827 +V +p2938 +tp2939 +a(g230 +g1021 +tp2940 +a(g230 +Vkeyword +p2941 +tp2942 +a(g230 +g1021 +tp2943 +a(g827 +g970 +tp2944 +a(g706 +V-> +p2945 +tp2946 +a(g827 +g970 +tp2947 +a(g436 +VkeywordToken +p2948 +tp2949 +a(g706 +g1007 +tp2950 +a(g827 +V\u000a +p2951 +tp2952 +a(g827 +V +p2953 +tp2954 +a(g230 +g1021 +tp2955 +a(g230 +Vlabel +p2956 +tp2957 +a(g230 +g1021 +tp2958 +a(g827 +g970 +tp2959 +a(g706 +V-> +p2960 +tp2961 +a(g827 +g970 +tp2962 +a(g436 +VlabelToken +p2963 +tp2964 +a(g706 +g1007 +tp2965 +a(g827 +V\u000a +p2966 +tp2967 +a(g827 +V +p2968 +tp2969 +a(g230 +g1021 +tp2970 +a(g230 +Vlong +p2971 +tp2972 +a(g230 +g1021 +tp2973 +a(g827 +g970 +tp2974 +a(g706 +V-> +p2975 +tp2976 +a(g827 +g970 +tp2977 +a(g436 +VlongToken +p2978 +tp2979 +a(g706 +g1007 +tp2980 +a(g827 +V\u000a +p2981 +tp2982 +a(g827 +V +p2983 +tp2984 +a(g230 +g1021 +tp2985 +a(g230 +Vname +p2986 +tp2987 +a(g230 +g1021 +tp2988 +a(g827 +g970 +tp2989 +a(g706 +V-> +p2990 +tp2991 +a(g827 +g970 +tp2992 +a(g436 +VnameToken +p2993 +tp2994 +a(g706 +g1007 +tp2995 +a(g827 +V\u000a +p2996 +tp2997 +a(g827 +V +p2998 +tp2999 +a(g230 +g1021 +tp3000 +a(g230 +Voperator +p3001 +tp3002 +a(g230 +g1021 +tp3003 +a(g827 +g970 +tp3004 +a(g706 +V-> +p3005 +tp3006 +a(g827 +g970 +tp3007 +a(g436 +VoperatorToken +p3008 +tp3009 +a(g706 +g1007 +tp3010 +a(g827 +V\u000a +p3011 +tp3012 +a(g827 +V +p3013 +tp3014 +a(g230 +g1021 +tp3015 +a(g230 +Vstring +p3016 +tp3017 +a(g230 +g1021 +tp3018 +a(g827 +g970 +tp3019 +a(g706 +V-> +p3020 +tp3021 +a(g827 +g970 +tp3022 +a(g436 +VstringToken +p3023 +tp3024 +a(g706 +g1007 +tp3025 +a(g827 +V\u000a +p3026 +tp3027 +a(g827 +V +p3028 +tp3029 +a(g230 +g1021 +tp3030 +a(g230 +Vwhite space +p3031 +tp3032 +a(g230 +g1021 +tp3033 +a(g827 +g970 +tp3034 +a(g706 +V-> +p3035 +tp3036 +a(g827 +g970 +tp3037 +a(g436 +VwhitespaceToken +p3038 +tp3039 +a(g706 +g1007 +tp3040 +a(g827 +V\u000a +p3041 +tp3042 +a(g827 +V +p3043 +tp3044 +a(g706 +g1867 +tp3045 +a(g827 +g970 +tp3046 +a(g706 +V-> +p3047 +tp3048 +a(g827 +g970 +tp3049 +a(g764 +Vnil +p3050 +tp3051 +a(g827 +V\u000a +p3052 +tp3053 +a(g827 +V +p3054 +tp3055 +a(g706 +g1111 +tp3056 +a(g706 +g1107 +tp3057 +a(g436 +VtokenString +p3058 +tp3059 +a(g413 +g1505 +tp3060 +a(g436 +VtoLower +p3061 +tp3062 +a(g706 +g1003 +tp3063 +a(g706 +g1055 +tp3064 +a(g706 +g1111 +tp3065 +a(g706 +g966 +tp3066 +a(g706 +g966 +tp3067 +a(g706 +g977 +tp3068 +a(g827 +V\u000a +p3069 +tp3070 +a(g827 +V +p3071 +tp3072 +a(g827 +g966 +tp3073 +a(g794 +Vif +p3074 +tp3075 +a(g827 +g970 +tp3076 +a(g706 +g1003 +tp3077 +a(g413 +V! +p3078 +tp3079 +a(g436 +Vtoken +p3080 +tp3081 +a(g706 +g1055 +tp3082 +a(g827 +V\u000a +p3083 +tp3084 +a(g827 +V +p3085 +tp3086 +a(g827 +g966 +tp3087 +a(g794 +Vreturn +p3088 +tp3089 +a(g827 +g970 +tp3090 +a(g436 +VtokenString +p3091 +tp3092 +a(g706 +g966 +tp3093 +a(g706 +g977 +tp3094 +a(g827 +V\u000a +p3095 +tp3096 +a(g827 +V +p3097 +tp3098 +a(g827 +g966 +tp3099 +a(g436 +Vtoken +p3100 +tp3101 +a(g413 +g1505 +tp3102 +a(g436 +VawardPointsOnce +p3103 +tp3104 +a(g706 +g1003 +tp3105 +a(g706 +g1055 +tp3106 +a(g706 +g966 +tp3107 +a(g706 +g977 +tp3108 +a(g827 +V\u000a +p3109 +tp3110 +a(g827 +V +p3111 +tp3112 +a(g827 +g966 +tp3113 +a(g794 +Vreturn +p3114 +tp3115 +a(g827 +g970 +tp3116 +a(g230 +g1021 +tp3117 +a(g125 +V<< +p3118 +tp3119 +a(g436 +Vtoken +p3120 +tp3121 +a(g413 +g1505 +tp3122 +a(g436 +Vbefore +p3123 +tp3124 +a(g125 +V>> +p3125 +tp3126 +a(g125 +V<< +p3127 +tp3128 +a(g436 +VtokenString +p3129 +tp3130 +a(g125 +V>> +p3131 +tp3132 +a(g125 +V<< +p3133 +tp3134 +a(g436 +Vtoken +p3135 +tp3136 +a(g413 +g1505 +tp3137 +a(g436 +Vafter +p3138 +tp3139 +a(g125 +V>> +p3140 +tp3141 +a(g230 +g1021 +tp3142 +a(g706 +g966 +tp3143 +a(g706 +g977 +tp3144 +a(g827 +V\u000a +p3145 +tp3146 +a(g706 +g1154 +tp3147 +a(g827 +V\u000a\u000a +p3148 +tp3149 +a(g827 +g966 +tp3150 +a(g745 +Vstring +p3151 +tp3152 +a(g827 +g970 +tp3153 +a(g929 +V/**/ +p3154 +tp3155 +a(g929 +V/**/ +p3156 +tp3157 +a(g827 +g970 +tp3158 +a(g898 +V// /* \u005c\u005c\u000a#define Room Unthing +p3159 +tp3160 +a(g827 +V\u000a +p3161 +tp3162 +a(g827 +V +p3163 +tp3164 +a(g794 +Vtemplate +p3165 +tp3166 +a(g827 +g970 +tp3167 +a(g125 +V<> +p3168 +tp3169 +a(g827 +g970 +tp3170 +a(g574 +VhighlightToken +p3171 +tp3172 +a(g706 +g977 +tp3173 +a(g827 +V\u000a\u000a +p3174 +tp3175 +a(g929 +V/* Grammar for materials */ +p3176 +tp3177 +a(g827 +V\u000a\u000a +p3178 +tp3179 +a(g827 +g966 +tp3180 +a(g794 +Vdictionary +p3181 +tp3182 +a(g827 +g970 +tp3183 +a(g794 +Vproperty +p3184 +tp3185 +a(g827 +g970 +tp3186 +a(g566 +Vmaterial +p3187 +tp3188 +a(g706 +g977 +tp3189 +a(g827 +V\u000a +p3190 +tp3191 +a(g827 +g966 +tp3192 +a(g794 +Vgrammar +p3193 +tp3194 +a(g827 +g970 +tp3195 +a(g629 +VadjWord +p3196 +tp3197 +a(g706 +g1003 +tp3198 +a(g237 +Vmaterial +p3199 +tp3200 +a(g706 +g1055 +tp3201 +a(g706 +g1034 +tp3202 +a(g827 +g970 +tp3203 +a(g706 +V< +p3204 +tp3205 +a(g566 +Vmaterial +p3206 +tp3207 +a(g827 +g970 +tp3208 +a(g566 +Vmaterial +p3209 +tp3210 +a(g706 +g1287 +tp3211 +a(g706 +V-> +p3212 +tp3213 +a(g566 +Vadj_ +p3214 +tp3215 +a(g827 +g970 +tp3216 +a(g706 +g1034 +tp3217 +a(g827 +g970 +tp3218 +a(g629 +VAdjPhraseWithVocab +p3219 +tp3220 +a(g827 +V\u000a +p3221 +tp3222 +a(g827 +V +p3223 +tp3224 +a(g574 +VgetVocabMatchList +p3225 +tp3226 +a(g706 +g1003 +tp3227 +a(g441 +Vresolver +p3228 +tp3229 +a(g706 +g1007 +tp3230 +a(g827 +g970 +tp3231 +a(g441 +Vresults +p3232 +tp3233 +a(g706 +g1007 +tp3234 +a(g827 +g970 +tp3235 +a(g441 +VextraFlags +p3236 +tp3237 +a(g706 +g1055 +tp3238 +a(g827 +V\u000a +p3239 +tp3240 +a(g827 +V +p3241 +tp3242 +a(g706 +g1151 +tp3243 +a(g827 +V\u000a +p3244 +tp3245 +a(g827 +V +p3246 +tp3247 +a(g827 +g966 +tp3248 +a(g794 +Vreturn +p3249 +tp3250 +a(g827 +g970 +tp3251 +a(g436 +VgetWordMatches +p3252 +tp3253 +a(g706 +g1003 +tp3254 +a(g436 +Vadj_ +p3255 +tp3256 +a(g706 +g1007 +tp3257 +a(g827 +g970 +tp3258 +a(g413 +g1615 +tp3259 +a(g436 +Vmaterial +p3260 +tp3261 +a(g706 +g1007 +tp3262 +a(g827 +g970 +tp3263 +a(g436 +Vresolver +p3264 +tp3265 +a(g706 +g1007 +tp3266 +a(g827 +g970 +tp3267 +a(g436 +VextraFlags +p3268 +tp3269 +a(g706 +g1007 +tp3270 +a(g827 +V\u000a +p3271 +tp3272 +a(g827 +V +p3273 +tp3274 +a(g436 +VVocabTruncated +p3275 +tp3276 +a(g706 +g1055 +tp3277 +a(g706 +g966 +tp3278 +a(g706 +g977 +tp3279 +a(g827 +V\u000a +p3280 +tp3281 +a(g827 +V +p3282 +tp3283 +a(g706 +g1154 +tp3284 +a(g827 +V\u000a +p3285 +tp3286 +a(g827 +V +p3287 +tp3288 +a(g574 +VgetAdjustedTokens +p3289 +tp3290 +a(g706 +g1003 +tp3291 +a(g706 +g1055 +tp3292 +a(g827 +V\u000a +p3293 +tp3294 +a(g827 +V +p3295 +tp3296 +a(g706 +g1151 +tp3297 +a(g827 +V\u000a +p3298 +tp3299 +a(g827 +V +p3300 +tp3301 +a(g827 +g966 +tp3302 +a(g794 +Vreturn +p3303 +tp3304 +a(g827 +g970 +tp3305 +a(g706 +g1107 +tp3306 +a(g436 +Vadj_ +p3307 +tp3308 +a(g706 +g1007 +tp3309 +a(g827 +g970 +tp3310 +a(g413 +g1615 +tp3311 +a(g436 +Vmaterial +p3312 +tp3313 +a(g706 +g1111 +tp3314 +a(g706 +g966 +tp3315 +a(g706 +g977 +tp3316 +a(g827 +V\u000a +p3317 +tp3318 +a(g827 +V +p3319 +tp3320 +a(g706 +g1154 +tp3321 +a(g827 +V\u000a +p3322 +tp3323 +a(g706 +g977 +tp3324 +a(g827 +V\u000a\u000a +p3325 +tp3326 +a(g929 +V/* Rooms and objects */ +p3327 +tp3328 +a(g827 +V\u000a\u000a +p3329 +tp3330 +a(g706 +g1692 +tp3331 +a(g827 +g970 +tp3332 +a(g827 +g966 +tp3333 +a(g794 +Vproperty +p3334 +tp3335 +a(g827 +g970 +tp3336 +a(g566 +Vlocation +p3337 +tp3338 +a(g706 +g977 +tp3339 +a(g827 +V\u000a\u000a +p3340 +tp3341 +a(g827 +g966 +tp3342 +a(g629 +Ventrance +p3343 +tp3344 +a(g706 +g1034 +tp3345 +a(g827 +g970 +tp3346 +a(g629 +VRoom +p3347 +tp3348 +a(g827 +g970 +tp3349 +a(g230 +g1021 +tp3350 +a(g230 +VEntrance +p3351 +tp3352 +a(g230 +g1021 +tp3353 +a(g827 +V\u000a +p3354 +tp3355 +a(g827 +V +p3356 +tp3357 +a(g157 +g1278 +tp3358 +a(g157 +VYou are in the entrance to your studio. This is where you carve great\u000a works of art, not that you have felt like making any lately. A door leads\u000a outside, and the studio itself is to the north and the east. +p3359 +tp3360 +a(g157 +g1278 +tp3361 +a(g827 +V\u000a +p3362 +tp3363 +a(g827 +V +p3364 +tp3365 +a(g441 +Vnorth +p3366 +tp3367 +a(g827 +g970 +tp3368 +a(g706 +g1012 +tp3369 +a(g827 +g970 +tp3370 +a(g436 +VworkbenchRoom +p3371 +tp3372 +a(g827 +V\u000a +p3373 +tp3374 +a(g827 +V +p3375 +tp3376 +a(g441 +Vnortheast +p3377 +tp3378 +a(g827 +g970 +tp3379 +a(g706 +g1012 +tp3380 +a(g827 +g970 +tp3381 +a(g436 +VsinkRoom +p3382 +tp3383 +a(g827 +V\u000a +p3384 +tp3385 +a(g827 +V +p3386 +tp3387 +a(g441 +Veast +p3388 +tp3389 +a(g827 +g970 +tp3390 +a(g706 +g1012 +tp3391 +a(g827 +g970 +tp3392 +a(g436 +ValtarRoom +p3393 +tp3394 +a(g827 +V\u000a +p3395 +tp3396 +a(g827 +V +p3397 +tp3398 +a(g441 +Vsouth +p3399 +tp3400 +a(g827 +g970 +tp3401 +a(g706 +g1012 +tp3402 +a(g827 +g970 +tp3403 +a(g436 +Vdoor +p3404 +tp3405 +a(g827 +V\u000a +p3406 +tp3407 +a(g827 +V +p3408 +tp3409 +a(g441 +Vout +p3410 +tp3411 +a(g827 +g970 +tp3412 +a(g436 +VasExit +p3413 +tp3414 +a(g706 +g1003 +tp3415 +a(g436 +Vsouth +p3416 +tp3417 +a(g706 +g1055 +tp3418 +a(g827 +V\u000a +p3419 +tp3420 +a(g706 +g966 +tp3421 +a(g706 +g977 +tp3422 +a(g827 +V\u000a\u000a +p3423 +tp3424 +a(g706 +g1692 +tp3425 +a(g827 +g970 +tp3426 +a(g827 +g966 +tp3427 +a(g629 +Vdoor +p3428 +tp3429 +a(g706 +g1034 +tp3430 +a(g827 +g970 +tp3431 +a(g629 +VLockableWithKey +p3432 +tp3433 +a(g706 +g1007 +tp3434 +a(g827 +g970 +tp3435 +a(g629 +VDoor +p3436 +tp3437 +a(g827 +g970 +tp3438 +a(g230 +g1021 +tp3439 +a(g230 +Vdoor +p3440 +tp3441 +a(g230 +g1021 +tp3442 +a(g827 +g970 +tp3443 +a(g230 +g1021 +tp3444 +a(g230 +Vdoor +p3445 +tp3446 +a(g230 +g1021 +tp3447 +a(g827 +V\u000a +p3448 +tp3449 +a(g827 +V +p3450 +tp3451 +a(g157 +g1278 +tp3452 +a(g157 +VIt is a simple wooden door. +p3453 +tp3454 +a(g157 +g1278 +tp3455 +a(g827 +V\u000a +p3456 +tp3457 +a(g827 +V +p3458 +tp3459 +a(g441 +Vmaterial +p3460 +tp3461 +a(g827 +g970 +tp3462 +a(g706 +g1012 +tp3463 +a(g827 +g970 +tp3464 +a(g230 +g1021 +tp3465 +a(g230 +Vwood +p3466 +tp3467 +a(g230 +g1021 +tp3468 +a(g827 +g970 +tp3469 +a(g230 +g1021 +tp3470 +a(g230 +Vwooden +p3471 +tp3472 +a(g230 +g1021 +tp3473 +a(g827 +V\u000a +p3474 +tp3475 +a(g827 +V +p3476 +tp3477 +a(g441 +VkeyList +p3478 +tp3479 +a(g827 +g970 +tp3480 +a(g706 +g1012 +tp3481 +a(g827 +g970 +tp3482 +a(g706 +g1107 +tp3483 +a(g436 +Vkey +p3484 +tp3485 +a(g706 +g1111 +tp3486 +a(g827 +V\u000a +p3487 +tp3488 +a(g827 +V +p3489 +tp3490 +a(g441 +VcannotOpenLockedMsg +p3491 +tp3492 +a(g827 +g970 +tp3493 +a(g706 +g1012 +tp3494 +a(g827 +g970 +tp3495 +a(g230 +g1021 +tp3496 +a(g125 +V{The dobj/He} +p3497 +tp3498 +a(g230 +g970 +tp3499 +a(g125 +V{is} +p3500 +tp3501 +a(g230 +V locked. You cannot\u000a +p3502 +tp3503 +a(g125 +V<< +p3504 +tp3505 +a(g436 +Vhighlight +p3506 +tp3507 +a(g827 +g970 +tp3508 +a(g827 +g966 +tp3509 +a(g230 +g1021 +tp3510 +a(g230 +Vescape +p3511 +tp3512 +a(g230 +g1021 +tp3513 +a(g125 +V>> +p3514 +tp3515 +a(g230 +V! +p3516 +tp3517 +a(g230 +g1021 +tp3518 +a(g827 +V\u000a +p3519 +tp3520 +a(g706 +g966 +tp3521 +a(g706 +g977 +tp3522 +a(g827 +V\u000a\u000a +p3523 +tp3524 +a(g827 +g966 +tp3525 +a(g629 +Vkey +p3526 +tp3527 +a(g706 +g1034 +tp3528 +a(g827 +g970 +tp3529 +a(g629 +VPresentLater +p3530 +tp3531 +a(g706 +g1007 +tp3532 +a(g827 +g970 +tp3533 +a(g629 +VKey +p3534 +tp3535 +a(g827 +g970 +tp3536 +a(g230 +g1021 +tp3537 +a(g230 +Vkey +p3538 +tp3539 +a(g230 +g1021 +tp3540 +a(g827 +g970 +tp3541 +a(g230 +g1021 +tp3542 +a(g230 +Vkey +p3543 +tp3544 +a(g230 +g1021 +tp3545 +a(g827 +g970 +tp3546 +a(g706 +V@ +p3547 +tp3548 +a(g436 +Valtar +p3549 +tp3550 +a(g827 +V\u000a +p3551 +tp3552 +a(g827 +V +p3553 +tp3554 +a(g157 +g1278 +tp3555 +a(g157 +VIt is a +p3556 +tp3557 +a(g125 +V<> +p3563 +tp3564 +a(g157 +Vgrimy +p3565 +tp3566 +a(g125 +V<> +p3567 +tp3568 +a(g157 +V bronze key. +p3569 +tp3570 +a(g125 +V<> +p3576 +tp3577 +a(g157 +VOn it is +p3578 +tp3579 +a(g213 +V\u005c\u000a +p3580 +tp3581 +a(g157 +V etched the word +p3582 +tp3583 +a(g558 +V> +p3591 +tp3592 +a(g558 +V> +p4116 +tp4117 +a(g157 +Vn untitled +p4118 +tp4119 +a(g125 +V<> +p4120 +tp4121 +a(g157 +V statue of a woman\u000a carved from +p4122 +tp4123 +a(g125 +V<> +p4132 +tp4133 +a(g157 +Vflawless +p4134 +tp4135 +a(g125 +V<> +p4136 +tp4137 +a(g157 +V\u000a +p4138 +tp4139 +a(g125 +V<> +p4148 +tp4149 +a(g157 +Vmilk-white +p4150 +tp4151 +a(g125 +V<> +p4152 +tp4153 +a(g157 +Vivory.\u000a +p4154 +tp4155 +a(g125 +V<> +p4173 +tp4174 +a(g157 +VHer\u000a +p4175 +tp4176 +a(g125 +V<> +p4185 +tp4186 +a(g157 +Vlong +p4187 +tp4188 +a(g125 +V<> +p4189 +tp4190 +a(g157 +Vhair is done up in a\u000a chignon +p4191 +tp4192 +a(g125 +V<> +p4201 +tp4202 +a(g157 +V, with a few strands falling down her\u000a neck +p4203 +tp4204 +a(g125 +V<> +p4205 +tp4206 +a(g125 +V<> +p4215 +tp4216 +a(g157 +V, and +p4217 +tp4218 +a(g213 +V\u005cv +p4219 +tp4220 +a(g125 +V<> +p4221 +tp4222 +a(g157 +g1505 +tp4223 +a(g125 +V<> +p4224 +tp4225 +a(g125 +V<> +p4226 +tp4227 +a(g157 +V\u000a +p4228 +tp4229 +a(g125 +V<> +p4238 +tp4239 +a(g157 +VShe radiates an aura of contrapposto grace.\u000a +p4240 +tp4241 +a(g125 +V<> +p4242 +tp4243 +a(g125 +V<> +p4252 +tp4253 +a(g213 +V\u005cb +p4254 +tp4255 +a(g157 +VYou wonder what she\u000a +p4256 +tp4257 +a(g125 +V<> +p4266 +tp4267 +a(g157 +Vis going to +p4268 +tp4269 +a(g125 +V<> +p4270 +tp4271 +a(g157 +Vwill +p4272 +tp4273 +a(g125 +V<> +p4274 +tp4275 +a(g157 +V be like as a\u000a woman.\u000a +p4276 +tp4277 +a(g125 +V<> +p4286 +tp4287 +a(g157 +VMaybe she +p4288 +tp4289 +a(g504 +V’ +p4290 +tp4291 +a(g157 +Vll be a painter and expand\u000a your business. +p4292 +tp4293 +a(g125 +V<> +p4294 +tp4295 +a(g157 +V\u000a +p4296 +tp4297 +a(g125 +V<> +p4306 +tp4307 +a(g157 +VMaybe she +p4308 +tp4309 +a(g504 +V’ +p4310 +tp4311 +a(g157 +Vll have a head for figures\u000a and will put the accounts in order. +p4312 +tp4313 +a(g125 +V<> +p4314 +tp4315 +a(g157 +V\u000a +p4316 +tp4317 +a(g125 +V<> +p4326 +tp4327 +a(g157 +VShe +p4328 +tp4329 +a(g504 +V’ +p4330 +tp4331 +a(g157 +Vll love you, obviously, but beyond\u000a that you don +p4332 +tp4333 +a(g504 +V’ +p4334 +tp4335 +a(g157 +Vt know. +p4336 +tp4337 +a(g125 +V<> +p4338 +tp4339 +a(g125 +V<> +p4340 +tp4341 +a(g157 +V\u000a +p4342 +tp4343 +a(g125 +V<> +p4352 +tp4353 +a(g157 +VIf only Aphrodite would bring her to life\u000a without this silly puzzle about tokens and mirrors! +p4354 +tp4355 +a(g125 +V<> +p4356 +tp4357 +a(g157 +g970 +tp4358 +a(g157 +g1278 +tp4359 +a(g827 +V\u000a +p4360 +tp4361 +a(g827 +V +p4362 +tp4363 +a(g441 +Vmaterial +p4364 +tp4365 +a(g827 +g970 +tp4366 +a(g706 +g1012 +tp4367 +a(g827 +g970 +tp4368 +a(g230 +g1021 +tp4369 +a(g230 +Vivory +p4370 +tp4371 +a(g230 +g1021 +tp4372 +a(g827 +V\u000a +p4373 +tp4374 +a(g827 +V +p4375 +tp4376 +a(g794 +Vpropertyset +p4377 +tp4378 +a(g827 +g970 +tp4379 +a(g230 +g1021 +tp4380 +a(g230 +Vis* +p4381 +tp4382 +a(g230 +g1021 +tp4383 +a(g827 +V\u000a +p4384 +tp4385 +a(g827 +V +p4386 +tp4387 +a(g706 +g1151 +tp4388 +a(g827 +V\u000a +p4389 +tp4390 +a(g827 +V +p4391 +tp4392 +a(g794 +Vpropertyset +p4393 +tp4394 +a(g827 +g970 +tp4395 +a(g230 +g1021 +tp4396 +a(g230 +VH* +p4397 +tp4398 +a(g230 +g1021 +tp4399 +a(g827 +V\u000a +p4400 +tp4401 +a(g827 +V +p4402 +tp4403 +a(g706 +g1151 +tp4404 +a(g827 +V\u000a +p4405 +tp4406 +a(g827 +V +p4407 +tp4408 +a(g441 +Vim +p4409 +tp4410 +a(g827 +g970 +tp4411 +a(g706 +g1012 +tp4412 +a(g827 +g970 +tp4413 +a(g764 +Vnil +p4414 +tp4415 +a(g827 +V\u005c\u000a +p4416 +tp4417 +a(g441 +Ver +p4418 +tp4419 +a(g827 +g970 +tp4420 +a(g706 +g1012 +tp4421 +a(g827 +g970 +tp4422 +a(g764 +Vtrue +p4423 +tp4424 +a(g706 +g966 +tp4425 +a(g706 +g977 +tp4426 +a(g827 +V\u000a +p4427 +tp4428 +a(g827 +V +p4429 +tp4430 +a(g706 +g1154 +tp4431 +a(g827 +V\u000a +p4432 +tp4433 +a(g827 +V +p4434 +tp4435 +a(g441 +VIt +p4436 +tp4437 +a(g827 +g970 +tp4438 +a(g706 +g1012 +tp4439 +a(g827 +g970 +tp4440 +a(g764 +Vtrue +p4441 +tp4442 +a(g827 +V\u000a +p4443 +tp4444 +a(g827 +V +p4445 +tp4446 +a(g706 +g1154 +tp4447 +a(g827 +V\u000a +p4448 +tp4449 +a(g827 +V +p4450 +tp4451 +a(g574 +ViobjFor +p4452 +tp4453 +a(g706 +g1003 +tp4454 +a(g441 +VPutOn +p4455 +tp4456 +a(g706 +g1055 +tp4457 +a(g827 +V\u000a +p4458 +tp4459 +a(g827 +V +p4460 +tp4461 +a(g706 +g1151 +tp4462 +a(g827 +V\u000a +p4463 +tp4464 +a(g827 +V +p4465 +tp4466 +a(g827 +g966 +tp4467 +a(g436 +Vcheck +p4468 +tp4469 +a(g827 +V\u000a +p4470 +tp4471 +a(g827 +V +p4472 +tp4473 +a(g706 +g1151 +tp4474 +a(g827 +V\u000a +p4475 +tp4476 +a(g827 +V +p4477 +tp4478 +a(g827 +g966 +tp4479 +a(g794 +Vif +p4480 +tp4481 +a(g827 +g970 +tp4482 +a(g706 +g1003 +tp4483 +a(g436 +VgDobj +p4484 +tp4485 +a(g827 +g970 +tp4486 +a(g427 +Vnot +p4487 +tp4488 +a(g827 +g970 +tp4489 +a(g929 +V/**/ +p4490 +tp4491 +a(g929 +V/**/ +p4492 +tp4493 +a(g827 +g970 +tp4494 +a(g898 +V// /* \u005c\u005c\u000a#define Room Unthing +p4495 +tp4496 +a(g827 +V\u000a +p4497 +tp4498 +a(g827 +V +p4499 +tp4500 +a(g427 +Vin +p4501 +tp4502 +a(g827 +g970 +tp4503 +a(g706 +g1003 +tp4504 +a(g436 +Vnecklace +p4505 +tp4506 +a(g706 +g1007 +tp4507 +a(g827 +g970 +tp4508 +a(g427 +V__objref +p4509 +tp4510 +a(g413 +g1003 +tp4511 +a(g436 +Vnecklace +p4512 +tp4513 +a(g706 +g1007 +tp4514 +a(g827 +g970 +tp4515 +a(g745 +Vwarn +p4516 +tp4517 +a(g413 +g1055 +tp4518 +a(g706 +g1055 +tp4519 +a(g706 +g1055 +tp4520 +a(g827 +V\u000a +p4521 +tp4522 +a(g827 +V +p4523 +tp4524 +a(g827 +g966 +tp4525 +a(g157 +g1278 +tp4526 +a(g157 +VHow rude! You don +p4527 +tp4528 +a(g504 +V’ +p4529 +tp4530 +a(g157 +Vt know what you were thinking. +p4531 +tp4532 +a(g157 +g1278 +tp4533 +a(g706 +g966 +tp4534 +a(g706 +g977 +tp4535 +a(g827 +V\u000a +p4536 +tp4537 +a(g827 +V +p4538 +tp4539 +a(g706 +g1154 +tp4540 +a(g827 +V\u000a +p4541 +tp4542 +a(g827 +V +p4543 +tp4544 +a(g706 +g1154 +tp4545 +a(g827 +V\u000a +p4546 +tp4547 +a(g827 +V +p4548 +tp4549 +a(g574 +ViobjFor +p4550 +tp4551 +a(g706 +g1003 +tp4552 +a(g441 +VGiveTo +p4553 +tp4554 +a(g706 +g1055 +tp4555 +a(g827 +g970 +tp4556 +a(g574 +VremapTo +p4557 +tp4558 +a(g706 +g1003 +tp4559 +a(g441 +VPutOn +p4560 +tp4561 +a(g706 +g1007 +tp4562 +a(g827 +g970 +tp4563 +a(g441 +VDirectObject +p4564 +tp4565 +a(g706 +g1007 +tp4566 +a(g827 +g970 +tp4567 +a(g441 +VIndirectObject +p4568 +tp4569 +a(g706 +g1055 +tp4570 +a(g827 +V\u000a +p4571 +tp4572 +a(g706 +g977 +tp4573 +a(g827 +V\u000a\u000a +p4574 +tp4575 +a(g706 +V+++ +p4576 +tp4577 +a(g827 +g970 +tp4578 +a(g827 +g966 +tp4579 +a(g629 +Vnecklace +p4580 +tp4581 +a(g706 +g1034 +tp4582 +a(g827 +g970 +tp4583 +a(g629 +VWearable +p4584 +tp4585 +a(g827 +V\u000a +p4586 +tp4587 +a(g827 +V +p4588 +tp4589 +a(g230 +g1021 +tp4590 +a(g230 +Vpearl necklace/string pearls +p4591 +tp4592 +a(g230 +g1021 +tp4593 +a(g827 +g970 +tp4594 +a(g230 +g1021 +tp4595 +a(g125 +V<< +p4596 +tp4597 +a(g436 +Vhighlight +p4598 +tp4599 +a(g827 +g970 +tp4600 +a(g827 +g966 +tp4601 +a(g230 +g1021 +tp4602 +a(g230 +Vstring +p4603 +tp4604 +a(g230 +g1021 +tp4605 +a(g125 +V>> +p4606 +tp4607 +a(g230 +V of pearls +p4608 +tp4609 +a(g230 +g1021 +tp4610 +a(g827 +V\u000a +p4611 +tp4612 +a(g827 +V +p4613 +tp4614 +a(g157 +g1278 +tp4615 +a(g157 +VThis is a masterfully crafted pearl necklace. You hope the statue\u000a won +p4616 +tp4617 +a(g504 +V’ +p4618 +tp4619 +a(g157 +Vt mind if you hold onto it for a while. +p4620 +tp4621 +a(g157 +g1278 +tp4622 +a(g827 +V\u000a +p4623 +tp4624 +a(g827 +V +p4625 +tp4626 +a(g441 +VinitDesc +p4627 +tp4628 +a(g827 +g970 +tp4629 +a(g706 +g1012 +tp4630 +a(g827 +g970 +tp4631 +a(g157 +g1278 +tp4632 +a(g157 +VYou gave the statue this pearl necklace yesterday. +p4633 +tp4634 +a(g157 +g1278 +tp4635 +a(g827 +V\u000a +p4636 +tp4637 +a(g827 +V +p4638 +tp4639 +a(g441 +VisPlural +p4640 +tp4641 +a(g827 +g970 +tp4642 +a(g706 +g1012 +tp4643 +a(g827 +g970 +tp4644 +a(g764 +Vtrue +p4645 +tp4646 +a(g827 +V\u000a +p4647 +tp4648 +a(g706 +g966 +tp4649 +a(g706 +g977 +tp4650 +a(g827 +V\u000a\u000a +p4651 +tp4652 +a(g827 +g966 +tp4653 +a(g629 +ValtarRoom +p4654 +tp4655 +a(g706 +g1034 +tp4656 +a(g827 +g970 +tp4657 +a(g629 +VRoom +p4658 +tp4659 +a(g827 +g970 +tp4660 +a(g230 +g1021 +tp4661 +a(g230 +VAt the Altar +p4662 +tp4663 +a(g230 +g1021 +tp4664 +a(g827 +V\u000a +p4665 +tp4666 +a(g827 +V +p4667 +tp4668 +a(g157 +g1278 +tp4669 +a(g157 +VLight from the window illuminates a crude altar. Until recently, this\u000a corner was your bedroom. The rest of the studio lies north and west. +p4670 +tp4671 +a(g157 +g1278 +tp4672 +a(g827 +V\u000a +p4673 +tp4674 +a(g827 +V +p4675 +tp4676 +a(g441 +Vnorth +p4677 +tp4678 +a(g827 +g970 +tp4679 +a(g706 +g1012 +tp4680 +a(g827 +g970 +tp4681 +a(g436 +VsinkRoom +p4682 +tp4683 +a(g827 +V\u000a +p4684 +tp4685 +a(g827 +V +p4686 +tp4687 +a(g441 +Vnorthwest +p4688 +tp4689 +a(g827 +g970 +tp4690 +a(g706 +g1012 +tp4691 +a(g827 +g970 +tp4692 +a(g436 +VworkbenchRoom +p4693 +tp4694 +a(g827 +V\u000a +p4695 +tp4696 +a(g827 +V +p4697 +tp4698 +a(g441 +Vwest +p4699 +tp4700 +a(g827 +g970 +tp4701 +a(g706 +g1012 +tp4702 +a(g827 +g970 +tp4703 +a(g436 +Ventrance +p4704 +tp4705 +a(g827 +V\u000a +p4706 +tp4707 +a(g827 +V +p4708 +tp4709 +a(g574 +VgetDestName +p4710 +tp4711 +a(g706 +g1003 +tp4712 +a(g441 +Vactor +p4713 +tp4714 +a(g706 +g1007 +tp4715 +a(g827 +g970 +tp4716 +a(g441 +Vorigin +p4717 +tp4718 +a(g706 +g1055 +tp4719 +a(g827 +g970 +tp4720 +a(g706 +g1151 +tp4721 +a(g827 +g970 +tp4722 +a(g827 +g966 +tp4723 +a(g794 +Vreturn +p4724 +tp4725 +a(g827 +g970 +tp4726 +a(g230 +g1021 +tp4727 +a(g230 +Vthe altar +p4728 +tp4729 +a(g230 +g1021 +tp4730 +a(g706 +g966 +tp4731 +a(g706 +g977 +tp4732 +a(g827 +g970 +tp4733 +a(g706 +g1154 +tp4734 +a(g827 +V\u000a +p4735 +tp4736 +a(g706 +g977 +tp4737 +a(g827 +V\u000a\u000a +p4738 +tp4739 +a(g706 +g1692 +tp4740 +a(g827 +g970 +tp4741 +a(g827 +g966 +tp4742 +a(g629 +Vwindow +p4743 +tp4744 +a(g706 +g1034 +tp4745 +a(g827 +g970 +tp4746 +a(g629 +VFixture +p4747 +tp4748 +a(g827 +g970 +tp4749 +a(g230 +g1021 +tp4750 +a(g230 +Vwindow +p4751 +tp4752 +a(g230 +g1021 +tp4753 +a(g827 +g970 +tp4754 +a(g230 +g1021 +tp4755 +a(g230 +Vwindow +p4756 +tp4757 +a(g230 +g1021 +tp4758 +a(g827 +V\u000a +p4759 +tp4760 +a(g827 +V +p4761 +tp4762 +a(g157 +g1278 +tp4763 +a(g157 +VIt +p4764 +tp4765 +a(g504 +V’ +p4766 +tp4767 +a(g157 +Vs just a window above the altar. +p4768 +tp4769 +a(g125 +V<> +p4770 +tp4771 +a(g157 +VThe space under the\u000a window is blank; as an interior +p4772 +tp4773 +a(g125 +V<< +p4774 +tp4775 +a(g436 +Vhighlight +p4776 +tp4777 +a(g827 +g970 +tp4778 +a(g827 +g966 +tp4779 +a(g230 +g1021 +tp4780 +a(g230 +Vdecorator +p4781 +tp4782 +a(g230 +g1021 +tp4783 +a(g125 +V>> +p4784 +tp4785 +a(g157 +V, you can +p4786 +tp4787 +a(g504 +V’ +p4788 +tp4789 +a(g157 +Vt\u000a help but think the wall would benefit from a bas-relief, but +p4790 +tp4791 +a(g504 +V– +p4792 +tp4793 +a(g157 +V\u000a +p4794 +tp4795 +a(g558 +V> +p4809 +tp4810 +a(g157 +VThe\u000a wall right below it is a boring +p4811 +tp4812 +a(g125 +V<< +p4813 +tp4814 +a(g436 +Vhighlight +p4815 +tp4816 +a(g827 +g970 +tp4817 +a(g827 +g966 +tp4818 +a(g230 +g1021 +tp4819 +a(g230 +Vwhite space +p4820 +tp4821 +a(g230 +g1021 +tp4822 +a(g125 +V>> +p4823 +tp4824 +a(g157 +V. +p4825 +tp4826 +a(g125 +V<> +p4827 +tp4828 +a(g157 +g1278 +tp4829 +a(g827 +V\u000a +p4830 +tp4831 +a(g706 +g977 +tp4832 +a(g827 +V\u000a\u000a +p4833 +tp4834 +a(g706 +g1692 +tp4835 +a(g827 +g970 +tp4836 +a(g827 +g966 +tp4837 +a(g629 +Valtar +p4838 +tp4839 +a(g706 +g1034 +tp4840 +a(g827 +g970 +tp4841 +a(g629 +VFixture +p4842 +tp4843 +a(g706 +g1007 +tp4844 +a(g827 +g970 +tp4845 +a(g629 +VSurface +p4846 +tp4847 +a(g827 +g970 +tp4848 +a(g230 +g1021 +tp4849 +a(g230 +Vcrude rough altar/banker/slab +p4850 +tp4851 +a(g230 +g1021 +tp4852 +a(g827 +g970 +tp4853 +a(g230 +g1021 +tp4854 +a(g230 +Valtar +p4855 +tp4856 +a(g230 +g1021 +tp4857 +a(g827 +V\u000a +p4858 +tp4859 +a(g827 +V +p4860 +tp4861 +a(g157 +g1278 +tp4862 +a(g157 +VA rough marble slab lies on a wooden banker. In your rush to construct an\u000a altar, you neglected the usual surface finish and friezes, but you pray at\u000a it anyway. You are sure the gods will understand. +p4863 +tp4864 +a(g157 +g1278 +tp4865 +a(g827 +V\u000a +p4866 +tp4867 +a(g827 +V +p4868 +tp4869 +a(g441 +Vmaterial +p4870 +tp4871 +a(g827 +g970 +tp4872 +a(g706 +g1012 +tp4873 +a(g827 +g970 +tp4874 +a(g230 +g1021 +tp4875 +a(g230 +Vmarble +p4876 +tp4877 +a(g230 +g1021 +tp4878 +a(g827 +g970 +tp4879 +a(g230 +g1021 +tp4880 +a(g230 +Vwood +p4881 +tp4882 +a(g230 +g1021 +tp4883 +a(g827 +g970 +tp4884 +a(g230 +g1021 +tp4885 +a(g230 +Vwooden +p4886 +tp4887 +a(g230 +g1021 +tp4888 +a(g827 +V\u000a +p4889 +tp4890 +a(g827 +V +p4891 +tp4892 +a(g441 +VbulkCapacity +p4893 +tp4894 +a(g827 +g970 +tp4895 +a(g706 +g1012 +tp4896 +a(g827 +g970 +tp4897 +a(g37 +g1042 +tp4898 +a(g827 +V\u000a +p4899 +tp4900 +a(g827 +V +p4901 +tp4902 +a(g574 +VdobjFor +p4903 +tp4904 +a(g706 +g1003 +tp4905 +a(g441 +VPrayAt +p4906 +tp4907 +a(g706 +g1055 +tp4908 +a(g827 +V\u000a +p4909 +tp4910 +a(g827 +V +p4911 +tp4912 +a(g706 +g1151 +tp4913 +a(g827 +V\u000a +p4914 +tp4915 +a(g827 +V +p4916 +tp4917 +a(g827 +g966 +tp4918 +a(g436 +Vverify +p4919 +tp4920 +a(g827 +g970 +tp4921 +a(g706 +g1151 +tp4922 +a(g827 +g970 +tp4923 +a(g706 +g1154 +tp4924 +a(g827 +V\u000a +p4925 +tp4926 +a(g827 +V +p4927 +tp4928 +a(g827 +g966 +tp4929 +a(g436 +Vaction +p4930 +tp4931 +a(g706 +g1003 +tp4932 +a(g706 +g1055 +tp4933 +a(g827 +V\u000a +p4934 +tp4935 +a(g827 +V +p4936 +tp4937 +a(g706 +g1151 +tp4938 +a(g827 +V\u000a +p4939 +tp4940 +a(g827 +V +p4941 +tp4942 +a(g929 +V/*\u000a * Biaulx dieux di\u017ft il tout ce poez.\u000a * Sil vo\ua770 plai\u017ft ma reque\u017fte oez\u000a * [...]\u000a * Et la belle q\u0307 mon cue\u1de3 emble\u000a * Qui \u017fi bien yuoy\ua75be re\u017f\u017femble.\u000a * Deuiengne ma loyal amye\u000a * De f\u1ebdme ait co\ua75bps ame et vie\u000a * (MS. Douce 195, fol. 151r)\u000a */ +p4943 +tp4944 +a(g827 +V\u000a +p4945 +tp4946 +a(g827 +V +p4947 +tp4948 +a(g827 +g966 +tp4949 +a(g794 +Vlocal +p4950 +tp4951 +a(g827 +g970 +tp4952 +a(g441 +Voffering +p4953 +tp4954 +a(g706 +g966 +tp4955 +a(g706 +g966 +tp4956 +a(g706 +g977 +tp4957 +a(g827 +V\u000a +p4958 +tp4959 +a(g827 +V +p4960 +tp4961 +a(g827 +g966 +tp4962 +a(g794 +Vforeach +p4963 +tp4964 +a(g827 +g970 +tp4965 +a(g706 +g1003 +tp4966 +a(g436 +Voffering +p4967 +tp4968 +a(g827 +g970 +tp4969 +a(g745 +Vin +p4970 +tp4971 +a(g827 +g970 +tp4972 +a(g436 +Vcontents +p4973 +tp4974 +a(g706 +g1055 +tp4975 +a(g706 +g966 +tp4976 +a(g706 +g966 +tp4977 +a(g706 +g977 +tp4978 +a(g827 +V\u000a +p4979 +tp4980 +a(g827 +V +p4981 +tp4982 +a(g827 +g966 +tp4983 +a(g794 +Vif +p4984 +tp4985 +a(g827 +g970 +tp4986 +a(g706 +g1003 +tp4987 +a(g413 +g3078 +tp4988 +a(g436 +VkeywordToken +p4989 +tp4990 +a(g413 +g1505 +tp4991 +a(g436 +VscoreCount +p4992 +tp4993 +a(g706 +g1055 +tp4994 +a(g827 +V\u000a +p4995 +tp4996 +a(g827 +V +p4997 +tp4998 +a(g827 +g966 +tp4999 +a(g157 +g1278 +tp5000 +a(g125 +V<> +p5001 +tp5002 +a(g558 +V> +p5056 +tp5057 +a(g157 +V of life. Speak this word in the\u000a presence of a mirror, and I will grant your request. +p5058 +tp5059 +a(g558 +V> +p5076 +tp5077 +a(g125 +V<> +p5078 +tp5079 +a(g157 +g1278 +tp5080 +a(g706 +g966 +tp5081 +a(g706 +g977 +tp5082 +a(g827 +V\u000a +p5083 +tp5084 +a(g827 +V +p5085 +tp5086 +a(g827 +g966 +tp5087 +a(g794 +Velse +p5088 +tp5089 +a(g827 +g970 +tp5090 +a(g827 +g966 +tp5091 +a(g794 +Vif +p5092 +tp5093 +a(g827 +g970 +tp5094 +a(g706 +g1003 +tp5095 +a(g436 +Vkey +p5096 +tp5097 +a(g413 +g1505 +tp5098 +a(g436 +Vlocation +p5099 +tp5100 +a(g706 +g1055 +tp5101 +a(g827 +V\u000a +p5102 +tp5103 +a(g827 +V +p5104 +tp5105 +a(g827 +g966 +tp5106 +a(g157 +g1278 +tp5107 +a(g558 +V> +p5130 +tp5131 +a(g558 +V> +p5153 +tp5154 +a(g558 +V> +p5172 +tp5173 +a(g157 +g970 +tp5174 +a(g157 +g1278 +tp5175 +a(g706 +g966 +tp5176 +a(g706 +g977 +tp5177 +a(g827 +V\u000a +p5178 +tp5179 +a(g827 +V +p5180 +tp5181 +a(g827 +g966 +tp5182 +a(g794 +Velse +p5183 +tp5184 +a(g827 +g970 +tp5185 +a(g827 +g966 +tp5186 +a(g794 +Vif +p5187 +tp5188 +a(g827 +g970 +tp5189 +a(g706 +g1003 +tp5190 +a(g436 +Voffering +p5191 +tp5192 +a(g827 +g970 +tp5193 +a(g413 +V== +p5194 +tp5195 +a(g827 +g970 +tp5196 +a(g436 +Vnecklace +p5197 +tp5198 +a(g706 +g1055 +tp5199 +a(g827 +V\u000a +p5200 +tp5201 +a(g827 +V +p5202 +tp5203 +a(g706 +g1151 +tp5204 +a(g827 +V\u000a +p5205 +tp5206 +a(g827 +V +p5207 +tp5208 +a(g827 +g966 +tp5209 +a(g157 +g1278 +tp5210 +a(g157 +VAphrodite reappears. +p5211 +tp5212 +a(g558 +V> +p5293 +tp5294 +a(g157 +V\u000a skeptically. +p5295 +tp5296 +a(g558 +V> +p5300 +tp5301 +a(g157 +VNo +p5302 +tp5303 +a(g125 +V<< +p5304 +tp5305 +a(g436 +Vhighlight +p5306 +tp5307 +a(g827 +g970 +tp5308 +a(g827 +g966 +tp5309 +a(g230 +g1021 +tp5310 +a(g230 +Vcomment +p5311 +tp5312 +a(g230 +g1021 +tp5313 +a(g125 +V>> +p5314 +tp5315 +a(g157 +g1505 +tp5316 +a(g125 +V<> +p5317 +tp5318 +a(g157 +VYou\u000a call +p5319 +tp5320 +a(g558 +V> +p5331 +tp5332 +a(g213 +V\u005c^ +p5333 +tp5334 +a(g125 +V<< +p5335 +tp5336 +a(g436 +Voffering +p5337 +tp5338 +a(g413 +g1505 +tp5339 +a(g436 +VaNameObj +p5340 +tp5341 +a(g125 +V>> +p5342 +tp5343 +a(g157 +V?\u000a Really? +p5344 +tp5345 +a(g125 +V<> +p5346 +tp5347 +a(g157 +VCome on, mortal, it +p5348 +tp5349 +a(g504 +V’ +p5350 +tp5351 +a(g157 +Vs not that\u000a difficult! +p5352 +tp5353 +a(g125 +V<> +p5354 +tp5355 +a(g558 +V> +p5479 +tp5480 +a(g230 +VYou can only pray to a god.\u000a +p5481 +tp5482 +a(g125 +V<> +p5483 +tp5484 +a(g230 +VYou need an altar to interact with a god. +p5485 +tp5486 +a(g230 +g1021 +tp5487 +a(g827 +V\u000a +p5488 +tp5489 +a(g827 +V +p5490 +tp5491 +a(g441 +Vlocation +p5492 +tp5493 +a(g827 +g970 +tp5494 +a(g706 +g1012 +tp5495 +a(g827 +g970 +tp5496 +a(g706 +g1003 +tp5497 +a(g436 +VgPlayerChar +p5498 +tp5499 +a(g706 +g1055 +tp5500 +a(g827 +V\u000a +p5501 +tp5502 +a(g827 +V +p5503 +tp5504 +a(g441 +VisProperName +p5505 +tp5506 +a(g827 +g970 +tp5507 +a(g706 +g1012 +tp5508 +a(g827 +g970 +tp5509 +a(g764 +Vtrue +p5510 +tp5511 +a(g827 +V\u000a +p5512 +tp5513 +a(g827 +V +p5514 +tp5515 +a(g441 +VisHer +p5516 +tp5517 +a(g827 +g970 +tp5518 +a(g706 +g1012 +tp5519 +a(g827 +g970 +tp5520 +a(g764 +Vtrue +p5521 +tp5522 +a(g827 +V\u000a +p5523 +tp5524 +a(g827 +V +p5525 +tp5526 +a(g574 +ViobjFor +p5527 +tp5528 +a(g706 +g1003 +tp5529 +a(g441 +VGiveTo +p5530 +tp5531 +a(g706 +g1055 +tp5532 +a(g827 +V\u000a +p5533 +tp5534 +a(g827 +V +p5535 +tp5536 +a(g706 +g1151 +tp5537 +a(g827 +V\u000a +p5538 +tp5539 +a(g827 +V +p5540 +tp5541 +a(g827 +g966 +tp5542 +a(g436 +Vverify +p5543 +tp5544 +a(g827 +V\u000a +p5545 +tp5546 +a(g827 +V +p5547 +tp5548 +a(g706 +g1151 +tp5549 +a(g827 +V\u000a +p5550 +tp5551 +a(g827 +V +p5552 +tp5553 +a(g827 +g966 +tp5554 +a(g436 +Villogical +p5555 +tp5556 +a(g706 +g1003 +tp5557 +a(g230 +g1021 +tp5558 +a(g230 +VShe isn +p5559 +tp5560 +a(g504 +V’ +p5561 +tp5562 +a(g230 +Vt here. You +p5563 +tp5564 +a(g504 +V’ +p5565 +tp5566 +a(g230 +Vll have to leave +p5567 +tp5568 +a(g125 +V{the\u000a dobj/him} +p5569 +tp5570 +a(g230 +V somewhere she can find it. +p5571 +tp5572 +a(g230 +g1021 +tp5573 +a(g706 +g1055 +tp5574 +a(g706 +g966 +tp5575 +a(g706 +g977 +tp5576 +a(g827 +V\u000a +p5577 +tp5578 +a(g827 +V +p5579 +tp5580 +a(g706 +g1154 +tp5581 +a(g827 +V\u000a +p5582 +tp5583 +a(g827 +V +p5584 +tp5585 +a(g706 +g1154 +tp5586 +a(g827 +V\u000a +p5587 +tp5588 +a(g827 +V +p5589 +tp5590 +a(g574 +VdobjFor +p5591 +tp5592 +a(g706 +g1003 +tp5593 +a(g441 +VPrayAt +p5594 +tp5595 +a(g706 +g1055 +tp5596 +a(g827 +g970 +tp5597 +a(g574 +VmaybeRemapTo +p5598 +tp5599 +a(g706 +g1003 +tp5600 +a(g441 +VgActor +p5601 +tp5602 +a(g413 +g1505 +tp5603 +a(g436 +VcanSee +p5604 +tp5605 +a(g706 +g1003 +tp5606 +a(g436 +Valtar +p5607 +tp5608 +a(g706 +g1055 +tp5609 +a(g706 +g1007 +tp5610 +a(g827 +g970 +tp5611 +a(g441 +VPrayAt +p5612 +tp5613 +a(g706 +g1007 +tp5614 +a(g827 +g970 +tp5615 +a(g441 +Valtar +p5616 +tp5617 +a(g706 +g1055 +tp5618 +a(g827 +V\u000a +p5619 +tp5620 +a(g706 +g977 +tp5621 +a(g827 +V\u000a\u000a +p5622 +tp5623 +a(g827 +g966 +tp5624 +a(g629 +VsinkRoom +p5625 +tp5626 +a(g706 +g1034 +tp5627 +a(g827 +g970 +tp5628 +a(g629 +VRoom +p5629 +tp5630 +a(g827 +g970 +tp5631 +a(g230 +g1021 +tp5632 +a(g230 +VWashroom +p5633 +tp5634 +a(g230 +g1021 +tp5635 +a(g827 +V\u000a +p5636 +tp5637 +a(g827 +V +p5638 +tp5639 +a(g157 +g1278 +tp5640 +a(g157 +VSculpting marble is a dusty business. You use this sink to clean off after\u000a a hard day +p5641 +tp5642 +a(g504 +V’ +p5643 +tp5644 +a(g157 +Vs work. Beside the sink is a small end table, and on the\u000a wall is a calculator. The rest of the studio is south and west. +p5645 +tp5646 +a(g157 +g1278 +tp5647 +a(g827 +V\u000a +p5648 +tp5649 +a(g827 +V +p5650 +tp5651 +a(g441 +Vsouth +p5652 +tp5653 +a(g827 +g970 +tp5654 +a(g706 +g1012 +tp5655 +a(g827 +g970 +tp5656 +a(g436 +ValtarRoom +p5657 +tp5658 +a(g827 +V\u000a +p5659 +tp5660 +a(g827 +V +p5661 +tp5662 +a(g441 +Vsouthwest +p5663 +tp5664 +a(g827 +g970 +tp5665 +a(g706 +g1012 +tp5666 +a(g827 +g970 +tp5667 +a(g436 +Ventrance +p5668 +tp5669 +a(g827 +V\u000a +p5670 +tp5671 +a(g827 +V +p5672 +tp5673 +a(g441 +Vwest +p5674 +tp5675 +a(g827 +g970 +tp5676 +a(g706 +g1012 +tp5677 +a(g827 +g970 +tp5678 +a(g436 +VworkbenchRoom +p5679 +tp5680 +a(g827 +V\u000a +p5681 +tp5682 +a(g706 +g966 +tp5683 +a(g706 +g977 +tp5684 +a(g827 +V\u000a\u000a +p5685 +tp5686 +a(g827 +g966 +tp5687 +a(g794 +Vproperty +p5688 +tp5689 +a(g827 +g970 +tp5690 +a(g566 +Vlevel +p5691 +tp5692 +a(g706 +g1007 +tp5693 +a(g827 +g970 +tp5694 +a(g566 +Voverflowing +p5695 +tp5696 +a(g706 +g977 +tp5697 +a(g827 +V\u000a +p5698 +tp5699 +a(g827 +g966 +tp5700 +a(g794 +Vexport +p5701 +tp5702 +a(g827 +g970 +tp5703 +a(g436 +Voverflowing +p5704 +tp5705 +a(g706 +g977 +tp5706 +a(g827 +V\u000a +p5707 +tp5708 +a(g827 +g966 +tp5709 +a(g794 +Vexport +p5710 +tp5711 +a(g827 +g970 +tp5712 +a(g436 +Vlevel +p5713 +tp5714 +a(g827 +g970 +tp5715 +a(g827 +g966 +tp5716 +a(g230 +g1021 +tp5717 +a(g230 +VwaterLevel +p5718 +tp5719 +a(g230 +g1021 +tp5720 +a(g706 +g977 +tp5721 +a(g827 +V\u000a +p5722 +tp5723 +a(g706 +g1692 +tp5724 +a(g827 +g970 +tp5725 +a(g827 +g966 +tp5726 +a(g629 +Vsink +p5727 +tp5728 +a(g706 +g1034 +tp5729 +a(g827 +g970 +tp5730 +a(g629 +VFixture +p5731 +tp5732 +a(g827 +g970 +tp5733 +a(g230 +g1021 +tp5734 +a(g230 +V(auto) (mop) auto-sink/autosink/bowl/drain/faucet/sink +p5735 +tp5736 +a(g230 +g1021 +tp5737 +a(g827 +g970 +tp5738 +a(g230 +g1021 +tp5739 +a(g230 +Vsink +p5740 +tp5741 +a(g230 +g1021 +tp5742 +a(g827 +V\u000a +p5743 +tp5744 +a(g827 +V +p5745 +tp5746 +a(g157 +g1278 +tp5747 +a(g157 +VThis is a state-of-the-art mop sink with anti-miasmic coating and bronze\u000a backsplash. It is so modern, there are no handles or other obvious ways to\u000a turn it on. +p5748 +tp5749 +a(g213 +V\u005cb +p5750 +tp5751 +a(g157 +V\u000a +p5752 +tp5753 +a(g125 +V<> +p5759 +tp5760 +a(g157 +VIt is overflowing.\u000a +p5761 +tp5762 +a(g125 +V<> +p5773 +tp5774 +a(g157 +VIt is full to the brim with water.\u000a +p5775 +tp5776 +a(g125 +V<= +p5783 +tp5784 +a(g827 +g970 +tp5785 +a(g37 +V15000 +p5786 +tp5787 +a(g125 +V>> +p5788 +tp5789 +a(g157 +VIt is full of water.\u000a +p5790 +tp5791 +a(g125 +V<> +p5802 +tp5803 +a(g157 +VIt is half full of water.\u000a +p5804 +tp5805 +a(g125 +V<= +p5812 +tp5813 +a(g827 +g970 +tp5814 +a(g37 +V2000 +p5815 +tp5816 +a(g125 +V>> +p5817 +tp5818 +a(g157 +VThere is some water in the sink.\u000a +p5819 +tp5820 +a(g125 +V<> +p5831 +tp5832 +a(g157 +VA small puddle has formed at the bottom of the sink.\u000a +p5833 +tp5834 +a(g125 +V<> +p5835 +tp5836 +a(g157 +VIt is empty.\u000a +p5837 +tp5838 +a(g125 +V<> +p5852 +tp5853 +a(g157 +VIt looks like it hasn +p5854 +tp5855 +a(g504 +V’ +p5856 +tp5857 +a(g157 +Vt been used in a\u000a +p5858 +tp5859 +a(g125 +V<< +p5860 +tp5861 +a(g436 +Vhighlight +p5862 +tp5863 +a(g827 +g970 +tp5864 +a(g827 +g966 +tp5865 +a(g230 +g1021 +tp5866 +a(g230 +Vlong +p5867 +tp5868 +a(g230 +g1021 +tp5869 +a(g125 +V>> +p5870 +tp5871 +a(g157 +V time. +p5872 +tp5873 +a(g157 +g1278 +tp5874 +a(g827 +V\u000a +p5875 +tp5876 +a(g827 +V +p5877 +tp5878 +a(g441 +Vlevel +p5879 +tp5880 +a(g827 +g970 +tp5881 +a(g706 +g1012 +tp5882 +a(g827 +g970 +tp5883 +a(g436 +Vnot +p5884 +tp5885 +a(g827 +g970 +tp5886 +a(g574 +Vin +p5887 +tp5888 +a(g827 +g970 +tp5889 +a(g706 +g1003 +tp5890 +a(g706 +g1107 +tp5891 +a(g441 +Vlst +p5892 +tp5893 +a(g706 +g1111 +tp5894 +a(g706 +g1055 +tp5895 +a(g827 +g970 +tp5896 +a(g706 +g1151 +tp5897 +a(g827 +g970 +tp5898 +a(g827 +g966 +tp5899 +a(g794 +Vreturn +p5900 +tp5901 +a(g827 +g970 +tp5902 +a(g682 +Vargcount +p5903 +tp5904 +a(g706 +g966 +tp5905 +a(g706 +g977 +tp5906 +a(g827 +g970 +tp5907 +a(g706 +g1154 +tp5908 +a(g827 +V\u000a +p5909 +tp5910 +a(g827 +V +p5911 +tp5912 +a(g441 +Vnot +p5913 +tp5914 +a(g827 +g970 +tp5915 +a(g706 +g1012 +tp5916 +a(g827 +g970 +tp5917 +a(g436 +Vin +p5918 +tp5919 +a(g706 +g1003 +tp5920 +a(g706 +g1055 +tp5921 +a(g827 +V\u000a +p5922 +tp5923 +a(g827 +V +p5924 +tp5925 +a(g441 +Voverflowing +p5926 +tp5927 +a(g827 +g970 +tp5928 +a(g706 +g1012 +tp5929 +a(g827 +g970 +tp5930 +a(g764 +Vnil +p5931 +tp5932 +a(g827 +V\u000a +p5933 +tp5934 +a(g827 +V +p5935 +tp5936 +a(g441 +Vcurrent +p5937 +tp5938 +a(g827 +g970 +tp5939 +a(g706 +g1012 +tp5940 +a(g827 +g970 +tp5941 +a(g694 +Vself +p5942 +tp5943 +a(g827 +V\u000a +p5944 +tp5945 +a(g827 +V +p5946 +tp5947 +a(g574 +VsetLevel +p5948 +tp5949 +a(g706 +g1003 +tp5950 +a(g441 +Vlevel +p5951 +tp5952 +a(g706 +g1034 +tp5953 +a(g706 +g1055 +tp5954 +a(g827 +V\u000a +p5955 +tp5956 +a(g827 +V +p5957 +tp5958 +a(g706 +g1151 +tp5959 +a(g827 +V\u000a +p5960 +tp5961 +a(g827 +V +p5962 +tp5963 +a(g827 +g966 +tp5964 +a(g682 +Vtargetobj +p5965 +tp5966 +a(g413 +g1505 +tp5967 +a(g436 +Vcurrent +p5968 +tp5969 +a(g413 +g1505 +tp5970 +a(g436 +Voverflowing +p5971 +tp5972 +a(g827 +g970 +tp5973 +a(g413 +g1012 +tp5974 +a(g827 +g970 +tp5975 +a(g436 +Vlevel +p5976 +tp5977 +a(g827 +g970 +tp5978 +a(g413 +V== +p5979 +tp5980 +a(g827 +g970 +tp5981 +a(g764 +Vnil +p5982 +tp5983 +a(g706 +g966 +tp5984 +a(g706 +g977 +tp5985 +a(g827 +V\u000a +p5986 +tp5987 +a(g827 +V +p5988 +tp5989 +a(g827 +g966 +tp5990 +a(g682 +Vtargetobj +p5991 +tp5992 +a(g413 +g1505 +tp5993 +a(g436 +Vcurrent +p5994 +tp5995 +a(g413 +g1505 +tp5996 +a(g436 +Vlevel +p5997 +tp5998 +a(g827 +g970 +tp5999 +a(g413 +g1012 +tp6000 +a(g827 +g970 +tp6001 +a(g436 +Vmin +p6002 +tp6003 +a(g706 +g1003 +tp6004 +a(g436 +Vlevel +p6005 +tp6006 +a(g827 +g970 +tp6007 +a(g413 +V?? +p6008 +tp6009 +a(g827 +g970 +tp6010 +a(g37 +g5829 +tp6011 +a(g706 +g1007 +tp6012 +a(g827 +g970 +tp6013 +a(g37 +V20000 +p6014 +tp6015 +a(g706 +g1055 +tp6016 +a(g706 +g966 +tp6017 +a(g706 +g977 +tp6018 +a(g827 +V\u000a +p6019 +tp6020 +a(g827 +V +p6021 +tp6022 +a(g827 +g966 +tp6023 +a(g794 +Vif +p6024 +tp6025 +a(g827 +g970 +tp6026 +a(g706 +g1003 +tp6027 +a(g436 +Vsink +p6028 +tp6029 +a(g413 +g1505 +tp6030 +a(g436 +Voverflowing +p6031 +tp6032 +a(g827 +g970 +tp6033 +a(g413 +V|| +p6034 +tp6035 +a(g827 +g970 +tp6036 +a(g436 +Vsink +p6037 +tp6038 +a(g413 +g1505 +tp6039 +a(g436 +Vlevel +p6040 +tp6041 +a(g827 +g970 +tp6042 +a(g413 +g1287 +tp6043 +a(g827 +g970 +tp6044 +a(g315 +V0e+1 +p6045 +tp6046 +a(g706 +g1055 +tp6047 +a(g827 +V\u000a +p6048 +tp6049 +a(g827 +V +p6050 +tp6051 +a(g827 +g966 +tp6052 +a(g436 +VsinkWater +p6053 +tp6054 +a(g413 +g1505 +tp6055 +a(g436 +VmakePresent +p6056 +tp6057 +a(g706 +g1003 +tp6058 +a(g706 +g1055 +tp6059 +a(g706 +g966 +tp6060 +a(g706 +g977 +tp6061 +a(g827 +V\u000a +p6062 +tp6063 +a(g827 +V +p6064 +tp6065 +a(g827 +g966 +tp6066 +a(g794 +Vif +p6067 +tp6068 +a(g827 +g970 +tp6069 +a(g706 +g1003 +tp6070 +a(g436 +Vbasin +p6071 +tp6072 +a(g413 +g1505 +tp6073 +a(g436 +Voverflowing +p6074 +tp6075 +a(g827 +g970 +tp6076 +a(g413 +V|| +p6077 +tp6078 +a(g827 +g970 +tp6079 +a(g436 +Vbasin +p6080 +tp6081 +a(g413 +g1505 +tp6082 +a(g436 +Vlevel +p6083 +tp6084 +a(g827 +g970 +tp6085 +a(g413 +g1287 +tp6086 +a(g827 +g970 +tp6087 +a(g315 +V0e-1 +p6088 +tp6089 +a(g706 +g1055 +tp6090 +a(g827 +V\u000a +p6091 +tp6092 +a(g827 +V +p6093 +tp6094 +a(g827 +g966 +tp6095 +a(g436 +VbasinWater +p6096 +tp6097 +a(g413 +g1505 +tp6098 +a(g436 +VmakePresent +p6099 +tp6100 +a(g706 +g1003 +tp6101 +a(g706 +g1055 +tp6102 +a(g706 +g966 +tp6103 +a(g706 +g977 +tp6104 +a(g827 +V\u000a +p6105 +tp6106 +a(g827 +V +p6107 +tp6108 +a(g706 +g1154 +tp6109 +a(g827 +V\u000a +p6110 +tp6111 +a(g827 +V +p6112 +tp6113 +a(g574 +ViobjFor +p6114 +tp6115 +a(g706 +g1003 +tp6116 +a(g441 +VCleanWith +p6117 +tp6118 +a(g706 +g1055 +tp6119 +a(g827 +g970 +tp6120 +a(g574 +VremapTo +p6121 +tp6122 +a(g706 +g1003 +tp6123 +a(g441 +VCleanWith +p6124 +tp6125 +a(g706 +g1007 +tp6126 +a(g827 +g970 +tp6127 +a(g441 +VDirectObject +p6128 +tp6129 +a(g706 +g1007 +tp6130 +a(g827 +g970 +tp6131 +a(g441 +VsinkWater +p6132 +tp6133 +a(g706 +g1055 +tp6134 +a(g827 +V\u000a +p6135 +tp6136 +a(g706 +g977 +tp6137 +a(g827 +V\u000a\u000a +p6138 +tp6139 +a(g706 +V++ +p6140 +tp6141 +a(g827 +g970 +tp6142 +a(g827 +g966 +tp6143 +a(g629 +VsinkWater +p6144 +tp6145 +a(g706 +g1034 +tp6146 +a(g827 +g970 +tp6147 +a(g629 +VPresentLater +p6148 +tp6149 +a(g706 +g1007 +tp6150 +a(g827 +g970 +tp6151 +a(g629 +VFixture +p6152 +tp6153 +a(g827 +V\u000a +p6154 +tp6155 +a(g827 +V +p6156 +tp6157 +a(g230 +g1021 +tp6158 +a(g230 +V(sink) water sink water +p6159 +tp6160 +a(g230 +g1021 +tp6161 +a(g827 +g970 +tp6162 +a(g230 +g1021 +tp6163 +a(g230 +Vwater +p6164 +tp6165 +a(g230 +g1021 +tp6166 +a(g827 +g970 +tp6167 +a(g157 +g1278 +tp6168 +a(g125 +V<< +p6169 +tp6170 +a(g436 +Vsink +p6171 +tp6172 +a(g413 +g1505 +tp6173 +a(g436 +Vdesc +p6174 +tp6175 +a(g125 +V>> +p6176 +tp6177 +a(g157 +g1278 +tp6178 +a(g827 +V\u000a +p6179 +tp6180 +a(g827 +V +p6181 +tp6182 +a(g441 +VdisambigName +p6183 +tp6184 +a(g827 +g970 +tp6185 +a(g706 +g1012 +tp6186 +a(g827 +g970 +tp6187 +a(g230 +g1021 +tp6188 +a(g230 +Vwater in the sink +p6189 +tp6190 +a(g230 +g1021 +tp6191 +a(g827 +V\u000a +p6192 +tp6193 +a(g827 +V +p6194 +tp6195 +a(g574 +VdobjFor +p6196 +tp6197 +a(g706 +g1003 +tp6198 +a(g441 +VDrink +p6199 +tp6200 +a(g706 +g1055 +tp6201 +a(g827 +V\u000a +p6202 +tp6203 +a(g827 +V +p6204 +tp6205 +a(g706 +g1151 +tp6206 +a(g827 +V\u000a +p6207 +tp6208 +a(g827 +V +p6209 +tp6210 +a(g827 +g966 +tp6211 +a(g436 +Vverify +p6212 +tp6213 +a(g827 +g970 +tp6214 +a(g706 +g1151 +tp6215 +a(g827 +g970 +tp6216 +a(g827 +g966 +tp6217 +a(g436 +Villogical +p6218 +tp6219 +a(g706 +g1003 +tp6220 +a(g230 +V''' +p6221 +tp6222 +a(g125 +V{You're} +p6223 +tp6224 +a(g230 +V not thirsty. +p6225 +tp6226 +a(g230 +V''' +p6227 +tp6228 +a(g706 +g1055 +tp6229 +a(g706 +g966 +tp6230 +a(g706 +g977 +tp6231 +a(g827 +g970 +tp6232 +a(g706 +g1154 +tp6233 +a(g827 +V\u000a +p6234 +tp6235 +a(g827 +V +p6236 +tp6237 +a(g706 +g1154 +tp6238 +a(g827 +V\u000a +p6239 +tp6240 +a(g827 +V +p6241 +tp6242 +a(g574 +ViobjFor +p6243 +tp6244 +a(g706 +g1003 +tp6245 +a(g441 +VCleanWith +p6246 +tp6247 +a(g706 +g1055 +tp6248 +a(g827 +V\u000a +p6249 +tp6250 +a(g827 +V +p6251 +tp6252 +a(g706 +g1151 +tp6253 +a(g827 +V\u000a +p6254 +tp6255 +a(g827 +V +p6256 +tp6257 +a(g827 +g966 +tp6258 +a(g436 +VpreCond +p6259 +tp6260 +a(g827 +g970 +tp6261 +a(g413 +g1012 +tp6262 +a(g827 +g970 +tp6263 +a(g706 +g1107 +tp6264 +a(g706 +g1111 +tp6265 +a(g827 +V\u000a +p6266 +tp6267 +a(g827 +V +p6268 +tp6269 +a(g827 +g966 +tp6270 +a(g436 +Vverify +p6271 +tp6272 +a(g827 +g970 +tp6273 +a(g706 +g1151 +tp6274 +a(g827 +V\u000a +p6275 +tp6276 +a(g827 +V +p6277 +tp6278 +a(g827 +g966 +tp6279 +a(g794 +Vif +p6280 +tp6281 +a(g827 +g970 +tp6282 +a(g706 +g1003 +tp6283 +a(g413 +g3078 +tp6284 +a(g436 +Vlocation +p6285 +tp6286 +a(g706 +g1055 +tp6287 +a(g827 +V\u000a +p6288 +tp6289 +a(g827 +V +p6290 +tp6291 +a(g827 +g966 +tp6292 +a(g436 +VillogicalNow +p6293 +tp6294 +a(g706 +g1003 +tp6295 +a(g230 +g1021 +tp6296 +a(g230 +VThere is no water in the sink. +p6297 +tp6298 +a(g230 +g1021 +tp6299 +a(g706 +g1055 +tp6300 +a(g706 +g966 +tp6301 +a(g706 +g977 +tp6302 +a(g827 +V\u000a +p6303 +tp6304 +a(g827 +V +p6305 +tp6306 +a(g827 +g966 +tp6307 +a(g794 +Vif +p6308 +tp6309 +a(g827 +g970 +tp6310 +a(g706 +g1003 +tp6311 +a(g413 +g3078 +tp6312 +a(g436 +Vsink +p6313 +tp6314 +a(g413 +g1505 +tp6315 +a(g436 +Voverflowing +p6316 +tp6317 +a(g827 +g970 +tp6318 +a(g413 +V&& +p6319 +tp6320 +a(g827 +g970 +tp6321 +a(g436 +Vsink +p6322 +tp6323 +a(g413 +g1505 +tp6324 +a(g436 +Vlevel +p6325 +tp6326 +a(g827 +g970 +tp6327 +a(g413 +g3204 +tp6328 +a(g827 +g970 +tp6329 +a(g315 +V1e2 +p6330 +tp6331 +a(g706 +g1055 +tp6332 +a(g827 +V\u000a +p6333 +tp6334 +a(g827 +V +p6335 +tp6336 +a(g827 +g966 +tp6337 +a(g436 +VillogicalNow +p6338 +tp6339 +a(g706 +g1003 +tp6340 +a(g230 +g1021 +tp6341 +a(g230 +VThere is not enough water in the sink. +p6342 +tp6343 +a(g230 +g1021 +tp6344 +a(g706 +g1055 +tp6345 +a(g706 +g966 +tp6346 +a(g706 +g977 +tp6347 +a(g827 +V\u000a +p6348 +tp6349 +a(g827 +V +p6350 +tp6351 +a(g706 +g1154 +tp6352 +a(g827 +V\u000a +p6353 +tp6354 +a(g827 +V +p6355 +tp6356 +a(g706 +g1154 +tp6357 +a(g827 +V\u000a +p6358 +tp6359 +a(g706 +g977 +tp6360 +a(g827 +V\u000a\u000a +p6361 +tp6362 +a(g706 +g1692 +tp6363 +a(g827 +g970 +tp6364 +a(g827 +g966 +tp6365 +a(g629 +Vtable +p6366 +tp6367 +a(g706 +g1034 +tp6368 +a(g827 +g970 +tp6369 +a(g629 +VFixture +p6370 +tp6371 +a(g706 +g1007 +tp6372 +a(g827 +g970 +tp6373 +a(g629 +VSurface +p6374 +tp6375 +a(g827 +g970 +tp6376 +a(g230 +g1021 +tp6377 +a(g230 +Vsmall end bracket/table +p6378 +tp6379 +a(g230 +g1021 +tp6380 +a(g827 +g970 +tp6381 +a(g230 +g1021 +tp6382 +a(g230 +Vtable +p6383 +tp6384 +a(g230 +g1021 +tp6385 +a(g827 +V\u000a +p6386 +tp6387 +a(g827 +V +p6388 +tp6389 +a(g157 +g1278 +tp6390 +a(g125 +V<> +p6391 +tp6392 +a(g157 +VUpon closer inspection, you see that +p6393 +tp6394 +a(g213 +V\u005cv +p6395 +tp6396 +a(g125 +V<> +p6397 +tp6398 +a(g157 +VThe table is\u000a bracketed to the wall. +p6399 +tp6400 +a(g157 +g1278 +tp6401 +a(g827 +V\u000a +p6402 +tp6403 +a(g706 +g977 +tp6404 +a(g827 +V\u000a\u000a +p6405 +tp6406 +a(g706 +V++ +p6407 +tp6408 +a(g827 +g970 +tp6409 +a(g827 +g966 +tp6410 +a(g629 +VReadable +p6411 +tp6412 +a(g827 +g970 +tp6413 +a(g230 +g1021 +tp6414 +a(g230 +V"operator +p6415 +tp6416 +a(g213 +V\u005c' +p6417 +tp6418 +a(g230 +Vs" manual +p6419 +tp6420 +a(g230 +g1021 +tp6421 +a(g827 +g970 +tp6422 +a(g230 +g1021 +tp6423 +a(g230 +Vmanual +p6424 +tp6425 +a(g230 +g1021 +tp6426 +a(g827 +V\u000a +p6427 +tp6428 +a(g827 +V +p6429 +tp6430 +a(g157 +g1278 +tp6431 +a(g558 +V
    > +p6446 +tp6447 +a(g504 +V’ +p6448 +tp6449 +a(g157 +Vs Manual +p6450 +tp6451 +a(g558 +V<\u005ccenter +p6452 +tp6453 +a(g558 +g1287 +tp6454 +a(g213 +V\u005cb +p6455 +tp6456 +a(g157 +V\u000a +p6457 +tp6458 +a(g558 +V> +p6488 +tp6489 +a(g213 +V\u005cn +p6490 +tp6491 +a(g157 +V\u000a to fill the basin with +p6492 +tp6493 +a(g125 +V<<% ,d +p6494 +tp6495 +a(g827 +g970 +tp6496 +a(g298 +V0x69 +p6497 +tp6498 +a(g827 +g970 +tp6499 +a(g413 +g1867 +tp6500 +a(g827 +g970 +tp6501 +a(g282 +V0105 +p6502 +tp6503 +a(g125 +V>> +p6504 +tp6505 +a(g157 +V kochliaria +p6506 +tp6507 +a(g929 +V +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g7 +V] +p1012 +tp1013 +a(g7 +g962 +tp1014 +a(g826 +V\u000a\u000a +p1015 +tp1016 +a(g7 +V +p1059 +tp1060 +a(g826 +V\u000a\u000a +p1061 +tp1062 +a(g7 +V" +p1192 +tp1193 +a(g826 +V\u000a +p1194 +tp1195 +a(g693 +g965 +tp1196 +a(g826 +g960 +tp1197 +a(g144 +V"'" +p1198 +tp1199 +a(g826 +g960 +tp1200 +a(g693 +g965 +tp1201 +a(g826 +g960 +tp1202 +a(g217 +V'"' +p1203 +tp1204 +a(g826 +g960 +tp1205 +a(g693 +g965 +tp1206 +a(g826 +g960 +tp1207 +a(g144 +V"=" +p1208 +tp1209 +a(g826 +g960 +tp1210 +a(g693 +g965 +tp1211 +a(g826 +g960 +tp1212 +a(g144 +V"|" +p1213 +tp1214 +a(g826 +g960 +tp1215 +a(g693 +g965 +tp1216 +a(g826 +g960 +tp1217 +a(g144 +V"." +p1218 +tp1219 +a(g826 +g960 +tp1220 +a(g693 +g965 +tp1221 +a(g826 +g960 +tp1222 +a(g144 +V"," +p1223 +tp1224 +a(g826 +g960 +tp1225 +a(g693 +g965 +tp1226 +a(g826 +g960 +tp1227 +a(g144 +V";" +p1228 +tp1229 +a(g826 +g960 +tp1230 +a(g693 +g1094 +tp1231 +a(g826 +V\u000a +p1232 +tp1233 +a(g7 +Vcharacter +p1234 +tp1235 +a(g400 +g958 +tp1236 +a(g826 +g960 +tp1237 +a(g7 +Vletter +p1238 +tp1239 +a(g693 +g965 +tp1240 +a(g826 +g960 +tp1241 +a(g7 +Vdigit +p1242 +tp1243 +a(g693 +g965 +tp1244 +a(g826 +g960 +tp1245 +a(g7 +Vsymbol +p1246 +tp1247 +a(g693 +g965 +tp1248 +a(g826 +g960 +tp1249 +a(g144 +V" " +p1250 +tp1251 +a(g826 +g960 +tp1252 +a(g693 +g1094 +tp1253 +a(g826 +V\u000a\u000a +p1254 +tp1255 +a(g7 +Videntifier +p1256 +tp1257 +a(g400 +g958 +tp1258 +a(g826 +g960 +tp1259 +a(g7 +Vletter +p1260 +tp1261 +a(g693 +V, +p1262 +tp1263 +a(g826 +g960 +tp1264 +a(g693 +V{ +p1265 +tp1266 +a(g826 +g960 +tp1267 +a(g7 +Vletter +p1268 +tp1269 +a(g693 +g965 +tp1270 +a(g826 +g960 +tp1271 +a(g7 +Vdigit +p1272 +tp1273 +a(g693 +g965 +tp1274 +a(g826 +g960 +tp1275 +a(g144 +V" " +p1276 +tp1277 +a(g826 +g960 +tp1278 +a(g693 +V} +p1279 +tp1280 +a(g826 +g960 +tp1281 +a(g693 +g1094 +tp1282 +a(g826 +V\u000a +p1283 +tp1284 +a(g7 +Vterminal +p1285 +tp1286 +a(g400 +g958 +tp1287 +a(g826 +g960 +tp1288 +a(g144 +V"'" +p1289 +tp1290 +a(g826 +g960 +tp1291 +a(g693 +g1262 +tp1292 +a(g826 +g960 +tp1293 +a(g7 +Vcharacter +p1294 +tp1295 +a(g693 +g1262 +tp1296 +a(g826 +g960 +tp1297 +a(g693 +g1265 +tp1298 +a(g826 +g960 +tp1299 +a(g7 +Vcharacter +p1300 +tp1301 +a(g693 +g1279 +tp1302 +a(g826 +g960 +tp1303 +a(g693 +g1262 +tp1304 +a(g826 +g960 +tp1305 +a(g144 +V"'" +p1306 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g693 +g965 +tp1310 +a(g826 +g960 +tp1311 +a(g217 +V'"' +p1312 +tp1313 +a(g826 +g960 +tp1314 +a(g693 +g1262 +tp1315 +a(g826 +g960 +tp1316 +a(g7 +Vcharacter +p1317 +tp1318 +a(g693 +g1262 +tp1319 +a(g826 +g960 +tp1320 +a(g693 +g1265 +tp1321 +a(g826 +g960 +tp1322 +a(g7 +Vcharacter +p1323 +tp1324 +a(g693 +g1279 +tp1325 +a(g826 +g960 +tp1326 +a(g693 +g1262 +tp1327 +a(g826 +g960 +tp1328 +a(g217 +V'"' +p1329 +tp1330 +a(g826 +g960 +tp1331 +a(g693 +g1094 +tp1332 +a(g826 +V\u000a\u000a +p1333 +tp1334 +a(g7 +Vspecial +p1335 +tp1336 +a(g400 +g958 +tp1337 +a(g826 +g960 +tp1338 +a(g144 +V"?" +p1339 +tp1340 +a(g826 +g960 +tp1341 +a(g693 +g1262 +tp1342 +a(g826 +g960 +tp1343 +a(g7 +Vany +p1344 +tp1345 +a(g693 +g1262 +tp1346 +a(g826 +g960 +tp1347 +a(g144 +V"?" +p1348 +tp1349 +a(g826 +g960 +tp1350 +a(g693 +g1094 +tp1351 +a(g826 +V\u000a\u000a +p1352 +tp1353 +a(g7 +Vcomment +p1354 +tp1355 +a(g400 +g958 +tp1356 +a(g826 +g960 +tp1357 +a(g776 +V(* +p1358 +tp1359 +a(g776 +g960 +tp1360 +a(g776 +Vt +p1361 +tp1362 +a(g776 +Vh +p1363 +tp1364 +a(g776 +Vi +p1365 +tp1366 +a(g776 +Vs +p1367 +tp1368 +a(g776 +g960 +tp1369 +a(g776 +g1365 +tp1370 +a(g776 +g1367 +tp1371 +a(g776 +g960 +tp1372 +a(g776 +Va +p1373 +tp1374 +a(g776 +g960 +tp1375 +a(g776 +Vc +p1376 +tp1377 +a(g776 +Vo +p1378 +tp1379 +a(g776 +Vm +p1380 +tp1381 +a(g776 +g1380 +tp1382 +a(g776 +Ve +p1383 +tp1384 +a(g776 +Vn +p1385 +tp1386 +a(g776 +g1361 +tp1387 +a(g776 +g960 +tp1388 +a(g776 +V" +p1389 +tp1390 +a(g776 +g1389 +tp1391 +a(g776 +g960 +tp1392 +a(g776 +V*) +p1393 +tp1394 +a(g826 +g960 +tp1395 +a(g144 +V"(*" +p1396 +tp1397 +a(g826 +g960 +tp1398 +a(g693 +g1262 +tp1399 +a(g826 +g960 +tp1400 +a(g7 +Vany-symbol +p1401 +tp1402 +a(g693 +g1262 +tp1403 +a(g826 +g960 +tp1404 +a(g144 +V"*)" +p1405 +tp1406 +a(g826 +g960 +tp1407 +a(g693 +g1094 +tp1408 +a(g826 +V\u000a +p1409 +tp1410 +a(g7 +Vany-symbol +p1411 +tp1412 +a(g400 +g958 +tp1413 +a(g826 +g960 +tp1414 +a(g491 +V? any visible character ? +p1415 +tp1416 +a(g826 +g960 +tp1417 +a(g693 +g1094 +tp1418 +a(g826 +g960 +tp1419 +a(g776 +V(* +p1420 +tp1421 +a(g776 +g960 +tp1422 +a(g776 +V? +p1423 +tp1424 +a(g776 +g960 +tp1425 +a(g776 +V. +p1426 +tp1427 +a(g776 +g1426 +tp1428 +a(g776 +g1426 +tp1429 +a(g776 +g960 +tp1430 +a(g776 +g1423 +tp1431 +a(g776 +g960 +tp1432 +a(g776 +V*) +p1433 +tp1434 +a(g826 +V\u000a\u000a +p1435 +tp1436 +a(g7 +Vlhs +p1437 +tp1438 +a(g400 +g958 +tp1439 +a(g826 +g960 +tp1440 +a(g7 +Videntifier +p1441 +tp1442 +a(g693 +g1094 +tp1443 +a(g826 +V\u000a +p1444 +tp1445 +a(g7 +Vrhs +p1446 +tp1447 +a(g400 +g958 +tp1448 +a(g826 +g960 +tp1449 +a(g7 +Videntifier +p1450 +tp1451 +a(g826 +V\u000a +p1452 +tp1453 +a(g693 +g965 +tp1454 +a(g826 +g960 +tp1455 +a(g7 +Vterminal +p1456 +tp1457 +a(g826 +V\u000a +p1458 +tp1459 +a(g693 +g965 +tp1460 +a(g826 +g960 +tp1461 +a(g7 +Vcomment +p1462 +tp1463 +a(g693 +g1262 +tp1464 +a(g826 +g960 +tp1465 +a(g7 +Vrhs +p1466 +tp1467 +a(g826 +V\u000a +p1468 +tp1469 +a(g693 +g965 +tp1470 +a(g826 +g960 +tp1471 +a(g7 +Vrhs +p1472 +tp1473 +a(g693 +g1262 +tp1474 +a(g826 +g960 +tp1475 +a(g7 +Vcomment +p1476 +tp1477 +a(g826 +V\u000a +p1478 +tp1479 +a(g693 +g965 +tp1480 +a(g826 +g960 +tp1481 +a(g144 +V"[" +p1482 +tp1483 +a(g826 +g960 +tp1484 +a(g693 +g1262 +tp1485 +a(g826 +g960 +tp1486 +a(g7 +Vrhs +p1487 +tp1488 +a(g693 +g1262 +tp1489 +a(g826 +g960 +tp1490 +a(g144 +V"]" +p1491 +tp1492 +a(g826 +V\u000a +p1493 +tp1494 +a(g693 +g965 +tp1495 +a(g826 +g960 +tp1496 +a(g144 +V"{" +p1497 +tp1498 +a(g826 +g960 +tp1499 +a(g693 +g1262 +tp1500 +a(g826 +g960 +tp1501 +a(g7 +Vrhs +p1502 +tp1503 +a(g693 +g1262 +tp1504 +a(g826 +g960 +tp1505 +a(g144 +V"}" +p1506 +tp1507 +a(g826 +V\u000a +p1508 +tp1509 +a(g693 +g965 +tp1510 +a(g826 +g960 +tp1511 +a(g144 +V"(" +p1512 +tp1513 +a(g826 +g960 +tp1514 +a(g693 +g1262 +tp1515 +a(g826 +g960 +tp1516 +a(g7 +Vrhs +p1517 +tp1518 +a(g693 +g1262 +tp1519 +a(g826 +g960 +tp1520 +a(g144 +V")" +p1521 +tp1522 +a(g826 +V\u000a +p1523 +tp1524 +a(g693 +g965 +tp1525 +a(g826 +g960 +tp1526 +a(g7 +Vrhs +p1527 +tp1528 +a(g693 +g1262 +tp1529 +a(g826 +g960 +tp1530 +a(g144 +V"|" +p1531 +tp1532 +a(g826 +g960 +tp1533 +a(g693 +g1262 +tp1534 +a(g826 +g960 +tp1535 +a(g7 +Vrhs +p1536 +tp1537 +a(g826 +V\u000a +p1538 +tp1539 +a(g693 +g965 +tp1540 +a(g826 +g960 +tp1541 +a(g7 +Vrhs +p1542 +tp1543 +a(g693 +g1262 +tp1544 +a(g826 +g960 +tp1545 +a(g144 +V"," +p1546 +tp1547 +a(g826 +g960 +tp1548 +a(g693 +g1262 +tp1549 +a(g826 +g960 +tp1550 +a(g7 +Vrhs +p1551 +tp1552 +a(g693 +g1094 +tp1553 +a(g826 +V\u000a\u000a +p1554 +tp1555 +a(g7 +Vrule +p1556 +tp1557 +a(g400 +g958 +tp1558 +a(g826 +g960 +tp1559 +a(g7 +Vlhs +p1560 +tp1561 +a(g693 +g1262 +tp1562 +a(g826 +g960 +tp1563 +a(g144 +V"=" +p1564 +tp1565 +a(g826 +g960 +tp1566 +a(g693 +g1262 +tp1567 +a(g826 +g960 +tp1568 +a(g7 +Vrhs +p1569 +tp1570 +a(g693 +g1262 +tp1571 +a(g826 +g960 +tp1572 +a(g144 +V";" +p1573 +tp1574 +a(g826 +g960 +tp1575 +a(g693 +g965 +tp1576 +a(g826 +g960 +tp1577 +a(g7 +Vcomment +p1578 +tp1579 +a(g693 +g1094 +tp1580 +a(g826 +V\u000a +p1581 +tp1582 +a(g7 +Vgrammar +p1583 +tp1584 +a(g400 +g958 +tp1585 +a(g826 +g960 +tp1586 +a(g693 +g1265 +tp1587 +a(g826 +g960 +tp1588 +a(g7 +Vrule +p1589 +tp1590 +a(g693 +g1279 +tp1591 +a(g826 +g960 +tp1592 +a(g693 +g1094 +tp1593 +a(g826 +V\u000a +p1594 +tp1595 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.ec b/tests/examplefiles/output/test.ec new file mode 100644 index 0000000..51672e3 --- /dev/null +++ b/tests/examplefiles/output/test.ec @@ -0,0 +1,24650 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVnamespace +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g423 +Vgui +p960 +tp961 +a(g693 +V; +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g7 +Vimport +p968 +tp969 +a(g826 +g958 +tp970 +a(g76 +V" +p971 +tp972 +a(g76 +VWindow +p973 +tp974 +a(g76 +g971 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g7 +Vpublic +p980 +tp981 +a(g826 +g958 +tp982 +a(g7 +Vstruct +p983 +tp984 +a(g826 +g958 +tp985 +a(g423 +VAnchorValue +p986 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g693 +V{ +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g826 +V +p994 +tp995 +a(g423 +VAnchorValueType +p996 +tp997 +a(g826 +g958 +tp998 +a(g423 +Vtype +p999 +tp1000 +a(g693 +g962 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g826 +V +p1006 +tp1007 +a(g7 +Vunion +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g826 +V +p1012 +tp1013 +a(g693 +g990 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g826 +V +p1017 +tp1018 +a(g881 +Vint +p1019 +tp1020 +a(g826 +g958 +tp1021 +a(g423 +Vdistance +p1022 +tp1023 +a(g693 +g962 +tp1024 +a(g826 +V\u000a +p1025 +tp1026 +a(g826 +V +p1027 +tp1028 +a(g881 +Vfloat +p1029 +tp1030 +a(g826 +g958 +tp1031 +a(g423 +Vpercent +p1032 +tp1033 +a(g693 +g962 +tp1034 +a(g826 +V \u000a +p1035 +tp1036 +a(g693 +V} +p1037 +tp1038 +a(g693 +g962 +tp1039 +a(g826 +V\u000a +p1040 +tp1041 +a(g826 +V +p1042 +tp1043 +a(g7 +Vproperty +p1044 +tp1045 +a(g826 +g958 +tp1046 +a(g881 +Vint +p1047 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g826 +V +p1051 +tp1052 +a(g693 +g990 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g826 +V +p1056 +tp1057 +a(g7 +Vset +p1058 +tp1059 +a(g826 +g958 +tp1060 +a(g693 +g990 +tp1061 +a(g826 +g958 +tp1062 +a(g423 +Vdistance +p1063 +tp1064 +a(g826 +g958 +tp1065 +a(g400 +V= +p1066 +tp1067 +a(g826 +g958 +tp1068 +a(g669 +Vvalue +p1069 +tp1070 +a(g693 +g962 +tp1071 +a(g826 +g958 +tp1072 +a(g423 +Vtype +p1073 +tp1074 +a(g826 +g958 +tp1075 +a(g400 +g1066 +tp1076 +a(g826 +g958 +tp1077 +a(g423 +Voffset +p1078 +tp1079 +a(g693 +g962 +tp1080 +a(g826 +g958 +tp1081 +a(g693 +g1037 +tp1082 +a(g826 +V\u000a +p1083 +tp1084 +a(g826 +V +p1085 +tp1086 +a(g7 +Vget +p1087 +tp1088 +a(g826 +g958 +tp1089 +a(g693 +g990 +tp1090 +a(g826 +g958 +tp1091 +a(g7 +Vreturn +p1092 +tp1093 +a(g826 +g958 +tp1094 +a(g423 +Vdistance +p1095 +tp1096 +a(g693 +g962 +tp1097 +a(g826 +g958 +tp1098 +a(g693 +g1037 +tp1099 +a(g826 +V\u000a +p1100 +tp1101 +a(g826 +V +p1102 +tp1103 +a(g693 +g1037 +tp1104 +a(g826 +V\u000a +p1105 +tp1106 +a(g826 +V +p1107 +tp1108 +a(g7 +Vproperty +p1109 +tp1110 +a(g826 +g958 +tp1111 +a(g881 +Vdouble +p1112 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g826 +V +p1116 +tp1117 +a(g693 +g990 +tp1118 +a(g826 +V\u000a +p1119 +tp1120 +a(g826 +V +p1121 +tp1122 +a(g7 +Vset +p1123 +tp1124 +a(g826 +g958 +tp1125 +a(g693 +g990 +tp1126 +a(g826 +g958 +tp1127 +a(g423 +Vpercent +p1128 +tp1129 +a(g826 +g958 +tp1130 +a(g400 +g1066 +tp1131 +a(g826 +g958 +tp1132 +a(g693 +V( +p1133 +tp1134 +a(g881 +Vfloat +p1135 +tp1136 +a(g693 +V) +p1137 +tp1138 +a(g826 +g958 +tp1139 +a(g669 +Vvalue +p1140 +tp1141 +a(g693 +g962 +tp1142 +a(g826 +g958 +tp1143 +a(g423 +Vtype +p1144 +tp1145 +a(g826 +g958 +tp1146 +a(g400 +g1066 +tp1147 +a(g826 +g958 +tp1148 +a(g423 +Vrelative +p1149 +tp1150 +a(g693 +g962 +tp1151 +a(g826 +g958 +tp1152 +a(g693 +g1037 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g826 +V +p1156 +tp1157 +a(g7 +Vget +p1158 +tp1159 +a(g826 +g958 +tp1160 +a(g693 +g990 +tp1161 +a(g826 +g958 +tp1162 +a(g7 +Vreturn +p1163 +tp1164 +a(g826 +g958 +tp1165 +a(g693 +g1133 +tp1166 +a(g881 +Vdouble +p1167 +tp1168 +a(g693 +g1137 +tp1169 +a(g826 +g958 +tp1170 +a(g423 +Vpercent +p1171 +tp1172 +a(g693 +g962 +tp1173 +a(g826 +g958 +tp1174 +a(g693 +g1037 +tp1175 +a(g826 +V\u000a +p1176 +tp1177 +a(g826 +V +p1178 +tp1179 +a(g693 +g1037 +tp1180 +a(g826 +V\u000a +p1181 +tp1182 +a(g826 +V\u000a +p1183 +tp1184 +a(g826 +V +p1185 +tp1186 +a(g881 +Vchar +p1187 +tp1188 +a(g826 +g958 +tp1189 +a(g400 +V* +p1190 +tp1191 +a(g826 +g958 +tp1192 +a(g423 +VOnGetString +p1193 +tp1194 +a(g693 +g1133 +tp1195 +a(g881 +Vchar +p1196 +tp1197 +a(g826 +g958 +tp1198 +a(g400 +g1190 +tp1199 +a(g826 +g958 +tp1200 +a(g423 +VstringOutput +p1201 +tp1202 +a(g693 +V, +p1203 +tp1204 +a(g826 +g958 +tp1205 +a(g881 +Vvoid +p1206 +tp1207 +a(g826 +g958 +tp1208 +a(g400 +g1190 +tp1209 +a(g826 +g958 +tp1210 +a(g423 +VfieldData +p1211 +tp1212 +a(g693 +g1203 +tp1213 +a(g826 +g958 +tp1214 +a(g881 +Vbool +p1215 +tp1216 +a(g826 +g958 +tp1217 +a(g400 +g1190 +tp1218 +a(g826 +g958 +tp1219 +a(g423 +VneedClass +p1220 +tp1221 +a(g693 +g1137 +tp1222 +a(g826 +V\u000a +p1223 +tp1224 +a(g826 +V +p1225 +tp1226 +a(g693 +g990 +tp1227 +a(g826 +V\u000a +p1228 +tp1229 +a(g826 +V +p1230 +tp1231 +a(g7 +Vif +p1232 +tp1233 +a(g693 +g1133 +tp1234 +a(g423 +Vtype +p1235 +tp1236 +a(g826 +g958 +tp1237 +a(g400 +g1066 +tp1238 +a(g400 +g1066 +tp1239 +a(g826 +g958 +tp1240 +a(g423 +Voffset +p1241 +tp1242 +a(g693 +g1137 +tp1243 +a(g826 +V\u000a +p1244 +tp1245 +a(g826 +V +p1246 +tp1247 +a(g693 +g990 +tp1248 +a(g826 +V\u000a +p1249 +tp1250 +a(g826 +V +p1251 +tp1252 +a(g423 +Vsprintf +p1253 +tp1254 +a(g693 +g1133 +tp1255 +a(g423 +VstringOutput +p1256 +tp1257 +a(g693 +g1203 +tp1258 +a(g826 +g958 +tp1259 +a(g76 +g971 +tp1260 +a(g76 +V%d +p1261 +tp1262 +a(g76 +g971 +tp1263 +a(g693 +g1203 +tp1264 +a(g826 +g958 +tp1265 +a(g423 +Vdistance +p1266 +tp1267 +a(g693 +g1137 +tp1268 +a(g693 +g962 +tp1269 +a(g826 +V\u000a +p1270 +tp1271 +a(g826 +V +p1272 +tp1273 +a(g693 +g1037 +tp1274 +a(g826 +V\u000a +p1275 +tp1276 +a(g826 +V +p1277 +tp1278 +a(g7 +Velse +p1279 +tp1280 +a(g826 +g958 +tp1281 +a(g7 +Vif +p1282 +tp1283 +a(g693 +g1133 +tp1284 +a(g423 +Vtype +p1285 +tp1286 +a(g826 +g958 +tp1287 +a(g400 +g1066 +tp1288 +a(g400 +g1066 +tp1289 +a(g826 +g958 +tp1290 +a(g423 +Vrelative +p1291 +tp1292 +a(g693 +g1137 +tp1293 +a(g826 +V\u000a +p1294 +tp1295 +a(g826 +V +p1296 +tp1297 +a(g693 +g990 +tp1298 +a(g826 +V\u000a +p1299 +tp1300 +a(g826 +V +p1301 +tp1302 +a(g881 +Vint +p1303 +tp1304 +a(g826 +g958 +tp1305 +a(g423 +Vc +p1306 +tp1307 +a(g693 +g962 +tp1308 +a(g826 +V\u000a +p1309 +tp1310 +a(g826 +V +p1311 +tp1312 +a(g881 +Vint +p1313 +tp1314 +a(g826 +g958 +tp1315 +a(g423 +Vlast +p1316 +tp1317 +a(g826 +g958 +tp1318 +a(g400 +g1066 +tp1319 +a(g826 +g958 +tp1320 +a(g22 +V0 +p1321 +tp1322 +a(g693 +g962 +tp1323 +a(g826 +V\u000a +p1324 +tp1325 +a(g826 +V +p1326 +tp1327 +a(g423 +Vsprintf +p1328 +tp1329 +a(g693 +g1133 +tp1330 +a(g423 +VstringOutput +p1331 +tp1332 +a(g693 +g1203 +tp1333 +a(g826 +g958 +tp1334 +a(g76 +g971 +tp1335 +a(g76 +V%f +p1336 +tp1337 +a(g76 +g971 +tp1338 +a(g693 +g1203 +tp1339 +a(g826 +g958 +tp1340 +a(g423 +Vpercent +p1341 +tp1342 +a(g693 +g1137 +tp1343 +a(g693 +g962 +tp1344 +a(g826 +V\u000a +p1345 +tp1346 +a(g826 +V +p1347 +tp1348 +a(g423 +g1306 +tp1349 +a(g826 +g958 +tp1350 +a(g400 +g1066 +tp1351 +a(g826 +g958 +tp1352 +a(g423 +Vstrlen +p1353 +tp1354 +a(g693 +g1133 +tp1355 +a(g423 +VstringOutput +p1356 +tp1357 +a(g693 +g1137 +tp1358 +a(g400 +V- +p1359 +tp1360 +a(g22 +V1 +p1361 +tp1362 +a(g693 +g962 +tp1363 +a(g826 +V\u000a +p1364 +tp1365 +a(g826 +V +p1366 +tp1367 +a(g7 +Vfor +p1368 +tp1369 +a(g693 +g1133 +tp1370 +a(g826 +g958 +tp1371 +a(g693 +g962 +tp1372 +a(g826 +g958 +tp1373 +a(g423 +g1306 +tp1374 +a(g826 +g958 +tp1375 +a(g400 +V> +p1376 +tp1377 +a(g400 +g1066 +tp1378 +a(g826 +g958 +tp1379 +a(g22 +g1321 +tp1380 +a(g693 +g962 +tp1381 +a(g826 +g958 +tp1382 +a(g423 +g1306 +tp1383 +a(g400 +g1359 +tp1384 +a(g400 +g1359 +tp1385 +a(g693 +g1137 +tp1386 +a(g826 +V\u000a +p1387 +tp1388 +a(g826 +V +p1389 +tp1390 +a(g693 +g990 +tp1391 +a(g826 +V\u000a +p1392 +tp1393 +a(g826 +V +p1394 +tp1395 +a(g7 +Vif +p1396 +tp1397 +a(g693 +g1133 +tp1398 +a(g423 +VstringOutput +p1399 +tp1400 +a(g693 +V[ +p1401 +tp1402 +a(g423 +g1306 +tp1403 +a(g693 +V] +p1404 +tp1405 +a(g826 +g958 +tp1406 +a(g400 +V! +p1407 +tp1408 +a(g400 +g1066 +tp1409 +a(g826 +g958 +tp1410 +a(g80 +V' +p1411 +tp1412 +a(g80 +g1321 +tp1413 +a(g80 +g1411 +tp1414 +a(g693 +g1137 +tp1415 +a(g826 +V \u000a +p1416 +tp1417 +a(g423 +Vlast +p1418 +tp1419 +a(g826 +g958 +tp1420 +a(g400 +g1066 +tp1421 +a(g826 +g958 +tp1422 +a(g423 +VMax +p1423 +tp1424 +a(g693 +g1133 +tp1425 +a(g423 +Vlast +p1426 +tp1427 +a(g693 +g1203 +tp1428 +a(g826 +g958 +tp1429 +a(g423 +g1306 +tp1430 +a(g693 +g1137 +tp1431 +a(g693 +g962 +tp1432 +a(g826 +V\u000a +p1433 +tp1434 +a(g826 +V +p1435 +tp1436 +a(g7 +Vif +p1437 +tp1438 +a(g693 +g1133 +tp1439 +a(g423 +VstringOutput +p1440 +tp1441 +a(g693 +g1401 +tp1442 +a(g423 +g1306 +tp1443 +a(g693 +g1404 +tp1444 +a(g826 +g958 +tp1445 +a(g400 +g1066 +tp1446 +a(g400 +g1066 +tp1447 +a(g826 +g958 +tp1448 +a(g80 +g1411 +tp1449 +a(g80 +V. +p1450 +tp1451 +a(g80 +g1411 +tp1452 +a(g693 +g1137 +tp1453 +a(g826 +V\u000a +p1454 +tp1455 +a(g826 +V +p1456 +tp1457 +a(g693 +g990 +tp1458 +a(g826 +V\u000a +p1459 +tp1460 +a(g826 +V +p1461 +tp1462 +a(g7 +Vif +p1463 +tp1464 +a(g693 +g1133 +tp1465 +a(g423 +Vlast +p1466 +tp1467 +a(g826 +g958 +tp1468 +a(g400 +g1066 +tp1469 +a(g400 +g1066 +tp1470 +a(g826 +g958 +tp1471 +a(g423 +g1306 +tp1472 +a(g693 +g1137 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g826 +V +p1476 +tp1477 +a(g693 +g990 +tp1478 +a(g826 +V\u000a +p1479 +tp1480 +a(g826 +V +p1481 +tp1482 +a(g423 +VstringOutput +p1483 +tp1484 +a(g693 +g1401 +tp1485 +a(g423 +g1306 +tp1486 +a(g400 +V+ +p1487 +tp1488 +a(g22 +g1361 +tp1489 +a(g693 +g1404 +tp1490 +a(g826 +g958 +tp1491 +a(g400 +g1066 +tp1492 +a(g826 +g958 +tp1493 +a(g80 +g1411 +tp1494 +a(g80 +g1321 +tp1495 +a(g80 +g1411 +tp1496 +a(g693 +g962 +tp1497 +a(g826 +V\u000a +p1498 +tp1499 +a(g826 +V +p1500 +tp1501 +a(g423 +VstringOutput +p1502 +tp1503 +a(g693 +g1401 +tp1504 +a(g423 +g1306 +tp1505 +a(g400 +g1487 +tp1506 +a(g22 +V2 +p1507 +tp1508 +a(g693 +g1404 +tp1509 +a(g826 +g958 +tp1510 +a(g400 +g1066 +tp1511 +a(g826 +g958 +tp1512 +a(g22 +g1321 +tp1513 +a(g693 +g962 +tp1514 +a(g826 +V\u000a +p1515 +tp1516 +a(g826 +V +p1517 +tp1518 +a(g693 +g1037 +tp1519 +a(g826 +V\u000a +p1520 +tp1521 +a(g826 +V +p1522 +tp1523 +a(g7 +Velse +p1524 +tp1525 +a(g826 +V\u000a +p1526 +tp1527 +a(g826 +V +p1528 +tp1529 +a(g423 +VstringOutput +p1530 +tp1531 +a(g693 +g1401 +tp1532 +a(g423 +Vlast +p1533 +tp1534 +a(g400 +g1487 +tp1535 +a(g22 +g1361 +tp1536 +a(g693 +g1404 +tp1537 +a(g826 +g958 +tp1538 +a(g400 +g1066 +tp1539 +a(g826 +g958 +tp1540 +a(g22 +g1321 +tp1541 +a(g693 +g962 +tp1542 +a(g826 +V\u000a +p1543 +tp1544 +a(g826 +V +p1545 +tp1546 +a(g7 +Vbreak +p1547 +tp1548 +a(g693 +g962 +tp1549 +a(g826 +V\u000a +p1550 +tp1551 +a(g826 +V +p1552 +tp1553 +a(g693 +g1037 +tp1554 +a(g826 +V\u000a +p1555 +tp1556 +a(g826 +V +p1557 +tp1558 +a(g693 +g1037 +tp1559 +a(g826 +V\u000a +p1560 +tp1561 +a(g826 +V +p1562 +tp1563 +a(g693 +g1037 +tp1564 +a(g826 +V\u000a +p1565 +tp1566 +a(g826 +V +p1567 +tp1568 +a(g7 +Vif +p1569 +tp1570 +a(g693 +g1133 +tp1571 +a(g423 +VneedClass +p1572 +tp1573 +a(g693 +g1137 +tp1574 +a(g826 +g958 +tp1575 +a(g400 +g1190 +tp1576 +a(g423 +VneedClass +p1577 +tp1578 +a(g826 +g958 +tp1579 +a(g400 +g1066 +tp1580 +a(g826 +g958 +tp1581 +a(g669 +Vfalse +p1582 +tp1583 +a(g693 +g962 +tp1584 +a(g826 +V\u000a +p1585 +tp1586 +a(g826 +V +p1587 +tp1588 +a(g7 +Vreturn +p1589 +tp1590 +a(g826 +g958 +tp1591 +a(g423 +VstringOutput +p1592 +tp1593 +a(g693 +g962 +tp1594 +a(g826 +V\u000a +p1595 +tp1596 +a(g826 +V +p1597 +tp1598 +a(g693 +g1037 +tp1599 +a(g826 +V\u000a +p1600 +tp1601 +a(g826 +V\u000a +p1602 +tp1603 +a(g826 +V +p1604 +tp1605 +a(g881 +Vbool +p1606 +tp1607 +a(g826 +g958 +tp1608 +a(g423 +VOnGetDataFromString +p1609 +tp1610 +a(g693 +g1133 +tp1611 +a(g881 +Vchar +p1612 +tp1613 +a(g826 +g958 +tp1614 +a(g400 +g1190 +tp1615 +a(g826 +g958 +tp1616 +a(g423 +VstringOutput +p1617 +tp1618 +a(g693 +g1137 +tp1619 +a(g826 +V\u000a +p1620 +tp1621 +a(g826 +V +p1622 +tp1623 +a(g693 +g990 +tp1624 +a(g826 +V\u000a +p1625 +tp1626 +a(g826 +V +p1627 +tp1628 +a(g881 +Vchar +p1629 +tp1630 +a(g826 +g958 +tp1631 +a(g400 +g1190 +tp1632 +a(g826 +g958 +tp1633 +a(g423 +Vend +p1634 +tp1635 +a(g693 +g962 +tp1636 +a(g826 +V\u000a +p1637 +tp1638 +a(g826 +V +p1639 +tp1640 +a(g7 +Vif +p1641 +tp1642 +a(g693 +g1133 +tp1643 +a(g423 +Vstrchr +p1644 +tp1645 +a(g693 +g1133 +tp1646 +a(g423 +VstringOutput +p1647 +tp1648 +a(g693 +g1203 +tp1649 +a(g826 +g958 +tp1650 +a(g80 +g1411 +tp1651 +a(g80 +g1450 +tp1652 +a(g80 +g1411 +tp1653 +a(g693 +g1137 +tp1654 +a(g693 +g1137 +tp1655 +a(g826 +V\u000a +p1656 +tp1657 +a(g826 +V +p1658 +tp1659 +a(g693 +g990 +tp1660 +a(g826 +V\u000a +p1661 +tp1662 +a(g826 +V +p1663 +tp1664 +a(g881 +Vfloat +p1665 +tp1666 +a(g826 +g958 +tp1667 +a(g423 +Vpercent +p1668 +tp1669 +a(g826 +g958 +tp1670 +a(g400 +g1066 +tp1671 +a(g826 +g958 +tp1672 +a(g693 +g1133 +tp1673 +a(g881 +Vfloat +p1674 +tp1675 +a(g693 +g1137 +tp1676 +a(g423 +Vstrtod +p1677 +tp1678 +a(g693 +g1133 +tp1679 +a(g423 +VstringOutput +p1680 +tp1681 +a(g693 +g1203 +tp1682 +a(g826 +g958 +tp1683 +a(g400 +V& +p1684 +tp1685 +a(g423 +Vend +p1686 +tp1687 +a(g693 +g1137 +tp1688 +a(g693 +g962 +tp1689 +a(g826 +V\u000a +p1690 +tp1691 +a(g826 +V \u000a +p1692 +tp1693 +a(g7 +Vif +p1694 +tp1695 +a(g693 +g1133 +tp1696 +a(g423 +Vend +p1697 +tp1698 +a(g826 +g958 +tp1699 +a(g400 +g1407 +tp1700 +a(g400 +g1066 +tp1701 +a(g826 +g958 +tp1702 +a(g423 +VstringOutput +p1703 +tp1704 +a(g693 +g1137 +tp1705 +a(g826 +V\u000a +p1706 +tp1707 +a(g826 +V +p1708 +tp1709 +a(g693 +g990 +tp1710 +a(g826 +V\u000a +p1711 +tp1712 +a(g826 +V +p1713 +tp1714 +a(g669 +Vthis +p1715 +tp1716 +a(g693 +g1450 +tp1717 +a(g423 +Vpercent +p1718 +tp1719 +a(g826 +g958 +tp1720 +a(g400 +g1066 +tp1721 +a(g826 +g958 +tp1722 +a(g423 +Vpercent +p1723 +tp1724 +a(g693 +g962 +tp1725 +a(g826 +V\u000a +p1726 +tp1727 +a(g826 +V +p1728 +tp1729 +a(g423 +Vtype +p1730 +tp1731 +a(g826 +g958 +tp1732 +a(g400 +g1066 +tp1733 +a(g826 +g958 +tp1734 +a(g423 +Vrelative +p1735 +tp1736 +a(g693 +g962 +tp1737 +a(g826 +V\u000a +p1738 +tp1739 +a(g826 +V +p1740 +tp1741 +a(g7 +Vreturn +p1742 +tp1743 +a(g826 +g958 +tp1744 +a(g669 +Vtrue +p1745 +tp1746 +a(g693 +g962 +tp1747 +a(g826 +V\u000a +p1748 +tp1749 +a(g826 +V +p1750 +tp1751 +a(g693 +g1037 +tp1752 +a(g826 +V\u000a +p1753 +tp1754 +a(g826 +V +p1755 +tp1756 +a(g693 +g1037 +tp1757 +a(g826 +V\u000a +p1758 +tp1759 +a(g826 +V +p1760 +tp1761 +a(g7 +Velse +p1762 +tp1763 +a(g826 +g958 +tp1764 +a(g7 +Vif +p1765 +tp1766 +a(g693 +g1133 +tp1767 +a(g423 +VstringOutput +p1768 +tp1769 +a(g693 +g1401 +tp1770 +a(g22 +g1321 +tp1771 +a(g693 +g1404 +tp1772 +a(g693 +g1137 +tp1773 +a(g826 +V\u000a +p1774 +tp1775 +a(g826 +V +p1776 +tp1777 +a(g693 +g990 +tp1778 +a(g826 +V\u000a +p1779 +tp1780 +a(g826 +V +p1781 +tp1782 +a(g881 +Vint +p1783 +tp1784 +a(g826 +g958 +tp1785 +a(g423 +Vdistance +p1786 +tp1787 +a(g826 +g958 +tp1788 +a(g400 +g1066 +tp1789 +a(g826 +g958 +tp1790 +a(g423 +Vstrtol +p1791 +tp1792 +a(g693 +g1133 +tp1793 +a(g423 +VstringOutput +p1794 +tp1795 +a(g693 +g1203 +tp1796 +a(g826 +g958 +tp1797 +a(g400 +g1684 +tp1798 +a(g423 +Vend +p1799 +tp1800 +a(g693 +g1203 +tp1801 +a(g826 +g958 +tp1802 +a(g22 +g1321 +tp1803 +a(g693 +g1137 +tp1804 +a(g693 +g962 +tp1805 +a(g826 +V\u000a +p1806 +tp1807 +a(g826 +V +p1808 +tp1809 +a(g7 +Vif +p1810 +tp1811 +a(g693 +g1133 +tp1812 +a(g423 +Vend +p1813 +tp1814 +a(g826 +g958 +tp1815 +a(g400 +g1407 +tp1816 +a(g400 +g1066 +tp1817 +a(g826 +g958 +tp1818 +a(g423 +VstringOutput +p1819 +tp1820 +a(g693 +g1137 +tp1821 +a(g826 +V\u000a +p1822 +tp1823 +a(g826 +V +p1824 +tp1825 +a(g693 +g990 +tp1826 +a(g826 +V\u000a +p1827 +tp1828 +a(g826 +V +p1829 +tp1830 +a(g669 +Vthis +p1831 +tp1832 +a(g693 +g1450 +tp1833 +a(g423 +Vdistance +p1834 +tp1835 +a(g826 +g958 +tp1836 +a(g400 +g1066 +tp1837 +a(g826 +g958 +tp1838 +a(g423 +Vdistance +p1839 +tp1840 +a(g693 +g962 +tp1841 +a(g826 +V\u000a +p1842 +tp1843 +a(g826 +V +p1844 +tp1845 +a(g423 +Vtype +p1846 +tp1847 +a(g826 +g958 +tp1848 +a(g400 +g1066 +tp1849 +a(g826 +g958 +tp1850 +a(g423 +Voffset +p1851 +tp1852 +a(g693 +g962 +tp1853 +a(g826 +V\u000a +p1854 +tp1855 +a(g826 +V +p1856 +tp1857 +a(g7 +Vreturn +p1858 +tp1859 +a(g826 +g958 +tp1860 +a(g669 +Vtrue +p1861 +tp1862 +a(g693 +g962 +tp1863 +a(g826 +V\u000a +p1864 +tp1865 +a(g826 +V +p1866 +tp1867 +a(g693 +g1037 +tp1868 +a(g826 +V\u000a +p1869 +tp1870 +a(g826 +V +p1871 +tp1872 +a(g693 +g1037 +tp1873 +a(g826 +V\u000a +p1874 +tp1875 +a(g826 +V +p1876 +tp1877 +a(g7 +Velse +p1878 +tp1879 +a(g826 +V\u000a +p1880 +tp1881 +a(g826 +V +p1882 +tp1883 +a(g693 +g990 +tp1884 +a(g826 +V\u000a +p1885 +tp1886 +a(g826 +V +p1887 +tp1888 +a(g423 +Vdistance +p1889 +tp1890 +a(g826 +g958 +tp1891 +a(g400 +g1066 +tp1892 +a(g826 +g958 +tp1893 +a(g22 +g1321 +tp1894 +a(g693 +g962 +tp1895 +a(g826 +V\u000a +p1896 +tp1897 +a(g826 +V +p1898 +tp1899 +a(g423 +Vtype +p1900 +tp1901 +a(g826 +g958 +tp1902 +a(g400 +g1066 +tp1903 +a(g826 +g958 +tp1904 +a(g22 +g1321 +tp1905 +a(g693 +g962 +tp1906 +a(g826 +V\u000a +p1907 +tp1908 +a(g826 +V +p1909 +tp1910 +a(g693 +g1037 +tp1911 +a(g826 +V\u000a +p1912 +tp1913 +a(g826 +V +p1914 +tp1915 +a(g7 +Vreturn +p1916 +tp1917 +a(g826 +g958 +tp1918 +a(g669 +Vfalse +p1919 +tp1920 +a(g693 +g962 +tp1921 +a(g826 +V\u000a +p1922 +tp1923 +a(g826 +V +p1924 +tp1925 +a(g693 +g1037 +tp1926 +a(g826 +V\u000a +p1927 +tp1928 +a(g693 +g1037 +tp1929 +a(g693 +g962 +tp1930 +a(g826 +V\u000a +p1931 +tp1932 +a(g826 +V\u000a +p1933 +tp1934 +a(g7 +Vpublic +p1935 +tp1936 +a(g826 +g958 +tp1937 +a(g7 +Vstruct +p1938 +tp1939 +a(g826 +g958 +tp1940 +a(g423 +VMiddleAnchorValue +p1941 +tp1942 +a(g826 +V\u000a +p1943 +tp1944 +a(g693 +g990 +tp1945 +a(g826 +V\u000a +p1946 +tp1947 +a(g826 +V +p1948 +tp1949 +a(g423 +VAnchorValueType +p1950 +tp1951 +a(g826 +g958 +tp1952 +a(g423 +Vtype +p1953 +tp1954 +a(g693 +g962 +tp1955 +a(g826 +V\u000a +p1956 +tp1957 +a(g826 +V\u000a +p1958 +tp1959 +a(g826 +V +p1960 +tp1961 +a(g7 +Vunion +p1962 +tp1963 +a(g826 +V\u000a +p1964 +tp1965 +a(g826 +V +p1966 +tp1967 +a(g693 +g990 +tp1968 +a(g826 +V\u000a +p1969 +tp1970 +a(g826 +V +p1971 +tp1972 +a(g881 +Vint +p1973 +tp1974 +a(g826 +g958 +tp1975 +a(g423 +Vdistance +p1976 +tp1977 +a(g693 +g962 +tp1978 +a(g826 +V\u000a +p1979 +tp1980 +a(g826 +V +p1981 +tp1982 +a(g881 +Vfloat +p1983 +tp1984 +a(g826 +g958 +tp1985 +a(g423 +Vpercent +p1986 +tp1987 +a(g693 +g962 +tp1988 +a(g826 +V \u000a +p1989 +tp1990 +a(g693 +g1037 +tp1991 +a(g693 +g962 +tp1992 +a(g826 +V\u000a +p1993 +tp1994 +a(g826 +V +p1995 +tp1996 +a(g7 +Vproperty +p1997 +tp1998 +a(g826 +g958 +tp1999 +a(g881 +Vint +p2000 +tp2001 +a(g826 +V\u000a +p2002 +tp2003 +a(g826 +V +p2004 +tp2005 +a(g693 +g990 +tp2006 +a(g826 +V\u000a +p2007 +tp2008 +a(g826 +V +p2009 +tp2010 +a(g7 +Vset +p2011 +tp2012 +a(g826 +g958 +tp2013 +a(g693 +g990 +tp2014 +a(g826 +g958 +tp2015 +a(g423 +Vdistance +p2016 +tp2017 +a(g826 +g958 +tp2018 +a(g400 +g1066 +tp2019 +a(g826 +g958 +tp2020 +a(g669 +Vvalue +p2021 +tp2022 +a(g693 +g962 +tp2023 +a(g826 +g958 +tp2024 +a(g423 +Vtype +p2025 +tp2026 +a(g826 +g958 +tp2027 +a(g400 +g1066 +tp2028 +a(g826 +g958 +tp2029 +a(g423 +Vnone +p2030 +tp2031 +a(g693 +g962 +tp2032 +a(g826 +g958 +tp2033 +a(g693 +g1037 +tp2034 +a(g826 +V\u000a +p2035 +tp2036 +a(g826 +V +p2037 +tp2038 +a(g7 +Vget +p2039 +tp2040 +a(g826 +g958 +tp2041 +a(g693 +g990 +tp2042 +a(g826 +g958 +tp2043 +a(g7 +Vreturn +p2044 +tp2045 +a(g826 +g958 +tp2046 +a(g423 +Vdistance +p2047 +tp2048 +a(g693 +g962 +tp2049 +a(g826 +g958 +tp2050 +a(g693 +g1037 +tp2051 +a(g826 +V\u000a +p2052 +tp2053 +a(g826 +V +p2054 +tp2055 +a(g693 +g1037 +tp2056 +a(g826 +V\u000a +p2057 +tp2058 +a(g826 +V +p2059 +tp2060 +a(g7 +Vproperty +p2061 +tp2062 +a(g826 +g958 +tp2063 +a(g881 +Vdouble +p2064 +tp2065 +a(g826 +V\u000a +p2066 +tp2067 +a(g826 +V +p2068 +tp2069 +a(g693 +g990 +tp2070 +a(g826 +V\u000a +p2071 +tp2072 +a(g826 +V +p2073 +tp2074 +a(g7 +Vset +p2075 +tp2076 +a(g826 +g958 +tp2077 +a(g693 +g990 +tp2078 +a(g826 +g958 +tp2079 +a(g423 +Vpercent +p2080 +tp2081 +a(g826 +g958 +tp2082 +a(g400 +g1066 +tp2083 +a(g826 +g958 +tp2084 +a(g693 +g1133 +tp2085 +a(g881 +Vfloat +p2086 +tp2087 +a(g693 +g1137 +tp2088 +a(g826 +g958 +tp2089 +a(g669 +Vvalue +p2090 +tp2091 +a(g693 +g962 +tp2092 +a(g826 +g958 +tp2093 +a(g423 +Vtype +p2094 +tp2095 +a(g826 +g958 +tp2096 +a(g400 +g1066 +tp2097 +a(g826 +g958 +tp2098 +a(g423 +VmiddleRelative +p2099 +tp2100 +a(g693 +g962 +tp2101 +a(g826 +g958 +tp2102 +a(g693 +g1037 +tp2103 +a(g826 +V\u000a +p2104 +tp2105 +a(g826 +V +p2106 +tp2107 +a(g7 +Vget +p2108 +tp2109 +a(g826 +g958 +tp2110 +a(g693 +g990 +tp2111 +a(g826 +g958 +tp2112 +a(g7 +Vreturn +p2113 +tp2114 +a(g826 +g958 +tp2115 +a(g693 +g1133 +tp2116 +a(g881 +Vdouble +p2117 +tp2118 +a(g693 +g1137 +tp2119 +a(g826 +g958 +tp2120 +a(g423 +Vpercent +p2121 +tp2122 +a(g693 +g962 +tp2123 +a(g826 +g958 +tp2124 +a(g693 +g1037 +tp2125 +a(g826 +V\u000a +p2126 +tp2127 +a(g826 +V +p2128 +tp2129 +a(g693 +g1037 +tp2130 +a(g826 +V\u000a +p2131 +tp2132 +a(g826 +V\u000a +p2133 +tp2134 +a(g826 +V +p2135 +tp2136 +a(g881 +Vchar +p2137 +tp2138 +a(g826 +g958 +tp2139 +a(g400 +g1190 +tp2140 +a(g826 +g958 +tp2141 +a(g423 +VOnGetString +p2142 +tp2143 +a(g693 +g1133 +tp2144 +a(g881 +Vchar +p2145 +tp2146 +a(g826 +g958 +tp2147 +a(g400 +g1190 +tp2148 +a(g826 +g958 +tp2149 +a(g423 +VstringOutput +p2150 +tp2151 +a(g693 +g1203 +tp2152 +a(g826 +g958 +tp2153 +a(g881 +Vvoid +p2154 +tp2155 +a(g826 +g958 +tp2156 +a(g400 +g1190 +tp2157 +a(g826 +g958 +tp2158 +a(g423 +VfieldData +p2159 +tp2160 +a(g693 +g1203 +tp2161 +a(g826 +g958 +tp2162 +a(g881 +Vbool +p2163 +tp2164 +a(g826 +g958 +tp2165 +a(g400 +g1190 +tp2166 +a(g826 +g958 +tp2167 +a(g423 +VneedClass +p2168 +tp2169 +a(g693 +g1137 +tp2170 +a(g826 +V\u000a +p2171 +tp2172 +a(g826 +V +p2173 +tp2174 +a(g693 +g990 +tp2175 +a(g826 +V\u000a +p2176 +tp2177 +a(g826 +V +p2178 +tp2179 +a(g7 +Vif +p2180 +tp2181 +a(g693 +g1133 +tp2182 +a(g423 +Vtype +p2183 +tp2184 +a(g826 +g958 +tp2185 +a(g400 +g1066 +tp2186 +a(g400 +g1066 +tp2187 +a(g826 +g958 +tp2188 +a(g423 +VmiddleRelative +p2189 +tp2190 +a(g693 +g1137 +tp2191 +a(g826 +V\u000a +p2192 +tp2193 +a(g826 +V +p2194 +tp2195 +a(g693 +g990 +tp2196 +a(g826 +V\u000a +p2197 +tp2198 +a(g826 +V +p2199 +tp2200 +a(g881 +Vint +p2201 +tp2202 +a(g826 +g958 +tp2203 +a(g423 +g1306 +tp2204 +a(g693 +g962 +tp2205 +a(g826 +V\u000a +p2206 +tp2207 +a(g826 +V +p2208 +tp2209 +a(g881 +Vint +p2210 +tp2211 +a(g826 +g958 +tp2212 +a(g423 +Vlast +p2213 +tp2214 +a(g826 +g958 +tp2215 +a(g400 +g1066 +tp2216 +a(g826 +g958 +tp2217 +a(g22 +g1321 +tp2218 +a(g693 +g962 +tp2219 +a(g826 +V\u000a +p2220 +tp2221 +a(g826 +V +p2222 +tp2223 +a(g423 +Vsprintf +p2224 +tp2225 +a(g693 +g1133 +tp2226 +a(g423 +VstringOutput +p2227 +tp2228 +a(g693 +g1203 +tp2229 +a(g826 +g958 +tp2230 +a(g76 +g971 +tp2231 +a(g76 +V%f +p2232 +tp2233 +a(g76 +g971 +tp2234 +a(g693 +g1203 +tp2235 +a(g826 +g958 +tp2236 +a(g423 +Vpercent +p2237 +tp2238 +a(g693 +g1137 +tp2239 +a(g693 +g962 +tp2240 +a(g826 +V\u000a +p2241 +tp2242 +a(g826 +V +p2243 +tp2244 +a(g423 +g1306 +tp2245 +a(g826 +g958 +tp2246 +a(g400 +g1066 +tp2247 +a(g826 +g958 +tp2248 +a(g423 +Vstrlen +p2249 +tp2250 +a(g693 +g1133 +tp2251 +a(g423 +VstringOutput +p2252 +tp2253 +a(g693 +g1137 +tp2254 +a(g400 +g1359 +tp2255 +a(g22 +g1361 +tp2256 +a(g693 +g962 +tp2257 +a(g826 +V\u000a +p2258 +tp2259 +a(g826 +V +p2260 +tp2261 +a(g7 +Vfor +p2262 +tp2263 +a(g693 +g1133 +tp2264 +a(g826 +g958 +tp2265 +a(g693 +g962 +tp2266 +a(g826 +g958 +tp2267 +a(g423 +g1306 +tp2268 +a(g826 +g958 +tp2269 +a(g400 +g1376 +tp2270 +a(g400 +g1066 +tp2271 +a(g826 +g958 +tp2272 +a(g22 +g1321 +tp2273 +a(g693 +g962 +tp2274 +a(g826 +g958 +tp2275 +a(g423 +g1306 +tp2276 +a(g400 +g1359 +tp2277 +a(g400 +g1359 +tp2278 +a(g693 +g1137 +tp2279 +a(g826 +V\u000a +p2280 +tp2281 +a(g826 +V +p2282 +tp2283 +a(g693 +g990 +tp2284 +a(g826 +V\u000a +p2285 +tp2286 +a(g826 +V +p2287 +tp2288 +a(g7 +Vif +p2289 +tp2290 +a(g693 +g1133 +tp2291 +a(g423 +VstringOutput +p2292 +tp2293 +a(g693 +g1401 +tp2294 +a(g423 +g1306 +tp2295 +a(g693 +g1404 +tp2296 +a(g826 +g958 +tp2297 +a(g400 +g1407 +tp2298 +a(g400 +g1066 +tp2299 +a(g826 +g958 +tp2300 +a(g80 +g1411 +tp2301 +a(g80 +g1321 +tp2302 +a(g80 +g1411 +tp2303 +a(g693 +g1137 +tp2304 +a(g826 +V \u000a +p2305 +tp2306 +a(g423 +Vlast +p2307 +tp2308 +a(g826 +g958 +tp2309 +a(g400 +g1066 +tp2310 +a(g826 +g958 +tp2311 +a(g423 +VMax +p2312 +tp2313 +a(g693 +g1133 +tp2314 +a(g423 +Vlast +p2315 +tp2316 +a(g693 +g1203 +tp2317 +a(g826 +g958 +tp2318 +a(g423 +g1306 +tp2319 +a(g693 +g1137 +tp2320 +a(g693 +g962 +tp2321 +a(g826 +V\u000a +p2322 +tp2323 +a(g826 +V +p2324 +tp2325 +a(g7 +Vif +p2326 +tp2327 +a(g693 +g1133 +tp2328 +a(g423 +VstringOutput +p2329 +tp2330 +a(g693 +g1401 +tp2331 +a(g423 +g1306 +tp2332 +a(g693 +g1404 +tp2333 +a(g826 +g958 +tp2334 +a(g400 +g1066 +tp2335 +a(g400 +g1066 +tp2336 +a(g826 +g958 +tp2337 +a(g80 +g1411 +tp2338 +a(g80 +g1450 +tp2339 +a(g80 +g1411 +tp2340 +a(g693 +g1137 +tp2341 +a(g826 +V\u000a +p2342 +tp2343 +a(g826 +V +p2344 +tp2345 +a(g693 +g990 +tp2346 +a(g826 +V\u000a +p2347 +tp2348 +a(g826 +V +p2349 +tp2350 +a(g7 +Vif +p2351 +tp2352 +a(g693 +g1133 +tp2353 +a(g423 +Vlast +p2354 +tp2355 +a(g826 +g958 +tp2356 +a(g400 +g1066 +tp2357 +a(g400 +g1066 +tp2358 +a(g826 +g958 +tp2359 +a(g423 +g1306 +tp2360 +a(g693 +g1137 +tp2361 +a(g826 +V\u000a +p2362 +tp2363 +a(g826 +V +p2364 +tp2365 +a(g693 +g990 +tp2366 +a(g826 +V\u000a +p2367 +tp2368 +a(g826 +V +p2369 +tp2370 +a(g423 +VstringOutput +p2371 +tp2372 +a(g693 +g1401 +tp2373 +a(g423 +g1306 +tp2374 +a(g400 +g1487 +tp2375 +a(g22 +g1361 +tp2376 +a(g693 +g1404 +tp2377 +a(g826 +g958 +tp2378 +a(g400 +g1066 +tp2379 +a(g826 +g958 +tp2380 +a(g80 +g1411 +tp2381 +a(g80 +g1321 +tp2382 +a(g80 +g1411 +tp2383 +a(g693 +g962 +tp2384 +a(g826 +V\u000a +p2385 +tp2386 +a(g826 +V +p2387 +tp2388 +a(g423 +VstringOutput +p2389 +tp2390 +a(g693 +g1401 +tp2391 +a(g423 +g1306 +tp2392 +a(g400 +g1487 +tp2393 +a(g22 +g1507 +tp2394 +a(g693 +g1404 +tp2395 +a(g826 +g958 +tp2396 +a(g400 +g1066 +tp2397 +a(g826 +g958 +tp2398 +a(g22 +g1321 +tp2399 +a(g693 +g962 +tp2400 +a(g826 +V\u000a +p2401 +tp2402 +a(g826 +V +p2403 +tp2404 +a(g693 +g1037 +tp2405 +a(g826 +V\u000a +p2406 +tp2407 +a(g826 +V +p2408 +tp2409 +a(g7 +Velse +p2410 +tp2411 +a(g826 +V\u000a +p2412 +tp2413 +a(g826 +V +p2414 +tp2415 +a(g423 +VstringOutput +p2416 +tp2417 +a(g693 +g1401 +tp2418 +a(g423 +Vlast +p2419 +tp2420 +a(g400 +g1487 +tp2421 +a(g22 +g1361 +tp2422 +a(g693 +g1404 +tp2423 +a(g826 +g958 +tp2424 +a(g400 +g1066 +tp2425 +a(g826 +g958 +tp2426 +a(g22 +g1321 +tp2427 +a(g693 +g962 +tp2428 +a(g826 +V\u000a +p2429 +tp2430 +a(g826 +V +p2431 +tp2432 +a(g7 +Vbreak +p2433 +tp2434 +a(g693 +g962 +tp2435 +a(g826 +V\u000a +p2436 +tp2437 +a(g826 +V +p2438 +tp2439 +a(g693 +g1037 +tp2440 +a(g826 +V\u000a +p2441 +tp2442 +a(g826 +V +p2443 +tp2444 +a(g693 +g1037 +tp2445 +a(g826 +V\u000a +p2446 +tp2447 +a(g826 +V +p2448 +tp2449 +a(g693 +g1037 +tp2450 +a(g826 +V\u000a +p2451 +tp2452 +a(g826 +V +p2453 +tp2454 +a(g7 +Velse +p2455 +tp2456 +a(g826 +g958 +tp2457 +a(g7 +Vif +p2458 +tp2459 +a(g693 +g1133 +tp2460 +a(g423 +Vtype +p2461 +tp2462 +a(g826 +g958 +tp2463 +a(g400 +g1066 +tp2464 +a(g400 +g1066 +tp2465 +a(g826 +g958 +tp2466 +a(g423 +Vnone +p2467 +tp2468 +a(g826 +g958 +tp2469 +a(g400 +g1684 +tp2470 +a(g400 +g1684 +tp2471 +a(g826 +g958 +tp2472 +a(g423 +Vdistance +p2473 +tp2474 +a(g693 +g1137 +tp2475 +a(g826 +V\u000a +p2476 +tp2477 +a(g826 +V +p2478 +tp2479 +a(g693 +g990 +tp2480 +a(g826 +V\u000a +p2481 +tp2482 +a(g826 +V +p2483 +tp2484 +a(g423 +Vsprintf +p2485 +tp2486 +a(g693 +g1133 +tp2487 +a(g423 +VstringOutput +p2488 +tp2489 +a(g693 +g1203 +tp2490 +a(g826 +g958 +tp2491 +a(g76 +g971 +tp2492 +a(g76 +V%d +p2493 +tp2494 +a(g76 +g971 +tp2495 +a(g693 +g1203 +tp2496 +a(g826 +g958 +tp2497 +a(g423 +Vdistance +p2498 +tp2499 +a(g693 +g1137 +tp2500 +a(g693 +g962 +tp2501 +a(g826 +V\u000a +p2502 +tp2503 +a(g826 +V +p2504 +tp2505 +a(g693 +g1037 +tp2506 +a(g826 +V\u000a +p2507 +tp2508 +a(g826 +V +p2509 +tp2510 +a(g7 +Vif +p2511 +tp2512 +a(g693 +g1133 +tp2513 +a(g423 +VneedClass +p2514 +tp2515 +a(g693 +g1137 +tp2516 +a(g826 +g958 +tp2517 +a(g400 +g1190 +tp2518 +a(g423 +VneedClass +p2519 +tp2520 +a(g826 +g958 +tp2521 +a(g400 +g1066 +tp2522 +a(g826 +g958 +tp2523 +a(g669 +Vfalse +p2524 +tp2525 +a(g693 +g962 +tp2526 +a(g826 +V\u000a +p2527 +tp2528 +a(g826 +V +p2529 +tp2530 +a(g7 +Vreturn +p2531 +tp2532 +a(g826 +g958 +tp2533 +a(g423 +VstringOutput +p2534 +tp2535 +a(g693 +g962 +tp2536 +a(g826 +V\u000a +p2537 +tp2538 +a(g826 +V +p2539 +tp2540 +a(g693 +g1037 +tp2541 +a(g826 +V\u000a +p2542 +tp2543 +a(g826 +V\u000a +p2544 +tp2545 +a(g826 +V +p2546 +tp2547 +a(g881 +Vbool +p2548 +tp2549 +a(g826 +g958 +tp2550 +a(g423 +VOnGetDataFromString +p2551 +tp2552 +a(g693 +g1133 +tp2553 +a(g881 +Vchar +p2554 +tp2555 +a(g826 +g958 +tp2556 +a(g400 +g1190 +tp2557 +a(g826 +g958 +tp2558 +a(g423 +VstringOutput +p2559 +tp2560 +a(g693 +g1137 +tp2561 +a(g826 +V\u000a +p2562 +tp2563 +a(g826 +V +p2564 +tp2565 +a(g693 +g990 +tp2566 +a(g826 +V\u000a +p2567 +tp2568 +a(g826 +V +p2569 +tp2570 +a(g7 +Vif +p2571 +tp2572 +a(g693 +g1133 +tp2573 +a(g423 +Vstrchr +p2574 +tp2575 +a(g693 +g1133 +tp2576 +a(g423 +VstringOutput +p2577 +tp2578 +a(g693 +g1203 +tp2579 +a(g826 +g958 +tp2580 +a(g80 +g1411 +tp2581 +a(g80 +g1450 +tp2582 +a(g80 +g1411 +tp2583 +a(g693 +g1137 +tp2584 +a(g693 +g1137 +tp2585 +a(g826 +V\u000a +p2586 +tp2587 +a(g826 +V +p2588 +tp2589 +a(g693 +g990 +tp2590 +a(g826 +V\u000a +p2591 +tp2592 +a(g826 +V +p2593 +tp2594 +a(g423 +Vpercent +p2595 +tp2596 +a(g826 +g958 +tp2597 +a(g400 +g1066 +tp2598 +a(g826 +g958 +tp2599 +a(g693 +g1133 +tp2600 +a(g881 +Vfloat +p2601 +tp2602 +a(g693 +g1137 +tp2603 +a(g423 +Vstrtod +p2604 +tp2605 +a(g693 +g1133 +tp2606 +a(g423 +VstringOutput +p2607 +tp2608 +a(g693 +g1203 +tp2609 +a(g826 +g958 +tp2610 +a(g669 +Vnull +p2611 +tp2612 +a(g693 +g1137 +tp2613 +a(g693 +g962 +tp2614 +a(g826 +V\u000a +p2615 +tp2616 +a(g826 +V +p2617 +tp2618 +a(g423 +Vtype +p2619 +tp2620 +a(g826 +g958 +tp2621 +a(g400 +g1066 +tp2622 +a(g826 +g958 +tp2623 +a(g423 +VmiddleRelative +p2624 +tp2625 +a(g693 +g962 +tp2626 +a(g826 +V\u000a +p2627 +tp2628 +a(g826 +V +p2629 +tp2630 +a(g693 +g1037 +tp2631 +a(g826 +V\u000a +p2632 +tp2633 +a(g826 +V +p2634 +tp2635 +a(g7 +Velse +p2636 +tp2637 +a(g826 +V\u000a +p2638 +tp2639 +a(g826 +V +p2640 +tp2641 +a(g693 +g990 +tp2642 +a(g826 +V\u000a +p2643 +tp2644 +a(g826 +V +p2645 +tp2646 +a(g423 +Vdistance +p2647 +tp2648 +a(g826 +g958 +tp2649 +a(g400 +g1066 +tp2650 +a(g826 +g958 +tp2651 +a(g423 +Vstrtol +p2652 +tp2653 +a(g693 +g1133 +tp2654 +a(g423 +VstringOutput +p2655 +tp2656 +a(g693 +g1203 +tp2657 +a(g826 +g958 +tp2658 +a(g669 +Vnull +p2659 +tp2660 +a(g693 +g1203 +tp2661 +a(g826 +g958 +tp2662 +a(g22 +g1321 +tp2663 +a(g693 +g1137 +tp2664 +a(g693 +g962 +tp2665 +a(g826 +V\u000a +p2666 +tp2667 +a(g826 +V +p2668 +tp2669 +a(g423 +Vtype +p2670 +tp2671 +a(g826 +g958 +tp2672 +a(g400 +g1066 +tp2673 +a(g826 +g958 +tp2674 +a(g423 +Vnone +p2675 +tp2676 +a(g693 +g962 +tp2677 +a(g826 +V\u000a +p2678 +tp2679 +a(g826 +V +p2680 +tp2681 +a(g693 +g1037 +tp2682 +a(g826 +V\u000a +p2683 +tp2684 +a(g826 +V +p2685 +tp2686 +a(g7 +Vreturn +p2687 +tp2688 +a(g826 +g958 +tp2689 +a(g669 +Vtrue +p2690 +tp2691 +a(g693 +g962 +tp2692 +a(g826 +V\u000a +p2693 +tp2694 +a(g826 +V +p2695 +tp2696 +a(g693 +g1037 +tp2697 +a(g826 +V\u000a +p2698 +tp2699 +a(g693 +g1037 +tp2700 +a(g693 +g962 +tp2701 +a(g826 +V\u000a +p2702 +tp2703 +a(g826 +V\u000a +p2704 +tp2705 +a(g7 +Vpublic +p2706 +tp2707 +a(g826 +g958 +tp2708 +a(g7 +Venum +p2709 +tp2710 +a(g826 +g958 +tp2711 +a(g423 +VAnchorValueType +p2712 +tp2713 +a(g826 +g958 +tp2714 +a(g693 +g990 +tp2715 +a(g826 +g958 +tp2716 +a(g423 +Vnone +p2717 +tp2718 +a(g693 +g1203 +tp2719 +a(g826 +g958 +tp2720 +a(g423 +Voffset +p2721 +tp2722 +a(g693 +g1203 +tp2723 +a(g826 +g958 +tp2724 +a(g423 +Vrelative +p2725 +tp2726 +a(g693 +g1203 +tp2727 +a(g826 +g958 +tp2728 +a(g423 +VmiddleRelative +p2729 +tp2730 +a(g693 +g1203 +tp2731 +a(g826 +g958 +tp2732 +a(g423 +Vcascade +p2733 +tp2734 +a(g693 +g1203 +tp2735 +a(g826 +g958 +tp2736 +a(g423 +VvTiled +p2737 +tp2738 +a(g693 +g1203 +tp2739 +a(g826 +g958 +tp2740 +a(g423 +VhTiled +p2741 +tp2742 +a(g826 +g958 +tp2743 +a(g693 +g1037 +tp2744 +a(g693 +g962 +tp2745 +a(g826 +V\u000a +p2746 +tp2747 +a(g826 +V\u000a +p2748 +tp2749 +a(g7 +Vpublic +p2750 +tp2751 +a(g826 +g958 +tp2752 +a(g7 +Vstruct +p2753 +tp2754 +a(g826 +g958 +tp2755 +a(g423 +VAnchor +p2756 +tp2757 +a(g826 +V\u000a +p2758 +tp2759 +a(g693 +g990 +tp2760 +a(g826 +V\u000a +p2761 +tp2762 +a(g826 +V +p2763 +tp2764 +a(g7 +Vunion +p2765 +tp2766 +a(g826 +g958 +tp2767 +a(g693 +g990 +tp2768 +a(g826 +g958 +tp2769 +a(g423 +VAnchorValue +p2770 +tp2771 +a(g826 +g958 +tp2772 +a(g423 +Vleft +p2773 +tp2774 +a(g693 +g962 +tp2775 +a(g826 +g958 +tp2776 +a(g423 +VMiddleAnchorValue +p2777 +tp2778 +a(g826 +g958 +tp2779 +a(g423 +Vhorz +p2780 +tp2781 +a(g693 +g962 +tp2782 +a(g826 +g958 +tp2783 +a(g693 +g1037 +tp2784 +a(g693 +g962 +tp2785 +a(g826 +V\u000a +p2786 +tp2787 +a(g826 +V +p2788 +tp2789 +a(g7 +Vunion +p2790 +tp2791 +a(g826 +g958 +tp2792 +a(g693 +g990 +tp2793 +a(g826 +g958 +tp2794 +a(g423 +VAnchorValue +p2795 +tp2796 +a(g826 +g958 +tp2797 +a(g423 +Vtop +p2798 +tp2799 +a(g693 +g962 +tp2800 +a(g826 +g958 +tp2801 +a(g423 +VMiddleAnchorValue +p2802 +tp2803 +a(g826 +g958 +tp2804 +a(g423 +Vvert +p2805 +tp2806 +a(g693 +g962 +tp2807 +a(g826 +g958 +tp2808 +a(g693 +g1037 +tp2809 +a(g693 +g962 +tp2810 +a(g826 +V\u000a +p2811 +tp2812 +a(g826 +V +p2813 +tp2814 +a(g423 +VAnchorValue +p2815 +tp2816 +a(g826 +g958 +tp2817 +a(g423 +Vright +p2818 +tp2819 +a(g693 +g1203 +tp2820 +a(g826 +g958 +tp2821 +a(g423 +Vbottom +p2822 +tp2823 +a(g693 +g962 +tp2824 +a(g826 +V \u000a\u000a +p2825 +tp2826 +a(g881 +Vchar +p2827 +tp2828 +a(g826 +g958 +tp2829 +a(g400 +g1190 +tp2830 +a(g826 +g958 +tp2831 +a(g561 +VOnGetString +p2832 +tp2833 +a(g693 +g1133 +tp2834 +a(g881 +Vchar +p2835 +tp2836 +a(g826 +g958 +tp2837 +a(g400 +g1190 +tp2838 +a(g826 +g958 +tp2839 +a(g423 +VstringOutput +p2840 +tp2841 +a(g693 +g1203 +tp2842 +a(g826 +g958 +tp2843 +a(g881 +Vvoid +p2844 +tp2845 +a(g826 +g958 +tp2846 +a(g400 +g1190 +tp2847 +a(g826 +g958 +tp2848 +a(g423 +VfieldData +p2849 +tp2850 +a(g693 +g1203 +tp2851 +a(g826 +g958 +tp2852 +a(g881 +Vbool +p2853 +tp2854 +a(g826 +g958 +tp2855 +a(g400 +g1190 +tp2856 +a(g826 +g958 +tp2857 +a(g423 +VneedClass +p2858 +tp2859 +a(g693 +g1137 +tp2860 +a(g826 +V\u000a +p2861 +tp2862 +a(g826 +V +p2863 +tp2864 +a(g693 +g990 +tp2865 +a(g826 +V\u000a +p2866 +tp2867 +a(g826 +V +p2868 +tp2869 +a(g881 +Vchar +p2870 +tp2871 +a(g826 +g958 +tp2872 +a(g423 +VtempString +p2873 +tp2874 +a(g693 +g1401 +tp2875 +a(g22 +V256 +p2876 +tp2877 +a(g693 +g1404 +tp2878 +a(g693 +g962 +tp2879 +a(g826 +V\u000a +p2880 +tp2881 +a(g826 +V +p2882 +tp2883 +a(g881 +Vchar +p2884 +tp2885 +a(g826 +g958 +tp2886 +a(g400 +g1190 +tp2887 +a(g826 +g958 +tp2888 +a(g423 +VanchorValue +p2889 +tp2890 +a(g693 +g962 +tp2891 +a(g826 +V\u000a +p2892 +tp2893 +a(g826 +V +p2894 +tp2895 +a(g881 +Vbool +p2896 +tp2897 +a(g826 +g958 +tp2898 +a(g423 +VsubNeedClass +p2899 +tp2900 +a(g693 +g962 +tp2901 +a(g826 +V\u000a +p2902 +tp2903 +a(g826 +V\u000a +p2904 +tp2905 +a(g826 +V +p2906 +tp2907 +a(g423 +VtempString +p2908 +tp2909 +a(g693 +g1401 +tp2910 +a(g22 +g1321 +tp2911 +a(g693 +g1404 +tp2912 +a(g826 +g958 +tp2913 +a(g400 +g1066 +tp2914 +a(g826 +g958 +tp2915 +a(g80 +g1411 +tp2916 +a(g80 +V\u005c0 +p2917 +tp2918 +a(g80 +g1411 +tp2919 +a(g693 +g962 +tp2920 +a(g826 +V\u000a +p2921 +tp2922 +a(g826 +V +p2923 +tp2924 +a(g423 +VanchorValue +p2925 +tp2926 +a(g826 +g958 +tp2927 +a(g400 +g1066 +tp2928 +a(g826 +g958 +tp2929 +a(g423 +Vleft +p2930 +tp2931 +a(g693 +g1450 +tp2932 +a(g423 +VOnGetString +p2933 +tp2934 +a(g693 +g1133 +tp2935 +a(g423 +VtempString +p2936 +tp2937 +a(g693 +g1203 +tp2938 +a(g826 +g958 +tp2939 +a(g669 +Vnull +p2940 +tp2941 +a(g693 +g1203 +tp2942 +a(g826 +g958 +tp2943 +a(g400 +g1684 +tp2944 +a(g423 +VsubNeedClass +p2945 +tp2946 +a(g693 +g1137 +tp2947 +a(g693 +g962 +tp2948 +a(g826 +V\u000a +p2949 +tp2950 +a(g826 +V +p2951 +tp2952 +a(g7 +Vif +p2953 +tp2954 +a(g693 +g1133 +tp2955 +a(g423 +VanchorValue +p2956 +tp2957 +a(g693 +g1401 +tp2958 +a(g22 +g1321 +tp2959 +a(g693 +g1404 +tp2960 +a(g693 +g1137 +tp2961 +a(g826 +g958 +tp2962 +a(g693 +g990 +tp2963 +a(g826 +g958 +tp2964 +a(g7 +Vif +p2965 +tp2966 +a(g693 +g1133 +tp2967 +a(g423 +VstringOutput +p2968 +tp2969 +a(g693 +g1401 +tp2970 +a(g22 +g1321 +tp2971 +a(g693 +g1404 +tp2972 +a(g693 +g1137 +tp2973 +a(g826 +g958 +tp2974 +a(g423 +Vstrcat +p2975 +tp2976 +a(g693 +g1133 +tp2977 +a(g423 +VstringOutput +p2978 +tp2979 +a(g693 +g1203 +tp2980 +a(g826 +g958 +tp2981 +a(g76 +g971 +tp2982 +a(g76 +V, +p2983 +tp2984 +a(g76 +g971 +tp2985 +a(g693 +g1137 +tp2986 +a(g693 +g962 +tp2987 +a(g826 +g958 +tp2988 +a(g423 +Vstrcat +p2989 +tp2990 +a(g693 +g1133 +tp2991 +a(g423 +VstringOutput +p2992 +tp2993 +a(g693 +g1203 +tp2994 +a(g826 +g958 +tp2995 +a(g76 +g971 +tp2996 +a(g76 +Vleft = +p2997 +tp2998 +a(g76 +g971 +tp2999 +a(g693 +g1137 +tp3000 +a(g693 +g962 +tp3001 +a(g826 +g958 +tp3002 +a(g423 +Vstrcat +p3003 +tp3004 +a(g693 +g1133 +tp3005 +a(g423 +VstringOutput +p3006 +tp3007 +a(g693 +g1203 +tp3008 +a(g826 +g958 +tp3009 +a(g423 +VanchorValue +p3010 +tp3011 +a(g693 +g1137 +tp3012 +a(g693 +g962 +tp3013 +a(g826 +g958 +tp3014 +a(g693 +g1037 +tp3015 +a(g826 +V\u000a +p3016 +tp3017 +a(g826 +V \u000a +p3018 +tp3019 +a(g745 +V//if(((!left.type && !right.type) && horz.distance) || horz.type == middleRelative)\u000a +p3020 +tp3021 +a(g826 +V +p3022 +tp3023 +a(g7 +Vif +p3024 +tp3025 +a(g693 +g1133 +tp3026 +a(g400 +g1407 +tp3027 +a(g423 +Vright +p3028 +tp3029 +a(g693 +g1450 +tp3030 +a(g423 +Vtype +p3031 +tp3032 +a(g826 +g958 +tp3033 +a(g400 +g1684 +tp3034 +a(g400 +g1684 +tp3035 +a(g826 +g958 +tp3036 +a(g693 +g1133 +tp3037 +a(g693 +g1133 +tp3038 +a(g400 +g1407 +tp3039 +a(g423 +Vleft +p3040 +tp3041 +a(g693 +g1450 +tp3042 +a(g423 +Vtype +p3043 +tp3044 +a(g826 +g958 +tp3045 +a(g400 +g1684 +tp3046 +a(g400 +g1684 +tp3047 +a(g826 +g958 +tp3048 +a(g423 +Vhorz +p3049 +tp3050 +a(g693 +g1450 +tp3051 +a(g423 +Vdistance +p3052 +tp3053 +a(g693 +g1137 +tp3054 +a(g826 +g958 +tp3055 +a(g400 +V| +p3056 +tp3057 +a(g400 +g3056 +tp3058 +a(g826 +g958 +tp3059 +a(g423 +Vhorz +p3060 +tp3061 +a(g693 +g1450 +tp3062 +a(g423 +Vtype +p3063 +tp3064 +a(g826 +g958 +tp3065 +a(g400 +g1066 +tp3066 +a(g400 +g1066 +tp3067 +a(g826 +g958 +tp3068 +a(g423 +VmiddleRelative +p3069 +tp3070 +a(g693 +g1137 +tp3071 +a(g693 +g1137 +tp3072 +a(g826 +V\u000a +p3073 +tp3074 +a(g826 +V +p3075 +tp3076 +a(g693 +g990 +tp3077 +a(g826 +V\u000a +p3078 +tp3079 +a(g826 +V +p3080 +tp3081 +a(g423 +VtempString +p3082 +tp3083 +a(g693 +g1401 +tp3084 +a(g22 +g1321 +tp3085 +a(g693 +g1404 +tp3086 +a(g826 +g958 +tp3087 +a(g400 +g1066 +tp3088 +a(g826 +g958 +tp3089 +a(g80 +g1411 +tp3090 +a(g80 +V\u005c0 +p3091 +tp3092 +a(g80 +g1411 +tp3093 +a(g693 +g962 +tp3094 +a(g826 +V\u000a +p3095 +tp3096 +a(g826 +V +p3097 +tp3098 +a(g423 +VanchorValue +p3099 +tp3100 +a(g826 +g958 +tp3101 +a(g400 +g1066 +tp3102 +a(g826 +g958 +tp3103 +a(g423 +Vhorz +p3104 +tp3105 +a(g693 +g1450 +tp3106 +a(g423 +VOnGetString +p3107 +tp3108 +a(g693 +g1133 +tp3109 +a(g423 +VtempString +p3110 +tp3111 +a(g693 +g1203 +tp3112 +a(g826 +g958 +tp3113 +a(g669 +Vnull +p3114 +tp3115 +a(g693 +g1203 +tp3116 +a(g826 +g958 +tp3117 +a(g400 +g1684 +tp3118 +a(g423 +VsubNeedClass +p3119 +tp3120 +a(g693 +g1137 +tp3121 +a(g693 +g962 +tp3122 +a(g826 +V\u000a +p3123 +tp3124 +a(g826 +V +p3125 +tp3126 +a(g7 +Vif +p3127 +tp3128 +a(g693 +g1133 +tp3129 +a(g423 +VanchorValue +p3130 +tp3131 +a(g693 +g1401 +tp3132 +a(g22 +g1321 +tp3133 +a(g693 +g1404 +tp3134 +a(g693 +g1137 +tp3135 +a(g826 +g958 +tp3136 +a(g693 +g990 +tp3137 +a(g826 +g958 +tp3138 +a(g7 +Vif +p3139 +tp3140 +a(g693 +g1133 +tp3141 +a(g423 +VstringOutput +p3142 +tp3143 +a(g693 +g1401 +tp3144 +a(g22 +g1321 +tp3145 +a(g693 +g1404 +tp3146 +a(g693 +g1137 +tp3147 +a(g826 +g958 +tp3148 +a(g423 +Vstrcat +p3149 +tp3150 +a(g693 +g1133 +tp3151 +a(g423 +VstringOutput +p3152 +tp3153 +a(g693 +g1203 +tp3154 +a(g826 +g958 +tp3155 +a(g76 +g971 +tp3156 +a(g76 +V, +p3157 +tp3158 +a(g76 +g971 +tp3159 +a(g693 +g1137 +tp3160 +a(g693 +g962 +tp3161 +a(g826 +g958 +tp3162 +a(g423 +Vstrcat +p3163 +tp3164 +a(g693 +g1133 +tp3165 +a(g423 +VstringOutput +p3166 +tp3167 +a(g693 +g1203 +tp3168 +a(g826 +g958 +tp3169 +a(g76 +g971 +tp3170 +a(g76 +Vhorz = +p3171 +tp3172 +a(g76 +g971 +tp3173 +a(g693 +g1137 +tp3174 +a(g693 +g962 +tp3175 +a(g826 +g958 +tp3176 +a(g423 +Vstrcat +p3177 +tp3178 +a(g693 +g1133 +tp3179 +a(g423 +VstringOutput +p3180 +tp3181 +a(g693 +g1203 +tp3182 +a(g826 +g958 +tp3183 +a(g423 +VanchorValue +p3184 +tp3185 +a(g693 +g1137 +tp3186 +a(g693 +g962 +tp3187 +a(g826 +g958 +tp3188 +a(g693 +g1037 +tp3189 +a(g826 +V\u000a +p3190 +tp3191 +a(g826 +V +p3192 +tp3193 +a(g693 +g1037 +tp3194 +a(g826 +V\u000a +p3195 +tp3196 +a(g826 +V \u000a +p3197 +tp3198 +a(g423 +VtempString +p3199 +tp3200 +a(g693 +g1401 +tp3201 +a(g22 +g1321 +tp3202 +a(g693 +g1404 +tp3203 +a(g826 +g958 +tp3204 +a(g400 +g1066 +tp3205 +a(g826 +g958 +tp3206 +a(g80 +g1411 +tp3207 +a(g80 +V\u005c0 +p3208 +tp3209 +a(g80 +g1411 +tp3210 +a(g693 +g962 +tp3211 +a(g826 +V\u000a +p3212 +tp3213 +a(g826 +V +p3214 +tp3215 +a(g423 +VanchorValue +p3216 +tp3217 +a(g826 +g958 +tp3218 +a(g400 +g1066 +tp3219 +a(g826 +g958 +tp3220 +a(g423 +Vtop +p3221 +tp3222 +a(g693 +g1450 +tp3223 +a(g423 +VOnGetString +p3224 +tp3225 +a(g693 +g1133 +tp3226 +a(g423 +VtempString +p3227 +tp3228 +a(g693 +g1203 +tp3229 +a(g826 +g958 +tp3230 +a(g669 +Vnull +p3231 +tp3232 +a(g693 +g1203 +tp3233 +a(g826 +g958 +tp3234 +a(g400 +g1684 +tp3235 +a(g423 +VsubNeedClass +p3236 +tp3237 +a(g693 +g1137 +tp3238 +a(g693 +g962 +tp3239 +a(g826 +V\u000a +p3240 +tp3241 +a(g826 +V +p3242 +tp3243 +a(g7 +Vif +p3244 +tp3245 +a(g693 +g1133 +tp3246 +a(g423 +VanchorValue +p3247 +tp3248 +a(g693 +g1401 +tp3249 +a(g22 +g1321 +tp3250 +a(g693 +g1404 +tp3251 +a(g693 +g1137 +tp3252 +a(g826 +g958 +tp3253 +a(g693 +g990 +tp3254 +a(g826 +g958 +tp3255 +a(g7 +Vif +p3256 +tp3257 +a(g693 +g1133 +tp3258 +a(g423 +VstringOutput +p3259 +tp3260 +a(g693 +g1401 +tp3261 +a(g22 +g1321 +tp3262 +a(g693 +g1404 +tp3263 +a(g693 +g1137 +tp3264 +a(g826 +g958 +tp3265 +a(g423 +Vstrcat +p3266 +tp3267 +a(g693 +g1133 +tp3268 +a(g423 +VstringOutput +p3269 +tp3270 +a(g693 +g1203 +tp3271 +a(g826 +g958 +tp3272 +a(g76 +g971 +tp3273 +a(g76 +V, +p3274 +tp3275 +a(g76 +g971 +tp3276 +a(g693 +g1137 +tp3277 +a(g693 +g962 +tp3278 +a(g826 +g958 +tp3279 +a(g423 +Vstrcat +p3280 +tp3281 +a(g693 +g1133 +tp3282 +a(g423 +VstringOutput +p3283 +tp3284 +a(g693 +g1203 +tp3285 +a(g826 +g958 +tp3286 +a(g76 +g971 +tp3287 +a(g76 +Vtop = +p3288 +tp3289 +a(g76 +g971 +tp3290 +a(g693 +g1137 +tp3291 +a(g693 +g962 +tp3292 +a(g826 +g958 +tp3293 +a(g423 +Vstrcat +p3294 +tp3295 +a(g693 +g1133 +tp3296 +a(g423 +VstringOutput +p3297 +tp3298 +a(g693 +g1203 +tp3299 +a(g826 +g958 +tp3300 +a(g423 +VanchorValue +p3301 +tp3302 +a(g693 +g1137 +tp3303 +a(g693 +g962 +tp3304 +a(g826 +g958 +tp3305 +a(g693 +g1037 +tp3306 +a(g826 +V\u000a +p3307 +tp3308 +a(g826 +V \u000a +p3309 +tp3310 +a(g423 +VtempString +p3311 +tp3312 +a(g693 +g1401 +tp3313 +a(g22 +g1321 +tp3314 +a(g693 +g1404 +tp3315 +a(g826 +g958 +tp3316 +a(g400 +g1066 +tp3317 +a(g826 +g958 +tp3318 +a(g80 +g1411 +tp3319 +a(g80 +V\u005c0 +p3320 +tp3321 +a(g80 +g1411 +tp3322 +a(g693 +g962 +tp3323 +a(g826 +V\u000a +p3324 +tp3325 +a(g826 +V +p3326 +tp3327 +a(g423 +VanchorValue +p3328 +tp3329 +a(g826 +g958 +tp3330 +a(g400 +g1066 +tp3331 +a(g826 +g958 +tp3332 +a(g423 +Vright +p3333 +tp3334 +a(g693 +g1450 +tp3335 +a(g423 +VOnGetString +p3336 +tp3337 +a(g693 +g1133 +tp3338 +a(g423 +VtempString +p3339 +tp3340 +a(g693 +g1203 +tp3341 +a(g826 +g958 +tp3342 +a(g669 +Vnull +p3343 +tp3344 +a(g693 +g1203 +tp3345 +a(g826 +g958 +tp3346 +a(g400 +g1684 +tp3347 +a(g423 +VsubNeedClass +p3348 +tp3349 +a(g693 +g1137 +tp3350 +a(g693 +g962 +tp3351 +a(g826 +V\u000a +p3352 +tp3353 +a(g826 +V +p3354 +tp3355 +a(g7 +Vif +p3356 +tp3357 +a(g693 +g1133 +tp3358 +a(g423 +VanchorValue +p3359 +tp3360 +a(g693 +g1401 +tp3361 +a(g22 +g1321 +tp3362 +a(g693 +g1404 +tp3363 +a(g693 +g1137 +tp3364 +a(g826 +g958 +tp3365 +a(g693 +g990 +tp3366 +a(g826 +g958 +tp3367 +a(g7 +Vif +p3368 +tp3369 +a(g693 +g1133 +tp3370 +a(g423 +VstringOutput +p3371 +tp3372 +a(g693 +g1401 +tp3373 +a(g22 +g1321 +tp3374 +a(g693 +g1404 +tp3375 +a(g693 +g1137 +tp3376 +a(g826 +g958 +tp3377 +a(g423 +Vstrcat +p3378 +tp3379 +a(g693 +g1133 +tp3380 +a(g423 +VstringOutput +p3381 +tp3382 +a(g693 +g1203 +tp3383 +a(g826 +g958 +tp3384 +a(g76 +g971 +tp3385 +a(g76 +V, +p3386 +tp3387 +a(g76 +g971 +tp3388 +a(g693 +g1137 +tp3389 +a(g693 +g962 +tp3390 +a(g826 +g958 +tp3391 +a(g423 +Vstrcat +p3392 +tp3393 +a(g693 +g1133 +tp3394 +a(g423 +VstringOutput +p3395 +tp3396 +a(g693 +g1203 +tp3397 +a(g826 +g958 +tp3398 +a(g76 +g971 +tp3399 +a(g76 +Vright = +p3400 +tp3401 +a(g76 +g971 +tp3402 +a(g693 +g1137 +tp3403 +a(g693 +g962 +tp3404 +a(g826 +g958 +tp3405 +a(g423 +Vstrcat +p3406 +tp3407 +a(g693 +g1133 +tp3408 +a(g423 +VstringOutput +p3409 +tp3410 +a(g693 +g1203 +tp3411 +a(g826 +g958 +tp3412 +a(g423 +VanchorValue +p3413 +tp3414 +a(g693 +g1137 +tp3415 +a(g693 +g962 +tp3416 +a(g826 +g958 +tp3417 +a(g693 +g1037 +tp3418 +a(g826 +V\u000a +p3419 +tp3420 +a(g826 +V\u000a +p3421 +tp3422 +a(g826 +V +p3423 +tp3424 +a(g745 +V// if(((!top.type && !bottom.type) && vert.distance) || vert.type == middleRelative)\u000a +p3425 +tp3426 +a(g826 +V +p3427 +tp3428 +a(g7 +Vif +p3429 +tp3430 +a(g693 +g1133 +tp3431 +a(g400 +g1407 +tp3432 +a(g423 +Vbottom +p3433 +tp3434 +a(g693 +g1450 +tp3435 +a(g423 +Vtype +p3436 +tp3437 +a(g826 +g958 +tp3438 +a(g400 +g1684 +tp3439 +a(g400 +g1684 +tp3440 +a(g826 +g958 +tp3441 +a(g693 +g1133 +tp3442 +a(g693 +g1133 +tp3443 +a(g400 +g1407 +tp3444 +a(g423 +Vtop +p3445 +tp3446 +a(g693 +g1450 +tp3447 +a(g423 +Vtype +p3448 +tp3449 +a(g826 +g958 +tp3450 +a(g400 +g1684 +tp3451 +a(g400 +g1684 +tp3452 +a(g826 +g958 +tp3453 +a(g423 +Vvert +p3454 +tp3455 +a(g693 +g1450 +tp3456 +a(g423 +Vdistance +p3457 +tp3458 +a(g693 +g1137 +tp3459 +a(g826 +g958 +tp3460 +a(g400 +g3056 +tp3461 +a(g400 +g3056 +tp3462 +a(g826 +g958 +tp3463 +a(g423 +Vvert +p3464 +tp3465 +a(g693 +g1450 +tp3466 +a(g423 +Vtype +p3467 +tp3468 +a(g826 +g958 +tp3469 +a(g400 +g1066 +tp3470 +a(g400 +g1066 +tp3471 +a(g826 +g958 +tp3472 +a(g423 +VmiddleRelative +p3473 +tp3474 +a(g693 +g1137 +tp3475 +a(g693 +g1137 +tp3476 +a(g826 +V\u000a +p3477 +tp3478 +a(g826 +V +p3479 +tp3480 +a(g693 +g990 +tp3481 +a(g826 +V\u000a +p3482 +tp3483 +a(g826 +V +p3484 +tp3485 +a(g423 +VtempString +p3486 +tp3487 +a(g693 +g1401 +tp3488 +a(g22 +g1321 +tp3489 +a(g693 +g1404 +tp3490 +a(g826 +g958 +tp3491 +a(g400 +g1066 +tp3492 +a(g826 +g958 +tp3493 +a(g80 +g1411 +tp3494 +a(g80 +V\u005c0 +p3495 +tp3496 +a(g80 +g1411 +tp3497 +a(g693 +g962 +tp3498 +a(g826 +V\u000a +p3499 +tp3500 +a(g826 +V +p3501 +tp3502 +a(g423 +VanchorValue +p3503 +tp3504 +a(g826 +g958 +tp3505 +a(g400 +g1066 +tp3506 +a(g826 +g958 +tp3507 +a(g423 +Vvert +p3508 +tp3509 +a(g693 +g1450 +tp3510 +a(g423 +VOnGetString +p3511 +tp3512 +a(g693 +g1133 +tp3513 +a(g423 +VtempString +p3514 +tp3515 +a(g693 +g1203 +tp3516 +a(g826 +g958 +tp3517 +a(g669 +Vnull +p3518 +tp3519 +a(g693 +g1203 +tp3520 +a(g826 +g958 +tp3521 +a(g400 +g1684 +tp3522 +a(g423 +VsubNeedClass +p3523 +tp3524 +a(g693 +g1137 +tp3525 +a(g693 +g962 +tp3526 +a(g826 +V\u000a +p3527 +tp3528 +a(g826 +V +p3529 +tp3530 +a(g7 +Vif +p3531 +tp3532 +a(g693 +g1133 +tp3533 +a(g423 +VanchorValue +p3534 +tp3535 +a(g693 +g1401 +tp3536 +a(g22 +g1321 +tp3537 +a(g693 +g1404 +tp3538 +a(g693 +g1137 +tp3539 +a(g826 +g958 +tp3540 +a(g693 +g990 +tp3541 +a(g826 +g958 +tp3542 +a(g7 +Vif +p3543 +tp3544 +a(g693 +g1133 +tp3545 +a(g423 +VstringOutput +p3546 +tp3547 +a(g693 +g1401 +tp3548 +a(g22 +g1321 +tp3549 +a(g693 +g1404 +tp3550 +a(g693 +g1137 +tp3551 +a(g826 +g958 +tp3552 +a(g423 +Vstrcat +p3553 +tp3554 +a(g693 +g1133 +tp3555 +a(g423 +VstringOutput +p3556 +tp3557 +a(g693 +g1203 +tp3558 +a(g826 +g958 +tp3559 +a(g76 +g971 +tp3560 +a(g76 +V, +p3561 +tp3562 +a(g76 +g971 +tp3563 +a(g693 +g1137 +tp3564 +a(g693 +g962 +tp3565 +a(g826 +g958 +tp3566 +a(g423 +Vstrcat +p3567 +tp3568 +a(g693 +g1133 +tp3569 +a(g423 +VstringOutput +p3570 +tp3571 +a(g693 +g1203 +tp3572 +a(g826 +g958 +tp3573 +a(g76 +g971 +tp3574 +a(g76 +Vvert = +p3575 +tp3576 +a(g76 +g971 +tp3577 +a(g693 +g1137 +tp3578 +a(g693 +g962 +tp3579 +a(g826 +g958 +tp3580 +a(g423 +Vstrcat +p3581 +tp3582 +a(g693 +g1133 +tp3583 +a(g423 +VstringOutput +p3584 +tp3585 +a(g693 +g1203 +tp3586 +a(g826 +g958 +tp3587 +a(g423 +VanchorValue +p3588 +tp3589 +a(g693 +g1137 +tp3590 +a(g693 +g962 +tp3591 +a(g826 +g958 +tp3592 +a(g693 +g1037 +tp3593 +a(g826 +V\u000a +p3594 +tp3595 +a(g826 +V +p3596 +tp3597 +a(g693 +g1037 +tp3598 +a(g826 +V\u000a +p3599 +tp3600 +a(g826 +V \u000a +p3601 +tp3602 +a(g423 +VtempString +p3603 +tp3604 +a(g693 +g1401 +tp3605 +a(g22 +g1321 +tp3606 +a(g693 +g1404 +tp3607 +a(g826 +g958 +tp3608 +a(g400 +g1066 +tp3609 +a(g826 +g958 +tp3610 +a(g80 +g1411 +tp3611 +a(g80 +V\u005c0 +p3612 +tp3613 +a(g80 +g1411 +tp3614 +a(g693 +g962 +tp3615 +a(g826 +V\u000a +p3616 +tp3617 +a(g826 +V +p3618 +tp3619 +a(g423 +VanchorValue +p3620 +tp3621 +a(g826 +g958 +tp3622 +a(g400 +g1066 +tp3623 +a(g826 +g958 +tp3624 +a(g423 +Vbottom +p3625 +tp3626 +a(g693 +g1450 +tp3627 +a(g423 +VOnGetString +p3628 +tp3629 +a(g693 +g1133 +tp3630 +a(g423 +VtempString +p3631 +tp3632 +a(g693 +g1203 +tp3633 +a(g826 +g958 +tp3634 +a(g669 +Vnull +p3635 +tp3636 +a(g693 +g1203 +tp3637 +a(g826 +g958 +tp3638 +a(g400 +g1684 +tp3639 +a(g423 +VsubNeedClass +p3640 +tp3641 +a(g693 +g1137 +tp3642 +a(g693 +g962 +tp3643 +a(g826 +V\u000a +p3644 +tp3645 +a(g826 +V +p3646 +tp3647 +a(g7 +Vif +p3648 +tp3649 +a(g693 +g1133 +tp3650 +a(g423 +VanchorValue +p3651 +tp3652 +a(g693 +g1401 +tp3653 +a(g22 +g1321 +tp3654 +a(g693 +g1404 +tp3655 +a(g693 +g1137 +tp3656 +a(g826 +g958 +tp3657 +a(g693 +g990 +tp3658 +a(g826 +g958 +tp3659 +a(g7 +Vif +p3660 +tp3661 +a(g693 +g1133 +tp3662 +a(g423 +VstringOutput +p3663 +tp3664 +a(g693 +g1401 +tp3665 +a(g22 +g1321 +tp3666 +a(g693 +g1404 +tp3667 +a(g693 +g1137 +tp3668 +a(g826 +g958 +tp3669 +a(g423 +Vstrcat +p3670 +tp3671 +a(g693 +g1133 +tp3672 +a(g423 +VstringOutput +p3673 +tp3674 +a(g693 +g1203 +tp3675 +a(g826 +g958 +tp3676 +a(g76 +g971 +tp3677 +a(g76 +V, +p3678 +tp3679 +a(g76 +g971 +tp3680 +a(g693 +g1137 +tp3681 +a(g693 +g962 +tp3682 +a(g826 +g958 +tp3683 +a(g423 +Vstrcat +p3684 +tp3685 +a(g693 +g1133 +tp3686 +a(g423 +VstringOutput +p3687 +tp3688 +a(g693 +g1203 +tp3689 +a(g826 +g958 +tp3690 +a(g76 +g971 +tp3691 +a(g76 +Vbottom = +p3692 +tp3693 +a(g76 +g971 +tp3694 +a(g693 +g1137 +tp3695 +a(g693 +g962 +tp3696 +a(g826 +g958 +tp3697 +a(g423 +Vstrcat +p3698 +tp3699 +a(g693 +g1133 +tp3700 +a(g423 +VstringOutput +p3701 +tp3702 +a(g693 +g1203 +tp3703 +a(g826 +g958 +tp3704 +a(g423 +VanchorValue +p3705 +tp3706 +a(g693 +g1137 +tp3707 +a(g693 +g962 +tp3708 +a(g826 +g958 +tp3709 +a(g693 +g1037 +tp3710 +a(g826 +V\u000a +p3711 +tp3712 +a(g826 +V \u000a +p3713 +tp3714 +a(g7 +Vreturn +p3715 +tp3716 +a(g826 +g958 +tp3717 +a(g423 +VstringOutput +p3718 +tp3719 +a(g693 +g962 +tp3720 +a(g826 +V\u000a +p3721 +tp3722 +a(g826 +V +p3723 +tp3724 +a(g693 +g1037 +tp3725 +a(g826 +V\u000a +p3726 +tp3727 +a(g826 +V\u000a +p3728 +tp3729 +a(g826 +V +p3730 +tp3731 +a(g881 +Vbool +p3732 +tp3733 +a(g826 +g958 +tp3734 +a(g561 +VOnGetDataFromString +p3735 +tp3736 +a(g693 +g1133 +tp3737 +a(g881 +Vchar +p3738 +tp3739 +a(g826 +g958 +tp3740 +a(g400 +g1190 +tp3741 +a(g826 +g958 +tp3742 +a(g423 +Vstring +p3743 +tp3744 +a(g693 +g1137 +tp3745 +a(g826 +V\u000a +p3746 +tp3747 +a(g826 +V +p3748 +tp3749 +a(g693 +g990 +tp3750 +a(g826 +V\u000a +p3751 +tp3752 +a(g826 +V +p3753 +tp3754 +a(g669 +Vthis +p3755 +tp3756 +a(g826 +g958 +tp3757 +a(g400 +g1066 +tp3758 +a(g826 +g958 +tp3759 +a(g423 +VAnchor +p3760 +tp3761 +a(g826 +g958 +tp3762 +a(g693 +g990 +tp3763 +a(g693 +g1037 +tp3764 +a(g693 +g962 +tp3765 +a(g826 +V\u000a +p3766 +tp3767 +a(g826 +V +p3768 +tp3769 +a(g7 +Vreturn +p3770 +tp3771 +a(g826 +g958 +tp3772 +a(g7 +Vclass +p3773 +tp3774 +a(g400 +V: +p3775 +tp3776 +a(g400 +g3775 +tp3777 +a(g423 +VOnGetDataFromString +p3778 +tp3779 +a(g693 +g1133 +tp3780 +a(g423 +Vstring +p3781 +tp3782 +a(g693 +g1137 +tp3783 +a(g693 +g962 +tp3784 +a(g826 +V\u000a +p3785 +tp3786 +a(g826 +V +p3787 +tp3788 +a(g693 +g1037 +tp3789 +a(g826 +V\u000a +p3790 +tp3791 +a(g826 +V\u000a +p3792 +tp3793 +a(g826 +V +p3794 +tp3795 +a(g881 +Vbool +p3796 +tp3797 +a(g826 +g958 +tp3798 +a(g561 +VOnSaveEdit +p3799 +tp3800 +a(g693 +g1133 +tp3801 +a(g423 +VDropBox +p3802 +tp3803 +a(g826 +g958 +tp3804 +a(g423 +VdropBox +p3805 +tp3806 +a(g693 +g1203 +tp3807 +a(g826 +g958 +tp3808 +a(g881 +Vvoid +p3809 +tp3810 +a(g826 +g958 +tp3811 +a(g400 +g1190 +tp3812 +a(g826 +g958 +tp3813 +a(g423 +Vobject +p3814 +tp3815 +a(g693 +g1137 +tp3816 +a(g826 +V\u000a +p3817 +tp3818 +a(g826 +V +p3819 +tp3820 +a(g693 +g990 +tp3821 +a(g826 +V\u000a +p3822 +tp3823 +a(g826 +V +p3824 +tp3825 +a(g7 +Vreturn +p3826 +tp3827 +a(g826 +g958 +tp3828 +a(g423 +VdropBox +p3829 +tp3830 +a(g693 +g1450 +tp3831 +a(g423 +VSave +p3832 +tp3833 +a(g693 +g1133 +tp3834 +a(g693 +g1137 +tp3835 +a(g693 +g962 +tp3836 +a(g826 +V\u000a +p3837 +tp3838 +a(g826 +V +p3839 +tp3840 +a(g693 +g1037 +tp3841 +a(g826 +V\u000a +p3842 +tp3843 +a(g826 +V\u000a +p3844 +tp3845 +a(g826 +V +p3846 +tp3847 +a(g423 +VWindow +p3848 +tp3849 +a(g826 +g958 +tp3850 +a(g561 +VOnEdit +p3851 +tp3852 +a(g693 +g1133 +tp3853 +a(g423 +VWindow +p3854 +tp3855 +a(g826 +g958 +tp3856 +a(g423 +VlistBox +p3857 +tp3858 +a(g693 +g1203 +tp3859 +a(g826 +g958 +tp3860 +a(g423 +VWindow +p3861 +tp3862 +a(g826 +g958 +tp3863 +a(g423 +Vmaster +p3864 +tp3865 +a(g693 +g1203 +tp3866 +a(g826 +g958 +tp3867 +a(g881 +Vint +p3868 +tp3869 +a(g826 +g958 +tp3870 +a(g423 +Vx +p3871 +tp3872 +a(g693 +g1203 +tp3873 +a(g826 +g958 +tp3874 +a(g881 +Vint +p3875 +tp3876 +a(g826 +g958 +tp3877 +a(g423 +Vy +p3878 +tp3879 +a(g693 +g1203 +tp3880 +a(g826 +g958 +tp3881 +a(g881 +Vint +p3882 +tp3883 +a(g826 +g958 +tp3884 +a(g423 +Vw +p3885 +tp3886 +a(g693 +g1203 +tp3887 +a(g826 +g958 +tp3888 +a(g881 +Vint +p3889 +tp3890 +a(g826 +g958 +tp3891 +a(g423 +Vh +p3892 +tp3893 +a(g693 +g1203 +tp3894 +a(g826 +g958 +tp3895 +a(g423 +VWindow +p3896 +tp3897 +a(g826 +g958 +tp3898 +a(g423 +Vcontrol +p3899 +tp3900 +a(g693 +g1137 +tp3901 +a(g826 +V\u000a +p3902 +tp3903 +a(g826 +V +p3904 +tp3905 +a(g693 +g990 +tp3906 +a(g826 +V\u000a +p3907 +tp3908 +a(g826 +V +p3909 +tp3910 +a(g881 +Vchar +p3911 +tp3912 +a(g826 +g958 +tp3913 +a(g400 +g1190 +tp3914 +a(g826 +g958 +tp3915 +a(g423 +Vstring +p3916 +tp3917 +a(g826 +g958 +tp3918 +a(g400 +g1066 +tp3919 +a(g826 +g958 +tp3920 +a(g76 +g971 +tp3921 +a(g76 +g971 +tp3922 +a(g693 +g962 +tp3923 +a(g826 +V\u000a +p3924 +tp3925 +a(g826 +V +p3926 +tp3927 +a(g423 +VAnchorDropBox +p3928 +tp3929 +a(g826 +g958 +tp3930 +a(g423 +VcomboBox +p3931 +tp3932 +a(g826 +V\u000a +p3933 +tp3934 +a(g826 +V +p3935 +tp3936 +a(g693 +g990 +tp3937 +a(g826 +V\u000a +p3938 +tp3939 +a(g826 +V +p3940 +tp3941 +a(g423 +VeditText +p3942 +tp3943 +a(g826 +g958 +tp3944 +a(g400 +g1066 +tp3945 +a(g826 +g958 +tp3946 +a(g669 +Vtrue +p3947 +tp3948 +a(g693 +g962 +tp3949 +a(g826 +V\u000a +p3950 +tp3951 +a(g826 +V +p3952 +tp3953 +a(g423 +Vparent +p3954 +tp3955 +a(g826 +g958 +tp3956 +a(g400 +g1066 +tp3957 +a(g826 +g958 +tp3958 +a(g423 +VlistBox +p3959 +tp3960 +a(g693 +g962 +tp3961 +a(g826 +V\u000a +p3962 +tp3963 +a(g826 +V +p3964 +tp3965 +a(g423 +Vmaster +p3966 +tp3967 +a(g826 +g958 +tp3968 +a(g400 +g1066 +tp3969 +a(g826 +g958 +tp3970 +a(g423 +Vmaster +p3971 +tp3972 +a(g693 +g962 +tp3973 +a(g826 +V\u000a +p3974 +tp3975 +a(g826 +V +p3976 +tp3977 +a(g423 +Vposition +p3978 +tp3979 +a(g826 +g958 +tp3980 +a(g400 +g1066 +tp3981 +a(g826 +g958 +tp3982 +a(g423 +VPoint +p3983 +tp3984 +a(g826 +g958 +tp3985 +a(g693 +g990 +tp3986 +a(g826 +g958 +tp3987 +a(g423 +g3871 +tp3988 +a(g693 +g1203 +tp3989 +a(g826 +g958 +tp3990 +a(g423 +g3878 +tp3991 +a(g826 +g958 +tp3992 +a(g693 +g1037 +tp3993 +a(g693 +g962 +tp3994 +a(g826 +V\u000a +p3995 +tp3996 +a(g826 +V +p3997 +tp3998 +a(g745 +V//clientSize = Size { h = h };\u000a +p3999 +tp4000 +a(g826 +V +p4001 +tp4002 +a(g745 +V//size.w = w;\u000a +p4003 +tp4004 +a(g826 +V +p4005 +tp4006 +a(g423 +Vsize +p4007 +tp4008 +a(g826 +g958 +tp4009 +a(g400 +g1066 +tp4010 +a(g826 +g958 +tp4011 +a(g693 +g990 +tp4012 +a(g826 +g958 +tp4013 +a(g423 +g3885 +tp4014 +a(g693 +g1203 +tp4015 +a(g826 +g958 +tp4016 +a(g423 +g3892 +tp4017 +a(g826 +g958 +tp4018 +a(g693 +g1037 +tp4019 +a(g693 +g962 +tp4020 +a(g826 +V\u000a +p4021 +tp4022 +a(g826 +V +p4023 +tp4024 +a(g423 +VanchorValue +p4025 +tp4026 +a(g826 +g958 +tp4027 +a(g400 +g1066 +tp4028 +a(g826 +g958 +tp4029 +a(g669 +Vthis +p4030 +tp4031 +a(g693 +g962 +tp4032 +a(g826 +V\u000a +p4033 +tp4034 +a(g826 +V +p4035 +tp4036 +a(g423 +Vcontrol +p4037 +tp4038 +a(g826 +g958 +tp4039 +a(g400 +g1066 +tp4040 +a(g826 +g958 +tp4041 +a(g423 +Vcontrol +p4042 +tp4043 +a(g693 +g962 +tp4044 +a(g826 +V\u000a +p4045 +tp4046 +a(g826 +V +p4047 +tp4048 +a(g423 +VborderStyle +p4049 +tp4050 +a(g826 +g958 +tp4051 +a(g400 +g1066 +tp4052 +a(g826 +g958 +tp4053 +a(g22 +g1321 +tp4054 +a(g693 +g962 +tp4055 +a(g826 +V\u000a +p4056 +tp4057 +a(g826 +V +p4058 +tp4059 +a(g693 +g1037 +tp4060 +a(g693 +g962 +tp4061 +a(g826 +V\u000a +p4062 +tp4063 +a(g826 +V \u000a +p4064 +tp4065 +a(g423 +VcomboBox +p4066 +tp4067 +a(g693 +g1450 +tp4068 +a(g423 +VCreate +p4069 +tp4070 +a(g693 +g1133 +tp4071 +a(g693 +g1137 +tp4072 +a(g693 +g962 +tp4073 +a(g826 +V\u000a +p4074 +tp4075 +a(g826 +V\u000a +p4076 +tp4077 +a(g826 +V +p4078 +tp4079 +a(g693 +g990 +tp4080 +a(g826 +V\u000a +p4081 +tp4082 +a(g826 +V +p4083 +tp4084 +a(g881 +Vchar +p4085 +tp4086 +a(g826 +g958 +tp4087 +a(g423 +VtempString +p4088 +tp4089 +a(g693 +g1401 +tp4090 +a(g423 +VMAX_F_STRING +p4091 +tp4092 +a(g693 +g1404 +tp4093 +a(g826 +g958 +tp4094 +a(g400 +g1066 +tp4095 +a(g826 +g958 +tp4096 +a(g76 +g971 +tp4097 +a(g76 +g971 +tp4098 +a(g693 +g962 +tp4099 +a(g826 +V\u000a +p4100 +tp4101 +a(g826 +V +p4102 +tp4103 +a(g881 +Vbool +p4104 +tp4105 +a(g826 +g958 +tp4106 +a(g423 +VneedClass +p4107 +tp4108 +a(g826 +g958 +tp4109 +a(g400 +g1066 +tp4110 +a(g826 +g958 +tp4111 +a(g669 +Vfalse +p4112 +tp4113 +a(g693 +g962 +tp4114 +a(g826 +V\u000a +p4115 +tp4116 +a(g826 +V +p4117 +tp4118 +a(g881 +Vchar +p4119 +tp4120 +a(g826 +g958 +tp4121 +a(g400 +g1190 +tp4122 +a(g826 +g958 +tp4123 +a(g423 +Vresult +p4124 +tp4125 +a(g826 +g958 +tp4126 +a(g400 +g1066 +tp4127 +a(g826 +g958 +tp4128 +a(g423 +VOnGetString +p4129 +tp4130 +a(g693 +g1133 +tp4131 +a(g423 +VtempString +p4132 +tp4133 +a(g693 +g1203 +tp4134 +a(g826 +g958 +tp4135 +a(g669 +Vnull +p4136 +tp4137 +a(g693 +g1203 +tp4138 +a(g826 +g958 +tp4139 +a(g400 +g1684 +tp4140 +a(g423 +VneedClass +p4141 +tp4142 +a(g693 +g1137 +tp4143 +a(g693 +g962 +tp4144 +a(g826 +V\u000a +p4145 +tp4146 +a(g826 +V +p4147 +tp4148 +a(g7 +Vif +p4149 +tp4150 +a(g693 +g1133 +tp4151 +a(g423 +Vresult +p4152 +tp4153 +a(g693 +g1137 +tp4154 +a(g826 +g958 +tp4155 +a(g423 +Vstring +p4156 +tp4157 +a(g826 +g958 +tp4158 +a(g400 +g1066 +tp4159 +a(g826 +g958 +tp4160 +a(g423 +Vresult +p4161 +tp4162 +a(g693 +g962 +tp4163 +a(g826 +V\u000a +p4164 +tp4165 +a(g826 +V +p4166 +tp4167 +a(g693 +g1037 +tp4168 +a(g826 +V\u000a +p4169 +tp4170 +a(g826 +V +p4171 +tp4172 +a(g423 +VcomboBox +p4173 +tp4174 +a(g693 +g1450 +tp4175 +a(g423 +Vcontents +p4176 +tp4177 +a(g826 +g958 +tp4178 +a(g400 +g1066 +tp4179 +a(g826 +g958 +tp4180 +a(g423 +Vstring +p4181 +tp4182 +a(g693 +g962 +tp4183 +a(g826 +V\u000a +p4184 +tp4185 +a(g826 +V +p4186 +tp4187 +a(g7 +Vreturn +p4188 +tp4189 +a(g826 +g958 +tp4190 +a(g423 +VcomboBox +p4191 +tp4192 +a(g693 +g962 +tp4193 +a(g826 +V\u000a +p4194 +tp4195 +a(g826 +V +p4196 +tp4197 +a(g693 +g1037 +tp4198 +a(g826 +V\u000a +p4199 +tp4200 +a(g693 +g1037 +tp4201 +a(g693 +g962 +tp4202 +a(g826 +V\u000a +p4203 +tp4204 +a(g826 +V\u000a +p4205 +tp4206 +a(g7 +Vprivate +p4207 +tp4208 +a(g826 +g958 +tp4209 +a(g7 +Vclass +p4210 +tp4211 +a(g826 +g958 +tp4212 +a(g584 +VAnchorButton +p4213 +tp4214 +a(g826 +g958 +tp4215 +a(g693 +g3775 +tp4216 +a(g826 +g958 +tp4217 +a(g423 +VButton +p4218 +tp4219 +a(g826 +V\u000a +p4220 +tp4221 +a(g693 +g990 +tp4222 +a(g826 +V\u000a +p4223 +tp4224 +a(g826 +V +p4225 +tp4226 +a(g423 +Vtoggle +p4227 +tp4228 +a(g826 +g958 +tp4229 +a(g400 +g1066 +tp4230 +a(g826 +g958 +tp4231 +a(g669 +Vtrue +p4232 +tp4233 +a(g693 +g1203 +tp4234 +a(g826 +g958 +tp4235 +a(g423 +Vbevel +p4236 +tp4237 +a(g826 +g958 +tp4238 +a(g400 +g1066 +tp4239 +a(g826 +g958 +tp4240 +a(g669 +Vfalse +p4241 +tp4242 +a(g693 +g962 +tp4243 +a(g826 +V\u000a +p4244 +tp4245 +a(g826 +V\u000a +p4246 +tp4247 +a(g826 +V +p4248 +tp4249 +a(g881 +Vvoid +p4250 +tp4251 +a(g826 +g958 +tp4252 +a(g561 +VOnRedraw +p4253 +tp4254 +a(g693 +g1133 +tp4255 +a(g423 +VSurface +p4256 +tp4257 +a(g826 +g958 +tp4258 +a(g423 +Vsurface +p4259 +tp4260 +a(g693 +g1137 +tp4261 +a(g826 +V\u000a +p4262 +tp4263 +a(g826 +V +p4264 +tp4265 +a(g693 +g990 +tp4266 +a(g826 +V\u000a +p4267 +tp4268 +a(g826 +V +p4269 +tp4270 +a(g881 +Vint +p4271 +tp4272 +a(g826 +g958 +tp4273 +a(g423 +Vcw +p4274 +tp4275 +a(g826 +g958 +tp4276 +a(g400 +g1066 +tp4277 +a(g826 +g958 +tp4278 +a(g423 +VclientSize +p4279 +tp4280 +a(g693 +g1450 +tp4281 +a(g423 +g3885 +tp4282 +a(g693 +g962 +tp4283 +a(g826 +V\u000a +p4284 +tp4285 +a(g826 +V +p4286 +tp4287 +a(g881 +Vint +p4288 +tp4289 +a(g826 +g958 +tp4290 +a(g423 +Vch +p4291 +tp4292 +a(g826 +g958 +tp4293 +a(g400 +g1066 +tp4294 +a(g826 +g958 +tp4295 +a(g423 +VclientSize +p4296 +tp4297 +a(g693 +g1450 +tp4298 +a(g423 +g3892 +tp4299 +a(g693 +g962 +tp4300 +a(g826 +V\u000a +p4301 +tp4302 +a(g826 +V\u000a +p4303 +tp4304 +a(g826 +V +p4305 +tp4306 +a(g423 +Vsurface +p4307 +tp4308 +a(g693 +g1450 +tp4309 +a(g423 +VSetForeground +p4310 +tp4311 +a(g693 +g1133 +tp4312 +a(g423 +Vblack +p4313 +tp4314 +a(g693 +g1137 +tp4315 +a(g693 +g962 +tp4316 +a(g826 +V\u000a +p4317 +tp4318 +a(g826 +V +p4319 +tp4320 +a(g7 +Vif +p4321 +tp4322 +a(g693 +g1133 +tp4323 +a(g423 +Vchecked +p4324 +tp4325 +a(g693 +g1137 +tp4326 +a(g826 +V\u000a +p4327 +tp4328 +a(g826 +V +p4329 +tp4330 +a(g693 +g990 +tp4331 +a(g826 +V\u000a +p4332 +tp4333 +a(g826 +V +p4334 +tp4335 +a(g423 +Vsurface +p4336 +tp4337 +a(g693 +g1450 +tp4338 +a(g423 +VSetBackground +p4339 +tp4340 +a(g693 +g1133 +tp4341 +a(g423 +VColor +p4342 +tp4343 +a(g826 +g958 +tp4344 +a(g693 +g990 +tp4345 +a(g826 +g958 +tp4346 +a(g22 +V85 +p4347 +tp4348 +a(g693 +g1203 +tp4349 +a(g22 +V85 +p4350 +tp4351 +a(g693 +g1203 +tp4352 +a(g22 +V85 +p4353 +tp4354 +a(g826 +g958 +tp4355 +a(g693 +g1037 +tp4356 +a(g693 +g1137 +tp4357 +a(g693 +g962 +tp4358 +a(g826 +V\u000a +p4359 +tp4360 +a(g826 +V +p4361 +tp4362 +a(g423 +Vsurface +p4363 +tp4364 +a(g693 +g1450 +tp4365 +a(g423 +VArea +p4366 +tp4367 +a(g693 +g1133 +tp4368 +a(g22 +g1321 +tp4369 +a(g693 +g1203 +tp4370 +a(g22 +g1321 +tp4371 +a(g693 +g1203 +tp4372 +a(g826 +g958 +tp4373 +a(g423 +Vcw +p4374 +tp4375 +a(g400 +g1359 +tp4376 +a(g22 +g1361 +tp4377 +a(g693 +g1203 +tp4378 +a(g826 +g958 +tp4379 +a(g423 +Vch +p4380 +tp4381 +a(g400 +g1359 +tp4382 +a(g22 +g1361 +tp4383 +a(g693 +g1137 +tp4384 +a(g693 +g962 +tp4385 +a(g826 +V\u000a +p4386 +tp4387 +a(g826 +V +p4388 +tp4389 +a(g693 +g1037 +tp4390 +a(g826 +V\u000a +p4391 +tp4392 +a(g826 +V +p4393 +tp4394 +a(g7 +Velse +p4395 +tp4396 +a(g826 +V\u000a +p4397 +tp4398 +a(g826 +V +p4399 +tp4400 +a(g423 +Vsurface +p4401 +tp4402 +a(g693 +g1450 +tp4403 +a(g423 +VLineStipple +p4404 +tp4405 +a(g693 +g1133 +tp4406 +a(g285 +V0xAAAA +p4407 +tp4408 +a(g693 +g1137 +tp4409 +a(g693 +g962 +tp4410 +a(g826 +V\u000a +p4411 +tp4412 +a(g826 +V\u000a +p4413 +tp4414 +a(g826 +V +p4415 +tp4416 +a(g423 +Vsurface +p4417 +tp4418 +a(g693 +g1450 +tp4419 +a(g423 +VRectangle +p4420 +tp4421 +a(g693 +g1133 +tp4422 +a(g22 +g1321 +tp4423 +a(g693 +g1203 +tp4424 +a(g22 +g1321 +tp4425 +a(g693 +g1203 +tp4426 +a(g423 +Vcw +p4427 +tp4428 +a(g400 +g1359 +tp4429 +a(g22 +g1361 +tp4430 +a(g693 +g1203 +tp4431 +a(g423 +Vch +p4432 +tp4433 +a(g400 +g1359 +tp4434 +a(g22 +g1361 +tp4435 +a(g693 +g1137 +tp4436 +a(g693 +g962 +tp4437 +a(g826 +V\u000a +p4438 +tp4439 +a(g826 +V\u000a +p4440 +tp4441 +a(g826 +V +p4442 +tp4443 +a(g7 +Vif +p4444 +tp4445 +a(g693 +g1133 +tp4446 +a(g423 +Vactive +p4447 +tp4448 +a(g693 +g1137 +tp4449 +a(g826 +V\u000a +p4450 +tp4451 +a(g826 +V +p4452 +tp4453 +a(g693 +g990 +tp4454 +a(g826 +V\u000a +p4455 +tp4456 +a(g826 +V +p4457 +tp4458 +a(g423 +Vsurface +p4459 +tp4460 +a(g693 +g1450 +tp4461 +a(g423 +VLineStipple +p4462 +tp4463 +a(g693 +g1133 +tp4464 +a(g285 +V0xAAAA +p4465 +tp4466 +a(g693 +g1137 +tp4467 +a(g693 +g962 +tp4468 +a(g826 +V\u000a +p4469 +tp4470 +a(g826 +V +p4471 +tp4472 +a(g423 +Vsurface +p4473 +tp4474 +a(g693 +g1450 +tp4475 +a(g423 +VRectangle +p4476 +tp4477 +a(g693 +g1133 +tp4478 +a(g22 +g1507 +tp4479 +a(g693 +g1203 +tp4480 +a(g22 +g1507 +tp4481 +a(g693 +g1203 +tp4482 +a(g423 +Vcw +p4483 +tp4484 +a(g400 +g1359 +tp4485 +a(g22 +V3 +p4486 +tp4487 +a(g693 +g1203 +tp4488 +a(g423 +Vch +p4489 +tp4490 +a(g400 +g1359 +tp4491 +a(g22 +g4486 +tp4492 +a(g693 +g1137 +tp4493 +a(g693 +g962 +tp4494 +a(g826 +V\u000a +p4495 +tp4496 +a(g826 +V +p4497 +tp4498 +a(g693 +g1037 +tp4499 +a(g826 +V\u000a +p4500 +tp4501 +a(g826 +V +p4502 +tp4503 +a(g693 +g1037 +tp4504 +a(g826 +V\u000a +p4505 +tp4506 +a(g826 +V\u000a +p4507 +tp4508 +a(g826 +V +p4509 +tp4510 +a(g881 +Vbool +p4511 +tp4512 +a(g826 +g958 +tp4513 +a(g423 +VAnchorEditor +p4514 +tp4515 +a(g400 +g3775 +tp4516 +a(g400 +g3775 +tp4517 +a(g423 +VNotifyClicked +p4518 +tp4519 +a(g693 +g1133 +tp4520 +a(g423 +VButton +p4521 +tp4522 +a(g826 +g958 +tp4523 +a(g423 +Vbutton +p4524 +tp4525 +a(g693 +g1203 +tp4526 +a(g826 +g958 +tp4527 +a(g881 +Vint +p4528 +tp4529 +a(g826 +g958 +tp4530 +a(g423 +g3871 +tp4531 +a(g693 +g1203 +tp4532 +a(g826 +g958 +tp4533 +a(g881 +Vint +p4534 +tp4535 +a(g826 +g958 +tp4536 +a(g423 +g3878 +tp4537 +a(g693 +g1203 +tp4538 +a(g826 +g958 +tp4539 +a(g423 +VModifiers +p4540 +tp4541 +a(g826 +g958 +tp4542 +a(g423 +Vmods +p4543 +tp4544 +a(g693 +g1137 +tp4545 +a(g826 +V\u000a +p4546 +tp4547 +a(g826 +V +p4548 +tp4549 +a(g693 +g990 +tp4550 +a(g826 +V\u000a +p4551 +tp4552 +a(g826 +V +p4553 +tp4554 +a(g423 +VAnchorDropBox +p4555 +tp4556 +a(g826 +g958 +tp4557 +a(g423 +VanchorDropBox +p4558 +tp4559 +a(g826 +g958 +tp4560 +a(g400 +g1066 +tp4561 +a(g826 +g958 +tp4562 +a(g693 +g1133 +tp4563 +a(g423 +VAnchorDropBox +p4564 +tp4565 +a(g693 +g1137 +tp4566 +a(g423 +Vmaster +p4567 +tp4568 +a(g693 +g962 +tp4569 +a(g826 +V\u000a +p4570 +tp4571 +a(g826 +V +p4572 +tp4573 +a(g423 +VAnchor +p4574 +tp4575 +a(g826 +g958 +tp4576 +a(g423 +Vanchor +p4577 +tp4578 +a(g826 +g958 +tp4579 +a(g400 +g1066 +tp4580 +a(g826 +g958 +tp4581 +a(g423 +VanchorDropBox +p4582 +tp4583 +a(g693 +g1450 +tp4584 +a(g423 +VanchorValue +p4585 +tp4586 +a(g693 +g962 +tp4587 +a(g826 +V\u000a +p4588 +tp4589 +a(g826 +V +p4590 +tp4591 +a(g423 +VWindow +p4592 +tp4593 +a(g826 +g958 +tp4594 +a(g423 +Vcontrol +p4595 +tp4596 +a(g826 +g958 +tp4597 +a(g400 +g1066 +tp4598 +a(g826 +g958 +tp4599 +a(g423 +VanchorDropBox +p4600 +tp4601 +a(g693 +g1450 +tp4602 +a(g423 +Vcontrol +p4603 +tp4604 +a(g693 +g962 +tp4605 +a(g826 +V\u000a +p4606 +tp4607 +a(g826 +V +p4608 +tp4609 +a(g423 +VDataBox +p4610 +tp4611 +a(g826 +g958 +tp4612 +a(g423 +VdropMaster +p4613 +tp4614 +a(g826 +g958 +tp4615 +a(g400 +g1066 +tp4616 +a(g826 +g958 +tp4617 +a(g693 +g1133 +tp4618 +a(g423 +VDataBox +p4619 +tp4620 +a(g693 +g1137 +tp4621 +a(g423 +VanchorDropBox +p4622 +tp4623 +a(g693 +g1450 +tp4624 +a(g423 +Vmaster +p4625 +tp4626 +a(g693 +g962 +tp4627 +a(g826 +V\u000a +p4628 +tp4629 +a(g826 +V +p4630 +tp4631 +a(g881 +Vint +p4632 +tp4633 +a(g826 +g958 +tp4634 +a(g423 +Vid +p4635 +tp4636 +a(g826 +g958 +tp4637 +a(g400 +g1066 +tp4638 +a(g826 +g958 +tp4639 +a(g423 +Vbutton +p4640 +tp4641 +a(g693 +g1450 +tp4642 +a(g423 +Vid +p4643 +tp4644 +a(g693 +g962 +tp4645 +a(g826 +V\u000a +p4646 +tp4647 +a(g826 +V\u000a +p4648 +tp4649 +a(g826 +V +p4650 +tp4651 +a(g7 +Vswitch +p4652 +tp4653 +a(g693 +g1133 +tp4654 +a(g423 +Vid +p4655 +tp4656 +a(g693 +g1137 +tp4657 +a(g826 +V\u000a +p4658 +tp4659 +a(g826 +V +p4660 +tp4661 +a(g693 +g990 +tp4662 +a(g826 +V\u000a +p4663 +tp4664 +a(g826 +V +p4665 +tp4666 +a(g7 +Vcase +p4667 +tp4668 +a(g826 +g958 +tp4669 +a(g22 +g1321 +tp4670 +a(g400 +g3775 +tp4671 +a(g826 +g958 +tp4672 +a(g423 +Vanchor +p4673 +tp4674 +a(g693 +g1450 +tp4675 +a(g423 +Vleft +p4676 +tp4677 +a(g693 +g1450 +tp4678 +a(g423 +Vtype +p4679 +tp4680 +a(g826 +V +p4681 +tp4682 +a(g400 +g1066 +tp4683 +a(g826 +g958 +tp4684 +a(g423 +Vbutton +p4685 +tp4686 +a(g693 +g1450 +tp4687 +a(g423 +Vchecked +p4688 +tp4689 +a(g826 +g958 +tp4690 +a(g400 +V? +p4691 +tp4692 +a(g826 +g958 +tp4693 +a(g584 +Voffset +p4694 +tp4695 +a(g826 +g958 +tp4696 +a(g693 +g3775 +tp4697 +a(g826 +g958 +tp4698 +a(g423 +Vnone +p4699 +tp4700 +a(g693 +g962 +tp4701 +a(g826 +g958 +tp4702 +a(g7 +Vbreak +p4703 +tp4704 +a(g693 +g962 +tp4705 +a(g826 +V\u000a +p4706 +tp4707 +a(g826 +V +p4708 +tp4709 +a(g7 +Vcase +p4710 +tp4711 +a(g826 +g958 +tp4712 +a(g22 +g1361 +tp4713 +a(g400 +g3775 +tp4714 +a(g826 +g958 +tp4715 +a(g423 +Vanchor +p4716 +tp4717 +a(g693 +g1450 +tp4718 +a(g423 +Vtop +p4719 +tp4720 +a(g693 +g1450 +tp4721 +a(g423 +Vtype +p4722 +tp4723 +a(g826 +V +p4724 +tp4725 +a(g400 +g1066 +tp4726 +a(g826 +g958 +tp4727 +a(g423 +Vbutton +p4728 +tp4729 +a(g693 +g1450 +tp4730 +a(g423 +Vchecked +p4731 +tp4732 +a(g826 +g958 +tp4733 +a(g400 +g4691 +tp4734 +a(g826 +g958 +tp4735 +a(g584 +Voffset +p4736 +tp4737 +a(g826 +g958 +tp4738 +a(g693 +g3775 +tp4739 +a(g826 +g958 +tp4740 +a(g423 +Vnone +p4741 +tp4742 +a(g693 +g962 +tp4743 +a(g826 +g958 +tp4744 +a(g7 +Vbreak +p4745 +tp4746 +a(g693 +g962 +tp4747 +a(g826 +V\u000a +p4748 +tp4749 +a(g826 +V +p4750 +tp4751 +a(g7 +Vcase +p4752 +tp4753 +a(g826 +g958 +tp4754 +a(g22 +g1507 +tp4755 +a(g400 +g3775 +tp4756 +a(g826 +g958 +tp4757 +a(g423 +Vanchor +p4758 +tp4759 +a(g693 +g1450 +tp4760 +a(g423 +Vright +p4761 +tp4762 +a(g693 +g1450 +tp4763 +a(g423 +Vtype +p4764 +tp4765 +a(g826 +V +p4766 +tp4767 +a(g400 +g1066 +tp4768 +a(g826 +g958 +tp4769 +a(g423 +Vbutton +p4770 +tp4771 +a(g693 +g1450 +tp4772 +a(g423 +Vchecked +p4773 +tp4774 +a(g826 +g958 +tp4775 +a(g400 +g4691 +tp4776 +a(g826 +g958 +tp4777 +a(g584 +Voffset +p4778 +tp4779 +a(g826 +g958 +tp4780 +a(g693 +g3775 +tp4781 +a(g826 +g958 +tp4782 +a(g423 +Vnone +p4783 +tp4784 +a(g693 +g962 +tp4785 +a(g826 +g958 +tp4786 +a(g7 +Vbreak +p4787 +tp4788 +a(g693 +g962 +tp4789 +a(g826 +V\u000a +p4790 +tp4791 +a(g826 +V +p4792 +tp4793 +a(g7 +Vcase +p4794 +tp4795 +a(g826 +g958 +tp4796 +a(g22 +g4486 +tp4797 +a(g400 +g3775 +tp4798 +a(g826 +g958 +tp4799 +a(g423 +Vanchor +p4800 +tp4801 +a(g693 +g1450 +tp4802 +a(g423 +Vbottom +p4803 +tp4804 +a(g693 +g1450 +tp4805 +a(g423 +Vtype +p4806 +tp4807 +a(g826 +g958 +tp4808 +a(g400 +g1066 +tp4809 +a(g826 +g958 +tp4810 +a(g423 +Vbutton +p4811 +tp4812 +a(g693 +g1450 +tp4813 +a(g423 +Vchecked +p4814 +tp4815 +a(g826 +g958 +tp4816 +a(g400 +g4691 +tp4817 +a(g826 +g958 +tp4818 +a(g584 +Voffset +p4819 +tp4820 +a(g826 +g958 +tp4821 +a(g693 +g3775 +tp4822 +a(g826 +g958 +tp4823 +a(g423 +Vnone +p4824 +tp4825 +a(g693 +g962 +tp4826 +a(g826 +g958 +tp4827 +a(g7 +Vbreak +p4828 +tp4829 +a(g693 +g962 +tp4830 +a(g826 +V\u000a +p4831 +tp4832 +a(g826 +V +p4833 +tp4834 +a(g693 +g1037 +tp4835 +a(g826 +V\u000a +p4836 +tp4837 +a(g826 +V\u000a +p4838 +tp4839 +a(g826 +V +p4840 +tp4841 +a(g7 +Vif +p4842 +tp4843 +a(g693 +g1133 +tp4844 +a(g423 +Vanchor +p4845 +tp4846 +a(g693 +g1450 +tp4847 +a(g423 +Vhorz +p4848 +tp4849 +a(g693 +g1450 +tp4850 +a(g423 +Vtype +p4851 +tp4852 +a(g826 +g958 +tp4853 +a(g400 +g1066 +tp4854 +a(g400 +g1066 +tp4855 +a(g826 +g958 +tp4856 +a(g423 +VmiddleRelative +p4857 +tp4858 +a(g826 +g958 +tp4859 +a(g400 +g1684 +tp4860 +a(g400 +g1684 +tp4861 +a(g826 +g958 +tp4862 +a(g693 +g1133 +tp4863 +a(g423 +Vid +p4864 +tp4865 +a(g826 +g958 +tp4866 +a(g400 +g1066 +tp4867 +a(g400 +g1066 +tp4868 +a(g826 +g958 +tp4869 +a(g22 +g1321 +tp4870 +a(g826 +g958 +tp4871 +a(g400 +g3056 +tp4872 +a(g400 +g3056 +tp4873 +a(g826 +g958 +tp4874 +a(g423 +Vid +p4875 +tp4876 +a(g826 +g958 +tp4877 +a(g400 +g1066 +tp4878 +a(g400 +g1066 +tp4879 +a(g826 +g958 +tp4880 +a(g22 +g1507 +tp4881 +a(g693 +g1137 +tp4882 +a(g693 +g1137 +tp4883 +a(g826 +V\u000a +p4884 +tp4885 +a(g826 +V +p4886 +tp4887 +a(g693 +g990 +tp4888 +a(g826 +V\u000a +p4889 +tp4890 +a(g826 +V +p4891 +tp4892 +a(g423 +VanchorDropBox +p4893 +tp4894 +a(g693 +g1450 +tp4895 +a(g423 +VrelButtons +p4896 +tp4897 +a(g693 +g1401 +tp4898 +a(g22 +g1321 +tp4899 +a(g693 +g1404 +tp4900 +a(g693 +g1450 +tp4901 +a(g423 +Vchecked +p4902 +tp4903 +a(g826 +g958 +tp4904 +a(g400 +g1066 +tp4905 +a(g826 +g958 +tp4906 +a(g669 +Vfalse +p4907 +tp4908 +a(g693 +g962 +tp4909 +a(g826 +V\u000a +p4910 +tp4911 +a(g826 +V +p4912 +tp4913 +a(g423 +VanchorDropBox +p4914 +tp4915 +a(g693 +g1450 +tp4916 +a(g423 +VrelButtons +p4917 +tp4918 +a(g693 +g1401 +tp4919 +a(g22 +g1507 +tp4920 +a(g693 +g1404 +tp4921 +a(g693 +g1450 +tp4922 +a(g423 +Vchecked +p4923 +tp4924 +a(g826 +g958 +tp4925 +a(g400 +g1066 +tp4926 +a(g826 +g958 +tp4927 +a(g669 +Vfalse +p4928 +tp4929 +a(g693 +g962 +tp4930 +a(g826 +V\u000a +p4931 +tp4932 +a(g826 +V +p4933 +tp4934 +a(g693 +g1037 +tp4935 +a(g826 +V\u000a +p4936 +tp4937 +a(g826 +V +p4938 +tp4939 +a(g7 +Vif +p4940 +tp4941 +a(g693 +g1133 +tp4942 +a(g423 +Vanchor +p4943 +tp4944 +a(g693 +g1450 +tp4945 +a(g423 +Vvert +p4946 +tp4947 +a(g693 +g1450 +tp4948 +a(g423 +Vtype +p4949 +tp4950 +a(g826 +g958 +tp4951 +a(g400 +g1066 +tp4952 +a(g400 +g1066 +tp4953 +a(g826 +g958 +tp4954 +a(g423 +VmiddleRelative +p4955 +tp4956 +a(g826 +g958 +tp4957 +a(g400 +g1684 +tp4958 +a(g400 +g1684 +tp4959 +a(g826 +g958 +tp4960 +a(g693 +g1133 +tp4961 +a(g423 +Vid +p4962 +tp4963 +a(g826 +g958 +tp4964 +a(g400 +g1066 +tp4965 +a(g400 +g1066 +tp4966 +a(g826 +g958 +tp4967 +a(g22 +g1361 +tp4968 +a(g826 +g958 +tp4969 +a(g400 +g3056 +tp4970 +a(g400 +g3056 +tp4971 +a(g826 +g958 +tp4972 +a(g423 +Vid +p4973 +tp4974 +a(g826 +g958 +tp4975 +a(g400 +g1066 +tp4976 +a(g400 +g1066 +tp4977 +a(g826 +g958 +tp4978 +a(g22 +g4486 +tp4979 +a(g693 +g1137 +tp4980 +a(g693 +g1137 +tp4981 +a(g826 +V\u000a +p4982 +tp4983 +a(g826 +V +p4984 +tp4985 +a(g693 +g990 +tp4986 +a(g826 +V\u000a +p4987 +tp4988 +a(g826 +V +p4989 +tp4990 +a(g423 +VanchorDropBox +p4991 +tp4992 +a(g693 +g1450 +tp4993 +a(g423 +VrelButtons +p4994 +tp4995 +a(g693 +g1401 +tp4996 +a(g22 +g1361 +tp4997 +a(g693 +g1404 +tp4998 +a(g693 +g1450 +tp4999 +a(g423 +Vchecked +p5000 +tp5001 +a(g826 +g958 +tp5002 +a(g400 +g1066 +tp5003 +a(g826 +g958 +tp5004 +a(g669 +Vfalse +p5005 +tp5006 +a(g693 +g962 +tp5007 +a(g826 +V\u000a +p5008 +tp5009 +a(g826 +V +p5010 +tp5011 +a(g423 +VanchorDropBox +p5012 +tp5013 +a(g693 +g1450 +tp5014 +a(g423 +VrelButtons +p5015 +tp5016 +a(g693 +g1401 +tp5017 +a(g22 +g4486 +tp5018 +a(g693 +g1404 +tp5019 +a(g693 +g1450 +tp5020 +a(g423 +Vchecked +p5021 +tp5022 +a(g826 +g958 +tp5023 +a(g400 +g1066 +tp5024 +a(g826 +g958 +tp5025 +a(g669 +Vfalse +p5026 +tp5027 +a(g693 +g962 +tp5028 +a(g826 +V\u000a +p5029 +tp5030 +a(g826 +V +p5031 +tp5032 +a(g693 +g1037 +tp5033 +a(g826 +V\u000a +p5034 +tp5035 +a(g826 +V +p5036 +tp5037 +a(g423 +VanchorDropBox +p5038 +tp5039 +a(g693 +g1450 +tp5040 +a(g423 +VrelButtons +p5041 +tp5042 +a(g693 +g1401 +tp5043 +a(g423 +Vid +p5044 +tp5045 +a(g693 +g1404 +tp5046 +a(g693 +g1450 +tp5047 +a(g423 +Vchecked +p5048 +tp5049 +a(g826 +g958 +tp5050 +a(g400 +g1066 +tp5051 +a(g826 +g958 +tp5052 +a(g669 +Vfalse +p5053 +tp5054 +a(g693 +g962 +tp5055 +a(g826 +V\u000a +p5056 +tp5057 +a(g826 +V\u000a +p5058 +tp5059 +a(g826 +V +p5060 +tp5061 +a(g745 +V//anchor.horz.type = none;\u000a +p5062 +tp5063 +a(g826 +V +p5064 +tp5065 +a(g745 +V//anchor.vert.type = none;\u000a +p5066 +tp5067 +a(g826 +V\u000a +p5068 +tp5069 +a(g826 +V +p5070 +tp5071 +a(g693 +g990 +tp5072 +a(g826 +V\u000a +p5073 +tp5074 +a(g826 +V +p5075 +tp5076 +a(g881 +Vint +p5077 +tp5078 +a(g826 +g958 +tp5079 +a(g423 +Vvpw +p5080 +tp5081 +a(g693 +g1203 +tp5082 +a(g826 +g958 +tp5083 +a(g423 +Vvph +p5084 +tp5085 +a(g693 +g962 +tp5086 +a(g826 +V\u000a +p5087 +tp5088 +a(g826 +V +p5089 +tp5090 +a(g881 +Vint +p5091 +tp5092 +a(g826 +g958 +tp5093 +a(g423 +g3871 +tp5094 +a(g693 +g1203 +tp5095 +a(g423 +g3878 +tp5096 +a(g693 +g1203 +tp5097 +a(g423 +g3885 +tp5098 +a(g693 +g1203 +tp5099 +a(g423 +g3892 +tp5100 +a(g693 +g962 +tp5101 +a(g826 +V\u000a +p5102 +tp5103 +a(g826 +V +p5104 +tp5105 +a(g423 +VWindow +p5106 +tp5107 +a(g826 +g958 +tp5108 +a(g423 +Vparent +p5109 +tp5110 +a(g826 +g958 +tp5111 +a(g400 +g1066 +tp5112 +a(g826 +g958 +tp5113 +a(g423 +Vcontrol +p5114 +tp5115 +a(g693 +g1450 +tp5116 +a(g423 +Vparent +p5117 +tp5118 +a(g693 +g962 +tp5119 +a(g826 +V\u000a +p5120 +tp5121 +a(g826 +V\u000a +p5122 +tp5123 +a(g826 +V +p5124 +tp5125 +a(g745 +V// Fix Anchor\u000a +p5126 +tp5127 +a(g826 +V +p5128 +tp5129 +a(g423 +g3871 +tp5130 +a(g826 +g958 +tp5131 +a(g400 +g1066 +tp5132 +a(g826 +g958 +tp5133 +a(g423 +Vcontrol +p5134 +tp5135 +a(g693 +g1450 +tp5136 +a(g423 +Vposition +p5137 +tp5138 +a(g693 +g1450 +tp5139 +a(g423 +g3871 +tp5140 +a(g693 +g962 +tp5141 +a(g826 +V\u000a +p5142 +tp5143 +a(g826 +V +p5144 +tp5145 +a(g423 +g3878 +tp5146 +a(g826 +g958 +tp5147 +a(g400 +g1066 +tp5148 +a(g826 +g958 +tp5149 +a(g423 +Vcontrol +p5150 +tp5151 +a(g693 +g1450 +tp5152 +a(g423 +Vposition +p5153 +tp5154 +a(g693 +g1450 +tp5155 +a(g423 +g3878 +tp5156 +a(g693 +g962 +tp5157 +a(g826 +V\u000a +p5158 +tp5159 +a(g826 +V +p5160 +tp5161 +a(g423 +g3885 +tp5162 +a(g826 +g958 +tp5163 +a(g400 +g1066 +tp5164 +a(g826 +g958 +tp5165 +a(g423 +Vcontrol +p5166 +tp5167 +a(g693 +g1450 +tp5168 +a(g423 +Vsize +p5169 +tp5170 +a(g693 +g1450 +tp5171 +a(g423 +g3885 +tp5172 +a(g693 +g962 +tp5173 +a(g826 +V\u000a +p5174 +tp5175 +a(g826 +V +p5176 +tp5177 +a(g423 +g3892 +tp5178 +a(g826 +g958 +tp5179 +a(g400 +g1066 +tp5180 +a(g826 +g958 +tp5181 +a(g423 +Vcontrol +p5182 +tp5183 +a(g693 +g1450 +tp5184 +a(g423 +Vsize +p5185 +tp5186 +a(g693 +g1450 +tp5187 +a(g423 +g3892 +tp5188 +a(g693 +g962 +tp5189 +a(g826 +V\u000a +p5190 +tp5191 +a(g826 +V\u000a +p5192 +tp5193 +a(g826 +V +p5194 +tp5195 +a(g423 +Vvpw +p5196 +tp5197 +a(g826 +g958 +tp5198 +a(g400 +g1066 +tp5199 +a(g826 +g958 +tp5200 +a(g423 +Vparent +p5201 +tp5202 +a(g693 +g1450 +tp5203 +a(g423 +VclientSize +p5204 +tp5205 +a(g693 +g1450 +tp5206 +a(g423 +g3885 +tp5207 +a(g693 +g962 +tp5208 +a(g826 +V\u000a +p5209 +tp5210 +a(g826 +V +p5211 +tp5212 +a(g423 +Vvph +p5213 +tp5214 +a(g826 +g958 +tp5215 +a(g400 +g1066 +tp5216 +a(g826 +g958 +tp5217 +a(g423 +Vparent +p5218 +tp5219 +a(g693 +g1450 +tp5220 +a(g423 +VclientSize +p5221 +tp5222 +a(g693 +g1450 +tp5223 +a(g423 +g3892 +tp5224 +a(g693 +g962 +tp5225 +a(g826 +V\u000a +p5226 +tp5227 +a(g826 +V +p5228 +tp5229 +a(g7 +Vif +p5230 +tp5231 +a(g693 +g1133 +tp5232 +a(g423 +Vcontrol +p5233 +tp5234 +a(g693 +g1450 +tp5235 +a(g423 +VnonClient +p5236 +tp5237 +a(g693 +g1137 +tp5238 +a(g826 +V\u000a +p5239 +tp5240 +a(g826 +V +p5241 +tp5242 +a(g693 +g990 +tp5243 +a(g826 +V\u000a +p5244 +tp5245 +a(g826 +V +p5246 +tp5247 +a(g423 +Vvpw +p5248 +tp5249 +a(g826 +g958 +tp5250 +a(g400 +g1066 +tp5251 +a(g826 +g958 +tp5252 +a(g423 +Vparent +p5253 +tp5254 +a(g693 +g1450 +tp5255 +a(g423 +Vsize +p5256 +tp5257 +a(g693 +g1450 +tp5258 +a(g423 +g3885 +tp5259 +a(g693 +g962 +tp5260 +a(g826 +V\u000a +p5261 +tp5262 +a(g826 +V +p5263 +tp5264 +a(g423 +Vvph +p5265 +tp5266 +a(g826 +g958 +tp5267 +a(g400 +g1066 +tp5268 +a(g826 +g958 +tp5269 +a(g423 +Vparent +p5270 +tp5271 +a(g693 +g1450 +tp5272 +a(g423 +Vsize +p5273 +tp5274 +a(g693 +g1450 +tp5275 +a(g423 +g3892 +tp5276 +a(g693 +g962 +tp5277 +a(g826 +V\u000a +p5278 +tp5279 +a(g826 +V +p5280 +tp5281 +a(g693 +g1037 +tp5282 +a(g826 +V\u000a +p5283 +tp5284 +a(g826 +V +p5285 +tp5286 +a(g7 +Velse +p5287 +tp5288 +a(g826 +g958 +tp5289 +a(g7 +Vif +p5290 +tp5291 +a(g693 +g1133 +tp5292 +a(g693 +g1133 +tp5293 +a(g693 +g1133 +tp5294 +a(g423 +VBorderBits +p5295 +tp5296 +a(g693 +g1137 +tp5297 +a(g423 +Vcontrol +p5298 +tp5299 +a(g693 +g1450 +tp5300 +a(g423 +VborderStyle +p5301 +tp5302 +a(g693 +g1137 +tp5303 +a(g693 +g1450 +tp5304 +a(g423 +Vfixed +p5305 +tp5306 +a(g693 +g1137 +tp5307 +a(g826 +V\u000a +p5308 +tp5309 +a(g826 +V +p5310 +tp5311 +a(g693 +g990 +tp5312 +a(g826 +V\u000a +p5313 +tp5314 +a(g826 +V +p5315 +tp5316 +a(g7 +Vif +p5317 +tp5318 +a(g693 +g1133 +tp5319 +a(g400 +g1407 +tp5320 +a(g423 +Vcontrol +p5321 +tp5322 +a(g693 +g1450 +tp5323 +a(g423 +VdontScrollHorz +p5324 +tp5325 +a(g826 +g958 +tp5326 +a(g400 +g1684 +tp5327 +a(g400 +g1684 +tp5328 +a(g826 +g958 +tp5329 +a(g423 +Vparent +p5330 +tp5331 +a(g693 +g1450 +tp5332 +a(g423 +VscrollArea +p5333 +tp5334 +a(g693 +g1450 +tp5335 +a(g423 +g3885 +tp5336 +a(g693 +g1137 +tp5337 +a(g826 +g958 +tp5338 +a(g423 +Vvpw +p5339 +tp5340 +a(g826 +g958 +tp5341 +a(g400 +g1066 +tp5342 +a(g826 +g958 +tp5343 +a(g423 +Vparent +p5344 +tp5345 +a(g693 +g1450 +tp5346 +a(g423 +VscrollArea +p5347 +tp5348 +a(g693 +g1450 +tp5349 +a(g423 +g3885 +tp5350 +a(g693 +g962 +tp5351 +a(g826 +V\u000a +p5352 +tp5353 +a(g826 +V +p5354 +tp5355 +a(g7 +Vif +p5356 +tp5357 +a(g693 +g1133 +tp5358 +a(g400 +g1407 +tp5359 +a(g423 +Vcontrol +p5360 +tp5361 +a(g693 +g1450 +tp5362 +a(g423 +VdontScrollVert +p5363 +tp5364 +a(g826 +g958 +tp5365 +a(g400 +g1684 +tp5366 +a(g400 +g1684 +tp5367 +a(g826 +g958 +tp5368 +a(g423 +Vparent +p5369 +tp5370 +a(g693 +g1450 +tp5371 +a(g423 +VscrollArea +p5372 +tp5373 +a(g693 +g1450 +tp5374 +a(g423 +g3892 +tp5375 +a(g693 +g1137 +tp5376 +a(g826 +g958 +tp5377 +a(g423 +Vvph +p5378 +tp5379 +a(g826 +g958 +tp5380 +a(g400 +g1066 +tp5381 +a(g826 +g958 +tp5382 +a(g423 +Vparent +p5383 +tp5384 +a(g693 +g1450 +tp5385 +a(g423 +VscrollArea +p5386 +tp5387 +a(g693 +g1450 +tp5388 +a(g423 +g3892 +tp5389 +a(g693 +g962 +tp5390 +a(g826 +V\u000a +p5391 +tp5392 +a(g826 +V +p5393 +tp5394 +a(g693 +g1037 +tp5395 +a(g826 +V\u000a +p5396 +tp5397 +a(g826 +V\u000a +p5398 +tp5399 +a(g826 +V +p5400 +tp5401 +a(g7 +Vif +p5402 +tp5403 +a(g693 +g1133 +tp5404 +a(g423 +Vanchor +p5405 +tp5406 +a(g693 +g1450 +tp5407 +a(g423 +Vleft +p5408 +tp5409 +a(g693 +g1450 +tp5410 +a(g423 +Vtype +p5411 +tp5412 +a(g826 +g958 +tp5413 +a(g400 +g1066 +tp5414 +a(g400 +g1066 +tp5415 +a(g826 +g958 +tp5416 +a(g423 +Voffset +p5417 +tp5418 +a(g693 +g1137 +tp5419 +a(g826 +g958 +tp5420 +a(g423 +Vanchor +p5421 +tp5422 +a(g693 +g1450 +tp5423 +a(g423 +Vleft +p5424 +tp5425 +a(g693 +g1450 +tp5426 +a(g423 +Vdistance +p5427 +tp5428 +a(g826 +g958 +tp5429 +a(g400 +g1066 +tp5430 +a(g826 +g958 +tp5431 +a(g423 +g3871 +tp5432 +a(g693 +g962 +tp5433 +a(g826 +V\u000a +p5434 +tp5435 +a(g826 +V +p5436 +tp5437 +a(g7 +Velse +p5438 +tp5439 +a(g826 +g958 +tp5440 +a(g561 +Vif +p5441 +tp5442 +a(g693 +g1133 +tp5443 +a(g423 +Vanchor +p5444 +tp5445 +a(g693 +g1450 +tp5446 +a(g423 +Vleft +p5447 +tp5448 +a(g693 +g1450 +tp5449 +a(g423 +Vtype +p5450 +tp5451 +a(g826 +g958 +tp5452 +a(g400 +g1066 +tp5453 +a(g400 +g1066 +tp5454 +a(g826 +g958 +tp5455 +a(g423 +Vrelative +p5456 +tp5457 +a(g693 +g1137 +tp5458 +a(g826 +g958 +tp5459 +a(g423 +Vanchor +p5460 +tp5461 +a(g693 +g1450 +tp5462 +a(g423 +Vleft +p5463 +tp5464 +a(g693 +g1450 +tp5465 +a(g423 +Vpercent +p5466 +tp5467 +a(g826 +g958 +tp5468 +a(g400 +g1066 +tp5469 +a(g826 +g958 +tp5470 +a(g693 +g1133 +tp5471 +a(g881 +Vfloat +p5472 +tp5473 +a(g693 +g1137 +tp5474 +a(g423 +g3871 +tp5475 +a(g826 +g958 +tp5476 +a(g400 +V/ +p5477 +tp5478 +a(g826 +g958 +tp5479 +a(g423 +Vvpw +p5480 +tp5481 +a(g693 +g962 +tp5482 +a(g826 +V\u000a +p5483 +tp5484 +a(g826 +V +p5485 +tp5486 +a(g7 +Vif +p5487 +tp5488 +a(g693 +g1133 +tp5489 +a(g423 +Vanchor +p5490 +tp5491 +a(g693 +g1450 +tp5492 +a(g423 +Vtop +p5493 +tp5494 +a(g693 +g1450 +tp5495 +a(g423 +Vtype +p5496 +tp5497 +a(g826 +g958 +tp5498 +a(g400 +g1066 +tp5499 +a(g400 +g1066 +tp5500 +a(g826 +g958 +tp5501 +a(g423 +Voffset +p5502 +tp5503 +a(g693 +g1137 +tp5504 +a(g826 +g958 +tp5505 +a(g423 +Vanchor +p5506 +tp5507 +a(g693 +g1450 +tp5508 +a(g423 +Vtop +p5509 +tp5510 +a(g693 +g1450 +tp5511 +a(g423 +Vdistance +p5512 +tp5513 +a(g826 +g958 +tp5514 +a(g400 +g1066 +tp5515 +a(g826 +g958 +tp5516 +a(g423 +g3878 +tp5517 +a(g693 +g962 +tp5518 +a(g826 +V\u000a +p5519 +tp5520 +a(g826 +V +p5521 +tp5522 +a(g7 +Velse +p5523 +tp5524 +a(g826 +g958 +tp5525 +a(g561 +Vif +p5526 +tp5527 +a(g693 +g1133 +tp5528 +a(g423 +Vanchor +p5529 +tp5530 +a(g693 +g1450 +tp5531 +a(g423 +Vtop +p5532 +tp5533 +a(g693 +g1450 +tp5534 +a(g423 +Vtype +p5535 +tp5536 +a(g826 +g958 +tp5537 +a(g400 +g1066 +tp5538 +a(g400 +g1066 +tp5539 +a(g826 +g958 +tp5540 +a(g423 +Vrelative +p5541 +tp5542 +a(g693 +g1137 +tp5543 +a(g826 +g958 +tp5544 +a(g423 +Vanchor +p5545 +tp5546 +a(g693 +g1450 +tp5547 +a(g423 +Vtop +p5548 +tp5549 +a(g693 +g1450 +tp5550 +a(g423 +Vpercent +p5551 +tp5552 +a(g826 +g958 +tp5553 +a(g400 +g1066 +tp5554 +a(g826 +g958 +tp5555 +a(g693 +g1133 +tp5556 +a(g881 +Vfloat +p5557 +tp5558 +a(g693 +g1137 +tp5559 +a(g423 +g3878 +tp5560 +a(g826 +g958 +tp5561 +a(g400 +g5477 +tp5562 +a(g826 +g958 +tp5563 +a(g423 +Vvph +p5564 +tp5565 +a(g693 +g962 +tp5566 +a(g826 +V\u000a +p5567 +tp5568 +a(g826 +V +p5569 +tp5570 +a(g7 +Vif +p5571 +tp5572 +a(g693 +g1133 +tp5573 +a(g423 +Vanchor +p5574 +tp5575 +a(g693 +g1450 +tp5576 +a(g423 +Vright +p5577 +tp5578 +a(g693 +g1450 +tp5579 +a(g423 +Vtype +p5580 +tp5581 +a(g826 +g958 +tp5582 +a(g400 +g1066 +tp5583 +a(g400 +g1066 +tp5584 +a(g826 +g958 +tp5585 +a(g423 +Voffset +p5586 +tp5587 +a(g693 +g1137 +tp5588 +a(g826 +g958 +tp5589 +a(g423 +Vanchor +p5590 +tp5591 +a(g693 +g1450 +tp5592 +a(g423 +Vright +p5593 +tp5594 +a(g693 +g1450 +tp5595 +a(g423 +Vdistance +p5596 +tp5597 +a(g826 +g958 +tp5598 +a(g400 +g1066 +tp5599 +a(g826 +g958 +tp5600 +a(g423 +Vvpw +p5601 +tp5602 +a(g826 +g958 +tp5603 +a(g400 +g1359 +tp5604 +a(g826 +g958 +tp5605 +a(g693 +g1133 +tp5606 +a(g423 +g3871 +tp5607 +a(g826 +g958 +tp5608 +a(g400 +g1487 +tp5609 +a(g826 +g958 +tp5610 +a(g423 +g3885 +tp5611 +a(g693 +g1137 +tp5612 +a(g693 +g962 +tp5613 +a(g826 +V\u000a +p5614 +tp5615 +a(g826 +V +p5616 +tp5617 +a(g745 +V//else if(anchor.right.type == relative) anchor.right.percent = (float) (x + w) / vpw;\u000a +p5618 +tp5619 +a(g826 +V +p5620 +tp5621 +a(g7 +Velse +p5622 +tp5623 +a(g826 +g958 +tp5624 +a(g561 +Vif +p5625 +tp5626 +a(g693 +g1133 +tp5627 +a(g423 +Vanchor +p5628 +tp5629 +a(g693 +g1450 +tp5630 +a(g423 +Vright +p5631 +tp5632 +a(g693 +g1450 +tp5633 +a(g423 +Vtype +p5634 +tp5635 +a(g826 +g958 +tp5636 +a(g400 +g1066 +tp5637 +a(g400 +g1066 +tp5638 +a(g826 +g958 +tp5639 +a(g423 +Vrelative +p5640 +tp5641 +a(g693 +g1137 +tp5642 +a(g826 +g958 +tp5643 +a(g423 +Vanchor +p5644 +tp5645 +a(g693 +g1450 +tp5646 +a(g423 +Vright +p5647 +tp5648 +a(g693 +g1450 +tp5649 +a(g423 +Vpercent +p5650 +tp5651 +a(g826 +g958 +tp5652 +a(g400 +g1066 +tp5653 +a(g826 +g958 +tp5654 +a(g693 +g1133 +tp5655 +a(g881 +Vfloat +p5656 +tp5657 +a(g693 +g1137 +tp5658 +a(g826 +g958 +tp5659 +a(g693 +g1133 +tp5660 +a(g423 +Vvpw +p5661 +tp5662 +a(g826 +g958 +tp5663 +a(g400 +g1359 +tp5664 +a(g826 +g958 +tp5665 +a(g693 +g1133 +tp5666 +a(g423 +g3871 +tp5667 +a(g826 +g958 +tp5668 +a(g400 +g1487 +tp5669 +a(g826 +g958 +tp5670 +a(g423 +g3885 +tp5671 +a(g693 +g1137 +tp5672 +a(g693 +g1137 +tp5673 +a(g826 +g958 +tp5674 +a(g400 +g5477 +tp5675 +a(g826 +g958 +tp5676 +a(g423 +Vvpw +p5677 +tp5678 +a(g693 +g962 +tp5679 +a(g826 +V\u000a +p5680 +tp5681 +a(g826 +V +p5682 +tp5683 +a(g7 +Vif +p5684 +tp5685 +a(g693 +g1133 +tp5686 +a(g423 +Vanchor +p5687 +tp5688 +a(g693 +g1450 +tp5689 +a(g423 +Vbottom +p5690 +tp5691 +a(g693 +g1450 +tp5692 +a(g423 +Vtype +p5693 +tp5694 +a(g826 +g958 +tp5695 +a(g400 +g1066 +tp5696 +a(g400 +g1066 +tp5697 +a(g826 +g958 +tp5698 +a(g423 +Voffset +p5699 +tp5700 +a(g693 +g1137 +tp5701 +a(g826 +g958 +tp5702 +a(g423 +Vanchor +p5703 +tp5704 +a(g693 +g1450 +tp5705 +a(g423 +Vbottom +p5706 +tp5707 +a(g693 +g1450 +tp5708 +a(g423 +Vdistance +p5709 +tp5710 +a(g826 +g958 +tp5711 +a(g400 +g1066 +tp5712 +a(g826 +g958 +tp5713 +a(g423 +Vvph +p5714 +tp5715 +a(g826 +g958 +tp5716 +a(g400 +g1359 +tp5717 +a(g826 +g958 +tp5718 +a(g693 +g1133 +tp5719 +a(g423 +g3878 +tp5720 +a(g826 +g958 +tp5721 +a(g400 +g1487 +tp5722 +a(g826 +g958 +tp5723 +a(g423 +g3892 +tp5724 +a(g693 +g1137 +tp5725 +a(g693 +g962 +tp5726 +a(g826 +V\u000a +p5727 +tp5728 +a(g826 +V +p5729 +tp5730 +a(g745 +V//else if(anchor.bottom.type == relative) anchor.bottom.percent = (float) (y + h) / vph;\u000a +p5731 +tp5732 +a(g826 +V +p5733 +tp5734 +a(g7 +Velse +p5735 +tp5736 +a(g826 +g958 +tp5737 +a(g561 +Vif +p5738 +tp5739 +a(g693 +g1133 +tp5740 +a(g423 +Vanchor +p5741 +tp5742 +a(g693 +g1450 +tp5743 +a(g423 +Vbottom +p5744 +tp5745 +a(g693 +g1450 +tp5746 +a(g423 +Vtype +p5747 +tp5748 +a(g826 +g958 +tp5749 +a(g400 +g1066 +tp5750 +a(g400 +g1066 +tp5751 +a(g826 +g958 +tp5752 +a(g423 +Vrelative +p5753 +tp5754 +a(g693 +g1137 +tp5755 +a(g826 +g958 +tp5756 +a(g423 +Vanchor +p5757 +tp5758 +a(g693 +g1450 +tp5759 +a(g423 +Vbottom +p5760 +tp5761 +a(g693 +g1450 +tp5762 +a(g423 +Vpercent +p5763 +tp5764 +a(g826 +g958 +tp5765 +a(g400 +g1066 +tp5766 +a(g826 +g958 +tp5767 +a(g693 +g1133 +tp5768 +a(g881 +Vfloat +p5769 +tp5770 +a(g693 +g1137 +tp5771 +a(g826 +g958 +tp5772 +a(g693 +g1133 +tp5773 +a(g423 +Vvph +p5774 +tp5775 +a(g826 +g958 +tp5776 +a(g400 +g1359 +tp5777 +a(g826 +g958 +tp5778 +a(g693 +g1133 +tp5779 +a(g423 +g3878 +tp5780 +a(g826 +g958 +tp5781 +a(g400 +g1487 +tp5782 +a(g826 +g958 +tp5783 +a(g423 +g3892 +tp5784 +a(g693 +g1137 +tp5785 +a(g693 +g1137 +tp5786 +a(g826 +g958 +tp5787 +a(g400 +g5477 +tp5788 +a(g826 +g958 +tp5789 +a(g423 +Vvph +p5790 +tp5791 +a(g693 +g962 +tp5792 +a(g826 +V\u000a +p5793 +tp5794 +a(g826 +V\u000a +p5795 +tp5796 +a(g826 +V +p5797 +tp5798 +a(g7 +Vif +p5799 +tp5800 +a(g693 +g1133 +tp5801 +a(g400 +g1407 +tp5802 +a(g423 +Vanchor +p5803 +tp5804 +a(g693 +g1450 +tp5805 +a(g423 +Vleft +p5806 +tp5807 +a(g693 +g1450 +tp5808 +a(g423 +Vtype +p5809 +tp5810 +a(g826 +g958 +tp5811 +a(g400 +g1684 +tp5812 +a(g400 +g1684 +tp5813 +a(g826 +g958 +tp5814 +a(g400 +g1407 +tp5815 +a(g423 +Vanchor +p5816 +tp5817 +a(g693 +g1450 +tp5818 +a(g423 +Vright +p5819 +tp5820 +a(g693 +g1450 +tp5821 +a(g423 +Vtype +p5822 +tp5823 +a(g693 +g1137 +tp5824 +a(g826 +V\u000a +p5825 +tp5826 +a(g826 +V +p5827 +tp5828 +a(g693 +g990 +tp5829 +a(g826 +V\u000a +p5830 +tp5831 +a(g826 +V +p5832 +tp5833 +a(g423 +Vanchor +p5834 +tp5835 +a(g693 +g1450 +tp5836 +a(g423 +Vhorz +p5837 +tp5838 +a(g693 +g1450 +tp5839 +a(g423 +Vdistance +p5840 +tp5841 +a(g826 +g958 +tp5842 +a(g400 +g1066 +tp5843 +a(g826 +g958 +tp5844 +a(g693 +g1133 +tp5845 +a(g423 +g3871 +tp5846 +a(g826 +g958 +tp5847 +a(g400 +g1487 +tp5848 +a(g826 +g958 +tp5849 +a(g423 +g3885 +tp5850 +a(g826 +g958 +tp5851 +a(g400 +g5477 +tp5852 +a(g826 +g958 +tp5853 +a(g22 +g1507 +tp5854 +a(g693 +g1137 +tp5855 +a(g826 +g958 +tp5856 +a(g400 +g1359 +tp5857 +a(g826 +g958 +tp5858 +a(g693 +g1133 +tp5859 +a(g423 +Vvpw +p5860 +tp5861 +a(g826 +g958 +tp5862 +a(g400 +g5477 +tp5863 +a(g826 +g958 +tp5864 +a(g22 +g1507 +tp5865 +a(g693 +g1137 +tp5866 +a(g693 +g962 +tp5867 +a(g826 +V\u000a +p5868 +tp5869 +a(g826 +V +p5870 +tp5871 +a(g745 +V//anchor.horz.type = anchor.horz.distance ? offset : 0;\u000a +p5872 +tp5873 +a(g826 +V +p5874 +tp5875 +a(g693 +g1037 +tp5876 +a(g826 +V\u000a +p5877 +tp5878 +a(g826 +V +p5879 +tp5880 +a(g7 +Velse +p5881 +tp5882 +a(g826 +g958 +tp5883 +a(g7 +Vif +p5884 +tp5885 +a(g693 +g1133 +tp5886 +a(g423 +Vanchor +p5887 +tp5888 +a(g693 +g1450 +tp5889 +a(g423 +Vhorz +p5890 +tp5891 +a(g693 +g1450 +tp5892 +a(g423 +Vtype +p5893 +tp5894 +a(g826 +g958 +tp5895 +a(g400 +g1066 +tp5896 +a(g400 +g1066 +tp5897 +a(g826 +g958 +tp5898 +a(g423 +VmiddleRelative +p5899 +tp5900 +a(g693 +g1137 +tp5901 +a(g826 +g958 +tp5902 +a(g423 +Vanchor +p5903 +tp5904 +a(g693 +g1450 +tp5905 +a(g423 +Vhorz +p5906 +tp5907 +a(g693 +g1450 +tp5908 +a(g423 +Vpercent +p5909 +tp5910 +a(g826 +g958 +tp5911 +a(g400 +g1066 +tp5912 +a(g826 +g958 +tp5913 +a(g693 +g1133 +tp5914 +a(g881 +Vfloat +p5915 +tp5916 +a(g693 +g1137 +tp5917 +a(g826 +g958 +tp5918 +a(g693 +g1133 +tp5919 +a(g693 +g1133 +tp5920 +a(g423 +g3871 +tp5921 +a(g826 +g958 +tp5922 +a(g400 +g1487 +tp5923 +a(g826 +g958 +tp5924 +a(g423 +g3885 +tp5925 +a(g826 +g958 +tp5926 +a(g400 +g5477 +tp5927 +a(g826 +g958 +tp5928 +a(g22 +g1507 +tp5929 +a(g693 +g1137 +tp5930 +a(g826 +g958 +tp5931 +a(g400 +g1359 +tp5932 +a(g826 +g958 +tp5933 +a(g693 +g1133 +tp5934 +a(g423 +Vvpw +p5935 +tp5936 +a(g826 +g958 +tp5937 +a(g400 +g5477 +tp5938 +a(g826 +g958 +tp5939 +a(g22 +g1507 +tp5940 +a(g693 +g1137 +tp5941 +a(g693 +g1137 +tp5942 +a(g826 +g958 +tp5943 +a(g400 +g5477 +tp5944 +a(g826 +g958 +tp5945 +a(g423 +Vvpw +p5946 +tp5947 +a(g693 +g962 +tp5948 +a(g826 +V\u000a +p5949 +tp5950 +a(g826 +V +p5951 +tp5952 +a(g7 +Vif +p5953 +tp5954 +a(g693 +g1133 +tp5955 +a(g400 +g1407 +tp5956 +a(g423 +Vanchor +p5957 +tp5958 +a(g693 +g1450 +tp5959 +a(g423 +Vtop +p5960 +tp5961 +a(g693 +g1450 +tp5962 +a(g423 +Vtype +p5963 +tp5964 +a(g826 +g958 +tp5965 +a(g400 +g1684 +tp5966 +a(g400 +g1684 +tp5967 +a(g826 +g958 +tp5968 +a(g400 +g1407 +tp5969 +a(g423 +Vanchor +p5970 +tp5971 +a(g693 +g1450 +tp5972 +a(g423 +Vbottom +p5973 +tp5974 +a(g693 +g1450 +tp5975 +a(g423 +Vtype +p5976 +tp5977 +a(g693 +g1137 +tp5978 +a(g826 +V\u000a +p5979 +tp5980 +a(g826 +V +p5981 +tp5982 +a(g693 +g990 +tp5983 +a(g826 +V\u000a +p5984 +tp5985 +a(g826 +V +p5986 +tp5987 +a(g423 +Vanchor +p5988 +tp5989 +a(g693 +g1450 +tp5990 +a(g423 +Vvert +p5991 +tp5992 +a(g693 +g1450 +tp5993 +a(g423 +Vdistance +p5994 +tp5995 +a(g826 +g958 +tp5996 +a(g400 +g1066 +tp5997 +a(g826 +g958 +tp5998 +a(g693 +g1133 +tp5999 +a(g423 +g3878 +tp6000 +a(g826 +g958 +tp6001 +a(g400 +g1487 +tp6002 +a(g826 +g958 +tp6003 +a(g423 +g3892 +tp6004 +a(g826 +g958 +tp6005 +a(g400 +g5477 +tp6006 +a(g826 +g958 +tp6007 +a(g22 +g1507 +tp6008 +a(g693 +g1137 +tp6009 +a(g826 +g958 +tp6010 +a(g400 +g1359 +tp6011 +a(g826 +g958 +tp6012 +a(g693 +g1133 +tp6013 +a(g423 +Vvph +p6014 +tp6015 +a(g826 +g958 +tp6016 +a(g400 +g5477 +tp6017 +a(g826 +g958 +tp6018 +a(g22 +g1507 +tp6019 +a(g693 +g1137 +tp6020 +a(g693 +g962 +tp6021 +a(g826 +V\u000a +p6022 +tp6023 +a(g826 +V +p6024 +tp6025 +a(g745 +V//anchor.vert.type = anchor.vert.distance ? offset : 0;\u000a +p6026 +tp6027 +a(g826 +V +p6028 +tp6029 +a(g693 +g1037 +tp6030 +a(g826 +V\u000a +p6031 +tp6032 +a(g826 +V +p6033 +tp6034 +a(g7 +Velse +p6035 +tp6036 +a(g826 +g958 +tp6037 +a(g7 +Vif +p6038 +tp6039 +a(g693 +g1133 +tp6040 +a(g423 +Vanchor +p6041 +tp6042 +a(g693 +g1450 +tp6043 +a(g423 +Vvert +p6044 +tp6045 +a(g693 +g1450 +tp6046 +a(g423 +Vtype +p6047 +tp6048 +a(g826 +g958 +tp6049 +a(g400 +g1066 +tp6050 +a(g400 +g1066 +tp6051 +a(g826 +g958 +tp6052 +a(g423 +VmiddleRelative +p6053 +tp6054 +a(g693 +g1137 +tp6055 +a(g826 +g958 +tp6056 +a(g423 +Vanchor +p6057 +tp6058 +a(g693 +g1450 +tp6059 +a(g423 +Vvert +p6060 +tp6061 +a(g693 +g1450 +tp6062 +a(g423 +Vpercent +p6063 +tp6064 +a(g826 +g958 +tp6065 +a(g400 +g1066 +tp6066 +a(g826 +g958 +tp6067 +a(g693 +g1133 +tp6068 +a(g881 +Vfloat +p6069 +tp6070 +a(g693 +g1137 +tp6071 +a(g693 +g1133 +tp6072 +a(g693 +g1133 +tp6073 +a(g423 +g3878 +tp6074 +a(g826 +g958 +tp6075 +a(g400 +g1487 +tp6076 +a(g826 +g958 +tp6077 +a(g423 +g3892 +tp6078 +a(g826 +g958 +tp6079 +a(g400 +g5477 +tp6080 +a(g826 +g958 +tp6081 +a(g22 +g1507 +tp6082 +a(g693 +g1137 +tp6083 +a(g826 +g958 +tp6084 +a(g400 +g1359 +tp6085 +a(g826 +g958 +tp6086 +a(g693 +g1133 +tp6087 +a(g423 +Vvph +p6088 +tp6089 +a(g826 +g958 +tp6090 +a(g400 +g5477 +tp6091 +a(g826 +g958 +tp6092 +a(g22 +g1507 +tp6093 +a(g693 +g1137 +tp6094 +a(g693 +g1137 +tp6095 +a(g826 +g958 +tp6096 +a(g400 +g5477 +tp6097 +a(g826 +g958 +tp6098 +a(g423 +Vvph +p6099 +tp6100 +a(g693 +g962 +tp6101 +a(g826 +V\u000a +p6102 +tp6103 +a(g826 +V +p6104 +tp6105 +a(g693 +g1037 +tp6106 +a(g826 +V\u000a +p6107 +tp6108 +a(g826 +V\u000a +p6109 +tp6110 +a(g826 +V +p6111 +tp6112 +a(g693 +g990 +tp6113 +a(g826 +V\u000a +p6114 +tp6115 +a(g826 +V +p6116 +tp6117 +a(g881 +Vchar +p6118 +tp6119 +a(g826 +g958 +tp6120 +a(g423 +VtempString +p6121 +tp6122 +a(g693 +g1401 +tp6123 +a(g22 +V1024 +p6124 +tp6125 +a(g693 +g1404 +tp6126 +a(g826 +g958 +tp6127 +a(g400 +g1066 +tp6128 +a(g826 +g958 +tp6129 +a(g76 +g971 +tp6130 +a(g76 +g971 +tp6131 +a(g693 +g962 +tp6132 +a(g826 +V\u000a +p6133 +tp6134 +a(g826 +V +p6135 +tp6136 +a(g881 +Vbool +p6137 +tp6138 +a(g826 +g958 +tp6139 +a(g423 +VneedClass +p6140 +tp6141 +a(g826 +g958 +tp6142 +a(g400 +g1066 +tp6143 +a(g826 +g958 +tp6144 +a(g669 +Vfalse +p6145 +tp6146 +a(g693 +g962 +tp6147 +a(g826 +V\u000a +p6148 +tp6149 +a(g826 +V +p6150 +tp6151 +a(g881 +Vchar +p6152 +tp6153 +a(g826 +g958 +tp6154 +a(g400 +g1190 +tp6155 +a(g826 +g958 +tp6156 +a(g423 +Vstring +p6157 +tp6158 +a(g826 +g958 +tp6159 +a(g400 +g1066 +tp6160 +a(g826 +g958 +tp6161 +a(g423 +Vanchor +p6162 +tp6163 +a(g693 +g1450 +tp6164 +a(g423 +VOnGetString +p6165 +tp6166 +a(g693 +g1133 +tp6167 +a(g423 +VtempString +p6168 +tp6169 +a(g693 +g1203 +tp6170 +a(g826 +g958 +tp6171 +a(g669 +Vnull +p6172 +tp6173 +a(g693 +g1203 +tp6174 +a(g826 +g958 +tp6175 +a(g400 +g1684 +tp6176 +a(g423 +VneedClass +p6177 +tp6178 +a(g693 +g1137 +tp6179 +a(g693 +g962 +tp6180 +a(g826 +V\u000a +p6181 +tp6182 +a(g826 +V +p6183 +tp6184 +a(g423 +VanchorDropBox +p6185 +tp6186 +a(g693 +g1450 +tp6187 +a(g423 +Vcontents +p6188 +tp6189 +a(g826 +g958 +tp6190 +a(g400 +g1066 +tp6191 +a(g826 +g958 +tp6192 +a(g423 +Vstring +p6193 +tp6194 +a(g693 +g962 +tp6195 +a(g826 +V\u000a +p6196 +tp6197 +a(g826 +V +p6198 +tp6199 +a(g693 +g1037 +tp6200 +a(g826 +V\u000a +p6201 +tp6202 +a(g826 +V\u000a +p6203 +tp6204 +a(g826 +V +p6205 +tp6206 +a(g423 +VdropMaster +p6207 +tp6208 +a(g693 +g1450 +tp6209 +a(g423 +VSetData +p6210 +tp6211 +a(g693 +g1133 +tp6212 +a(g400 +g1684 +tp6213 +a(g423 +Vanchor +p6214 +tp6215 +a(g693 +g1203 +tp6216 +a(g826 +g958 +tp6217 +a(g669 +Vfalse +p6218 +tp6219 +a(g693 +g1137 +tp6220 +a(g693 +g962 +tp6221 +a(g826 +V\u000a +p6222 +tp6223 +a(g826 +V +p6224 +tp6225 +a(g423 +VanchorDropBox +p6226 +tp6227 +a(g693 +g1450 +tp6228 +a(g423 +VanchorValue +p6229 +tp6230 +a(g826 +g958 +tp6231 +a(g400 +g1066 +tp6232 +a(g826 +g958 +tp6233 +a(g423 +Vanchor +p6234 +tp6235 +a(g693 +g962 +tp6236 +a(g826 +V\u000a +p6237 +tp6238 +a(g826 +V +p6239 +tp6240 +a(g7 +Vreturn +p6241 +tp6242 +a(g826 +g958 +tp6243 +a(g669 +Vtrue +p6244 +tp6245 +a(g693 +g962 +tp6246 +a(g826 +V\u000a +p6247 +tp6248 +a(g826 +V +p6249 +tp6250 +a(g693 +g1037 +tp6251 +a(g826 +V\u000a +p6252 +tp6253 +a(g693 +g1037 +tp6254 +a(g826 +V\u000a +p6255 +tp6256 +a(g826 +V\u000a +p6257 +tp6258 +a(g7 +Vprivate +p6259 +tp6260 +a(g826 +g958 +tp6261 +a(g7 +Vclass +p6262 +tp6263 +a(g826 +g958 +tp6264 +a(g584 +VAnchorRelButton +p6265 +tp6266 +a(g826 +g958 +tp6267 +a(g693 +g3775 +tp6268 +a(g826 +g958 +tp6269 +a(g423 +VButton +p6270 +tp6271 +a(g826 +V\u000a +p6272 +tp6273 +a(g693 +g990 +tp6274 +a(g826 +V\u000a +p6275 +tp6276 +a(g826 +V +p6277 +tp6278 +a(g423 +Vtoggle +p6279 +tp6280 +a(g826 +g958 +tp6281 +a(g400 +g1066 +tp6282 +a(g826 +g958 +tp6283 +a(g669 +Vtrue +p6284 +tp6285 +a(g693 +g962 +tp6286 +a(g826 +V\u000a +p6287 +tp6288 +a(g826 +V +p6289 +tp6290 +a(g423 +Vbevel +p6291 +tp6292 +a(g826 +g958 +tp6293 +a(g400 +g1066 +tp6294 +a(g826 +g958 +tp6295 +a(g669 +Vfalse +p6296 +tp6297 +a(g693 +g962 +tp6298 +a(g826 +V\u000a +p6299 +tp6300 +a(g826 +V +p6301 +tp6302 +a(g423 +Vtext +p6303 +tp6304 +a(g826 +g958 +tp6305 +a(g400 +g1066 +tp6306 +a(g826 +g958 +tp6307 +a(g76 +g971 +tp6308 +a(g76 +V% +p6309 +tp6310 +a(g76 +g971 +tp6311 +a(g693 +g962 +tp6312 +a(g826 +V\u000a +p6313 +tp6314 +a(g826 +V +p6315 +tp6316 +a(g745 +V//bevelOver = true;\u000a +p6317 +tp6318 +a(g826 +V\u000a +p6319 +tp6320 +a(g826 +V +p6321 +tp6322 +a(g881 +Vvoid +p6323 +tp6324 +a(g826 +g958 +tp6325 +a(g561 +VOnRedraw +p6326 +tp6327 +a(g693 +g1133 +tp6328 +a(g423 +VSurface +p6329 +tp6330 +a(g826 +g958 +tp6331 +a(g423 +Vsurface +p6332 +tp6333 +a(g693 +g1137 +tp6334 +a(g826 +V\u000a +p6335 +tp6336 +a(g826 +V +p6337 +tp6338 +a(g693 +g990 +tp6339 +a(g826 +V\u000a +p6340 +tp6341 +a(g826 +V +p6342 +tp6343 +a(g881 +Vint +p6344 +tp6345 +a(g826 +g958 +tp6346 +a(g423 +Vcw +p6347 +tp6348 +a(g826 +g958 +tp6349 +a(g400 +g1066 +tp6350 +a(g826 +g958 +tp6351 +a(g423 +VclientSize +p6352 +tp6353 +a(g693 +g1450 +tp6354 +a(g423 +g3885 +tp6355 +a(g693 +g962 +tp6356 +a(g826 +V\u000a +p6357 +tp6358 +a(g826 +V +p6359 +tp6360 +a(g881 +Vint +p6361 +tp6362 +a(g826 +g958 +tp6363 +a(g423 +Vch +p6364 +tp6365 +a(g826 +g958 +tp6366 +a(g400 +g1066 +tp6367 +a(g826 +g958 +tp6368 +a(g423 +VclientSize +p6369 +tp6370 +a(g693 +g1450 +tp6371 +a(g423 +g3892 +tp6372 +a(g693 +g962 +tp6373 +a(g826 +V\u000a +p6374 +tp6375 +a(g826 +V \u000a +p6376 +tp6377 +a(g7 +Vif +p6378 +tp6379 +a(g693 +g1133 +tp6380 +a(g423 +Vchecked +p6381 +tp6382 +a(g693 +g1137 +tp6383 +a(g826 +V\u000a +p6384 +tp6385 +a(g826 +V +p6386 +tp6387 +a(g693 +g990 +tp6388 +a(g826 +V\u000a +p6389 +tp6390 +a(g826 +V +p6391 +tp6392 +a(g423 +Vsurface +p6393 +tp6394 +a(g693 +g1450 +tp6395 +a(g423 +VSetForeground +p6396 +tp6397 +a(g693 +g1133 +tp6398 +a(g423 +Vblack +p6399 +tp6400 +a(g693 +g1137 +tp6401 +a(g693 +g962 +tp6402 +a(g826 +V\u000a +p6403 +tp6404 +a(g826 +V +p6405 +tp6406 +a(g693 +g1037 +tp6407 +a(g826 +V\u000a +p6408 +tp6409 +a(g826 +V +p6410 +tp6411 +a(g7 +Velse +p6412 +tp6413 +a(g826 +V\u000a +p6414 +tp6415 +a(g826 +V +p6416 +tp6417 +a(g693 +g990 +tp6418 +a(g826 +V\u000a +p6419 +tp6420 +a(g826 +V +p6421 +tp6422 +a(g423 +Vsurface +p6423 +tp6424 +a(g693 +g1450 +tp6425 +a(g423 +VSetForeground +p6426 +tp6427 +a(g693 +g1133 +tp6428 +a(g423 +VColor +p6429 +tp6430 +a(g693 +g990 +tp6431 +a(g22 +V170 +p6432 +tp6433 +a(g693 +g1203 +tp6434 +a(g22 +V170 +p6435 +tp6436 +a(g693 +g1203 +tp6437 +a(g22 +V170 +p6438 +tp6439 +a(g693 +g1037 +tp6440 +a(g693 +g1137 +tp6441 +a(g693 +g962 +tp6442 +a(g826 +V\u000a +p6443 +tp6444 +a(g826 +V +p6445 +tp6446 +a(g693 +g1037 +tp6447 +a(g826 +V\u000a +p6448 +tp6449 +a(g826 +V +p6450 +tp6451 +a(g423 +Vsurface +p6452 +tp6453 +a(g693 +g1450 +tp6454 +a(g423 +VWriteText +p6455 +tp6456 +a(g693 +g1133 +tp6457 +a(g22 +V5 +p6458 +tp6459 +a(g693 +g1203 +tp6460 +a(g22 +g1507 +tp6461 +a(g693 +g1203 +tp6462 +a(g826 +g958 +tp6463 +a(g76 +g971 +tp6464 +a(g76 +g6309 +tp6465 +a(g76 +g971 +tp6466 +a(g693 +g1203 +tp6467 +a(g826 +g958 +tp6468 +a(g22 +g1361 +tp6469 +a(g693 +g1137 +tp6470 +a(g693 +g962 +tp6471 +a(g826 +V\u000a +p6472 +tp6473 +a(g826 +V\u000a +p6474 +tp6475 +a(g826 +V +p6476 +tp6477 +a(g7 +Vif +p6478 +tp6479 +a(g693 +g1133 +tp6480 +a(g423 +Vactive +p6481 +tp6482 +a(g693 +g1137 +tp6483 +a(g826 +V\u000a +p6484 +tp6485 +a(g826 +V +p6486 +tp6487 +a(g693 +g990 +tp6488 +a(g826 +V\u000a +p6489 +tp6490 +a(g826 +V +p6491 +tp6492 +a(g423 +Vsurface +p6493 +tp6494 +a(g693 +g1450 +tp6495 +a(g423 +VLineStipple +p6496 +tp6497 +a(g693 +g1133 +tp6498 +a(g285 +V0xAAAA +p6499 +tp6500 +a(g693 +g1137 +tp6501 +a(g693 +g962 +tp6502 +a(g826 +V\u000a +p6503 +tp6504 +a(g826 +V +p6505 +tp6506 +a(g423 +Vsurface +p6507 +tp6508 +a(g693 +g1450 +tp6509 +a(g423 +VRectangle +p6510 +tp6511 +a(g693 +g1133 +tp6512 +a(g22 +g4486 +tp6513 +a(g693 +g1203 +tp6514 +a(g22 +g4486 +tp6515 +a(g693 +g1203 +tp6516 +a(g423 +Vcw +p6517 +tp6518 +a(g400 +g1359 +tp6519 +a(g22 +V4 +p6520 +tp6521 +a(g693 +g1203 +tp6522 +a(g423 +Vch +p6523 +tp6524 +a(g400 +g1359 +tp6525 +a(g22 +g6520 +tp6526 +a(g693 +g1137 +tp6527 +a(g693 +g962 +tp6528 +a(g826 +V\u000a +p6529 +tp6530 +a(g826 +V +p6531 +tp6532 +a(g693 +g1037 +tp6533 +a(g826 +V\u000a +p6534 +tp6535 +a(g826 +V +p6536 +tp6537 +a(g693 +g1037 +tp6538 +a(g826 +V\u000a +p6539 +tp6540 +a(g826 +V\u000a +p6541 +tp6542 +a(g826 +V +p6543 +tp6544 +a(g881 +Vbool +p6545 +tp6546 +a(g826 +g958 +tp6547 +a(g423 +VAnchorEditor +p6548 +tp6549 +a(g400 +g3775 +tp6550 +a(g400 +g3775 +tp6551 +a(g423 +VNotifyClicked +p6552 +tp6553 +a(g693 +g1133 +tp6554 +a(g423 +VButton +p6555 +tp6556 +a(g826 +g958 +tp6557 +a(g423 +Vbutton +p6558 +tp6559 +a(g693 +g1203 +tp6560 +a(g826 +g958 +tp6561 +a(g881 +Vint +p6562 +tp6563 +a(g826 +g958 +tp6564 +a(g423 +g3871 +tp6565 +a(g693 +g1203 +tp6566 +a(g826 +g958 +tp6567 +a(g881 +Vint +p6568 +tp6569 +a(g826 +g958 +tp6570 +a(g423 +g3878 +tp6571 +a(g693 +g1203 +tp6572 +a(g826 +g958 +tp6573 +a(g423 +VModifiers +p6574 +tp6575 +a(g826 +g958 +tp6576 +a(g423 +Vmods +p6577 +tp6578 +a(g693 +g1137 +tp6579 +a(g826 +V\u000a +p6580 +tp6581 +a(g826 +V +p6582 +tp6583 +a(g693 +g990 +tp6584 +a(g826 +V\u000a +p6585 +tp6586 +a(g826 +V +p6587 +tp6588 +a(g423 +VAnchorDropBox +p6589 +tp6590 +a(g826 +g958 +tp6591 +a(g423 +VanchorDropBox +p6592 +tp6593 +a(g826 +g958 +tp6594 +a(g400 +g1066 +tp6595 +a(g826 +g958 +tp6596 +a(g693 +g1133 +tp6597 +a(g423 +VAnchorDropBox +p6598 +tp6599 +a(g693 +g1137 +tp6600 +a(g423 +Vmaster +p6601 +tp6602 +a(g693 +g962 +tp6603 +a(g826 +V\u000a +p6604 +tp6605 +a(g826 +V +p6606 +tp6607 +a(g423 +VAnchor +p6608 +tp6609 +a(g826 +g958 +tp6610 +a(g423 +Vanchor +p6611 +tp6612 +a(g826 +g958 +tp6613 +a(g400 +g1066 +tp6614 +a(g826 +g958 +tp6615 +a(g423 +VanchorDropBox +p6616 +tp6617 +a(g693 +g1450 +tp6618 +a(g423 +VanchorValue +p6619 +tp6620 +a(g693 +g962 +tp6621 +a(g826 +V\u000a +p6622 +tp6623 +a(g826 +V +p6624 +tp6625 +a(g423 +VWindow +p6626 +tp6627 +a(g826 +g958 +tp6628 +a(g423 +Vcontrol +p6629 +tp6630 +a(g826 +g958 +tp6631 +a(g400 +g1066 +tp6632 +a(g826 +g958 +tp6633 +a(g423 +VanchorDropBox +p6634 +tp6635 +a(g693 +g1450 +tp6636 +a(g423 +Vcontrol +p6637 +tp6638 +a(g693 +g962 +tp6639 +a(g826 +V\u000a +p6640 +tp6641 +a(g826 +V +p6642 +tp6643 +a(g423 +VDataBox +p6644 +tp6645 +a(g826 +g958 +tp6646 +a(g423 +VdropMaster +p6647 +tp6648 +a(g826 +g958 +tp6649 +a(g400 +g1066 +tp6650 +a(g826 +g958 +tp6651 +a(g693 +g1133 +tp6652 +a(g423 +VDataBox +p6653 +tp6654 +a(g693 +g1137 +tp6655 +a(g423 +VanchorDropBox +p6656 +tp6657 +a(g693 +g1450 +tp6658 +a(g423 +Vmaster +p6659 +tp6660 +a(g693 +g962 +tp6661 +a(g826 +V\u000a +p6662 +tp6663 +a(g826 +V +p6664 +tp6665 +a(g881 +Vint +p6666 +tp6667 +a(g826 +g958 +tp6668 +a(g423 +Vid +p6669 +tp6670 +a(g826 +g958 +tp6671 +a(g400 +g1066 +tp6672 +a(g826 +g958 +tp6673 +a(g423 +Vbutton +p6674 +tp6675 +a(g693 +g1450 +tp6676 +a(g423 +Vid +p6677 +tp6678 +a(g693 +g962 +tp6679 +a(g826 +V\u000a +p6680 +tp6681 +a(g826 +V\u000a +p6682 +tp6683 +a(g826 +V +p6684 +tp6685 +a(g7 +Vif +p6686 +tp6687 +a(g693 +g1133 +tp6688 +a(g693 +g1133 +tp6689 +a(g423 +Vid +p6690 +tp6691 +a(g826 +g958 +tp6692 +a(g400 +g1066 +tp6693 +a(g400 +g1066 +tp6694 +a(g826 +g958 +tp6695 +a(g22 +g1321 +tp6696 +a(g826 +g958 +tp6697 +a(g400 +g3056 +tp6698 +a(g400 +g3056 +tp6699 +a(g826 +g958 +tp6700 +a(g423 +Vid +p6701 +tp6702 +a(g826 +g958 +tp6703 +a(g400 +g1066 +tp6704 +a(g400 +g1066 +tp6705 +a(g826 +g958 +tp6706 +a(g22 +g1507 +tp6707 +a(g693 +g1137 +tp6708 +a(g826 +g958 +tp6709 +a(g400 +g1684 +tp6710 +a(g400 +g1684 +tp6711 +a(g826 +g958 +tp6712 +a(g693 +g1133 +tp6713 +a(g693 +g1133 +tp6714 +a(g400 +g1407 +tp6715 +a(g423 +Vanchor +p6716 +tp6717 +a(g693 +g1450 +tp6718 +a(g423 +Vleft +p6719 +tp6720 +a(g693 +g1450 +tp6721 +a(g423 +Vtype +p6722 +tp6723 +a(g826 +g958 +tp6724 +a(g400 +g1684 +tp6725 +a(g400 +g1684 +tp6726 +a(g826 +g958 +tp6727 +a(g400 +g1407 +tp6728 +a(g423 +Vanchor +p6729 +tp6730 +a(g693 +g1450 +tp6731 +a(g423 +Vright +p6732 +tp6733 +a(g693 +g1450 +tp6734 +a(g423 +Vtype +p6735 +tp6736 +a(g693 +g1137 +tp6737 +a(g826 +g958 +tp6738 +a(g400 +g3056 +tp6739 +a(g400 +g3056 +tp6740 +a(g826 +g958 +tp6741 +a(g423 +Vanchor +p6742 +tp6743 +a(g693 +g1450 +tp6744 +a(g423 +Vleft +p6745 +tp6746 +a(g693 +g1450 +tp6747 +a(g423 +Vtype +p6748 +tp6749 +a(g826 +g958 +tp6750 +a(g400 +g1066 +tp6751 +a(g400 +g1066 +tp6752 +a(g826 +g958 +tp6753 +a(g423 +VmiddleRelative +p6754 +tp6755 +a(g693 +g1137 +tp6756 +a(g693 +g1137 +tp6757 +a(g826 +V\u000a +p6758 +tp6759 +a(g826 +V +p6760 +tp6761 +a(g693 +g990 +tp6762 +a(g826 +V\u000a +p6763 +tp6764 +a(g826 +V +p6765 +tp6766 +a(g7 +Vif +p6767 +tp6768 +a(g693 +g1133 +tp6769 +a(g423 +Vbutton +p6770 +tp6771 +a(g693 +g1450 +tp6772 +a(g423 +Vchecked +p6773 +tp6774 +a(g693 +g1137 +tp6775 +a(g826 +g958 +tp6776 +a(g423 +Vanchor +p6777 +tp6778 +a(g693 +g1450 +tp6779 +a(g423 +Vhorz +p6780 +tp6781 +a(g693 +g1450 +tp6782 +a(g423 +Vtype +p6783 +tp6784 +a(g826 +g958 +tp6785 +a(g400 +g1066 +tp6786 +a(g826 +g958 +tp6787 +a(g423 +VmiddleRelative +p6788 +tp6789 +a(g693 +g962 +tp6790 +a(g826 +g958 +tp6791 +a(g7 +Velse +p6792 +tp6793 +a(g826 +g958 +tp6794 +a(g423 +Vanchor +p6795 +tp6796 +a(g693 +g1450 +tp6797 +a(g423 +Vhorz +p6798 +tp6799 +a(g693 +g1450 +tp6800 +a(g423 +Vtype +p6801 +tp6802 +a(g826 +g958 +tp6803 +a(g400 +g1066 +tp6804 +a(g826 +g958 +tp6805 +a(g423 +Vnone +p6806 +tp6807 +a(g693 +g962 +tp6808 +a(g826 +V\u000a +p6809 +tp6810 +a(g826 +V +p6811 +tp6812 +a(g423 +VanchorDropBox +p6813 +tp6814 +a(g693 +g1450 +tp6815 +a(g423 +VrelButtons +p6816 +tp6817 +a(g693 +g1401 +tp6818 +a(g693 +g1133 +tp6819 +a(g423 +Vid +p6820 +tp6821 +a(g826 +g958 +tp6822 +a(g400 +g1487 +tp6823 +a(g826 +g958 +tp6824 +a(g22 +g1507 +tp6825 +a(g693 +g1137 +tp6826 +a(g400 +g6309 +tp6827 +a(g22 +g6520 +tp6828 +a(g693 +g1404 +tp6829 +a(g693 +g1450 +tp6830 +a(g423 +Vchecked +p6831 +tp6832 +a(g826 +g958 +tp6833 +a(g400 +g1066 +tp6834 +a(g826 +g958 +tp6835 +a(g423 +Vbutton +p6836 +tp6837 +a(g693 +g1450 +tp6838 +a(g423 +Vchecked +p6839 +tp6840 +a(g693 +g962 +tp6841 +a(g826 +V\u000a +p6842 +tp6843 +a(g826 +V +p6844 +tp6845 +a(g693 +g1037 +tp6846 +a(g826 +V\u000a +p6847 +tp6848 +a(g826 +V +p6849 +tp6850 +a(g7 +Velse +p6851 +tp6852 +a(g826 +g958 +tp6853 +a(g7 +Vif +p6854 +tp6855 +a(g693 +g1133 +tp6856 +a(g693 +g1133 +tp6857 +a(g423 +Vid +p6858 +tp6859 +a(g826 +g958 +tp6860 +a(g400 +g1066 +tp6861 +a(g400 +g1066 +tp6862 +a(g826 +g958 +tp6863 +a(g22 +g1361 +tp6864 +a(g826 +g958 +tp6865 +a(g400 +g3056 +tp6866 +a(g400 +g3056 +tp6867 +a(g826 +g958 +tp6868 +a(g423 +Vid +p6869 +tp6870 +a(g826 +g958 +tp6871 +a(g400 +g1066 +tp6872 +a(g400 +g1066 +tp6873 +a(g826 +g958 +tp6874 +a(g22 +g4486 +tp6875 +a(g693 +g1137 +tp6876 +a(g826 +g958 +tp6877 +a(g400 +g1684 +tp6878 +a(g400 +g1684 +tp6879 +a(g826 +g958 +tp6880 +a(g693 +g1133 +tp6881 +a(g693 +g1133 +tp6882 +a(g400 +g1407 +tp6883 +a(g423 +Vanchor +p6884 +tp6885 +a(g693 +g1450 +tp6886 +a(g423 +Vtop +p6887 +tp6888 +a(g693 +g1450 +tp6889 +a(g423 +Vtype +p6890 +tp6891 +a(g826 +g958 +tp6892 +a(g400 +g1684 +tp6893 +a(g400 +g1684 +tp6894 +a(g826 +g958 +tp6895 +a(g400 +g1407 +tp6896 +a(g423 +Vanchor +p6897 +tp6898 +a(g693 +g1450 +tp6899 +a(g423 +Vbottom +p6900 +tp6901 +a(g693 +g1450 +tp6902 +a(g423 +Vtype +p6903 +tp6904 +a(g693 +g1137 +tp6905 +a(g826 +g958 +tp6906 +a(g400 +g3056 +tp6907 +a(g400 +g3056 +tp6908 +a(g826 +g958 +tp6909 +a(g423 +Vanchor +p6910 +tp6911 +a(g693 +g1450 +tp6912 +a(g423 +Vtop +p6913 +tp6914 +a(g693 +g1450 +tp6915 +a(g423 +Vtype +p6916 +tp6917 +a(g826 +g958 +tp6918 +a(g400 +g1066 +tp6919 +a(g400 +g1066 +tp6920 +a(g826 +g958 +tp6921 +a(g423 +VmiddleRelative +p6922 +tp6923 +a(g693 +g1137 +tp6924 +a(g693 +g1137 +tp6925 +a(g826 +V\u000a +p6926 +tp6927 +a(g826 +V +p6928 +tp6929 +a(g693 +g990 +tp6930 +a(g826 +V\u000a +p6931 +tp6932 +a(g826 +V +p6933 +tp6934 +a(g7 +Vif +p6935 +tp6936 +a(g693 +g1133 +tp6937 +a(g423 +Vbutton +p6938 +tp6939 +a(g693 +g1450 +tp6940 +a(g423 +Vchecked +p6941 +tp6942 +a(g693 +g1137 +tp6943 +a(g826 +g958 +tp6944 +a(g423 +Vanchor +p6945 +tp6946 +a(g693 +g1450 +tp6947 +a(g423 +Vvert +p6948 +tp6949 +a(g693 +g1450 +tp6950 +a(g423 +Vtype +p6951 +tp6952 +a(g826 +g958 +tp6953 +a(g400 +g1066 +tp6954 +a(g826 +g958 +tp6955 +a(g423 +VmiddleRelative +p6956 +tp6957 +a(g693 +g962 +tp6958 +a(g826 +g958 +tp6959 +a(g7 +Velse +p6960 +tp6961 +a(g826 +g958 +tp6962 +a(g423 +Vanchor +p6963 +tp6964 +a(g693 +g1450 +tp6965 +a(g423 +Vvert +p6966 +tp6967 +a(g693 +g1450 +tp6968 +a(g423 +Vtype +p6969 +tp6970 +a(g826 +g958 +tp6971 +a(g400 +g1066 +tp6972 +a(g826 +g958 +tp6973 +a(g423 +Vnone +p6974 +tp6975 +a(g693 +g962 +tp6976 +a(g826 +V\u000a +p6977 +tp6978 +a(g826 +V +p6979 +tp6980 +a(g423 +VanchorDropBox +p6981 +tp6982 +a(g693 +g1450 +tp6983 +a(g423 +VrelButtons +p6984 +tp6985 +a(g693 +g1401 +tp6986 +a(g693 +g1133 +tp6987 +a(g423 +Vid +p6988 +tp6989 +a(g826 +g958 +tp6990 +a(g400 +g1487 +tp6991 +a(g826 +g958 +tp6992 +a(g22 +g1507 +tp6993 +a(g693 +g1137 +tp6994 +a(g400 +g6309 +tp6995 +a(g22 +g6520 +tp6996 +a(g693 +g1404 +tp6997 +a(g693 +g1450 +tp6998 +a(g423 +Vchecked +p6999 +tp7000 +a(g826 +g958 +tp7001 +a(g400 +g1066 +tp7002 +a(g826 +g958 +tp7003 +a(g423 +Vbutton +p7004 +tp7005 +a(g693 +g1450 +tp7006 +a(g423 +Vchecked +p7007 +tp7008 +a(g693 +g962 +tp7009 +a(g826 +V\u000a +p7010 +tp7011 +a(g826 +V +p7012 +tp7013 +a(g693 +g1037 +tp7014 +a(g826 +V\u000a +p7015 +tp7016 +a(g826 +V +p7017 +tp7018 +a(g7 +Velse +p7019 +tp7020 +a(g826 +V\u000a +p7021 +tp7022 +a(g826 +V +p7023 +tp7024 +a(g693 +g990 +tp7025 +a(g826 +V\u000a +p7026 +tp7027 +a(g826 +V +p7028 +tp7029 +a(g7 +Vswitch +p7030 +tp7031 +a(g693 +g1133 +tp7032 +a(g423 +Vid +p7033 +tp7034 +a(g693 +g1137 +tp7035 +a(g826 +V\u000a +p7036 +tp7037 +a(g826 +V +p7038 +tp7039 +a(g693 +g990 +tp7040 +a(g826 +V\u000a +p7041 +tp7042 +a(g826 +V +p7043 +tp7044 +a(g7 +Vcase +p7045 +tp7046 +a(g826 +g958 +tp7047 +a(g22 +g1321 +tp7048 +a(g400 +g3775 +tp7049 +a(g826 +g958 +tp7050 +a(g423 +Vanchor +p7051 +tp7052 +a(g693 +g1450 +tp7053 +a(g423 +Vleft +p7054 +tp7055 +a(g693 +g1450 +tp7056 +a(g423 +Vtype +p7057 +tp7058 +a(g826 +V +p7059 +tp7060 +a(g400 +g1066 +tp7061 +a(g826 +g958 +tp7062 +a(g423 +Vbutton +p7063 +tp7064 +a(g693 +g1450 +tp7065 +a(g423 +Vchecked +p7066 +tp7067 +a(g826 +g958 +tp7068 +a(g400 +g4691 +tp7069 +a(g826 +g958 +tp7070 +a(g584 +Vrelative +p7071 +tp7072 +a(g826 +g958 +tp7073 +a(g693 +g3775 +tp7074 +a(g826 +g958 +tp7075 +a(g693 +g1133 +tp7076 +a(g423 +Vanchor +p7077 +tp7078 +a(g693 +g1450 +tp7079 +a(g423 +Vleft +p7080 +tp7081 +a(g693 +g1450 +tp7082 +a(g423 +Vtype +p7083 +tp7084 +a(g826 +V +p7085 +tp7086 +a(g400 +g4691 +tp7087 +a(g826 +g958 +tp7088 +a(g584 +Voffset +p7089 +tp7090 +a(g826 +g958 +tp7091 +a(g693 +g3775 +tp7092 +a(g826 +g958 +tp7093 +a(g423 +Vnone +p7094 +tp7095 +a(g693 +g1137 +tp7096 +a(g693 +g962 +tp7097 +a(g826 +g958 +tp7098 +a(g7 +Vbreak +p7099 +tp7100 +a(g693 +g962 +tp7101 +a(g826 +V\u000a +p7102 +tp7103 +a(g826 +V +p7104 +tp7105 +a(g7 +Vcase +p7106 +tp7107 +a(g826 +g958 +tp7108 +a(g22 +g1361 +tp7109 +a(g400 +g3775 +tp7110 +a(g826 +g958 +tp7111 +a(g423 +Vanchor +p7112 +tp7113 +a(g693 +g1450 +tp7114 +a(g423 +Vtop +p7115 +tp7116 +a(g693 +g1450 +tp7117 +a(g423 +Vtype +p7118 +tp7119 +a(g826 +V +p7120 +tp7121 +a(g400 +g1066 +tp7122 +a(g826 +g958 +tp7123 +a(g423 +Vbutton +p7124 +tp7125 +a(g693 +g1450 +tp7126 +a(g423 +Vchecked +p7127 +tp7128 +a(g826 +g958 +tp7129 +a(g400 +g4691 +tp7130 +a(g826 +g958 +tp7131 +a(g584 +Vrelative +p7132 +tp7133 +a(g826 +g958 +tp7134 +a(g693 +g3775 +tp7135 +a(g826 +g958 +tp7136 +a(g693 +g1133 +tp7137 +a(g423 +Vanchor +p7138 +tp7139 +a(g693 +g1450 +tp7140 +a(g423 +Vtop +p7141 +tp7142 +a(g693 +g1450 +tp7143 +a(g423 +Vtype +p7144 +tp7145 +a(g826 +V +p7146 +tp7147 +a(g400 +g4691 +tp7148 +a(g826 +g958 +tp7149 +a(g584 +Voffset +p7150 +tp7151 +a(g826 +g958 +tp7152 +a(g693 +g3775 +tp7153 +a(g826 +g958 +tp7154 +a(g423 +Vnone +p7155 +tp7156 +a(g693 +g1137 +tp7157 +a(g693 +g962 +tp7158 +a(g826 +g958 +tp7159 +a(g7 +Vbreak +p7160 +tp7161 +a(g693 +g962 +tp7162 +a(g826 +V\u000a +p7163 +tp7164 +a(g826 +V +p7165 +tp7166 +a(g7 +Vcase +p7167 +tp7168 +a(g826 +g958 +tp7169 +a(g22 +g1507 +tp7170 +a(g400 +g3775 +tp7171 +a(g826 +g958 +tp7172 +a(g423 +Vanchor +p7173 +tp7174 +a(g693 +g1450 +tp7175 +a(g423 +Vright +p7176 +tp7177 +a(g693 +g1450 +tp7178 +a(g423 +Vtype +p7179 +tp7180 +a(g826 +V +p7181 +tp7182 +a(g400 +g1066 +tp7183 +a(g826 +g958 +tp7184 +a(g423 +Vbutton +p7185 +tp7186 +a(g693 +g1450 +tp7187 +a(g423 +Vchecked +p7188 +tp7189 +a(g826 +g958 +tp7190 +a(g400 +g4691 +tp7191 +a(g826 +g958 +tp7192 +a(g584 +Vrelative +p7193 +tp7194 +a(g826 +g958 +tp7195 +a(g693 +g3775 +tp7196 +a(g826 +g958 +tp7197 +a(g693 +g1133 +tp7198 +a(g423 +Vanchor +p7199 +tp7200 +a(g693 +g1450 +tp7201 +a(g423 +Vright +p7202 +tp7203 +a(g693 +g1450 +tp7204 +a(g423 +Vtype +p7205 +tp7206 +a(g826 +V +p7207 +tp7208 +a(g400 +g4691 +tp7209 +a(g826 +g958 +tp7210 +a(g584 +Voffset +p7211 +tp7212 +a(g826 +g958 +tp7213 +a(g693 +g3775 +tp7214 +a(g826 +g958 +tp7215 +a(g423 +Vnone +p7216 +tp7217 +a(g693 +g1137 +tp7218 +a(g693 +g962 +tp7219 +a(g826 +g958 +tp7220 +a(g7 +Vbreak +p7221 +tp7222 +a(g693 +g962 +tp7223 +a(g826 +V\u000a +p7224 +tp7225 +a(g826 +V +p7226 +tp7227 +a(g7 +Vcase +p7228 +tp7229 +a(g826 +g958 +tp7230 +a(g22 +g4486 +tp7231 +a(g400 +g3775 +tp7232 +a(g826 +g958 +tp7233 +a(g423 +Vanchor +p7234 +tp7235 +a(g693 +g1450 +tp7236 +a(g423 +Vbottom +p7237 +tp7238 +a(g693 +g1450 +tp7239 +a(g423 +Vtype +p7240 +tp7241 +a(g826 +g958 +tp7242 +a(g400 +g1066 +tp7243 +a(g826 +g958 +tp7244 +a(g423 +Vbutton +p7245 +tp7246 +a(g693 +g1450 +tp7247 +a(g423 +Vchecked +p7248 +tp7249 +a(g826 +g958 +tp7250 +a(g400 +g4691 +tp7251 +a(g826 +g958 +tp7252 +a(g584 +Vrelative +p7253 +tp7254 +a(g826 +g958 +tp7255 +a(g693 +g3775 +tp7256 +a(g826 +g958 +tp7257 +a(g693 +g1133 +tp7258 +a(g423 +Vanchor +p7259 +tp7260 +a(g693 +g1450 +tp7261 +a(g423 +Vbottom +p7262 +tp7263 +a(g693 +g1450 +tp7264 +a(g423 +Vtype +p7265 +tp7266 +a(g826 +g958 +tp7267 +a(g400 +g4691 +tp7268 +a(g826 +g958 +tp7269 +a(g584 +Voffset +p7270 +tp7271 +a(g826 +g958 +tp7272 +a(g693 +g3775 +tp7273 +a(g826 +g958 +tp7274 +a(g423 +Vnone +p7275 +tp7276 +a(g693 +g1137 +tp7277 +a(g693 +g962 +tp7278 +a(g826 +g958 +tp7279 +a(g7 +Vbreak +p7280 +tp7281 +a(g693 +g962 +tp7282 +a(g826 +V\u000a +p7283 +tp7284 +a(g826 +V +p7285 +tp7286 +a(g693 +g1037 +tp7287 +a(g826 +V\u000a +p7288 +tp7289 +a(g826 +V +p7290 +tp7291 +a(g423 +VanchorDropBox +p7292 +tp7293 +a(g693 +g1450 +tp7294 +a(g423 +Vbuttons +p7295 +tp7296 +a(g693 +g1401 +tp7297 +a(g423 +Vid +p7298 +tp7299 +a(g693 +g1404 +tp7300 +a(g693 +g1450 +tp7301 +a(g423 +Vchecked +p7302 +tp7303 +a(g826 +g958 +tp7304 +a(g400 +g1066 +tp7305 +a(g826 +g958 +tp7306 +a(g669 +Vtrue +p7307 +tp7308 +a(g693 +g962 +tp7309 +a(g826 +V\u000a +p7310 +tp7311 +a(g826 +V +p7312 +tp7313 +a(g7 +Vif +p7314 +tp7315 +a(g693 +g1133 +tp7316 +a(g423 +Vanchor +p7317 +tp7318 +a(g693 +g1450 +tp7319 +a(g423 +Vhorz +p7320 +tp7321 +a(g693 +g1450 +tp7322 +a(g423 +Vtype +p7323 +tp7324 +a(g826 +g958 +tp7325 +a(g400 +g1066 +tp7326 +a(g400 +g1066 +tp7327 +a(g826 +g958 +tp7328 +a(g423 +VmiddleRelative +p7329 +tp7330 +a(g693 +g1137 +tp7331 +a(g826 +g958 +tp7332 +a(g423 +Vanchor +p7333 +tp7334 +a(g693 +g1450 +tp7335 +a(g423 +Vhorz +p7336 +tp7337 +a(g693 +g1450 +tp7338 +a(g423 +Vtype +p7339 +tp7340 +a(g826 +g958 +tp7341 +a(g400 +g1066 +tp7342 +a(g826 +g958 +tp7343 +a(g423 +Vnone +p7344 +tp7345 +a(g693 +g962 +tp7346 +a(g826 +V\u000a +p7347 +tp7348 +a(g826 +V +p7349 +tp7350 +a(g7 +Vif +p7351 +tp7352 +a(g693 +g1133 +tp7353 +a(g423 +Vanchor +p7354 +tp7355 +a(g693 +g1450 +tp7356 +a(g423 +Vvert +p7357 +tp7358 +a(g693 +g1450 +tp7359 +a(g423 +Vtype +p7360 +tp7361 +a(g826 +g958 +tp7362 +a(g400 +g1066 +tp7363 +a(g400 +g1066 +tp7364 +a(g826 +g958 +tp7365 +a(g423 +VmiddleRelative +p7366 +tp7367 +a(g693 +g1137 +tp7368 +a(g826 +g958 +tp7369 +a(g423 +Vanchor +p7370 +tp7371 +a(g693 +g1450 +tp7372 +a(g423 +Vvert +p7373 +tp7374 +a(g693 +g1450 +tp7375 +a(g423 +Vtype +p7376 +tp7377 +a(g826 +g958 +tp7378 +a(g400 +g1066 +tp7379 +a(g826 +g958 +tp7380 +a(g423 +Vnone +p7381 +tp7382 +a(g693 +g962 +tp7383 +a(g826 +V\u000a +p7384 +tp7385 +a(g826 +V +p7386 +tp7387 +a(g693 +g1037 +tp7388 +a(g826 +V\u000a +p7389 +tp7390 +a(g826 +V\u000a +p7391 +tp7392 +a(g826 +V +p7393 +tp7394 +a(g693 +g990 +tp7395 +a(g826 +V\u000a +p7396 +tp7397 +a(g826 +V +p7398 +tp7399 +a(g881 +Vint +p7400 +tp7401 +a(g826 +g958 +tp7402 +a(g423 +Vvpw +p7403 +tp7404 +a(g693 +g1203 +tp7405 +a(g826 +g958 +tp7406 +a(g423 +Vvph +p7407 +tp7408 +a(g693 +g962 +tp7409 +a(g826 +V\u000a +p7410 +tp7411 +a(g826 +V +p7412 +tp7413 +a(g881 +Vint +p7414 +tp7415 +a(g826 +g958 +tp7416 +a(g423 +g3871 +tp7417 +a(g693 +g1203 +tp7418 +a(g423 +g3878 +tp7419 +a(g693 +g1203 +tp7420 +a(g423 +g3885 +tp7421 +a(g693 +g1203 +tp7422 +a(g423 +g3892 +tp7423 +a(g693 +g962 +tp7424 +a(g826 +V\u000a +p7425 +tp7426 +a(g826 +V +p7427 +tp7428 +a(g423 +VWindow +p7429 +tp7430 +a(g826 +g958 +tp7431 +a(g423 +Vparent +p7432 +tp7433 +a(g826 +g958 +tp7434 +a(g400 +g1066 +tp7435 +a(g826 +g958 +tp7436 +a(g423 +Vcontrol +p7437 +tp7438 +a(g693 +g1450 +tp7439 +a(g423 +Vparent +p7440 +tp7441 +a(g693 +g962 +tp7442 +a(g826 +V\u000a +p7443 +tp7444 +a(g826 +V\u000a +p7445 +tp7446 +a(g826 +V +p7447 +tp7448 +a(g745 +V// Fix Anchor\u000a +p7449 +tp7450 +a(g826 +V +p7451 +tp7452 +a(g423 +g3871 +tp7453 +a(g826 +g958 +tp7454 +a(g400 +g1066 +tp7455 +a(g826 +g958 +tp7456 +a(g423 +Vcontrol +p7457 +tp7458 +a(g693 +g1450 +tp7459 +a(g423 +Vposition +p7460 +tp7461 +a(g693 +g1450 +tp7462 +a(g423 +g3871 +tp7463 +a(g693 +g962 +tp7464 +a(g826 +V\u000a +p7465 +tp7466 +a(g826 +V +p7467 +tp7468 +a(g423 +g3878 +tp7469 +a(g826 +g958 +tp7470 +a(g400 +g1066 +tp7471 +a(g826 +g958 +tp7472 +a(g423 +Vcontrol +p7473 +tp7474 +a(g693 +g1450 +tp7475 +a(g423 +Vposition +p7476 +tp7477 +a(g693 +g1450 +tp7478 +a(g423 +g3878 +tp7479 +a(g693 +g962 +tp7480 +a(g826 +V\u000a +p7481 +tp7482 +a(g826 +V +p7483 +tp7484 +a(g423 +g3885 +tp7485 +a(g826 +g958 +tp7486 +a(g400 +g1066 +tp7487 +a(g826 +g958 +tp7488 +a(g423 +Vcontrol +p7489 +tp7490 +a(g693 +g1450 +tp7491 +a(g423 +Vsize +p7492 +tp7493 +a(g693 +g1450 +tp7494 +a(g423 +g3885 +tp7495 +a(g693 +g962 +tp7496 +a(g826 +V\u000a +p7497 +tp7498 +a(g826 +V +p7499 +tp7500 +a(g423 +g3892 +tp7501 +a(g826 +g958 +tp7502 +a(g400 +g1066 +tp7503 +a(g826 +g958 +tp7504 +a(g423 +Vcontrol +p7505 +tp7506 +a(g693 +g1450 +tp7507 +a(g423 +Vsize +p7508 +tp7509 +a(g693 +g1450 +tp7510 +a(g423 +g3892 +tp7511 +a(g693 +g962 +tp7512 +a(g826 +V\u000a +p7513 +tp7514 +a(g826 +V\u000a +p7515 +tp7516 +a(g826 +V +p7517 +tp7518 +a(g423 +Vvpw +p7519 +tp7520 +a(g826 +g958 +tp7521 +a(g400 +g1066 +tp7522 +a(g826 +g958 +tp7523 +a(g423 +Vparent +p7524 +tp7525 +a(g693 +g1450 +tp7526 +a(g423 +VclientSize +p7527 +tp7528 +a(g693 +g1450 +tp7529 +a(g423 +g3885 +tp7530 +a(g693 +g962 +tp7531 +a(g826 +V\u000a +p7532 +tp7533 +a(g826 +V +p7534 +tp7535 +a(g423 +Vvph +p7536 +tp7537 +a(g826 +g958 +tp7538 +a(g400 +g1066 +tp7539 +a(g826 +g958 +tp7540 +a(g423 +Vparent +p7541 +tp7542 +a(g693 +g1450 +tp7543 +a(g423 +VclientSize +p7544 +tp7545 +a(g693 +g1450 +tp7546 +a(g423 +g3892 +tp7547 +a(g693 +g962 +tp7548 +a(g826 +V\u000a +p7549 +tp7550 +a(g826 +V +p7551 +tp7552 +a(g7 +Vif +p7553 +tp7554 +a(g693 +g1133 +tp7555 +a(g423 +Vcontrol +p7556 +tp7557 +a(g693 +g1450 +tp7558 +a(g423 +VnonClient +p7559 +tp7560 +a(g693 +g1137 +tp7561 +a(g826 +V\u000a +p7562 +tp7563 +a(g826 +V +p7564 +tp7565 +a(g693 +g990 +tp7566 +a(g826 +V\u000a +p7567 +tp7568 +a(g826 +V +p7569 +tp7570 +a(g423 +Vvpw +p7571 +tp7572 +a(g826 +g958 +tp7573 +a(g400 +g1066 +tp7574 +a(g826 +g958 +tp7575 +a(g423 +Vparent +p7576 +tp7577 +a(g693 +g1450 +tp7578 +a(g423 +Vsize +p7579 +tp7580 +a(g693 +g1450 +tp7581 +a(g423 +g3885 +tp7582 +a(g693 +g962 +tp7583 +a(g826 +V\u000a +p7584 +tp7585 +a(g826 +V +p7586 +tp7587 +a(g423 +Vvph +p7588 +tp7589 +a(g826 +g958 +tp7590 +a(g400 +g1066 +tp7591 +a(g826 +g958 +tp7592 +a(g423 +Vparent +p7593 +tp7594 +a(g693 +g1450 +tp7595 +a(g423 +Vsize +p7596 +tp7597 +a(g693 +g1450 +tp7598 +a(g423 +g3892 +tp7599 +a(g693 +g962 +tp7600 +a(g826 +V\u000a +p7601 +tp7602 +a(g826 +V +p7603 +tp7604 +a(g693 +g1037 +tp7605 +a(g826 +V\u000a +p7606 +tp7607 +a(g826 +V +p7608 +tp7609 +a(g7 +Velse +p7610 +tp7611 +a(g826 +g958 +tp7612 +a(g7 +Vif +p7613 +tp7614 +a(g693 +g1133 +tp7615 +a(g693 +g1133 +tp7616 +a(g693 +g1133 +tp7617 +a(g423 +VBorderBits +p7618 +tp7619 +a(g693 +g1137 +tp7620 +a(g423 +Vcontrol +p7621 +tp7622 +a(g693 +g1450 +tp7623 +a(g423 +VborderStyle +p7624 +tp7625 +a(g693 +g1137 +tp7626 +a(g693 +g1450 +tp7627 +a(g423 +Vfixed +p7628 +tp7629 +a(g693 +g1137 +tp7630 +a(g826 +V\u000a +p7631 +tp7632 +a(g826 +V +p7633 +tp7634 +a(g693 +g990 +tp7635 +a(g826 +V\u000a +p7636 +tp7637 +a(g826 +V +p7638 +tp7639 +a(g7 +Vif +p7640 +tp7641 +a(g693 +g1133 +tp7642 +a(g400 +g1407 +tp7643 +a(g423 +Vcontrol +p7644 +tp7645 +a(g693 +g1450 +tp7646 +a(g423 +VdontScrollHorz +p7647 +tp7648 +a(g826 +g958 +tp7649 +a(g400 +g1684 +tp7650 +a(g400 +g1684 +tp7651 +a(g826 +g958 +tp7652 +a(g423 +Vparent +p7653 +tp7654 +a(g693 +g1450 +tp7655 +a(g423 +VscrollArea +p7656 +tp7657 +a(g693 +g1450 +tp7658 +a(g423 +g3885 +tp7659 +a(g693 +g1137 +tp7660 +a(g826 +V +p7661 +tp7662 +a(g423 +Vvpw +p7663 +tp7664 +a(g826 +g958 +tp7665 +a(g400 +g1066 +tp7666 +a(g826 +g958 +tp7667 +a(g423 +Vparent +p7668 +tp7669 +a(g693 +g1450 +tp7670 +a(g423 +VscrollArea +p7671 +tp7672 +a(g693 +g1450 +tp7673 +a(g423 +g3885 +tp7674 +a(g693 +g962 +tp7675 +a(g826 +V\u000a +p7676 +tp7677 +a(g826 +V +p7678 +tp7679 +a(g7 +Vif +p7680 +tp7681 +a(g693 +g1133 +tp7682 +a(g400 +g1407 +tp7683 +a(g423 +Vcontrol +p7684 +tp7685 +a(g693 +g1450 +tp7686 +a(g423 +VdontScrollVert +p7687 +tp7688 +a(g826 +g958 +tp7689 +a(g400 +g1684 +tp7690 +a(g400 +g1684 +tp7691 +a(g826 +g958 +tp7692 +a(g423 +Vparent +p7693 +tp7694 +a(g693 +g1450 +tp7695 +a(g423 +VscrollArea +p7696 +tp7697 +a(g693 +g1450 +tp7698 +a(g423 +g3892 +tp7699 +a(g693 +g1137 +tp7700 +a(g826 +g958 +tp7701 +a(g423 +Vvph +p7702 +tp7703 +a(g826 +g958 +tp7704 +a(g400 +g1066 +tp7705 +a(g826 +g958 +tp7706 +a(g423 +Vparent +p7707 +tp7708 +a(g693 +g1450 +tp7709 +a(g423 +VscrollArea +p7710 +tp7711 +a(g693 +g1450 +tp7712 +a(g423 +g3892 +tp7713 +a(g693 +g962 +tp7714 +a(g826 +V\u000a +p7715 +tp7716 +a(g826 +V +p7717 +tp7718 +a(g693 +g1037 +tp7719 +a(g826 +V\u000a +p7720 +tp7721 +a(g826 +V\u000a +p7722 +tp7723 +a(g826 +V +p7724 +tp7725 +a(g7 +Vif +p7726 +tp7727 +a(g693 +g1133 +tp7728 +a(g423 +Vanchor +p7729 +tp7730 +a(g693 +g1450 +tp7731 +a(g423 +Vleft +p7732 +tp7733 +a(g693 +g1450 +tp7734 +a(g423 +Vtype +p7735 +tp7736 +a(g826 +g958 +tp7737 +a(g400 +g1066 +tp7738 +a(g400 +g1066 +tp7739 +a(g826 +g958 +tp7740 +a(g423 +Voffset +p7741 +tp7742 +a(g693 +g1137 +tp7743 +a(g826 +g958 +tp7744 +a(g423 +Vanchor +p7745 +tp7746 +a(g693 +g1450 +tp7747 +a(g423 +Vleft +p7748 +tp7749 +a(g693 +g1450 +tp7750 +a(g423 +Vdistance +p7751 +tp7752 +a(g826 +g958 +tp7753 +a(g400 +g1066 +tp7754 +a(g826 +g958 +tp7755 +a(g423 +g3871 +tp7756 +a(g693 +g962 +tp7757 +a(g826 +V\u000a +p7758 +tp7759 +a(g826 +V +p7760 +tp7761 +a(g7 +Velse +p7762 +tp7763 +a(g826 +g958 +tp7764 +a(g561 +Vif +p7765 +tp7766 +a(g693 +g1133 +tp7767 +a(g423 +Vanchor +p7768 +tp7769 +a(g693 +g1450 +tp7770 +a(g423 +Vleft +p7771 +tp7772 +a(g693 +g1450 +tp7773 +a(g423 +Vtype +p7774 +tp7775 +a(g826 +g958 +tp7776 +a(g400 +g1066 +tp7777 +a(g400 +g1066 +tp7778 +a(g826 +g958 +tp7779 +a(g423 +Vrelative +p7780 +tp7781 +a(g693 +g1137 +tp7782 +a(g826 +g958 +tp7783 +a(g423 +Vanchor +p7784 +tp7785 +a(g693 +g1450 +tp7786 +a(g423 +Vleft +p7787 +tp7788 +a(g693 +g1450 +tp7789 +a(g423 +Vpercent +p7790 +tp7791 +a(g826 +g958 +tp7792 +a(g400 +g1066 +tp7793 +a(g826 +g958 +tp7794 +a(g693 +g1133 +tp7795 +a(g881 +Vfloat +p7796 +tp7797 +a(g693 +g1137 +tp7798 +a(g423 +g3871 +tp7799 +a(g826 +g958 +tp7800 +a(g400 +g5477 +tp7801 +a(g826 +g958 +tp7802 +a(g423 +Vvpw +p7803 +tp7804 +a(g693 +g962 +tp7805 +a(g826 +V\u000a +p7806 +tp7807 +a(g826 +V +p7808 +tp7809 +a(g7 +Vif +p7810 +tp7811 +a(g693 +g1133 +tp7812 +a(g423 +Vanchor +p7813 +tp7814 +a(g693 +g1450 +tp7815 +a(g423 +Vtop +p7816 +tp7817 +a(g693 +g1450 +tp7818 +a(g423 +Vtype +p7819 +tp7820 +a(g826 +g958 +tp7821 +a(g400 +g1066 +tp7822 +a(g400 +g1066 +tp7823 +a(g826 +g958 +tp7824 +a(g423 +Voffset +p7825 +tp7826 +a(g693 +g1137 +tp7827 +a(g826 +g958 +tp7828 +a(g423 +Vanchor +p7829 +tp7830 +a(g693 +g1450 +tp7831 +a(g423 +Vtop +p7832 +tp7833 +a(g693 +g1450 +tp7834 +a(g423 +Vdistance +p7835 +tp7836 +a(g826 +g958 +tp7837 +a(g400 +g1066 +tp7838 +a(g826 +g958 +tp7839 +a(g423 +g3878 +tp7840 +a(g693 +g962 +tp7841 +a(g826 +V\u000a +p7842 +tp7843 +a(g826 +V +p7844 +tp7845 +a(g7 +Velse +p7846 +tp7847 +a(g826 +g958 +tp7848 +a(g561 +Vif +p7849 +tp7850 +a(g693 +g1133 +tp7851 +a(g423 +Vanchor +p7852 +tp7853 +a(g693 +g1450 +tp7854 +a(g423 +Vtop +p7855 +tp7856 +a(g693 +g1450 +tp7857 +a(g423 +Vtype +p7858 +tp7859 +a(g826 +g958 +tp7860 +a(g400 +g1066 +tp7861 +a(g400 +g1066 +tp7862 +a(g826 +g958 +tp7863 +a(g423 +Vrelative +p7864 +tp7865 +a(g693 +g1137 +tp7866 +a(g826 +g958 +tp7867 +a(g423 +Vanchor +p7868 +tp7869 +a(g693 +g1450 +tp7870 +a(g423 +Vtop +p7871 +tp7872 +a(g693 +g1450 +tp7873 +a(g423 +Vpercent +p7874 +tp7875 +a(g826 +g958 +tp7876 +a(g400 +g1066 +tp7877 +a(g826 +g958 +tp7878 +a(g693 +g1133 +tp7879 +a(g881 +Vfloat +p7880 +tp7881 +a(g693 +g1137 +tp7882 +a(g423 +g3878 +tp7883 +a(g826 +g958 +tp7884 +a(g400 +g5477 +tp7885 +a(g826 +g958 +tp7886 +a(g423 +Vvph +p7887 +tp7888 +a(g693 +g962 +tp7889 +a(g826 +V\u000a +p7890 +tp7891 +a(g826 +V +p7892 +tp7893 +a(g7 +Vif +p7894 +tp7895 +a(g693 +g1133 +tp7896 +a(g423 +Vanchor +p7897 +tp7898 +a(g693 +g1450 +tp7899 +a(g423 +Vright +p7900 +tp7901 +a(g693 +g1450 +tp7902 +a(g423 +Vtype +p7903 +tp7904 +a(g826 +g958 +tp7905 +a(g400 +g1066 +tp7906 +a(g400 +g1066 +tp7907 +a(g826 +g958 +tp7908 +a(g423 +Voffset +p7909 +tp7910 +a(g693 +g1137 +tp7911 +a(g826 +g958 +tp7912 +a(g423 +Vanchor +p7913 +tp7914 +a(g693 +g1450 +tp7915 +a(g423 +Vright +p7916 +tp7917 +a(g693 +g1450 +tp7918 +a(g423 +Vdistance +p7919 +tp7920 +a(g826 +g958 +tp7921 +a(g400 +g1066 +tp7922 +a(g826 +g958 +tp7923 +a(g423 +Vvpw +p7924 +tp7925 +a(g826 +g958 +tp7926 +a(g400 +g1359 +tp7927 +a(g826 +g958 +tp7928 +a(g693 +g1133 +tp7929 +a(g423 +g3871 +tp7930 +a(g826 +g958 +tp7931 +a(g400 +g1487 +tp7932 +a(g826 +g958 +tp7933 +a(g423 +g3885 +tp7934 +a(g693 +g1137 +tp7935 +a(g693 +g962 +tp7936 +a(g826 +V\u000a +p7937 +tp7938 +a(g826 +V +p7939 +tp7940 +a(g745 +V//else if(anchor.right.type == relative) anchor.right.percent = (float) (x + w) / vpw;\u000a +p7941 +tp7942 +a(g826 +V +p7943 +tp7944 +a(g7 +Velse +p7945 +tp7946 +a(g826 +g958 +tp7947 +a(g561 +Vif +p7948 +tp7949 +a(g693 +g1133 +tp7950 +a(g423 +Vanchor +p7951 +tp7952 +a(g693 +g1450 +tp7953 +a(g423 +Vright +p7954 +tp7955 +a(g693 +g1450 +tp7956 +a(g423 +Vtype +p7957 +tp7958 +a(g826 +g958 +tp7959 +a(g400 +g1066 +tp7960 +a(g400 +g1066 +tp7961 +a(g826 +g958 +tp7962 +a(g423 +Vrelative +p7963 +tp7964 +a(g693 +g1137 +tp7965 +a(g826 +g958 +tp7966 +a(g423 +Vanchor +p7967 +tp7968 +a(g693 +g1450 +tp7969 +a(g423 +Vright +p7970 +tp7971 +a(g693 +g1450 +tp7972 +a(g423 +Vpercent +p7973 +tp7974 +a(g826 +g958 +tp7975 +a(g400 +g1066 +tp7976 +a(g826 +g958 +tp7977 +a(g693 +g1133 +tp7978 +a(g881 +Vfloat +p7979 +tp7980 +a(g693 +g1137 +tp7981 +a(g826 +g958 +tp7982 +a(g693 +g1133 +tp7983 +a(g423 +Vvpw +p7984 +tp7985 +a(g826 +g958 +tp7986 +a(g400 +g1359 +tp7987 +a(g826 +g958 +tp7988 +a(g693 +g1133 +tp7989 +a(g423 +g3871 +tp7990 +a(g826 +g958 +tp7991 +a(g400 +g1487 +tp7992 +a(g826 +g958 +tp7993 +a(g423 +g3885 +tp7994 +a(g693 +g1137 +tp7995 +a(g693 +g1137 +tp7996 +a(g826 +g958 +tp7997 +a(g400 +g5477 +tp7998 +a(g826 +g958 +tp7999 +a(g423 +Vvpw +p8000 +tp8001 +a(g693 +g962 +tp8002 +a(g826 +V\u000a +p8003 +tp8004 +a(g826 +V +p8005 +tp8006 +a(g7 +Vif +p8007 +tp8008 +a(g693 +g1133 +tp8009 +a(g423 +Vanchor +p8010 +tp8011 +a(g693 +g1450 +tp8012 +a(g423 +Vbottom +p8013 +tp8014 +a(g693 +g1450 +tp8015 +a(g423 +Vtype +p8016 +tp8017 +a(g826 +g958 +tp8018 +a(g400 +g1066 +tp8019 +a(g400 +g1066 +tp8020 +a(g826 +g958 +tp8021 +a(g423 +Voffset +p8022 +tp8023 +a(g693 +g1137 +tp8024 +a(g826 +g958 +tp8025 +a(g423 +Vanchor +p8026 +tp8027 +a(g693 +g1450 +tp8028 +a(g423 +Vbottom +p8029 +tp8030 +a(g693 +g1450 +tp8031 +a(g423 +Vdistance +p8032 +tp8033 +a(g826 +g958 +tp8034 +a(g400 +g1066 +tp8035 +a(g826 +g958 +tp8036 +a(g423 +Vvph +p8037 +tp8038 +a(g826 +g958 +tp8039 +a(g400 +g1359 +tp8040 +a(g826 +g958 +tp8041 +a(g693 +g1133 +tp8042 +a(g423 +g3878 +tp8043 +a(g826 +g958 +tp8044 +a(g400 +g1487 +tp8045 +a(g826 +g958 +tp8046 +a(g423 +g3892 +tp8047 +a(g693 +g1137 +tp8048 +a(g693 +g962 +tp8049 +a(g826 +V\u000a +p8050 +tp8051 +a(g826 +V +p8052 +tp8053 +a(g745 +V//else if(anchor.bottom.type == relative) anchor.bottom.percent = (float) (y + h) / vph;\u000a +p8054 +tp8055 +a(g826 +V +p8056 +tp8057 +a(g7 +Velse +p8058 +tp8059 +a(g826 +g958 +tp8060 +a(g561 +Vif +p8061 +tp8062 +a(g693 +g1133 +tp8063 +a(g423 +Vanchor +p8064 +tp8065 +a(g693 +g1450 +tp8066 +a(g423 +Vbottom +p8067 +tp8068 +a(g693 +g1450 +tp8069 +a(g423 +Vtype +p8070 +tp8071 +a(g826 +g958 +tp8072 +a(g400 +g1066 +tp8073 +a(g400 +g1066 +tp8074 +a(g826 +g958 +tp8075 +a(g423 +Vrelative +p8076 +tp8077 +a(g693 +g1137 +tp8078 +a(g826 +g958 +tp8079 +a(g423 +Vanchor +p8080 +tp8081 +a(g693 +g1450 +tp8082 +a(g423 +Vbottom +p8083 +tp8084 +a(g693 +g1450 +tp8085 +a(g423 +Vpercent +p8086 +tp8087 +a(g826 +g958 +tp8088 +a(g400 +g1066 +tp8089 +a(g826 +g958 +tp8090 +a(g693 +g1133 +tp8091 +a(g881 +Vfloat +p8092 +tp8093 +a(g693 +g1137 +tp8094 +a(g826 +g958 +tp8095 +a(g693 +g1133 +tp8096 +a(g423 +Vvph +p8097 +tp8098 +a(g826 +g958 +tp8099 +a(g400 +g1359 +tp8100 +a(g826 +g958 +tp8101 +a(g693 +g1133 +tp8102 +a(g423 +g3878 +tp8103 +a(g826 +g958 +tp8104 +a(g400 +g1487 +tp8105 +a(g826 +g958 +tp8106 +a(g423 +g3892 +tp8107 +a(g693 +g1137 +tp8108 +a(g693 +g1137 +tp8109 +a(g826 +g958 +tp8110 +a(g400 +g5477 +tp8111 +a(g826 +g958 +tp8112 +a(g423 +Vvph +p8113 +tp8114 +a(g693 +g962 +tp8115 +a(g826 +V\u000a +p8116 +tp8117 +a(g826 +V\u000a +p8118 +tp8119 +a(g826 +V +p8120 +tp8121 +a(g7 +Vif +p8122 +tp8123 +a(g693 +g1133 +tp8124 +a(g400 +g1407 +tp8125 +a(g423 +Vanchor +p8126 +tp8127 +a(g693 +g1450 +tp8128 +a(g423 +Vleft +p8129 +tp8130 +a(g693 +g1450 +tp8131 +a(g423 +Vtype +p8132 +tp8133 +a(g826 +g958 +tp8134 +a(g400 +g1684 +tp8135 +a(g400 +g1684 +tp8136 +a(g826 +g958 +tp8137 +a(g400 +g1407 +tp8138 +a(g423 +Vanchor +p8139 +tp8140 +a(g693 +g1450 +tp8141 +a(g423 +Vright +p8142 +tp8143 +a(g693 +g1450 +tp8144 +a(g423 +Vtype +p8145 +tp8146 +a(g693 +g1137 +tp8147 +a(g826 +V\u000a +p8148 +tp8149 +a(g826 +V +p8150 +tp8151 +a(g693 +g990 +tp8152 +a(g826 +V\u000a +p8153 +tp8154 +a(g826 +V +p8155 +tp8156 +a(g423 +Vanchor +p8157 +tp8158 +a(g693 +g1450 +tp8159 +a(g423 +Vhorz +p8160 +tp8161 +a(g693 +g1450 +tp8162 +a(g423 +Vdistance +p8163 +tp8164 +a(g826 +g958 +tp8165 +a(g400 +g1066 +tp8166 +a(g826 +g958 +tp8167 +a(g693 +g1133 +tp8168 +a(g423 +g3871 +tp8169 +a(g826 +g958 +tp8170 +a(g400 +g1487 +tp8171 +a(g826 +g958 +tp8172 +a(g423 +g3885 +tp8173 +a(g826 +g958 +tp8174 +a(g400 +g5477 +tp8175 +a(g826 +g958 +tp8176 +a(g22 +g1507 +tp8177 +a(g693 +g1137 +tp8178 +a(g826 +g958 +tp8179 +a(g400 +g1359 +tp8180 +a(g826 +g958 +tp8181 +a(g693 +g1133 +tp8182 +a(g423 +Vvpw +p8183 +tp8184 +a(g826 +g958 +tp8185 +a(g400 +g5477 +tp8186 +a(g826 +g958 +tp8187 +a(g22 +g1507 +tp8188 +a(g693 +g1137 +tp8189 +a(g693 +g962 +tp8190 +a(g826 +V\u000a +p8191 +tp8192 +a(g826 +V +p8193 +tp8194 +a(g745 +V//anchor.horz.type = anchor.horz.distance ? offset : none;\u000a +p8195 +tp8196 +a(g826 +V +p8197 +tp8198 +a(g693 +g1037 +tp8199 +a(g826 +V\u000a +p8200 +tp8201 +a(g826 +V +p8202 +tp8203 +a(g7 +Velse +p8204 +tp8205 +a(g826 +g958 +tp8206 +a(g7 +Vif +p8207 +tp8208 +a(g693 +g1133 +tp8209 +a(g423 +Vanchor +p8210 +tp8211 +a(g693 +g1450 +tp8212 +a(g423 +Vhorz +p8213 +tp8214 +a(g693 +g1450 +tp8215 +a(g423 +Vtype +p8216 +tp8217 +a(g826 +g958 +tp8218 +a(g400 +g1066 +tp8219 +a(g400 +g1066 +tp8220 +a(g826 +g958 +tp8221 +a(g423 +VmiddleRelative +p8222 +tp8223 +a(g693 +g1137 +tp8224 +a(g826 +g958 +tp8225 +a(g423 +Vanchor +p8226 +tp8227 +a(g693 +g1450 +tp8228 +a(g423 +Vhorz +p8229 +tp8230 +a(g693 +g1450 +tp8231 +a(g423 +Vpercent +p8232 +tp8233 +a(g826 +g958 +tp8234 +a(g400 +g1066 +tp8235 +a(g826 +g958 +tp8236 +a(g693 +g1133 +tp8237 +a(g881 +Vfloat +p8238 +tp8239 +a(g693 +g1137 +tp8240 +a(g826 +g958 +tp8241 +a(g693 +g1133 +tp8242 +a(g693 +g1133 +tp8243 +a(g423 +g3871 +tp8244 +a(g826 +g958 +tp8245 +a(g400 +g1487 +tp8246 +a(g826 +g958 +tp8247 +a(g423 +g3885 +tp8248 +a(g826 +g958 +tp8249 +a(g400 +g5477 +tp8250 +a(g826 +g958 +tp8251 +a(g22 +g1507 +tp8252 +a(g693 +g1137 +tp8253 +a(g826 +g958 +tp8254 +a(g400 +g1359 +tp8255 +a(g826 +g958 +tp8256 +a(g693 +g1133 +tp8257 +a(g423 +Vvpw +p8258 +tp8259 +a(g826 +g958 +tp8260 +a(g400 +g5477 +tp8261 +a(g826 +g958 +tp8262 +a(g22 +g1507 +tp8263 +a(g693 +g1137 +tp8264 +a(g693 +g1137 +tp8265 +a(g826 +g958 +tp8266 +a(g400 +g5477 +tp8267 +a(g826 +g958 +tp8268 +a(g423 +Vvpw +p8269 +tp8270 +a(g693 +g962 +tp8271 +a(g826 +V\u000a +p8272 +tp8273 +a(g826 +V +p8274 +tp8275 +a(g7 +Vif +p8276 +tp8277 +a(g693 +g1133 +tp8278 +a(g400 +g1407 +tp8279 +a(g423 +Vanchor +p8280 +tp8281 +a(g693 +g1450 +tp8282 +a(g423 +Vtop +p8283 +tp8284 +a(g693 +g1450 +tp8285 +a(g423 +Vtype +p8286 +tp8287 +a(g826 +g958 +tp8288 +a(g400 +g1684 +tp8289 +a(g400 +g1684 +tp8290 +a(g826 +g958 +tp8291 +a(g400 +g1407 +tp8292 +a(g423 +Vanchor +p8293 +tp8294 +a(g693 +g1450 +tp8295 +a(g423 +Vbottom +p8296 +tp8297 +a(g693 +g1450 +tp8298 +a(g423 +Vtype +p8299 +tp8300 +a(g693 +g1137 +tp8301 +a(g826 +V \u000a +p8302 +tp8303 +a(g693 +g990 +tp8304 +a(g826 +V\u000a +p8305 +tp8306 +a(g826 +V +p8307 +tp8308 +a(g423 +Vanchor +p8309 +tp8310 +a(g693 +g1450 +tp8311 +a(g423 +Vvert +p8312 +tp8313 +a(g693 +g1450 +tp8314 +a(g423 +Vdistance +p8315 +tp8316 +a(g826 +g958 +tp8317 +a(g400 +g1066 +tp8318 +a(g826 +g958 +tp8319 +a(g693 +g1133 +tp8320 +a(g423 +g3878 +tp8321 +a(g826 +g958 +tp8322 +a(g400 +g1487 +tp8323 +a(g826 +g958 +tp8324 +a(g423 +g3892 +tp8325 +a(g826 +g958 +tp8326 +a(g400 +g5477 +tp8327 +a(g826 +g958 +tp8328 +a(g22 +g1507 +tp8329 +a(g693 +g1137 +tp8330 +a(g826 +g958 +tp8331 +a(g400 +g1359 +tp8332 +a(g826 +g958 +tp8333 +a(g693 +g1133 +tp8334 +a(g423 +Vvph +p8335 +tp8336 +a(g826 +g958 +tp8337 +a(g400 +g5477 +tp8338 +a(g826 +g958 +tp8339 +a(g22 +g1507 +tp8340 +a(g693 +g1137 +tp8341 +a(g693 +g962 +tp8342 +a(g826 +V\u000a +p8343 +tp8344 +a(g826 +V +p8345 +tp8346 +a(g745 +V//anchor.vert.type = anchor.vert.distance ? offset : none;\u000a +p8347 +tp8348 +a(g826 +V +p8349 +tp8350 +a(g693 +g1037 +tp8351 +a(g826 +V\u000a +p8352 +tp8353 +a(g826 +V +p8354 +tp8355 +a(g7 +Velse +p8356 +tp8357 +a(g826 +g958 +tp8358 +a(g7 +Vif +p8359 +tp8360 +a(g693 +g1133 +tp8361 +a(g423 +Vanchor +p8362 +tp8363 +a(g693 +g1450 +tp8364 +a(g423 +Vvert +p8365 +tp8366 +a(g693 +g1450 +tp8367 +a(g423 +Vtype +p8368 +tp8369 +a(g826 +g958 +tp8370 +a(g400 +g1066 +tp8371 +a(g400 +g1066 +tp8372 +a(g826 +g958 +tp8373 +a(g423 +VmiddleRelative +p8374 +tp8375 +a(g693 +g1137 +tp8376 +a(g826 +g958 +tp8377 +a(g423 +Vanchor +p8378 +tp8379 +a(g693 +g1450 +tp8380 +a(g423 +Vvert +p8381 +tp8382 +a(g693 +g1450 +tp8383 +a(g423 +Vpercent +p8384 +tp8385 +a(g826 +g958 +tp8386 +a(g400 +g1066 +tp8387 +a(g826 +g958 +tp8388 +a(g693 +g1133 +tp8389 +a(g881 +Vfloat +p8390 +tp8391 +a(g693 +g1137 +tp8392 +a(g693 +g1133 +tp8393 +a(g693 +g1133 +tp8394 +a(g423 +g3878 +tp8395 +a(g826 +g958 +tp8396 +a(g400 +g1487 +tp8397 +a(g826 +g958 +tp8398 +a(g423 +g3892 +tp8399 +a(g826 +g958 +tp8400 +a(g400 +g5477 +tp8401 +a(g826 +g958 +tp8402 +a(g22 +g1507 +tp8403 +a(g693 +g1137 +tp8404 +a(g826 +g958 +tp8405 +a(g400 +g1359 +tp8406 +a(g826 +g958 +tp8407 +a(g693 +g1133 +tp8408 +a(g423 +Vvph +p8409 +tp8410 +a(g826 +g958 +tp8411 +a(g400 +g5477 +tp8412 +a(g826 +g958 +tp8413 +a(g22 +g1507 +tp8414 +a(g693 +g1137 +tp8415 +a(g693 +g1137 +tp8416 +a(g826 +g958 +tp8417 +a(g400 +g5477 +tp8418 +a(g826 +g958 +tp8419 +a(g423 +Vvph +p8420 +tp8421 +a(g693 +g962 +tp8422 +a(g826 +V\u000a +p8423 +tp8424 +a(g826 +V +p8425 +tp8426 +a(g693 +g1037 +tp8427 +a(g826 +V\u000a +p8428 +tp8429 +a(g826 +V\u000a +p8430 +tp8431 +a(g826 +V +p8432 +tp8433 +a(g693 +g990 +tp8434 +a(g826 +V\u000a +p8435 +tp8436 +a(g826 +V +p8437 +tp8438 +a(g881 +Vchar +p8439 +tp8440 +a(g826 +g958 +tp8441 +a(g423 +VtempString +p8442 +tp8443 +a(g693 +g1401 +tp8444 +a(g22 +V1024 +p8445 +tp8446 +a(g693 +g1404 +tp8447 +a(g826 +g958 +tp8448 +a(g400 +g1066 +tp8449 +a(g826 +g958 +tp8450 +a(g76 +g971 +tp8451 +a(g76 +g971 +tp8452 +a(g693 +g962 +tp8453 +a(g826 +V\u000a +p8454 +tp8455 +a(g826 +V +p8456 +tp8457 +a(g881 +Vbool +p8458 +tp8459 +a(g826 +g958 +tp8460 +a(g423 +VneedClass +p8461 +tp8462 +a(g826 +g958 +tp8463 +a(g400 +g1066 +tp8464 +a(g826 +g958 +tp8465 +a(g669 +Vfalse +p8466 +tp8467 +a(g693 +g962 +tp8468 +a(g826 +V\u000a +p8469 +tp8470 +a(g826 +V +p8471 +tp8472 +a(g881 +Vchar +p8473 +tp8474 +a(g826 +g958 +tp8475 +a(g400 +g1190 +tp8476 +a(g826 +g958 +tp8477 +a(g423 +Vstring +p8478 +tp8479 +a(g826 +g958 +tp8480 +a(g400 +g1066 +tp8481 +a(g826 +g958 +tp8482 +a(g423 +Vanchor +p8483 +tp8484 +a(g693 +g1450 +tp8485 +a(g423 +VOnGetString +p8486 +tp8487 +a(g693 +g1133 +tp8488 +a(g423 +VtempString +p8489 +tp8490 +a(g693 +g1203 +tp8491 +a(g826 +g958 +tp8492 +a(g669 +Vnull +p8493 +tp8494 +a(g693 +g1203 +tp8495 +a(g826 +g958 +tp8496 +a(g400 +g1684 +tp8497 +a(g423 +VneedClass +p8498 +tp8499 +a(g693 +g1137 +tp8500 +a(g693 +g962 +tp8501 +a(g826 +V\u000a +p8502 +tp8503 +a(g826 +V +p8504 +tp8505 +a(g423 +VanchorDropBox +p8506 +tp8507 +a(g693 +g1450 +tp8508 +a(g423 +Vcontents +p8509 +tp8510 +a(g826 +g958 +tp8511 +a(g400 +g1066 +tp8512 +a(g826 +g958 +tp8513 +a(g423 +Vstring +p8514 +tp8515 +a(g693 +g962 +tp8516 +a(g826 +V\u000a +p8517 +tp8518 +a(g826 +V +p8519 +tp8520 +a(g693 +g1037 +tp8521 +a(g826 +V\u000a +p8522 +tp8523 +a(g826 +V\u000a +p8524 +tp8525 +a(g826 +V +p8526 +tp8527 +a(g423 +VdropMaster +p8528 +tp8529 +a(g693 +g1450 +tp8530 +a(g423 +VSetData +p8531 +tp8532 +a(g693 +g1133 +tp8533 +a(g400 +g1684 +tp8534 +a(g423 +Vanchor +p8535 +tp8536 +a(g693 +g1203 +tp8537 +a(g826 +g958 +tp8538 +a(g669 +Vfalse +p8539 +tp8540 +a(g693 +g1137 +tp8541 +a(g693 +g962 +tp8542 +a(g826 +V\u000a +p8543 +tp8544 +a(g826 +V +p8545 +tp8546 +a(g423 +VanchorDropBox +p8547 +tp8548 +a(g693 +g1450 +tp8549 +a(g423 +VanchorValue +p8550 +tp8551 +a(g826 +g958 +tp8552 +a(g400 +g1066 +tp8553 +a(g826 +g958 +tp8554 +a(g423 +Vanchor +p8555 +tp8556 +a(g693 +g962 +tp8557 +a(g826 +V\u000a +p8558 +tp8559 +a(g826 +V +p8560 +tp8561 +a(g7 +Vreturn +p8562 +tp8563 +a(g826 +g958 +tp8564 +a(g669 +Vtrue +p8565 +tp8566 +a(g693 +g962 +tp8567 +a(g826 +V\u000a +p8568 +tp8569 +a(g826 +V +p8570 +tp8571 +a(g693 +g1037 +tp8572 +a(g826 +V\u000a +p8573 +tp8574 +a(g693 +g1037 +tp8575 +a(g826 +V\u000a +p8576 +tp8577 +a(g826 +V\u000a +p8578 +tp8579 +a(g7 +Vprivate +p8580 +tp8581 +a(g826 +g958 +tp8582 +a(g7 +Vclass +p8583 +tp8584 +a(g826 +g958 +tp8585 +a(g584 +VAnchorEditor +p8586 +tp8587 +a(g826 +g958 +tp8588 +a(g693 +g3775 +tp8589 +a(g826 +g958 +tp8590 +a(g423 +VWindow +p8591 +tp8592 +a(g826 +V\u000a +p8593 +tp8594 +a(g693 +g990 +tp8595 +a(g826 +V\u000a +p8596 +tp8597 +a(g826 +V +p8598 +tp8599 +a(g423 +Vinterim +p8600 +tp8601 +a(g826 +g958 +tp8602 +a(g400 +g1066 +tp8603 +a(g826 +g958 +tp8604 +a(g669 +Vtrue +p8605 +tp8606 +a(g693 +g962 +tp8607 +a(g826 +V\u000a +p8608 +tp8609 +a(g826 +V +p8610 +tp8611 +a(g423 +VborderStyle +p8612 +tp8613 +a(g826 +g958 +tp8614 +a(g400 +g1066 +tp8615 +a(g826 +g958 +tp8616 +a(g423 +VdeepContour +p8617 +tp8618 +a(g693 +g962 +tp8619 +a(g826 +V\u000a +p8620 +tp8621 +a(g826 +V +p8622 +tp8623 +a(g423 +Vsize +p8624 +tp8625 +a(g693 +g1450 +tp8626 +a(g423 +g3892 +tp8627 +a(g826 +g958 +tp8628 +a(g400 +g1066 +tp8629 +a(g826 +g958 +tp8630 +a(g22 +V92 +p8631 +tp8632 +a(g693 +g962 +tp8633 +a(g826 +V\u000a +p8634 +tp8635 +a(g826 +V\u000a +p8636 +tp8637 +a(g826 +V +p8638 +tp8639 +a(g881 +Vbool +p8640 +tp8641 +a(g826 +g958 +tp8642 +a(g561 +VOnKeyDown +p8643 +tp8644 +a(g693 +g1133 +tp8645 +a(g423 +VKey +p8646 +tp8647 +a(g826 +g958 +tp8648 +a(g423 +Vkey +p8649 +tp8650 +a(g693 +g1203 +tp8651 +a(g826 +g958 +tp8652 +a(g881 +Vunichar +p8653 +tp8654 +a(g826 +g958 +tp8655 +a(g423 +Vch +p8656 +tp8657 +a(g693 +g1137 +tp8658 +a(g826 +V\u000a +p8659 +tp8660 +a(g826 +V +p8661 +tp8662 +a(g693 +g990 +tp8663 +a(g826 +V\u000a +p8664 +tp8665 +a(g826 +V +p8666 +tp8667 +a(g7 +Vif +p8668 +tp8669 +a(g693 +g1133 +tp8670 +a(g423 +Vkey +p8671 +tp8672 +a(g826 +g958 +tp8673 +a(g400 +g1066 +tp8674 +a(g400 +g1066 +tp8675 +a(g826 +g958 +tp8676 +a(g423 +Vescape +p8677 +tp8678 +a(g693 +g1137 +tp8679 +a(g826 +V\u000a +p8680 +tp8681 +a(g826 +V +p8682 +tp8683 +a(g7 +Vreturn +p8684 +tp8685 +a(g826 +g958 +tp8686 +a(g423 +Vmaster +p8687 +tp8688 +a(g693 +g1450 +tp8689 +a(g423 +VOnKeyDown +p8690 +tp8691 +a(g693 +g1133 +tp8692 +a(g423 +Vkey +p8693 +tp8694 +a(g693 +g1203 +tp8695 +a(g826 +g958 +tp8696 +a(g423 +Vch +p8697 +tp8698 +a(g693 +g1137 +tp8699 +a(g693 +g962 +tp8700 +a(g826 +V\u000a +p8701 +tp8702 +a(g826 +V +p8703 +tp8704 +a(g7 +Vreturn +p8705 +tp8706 +a(g826 +g958 +tp8707 +a(g669 +Vtrue +p8708 +tp8709 +a(g693 +g962 +tp8710 +a(g826 +V\u000a +p8711 +tp8712 +a(g826 +V +p8713 +tp8714 +a(g693 +g1037 +tp8715 +a(g826 +V\u000a +p8716 +tp8717 +a(g693 +g1037 +tp8718 +a(g826 +V\u000a +p8719 +tp8720 +a(g826 +V\u000a +p8721 +tp8722 +a(g7 +Vprivate +p8723 +tp8724 +a(g826 +g958 +tp8725 +a(g7 +Vclass +p8726 +tp8727 +a(g826 +g958 +tp8728 +a(g584 +VAnchorDropBox +p8729 +tp8730 +a(g826 +g958 +tp8731 +a(g693 +g3775 +tp8732 +a(g826 +g958 +tp8733 +a(g423 +VDropBox +p8734 +tp8735 +a(g826 +V\u000a +p8736 +tp8737 +a(g693 +g990 +tp8738 +a(g826 +V\u000a +p8739 +tp8740 +a(g826 +V +p8741 +tp8742 +a(g423 +VAnchor +p8743 +tp8744 +a(g826 +g958 +tp8745 +a(g423 +VanchorValue +p8746 +tp8747 +a(g693 +g962 +tp8748 +a(g826 +V\u000a +p8749 +tp8750 +a(g826 +V +p8751 +tp8752 +a(g423 +VWindow +p8753 +tp8754 +a(g826 +g958 +tp8755 +a(g423 +Vcontrol +p8756 +tp8757 +a(g693 +g962 +tp8758 +a(g826 +V\u000a +p8759 +tp8760 +a(g826 +V +p8761 +tp8762 +a(g423 +VButton +p8763 +tp8764 +a(g826 +g958 +tp8765 +a(g423 +VrelButtons +p8766 +tp8767 +a(g693 +g1401 +tp8768 +a(g22 +g6520 +tp8769 +a(g693 +g1404 +tp8770 +a(g693 +g1203 +tp8771 +a(g826 +g958 +tp8772 +a(g423 +Vbuttons +p8773 +tp8774 +a(g693 +g1401 +tp8775 +a(g22 +g6520 +tp8776 +a(g693 +g1404 +tp8777 +a(g693 +g962 +tp8778 +a(g826 +V\u000a +p8779 +tp8780 +a(g826 +V\u000a +p8781 +tp8782 +a(g826 +V +p8783 +tp8784 +a(g423 +VAnchorEditor +p8785 +tp8786 +a(g826 +g958 +tp8787 +a(g423 +VanchorEditor +p8788 +tp8789 +a(g826 +V\u000a +p8790 +tp8791 +a(g826 +V +p8792 +tp8793 +a(g693 +g990 +tp8794 +a(g826 +V\u000a +p8795 +tp8796 +a(g826 +V +p8797 +tp8798 +a(g423 +Vmaster +p8799 +tp8800 +a(g826 +g958 +tp8801 +a(g400 +g1066 +tp8802 +a(g826 +g958 +tp8803 +a(g669 +Vthis +p8804 +tp8805 +a(g693 +g962 +tp8806 +a(g826 +V\u000a +p8807 +tp8808 +a(g826 +V +p8809 +tp8810 +a(g423 +VautoCreate +p8811 +tp8812 +a(g826 +g958 +tp8813 +a(g400 +g1066 +tp8814 +a(g826 +g958 +tp8815 +a(g669 +Vfalse +p8816 +tp8817 +a(g693 +g962 +tp8818 +a(g826 +V\u000a +p8819 +tp8820 +a(g826 +V +p8821 +tp8822 +a(g693 +g1037 +tp8823 +a(g693 +g962 +tp8824 +a(g826 +V\u000a +p8825 +tp8826 +a(g826 +V\u000a +p8827 +tp8828 +a(g826 +V +p8829 +tp8830 +a(g423 +VWindow +p8831 +tp8832 +a(g826 +g958 +tp8833 +a(g561 +VOnDropDown +p8834 +tp8835 +a(g693 +g1133 +tp8836 +a(g693 +g1137 +tp8837 +a(g826 +V\u000a +p8838 +tp8839 +a(g826 +V +p8840 +tp8841 +a(g693 +g990 +tp8842 +a(g826 +V\u000a +p8843 +tp8844 +a(g826 +V +p8845 +tp8846 +a(g881 +Vint +p8847 +tp8848 +a(g826 +g958 +tp8849 +a(g423 +g1306 +tp8850 +a(g693 +g962 +tp8851 +a(g826 +V\u000a +p8852 +tp8853 +a(g826 +V +p8854 +tp8855 +a(g423 +VButton +p8856 +tp8857 +a(g826 +V\u000a +p8858 +tp8859 +a(g826 +V +p8860 +tp8861 +a(g693 +g990 +tp8862 +a(g826 +V\u000a +p8863 +tp8864 +a(g826 +V +p8865 +tp8866 +a(g423 +VanchorEditor +p8867 +tp8868 +a(g693 +g1203 +tp8869 +a(g826 +V\u000a +p8870 +tp8871 +a(g826 +V +p8872 +tp8873 +a(g423 +Vanchor +p8874 +tp8875 +a(g826 +g958 +tp8876 +a(g400 +g1066 +tp8877 +a(g826 +g958 +tp8878 +a(g423 +VAnchor +p8879 +tp8880 +a(g826 +g958 +tp8881 +a(g693 +g990 +tp8882 +a(g826 +g958 +tp8883 +a(g423 +Vleft +p8884 +tp8885 +a(g826 +g958 +tp8886 +a(g400 +g1066 +tp8887 +a(g826 +g958 +tp8888 +a(g22 +V28 +p8889 +tp8890 +a(g693 +g1203 +tp8891 +a(g826 +g958 +tp8892 +a(g423 +Vtop +p8893 +tp8894 +a(g826 +g958 +tp8895 +a(g400 +g1066 +tp8896 +a(g826 +g958 +tp8897 +a(g22 +V28 +p8898 +tp8899 +a(g693 +g1203 +tp8900 +a(g826 +g958 +tp8901 +a(g423 +Vright +p8902 +tp8903 +a(g826 +g958 +tp8904 +a(g400 +g1066 +tp8905 +a(g826 +g958 +tp8906 +a(g22 +V28 +p8907 +tp8908 +a(g693 +g1203 +tp8909 +a(g826 +g958 +tp8910 +a(g423 +Vbottom +p8911 +tp8912 +a(g826 +g958 +tp8913 +a(g400 +g1066 +tp8914 +a(g826 +g958 +tp8915 +a(g22 +V28 +p8916 +tp8917 +a(g826 +g958 +tp8918 +a(g693 +g1037 +tp8919 +a(g693 +g1203 +tp8920 +a(g826 +V\u000a +p8921 +tp8922 +a(g826 +V +p8923 +tp8924 +a(g423 +Vinactive +p8925 +tp8926 +a(g826 +g958 +tp8927 +a(g400 +g1066 +tp8928 +a(g826 +g958 +tp8929 +a(g669 +Vtrue +p8930 +tp8931 +a(g693 +g1203 +tp8932 +a(g826 +g958 +tp8933 +a(g423 +Vdisabled +p8934 +tp8935 +a(g826 +g958 +tp8936 +a(g400 +g1066 +tp8937 +a(g826 +g958 +tp8938 +a(g669 +Vtrue +p8939 +tp8940 +a(g826 +V\u000a +p8941 +tp8942 +a(g826 +V +p8943 +tp8944 +a(g693 +g1037 +tp8945 +a(g693 +g962 +tp8946 +a(g826 +V\u000a +p8947 +tp8948 +a(g826 +V +p8949 +tp8950 +a(g7 +Vfor +p8951 +tp8952 +a(g693 +g1133 +tp8953 +a(g423 +g1306 +tp8954 +a(g826 +g958 +tp8955 +a(g400 +g1066 +tp8956 +a(g826 +g958 +tp8957 +a(g22 +g1321 +tp8958 +a(g693 +g962 +tp8959 +a(g826 +g958 +tp8960 +a(g423 +g1306 +tp8961 +a(g400 +V< +p8962 +tp8963 +a(g22 +g6520 +tp8964 +a(g693 +g962 +tp8965 +a(g826 +g958 +tp8966 +a(g423 +g1306 +tp8967 +a(g400 +g1487 +tp8968 +a(g400 +g1487 +tp8969 +a(g693 +g1137 +tp8970 +a(g826 +V\u000a +p8971 +tp8972 +a(g826 +V +p8973 +tp8974 +a(g693 +g990 +tp8975 +a(g826 +V\u000a +p8976 +tp8977 +a(g826 +V +p8978 +tp8979 +a(g423 +VButton +p8980 +tp8981 +a(g826 +g958 +tp8982 +a(g423 +Vbutton +p8983 +tp8984 +a(g826 +g958 +tp8985 +a(g400 +g1066 +tp8986 +a(g826 +g958 +tp8987 +a(g423 +Vbuttons +p8988 +tp8989 +a(g693 +g1401 +tp8990 +a(g423 +g1306 +tp8991 +a(g693 +g1404 +tp8992 +a(g826 +g958 +tp8993 +a(g400 +g1066 +tp8994 +a(g826 +g958 +tp8995 +a(g423 +VAnchorButton +p8996 +tp8997 +a(g826 +V \u000a +p8998 +tp8999 +a(g693 +g990 +tp9000 +a(g826 +V \u000a +p9001 +tp9002 +a(g423 +VanchorEditor +p9003 +tp9004 +a(g693 +g1203 +tp9005 +a(g826 +g958 +tp9006 +a(g423 +Vid +p9007 +tp9008 +a(g826 +g958 +tp9009 +a(g400 +g1066 +tp9010 +a(g826 +g958 +tp9011 +a(g423 +g1306 +tp9012 +a(g693 +g1203 +tp9013 +a(g826 +V\u000a +p9014 +tp9015 +a(g826 +V +p9016 +tp9017 +a(g423 +Vsize +p9018 +tp9019 +a(g826 +g958 +tp9020 +a(g400 +g1066 +tp9021 +a(g826 +g958 +tp9022 +a(g423 +VSize +p9023 +tp9024 +a(g826 +g958 +tp9025 +a(g693 +g990 +tp9026 +a(g826 +g958 +tp9027 +a(g693 +g1133 +tp9028 +a(g423 +g1306 +tp9029 +a(g400 +g6309 +tp9030 +a(g22 +g1507 +tp9031 +a(g693 +g1137 +tp9032 +a(g400 +g4691 +tp9033 +a(g22 +V10 +p9034 +tp9035 +a(g400 +g3775 +tp9036 +a(g22 +V28 +p9037 +tp9038 +a(g693 +g1203 +tp9039 +a(g826 +g958 +tp9040 +a(g693 +g1133 +tp9041 +a(g423 +g1306 +tp9042 +a(g400 +g6309 +tp9043 +a(g22 +g1507 +tp9044 +a(g693 +g1137 +tp9045 +a(g400 +g4691 +tp9046 +a(g22 +V28 +p9047 +tp9048 +a(g400 +g3775 +tp9049 +a(g22 +V10 +p9050 +tp9051 +a(g826 +g958 +tp9052 +a(g693 +g1037 +tp9053 +a(g826 +V\u000a +p9054 +tp9055 +a(g826 +V +p9056 +tp9057 +a(g693 +g1037 +tp9058 +a(g693 +g962 +tp9059 +a(g826 +V\u000a +p9060 +tp9061 +a(g826 +V +p9062 +tp9063 +a(g423 +VButton +p9064 +tp9065 +a(g826 +g958 +tp9066 +a(g423 +VrelButton +p9067 +tp9068 +a(g826 +g958 +tp9069 +a(g400 +g1066 +tp9070 +a(g826 +g958 +tp9071 +a(g423 +VrelButtons +p9072 +tp9073 +a(g693 +g1401 +tp9074 +a(g423 +g1306 +tp9075 +a(g693 +g1404 +tp9076 +a(g826 +g958 +tp9077 +a(g400 +g1066 +tp9078 +a(g826 +g958 +tp9079 +a(g423 +VAnchorRelButton +p9080 +tp9081 +a(g826 +V\u000a +p9082 +tp9083 +a(g826 +V +p9084 +tp9085 +a(g693 +g990 +tp9086 +a(g826 +V\u000a +p9087 +tp9088 +a(g826 +V +p9089 +tp9090 +a(g423 +VanchorEditor +p9091 +tp9092 +a(g693 +g1203 +tp9093 +a(g826 +g958 +tp9094 +a(g423 +Vid +p9095 +tp9096 +a(g826 +g958 +tp9097 +a(g400 +g1066 +tp9098 +a(g826 +g958 +tp9099 +a(g423 +g1306 +tp9100 +a(g693 +g962 +tp9101 +a(g826 +V\u000a +p9102 +tp9103 +a(g826 +V +p9104 +tp9105 +a(g693 +g1037 +tp9106 +a(g693 +g962 +tp9107 +a(g826 +V\u000a +p9108 +tp9109 +a(g826 +V\u000a +p9110 +tp9111 +a(g826 +V +p9112 +tp9113 +a(g7 +Vswitch +p9114 +tp9115 +a(g693 +g1133 +tp9116 +a(g423 +g1306 +tp9117 +a(g693 +g1137 +tp9118 +a(g826 +V\u000a +p9119 +tp9120 +a(g826 +V +p9121 +tp9122 +a(g693 +g990 +tp9123 +a(g826 +V\u000a +p9124 +tp9125 +a(g826 +V +p9126 +tp9127 +a(g7 +Vcase +p9128 +tp9129 +a(g826 +g958 +tp9130 +a(g22 +g1321 +tp9131 +a(g400 +g3775 +tp9132 +a(g826 +V\u000a +p9133 +tp9134 +a(g826 +V +p9135 +tp9136 +a(g7 +Vif +p9137 +tp9138 +a(g693 +g1133 +tp9139 +a(g423 +VanchorValue +p9140 +tp9141 +a(g693 +g1450 +tp9142 +a(g423 +Vleft +p9143 +tp9144 +a(g693 +g1450 +tp9145 +a(g423 +Vtype +p9146 +tp9147 +a(g826 +g958 +tp9148 +a(g400 +g1684 +tp9149 +a(g400 +g1684 +tp9150 +a(g826 +g958 +tp9151 +a(g423 +VanchorValue +p9152 +tp9153 +a(g693 +g1450 +tp9154 +a(g423 +Vleft +p9155 +tp9156 +a(g693 +g1450 +tp9157 +a(g423 +Vtype +p9158 +tp9159 +a(g826 +g958 +tp9160 +a(g400 +g1407 +tp9161 +a(g400 +g1066 +tp9162 +a(g826 +g958 +tp9163 +a(g423 +VmiddleRelative +p9164 +tp9165 +a(g693 +g1137 +tp9166 +a(g826 +g958 +tp9167 +a(g423 +Vbutton +p9168 +tp9169 +a(g693 +g1450 +tp9170 +a(g423 +Vchecked +p9171 +tp9172 +a(g826 +g958 +tp9173 +a(g400 +g1066 +tp9174 +a(g826 +g958 +tp9175 +a(g669 +Vtrue +p9176 +tp9177 +a(g693 +g962 +tp9178 +a(g826 +V\u000a +p9179 +tp9180 +a(g826 +V +p9181 +tp9182 +a(g7 +Vif +p9183 +tp9184 +a(g693 +g1133 +tp9185 +a(g423 +VanchorValue +p9186 +tp9187 +a(g693 +g1450 +tp9188 +a(g423 +Vleft +p9189 +tp9190 +a(g693 +g1450 +tp9191 +a(g423 +Vtype +p9192 +tp9193 +a(g826 +g958 +tp9194 +a(g400 +g1066 +tp9195 +a(g400 +g1066 +tp9196 +a(g826 +g958 +tp9197 +a(g423 +Vrelative +p9198 +tp9199 +a(g826 +g958 +tp9200 +a(g400 +g3056 +tp9201 +a(g400 +g3056 +tp9202 +a(g826 +g958 +tp9203 +a(g423 +VanchorValue +p9204 +tp9205 +a(g693 +g1450 +tp9206 +a(g423 +Vhorz +p9207 +tp9208 +a(g693 +g1450 +tp9209 +a(g423 +Vtype +p9210 +tp9211 +a(g826 +g958 +tp9212 +a(g400 +g1066 +tp9213 +a(g400 +g1066 +tp9214 +a(g826 +g958 +tp9215 +a(g423 +VmiddleRelative +p9216 +tp9217 +a(g693 +g1137 +tp9218 +a(g826 +g958 +tp9219 +a(g423 +VrelButton +p9220 +tp9221 +a(g693 +g1450 +tp9222 +a(g423 +Vchecked +p9223 +tp9224 +a(g826 +g958 +tp9225 +a(g400 +g1066 +tp9226 +a(g826 +g958 +tp9227 +a(g669 +Vtrue +p9228 +tp9229 +a(g693 +g962 +tp9230 +a(g826 +V\u000a +p9231 +tp9232 +a(g826 +V \u000a +p9233 +tp9234 +a(g423 +Vbutton +p9235 +tp9236 +a(g693 +g1450 +tp9237 +a(g423 +Vanchor +p9238 +tp9239 +a(g826 +g958 +tp9240 +a(g400 +g1066 +tp9241 +a(g826 +g958 +tp9242 +a(g423 +VAnchor +p9243 +tp9244 +a(g826 +g958 +tp9245 +a(g693 +g990 +tp9246 +a(g826 +g958 +tp9247 +a(g423 +Vleft +p9248 +tp9249 +a(g826 +g958 +tp9250 +a(g400 +g1066 +tp9251 +a(g826 +g958 +tp9252 +a(g22 +g1321 +tp9253 +a(g826 +g958 +tp9254 +a(g693 +g1037 +tp9255 +a(g693 +g962 +tp9256 +a(g826 +V\u000a +p9257 +tp9258 +a(g826 +V +p9259 +tp9260 +a(g423 +VrelButton +p9261 +tp9262 +a(g693 +g1450 +tp9263 +a(g423 +Vanchor +p9264 +tp9265 +a(g826 +g958 +tp9266 +a(g400 +g1066 +tp9267 +a(g826 +g958 +tp9268 +a(g423 +VAnchor +p9269 +tp9270 +a(g826 +g958 +tp9271 +a(g693 +g990 +tp9272 +a(g826 +g958 +tp9273 +a(g423 +Vleft +p9274 +tp9275 +a(g826 +g958 +tp9276 +a(g400 +g1066 +tp9277 +a(g826 +g958 +tp9278 +a(g22 +g6458 +tp9279 +a(g693 +g1203 +tp9280 +a(g826 +g958 +tp9281 +a(g423 +Vvert +p9282 +tp9283 +a(g826 +g958 +tp9284 +a(g400 +g1066 +tp9285 +a(g826 +g958 +tp9286 +a(g22 +V16 +p9287 +tp9288 +a(g826 +g958 +tp9289 +a(g693 +g1037 +tp9290 +a(g693 +g962 +tp9291 +a(g826 +V\u000a +p9292 +tp9293 +a(g826 +V +p9294 +tp9295 +a(g7 +Vbreak +p9296 +tp9297 +a(g693 +g962 +tp9298 +a(g826 +V\u000a +p9299 +tp9300 +a(g826 +V +p9301 +tp9302 +a(g7 +Vcase +p9303 +tp9304 +a(g826 +g958 +tp9305 +a(g22 +g1361 +tp9306 +a(g400 +g3775 +tp9307 +a(g826 +V\u000a +p9308 +tp9309 +a(g826 +V +p9310 +tp9311 +a(g7 +Vif +p9312 +tp9313 +a(g693 +g1133 +tp9314 +a(g423 +VanchorValue +p9315 +tp9316 +a(g693 +g1450 +tp9317 +a(g423 +Vtop +p9318 +tp9319 +a(g693 +g1450 +tp9320 +a(g423 +Vtype +p9321 +tp9322 +a(g826 +g958 +tp9323 +a(g400 +g1684 +tp9324 +a(g400 +g1684 +tp9325 +a(g826 +g958 +tp9326 +a(g423 +VanchorValue +p9327 +tp9328 +a(g693 +g1450 +tp9329 +a(g423 +Vtop +p9330 +tp9331 +a(g693 +g1450 +tp9332 +a(g423 +Vtype +p9333 +tp9334 +a(g826 +g958 +tp9335 +a(g400 +g1407 +tp9336 +a(g400 +g1066 +tp9337 +a(g826 +g958 +tp9338 +a(g423 +VmiddleRelative +p9339 +tp9340 +a(g693 +g1137 +tp9341 +a(g826 +g958 +tp9342 +a(g423 +Vbutton +p9343 +tp9344 +a(g693 +g1450 +tp9345 +a(g423 +Vchecked +p9346 +tp9347 +a(g826 +g958 +tp9348 +a(g400 +g1066 +tp9349 +a(g826 +g958 +tp9350 +a(g669 +Vtrue +p9351 +tp9352 +a(g693 +g962 +tp9353 +a(g826 +V\u000a +p9354 +tp9355 +a(g826 +V +p9356 +tp9357 +a(g7 +Vif +p9358 +tp9359 +a(g693 +g1133 +tp9360 +a(g423 +VanchorValue +p9361 +tp9362 +a(g693 +g1450 +tp9363 +a(g423 +Vtop +p9364 +tp9365 +a(g693 +g1450 +tp9366 +a(g423 +Vtype +p9367 +tp9368 +a(g826 +g958 +tp9369 +a(g400 +g1066 +tp9370 +a(g400 +g1066 +tp9371 +a(g826 +g958 +tp9372 +a(g423 +Vrelative +p9373 +tp9374 +a(g826 +g958 +tp9375 +a(g400 +g3056 +tp9376 +a(g400 +g3056 +tp9377 +a(g826 +g958 +tp9378 +a(g423 +VanchorValue +p9379 +tp9380 +a(g693 +g1450 +tp9381 +a(g423 +Vvert +p9382 +tp9383 +a(g693 +g1450 +tp9384 +a(g423 +Vtype +p9385 +tp9386 +a(g826 +g958 +tp9387 +a(g400 +g1066 +tp9388 +a(g400 +g1066 +tp9389 +a(g826 +g958 +tp9390 +a(g423 +VmiddleRelative +p9391 +tp9392 +a(g693 +g1137 +tp9393 +a(g826 +g958 +tp9394 +a(g423 +VrelButton +p9395 +tp9396 +a(g693 +g1450 +tp9397 +a(g423 +Vchecked +p9398 +tp9399 +a(g826 +g958 +tp9400 +a(g400 +g1066 +tp9401 +a(g826 +g958 +tp9402 +a(g669 +Vtrue +p9403 +tp9404 +a(g693 +g962 +tp9405 +a(g826 +V\u000a +p9406 +tp9407 +a(g826 +V\u000a +p9408 +tp9409 +a(g826 +V +p9410 +tp9411 +a(g423 +Vbutton +p9412 +tp9413 +a(g693 +g1450 +tp9414 +a(g423 +Vanchor +p9415 +tp9416 +a(g826 +g958 +tp9417 +a(g400 +g1066 +tp9418 +a(g826 +g958 +tp9419 +a(g423 +VAnchor +p9420 +tp9421 +a(g826 +g958 +tp9422 +a(g693 +g990 +tp9423 +a(g826 +g958 +tp9424 +a(g423 +Vtop +p9425 +tp9426 +a(g826 +g958 +tp9427 +a(g400 +g1066 +tp9428 +a(g826 +g958 +tp9429 +a(g22 +g1321 +tp9430 +a(g826 +g958 +tp9431 +a(g693 +g1037 +tp9432 +a(g693 +g962 +tp9433 +a(g826 +V\u000a +p9434 +tp9435 +a(g826 +V +p9436 +tp9437 +a(g423 +VrelButton +p9438 +tp9439 +a(g693 +g1450 +tp9440 +a(g423 +Vanchor +p9441 +tp9442 +a(g826 +g958 +tp9443 +a(g400 +g1066 +tp9444 +a(g826 +g958 +tp9445 +a(g423 +VAnchor +p9446 +tp9447 +a(g826 +g958 +tp9448 +a(g693 +g990 +tp9449 +a(g826 +g958 +tp9450 +a(g423 +Vtop +p9451 +tp9452 +a(g826 +g958 +tp9453 +a(g400 +g1066 +tp9454 +a(g826 +g958 +tp9455 +a(g22 +g6458 +tp9456 +a(g693 +g1203 +tp9457 +a(g826 +g958 +tp9458 +a(g423 +Vhorz +p9459 +tp9460 +a(g826 +g958 +tp9461 +a(g400 +g1066 +tp9462 +a(g826 +g958 +tp9463 +a(g22 +V16 +p9464 +tp9465 +a(g826 +g958 +tp9466 +a(g693 +g1037 +tp9467 +a(g693 +g962 +tp9468 +a(g826 +V\u000a +p9469 +tp9470 +a(g826 +V +p9471 +tp9472 +a(g7 +Vbreak +p9473 +tp9474 +a(g693 +g962 +tp9475 +a(g826 +V\u000a +p9476 +tp9477 +a(g826 +V +p9478 +tp9479 +a(g7 +Vcase +p9480 +tp9481 +a(g826 +g958 +tp9482 +a(g22 +g1507 +tp9483 +a(g400 +g3775 +tp9484 +a(g826 +V \u000a +p9485 +tp9486 +a(g7 +Vif +p9487 +tp9488 +a(g693 +g1133 +tp9489 +a(g423 +VanchorValue +p9490 +tp9491 +a(g693 +g1450 +tp9492 +a(g423 +Vright +p9493 +tp9494 +a(g693 +g1450 +tp9495 +a(g423 +Vtype +p9496 +tp9497 +a(g826 +g958 +tp9498 +a(g400 +g1684 +tp9499 +a(g400 +g1684 +tp9500 +a(g826 +g958 +tp9501 +a(g423 +VanchorValue +p9502 +tp9503 +a(g693 +g1450 +tp9504 +a(g423 +Vright +p9505 +tp9506 +a(g693 +g1450 +tp9507 +a(g423 +Vtype +p9508 +tp9509 +a(g826 +g958 +tp9510 +a(g400 +g1407 +tp9511 +a(g400 +g1066 +tp9512 +a(g826 +g958 +tp9513 +a(g423 +VmiddleRelative +p9514 +tp9515 +a(g693 +g1137 +tp9516 +a(g826 +g958 +tp9517 +a(g423 +Vbutton +p9518 +tp9519 +a(g693 +g1450 +tp9520 +a(g423 +Vchecked +p9521 +tp9522 +a(g826 +g958 +tp9523 +a(g400 +g1066 +tp9524 +a(g826 +g958 +tp9525 +a(g669 +Vtrue +p9526 +tp9527 +a(g693 +g962 +tp9528 +a(g826 +V\u000a +p9529 +tp9530 +a(g826 +V +p9531 +tp9532 +a(g7 +Vif +p9533 +tp9534 +a(g693 +g1133 +tp9535 +a(g423 +VanchorValue +p9536 +tp9537 +a(g693 +g1450 +tp9538 +a(g423 +Vright +p9539 +tp9540 +a(g693 +g1450 +tp9541 +a(g423 +Vtype +p9542 +tp9543 +a(g826 +g958 +tp9544 +a(g400 +g1066 +tp9545 +a(g400 +g1066 +tp9546 +a(g826 +g958 +tp9547 +a(g423 +Vrelative +p9548 +tp9549 +a(g826 +g958 +tp9550 +a(g400 +g3056 +tp9551 +a(g400 +g3056 +tp9552 +a(g826 +g958 +tp9553 +a(g423 +VanchorValue +p9554 +tp9555 +a(g693 +g1450 +tp9556 +a(g423 +Vhorz +p9557 +tp9558 +a(g693 +g1450 +tp9559 +a(g423 +Vtype +p9560 +tp9561 +a(g826 +g958 +tp9562 +a(g400 +g1066 +tp9563 +a(g400 +g1066 +tp9564 +a(g826 +g958 +tp9565 +a(g423 +VmiddleRelative +p9566 +tp9567 +a(g693 +g1137 +tp9568 +a(g826 +g958 +tp9569 +a(g423 +VrelButton +p9570 +tp9571 +a(g693 +g1450 +tp9572 +a(g423 +Vchecked +p9573 +tp9574 +a(g826 +g958 +tp9575 +a(g400 +g1066 +tp9576 +a(g826 +g958 +tp9577 +a(g669 +Vtrue +p9578 +tp9579 +a(g693 +g962 +tp9580 +a(g826 +V\u000a +p9581 +tp9582 +a(g826 +V \u000a +p9583 +tp9584 +a(g423 +Vbutton +p9585 +tp9586 +a(g693 +g1450 +tp9587 +a(g423 +Vanchor +p9588 +tp9589 +a(g826 +g958 +tp9590 +a(g400 +g1066 +tp9591 +a(g826 +g958 +tp9592 +a(g423 +VAnchor +p9593 +tp9594 +a(g826 +g958 +tp9595 +a(g693 +g990 +tp9596 +a(g826 +g958 +tp9597 +a(g423 +Vright +p9598 +tp9599 +a(g826 +g958 +tp9600 +a(g400 +g1066 +tp9601 +a(g826 +g958 +tp9602 +a(g22 +g1321 +tp9603 +a(g826 +g958 +tp9604 +a(g693 +g1037 +tp9605 +a(g693 +g962 +tp9606 +a(g826 +V\u000a +p9607 +tp9608 +a(g826 +V +p9609 +tp9610 +a(g423 +VrelButton +p9611 +tp9612 +a(g693 +g1450 +tp9613 +a(g423 +Vanchor +p9614 +tp9615 +a(g826 +g958 +tp9616 +a(g400 +g1066 +tp9617 +a(g826 +g958 +tp9618 +a(g423 +VAnchor +p9619 +tp9620 +a(g826 +g958 +tp9621 +a(g693 +g990 +tp9622 +a(g826 +g958 +tp9623 +a(g423 +Vright +p9624 +tp9625 +a(g826 +g958 +tp9626 +a(g400 +g1066 +tp9627 +a(g826 +g958 +tp9628 +a(g22 +g6458 +tp9629 +a(g693 +g1203 +tp9630 +a(g826 +g958 +tp9631 +a(g423 +Vvert +p9632 +tp9633 +a(g826 +g958 +tp9634 +a(g400 +g1066 +tp9635 +a(g826 +g958 +tp9636 +a(g22 +V16 +p9637 +tp9638 +a(g826 +g958 +tp9639 +a(g693 +g1037 +tp9640 +a(g693 +g962 +tp9641 +a(g826 +V\u000a +p9642 +tp9643 +a(g826 +V +p9644 +tp9645 +a(g7 +Vbreak +p9646 +tp9647 +a(g693 +g962 +tp9648 +a(g826 +V\u000a +p9649 +tp9650 +a(g826 +V +p9651 +tp9652 +a(g7 +Vcase +p9653 +tp9654 +a(g826 +g958 +tp9655 +a(g22 +g4486 +tp9656 +a(g400 +g3775 +tp9657 +a(g826 +V \u000a +p9658 +tp9659 +a(g7 +Vif +p9660 +tp9661 +a(g693 +g1133 +tp9662 +a(g423 +VanchorValue +p9663 +tp9664 +a(g693 +g1450 +tp9665 +a(g423 +Vbottom +p9666 +tp9667 +a(g693 +g1450 +tp9668 +a(g423 +Vtype +p9669 +tp9670 +a(g826 +g958 +tp9671 +a(g400 +g1684 +tp9672 +a(g400 +g1684 +tp9673 +a(g826 +g958 +tp9674 +a(g423 +VanchorValue +p9675 +tp9676 +a(g693 +g1450 +tp9677 +a(g423 +Vbottom +p9678 +tp9679 +a(g693 +g1450 +tp9680 +a(g423 +Vtype +p9681 +tp9682 +a(g826 +g958 +tp9683 +a(g400 +g1407 +tp9684 +a(g400 +g1066 +tp9685 +a(g826 +g958 +tp9686 +a(g423 +VmiddleRelative +p9687 +tp9688 +a(g693 +g1137 +tp9689 +a(g826 +g958 +tp9690 +a(g423 +Vbutton +p9691 +tp9692 +a(g693 +g1450 +tp9693 +a(g423 +Vchecked +p9694 +tp9695 +a(g826 +g958 +tp9696 +a(g400 +g1066 +tp9697 +a(g826 +g958 +tp9698 +a(g669 +Vtrue +p9699 +tp9700 +a(g693 +g962 +tp9701 +a(g826 +V\u000a +p9702 +tp9703 +a(g826 +V +p9704 +tp9705 +a(g7 +Vif +p9706 +tp9707 +a(g693 +g1133 +tp9708 +a(g423 +VanchorValue +p9709 +tp9710 +a(g693 +g1450 +tp9711 +a(g423 +Vbottom +p9712 +tp9713 +a(g693 +g1450 +tp9714 +a(g423 +Vtype +p9715 +tp9716 +a(g826 +g958 +tp9717 +a(g400 +g1066 +tp9718 +a(g400 +g1066 +tp9719 +a(g826 +g958 +tp9720 +a(g423 +Vrelative +p9721 +tp9722 +a(g826 +g958 +tp9723 +a(g400 +g3056 +tp9724 +a(g400 +g3056 +tp9725 +a(g826 +g958 +tp9726 +a(g423 +VanchorValue +p9727 +tp9728 +a(g693 +g1450 +tp9729 +a(g423 +Vvert +p9730 +tp9731 +a(g693 +g1450 +tp9732 +a(g423 +Vtype +p9733 +tp9734 +a(g826 +g958 +tp9735 +a(g400 +g1066 +tp9736 +a(g400 +g1066 +tp9737 +a(g826 +g958 +tp9738 +a(g423 +VmiddleRelative +p9739 +tp9740 +a(g693 +g1137 +tp9741 +a(g826 +g958 +tp9742 +a(g423 +VrelButton +p9743 +tp9744 +a(g693 +g1450 +tp9745 +a(g423 +Vchecked +p9746 +tp9747 +a(g826 +g958 +tp9748 +a(g400 +g1066 +tp9749 +a(g826 +g958 +tp9750 +a(g669 +Vtrue +p9751 +tp9752 +a(g693 +g962 +tp9753 +a(g826 +V\u000a +p9754 +tp9755 +a(g826 +V\u000a +p9756 +tp9757 +a(g826 +V +p9758 +tp9759 +a(g423 +Vbutton +p9760 +tp9761 +a(g693 +g1450 +tp9762 +a(g423 +Vanchor +p9763 +tp9764 +a(g826 +g958 +tp9765 +a(g400 +g1066 +tp9766 +a(g826 +g958 +tp9767 +a(g423 +VAnchor +p9768 +tp9769 +a(g826 +g958 +tp9770 +a(g693 +g990 +tp9771 +a(g826 +g958 +tp9772 +a(g423 +Vbottom +p9773 +tp9774 +a(g826 +g958 +tp9775 +a(g400 +g1066 +tp9776 +a(g826 +g958 +tp9777 +a(g22 +g1321 +tp9778 +a(g826 +g958 +tp9779 +a(g693 +g1037 +tp9780 +a(g693 +g962 +tp9781 +a(g826 +V\u000a +p9782 +tp9783 +a(g826 +V +p9784 +tp9785 +a(g423 +VrelButton +p9786 +tp9787 +a(g693 +g1450 +tp9788 +a(g423 +Vanchor +p9789 +tp9790 +a(g826 +g958 +tp9791 +a(g400 +g1066 +tp9792 +a(g826 +g958 +tp9793 +a(g423 +VAnchor +p9794 +tp9795 +a(g826 +g958 +tp9796 +a(g693 +g990 +tp9797 +a(g826 +g958 +tp9798 +a(g423 +Vbottom +p9799 +tp9800 +a(g826 +g958 +tp9801 +a(g400 +g1066 +tp9802 +a(g826 +g958 +tp9803 +a(g22 +g6458 +tp9804 +a(g693 +g1203 +tp9805 +a(g826 +g958 +tp9806 +a(g423 +Vhorz +p9807 +tp9808 +a(g826 +g958 +tp9809 +a(g400 +g1066 +tp9810 +a(g826 +g958 +tp9811 +a(g22 +V16 +p9812 +tp9813 +a(g826 +g958 +tp9814 +a(g693 +g1037 +tp9815 +a(g693 +g962 +tp9816 +a(g826 +V\u000a +p9817 +tp9818 +a(g826 +V +p9819 +tp9820 +a(g7 +Vbreak +p9821 +tp9822 +a(g693 +g962 +tp9823 +a(g826 +V\u000a +p9824 +tp9825 +a(g826 +V +p9826 +tp9827 +a(g693 +g1037 +tp9828 +a(g826 +V\u000a +p9829 +tp9830 +a(g826 +V +p9831 +tp9832 +a(g693 +g1037 +tp9833 +a(g826 +V\u000a +p9834 +tp9835 +a(g826 +V +p9836 +tp9837 +a(g423 +VanchorEditor +p9838 +tp9839 +a(g693 +g1450 +tp9840 +a(g423 +VCreate +p9841 +tp9842 +a(g693 +g1133 +tp9843 +a(g693 +g1137 +tp9844 +a(g693 +g962 +tp9845 +a(g826 +V\u000a +p9846 +tp9847 +a(g826 +V +p9848 +tp9849 +a(g7 +Vreturn +p9850 +tp9851 +a(g826 +g958 +tp9852 +a(g423 +VanchorEditor +p9853 +tp9854 +a(g693 +g962 +tp9855 +a(g826 +V\u000a +p9856 +tp9857 +a(g826 +V +p9858 +tp9859 +a(g693 +g1037 +tp9860 +a(g826 +V\u000a +p9861 +tp9862 +a(g826 +V \u000a +p9863 +tp9864 +a(g881 +Vvoid +p9865 +tp9866 +a(g826 +g958 +tp9867 +a(g561 +VOnCloseDropDown +p9868 +tp9869 +a(g693 +g1133 +tp9870 +a(g423 +VWindow +p9871 +tp9872 +a(g826 +g958 +tp9873 +a(g423 +VanchorEditor +p9874 +tp9875 +a(g693 +g1137 +tp9876 +a(g826 +V\u000a +p9877 +tp9878 +a(g826 +V +p9879 +tp9880 +a(g693 +g990 +tp9881 +a(g826 +V\u000a +p9882 +tp9883 +a(g826 +V +p9884 +tp9885 +a(g745 +V// TOFIX: Patch for update bug\u000a +p9886 +tp9887 +a(g826 +V +p9888 +tp9889 +a(g423 +Vmaster +p9890 +tp9891 +a(g693 +g1450 +tp9892 +a(g423 +VUpdate +p9893 +tp9894 +a(g693 +g1133 +tp9895 +a(g669 +Vnull +p9896 +tp9897 +a(g693 +g1137 +tp9898 +a(g693 +g962 +tp9899 +a(g826 +V\u000a +p9900 +tp9901 +a(g826 +V +p9902 +tp9903 +a(g423 +VanchorEditor +p9904 +tp9905 +a(g693 +g1450 +tp9906 +a(g423 +VDestroy +p9907 +tp9908 +a(g693 +g1133 +tp9909 +a(g22 +g1321 +tp9910 +a(g693 +g1137 +tp9911 +a(g693 +g962 +tp9912 +a(g826 +V\u000a +p9913 +tp9914 +a(g826 +V +p9915 +tp9916 +a(g693 +g1037 +tp9917 +a(g826 +V\u000a +p9918 +tp9919 +a(g826 +V\u000a +p9920 +tp9921 +a(g826 +V +p9922 +tp9923 +a(g881 +Vbool +p9924 +tp9925 +a(g826 +g958 +tp9926 +a(g423 +VDataBox +p9927 +tp9928 +a(g400 +g3775 +tp9929 +a(g400 +g3775 +tp9930 +a(g423 +VNotifyTextEntry +p9931 +tp9932 +a(g693 +g1133 +tp9933 +a(g423 +VAnchorDropBox +p9934 +tp9935 +a(g826 +g958 +tp9936 +a(g423 +VdropBox +p9937 +tp9938 +a(g693 +g1203 +tp9939 +a(g826 +g958 +tp9940 +a(g881 +Vchar +p9941 +tp9942 +a(g826 +g958 +tp9943 +a(g400 +g1190 +tp9944 +a(g826 +g958 +tp9945 +a(g423 +Vstring +p9946 +tp9947 +a(g693 +g1203 +tp9948 +a(g826 +g958 +tp9949 +a(g881 +Vbool +p9950 +tp9951 +a(g826 +g958 +tp9952 +a(g423 +Vsave +p9953 +tp9954 +a(g693 +g1137 +tp9955 +a(g826 +V\u000a +p9956 +tp9957 +a(g826 +V +p9958 +tp9959 +a(g693 +g990 +tp9960 +a(g826 +V\u000a +p9961 +tp9962 +a(g826 +V +p9963 +tp9964 +a(g423 +VAnchor +p9965 +tp9966 +a(g826 +g958 +tp9967 +a(g423 +Vanchor +p9968 +tp9969 +a(g826 +g958 +tp9970 +a(g400 +g1066 +tp9971 +a(g826 +g958 +tp9972 +a(g423 +VdropBox +p9973 +tp9974 +a(g693 +g1450 +tp9975 +a(g423 +VanchorValue +p9976 +tp9977 +a(g693 +g962 +tp9978 +a(g826 +V\u000a +p9979 +tp9980 +a(g826 +V +p9981 +tp9982 +a(g423 +VWindow +p9983 +tp9984 +a(g826 +g958 +tp9985 +a(g423 +Vcontrol +p9986 +tp9987 +a(g826 +g958 +tp9988 +a(g400 +g1066 +tp9989 +a(g826 +g958 +tp9990 +a(g423 +VdropBox +p9991 +tp9992 +a(g693 +g1450 +tp9993 +a(g423 +Vcontrol +p9994 +tp9995 +a(g693 +g962 +tp9996 +a(g826 +V\u000a +p9997 +tp9998 +a(g826 +V\u000a +p9999 +tp10000 +a(g826 +V +p10001 +tp10002 +a(g7 +Vif +p10003 +tp10004 +a(g693 +g1133 +tp10005 +a(g423 +Vsave +p10006 +tp10007 +a(g693 +g1137 +tp10008 +a(g826 +V\u000a +p10009 +tp10010 +a(g826 +V +p10011 +tp10012 +a(g693 +g990 +tp10013 +a(g826 +V\u000a +p10014 +tp10015 +a(g826 +V +p10016 +tp10017 +a(g7 +Vif +p10018 +tp10019 +a(g693 +g1133 +tp10020 +a(g423 +Vanchor +p10021 +tp10022 +a(g693 +g1450 +tp10023 +a(g423 +VOnGetDataFromString +p10024 +tp10025 +a(g693 +g1133 +tp10026 +a(g423 +Vstring +p10027 +tp10028 +a(g693 +g1137 +tp10029 +a(g693 +g1137 +tp10030 +a(g826 +V\u000a +p10031 +tp10032 +a(g826 +V +p10033 +tp10034 +a(g693 +g990 +tp10035 +a(g826 +V\u000a +p10036 +tp10037 +a(g826 +V +p10038 +tp10039 +a(g423 +VSetData +p10040 +tp10041 +a(g693 +g1133 +tp10042 +a(g400 +g1684 +tp10043 +a(g423 +Vanchor +p10044 +tp10045 +a(g693 +g1203 +tp10046 +a(g826 +g958 +tp10047 +a(g669 +Vfalse +p10048 +tp10049 +a(g693 +g1137 +tp10050 +a(g693 +g962 +tp10051 +a(g826 +V\u000a +p10052 +tp10053 +a(g826 +V +p10054 +tp10055 +a(g423 +VdropBox +p10056 +tp10057 +a(g693 +g1450 +tp10058 +a(g423 +VanchorValue +p10059 +tp10060 +a(g826 +g958 +tp10061 +a(g400 +g1066 +tp10062 +a(g826 +g958 +tp10063 +a(g423 +Vanchor +p10064 +tp10065 +a(g693 +g962 +tp10066 +a(g826 +V\u000a +p10067 +tp10068 +a(g826 +V +p10069 +tp10070 +a(g693 +g1037 +tp10071 +a(g826 +V\u000a +p10072 +tp10073 +a(g826 +V +p10074 +tp10075 +a(g693 +g1037 +tp10076 +a(g826 +V\u000a +p10077 +tp10078 +a(g826 +V +p10079 +tp10080 +a(g7 +Velse +p10081 +tp10082 +a(g826 +V\u000a +p10083 +tp10084 +a(g826 +V +p10085 +tp10086 +a(g693 +g990 +tp10087 +a(g826 +V\u000a +p10088 +tp10089 +a(g826 +V +p10090 +tp10091 +a(g881 +Vchar +p10092 +tp10093 +a(g826 +g958 +tp10094 +a(g423 +VtempString +p10095 +tp10096 +a(g693 +g1401 +tp10097 +a(g22 +V1024 +p10098 +tp10099 +a(g693 +g1404 +tp10100 +a(g826 +g958 +tp10101 +a(g400 +g1066 +tp10102 +a(g826 +g958 +tp10103 +a(g76 +g971 +tp10104 +a(g76 +g971 +tp10105 +a(g693 +g962 +tp10106 +a(g826 +V\u000a +p10107 +tp10108 +a(g826 +V +p10109 +tp10110 +a(g881 +Vbool +p10111 +tp10112 +a(g826 +g958 +tp10113 +a(g423 +VneedClass +p10114 +tp10115 +a(g826 +g958 +tp10116 +a(g400 +g1066 +tp10117 +a(g826 +g958 +tp10118 +a(g669 +Vfalse +p10119 +tp10120 +a(g693 +g962 +tp10121 +a(g826 +V\u000a +p10122 +tp10123 +a(g826 +V +p10124 +tp10125 +a(g881 +Vchar +p10126 +tp10127 +a(g826 +g958 +tp10128 +a(g400 +g1190 +tp10129 +a(g826 +g958 +tp10130 +a(g423 +Vstring +p10131 +tp10132 +a(g826 +g958 +tp10133 +a(g400 +g1066 +tp10134 +a(g826 +g958 +tp10135 +a(g423 +Vanchor +p10136 +tp10137 +a(g693 +g1450 +tp10138 +a(g423 +VOnGetString +p10139 +tp10140 +a(g693 +g1133 +tp10141 +a(g423 +VtempString +p10142 +tp10143 +a(g693 +g1203 +tp10144 +a(g826 +g958 +tp10145 +a(g669 +Vnull +p10146 +tp10147 +a(g693 +g1203 +tp10148 +a(g826 +g958 +tp10149 +a(g400 +g1684 +tp10150 +a(g423 +VneedClass +p10151 +tp10152 +a(g693 +g1137 +tp10153 +a(g693 +g962 +tp10154 +a(g826 +V\u000a +p10155 +tp10156 +a(g826 +V +p10157 +tp10158 +a(g423 +VdropBox +p10159 +tp10160 +a(g693 +g1450 +tp10161 +a(g423 +Vcontents +p10162 +tp10163 +a(g826 +g958 +tp10164 +a(g400 +g1066 +tp10165 +a(g826 +g958 +tp10166 +a(g423 +Vstring +p10167 +tp10168 +a(g693 +g962 +tp10169 +a(g826 +V\u000a +p10170 +tp10171 +a(g826 +V +p10172 +tp10173 +a(g693 +g1037 +tp10174 +a(g826 +V\u000a +p10175 +tp10176 +a(g826 +V +p10177 +tp10178 +a(g7 +Vreturn +p10179 +tp10180 +a(g826 +g958 +tp10181 +a(g669 +Vtrue +p10182 +tp10183 +a(g693 +g962 +tp10184 +a(g826 +V\u000a +p10185 +tp10186 +a(g826 +V +p10187 +tp10188 +a(g693 +g1037 +tp10189 +a(g826 +V\u000a +p10190 +tp10191 +a(g693 +g1037 +tp10192 +a(g826 +V\u000a +p10193 +tp10194 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.eh b/tests/examplefiles/output/test.eh new file mode 100644 index 0000000..4e9270a --- /dev/null +++ b/tests/examplefiles/output/test.eh @@ -0,0 +1,7793 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/* A Bison parser, made by GNU Bison 2.0. */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g827 +V\u000a +p960 +tp961 +a(g8 +V/* Skeleton parser for Yacc-like parsing with Bison,\u000a Copyright (C) 1984, 1989, 1990, 2000, 2001, 2002, 2003, 2004 Free Software Foundation, Inc.\u000a\u000a This program is free software; you can redistribute it and/or modify\u000a it under the terms of the GNU General Public License as published by\u000a the Free Software Foundation; either version 2, or (at your option)\u000a any later version.\u000a\u000a This program is distributed in the hope that it will be useful,\u000a but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\u000a GNU General Public License for more details.\u000a\u000a You should have received a copy of the GNU General Public License\u000a along with this program; if not, write to the Free Software\u000a Foundation, Inc., 59 Temple Place - Suite 330,\u000a Boston, MA 02111-1307, USA. */ +p962 +tp963 +a(g827 +V\u000a +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g8 +V/* As a special exception, when this file is copied by Bison into a\u000a Bison output file, you may use that output file without restriction.\u000a This special exception was added by the Free Software Foundation\u000a in version 1.24 of Bison. */ +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g827 +V\u000a +p972 +tp973 +a(g8 +V/* Tokens. */ +p974 +tp975 +a(g827 +V\u000a +p976 +tp977 +a(g899 +V# +p978 +tp979 +a(g899 +Vifndef YYTOKENTYPE +p980 +tp981 +a(g899 +V\u000a +p982 +tp983 +a(g899 +g978 +tp984 +a(g899 +V define YYTOKENTYPE +p985 +tp986 +a(g899 +V\u000a +p987 +tp988 +a(g827 +V +p989 +tp990 +a(g8 +V/* Put the tokens into the symbol table, so that GDB and other debuggers\u000a know about them. */ +p991 +tp992 +a(g827 +V\u000a +p993 +tp994 +a(g827 +V +p995 +tp996 +a(g745 +Venum +p997 +tp998 +a(g827 +V +p999 +tp1000 +a(g436 +Vyytokentype +p1001 +tp1002 +a(g827 +g999 +tp1003 +a(g706 +V{ +p1004 +tp1005 +a(g827 +V\u000a +p1006 +tp1007 +a(g827 +V +p1008 +tp1009 +a(g436 +VIDENTIFIER +p1010 +tp1011 +a(g827 +g999 +tp1012 +a(g413 +V= +p1013 +tp1014 +a(g827 +g999 +tp1015 +a(g37 +V258 +p1016 +tp1017 +a(g706 +V, +p1018 +tp1019 +a(g827 +V\u000a +p1020 +tp1021 +a(g827 +V +p1022 +tp1023 +a(g436 +VCONSTANT +p1024 +tp1025 +a(g827 +g999 +tp1026 +a(g413 +g1013 +tp1027 +a(g827 +g999 +tp1028 +a(g37 +V259 +p1029 +tp1030 +a(g706 +g1018 +tp1031 +a(g827 +V\u000a +p1032 +tp1033 +a(g827 +V +p1034 +tp1035 +a(g436 +VSTRING_LITERAL +p1036 +tp1037 +a(g827 +g999 +tp1038 +a(g413 +g1013 +tp1039 +a(g827 +g999 +tp1040 +a(g37 +V260 +p1041 +tp1042 +a(g706 +g1018 +tp1043 +a(g827 +V\u000a +p1044 +tp1045 +a(g827 +V +p1046 +tp1047 +a(g436 +VSIZEOF +p1048 +tp1049 +a(g827 +g999 +tp1050 +a(g413 +g1013 +tp1051 +a(g827 +g999 +tp1052 +a(g37 +V261 +p1053 +tp1054 +a(g706 +g1018 +tp1055 +a(g827 +V\u000a +p1056 +tp1057 +a(g827 +V +p1058 +tp1059 +a(g436 +VPTR_OP +p1060 +tp1061 +a(g827 +g999 +tp1062 +a(g413 +g1013 +tp1063 +a(g827 +g999 +tp1064 +a(g37 +V262 +p1065 +tp1066 +a(g706 +g1018 +tp1067 +a(g827 +V\u000a +p1068 +tp1069 +a(g827 +V +p1070 +tp1071 +a(g436 +VINC_OP +p1072 +tp1073 +a(g827 +g999 +tp1074 +a(g413 +g1013 +tp1075 +a(g827 +g999 +tp1076 +a(g37 +V263 +p1077 +tp1078 +a(g706 +g1018 +tp1079 +a(g827 +V\u000a +p1080 +tp1081 +a(g827 +V +p1082 +tp1083 +a(g436 +VDEC_OP +p1084 +tp1085 +a(g827 +g999 +tp1086 +a(g413 +g1013 +tp1087 +a(g827 +g999 +tp1088 +a(g37 +V264 +p1089 +tp1090 +a(g706 +g1018 +tp1091 +a(g827 +V\u000a +p1092 +tp1093 +a(g827 +V +p1094 +tp1095 +a(g436 +VLEFT_OP +p1096 +tp1097 +a(g827 +g999 +tp1098 +a(g413 +g1013 +tp1099 +a(g827 +g999 +tp1100 +a(g37 +V265 +p1101 +tp1102 +a(g706 +g1018 +tp1103 +a(g827 +V\u000a +p1104 +tp1105 +a(g827 +V +p1106 +tp1107 +a(g436 +VRIGHT_OP +p1108 +tp1109 +a(g827 +g999 +tp1110 +a(g413 +g1013 +tp1111 +a(g827 +g999 +tp1112 +a(g37 +V266 +p1113 +tp1114 +a(g706 +g1018 +tp1115 +a(g827 +V\u000a +p1116 +tp1117 +a(g827 +V +p1118 +tp1119 +a(g436 +VLE_OP +p1120 +tp1121 +a(g827 +g999 +tp1122 +a(g413 +g1013 +tp1123 +a(g827 +g999 +tp1124 +a(g37 +V267 +p1125 +tp1126 +a(g706 +g1018 +tp1127 +a(g827 +V\u000a +p1128 +tp1129 +a(g827 +V +p1130 +tp1131 +a(g436 +VGE_OP +p1132 +tp1133 +a(g827 +g999 +tp1134 +a(g413 +g1013 +tp1135 +a(g827 +g999 +tp1136 +a(g37 +V268 +p1137 +tp1138 +a(g706 +g1018 +tp1139 +a(g827 +V\u000a +p1140 +tp1141 +a(g827 +V +p1142 +tp1143 +a(g436 +VEQ_OP +p1144 +tp1145 +a(g827 +g999 +tp1146 +a(g413 +g1013 +tp1147 +a(g827 +g999 +tp1148 +a(g37 +V269 +p1149 +tp1150 +a(g706 +g1018 +tp1151 +a(g827 +V\u000a +p1152 +tp1153 +a(g827 +V +p1154 +tp1155 +a(g436 +VNE_OP +p1156 +tp1157 +a(g827 +g999 +tp1158 +a(g413 +g1013 +tp1159 +a(g827 +g999 +tp1160 +a(g37 +V270 +p1161 +tp1162 +a(g706 +g1018 +tp1163 +a(g827 +V\u000a +p1164 +tp1165 +a(g827 +V +p1166 +tp1167 +a(g436 +VAND_OP +p1168 +tp1169 +a(g827 +g999 +tp1170 +a(g413 +g1013 +tp1171 +a(g827 +g999 +tp1172 +a(g37 +V271 +p1173 +tp1174 +a(g706 +g1018 +tp1175 +a(g827 +V\u000a +p1176 +tp1177 +a(g827 +V +p1178 +tp1179 +a(g436 +VOR_OP +p1180 +tp1181 +a(g827 +g999 +tp1182 +a(g413 +g1013 +tp1183 +a(g827 +g999 +tp1184 +a(g37 +V272 +p1185 +tp1186 +a(g706 +g1018 +tp1187 +a(g827 +V\u000a +p1188 +tp1189 +a(g827 +V +p1190 +tp1191 +a(g436 +VMUL_ASSIGN +p1192 +tp1193 +a(g827 +g999 +tp1194 +a(g413 +g1013 +tp1195 +a(g827 +g999 +tp1196 +a(g37 +V273 +p1197 +tp1198 +a(g706 +g1018 +tp1199 +a(g827 +V\u000a +p1200 +tp1201 +a(g827 +V +p1202 +tp1203 +a(g436 +VDIV_ASSIGN +p1204 +tp1205 +a(g827 +g999 +tp1206 +a(g413 +g1013 +tp1207 +a(g827 +g999 +tp1208 +a(g37 +V274 +p1209 +tp1210 +a(g706 +g1018 +tp1211 +a(g827 +V\u000a +p1212 +tp1213 +a(g827 +V +p1214 +tp1215 +a(g436 +VMOD_ASSIGN +p1216 +tp1217 +a(g827 +g999 +tp1218 +a(g413 +g1013 +tp1219 +a(g827 +g999 +tp1220 +a(g37 +V275 +p1221 +tp1222 +a(g706 +g1018 +tp1223 +a(g827 +V\u000a +p1224 +tp1225 +a(g827 +V +p1226 +tp1227 +a(g436 +VADD_ASSIGN +p1228 +tp1229 +a(g827 +g999 +tp1230 +a(g413 +g1013 +tp1231 +a(g827 +g999 +tp1232 +a(g37 +V276 +p1233 +tp1234 +a(g706 +g1018 +tp1235 +a(g827 +V\u000a +p1236 +tp1237 +a(g827 +V +p1238 +tp1239 +a(g436 +VSUB_ASSIGN +p1240 +tp1241 +a(g827 +g999 +tp1242 +a(g413 +g1013 +tp1243 +a(g827 +g999 +tp1244 +a(g37 +V277 +p1245 +tp1246 +a(g706 +g1018 +tp1247 +a(g827 +V\u000a +p1248 +tp1249 +a(g827 +V +p1250 +tp1251 +a(g436 +VLEFT_ASSIGN +p1252 +tp1253 +a(g827 +g999 +tp1254 +a(g413 +g1013 +tp1255 +a(g827 +g999 +tp1256 +a(g37 +V278 +p1257 +tp1258 +a(g706 +g1018 +tp1259 +a(g827 +V\u000a +p1260 +tp1261 +a(g827 +V +p1262 +tp1263 +a(g436 +VRIGHT_ASSIGN +p1264 +tp1265 +a(g827 +g999 +tp1266 +a(g413 +g1013 +tp1267 +a(g827 +g999 +tp1268 +a(g37 +V279 +p1269 +tp1270 +a(g706 +g1018 +tp1271 +a(g827 +V\u000a +p1272 +tp1273 +a(g827 +V +p1274 +tp1275 +a(g436 +VAND_ASSIGN +p1276 +tp1277 +a(g827 +g999 +tp1278 +a(g413 +g1013 +tp1279 +a(g827 +g999 +tp1280 +a(g37 +V280 +p1281 +tp1282 +a(g706 +g1018 +tp1283 +a(g827 +V\u000a +p1284 +tp1285 +a(g827 +V +p1286 +tp1287 +a(g436 +VXOR_ASSIGN +p1288 +tp1289 +a(g827 +g999 +tp1290 +a(g413 +g1013 +tp1291 +a(g827 +g999 +tp1292 +a(g37 +V281 +p1293 +tp1294 +a(g706 +g1018 +tp1295 +a(g827 +V\u000a +p1296 +tp1297 +a(g827 +V +p1298 +tp1299 +a(g436 +VOR_ASSIGN +p1300 +tp1301 +a(g827 +g999 +tp1302 +a(g413 +g1013 +tp1303 +a(g827 +g999 +tp1304 +a(g37 +V282 +p1305 +tp1306 +a(g706 +g1018 +tp1307 +a(g827 +V\u000a +p1308 +tp1309 +a(g827 +V +p1310 +tp1311 +a(g436 +VTYPE_NAME +p1312 +tp1313 +a(g827 +g999 +tp1314 +a(g413 +g1013 +tp1315 +a(g827 +g999 +tp1316 +a(g37 +V283 +p1317 +tp1318 +a(g706 +g1018 +tp1319 +a(g827 +V\u000a +p1320 +tp1321 +a(g827 +V +p1322 +tp1323 +a(g436 +VTYPEDEF +p1324 +tp1325 +a(g827 +g999 +tp1326 +a(g413 +g1013 +tp1327 +a(g827 +g999 +tp1328 +a(g37 +V284 +p1329 +tp1330 +a(g706 +g1018 +tp1331 +a(g827 +V\u000a +p1332 +tp1333 +a(g827 +V +p1334 +tp1335 +a(g436 +VEXTERN +p1336 +tp1337 +a(g827 +g999 +tp1338 +a(g413 +g1013 +tp1339 +a(g827 +g999 +tp1340 +a(g37 +V285 +p1341 +tp1342 +a(g706 +g1018 +tp1343 +a(g827 +V\u000a +p1344 +tp1345 +a(g827 +V +p1346 +tp1347 +a(g436 +VSTATIC +p1348 +tp1349 +a(g827 +g999 +tp1350 +a(g413 +g1013 +tp1351 +a(g827 +g999 +tp1352 +a(g37 +V286 +p1353 +tp1354 +a(g706 +g1018 +tp1355 +a(g827 +V\u000a +p1356 +tp1357 +a(g827 +V +p1358 +tp1359 +a(g436 +VAUTO +p1360 +tp1361 +a(g827 +g999 +tp1362 +a(g413 +g1013 +tp1363 +a(g827 +g999 +tp1364 +a(g37 +V287 +p1365 +tp1366 +a(g706 +g1018 +tp1367 +a(g827 +V\u000a +p1368 +tp1369 +a(g827 +V +p1370 +tp1371 +a(g436 +VREGISTER +p1372 +tp1373 +a(g827 +g999 +tp1374 +a(g413 +g1013 +tp1375 +a(g827 +g999 +tp1376 +a(g37 +V288 +p1377 +tp1378 +a(g706 +g1018 +tp1379 +a(g827 +V\u000a +p1380 +tp1381 +a(g827 +V +p1382 +tp1383 +a(g436 +VCHAR +p1384 +tp1385 +a(g827 +g999 +tp1386 +a(g413 +g1013 +tp1387 +a(g827 +g999 +tp1388 +a(g37 +V289 +p1389 +tp1390 +a(g706 +g1018 +tp1391 +a(g827 +V\u000a +p1392 +tp1393 +a(g827 +V +p1394 +tp1395 +a(g436 +VSHORT +p1396 +tp1397 +a(g827 +g999 +tp1398 +a(g413 +g1013 +tp1399 +a(g827 +g999 +tp1400 +a(g37 +V290 +p1401 +tp1402 +a(g706 +g1018 +tp1403 +a(g827 +V\u000a +p1404 +tp1405 +a(g827 +V +p1406 +tp1407 +a(g436 +VINT +p1408 +tp1409 +a(g827 +g999 +tp1410 +a(g413 +g1013 +tp1411 +a(g827 +g999 +tp1412 +a(g37 +V291 +p1413 +tp1414 +a(g706 +g1018 +tp1415 +a(g827 +V\u000a +p1416 +tp1417 +a(g827 +V +p1418 +tp1419 +a(g436 +VUINT +p1420 +tp1421 +a(g827 +g999 +tp1422 +a(g413 +g1013 +tp1423 +a(g827 +g999 +tp1424 +a(g37 +V292 +p1425 +tp1426 +a(g706 +g1018 +tp1427 +a(g827 +V\u000a +p1428 +tp1429 +a(g827 +V +p1430 +tp1431 +a(g436 +VINT64 +p1432 +tp1433 +a(g827 +g999 +tp1434 +a(g413 +g1013 +tp1435 +a(g827 +g999 +tp1436 +a(g37 +V293 +p1437 +tp1438 +a(g706 +g1018 +tp1439 +a(g827 +V\u000a +p1440 +tp1441 +a(g827 +V +p1442 +tp1443 +a(g436 +VLONG +p1444 +tp1445 +a(g827 +g999 +tp1446 +a(g413 +g1013 +tp1447 +a(g827 +g999 +tp1448 +a(g37 +V294 +p1449 +tp1450 +a(g706 +g1018 +tp1451 +a(g827 +V\u000a +p1452 +tp1453 +a(g827 +V +p1454 +tp1455 +a(g436 +VSIGNED +p1456 +tp1457 +a(g827 +g999 +tp1458 +a(g413 +g1013 +tp1459 +a(g827 +g999 +tp1460 +a(g37 +V295 +p1461 +tp1462 +a(g706 +g1018 +tp1463 +a(g827 +V\u000a +p1464 +tp1465 +a(g827 +V +p1466 +tp1467 +a(g436 +VUNSIGNED +p1468 +tp1469 +a(g827 +g999 +tp1470 +a(g413 +g1013 +tp1471 +a(g827 +g999 +tp1472 +a(g37 +V296 +p1473 +tp1474 +a(g706 +g1018 +tp1475 +a(g827 +V\u000a +p1476 +tp1477 +a(g827 +V +p1478 +tp1479 +a(g436 +VFLOAT +p1480 +tp1481 +a(g827 +g999 +tp1482 +a(g413 +g1013 +tp1483 +a(g827 +g999 +tp1484 +a(g37 +V297 +p1485 +tp1486 +a(g706 +g1018 +tp1487 +a(g827 +V\u000a +p1488 +tp1489 +a(g827 +V +p1490 +tp1491 +a(g436 +VDOUBLE +p1492 +tp1493 +a(g827 +g999 +tp1494 +a(g413 +g1013 +tp1495 +a(g827 +g999 +tp1496 +a(g37 +V298 +p1497 +tp1498 +a(g706 +g1018 +tp1499 +a(g827 +V\u000a +p1500 +tp1501 +a(g827 +V +p1502 +tp1503 +a(g436 +VCONST +p1504 +tp1505 +a(g827 +g999 +tp1506 +a(g413 +g1013 +tp1507 +a(g827 +g999 +tp1508 +a(g37 +V299 +p1509 +tp1510 +a(g706 +g1018 +tp1511 +a(g827 +V\u000a +p1512 +tp1513 +a(g827 +V +p1514 +tp1515 +a(g436 +VVOLATILE +p1516 +tp1517 +a(g827 +g999 +tp1518 +a(g413 +g1013 +tp1519 +a(g827 +g999 +tp1520 +a(g37 +V300 +p1521 +tp1522 +a(g706 +g1018 +tp1523 +a(g827 +V\u000a +p1524 +tp1525 +a(g827 +V +p1526 +tp1527 +a(g436 +VVOID +p1528 +tp1529 +a(g827 +g999 +tp1530 +a(g413 +g1013 +tp1531 +a(g827 +g999 +tp1532 +a(g37 +V301 +p1533 +tp1534 +a(g706 +g1018 +tp1535 +a(g827 +V\u000a +p1536 +tp1537 +a(g827 +V +p1538 +tp1539 +a(g436 +VVALIST +p1540 +tp1541 +a(g827 +g999 +tp1542 +a(g413 +g1013 +tp1543 +a(g827 +g999 +tp1544 +a(g37 +V302 +p1545 +tp1546 +a(g706 +g1018 +tp1547 +a(g827 +V\u000a +p1548 +tp1549 +a(g827 +V +p1550 +tp1551 +a(g436 +VSTRUCT +p1552 +tp1553 +a(g827 +g999 +tp1554 +a(g413 +g1013 +tp1555 +a(g827 +g999 +tp1556 +a(g37 +V303 +p1557 +tp1558 +a(g706 +g1018 +tp1559 +a(g827 +V\u000a +p1560 +tp1561 +a(g827 +V +p1562 +tp1563 +a(g436 +VUNION +p1564 +tp1565 +a(g827 +g999 +tp1566 +a(g413 +g1013 +tp1567 +a(g827 +g999 +tp1568 +a(g37 +V304 +p1569 +tp1570 +a(g706 +g1018 +tp1571 +a(g827 +V\u000a +p1572 +tp1573 +a(g827 +V +p1574 +tp1575 +a(g436 +VENUM +p1576 +tp1577 +a(g827 +g999 +tp1578 +a(g413 +g1013 +tp1579 +a(g827 +g999 +tp1580 +a(g37 +V305 +p1581 +tp1582 +a(g706 +g1018 +tp1583 +a(g827 +V\u000a +p1584 +tp1585 +a(g827 +V +p1586 +tp1587 +a(g436 +VELLIPSIS +p1588 +tp1589 +a(g827 +g999 +tp1590 +a(g413 +g1013 +tp1591 +a(g827 +g999 +tp1592 +a(g37 +V306 +p1593 +tp1594 +a(g706 +g1018 +tp1595 +a(g827 +V\u000a +p1596 +tp1597 +a(g827 +V +p1598 +tp1599 +a(g436 +VCASE +p1600 +tp1601 +a(g827 +g999 +tp1602 +a(g413 +g1013 +tp1603 +a(g827 +g999 +tp1604 +a(g37 +V307 +p1605 +tp1606 +a(g706 +g1018 +tp1607 +a(g827 +V\u000a +p1608 +tp1609 +a(g827 +V +p1610 +tp1611 +a(g436 +VDEFAULT +p1612 +tp1613 +a(g827 +g999 +tp1614 +a(g413 +g1013 +tp1615 +a(g827 +g999 +tp1616 +a(g37 +V308 +p1617 +tp1618 +a(g706 +g1018 +tp1619 +a(g827 +V\u000a +p1620 +tp1621 +a(g827 +V +p1622 +tp1623 +a(g436 +VIF +p1624 +tp1625 +a(g827 +g999 +tp1626 +a(g413 +g1013 +tp1627 +a(g827 +g999 +tp1628 +a(g37 +V309 +p1629 +tp1630 +a(g706 +g1018 +tp1631 +a(g827 +V\u000a +p1632 +tp1633 +a(g827 +V +p1634 +tp1635 +a(g436 +VSWITCH +p1636 +tp1637 +a(g827 +g999 +tp1638 +a(g413 +g1013 +tp1639 +a(g827 +g999 +tp1640 +a(g37 +V310 +p1641 +tp1642 +a(g706 +g1018 +tp1643 +a(g827 +V\u000a +p1644 +tp1645 +a(g827 +V +p1646 +tp1647 +a(g436 +VWHILE +p1648 +tp1649 +a(g827 +g999 +tp1650 +a(g413 +g1013 +tp1651 +a(g827 +g999 +tp1652 +a(g37 +V311 +p1653 +tp1654 +a(g706 +g1018 +tp1655 +a(g827 +V\u000a +p1656 +tp1657 +a(g827 +V +p1658 +tp1659 +a(g436 +VDO +p1660 +tp1661 +a(g827 +g999 +tp1662 +a(g413 +g1013 +tp1663 +a(g827 +g999 +tp1664 +a(g37 +V312 +p1665 +tp1666 +a(g706 +g1018 +tp1667 +a(g827 +V\u000a +p1668 +tp1669 +a(g827 +V +p1670 +tp1671 +a(g436 +VFOR +p1672 +tp1673 +a(g827 +g999 +tp1674 +a(g413 +g1013 +tp1675 +a(g827 +g999 +tp1676 +a(g37 +V313 +p1677 +tp1678 +a(g706 +g1018 +tp1679 +a(g827 +V\u000a +p1680 +tp1681 +a(g827 +V +p1682 +tp1683 +a(g436 +VGOTO +p1684 +tp1685 +a(g827 +g999 +tp1686 +a(g413 +g1013 +tp1687 +a(g827 +g999 +tp1688 +a(g37 +V314 +p1689 +tp1690 +a(g706 +g1018 +tp1691 +a(g827 +V\u000a +p1692 +tp1693 +a(g827 +V +p1694 +tp1695 +a(g436 +VCONTINUE +p1696 +tp1697 +a(g827 +g999 +tp1698 +a(g413 +g1013 +tp1699 +a(g827 +g999 +tp1700 +a(g37 +V315 +p1701 +tp1702 +a(g706 +g1018 +tp1703 +a(g827 +V\u000a +p1704 +tp1705 +a(g827 +V +p1706 +tp1707 +a(g436 +VBREAK +p1708 +tp1709 +a(g827 +g999 +tp1710 +a(g413 +g1013 +tp1711 +a(g827 +g999 +tp1712 +a(g37 +V316 +p1713 +tp1714 +a(g706 +g1018 +tp1715 +a(g827 +V\u000a +p1716 +tp1717 +a(g827 +V +p1718 +tp1719 +a(g436 +VRETURN +p1720 +tp1721 +a(g827 +g999 +tp1722 +a(g413 +g1013 +tp1723 +a(g827 +g999 +tp1724 +a(g37 +V317 +p1725 +tp1726 +a(g706 +g1018 +tp1727 +a(g827 +V\u000a +p1728 +tp1729 +a(g827 +V +p1730 +tp1731 +a(g436 +VIFX +p1732 +tp1733 +a(g827 +g999 +tp1734 +a(g413 +g1013 +tp1735 +a(g827 +g999 +tp1736 +a(g37 +V318 +p1737 +tp1738 +a(g706 +g1018 +tp1739 +a(g827 +V\u000a +p1740 +tp1741 +a(g827 +V +p1742 +tp1743 +a(g436 +VELSE +p1744 +tp1745 +a(g827 +g999 +tp1746 +a(g413 +g1013 +tp1747 +a(g827 +g999 +tp1748 +a(g37 +V319 +p1749 +tp1750 +a(g706 +g1018 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g827 +V +p1754 +tp1755 +a(g436 +VCLASS +p1756 +tp1757 +a(g827 +g999 +tp1758 +a(g413 +g1013 +tp1759 +a(g827 +g999 +tp1760 +a(g37 +V320 +p1761 +tp1762 +a(g706 +g1018 +tp1763 +a(g827 +V\u000a +p1764 +tp1765 +a(g827 +V +p1766 +tp1767 +a(g436 +VTHISCLASS +p1768 +tp1769 +a(g827 +g999 +tp1770 +a(g413 +g1013 +tp1771 +a(g827 +g999 +tp1772 +a(g37 +V321 +p1773 +tp1774 +a(g706 +g1018 +tp1775 +a(g827 +V\u000a +p1776 +tp1777 +a(g827 +V +p1778 +tp1779 +a(g436 +VCLASS_NAME +p1780 +tp1781 +a(g827 +g999 +tp1782 +a(g413 +g1013 +tp1783 +a(g827 +g999 +tp1784 +a(g37 +V322 +p1785 +tp1786 +a(g706 +g1018 +tp1787 +a(g827 +V\u000a +p1788 +tp1789 +a(g827 +V +p1790 +tp1791 +a(g436 +VPROPERTY +p1792 +tp1793 +a(g827 +g999 +tp1794 +a(g413 +g1013 +tp1795 +a(g827 +g999 +tp1796 +a(g37 +V323 +p1797 +tp1798 +a(g706 +g1018 +tp1799 +a(g827 +V\u000a +p1800 +tp1801 +a(g827 +V +p1802 +tp1803 +a(g436 +VSETPROP +p1804 +tp1805 +a(g827 +g999 +tp1806 +a(g413 +g1013 +tp1807 +a(g827 +g999 +tp1808 +a(g37 +V324 +p1809 +tp1810 +a(g706 +g1018 +tp1811 +a(g827 +V\u000a +p1812 +tp1813 +a(g827 +V +p1814 +tp1815 +a(g436 +VGETPROP +p1816 +tp1817 +a(g827 +g999 +tp1818 +a(g413 +g1013 +tp1819 +a(g827 +g999 +tp1820 +a(g37 +V325 +p1821 +tp1822 +a(g706 +g1018 +tp1823 +a(g827 +V\u000a +p1824 +tp1825 +a(g827 +V +p1826 +tp1827 +a(g436 +VNEWOP +p1828 +tp1829 +a(g827 +g999 +tp1830 +a(g413 +g1013 +tp1831 +a(g827 +g999 +tp1832 +a(g37 +V326 +p1833 +tp1834 +a(g706 +g1018 +tp1835 +a(g827 +V\u000a +p1836 +tp1837 +a(g827 +V +p1838 +tp1839 +a(g436 +VRENEW +p1840 +tp1841 +a(g827 +g999 +tp1842 +a(g413 +g1013 +tp1843 +a(g827 +g999 +tp1844 +a(g37 +V327 +p1845 +tp1846 +a(g706 +g1018 +tp1847 +a(g827 +V\u000a +p1848 +tp1849 +a(g827 +V +p1850 +tp1851 +a(g436 +VDELETE +p1852 +tp1853 +a(g827 +g999 +tp1854 +a(g413 +g1013 +tp1855 +a(g827 +g999 +tp1856 +a(g37 +V328 +p1857 +tp1858 +a(g706 +g1018 +tp1859 +a(g827 +V\u000a +p1860 +tp1861 +a(g827 +V +p1862 +tp1863 +a(g436 +VEXT_DECL +p1864 +tp1865 +a(g827 +g999 +tp1866 +a(g413 +g1013 +tp1867 +a(g827 +g999 +tp1868 +a(g37 +V329 +p1869 +tp1870 +a(g706 +g1018 +tp1871 +a(g827 +V\u000a +p1872 +tp1873 +a(g827 +V +p1874 +tp1875 +a(g436 +VEXT_STORAGE +p1876 +tp1877 +a(g827 +g999 +tp1878 +a(g413 +g1013 +tp1879 +a(g827 +g999 +tp1880 +a(g37 +V330 +p1881 +tp1882 +a(g706 +g1018 +tp1883 +a(g827 +V\u000a +p1884 +tp1885 +a(g827 +V +p1886 +tp1887 +a(g436 +VIMPORT +p1888 +tp1889 +a(g827 +g999 +tp1890 +a(g413 +g1013 +tp1891 +a(g827 +g999 +tp1892 +a(g37 +V331 +p1893 +tp1894 +a(g706 +g1018 +tp1895 +a(g827 +V\u000a +p1896 +tp1897 +a(g827 +V +p1898 +tp1899 +a(g436 +VDEFINE +p1900 +tp1901 +a(g827 +g999 +tp1902 +a(g413 +g1013 +tp1903 +a(g827 +g999 +tp1904 +a(g37 +V332 +p1905 +tp1906 +a(g706 +g1018 +tp1907 +a(g827 +V\u000a +p1908 +tp1909 +a(g827 +V +p1910 +tp1911 +a(g436 +VVIRTUAL +p1912 +tp1913 +a(g827 +g999 +tp1914 +a(g413 +g1013 +tp1915 +a(g827 +g999 +tp1916 +a(g37 +V333 +p1917 +tp1918 +a(g706 +g1018 +tp1919 +a(g827 +V\u000a +p1920 +tp1921 +a(g827 +V +p1922 +tp1923 +a(g436 +VEXT_ATTRIB +p1924 +tp1925 +a(g827 +g999 +tp1926 +a(g413 +g1013 +tp1927 +a(g827 +g999 +tp1928 +a(g37 +V334 +p1929 +tp1930 +a(g706 +g1018 +tp1931 +a(g827 +V\u000a +p1932 +tp1933 +a(g827 +V +p1934 +tp1935 +a(g436 +VPUBLIC +p1936 +tp1937 +a(g827 +g999 +tp1938 +a(g413 +g1013 +tp1939 +a(g827 +g999 +tp1940 +a(g37 +V335 +p1941 +tp1942 +a(g706 +g1018 +tp1943 +a(g827 +V\u000a +p1944 +tp1945 +a(g827 +V +p1946 +tp1947 +a(g436 +VPRIVATE +p1948 +tp1949 +a(g827 +g999 +tp1950 +a(g413 +g1013 +tp1951 +a(g827 +g999 +tp1952 +a(g37 +V336 +p1953 +tp1954 +a(g706 +g1018 +tp1955 +a(g827 +V\u000a +p1956 +tp1957 +a(g827 +V +p1958 +tp1959 +a(g436 +VTYPED_OBJECT +p1960 +tp1961 +a(g827 +g999 +tp1962 +a(g413 +g1013 +tp1963 +a(g827 +g999 +tp1964 +a(g37 +V337 +p1965 +tp1966 +a(g706 +g1018 +tp1967 +a(g827 +V\u000a +p1968 +tp1969 +a(g827 +V +p1970 +tp1971 +a(g436 +VANY_OBJECT +p1972 +tp1973 +a(g827 +g999 +tp1974 +a(g413 +g1013 +tp1975 +a(g827 +g999 +tp1976 +a(g37 +V338 +p1977 +tp1978 +a(g706 +g1018 +tp1979 +a(g827 +V\u000a +p1980 +tp1981 +a(g827 +V +p1982 +tp1983 +a(g436 +V_INCREF +p1984 +tp1985 +a(g827 +g999 +tp1986 +a(g413 +g1013 +tp1987 +a(g827 +g999 +tp1988 +a(g37 +V339 +p1989 +tp1990 +a(g706 +g1018 +tp1991 +a(g827 +V\u000a +p1992 +tp1993 +a(g827 +V +p1994 +tp1995 +a(g436 +VEXTENSION +p1996 +tp1997 +a(g827 +g999 +tp1998 +a(g413 +g1013 +tp1999 +a(g827 +g999 +tp2000 +a(g37 +V340 +p2001 +tp2002 +a(g706 +g1018 +tp2003 +a(g827 +V\u000a +p2004 +tp2005 +a(g827 +V +p2006 +tp2007 +a(g436 +VASM +p2008 +tp2009 +a(g827 +g999 +tp2010 +a(g413 +g1013 +tp2011 +a(g827 +g999 +tp2012 +a(g37 +V341 +p2013 +tp2014 +a(g706 +g1018 +tp2015 +a(g827 +V\u000a +p2016 +tp2017 +a(g827 +V +p2018 +tp2019 +a(g436 +VTYPEOF +p2020 +tp2021 +a(g827 +g999 +tp2022 +a(g413 +g1013 +tp2023 +a(g827 +g999 +tp2024 +a(g37 +V342 +p2025 +tp2026 +a(g706 +g1018 +tp2027 +a(g827 +V\u000a +p2028 +tp2029 +a(g827 +V +p2030 +tp2031 +a(g436 +VWATCH +p2032 +tp2033 +a(g827 +g999 +tp2034 +a(g413 +g1013 +tp2035 +a(g827 +g999 +tp2036 +a(g37 +V343 +p2037 +tp2038 +a(g706 +g1018 +tp2039 +a(g827 +V\u000a +p2040 +tp2041 +a(g827 +V +p2042 +tp2043 +a(g436 +VSTOPWATCHING +p2044 +tp2045 +a(g827 +g999 +tp2046 +a(g413 +g1013 +tp2047 +a(g827 +g999 +tp2048 +a(g37 +V344 +p2049 +tp2050 +a(g706 +g1018 +tp2051 +a(g827 +V\u000a +p2052 +tp2053 +a(g827 +V +p2054 +tp2055 +a(g436 +VFIREWATCHERS +p2056 +tp2057 +a(g827 +g999 +tp2058 +a(g413 +g1013 +tp2059 +a(g827 +g999 +tp2060 +a(g37 +V345 +p2061 +tp2062 +a(g706 +g1018 +tp2063 +a(g827 +V\u000a +p2064 +tp2065 +a(g827 +V +p2066 +tp2067 +a(g436 +VWATCHABLE +p2068 +tp2069 +a(g827 +g999 +tp2070 +a(g413 +g1013 +tp2071 +a(g827 +g999 +tp2072 +a(g37 +V346 +p2073 +tp2074 +a(g706 +g1018 +tp2075 +a(g827 +V\u000a +p2076 +tp2077 +a(g827 +V +p2078 +tp2079 +a(g436 +VCLASS_DESIGNER +p2080 +tp2081 +a(g827 +g999 +tp2082 +a(g413 +g1013 +tp2083 +a(g827 +g999 +tp2084 +a(g37 +V347 +p2085 +tp2086 +a(g706 +g1018 +tp2087 +a(g827 +V\u000a +p2088 +tp2089 +a(g827 +V +p2090 +tp2091 +a(g436 +VCLASS_NO_EXPANSION +p2092 +tp2093 +a(g827 +g999 +tp2094 +a(g413 +g1013 +tp2095 +a(g827 +g999 +tp2096 +a(g37 +V348 +p2097 +tp2098 +a(g706 +g1018 +tp2099 +a(g827 +V\u000a +p2100 +tp2101 +a(g827 +V +p2102 +tp2103 +a(g436 +VCLASS_FIXED +p2104 +tp2105 +a(g827 +g999 +tp2106 +a(g413 +g1013 +tp2107 +a(g827 +g999 +tp2108 +a(g37 +V349 +p2109 +tp2110 +a(g706 +g1018 +tp2111 +a(g827 +V\u000a +p2112 +tp2113 +a(g827 +V +p2114 +tp2115 +a(g436 +VISPROPSET +p2116 +tp2117 +a(g827 +g999 +tp2118 +a(g413 +g1013 +tp2119 +a(g827 +g999 +tp2120 +a(g37 +V350 +p2121 +tp2122 +a(g706 +g1018 +tp2123 +a(g827 +V\u000a +p2124 +tp2125 +a(g827 +V +p2126 +tp2127 +a(g436 +VCLASS_DEFAULT_PROPERTY +p2128 +tp2129 +a(g827 +g999 +tp2130 +a(g413 +g1013 +tp2131 +a(g827 +g999 +tp2132 +a(g37 +V351 +p2133 +tp2134 +a(g706 +g1018 +tp2135 +a(g827 +V\u000a +p2136 +tp2137 +a(g827 +V +p2138 +tp2139 +a(g436 +VPROPERTY_CATEGORY +p2140 +tp2141 +a(g827 +g999 +tp2142 +a(g413 +g1013 +tp2143 +a(g827 +g999 +tp2144 +a(g37 +V352 +p2145 +tp2146 +a(g706 +g1018 +tp2147 +a(g827 +V\u000a +p2148 +tp2149 +a(g827 +V +p2150 +tp2151 +a(g436 +VCLASS_DATA +p2152 +tp2153 +a(g827 +g999 +tp2154 +a(g413 +g1013 +tp2155 +a(g827 +g999 +tp2156 +a(g37 +V353 +p2157 +tp2158 +a(g706 +g1018 +tp2159 +a(g827 +V\u000a +p2160 +tp2161 +a(g827 +V +p2162 +tp2163 +a(g436 +VCLASS_PROPERTY +p2164 +tp2165 +a(g827 +g999 +tp2166 +a(g413 +g1013 +tp2167 +a(g827 +g999 +tp2168 +a(g37 +V354 +p2169 +tp2170 +a(g706 +g1018 +tp2171 +a(g827 +V\u000a +p2172 +tp2173 +a(g827 +V +p2174 +tp2175 +a(g436 +VSUBCLASS +p2176 +tp2177 +a(g827 +g999 +tp2178 +a(g413 +g1013 +tp2179 +a(g827 +g999 +tp2180 +a(g37 +V355 +p2181 +tp2182 +a(g706 +g1018 +tp2183 +a(g827 +V\u000a +p2184 +tp2185 +a(g827 +V +p2186 +tp2187 +a(g436 +VNAMESPACE +p2188 +tp2189 +a(g827 +g999 +tp2190 +a(g413 +g1013 +tp2191 +a(g827 +g999 +tp2192 +a(g37 +V356 +p2193 +tp2194 +a(g706 +g1018 +tp2195 +a(g827 +V\u000a +p2196 +tp2197 +a(g827 +V +p2198 +tp2199 +a(g436 +VNEW0OP +p2200 +tp2201 +a(g827 +g999 +tp2202 +a(g413 +g1013 +tp2203 +a(g827 +g999 +tp2204 +a(g37 +V357 +p2205 +tp2206 +a(g706 +g1018 +tp2207 +a(g827 +V\u000a +p2208 +tp2209 +a(g827 +V +p2210 +tp2211 +a(g436 +VRENEW0 +p2212 +tp2213 +a(g827 +g999 +tp2214 +a(g413 +g1013 +tp2215 +a(g827 +g999 +tp2216 +a(g37 +V358 +p2217 +tp2218 +a(g706 +g1018 +tp2219 +a(g827 +V\u000a +p2220 +tp2221 +a(g827 +V +p2222 +tp2223 +a(g436 +VVAARG +p2224 +tp2225 +a(g827 +g999 +tp2226 +a(g413 +g1013 +tp2227 +a(g827 +g999 +tp2228 +a(g37 +V359 +p2229 +tp2230 +a(g706 +g1018 +tp2231 +a(g827 +V\u000a +p2232 +tp2233 +a(g827 +V +p2234 +tp2235 +a(g436 +VDBTABLE +p2236 +tp2237 +a(g827 +g999 +tp2238 +a(g413 +g1013 +tp2239 +a(g827 +g999 +tp2240 +a(g37 +V360 +p2241 +tp2242 +a(g706 +g1018 +tp2243 +a(g827 +V\u000a +p2244 +tp2245 +a(g827 +V +p2246 +tp2247 +a(g436 +VDBFIELD +p2248 +tp2249 +a(g827 +g999 +tp2250 +a(g413 +g1013 +tp2251 +a(g827 +g999 +tp2252 +a(g37 +V361 +p2253 +tp2254 +a(g706 +g1018 +tp2255 +a(g827 +V\u000a +p2256 +tp2257 +a(g827 +V +p2258 +tp2259 +a(g436 +VDBINDEX +p2260 +tp2261 +a(g827 +g999 +tp2262 +a(g413 +g1013 +tp2263 +a(g827 +g999 +tp2264 +a(g37 +V362 +p2265 +tp2266 +a(g706 +g1018 +tp2267 +a(g827 +V\u000a +p2268 +tp2269 +a(g827 +V +p2270 +tp2271 +a(g436 +VDATABASE_OPEN +p2272 +tp2273 +a(g827 +g999 +tp2274 +a(g413 +g1013 +tp2275 +a(g827 +g999 +tp2276 +a(g37 +V363 +p2277 +tp2278 +a(g827 +V\u000a +p2279 +tp2280 +a(g827 +V +p2281 +tp2282 +a(g706 +V} +p2283 +tp2284 +a(g706 +V; +p2285 +tp2286 +a(g827 +V\u000a +p2287 +tp2288 +a(g899 +g978 +tp2289 +a(g899 +Vendif +p2290 +tp2291 +a(g899 +V\u000a +p2292 +tp2293 +a(g899 +g978 +tp2294 +a(g899 +Vdefine IDENTIFIER 258 +p2295 +tp2296 +a(g899 +V\u000a +p2297 +tp2298 +a(g899 +g978 +tp2299 +a(g899 +Vdefine CONSTANT 259 +p2300 +tp2301 +a(g899 +V\u000a +p2302 +tp2303 +a(g899 +g978 +tp2304 +a(g899 +Vdefine STRING_LITERAL 260 +p2305 +tp2306 +a(g899 +V\u000a +p2307 +tp2308 +a(g899 +g978 +tp2309 +a(g899 +Vdefine SIZEOF 261 +p2310 +tp2311 +a(g899 +V\u000a +p2312 +tp2313 +a(g899 +g978 +tp2314 +a(g899 +Vdefine PTR_OP 262 +p2315 +tp2316 +a(g899 +V\u000a +p2317 +tp2318 +a(g899 +g978 +tp2319 +a(g899 +Vdefine INC_OP 263 +p2320 +tp2321 +a(g899 +V\u000a +p2322 +tp2323 +a(g899 +g978 +tp2324 +a(g899 +Vdefine DEC_OP 264 +p2325 +tp2326 +a(g899 +V\u000a +p2327 +tp2328 +a(g899 +g978 +tp2329 +a(g899 +Vdefine LEFT_OP 265 +p2330 +tp2331 +a(g899 +V\u000a +p2332 +tp2333 +a(g899 +g978 +tp2334 +a(g899 +Vdefine RIGHT_OP 266 +p2335 +tp2336 +a(g899 +V\u000a +p2337 +tp2338 +a(g899 +g978 +tp2339 +a(g899 +Vdefine LE_OP 267 +p2340 +tp2341 +a(g899 +V\u000a +p2342 +tp2343 +a(g899 +g978 +tp2344 +a(g899 +Vdefine GE_OP 268 +p2345 +tp2346 +a(g899 +V\u000a +p2347 +tp2348 +a(g899 +g978 +tp2349 +a(g899 +Vdefine EQ_OP 269 +p2350 +tp2351 +a(g899 +V\u000a +p2352 +tp2353 +a(g899 +g978 +tp2354 +a(g899 +Vdefine NE_OP 270 +p2355 +tp2356 +a(g899 +V\u000a +p2357 +tp2358 +a(g899 +g978 +tp2359 +a(g899 +Vdefine AND_OP 271 +p2360 +tp2361 +a(g899 +V\u000a +p2362 +tp2363 +a(g899 +g978 +tp2364 +a(g899 +Vdefine OR_OP 272 +p2365 +tp2366 +a(g899 +V\u000a +p2367 +tp2368 +a(g899 +g978 +tp2369 +a(g899 +Vdefine MUL_ASSIGN 273 +p2370 +tp2371 +a(g899 +V\u000a +p2372 +tp2373 +a(g899 +g978 +tp2374 +a(g899 +Vdefine DIV_ASSIGN 274 +p2375 +tp2376 +a(g899 +V\u000a +p2377 +tp2378 +a(g899 +g978 +tp2379 +a(g899 +Vdefine MOD_ASSIGN 275 +p2380 +tp2381 +a(g899 +V\u000a +p2382 +tp2383 +a(g899 +g978 +tp2384 +a(g899 +Vdefine ADD_ASSIGN 276 +p2385 +tp2386 +a(g899 +V\u000a +p2387 +tp2388 +a(g899 +g978 +tp2389 +a(g899 +Vdefine SUB_ASSIGN 277 +p2390 +tp2391 +a(g899 +V\u000a +p2392 +tp2393 +a(g899 +g978 +tp2394 +a(g899 +Vdefine LEFT_ASSIGN 278 +p2395 +tp2396 +a(g899 +V\u000a +p2397 +tp2398 +a(g899 +g978 +tp2399 +a(g899 +Vdefine RIGHT_ASSIGN 279 +p2400 +tp2401 +a(g899 +V\u000a +p2402 +tp2403 +a(g899 +g978 +tp2404 +a(g899 +Vdefine AND_ASSIGN 280 +p2405 +tp2406 +a(g899 +V\u000a +p2407 +tp2408 +a(g899 +g978 +tp2409 +a(g899 +Vdefine XOR_ASSIGN 281 +p2410 +tp2411 +a(g899 +V\u000a +p2412 +tp2413 +a(g899 +g978 +tp2414 +a(g899 +Vdefine OR_ASSIGN 282 +p2415 +tp2416 +a(g899 +V\u000a +p2417 +tp2418 +a(g899 +g978 +tp2419 +a(g899 +Vdefine TYPE_NAME 283 +p2420 +tp2421 +a(g899 +V\u000a +p2422 +tp2423 +a(g899 +g978 +tp2424 +a(g899 +Vdefine TYPEDEF 284 +p2425 +tp2426 +a(g899 +V\u000a +p2427 +tp2428 +a(g899 +g978 +tp2429 +a(g899 +Vdefine EXTERN 285 +p2430 +tp2431 +a(g899 +V\u000a +p2432 +tp2433 +a(g899 +g978 +tp2434 +a(g899 +Vdefine STATIC 286 +p2435 +tp2436 +a(g899 +V\u000a +p2437 +tp2438 +a(g899 +g978 +tp2439 +a(g899 +Vdefine AUTO 287 +p2440 +tp2441 +a(g899 +V\u000a +p2442 +tp2443 +a(g899 +g978 +tp2444 +a(g899 +Vdefine REGISTER 288 +p2445 +tp2446 +a(g899 +V\u000a +p2447 +tp2448 +a(g899 +g978 +tp2449 +a(g899 +Vdefine CHAR 289 +p2450 +tp2451 +a(g899 +V\u000a +p2452 +tp2453 +a(g899 +g978 +tp2454 +a(g899 +Vdefine SHORT 290 +p2455 +tp2456 +a(g899 +V\u000a +p2457 +tp2458 +a(g899 +g978 +tp2459 +a(g899 +Vdefine INT 291 +p2460 +tp2461 +a(g899 +V\u000a +p2462 +tp2463 +a(g899 +g978 +tp2464 +a(g899 +Vdefine UINT 292 +p2465 +tp2466 +a(g899 +V\u000a +p2467 +tp2468 +a(g899 +g978 +tp2469 +a(g899 +Vdefine INT64 293 +p2470 +tp2471 +a(g899 +V\u000a +p2472 +tp2473 +a(g899 +g978 +tp2474 +a(g899 +Vdefine LONG 294 +p2475 +tp2476 +a(g899 +V\u000a +p2477 +tp2478 +a(g899 +g978 +tp2479 +a(g899 +Vdefine SIGNED 295 +p2480 +tp2481 +a(g899 +V\u000a +p2482 +tp2483 +a(g899 +g978 +tp2484 +a(g899 +Vdefine UNSIGNED 296 +p2485 +tp2486 +a(g899 +V\u000a +p2487 +tp2488 +a(g899 +g978 +tp2489 +a(g899 +Vdefine FLOAT 297 +p2490 +tp2491 +a(g899 +V\u000a +p2492 +tp2493 +a(g899 +g978 +tp2494 +a(g899 +Vdefine DOUBLE 298 +p2495 +tp2496 +a(g899 +V\u000a +p2497 +tp2498 +a(g899 +g978 +tp2499 +a(g899 +Vdefine CONST 299 +p2500 +tp2501 +a(g899 +V\u000a +p2502 +tp2503 +a(g899 +g978 +tp2504 +a(g899 +Vdefine VOLATILE 300 +p2505 +tp2506 +a(g899 +V\u000a +p2507 +tp2508 +a(g899 +g978 +tp2509 +a(g899 +Vdefine VOID 301 +p2510 +tp2511 +a(g899 +V\u000a +p2512 +tp2513 +a(g899 +g978 +tp2514 +a(g899 +Vdefine VALIST 302 +p2515 +tp2516 +a(g899 +V\u000a +p2517 +tp2518 +a(g899 +g978 +tp2519 +a(g899 +Vdefine STRUCT 303 +p2520 +tp2521 +a(g899 +V\u000a +p2522 +tp2523 +a(g899 +g978 +tp2524 +a(g899 +Vdefine UNION 304 +p2525 +tp2526 +a(g899 +V\u000a +p2527 +tp2528 +a(g899 +g978 +tp2529 +a(g899 +Vdefine ENUM 305 +p2530 +tp2531 +a(g899 +V\u000a +p2532 +tp2533 +a(g899 +g978 +tp2534 +a(g899 +Vdefine ELLIPSIS 306 +p2535 +tp2536 +a(g899 +V\u000a +p2537 +tp2538 +a(g899 +g978 +tp2539 +a(g899 +Vdefine CASE 307 +p2540 +tp2541 +a(g899 +V\u000a +p2542 +tp2543 +a(g899 +g978 +tp2544 +a(g899 +Vdefine DEFAULT 308 +p2545 +tp2546 +a(g899 +V\u000a +p2547 +tp2548 +a(g899 +g978 +tp2549 +a(g899 +Vdefine IF 309 +p2550 +tp2551 +a(g899 +V\u000a +p2552 +tp2553 +a(g899 +g978 +tp2554 +a(g899 +Vdefine SWITCH 310 +p2555 +tp2556 +a(g899 +V\u000a +p2557 +tp2558 +a(g899 +g978 +tp2559 +a(g899 +Vdefine WHILE 311 +p2560 +tp2561 +a(g899 +V\u000a +p2562 +tp2563 +a(g899 +g978 +tp2564 +a(g899 +Vdefine DO 312 +p2565 +tp2566 +a(g899 +V\u000a +p2567 +tp2568 +a(g899 +g978 +tp2569 +a(g899 +Vdefine FOR 313 +p2570 +tp2571 +a(g899 +V\u000a +p2572 +tp2573 +a(g899 +g978 +tp2574 +a(g899 +Vdefine GOTO 314 +p2575 +tp2576 +a(g899 +V\u000a +p2577 +tp2578 +a(g899 +g978 +tp2579 +a(g899 +Vdefine CONTINUE 315 +p2580 +tp2581 +a(g899 +V\u000a +p2582 +tp2583 +a(g899 +g978 +tp2584 +a(g899 +Vdefine BREAK 316 +p2585 +tp2586 +a(g899 +V\u000a +p2587 +tp2588 +a(g899 +g978 +tp2589 +a(g899 +Vdefine RETURN 317 +p2590 +tp2591 +a(g899 +V\u000a +p2592 +tp2593 +a(g899 +g978 +tp2594 +a(g899 +Vdefine IFX 318 +p2595 +tp2596 +a(g899 +V\u000a +p2597 +tp2598 +a(g899 +g978 +tp2599 +a(g899 +Vdefine ELSE 319 +p2600 +tp2601 +a(g899 +V\u000a +p2602 +tp2603 +a(g899 +g978 +tp2604 +a(g899 +Vdefine CLASS 320 +p2605 +tp2606 +a(g899 +V\u000a +p2607 +tp2608 +a(g899 +g978 +tp2609 +a(g899 +Vdefine THISCLASS 321 +p2610 +tp2611 +a(g899 +V\u000a +p2612 +tp2613 +a(g899 +g978 +tp2614 +a(g899 +Vdefine CLASS_NAME 322 +p2615 +tp2616 +a(g899 +V\u000a +p2617 +tp2618 +a(g899 +g978 +tp2619 +a(g899 +Vdefine PROPERTY 323 +p2620 +tp2621 +a(g899 +V\u000a +p2622 +tp2623 +a(g899 +g978 +tp2624 +a(g899 +Vdefine SETPROP 324 +p2625 +tp2626 +a(g899 +V\u000a +p2627 +tp2628 +a(g899 +g978 +tp2629 +a(g899 +Vdefine GETPROP 325 +p2630 +tp2631 +a(g899 +V\u000a +p2632 +tp2633 +a(g899 +g978 +tp2634 +a(g899 +Vdefine NEWOP 326 +p2635 +tp2636 +a(g899 +V\u000a +p2637 +tp2638 +a(g899 +g978 +tp2639 +a(g899 +Vdefine RENEW 327 +p2640 +tp2641 +a(g899 +V\u000a +p2642 +tp2643 +a(g899 +g978 +tp2644 +a(g899 +Vdefine DELETE 328 +p2645 +tp2646 +a(g899 +V\u000a +p2647 +tp2648 +a(g899 +g978 +tp2649 +a(g899 +Vdefine EXT_DECL 329 +p2650 +tp2651 +a(g899 +V\u000a +p2652 +tp2653 +a(g899 +g978 +tp2654 +a(g899 +Vdefine EXT_STORAGE 330 +p2655 +tp2656 +a(g899 +V\u000a +p2657 +tp2658 +a(g899 +g978 +tp2659 +a(g899 +Vdefine IMPORT 331 +p2660 +tp2661 +a(g899 +V\u000a +p2662 +tp2663 +a(g899 +g978 +tp2664 +a(g899 +Vdefine DEFINE 332 +p2665 +tp2666 +a(g899 +V\u000a +p2667 +tp2668 +a(g899 +g978 +tp2669 +a(g899 +Vdefine VIRTUAL 333 +p2670 +tp2671 +a(g899 +V\u000a +p2672 +tp2673 +a(g899 +g978 +tp2674 +a(g899 +Vdefine EXT_ATTRIB 334 +p2675 +tp2676 +a(g899 +V\u000a +p2677 +tp2678 +a(g899 +g978 +tp2679 +a(g899 +Vdefine PUBLIC 335 +p2680 +tp2681 +a(g899 +V\u000a +p2682 +tp2683 +a(g899 +g978 +tp2684 +a(g899 +Vdefine PRIVATE 336 +p2685 +tp2686 +a(g899 +V\u000a +p2687 +tp2688 +a(g899 +g978 +tp2689 +a(g899 +Vdefine TYPED_OBJECT 337 +p2690 +tp2691 +a(g899 +V\u000a +p2692 +tp2693 +a(g899 +g978 +tp2694 +a(g899 +Vdefine ANY_OBJECT 338 +p2695 +tp2696 +a(g899 +V\u000a +p2697 +tp2698 +a(g899 +g978 +tp2699 +a(g899 +Vdefine _INCREF 339 +p2700 +tp2701 +a(g899 +V\u000a +p2702 +tp2703 +a(g899 +g978 +tp2704 +a(g899 +Vdefine EXTENSION 340 +p2705 +tp2706 +a(g899 +V\u000a +p2707 +tp2708 +a(g899 +g978 +tp2709 +a(g899 +Vdefine ASM 341 +p2710 +tp2711 +a(g899 +V\u000a +p2712 +tp2713 +a(g899 +g978 +tp2714 +a(g899 +Vdefine TYPEOF 342 +p2715 +tp2716 +a(g899 +V\u000a +p2717 +tp2718 +a(g899 +g978 +tp2719 +a(g899 +Vdefine WATCH 343 +p2720 +tp2721 +a(g899 +V\u000a +p2722 +tp2723 +a(g899 +g978 +tp2724 +a(g899 +Vdefine STOPWATCHING 344 +p2725 +tp2726 +a(g899 +V\u000a +p2727 +tp2728 +a(g899 +g978 +tp2729 +a(g899 +Vdefine FIREWATCHERS 345 +p2730 +tp2731 +a(g899 +V\u000a +p2732 +tp2733 +a(g899 +g978 +tp2734 +a(g899 +Vdefine WATCHABLE 346 +p2735 +tp2736 +a(g899 +V\u000a +p2737 +tp2738 +a(g899 +g978 +tp2739 +a(g899 +Vdefine CLASS_DESIGNER 347 +p2740 +tp2741 +a(g899 +V\u000a +p2742 +tp2743 +a(g899 +g978 +tp2744 +a(g899 +Vdefine CLASS_NO_EXPANSION 348 +p2745 +tp2746 +a(g899 +V\u000a +p2747 +tp2748 +a(g899 +g978 +tp2749 +a(g899 +Vdefine CLASS_FIXED 349 +p2750 +tp2751 +a(g899 +V\u000a +p2752 +tp2753 +a(g899 +g978 +tp2754 +a(g899 +Vdefine ISPROPSET 350 +p2755 +tp2756 +a(g899 +V\u000a +p2757 +tp2758 +a(g899 +g978 +tp2759 +a(g899 +Vdefine CLASS_DEFAULT_PROPERTY 351 +p2760 +tp2761 +a(g899 +V\u000a +p2762 +tp2763 +a(g899 +g978 +tp2764 +a(g899 +Vdefine PROPERTY_CATEGORY 352 +p2765 +tp2766 +a(g899 +V\u000a +p2767 +tp2768 +a(g899 +g978 +tp2769 +a(g899 +Vdefine CLASS_DATA 353 +p2770 +tp2771 +a(g899 +V\u000a +p2772 +tp2773 +a(g899 +g978 +tp2774 +a(g899 +Vdefine CLASS_PROPERTY 354 +p2775 +tp2776 +a(g899 +V\u000a +p2777 +tp2778 +a(g899 +g978 +tp2779 +a(g899 +Vdefine SUBCLASS 355 +p2780 +tp2781 +a(g899 +V\u000a +p2782 +tp2783 +a(g899 +g978 +tp2784 +a(g899 +Vdefine NAMESPACE 356 +p2785 +tp2786 +a(g899 +V\u000a +p2787 +tp2788 +a(g899 +g978 +tp2789 +a(g899 +Vdefine NEW0OP 357 +p2790 +tp2791 +a(g899 +V\u000a +p2792 +tp2793 +a(g899 +g978 +tp2794 +a(g899 +Vdefine RENEW0 358 +p2795 +tp2796 +a(g899 +V\u000a +p2797 +tp2798 +a(g899 +g978 +tp2799 +a(g899 +Vdefine VAARG 359 +p2800 +tp2801 +a(g899 +V\u000a +p2802 +tp2803 +a(g899 +g978 +tp2804 +a(g899 +Vdefine DBTABLE 360 +p2805 +tp2806 +a(g899 +V\u000a +p2807 +tp2808 +a(g899 +g978 +tp2809 +a(g899 +Vdefine DBFIELD 361 +p2810 +tp2811 +a(g899 +V\u000a +p2812 +tp2813 +a(g899 +g978 +tp2814 +a(g899 +Vdefine DBINDEX 362 +p2815 +tp2816 +a(g899 +V\u000a +p2817 +tp2818 +a(g899 +g978 +tp2819 +a(g899 +Vdefine DATABASE_OPEN 363 +p2820 +tp2821 +a(g899 +V\u000a +p2822 +tp2823 +a(g827 +V\u000a +p2824 +tp2825 +a(g827 +V\u000a +p2826 +tp2827 +a(g827 +V\u000a +p2828 +tp2829 +a(g827 +V\u000a +p2830 +tp2831 +a(g899 +g978 +tp2832 +a(g899 +Vif ! defined (YYSTYPE) && ! defined (YYSTYPE_IS_DECLARED) +p2833 +tp2834 +a(g899 +V\u000a +p2835 +tp2836 +a(g899 +g978 +tp2837 +a(g899 +Vline 42 "grammar.y" +p2838 +tp2839 +a(g899 +V\u000a +p2840 +tp2841 +a(g745 +Vtypedef +p2842 +tp2843 +a(g827 +g999 +tp2844 +a(g745 +Vunion +p2845 +tp2846 +a(g827 +g999 +tp2847 +a(g436 +VYYSTYPE +p2848 +tp2849 +a(g827 +g999 +tp2850 +a(g706 +g1004 +tp2851 +a(g827 +V\u000a +p2852 +tp2853 +a(g827 +V +p2854 +tp2855 +a(g436 +VSpecifierType +p2856 +tp2857 +a(g827 +g999 +tp2858 +a(g436 +VspecifierType +p2859 +tp2860 +a(g706 +g2285 +tp2861 +a(g827 +V\u000a +p2862 +tp2863 +a(g827 +V +p2864 +tp2865 +a(g749 +Vint +p2866 +tp2867 +a(g827 +g999 +tp2868 +a(g436 +Vi +p2869 +tp2870 +a(g706 +g2285 +tp2871 +a(g827 +V\u000a +p2872 +tp2873 +a(g827 +V +p2874 +tp2875 +a(g436 +VAccessMode +p2876 +tp2877 +a(g827 +g999 +tp2878 +a(g436 +VdeclMode +p2879 +tp2880 +a(g706 +g2285 +tp2881 +a(g827 +V\u000a +p2882 +tp2883 +a(g827 +V +p2884 +tp2885 +a(g436 +VIdentifier +p2886 +tp2887 +a(g827 +g999 +tp2888 +a(g436 +Vid +p2889 +tp2890 +a(g706 +g2285 +tp2891 +a(g827 +V\u000a +p2892 +tp2893 +a(g827 +V +p2894 +tp2895 +a(g436 +VExpression +p2896 +tp2897 +a(g827 +g999 +tp2898 +a(g436 +Vexp +p2899 +tp2900 +a(g706 +g2285 +tp2901 +a(g827 +V\u000a +p2902 +tp2903 +a(g827 +V +p2904 +tp2905 +a(g436 +VSpecifier +p2906 +tp2907 +a(g827 +g999 +tp2908 +a(g436 +Vspecifier +p2909 +tp2910 +a(g706 +g2285 +tp2911 +a(g827 +V\u000a +p2912 +tp2913 +a(g827 +V +p2914 +tp2915 +a(g436 +VOldList +p2916 +tp2917 +a(g827 +g999 +tp2918 +a(g413 +V* +p2919 +tp2920 +a(g827 +g999 +tp2921 +a(g436 +Vlist +p2922 +tp2923 +a(g706 +g2285 +tp2924 +a(g827 +V\u000a +p2925 +tp2926 +a(g827 +V +p2927 +tp2928 +a(g436 +VEnumerator +p2929 +tp2930 +a(g827 +g999 +tp2931 +a(g436 +Venumerator +p2932 +tp2933 +a(g706 +g2285 +tp2934 +a(g827 +V\u000a +p2935 +tp2936 +a(g827 +V +p2937 +tp2938 +a(g436 +VDeclarator +p2939 +tp2940 +a(g827 +g999 +tp2941 +a(g436 +Vdeclarator +p2942 +tp2943 +a(g706 +g2285 +tp2944 +a(g827 +V\u000a +p2945 +tp2946 +a(g827 +V +p2947 +tp2948 +a(g436 +VPointer +p2949 +tp2950 +a(g827 +g999 +tp2951 +a(g436 +Vpointer +p2952 +tp2953 +a(g706 +g2285 +tp2954 +a(g827 +V\u000a +p2955 +tp2956 +a(g827 +V +p2957 +tp2958 +a(g436 +VInitializer +p2959 +tp2960 +a(g827 +g999 +tp2961 +a(g436 +Vinitializer +p2962 +tp2963 +a(g706 +g2285 +tp2964 +a(g827 +V\u000a +p2965 +tp2966 +a(g827 +V +p2967 +tp2968 +a(g436 +VInitDeclarator +p2969 +tp2970 +a(g827 +g999 +tp2971 +a(g436 +VinitDeclarator +p2972 +tp2973 +a(g706 +g2285 +tp2974 +a(g827 +V\u000a +p2975 +tp2976 +a(g827 +V +p2977 +tp2978 +a(g436 +VTypeName +p2979 +tp2980 +a(g827 +g999 +tp2981 +a(g436 +VtypeName +p2982 +tp2983 +a(g706 +g2285 +tp2984 +a(g827 +V\u000a +p2985 +tp2986 +a(g827 +V +p2987 +tp2988 +a(g436 +VDeclaration +p2989 +tp2990 +a(g827 +g999 +tp2991 +a(g436 +Vdeclaration +p2992 +tp2993 +a(g706 +g2285 +tp2994 +a(g827 +V\u000a +p2995 +tp2996 +a(g827 +V +p2997 +tp2998 +a(g436 +VStatement +p2999 +tp3000 +a(g827 +g999 +tp3001 +a(g436 +Vstmt +p3002 +tp3003 +a(g706 +g2285 +tp3004 +a(g827 +V\u000a +p3005 +tp3006 +a(g827 +V +p3007 +tp3008 +a(g436 +VFunctionDefinition +p3009 +tp3010 +a(g827 +g999 +tp3011 +a(g436 +Vfunction +p3012 +tp3013 +a(g706 +g2285 +tp3014 +a(g827 +V\u000a +p3015 +tp3016 +a(g827 +V +p3017 +tp3018 +a(g436 +VExternal +p3019 +tp3020 +a(g827 +g999 +tp3021 +a(g436 +Vexternal +p3022 +tp3023 +a(g706 +g2285 +tp3024 +a(g827 +V\u000a +p3025 +tp3026 +a(g827 +V +p3027 +tp3028 +a(g436 +VContext +p3029 +tp3030 +a(g827 +g999 +tp3031 +a(g436 +Vcontext +p3032 +tp3033 +a(g706 +g2285 +tp3034 +a(g827 +V\u000a +p3035 +tp3036 +a(g827 +V +p3037 +tp3038 +a(g436 +VAsmField +p3039 +tp3040 +a(g827 +g999 +tp3041 +a(g436 +VasmField +p3042 +tp3043 +a(g706 +g2285 +tp3044 +a(g827 +V\u000a +p3045 +tp3046 +a(g827 +V\u000a +p3047 +tp3048 +a(g827 +V +p3049 +tp3050 +a(g436 +VInstantiation +p3051 +tp3052 +a(g827 +g999 +tp3053 +a(g436 +Vinstance +p3054 +tp3055 +a(g706 +g2285 +tp3056 +a(g827 +V\u000a +p3057 +tp3058 +a(g827 +V +p3059 +tp3060 +a(g436 +VMembersInit +p3061 +tp3062 +a(g827 +g999 +tp3063 +a(g436 +VmembersInit +p3064 +tp3065 +a(g706 +g2285 +tp3066 +a(g827 +V\u000a +p3067 +tp3068 +a(g827 +V +p3069 +tp3070 +a(g436 +VMemberInit +p3071 +tp3072 +a(g827 +g999 +tp3073 +a(g436 +VmemberInit +p3074 +tp3075 +a(g706 +g2285 +tp3076 +a(g827 +V\u000a +p3077 +tp3078 +a(g827 +V +p3079 +tp3080 +a(g436 +VClassFunction +p3081 +tp3082 +a(g827 +g999 +tp3083 +a(g436 +VclassFunction +p3084 +tp3085 +a(g706 +g2285 +tp3086 +a(g827 +V\u000a +p3087 +tp3088 +a(g827 +V +p3089 +tp3090 +a(g436 +VClassDefinition +p3091 +tp3092 +a(g827 +g999 +tp3093 +a(g436 +V_class +p3094 +tp3095 +a(g706 +g2285 +tp3096 +a(g827 +V\u000a +p3097 +tp3098 +a(g827 +V +p3099 +tp3100 +a(g436 +VClassDef +p3101 +tp3102 +a(g827 +g999 +tp3103 +a(g436 +VclassDef +p3104 +tp3105 +a(g706 +g2285 +tp3106 +a(g827 +V\u000a +p3107 +tp3108 +a(g827 +V +p3109 +tp3110 +a(g436 +VPropertyDef +p3111 +tp3112 +a(g827 +g999 +tp3113 +a(g436 +Vprop +p3114 +tp3115 +a(g706 +g2285 +tp3116 +a(g827 +V\u000a +p3117 +tp3118 +a(g827 +V +p3119 +tp3120 +a(g749 +Vchar +p3121 +tp3122 +a(g827 +g999 +tp3123 +a(g413 +g2919 +tp3124 +a(g827 +g999 +tp3125 +a(g436 +Vstring +p3126 +tp3127 +a(g706 +g2285 +tp3128 +a(g827 +V\u000a +p3129 +tp3130 +a(g827 +V +p3131 +tp3132 +a(g436 +VSymbol +p3133 +tp3134 +a(g827 +g999 +tp3135 +a(g436 +Vsymbol +p3136 +tp3137 +a(g706 +g2285 +tp3138 +a(g827 +V\u000a +p3139 +tp3140 +a(g827 +V +p3141 +tp3142 +a(g436 +VPropertyWatch +p3143 +tp3144 +a(g827 +g999 +tp3145 +a(g436 +VpropertyWatch +p3146 +tp3147 +a(g706 +g2285 +tp3148 +a(g827 +V\u000a +p3149 +tp3150 +a(g827 +V +p3151 +tp3152 +a(g436 +VTemplateParameter +p3153 +tp3154 +a(g827 +g999 +tp3155 +a(g436 +VtemplateParameter +p3156 +tp3157 +a(g706 +g2285 +tp3158 +a(g827 +V\u000a +p3159 +tp3160 +a(g827 +V +p3161 +tp3162 +a(g436 +VTemplateArgument +p3163 +tp3164 +a(g827 +g999 +tp3165 +a(g436 +VtemplateArgument +p3166 +tp3167 +a(g706 +g2285 +tp3168 +a(g827 +V\u000a +p3169 +tp3170 +a(g827 +V +p3171 +tp3172 +a(g436 +VTemplateDatatype +p3173 +tp3174 +a(g827 +g999 +tp3175 +a(g436 +VtemplateDatatype +p3176 +tp3177 +a(g706 +g2285 +tp3178 +a(g827 +V\u000a +p3179 +tp3180 +a(g827 +V \u000a +p3181 +tp3182 +a(g436 +VDBTableEntry +p3183 +tp3184 +a(g827 +g999 +tp3185 +a(g436 +VdbtableEntry +p3186 +tp3187 +a(g706 +g2285 +tp3188 +a(g827 +V\u000a +p3189 +tp3190 +a(g827 +V +p3191 +tp3192 +a(g436 +VDBIndexItem +p3193 +tp3194 +a(g827 +g999 +tp3195 +a(g436 +VdbindexItem +p3196 +tp3197 +a(g706 +g2285 +tp3198 +a(g827 +V\u000a +p3199 +tp3200 +a(g827 +V +p3201 +tp3202 +a(g436 +VDBTableDef +p3203 +tp3204 +a(g827 +g999 +tp3205 +a(g436 +VdbtableDef +p3206 +tp3207 +a(g706 +g2285 +tp3208 +a(g827 +V\u000a +p3209 +tp3210 +a(g706 +g2283 +tp3211 +a(g827 +g999 +tp3212 +a(g436 +VYYSTYPE +p3213 +tp3214 +a(g706 +g2285 +tp3215 +a(g827 +V\u000a +p3216 +tp3217 +a(g8 +V/* Line 1318 of yacc.c. */ +p3218 +tp3219 +a(g827 +V\u000a +p3220 +tp3221 +a(g899 +g978 +tp3222 +a(g899 +Vline 293 "grammar.eh" +p3223 +tp3224 +a(g899 +V\u000a +p3225 +tp3226 +a(g899 +g978 +tp3227 +a(g899 +V define yystype YYSTYPE +p3228 +tp3229 +a(g8 +V/* obsolescent; will be withdrawn */ +p3230 +tp3231 +a(g899 +V\u000a +p3232 +tp3233 +a(g899 +g978 +tp3234 +a(g899 +V define YYSTYPE_IS_DECLARED 1 +p3235 +tp3236 +a(g899 +V\u000a +p3237 +tp3238 +a(g899 +g978 +tp3239 +a(g899 +V define YYSTYPE_IS_TRIVIAL 1 +p3240 +tp3241 +a(g899 +V\u000a +p3242 +tp3243 +a(g899 +g978 +tp3244 +a(g899 +Vendif +p3245 +tp3246 +a(g899 +V\u000a +p3247 +tp3248 +a(g827 +V\u000a +p3249 +tp3250 +a(g745 +Vextern +p3251 +tp3252 +a(g827 +g999 +tp3253 +a(g436 +VYYSTYPE +p3254 +tp3255 +a(g827 +g999 +tp3256 +a(g436 +Vyylval +p3257 +tp3258 +a(g706 +g2285 +tp3259 +a(g827 +V\u000a +p3260 +tp3261 +a(g827 +V\u000a +p3262 +tp3263 +a(g899 +g978 +tp3264 +a(g899 +Vif ! defined (YYLTYPE) && ! defined (YYLTYPE_IS_DECLARED) +p3265 +tp3266 +a(g899 +V\u000a +p3267 +tp3268 +a(g745 +Vtypedef +p3269 +tp3270 +a(g827 +g999 +tp3271 +a(g745 +Vstruct +p3272 +tp3273 +a(g827 +g999 +tp3274 +a(g436 +VYYLTYPE +p3275 +tp3276 +a(g827 +V\u000a +p3277 +tp3278 +a(g706 +g1004 +tp3279 +a(g827 +V\u000a +p3280 +tp3281 +a(g827 +V +p3282 +tp3283 +a(g749 +Vint +p3284 +tp3285 +a(g827 +g999 +tp3286 +a(g436 +Vfirst_line +p3287 +tp3288 +a(g706 +g2285 +tp3289 +a(g827 +V\u000a +p3290 +tp3291 +a(g827 +V +p3292 +tp3293 +a(g749 +Vint +p3294 +tp3295 +a(g827 +g999 +tp3296 +a(g436 +Vfirst_column +p3297 +tp3298 +a(g706 +g2285 +tp3299 +a(g827 +V\u000a +p3300 +tp3301 +a(g827 +V +p3302 +tp3303 +a(g749 +Vint +p3304 +tp3305 +a(g827 +g999 +tp3306 +a(g436 +Vlast_line +p3307 +tp3308 +a(g706 +g2285 +tp3309 +a(g827 +V\u000a +p3310 +tp3311 +a(g827 +V +p3312 +tp3313 +a(g749 +Vint +p3314 +tp3315 +a(g827 +g999 +tp3316 +a(g436 +Vlast_column +p3317 +tp3318 +a(g706 +g2285 +tp3319 +a(g827 +V\u000a +p3320 +tp3321 +a(g706 +g2283 +tp3322 +a(g827 +g999 +tp3323 +a(g436 +VYYLTYPE +p3324 +tp3325 +a(g706 +g2285 +tp3326 +a(g827 +V\u000a +p3327 +tp3328 +a(g899 +g978 +tp3329 +a(g899 +V define yyltype YYLTYPE +p3330 +tp3331 +a(g8 +V/* obsolescent; will be withdrawn */ +p3332 +tp3333 +a(g899 +V\u000a +p3334 +tp3335 +a(g899 +g978 +tp3336 +a(g899 +V define YYLTYPE_IS_DECLARED 1 +p3337 +tp3338 +a(g899 +V\u000a +p3339 +tp3340 +a(g899 +g978 +tp3341 +a(g899 +V define YYLTYPE_IS_TRIVIAL 1 +p3342 +tp3343 +a(g899 +V\u000a +p3344 +tp3345 +a(g899 +g978 +tp3346 +a(g899 +Vendif +p3347 +tp3348 +a(g899 +V\u000a +p3349 +tp3350 +a(g827 +V\u000a +p3351 +tp3352 +a(g745 +Vextern +p3353 +tp3354 +a(g827 +g999 +tp3355 +a(g436 +VYYLTYPE +p3356 +tp3357 +a(g827 +g999 +tp3358 +a(g436 +Vyylloc +p3359 +tp3360 +a(g706 +g2285 +tp3361 +a(g827 +V\u000a +p3362 +tp3363 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.erl b/tests/examplefiles/output/test.erl new file mode 100644 index 0000000..1d9582a --- /dev/null +++ b/tests/examplefiles/output/test.erl @@ -0,0 +1,7003 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV- +p956 +tp957 +a(g491 +Vmodule +p958 +tp959 +a(g7 +V( +p960 +tp961 +a(g423 +Vtest +p962 +tp963 +a(g7 +V) +p964 +tp965 +a(g7 +V. +p966 +tp967 +a(g892 +V\u000a +p968 +tp969 +a(g7 +g956 +tp970 +a(g491 +Vexport +p971 +tp972 +a(g7 +g960 +tp973 +a(g7 +V[ +p974 +tp975 +a(g423 +Vlisten +p976 +tp977 +a(g400 +V/ +p978 +tp979 +a(g22 +V1 +p980 +tp981 +a(g7 +V, +p982 +tp983 +a(g892 +V\u000a +p984 +tp985 +a(g423 +Vhandle_client +p986 +tp987 +a(g400 +g978 +tp988 +a(g22 +g980 +tp989 +a(g7 +g982 +tp990 +a(g892 +V\u000a +p991 +tp992 +a(g423 +Vmaintain_clients +p993 +tp994 +a(g400 +g978 +tp995 +a(g22 +g980 +tp996 +a(g7 +g982 +tp997 +a(g892 +V\u000a +p998 +tp999 +a(g423 +Vstart +p1000 +tp1001 +a(g400 +g978 +tp1002 +a(g22 +g980 +tp1003 +a(g7 +g982 +tp1004 +a(g892 +V\u000a +p1005 +tp1006 +a(g423 +Vstop +p1007 +tp1008 +a(g400 +g978 +tp1009 +a(g22 +V0 +p1010 +tp1011 +a(g7 +g982 +tp1012 +a(g892 +V\u000a +p1013 +tp1014 +a(g423 +Vcontroller +p1015 +tp1016 +a(g400 +g978 +tp1017 +a(g22 +g980 +tp1018 +a(g7 +V] +p1019 +tp1020 +a(g7 +g964 +tp1021 +a(g7 +g966 +tp1022 +a(g892 +V\u000a\u000a +p1023 +tp1024 +a(g7 +g956 +tp1025 +a(g491 +Vauthor +p1026 +tp1027 +a(g7 +g960 +tp1028 +a(g76 +V" +p1029 +tp1030 +a(g76 +Vjerith +p1031 +tp1032 +a(g76 +g1029 +tp1033 +a(g7 +g964 +tp1034 +a(g7 +g966 +tp1035 +a(g892 +V\u000a\u000a +p1036 +tp1037 +a(g7 +g956 +tp1038 +a(g491 +Vdefine +p1039 +tp1040 +a(g7 +g960 +tp1041 +a(g553 +VTCP_OPTIONS +p1042 +tp1043 +a(g7 +g982 +tp1044 +a(g7 +g974 +tp1045 +a(g423 +Vlist +p1046 +tp1047 +a(g7 +g982 +tp1048 +a(g892 +V +p1049 +tp1050 +a(g7 +V{ +p1051 +tp1052 +a(g423 +Vpacket +p1053 +tp1054 +a(g7 +g982 +tp1055 +a(g892 +g1049 +tp1056 +a(g22 +g1010 +tp1057 +a(g7 +V} +p1058 +tp1059 +a(g7 +g982 +tp1060 +a(g892 +g1049 +tp1061 +a(g7 +g1051 +tp1062 +a(g423 +Vactive +p1063 +tp1064 +a(g7 +g982 +tp1065 +a(g892 +g1049 +tp1066 +a(g423 +Vfalse +p1067 +tp1068 +a(g7 +g1058 +tp1069 +a(g7 +g982 +tp1070 +a(g892 +g1049 +tp1071 +a(g7 +g1051 +tp1072 +a(g423 +Vreuseaddr +p1073 +tp1074 +a(g7 +g982 +tp1075 +a(g892 +g1049 +tp1076 +a(g423 +Vtrue +p1077 +tp1078 +a(g7 +g1058 +tp1079 +a(g7 +g1019 +tp1080 +a(g7 +g964 +tp1081 +a(g7 +g966 +tp1082 +a(g892 +V\u000a\u000a +p1083 +tp1084 +a(g7 +g956 +tp1085 +a(g491 +Vrecord +p1086 +tp1087 +a(g7 +g960 +tp1088 +a(g584 +Vplayer +p1089 +tp1090 +a(g7 +g982 +tp1091 +a(g892 +g1049 +tp1092 +a(g7 +g1051 +tp1093 +a(g423 +Vname +p1094 +tp1095 +a(g400 +V= +p1096 +tp1097 +a(g423 +Vnone +p1098 +tp1099 +a(g7 +g982 +tp1100 +a(g892 +g1049 +tp1101 +a(g423 +Vsocket +p1102 +tp1103 +a(g7 +g982 +tp1104 +a(g892 +g1049 +tp1105 +a(g423 +Vmode +p1106 +tp1107 +a(g7 +g1058 +tp1108 +a(g7 +g964 +tp1109 +a(g7 +g966 +tp1110 +a(g892 +V\u000a\u000a +p1111 +tp1112 +a(g693 +V%% To allow incoming connections, we need to listen on a TCP port.\u000a +p1113 +tp1114 +a(g693 +V%% This is also the entry point for our server as a whole, so it\u000a +p1115 +tp1116 +a(g693 +V%% starts the client_manager process and gives it a name so the rest\u000a +p1117 +tp1118 +a(g693 +V%% of the code can get to it easily.\u000a +p1119 +tp1120 +a(g892 +V\u000a +p1121 +tp1122 +a(g561 +Vlisten +p1123 +tp1124 +a(g7 +g960 +tp1125 +a(g428 +VPort +p1126 +tp1127 +a(g7 +g964 +tp1128 +a(g892 +g1049 +tp1129 +a(g400 +g956 +tp1130 +a(g400 +V> +p1131 +tp1132 +a(g892 +V\u000a +p1133 +tp1134 +a(g7 +g1051 +tp1135 +a(g423 +Vok +p1136 +tp1137 +a(g7 +g982 +tp1138 +a(g892 +g1049 +tp1139 +a(g428 +VLSocket +p1140 +tp1141 +a(g7 +g1058 +tp1142 +a(g892 +g1049 +tp1143 +a(g400 +g1096 +tp1144 +a(g892 +g1049 +tp1145 +a(g608 +Vgen_tcp +p1146 +tp1147 +a(g7 +V: +p1148 +tp1149 +a(g561 +Vlisten +p1150 +tp1151 +a(g7 +g960 +tp1152 +a(g428 +VPort +p1153 +tp1154 +a(g7 +g982 +tp1155 +a(g892 +g1049 +tp1156 +a(g400 +V? +p1157 +tp1158 +a(g428 +VTCP_OPTIONS +p1159 +tp1160 +a(g7 +g964 +tp1161 +a(g7 +g982 +tp1162 +a(g892 +V\u000a +p1163 +tp1164 +a(g669 +Vregister +p1165 +tp1166 +a(g7 +g960 +tp1167 +a(g423 +Vclient_manager +p1168 +tp1169 +a(g7 +g982 +tp1170 +a(g892 +g1049 +tp1171 +a(g669 +Vspawn +p1172 +tp1173 +a(g7 +g960 +tp1174 +a(g400 +g1157 +tp1175 +a(g428 +VMODULE +p1176 +tp1177 +a(g7 +g982 +tp1178 +a(g892 +g1049 +tp1179 +a(g423 +Vmaintain_clients +p1180 +tp1181 +a(g7 +g982 +tp1182 +a(g892 +g1049 +tp1183 +a(g7 +g974 +tp1184 +a(g7 +g974 +tp1185 +a(g7 +g1019 +tp1186 +a(g7 +g1019 +tp1187 +a(g7 +g964 +tp1188 +a(g7 +g964 +tp1189 +a(g7 +g982 +tp1190 +a(g892 +V\u000a +p1191 +tp1192 +a(g423 +Vdo_accept +p1193 +tp1194 +a(g7 +g960 +tp1195 +a(g428 +VLSocket +p1196 +tp1197 +a(g7 +g964 +tp1198 +a(g7 +g966 +tp1199 +a(g892 +V\u000a\u000a +p1200 +tp1201 +a(g693 +V%% Accepting a connection gives us a connection socket with the\u000a +p1202 +tp1203 +a(g693 +V%% newly-connected client on the other end. Since we want to accept\u000a +p1204 +tp1205 +a(g693 +V%% more than one client, we spawn a new process for each and then wait\u000a +p1206 +tp1207 +a(g693 +V%% for another connection on our listening socket.\u000a +p1208 +tp1209 +a(g892 +V\u000a +p1210 +tp1211 +a(g561 +Vdo_accept +p1212 +tp1213 +a(g7 +g960 +tp1214 +a(g428 +VLSocket +p1215 +tp1216 +a(g7 +g964 +tp1217 +a(g892 +g1049 +tp1218 +a(g400 +g956 +tp1219 +a(g400 +g1131 +tp1220 +a(g892 +V\u000a +p1221 +tp1222 +a(g810 +Vcase +p1223 +tp1224 +a(g892 +g1049 +tp1225 +a(g608 +Vgen_tcp +p1226 +tp1227 +a(g7 +g1148 +tp1228 +a(g561 +Vaccept +p1229 +tp1230 +a(g7 +g960 +tp1231 +a(g428 +VLSocket +p1232 +tp1233 +a(g7 +g964 +tp1234 +a(g892 +g1049 +tp1235 +a(g810 +Vof +p1236 +tp1237 +a(g892 +V\u000a +p1238 +tp1239 +a(g7 +g1051 +tp1240 +a(g423 +Vok +p1241 +tp1242 +a(g7 +g982 +tp1243 +a(g892 +g1049 +tp1244 +a(g428 +VSocket +p1245 +tp1246 +a(g7 +g1058 +tp1247 +a(g892 +g1049 +tp1248 +a(g400 +g956 +tp1249 +a(g400 +g1131 +tp1250 +a(g892 +V\u000a +p1251 +tp1252 +a(g669 +Vspawn +p1253 +tp1254 +a(g7 +g960 +tp1255 +a(g400 +g1157 +tp1256 +a(g428 +VMODULE +p1257 +tp1258 +a(g7 +g982 +tp1259 +a(g892 +g1049 +tp1260 +a(g423 +Vhandle_client +p1261 +tp1262 +a(g7 +g982 +tp1263 +a(g892 +g1049 +tp1264 +a(g7 +g974 +tp1265 +a(g428 +VSocket +p1266 +tp1267 +a(g7 +g1019 +tp1268 +a(g7 +g964 +tp1269 +a(g7 +g982 +tp1270 +a(g892 +V\u000a +p1271 +tp1272 +a(g423 +Vclient_manager +p1273 +tp1274 +a(g892 +g1049 +tp1275 +a(g400 +V! +p1276 +tp1277 +a(g892 +g1049 +tp1278 +a(g7 +g1051 +tp1279 +a(g423 +Vconnect +p1280 +tp1281 +a(g7 +g982 +tp1282 +a(g892 +g1049 +tp1283 +a(g428 +VSocket +p1284 +tp1285 +a(g7 +g1058 +tp1286 +a(g7 +V; +p1287 +tp1288 +a(g892 +V\u000a +p1289 +tp1290 +a(g7 +g1051 +tp1291 +a(g423 +Verror +p1292 +tp1293 +a(g7 +g982 +tp1294 +a(g892 +g1049 +tp1295 +a(g428 +VReason +p1296 +tp1297 +a(g7 +g1058 +tp1298 +a(g892 +g1049 +tp1299 +a(g400 +g956 +tp1300 +a(g400 +g1131 +tp1301 +a(g892 +V\u000a +p1302 +tp1303 +a(g608 +Vio +p1304 +tp1305 +a(g7 +g1148 +tp1306 +a(g561 +Vformat +p1307 +tp1308 +a(g7 +g960 +tp1309 +a(g76 +g1029 +tp1310 +a(g76 +VSocket accept error: +p1311 +tp1312 +a(g112 +V~s +p1313 +tp1314 +a(g112 +V~n +p1315 +tp1316 +a(g76 +g1029 +tp1317 +a(g7 +g982 +tp1318 +a(g892 +g1049 +tp1319 +a(g7 +g974 +tp1320 +a(g428 +VReason +p1321 +tp1322 +a(g7 +g1019 +tp1323 +a(g7 +g964 +tp1324 +a(g892 +V\u000a +p1325 +tp1326 +a(g810 +Vend +p1327 +tp1328 +a(g7 +g982 +tp1329 +a(g892 +V\u000a +p1330 +tp1331 +a(g423 +Vdo_accept +p1332 +tp1333 +a(g7 +g960 +tp1334 +a(g428 +VLSocket +p1335 +tp1336 +a(g7 +g964 +tp1337 +a(g7 +g966 +tp1338 +a(g892 +V\u000a\u000a +p1339 +tp1340 +a(g693 +V%% All the client-socket process needs to do is wait for data and\u000a +p1341 +tp1342 +a(g693 +V%% forward it to the client_manager process which decides what to do\u000a +p1343 +tp1344 +a(g693 +V%% with it. If the client disconnects, we let client_manager know and\u000a +p1345 +tp1346 +a(g693 +V%% then quietly go away.\u000a +p1347 +tp1348 +a(g892 +V\u000a +p1349 +tp1350 +a(g561 +Vhandle_client +p1351 +tp1352 +a(g7 +g960 +tp1353 +a(g428 +VSocket +p1354 +tp1355 +a(g7 +g964 +tp1356 +a(g892 +g1049 +tp1357 +a(g400 +g956 +tp1358 +a(g400 +g1131 +tp1359 +a(g892 +V\u000a +p1360 +tp1361 +a(g810 +Vcase +p1362 +tp1363 +a(g892 +g1049 +tp1364 +a(g608 +Vgen_tcp +p1365 +tp1366 +a(g7 +g1148 +tp1367 +a(g561 +Vrecv +p1368 +tp1369 +a(g7 +g960 +tp1370 +a(g428 +VSocket +p1371 +tp1372 +a(g7 +g982 +tp1373 +a(g892 +g1049 +tp1374 +a(g22 +g1010 +tp1375 +a(g7 +g964 +tp1376 +a(g892 +g1049 +tp1377 +a(g810 +Vof +p1378 +tp1379 +a(g892 +V\u000a +p1380 +tp1381 +a(g7 +g1051 +tp1382 +a(g423 +Vok +p1383 +tp1384 +a(g7 +g982 +tp1385 +a(g892 +g1049 +tp1386 +a(g428 +VData +p1387 +tp1388 +a(g7 +g1058 +tp1389 +a(g892 +g1049 +tp1390 +a(g400 +g956 +tp1391 +a(g400 +g1131 +tp1392 +a(g892 +V\u000a +p1393 +tp1394 +a(g423 +Vclient_manager +p1395 +tp1396 +a(g892 +g1049 +tp1397 +a(g400 +g1276 +tp1398 +a(g892 +g1049 +tp1399 +a(g7 +g1051 +tp1400 +a(g423 +Vdata +p1401 +tp1402 +a(g7 +g982 +tp1403 +a(g892 +g1049 +tp1404 +a(g428 +VSocket +p1405 +tp1406 +a(g7 +g982 +tp1407 +a(g892 +g1049 +tp1408 +a(g428 +VData +p1409 +tp1410 +a(g7 +g1058 +tp1411 +a(g7 +g982 +tp1412 +a(g892 +V\u000a +p1413 +tp1414 +a(g423 +Vhandle_client +p1415 +tp1416 +a(g7 +g960 +tp1417 +a(g428 +VSocket +p1418 +tp1419 +a(g7 +g964 +tp1420 +a(g7 +g1287 +tp1421 +a(g892 +V\u000a +p1422 +tp1423 +a(g7 +g1051 +tp1424 +a(g423 +Verror +p1425 +tp1426 +a(g7 +g982 +tp1427 +a(g892 +g1049 +tp1428 +a(g423 +Vclosed +p1429 +tp1430 +a(g7 +g1058 +tp1431 +a(g892 +g1049 +tp1432 +a(g400 +g956 +tp1433 +a(g400 +g1131 +tp1434 +a(g892 +V\u000a +p1435 +tp1436 +a(g423 +Vclient_manager +p1437 +tp1438 +a(g892 +g1049 +tp1439 +a(g400 +g1276 +tp1440 +a(g892 +g1049 +tp1441 +a(g7 +g1051 +tp1442 +a(g423 +Vdisconnect +p1443 +tp1444 +a(g7 +g982 +tp1445 +a(g892 +g1049 +tp1446 +a(g428 +VSocket +p1447 +tp1448 +a(g7 +g1058 +tp1449 +a(g892 +V\u000a +p1450 +tp1451 +a(g810 +Vend +p1452 +tp1453 +a(g7 +g966 +tp1454 +a(g892 +V\u000a\u000a +p1455 +tp1456 +a(g693 +V%% This is the main loop of the client_manager process. It maintains\u000a +p1457 +tp1458 +a(g693 +V%% the list of "players" and calls the handler for client input.\u000a +p1459 +tp1460 +a(g892 +V\u000a +p1461 +tp1462 +a(g561 +Vmaintain_clients +p1463 +tp1464 +a(g7 +g960 +tp1465 +a(g428 +VPlayers +p1466 +tp1467 +a(g7 +g964 +tp1468 +a(g892 +g1049 +tp1469 +a(g400 +g956 +tp1470 +a(g400 +g1131 +tp1471 +a(g892 +V\u000a +p1472 +tp1473 +a(g608 +Vio +p1474 +tp1475 +a(g7 +g1148 +tp1476 +a(g561 +Vformat +p1477 +tp1478 +a(g7 +g960 +tp1479 +a(g76 +g1029 +tp1480 +a(g76 +VPlayers: +p1481 +tp1482 +a(g112 +V~n +p1483 +tp1484 +a(g76 +g1029 +tp1485 +a(g7 +g982 +tp1486 +a(g892 +g1049 +tp1487 +a(g7 +g974 +tp1488 +a(g7 +g1019 +tp1489 +a(g7 +g964 +tp1490 +a(g7 +g982 +tp1491 +a(g892 +V\u000a +p1492 +tp1493 +a(g608 +Vlists +p1494 +tp1495 +a(g7 +g1148 +tp1496 +a(g561 +Vforeach +p1497 +tp1498 +a(g7 +g960 +tp1499 +a(g810 +Vfun +p1500 +tp1501 +a(g7 +g960 +tp1502 +a(g428 +VP +p1503 +tp1504 +a(g7 +g964 +tp1505 +a(g892 +g1049 +tp1506 +a(g400 +g956 +tp1507 +a(g400 +g1131 +tp1508 +a(g892 +g1049 +tp1509 +a(g608 +Vio +p1510 +tp1511 +a(g7 +g1148 +tp1512 +a(g561 +Vformat +p1513 +tp1514 +a(g7 +g960 +tp1515 +a(g76 +g1029 +tp1516 +a(g76 +V>>> +p1517 +tp1518 +a(g112 +V~w +p1519 +tp1520 +a(g112 +V~n +p1521 +tp1522 +a(g76 +g1029 +tp1523 +a(g7 +g982 +tp1524 +a(g892 +g1049 +tp1525 +a(g7 +g974 +tp1526 +a(g428 +g1503 +tp1527 +a(g7 +g1019 +tp1528 +a(g7 +g964 +tp1529 +a(g892 +g1049 +tp1530 +a(g810 +Vend +p1531 +tp1532 +a(g7 +g982 +tp1533 +a(g892 +g1049 +tp1534 +a(g428 +VPlayers +p1535 +tp1536 +a(g7 +g964 +tp1537 +a(g7 +g982 +tp1538 +a(g892 +V\u000a +p1539 +tp1540 +a(g810 +Vreceive +p1541 +tp1542 +a(g892 +V\u000a +p1543 +tp1544 +a(g7 +g1051 +tp1545 +a(g423 +Vconnect +p1546 +tp1547 +a(g7 +g982 +tp1548 +a(g892 +g1049 +tp1549 +a(g428 +VSocket +p1550 +tp1551 +a(g7 +g1058 +tp1552 +a(g892 +g1049 +tp1553 +a(g400 +g956 +tp1554 +a(g400 +g1131 +tp1555 +a(g892 +V\u000a +p1556 +tp1557 +a(g428 +VPlayer +p1558 +tp1559 +a(g892 +g1049 +tp1560 +a(g400 +g1096 +tp1561 +a(g892 +g1049 +tp1562 +a(g584 +V#player +p1563 +tp1564 +a(g7 +g1051 +tp1565 +a(g423 +Vsocket +p1566 +tp1567 +a(g400 +g1096 +tp1568 +a(g428 +VSocket +p1569 +tp1570 +a(g7 +g982 +tp1571 +a(g892 +g1049 +tp1572 +a(g423 +Vmode +p1573 +tp1574 +a(g400 +g1096 +tp1575 +a(g423 +Vconnect +p1576 +tp1577 +a(g7 +g1058 +tp1578 +a(g7 +g982 +tp1579 +a(g892 +V\u000a +p1580 +tp1581 +a(g423 +Vsend_prompt +p1582 +tp1583 +a(g7 +g960 +tp1584 +a(g428 +VPlayer +p1585 +tp1586 +a(g7 +g964 +tp1587 +a(g7 +g982 +tp1588 +a(g892 +V\u000a +p1589 +tp1590 +a(g608 +Vio +p1591 +tp1592 +a(g7 +g1148 +tp1593 +a(g561 +Vformat +p1594 +tp1595 +a(g7 +g960 +tp1596 +a(g76 +g1029 +tp1597 +a(g76 +Vclient connected: +p1598 +tp1599 +a(g112 +V~w +p1600 +tp1601 +a(g112 +V~n +p1602 +tp1603 +a(g76 +g1029 +tp1604 +a(g7 +g982 +tp1605 +a(g892 +g1049 +tp1606 +a(g7 +g974 +tp1607 +a(g428 +VPlayer +p1608 +tp1609 +a(g7 +g1019 +tp1610 +a(g7 +g964 +tp1611 +a(g7 +g982 +tp1612 +a(g892 +V\u000a +p1613 +tp1614 +a(g428 +VNewPlayers +p1615 +tp1616 +a(g892 +g1049 +tp1617 +a(g400 +g1096 +tp1618 +a(g892 +V +p1619 +tp1620 +a(g7 +g974 +tp1621 +a(g428 +VPlayer +p1622 +tp1623 +a(g892 +g1049 +tp1624 +a(g7 +V| +p1625 +tp1626 +a(g892 +g1049 +tp1627 +a(g428 +VPlayers +p1628 +tp1629 +a(g7 +g1019 +tp1630 +a(g7 +g1287 +tp1631 +a(g892 +V\u000a +p1632 +tp1633 +a(g7 +g1051 +tp1634 +a(g423 +Vdisconnect +p1635 +tp1636 +a(g7 +g982 +tp1637 +a(g892 +g1049 +tp1638 +a(g428 +VSocket +p1639 +tp1640 +a(g7 +g1058 +tp1641 +a(g892 +g1049 +tp1642 +a(g400 +g956 +tp1643 +a(g400 +g1131 +tp1644 +a(g892 +V\u000a +p1645 +tp1646 +a(g428 +VPlayer +p1647 +tp1648 +a(g892 +g1049 +tp1649 +a(g400 +g1096 +tp1650 +a(g892 +g1049 +tp1651 +a(g423 +Vfind_player +p1652 +tp1653 +a(g7 +g960 +tp1654 +a(g428 +VSocket +p1655 +tp1656 +a(g7 +g982 +tp1657 +a(g892 +g1049 +tp1658 +a(g428 +VPlayers +p1659 +tp1660 +a(g7 +g964 +tp1661 +a(g7 +g982 +tp1662 +a(g892 +V\u000a +p1663 +tp1664 +a(g608 +Vio +p1665 +tp1666 +a(g7 +g1148 +tp1667 +a(g561 +Vformat +p1668 +tp1669 +a(g7 +g960 +tp1670 +a(g76 +g1029 +tp1671 +a(g76 +Vclient disconnected: +p1672 +tp1673 +a(g112 +V~w +p1674 +tp1675 +a(g112 +V~n +p1676 +tp1677 +a(g76 +g1029 +tp1678 +a(g7 +g982 +tp1679 +a(g892 +g1049 +tp1680 +a(g7 +g974 +tp1681 +a(g428 +VPlayer +p1682 +tp1683 +a(g7 +g1019 +tp1684 +a(g7 +g964 +tp1685 +a(g7 +g982 +tp1686 +a(g892 +V\u000a +p1687 +tp1688 +a(g428 +VNewPlayers +p1689 +tp1690 +a(g892 +g1049 +tp1691 +a(g400 +g1096 +tp1692 +a(g892 +g1049 +tp1693 +a(g608 +Vlists +p1694 +tp1695 +a(g7 +g1148 +tp1696 +a(g561 +Vdelete +p1697 +tp1698 +a(g7 +g960 +tp1699 +a(g428 +VPlayer +p1700 +tp1701 +a(g7 +g982 +tp1702 +a(g892 +g1049 +tp1703 +a(g428 +VPlayers +p1704 +tp1705 +a(g7 +g964 +tp1706 +a(g7 +g1287 +tp1707 +a(g892 +V\u000a +p1708 +tp1709 +a(g7 +g1051 +tp1710 +a(g423 +Vdata +p1711 +tp1712 +a(g7 +g982 +tp1713 +a(g892 +g1049 +tp1714 +a(g428 +VSocket +p1715 +tp1716 +a(g7 +g982 +tp1717 +a(g892 +g1049 +tp1718 +a(g428 +VData +p1719 +tp1720 +a(g7 +g1058 +tp1721 +a(g892 +g1049 +tp1722 +a(g400 +g956 +tp1723 +a(g400 +g1131 +tp1724 +a(g892 +V\u000a +p1725 +tp1726 +a(g428 +VPlayer +p1727 +tp1728 +a(g892 +g1049 +tp1729 +a(g400 +g1096 +tp1730 +a(g892 +g1049 +tp1731 +a(g423 +Vfind_player +p1732 +tp1733 +a(g7 +g960 +tp1734 +a(g428 +VSocket +p1735 +tp1736 +a(g7 +g982 +tp1737 +a(g892 +g1049 +tp1738 +a(g428 +VPlayers +p1739 +tp1740 +a(g7 +g964 +tp1741 +a(g7 +g982 +tp1742 +a(g892 +V\u000a +p1743 +tp1744 +a(g428 +VNewPlayers +p1745 +tp1746 +a(g892 +g1049 +tp1747 +a(g400 +g1096 +tp1748 +a(g892 +g1049 +tp1749 +a(g423 +Vparse_data +p1750 +tp1751 +a(g7 +g960 +tp1752 +a(g428 +VPlayer +p1753 +tp1754 +a(g7 +g982 +tp1755 +a(g892 +g1049 +tp1756 +a(g428 +VPlayers +p1757 +tp1758 +a(g7 +g982 +tp1759 +a(g892 +g1049 +tp1760 +a(g428 +VData +p1761 +tp1762 +a(g7 +g964 +tp1763 +a(g7 +g982 +tp1764 +a(g892 +V\u000a +p1765 +tp1766 +a(g428 +VNewPlayer +p1767 +tp1768 +a(g892 +g1049 +tp1769 +a(g400 +g1096 +tp1770 +a(g892 +g1049 +tp1771 +a(g423 +Vfind_player +p1772 +tp1773 +a(g7 +g960 +tp1774 +a(g428 +VSocket +p1775 +tp1776 +a(g7 +g982 +tp1777 +a(g892 +g1049 +tp1778 +a(g428 +VNewPlayers +p1779 +tp1780 +a(g7 +g964 +tp1781 +a(g7 +g982 +tp1782 +a(g892 +V\u000a +p1783 +tp1784 +a(g423 +Vsend_prompt +p1785 +tp1786 +a(g7 +g960 +tp1787 +a(g428 +VNewPlayer +p1788 +tp1789 +a(g7 +g964 +tp1790 +a(g892 +V\u000a +p1791 +tp1792 +a(g810 +Vend +p1793 +tp1794 +a(g7 +g982 +tp1795 +a(g892 +V\u000a +p1796 +tp1797 +a(g423 +Vmaintain_clients +p1798 +tp1799 +a(g7 +g960 +tp1800 +a(g428 +VNewPlayers +p1801 +tp1802 +a(g7 +g964 +tp1803 +a(g7 +g966 +tp1804 +a(g892 +V\u000a\u000a +p1805 +tp1806 +a(g693 +V%% find_player is a utility function to get a player record associated\u000a +p1807 +tp1808 +a(g693 +V%% with a particular socket out of the player list.\u000a +p1809 +tp1810 +a(g892 +V\u000a +p1811 +tp1812 +a(g561 +Vfind_player +p1813 +tp1814 +a(g7 +g960 +tp1815 +a(g428 +VSocket +p1816 +tp1817 +a(g7 +g982 +tp1818 +a(g892 +g1049 +tp1819 +a(g428 +VPlayers +p1820 +tp1821 +a(g7 +g964 +tp1822 +a(g892 +g1049 +tp1823 +a(g400 +g956 +tp1824 +a(g400 +g1131 +tp1825 +a(g892 +V\u000a +p1826 +tp1827 +a(g7 +g1051 +tp1828 +a(g423 +Vvalue +p1829 +tp1830 +a(g7 +g982 +tp1831 +a(g892 +g1049 +tp1832 +a(g428 +VPlayer +p1833 +tp1834 +a(g7 +g1058 +tp1835 +a(g892 +g1049 +tp1836 +a(g400 +g1096 +tp1837 +a(g892 +g1049 +tp1838 +a(g608 +Vlists +p1839 +tp1840 +a(g7 +g1148 +tp1841 +a(g561 +Vkeysearch +p1842 +tp1843 +a(g7 +g960 +tp1844 +a(g428 +VSocket +p1845 +tp1846 +a(g7 +g982 +tp1847 +a(g892 +g1049 +tp1848 +a(g584 +V#player.socket +p1849 +tp1850 +a(g7 +g982 +tp1851 +a(g892 +g1049 +tp1852 +a(g428 +VPlayers +p1853 +tp1854 +a(g7 +g964 +tp1855 +a(g7 +g982 +tp1856 +a(g892 +V\u000a +p1857 +tp1858 +a(g428 +VPlayer +p1859 +tp1860 +a(g7 +g966 +tp1861 +a(g892 +V\u000a\u000a +p1862 +tp1863 +a(g693 +V%% delete_player returns the player list without the given player. It\u000a +p1864 +tp1865 +a(g693 +V%% deletes the player from the list based on the socket rather than\u000a +p1866 +tp1867 +a(g693 +V%% the whole record because the list might hold a different version.\u000a +p1868 +tp1869 +a(g892 +V\u000a +p1870 +tp1871 +a(g561 +Vdelete_player +p1872 +tp1873 +a(g7 +g960 +tp1874 +a(g428 +VPlayer +p1875 +tp1876 +a(g7 +g982 +tp1877 +a(g892 +g1049 +tp1878 +a(g428 +VPlayers +p1879 +tp1880 +a(g7 +g964 +tp1881 +a(g892 +g1049 +tp1882 +a(g400 +g956 +tp1883 +a(g400 +g1131 +tp1884 +a(g892 +V\u000a +p1885 +tp1886 +a(g608 +Vlists +p1887 +tp1888 +a(g7 +g1148 +tp1889 +a(g561 +Vkeydelete +p1890 +tp1891 +a(g7 +g960 +tp1892 +a(g428 +VPlayer +p1893 +tp1894 +a(g584 +V#player.socket +p1895 +tp1896 +a(g7 +g982 +tp1897 +a(g892 +g1049 +tp1898 +a(g584 +V#player.socket +p1899 +tp1900 +a(g7 +g982 +tp1901 +a(g892 +g1049 +tp1902 +a(g428 +VPlayers +p1903 +tp1904 +a(g7 +g964 +tp1905 +a(g7 +g966 +tp1906 +a(g892 +V\u000a\u000a +p1907 +tp1908 +a(g693 +V%% Sends an appropriate prompt to the player. Currently the only\u000a +p1909 +tp1910 +a(g693 +V%% prompt we send is the initial "Name: " when the player connects.\u000a +p1911 +tp1912 +a(g892 +V\u000a +p1913 +tp1914 +a(g561 +Vsend_prompt +p1915 +tp1916 +a(g7 +g960 +tp1917 +a(g428 +VPlayer +p1918 +tp1919 +a(g7 +g964 +tp1920 +a(g892 +g1049 +tp1921 +a(g400 +g956 +tp1922 +a(g400 +g1131 +tp1923 +a(g892 +V\u000a +p1924 +tp1925 +a(g810 +Vcase +p1926 +tp1927 +a(g892 +g1049 +tp1928 +a(g428 +VPlayer +p1929 +tp1930 +a(g584 +V#player.mode +p1931 +tp1932 +a(g892 +g1049 +tp1933 +a(g810 +Vof +p1934 +tp1935 +a(g892 +V\u000a +p1936 +tp1937 +a(g423 +Vconnect +p1938 +tp1939 +a(g892 +g1049 +tp1940 +a(g400 +g956 +tp1941 +a(g400 +g1131 +tp1942 +a(g892 +V\u000a +p1943 +tp1944 +a(g608 +Vgen_tcp +p1945 +tp1946 +a(g7 +g1148 +tp1947 +a(g669 +Vsend +p1948 +tp1949 +a(g7 +g960 +tp1950 +a(g428 +VPlayer +p1951 +tp1952 +a(g584 +V#player.socket +p1953 +tp1954 +a(g7 +g982 +tp1955 +a(g892 +g1049 +tp1956 +a(g76 +g1029 +tp1957 +a(g76 +VName: +p1958 +tp1959 +a(g76 +g1029 +tp1960 +a(g7 +g964 +tp1961 +a(g7 +g1287 +tp1962 +a(g892 +V\u000a +p1963 +tp1964 +a(g423 +Vactive +p1965 +tp1966 +a(g892 +g1049 +tp1967 +a(g400 +g956 +tp1968 +a(g400 +g1131 +tp1969 +a(g892 +V\u000a +p1970 +tp1971 +a(g423 +Vok +p1972 +tp1973 +a(g892 +V\u000a +p1974 +tp1975 +a(g810 +Vend +p1976 +tp1977 +a(g7 +g966 +tp1978 +a(g892 +V\u000a\u000a +p1979 +tp1980 +a(g693 +V%% Sends the given data to all players in active mode.\u000a +p1981 +tp1982 +a(g892 +V\u000a +p1983 +tp1984 +a(g561 +Vsend_to_active +p1985 +tp1986 +a(g7 +g960 +tp1987 +a(g428 +VPrefix +p1988 +tp1989 +a(g7 +g982 +tp1990 +a(g892 +g1049 +tp1991 +a(g428 +VPlayers +p1992 +tp1993 +a(g7 +g982 +tp1994 +a(g892 +g1049 +tp1995 +a(g428 +VData +p1996 +tp1997 +a(g7 +g964 +tp1998 +a(g892 +g1049 +tp1999 +a(g400 +g956 +tp2000 +a(g400 +g1131 +tp2001 +a(g892 +V\u000a +p2002 +tp2003 +a(g428 +VActivePlayers +p2004 +tp2005 +a(g892 +g1049 +tp2006 +a(g400 +g1096 +tp2007 +a(g892 +g1049 +tp2008 +a(g608 +Vlists +p2009 +tp2010 +a(g7 +g1148 +tp2011 +a(g561 +Vfilter +p2012 +tp2013 +a(g7 +g960 +tp2014 +a(g810 +Vfun +p2015 +tp2016 +a(g7 +g960 +tp2017 +a(g428 +g1503 +tp2018 +a(g7 +g964 +tp2019 +a(g892 +g1049 +tp2020 +a(g400 +g956 +tp2021 +a(g400 +g1131 +tp2022 +a(g892 +g1049 +tp2023 +a(g428 +g1503 +tp2024 +a(g584 +V#player.mode +p2025 +tp2026 +a(g892 +g1049 +tp2027 +a(g400 +V== +p2028 +tp2029 +a(g892 +g1049 +tp2030 +a(g423 +Vactive +p2031 +tp2032 +a(g892 +g1049 +tp2033 +a(g810 +Vend +p2034 +tp2035 +a(g7 +g982 +tp2036 +a(g892 +V\u000a +p2037 +tp2038 +a(g428 +VPlayers +p2039 +tp2040 +a(g7 +g964 +tp2041 +a(g7 +g982 +tp2042 +a(g892 +V\u000a +p2043 +tp2044 +a(g608 +Vlists +p2045 +tp2046 +a(g7 +g1148 +tp2047 +a(g561 +Vforeach +p2048 +tp2049 +a(g7 +g960 +tp2050 +a(g810 +Vfun +p2051 +tp2052 +a(g7 +g960 +tp2053 +a(g428 +g1503 +tp2054 +a(g7 +g964 +tp2055 +a(g892 +g1049 +tp2056 +a(g400 +g956 +tp2057 +a(g400 +g1131 +tp2058 +a(g892 +g1049 +tp2059 +a(g608 +Vgen_tcp +p2060 +tp2061 +a(g7 +g1148 +tp2062 +a(g669 +Vsend +p2063 +tp2064 +a(g7 +g960 +tp2065 +a(g428 +g1503 +tp2066 +a(g584 +V#player.socket +p2067 +tp2068 +a(g7 +g982 +tp2069 +a(g892 +g1049 +tp2070 +a(g428 +VPrefix +p2071 +tp2072 +a(g892 +g1049 +tp2073 +a(g400 +V++ +p2074 +tp2075 +a(g892 +g1049 +tp2076 +a(g428 +VData +p2077 +tp2078 +a(g7 +g964 +tp2079 +a(g892 +g1049 +tp2080 +a(g810 +Vend +p2081 +tp2082 +a(g7 +g982 +tp2083 +a(g892 +V\u000a +p2084 +tp2085 +a(g428 +VActivePlayers +p2086 +tp2087 +a(g7 +g964 +tp2088 +a(g7 +g982 +tp2089 +a(g892 +V\u000a +p2090 +tp2091 +a(g423 +Vok +p2092 +tp2093 +a(g7 +g966 +tp2094 +a(g892 +V\u000a\u000a +p2095 +tp2096 +a(g693 +V%% We don't really do much parsing, but that will probably change as\u000a +p2097 +tp2098 +a(g693 +V%% more features are added. Currently this handles naming the player\u000a +p2099 +tp2100 +a(g693 +V%% when he first connects and treats everything else as a message to\u000a +p2101 +tp2102 +a(g693 +V%% send.\u000a +p2103 +tp2104 +a(g892 +V\u000a +p2105 +tp2106 +a(g561 +Vparse_data +p2107 +tp2108 +a(g7 +g960 +tp2109 +a(g428 +VPlayer +p2110 +tp2111 +a(g7 +g982 +tp2112 +a(g892 +g1049 +tp2113 +a(g428 +VPlayers +p2114 +tp2115 +a(g7 +g982 +tp2116 +a(g892 +g1049 +tp2117 +a(g428 +VData +p2118 +tp2119 +a(g7 +g964 +tp2120 +a(g892 +g1049 +tp2121 +a(g400 +g956 +tp2122 +a(g400 +g1131 +tp2123 +a(g892 +V\u000a +p2124 +tp2125 +a(g810 +Vcase +p2126 +tp2127 +a(g892 +g1049 +tp2128 +a(g428 +VPlayer +p2129 +tp2130 +a(g584 +V#player.mode +p2131 +tp2132 +a(g892 +g1049 +tp2133 +a(g810 +Vof +p2134 +tp2135 +a(g892 +V\u000a +p2136 +tp2137 +a(g423 +Vactive +p2138 +tp2139 +a(g892 +g1049 +tp2140 +a(g400 +g956 +tp2141 +a(g400 +g1131 +tp2142 +a(g892 +V\u000a +p2143 +tp2144 +a(g423 +Vsend_to_active +p2145 +tp2146 +a(g7 +g960 +tp2147 +a(g428 +VPlayer +p2148 +tp2149 +a(g584 +V#player.name +p2150 +tp2151 +a(g892 +g1049 +tp2152 +a(g400 +V++ +p2153 +tp2154 +a(g892 +g1049 +tp2155 +a(g76 +g1029 +tp2156 +a(g76 +V: +p2157 +tp2158 +a(g76 +g1029 +tp2159 +a(g7 +g982 +tp2160 +a(g892 +V\u000a +p2161 +tp2162 +a(g423 +Vdelete_player +p2163 +tp2164 +a(g7 +g960 +tp2165 +a(g428 +VPlayer +p2166 +tp2167 +a(g7 +g982 +tp2168 +a(g892 +g1049 +tp2169 +a(g428 +VPlayers +p2170 +tp2171 +a(g7 +g964 +tp2172 +a(g7 +g982 +tp2173 +a(g892 +g1049 +tp2174 +a(g428 +VData +p2175 +tp2176 +a(g7 +g964 +tp2177 +a(g7 +g982 +tp2178 +a(g892 +V\u000a +p2179 +tp2180 +a(g428 +VPlayers +p2181 +tp2182 +a(g7 +g1287 +tp2183 +a(g892 +V\u000a +p2184 +tp2185 +a(g423 +Vconnect +p2186 +tp2187 +a(g892 +g1049 +tp2188 +a(g400 +g956 +tp2189 +a(g400 +g1131 +tp2190 +a(g892 +V\u000a +p2191 +tp2192 +a(g428 +VUPlayer +p2193 +tp2194 +a(g892 +g1049 +tp2195 +a(g400 +g1096 +tp2196 +a(g892 +g1049 +tp2197 +a(g428 +VPlayer +p2198 +tp2199 +a(g584 +V#player +p2200 +tp2201 +a(g7 +g1051 +tp2202 +a(g423 +Vname +p2203 +tp2204 +a(g400 +g1096 +tp2205 +a(g423 +Vbogostrip +p2206 +tp2207 +a(g7 +g960 +tp2208 +a(g428 +VData +p2209 +tp2210 +a(g7 +g964 +tp2211 +a(g7 +g982 +tp2212 +a(g892 +g1049 +tp2213 +a(g423 +Vmode +p2214 +tp2215 +a(g400 +g1096 +tp2216 +a(g423 +Vactive +p2217 +tp2218 +a(g7 +g1058 +tp2219 +a(g7 +g982 +tp2220 +a(g892 +V\u000a +p2221 +tp2222 +a(g7 +g974 +tp2223 +a(g428 +VUPlayer +p2224 +tp2225 +a(g892 +g1049 +tp2226 +a(g7 +g1625 +tp2227 +a(g892 +g1049 +tp2228 +a(g423 +Vdelete_player +p2229 +tp2230 +a(g7 +g960 +tp2231 +a(g428 +VPlayer +p2232 +tp2233 +a(g7 +g982 +tp2234 +a(g892 +g1049 +tp2235 +a(g428 +VPlayers +p2236 +tp2237 +a(g7 +g964 +tp2238 +a(g7 +g1019 +tp2239 +a(g892 +V\u000a +p2240 +tp2241 +a(g810 +Vend +p2242 +tp2243 +a(g7 +g966 +tp2244 +a(g892 +V\u000a\u000a +p2245 +tp2246 +a(g693 +V%% Utility methods to clean up the name before we apply it. Called\u000a +p2247 +tp2248 +a(g693 +V%% bogostrip rather than strip because it returns the first continuous\u000a +p2249 +tp2250 +a(g693 +V%% block of non-matching characters rather stripping matching\u000a +p2251 +tp2252 +a(g693 +V%% characters off the front and back.\u000a +p2253 +tp2254 +a(g892 +V\u000a +p2255 +tp2256 +a(g561 +Vbogostrip +p2257 +tp2258 +a(g7 +g960 +tp2259 +a(g428 +VString +p2260 +tp2261 +a(g7 +g964 +tp2262 +a(g892 +g1049 +tp2263 +a(g400 +g956 +tp2264 +a(g400 +g1131 +tp2265 +a(g892 +V\u000a +p2266 +tp2267 +a(g423 +Vbogostrip +p2268 +tp2269 +a(g7 +g960 +tp2270 +a(g428 +VString +p2271 +tp2272 +a(g7 +g982 +tp2273 +a(g892 +g1049 +tp2274 +a(g76 +g1029 +tp2275 +a(g200 +V\u005cr +p2276 +tp2277 +a(g200 +V\u005cn +p2278 +tp2279 +a(g200 +V\u005ct +p2280 +tp2281 +a(g76 +g1049 +tp2282 +a(g76 +g1029 +tp2283 +a(g7 +g964 +tp2284 +a(g7 +g966 +tp2285 +a(g892 +V\u000a\u000a +p2286 +tp2287 +a(g561 +Vbogostrip +p2288 +tp2289 +a(g7 +g960 +tp2290 +a(g428 +VString +p2291 +tp2292 +a(g7 +g982 +tp2293 +a(g892 +g1049 +tp2294 +a(g428 +VChars +p2295 +tp2296 +a(g7 +g964 +tp2297 +a(g892 +g1049 +tp2298 +a(g400 +g956 +tp2299 +a(g400 +g1131 +tp2300 +a(g892 +V\u000a +p2301 +tp2302 +a(g428 +VLStripped +p2303 +tp2304 +a(g892 +g1049 +tp2305 +a(g400 +g1096 +tp2306 +a(g892 +g1049 +tp2307 +a(g608 +Vstring +p2308 +tp2309 +a(g7 +g1148 +tp2310 +a(g561 +Vsubstr +p2311 +tp2312 +a(g7 +g960 +tp2313 +a(g428 +VString +p2314 +tp2315 +a(g7 +g982 +tp2316 +a(g892 +g1049 +tp2317 +a(g608 +Vstring +p2318 +tp2319 +a(g7 +g1148 +tp2320 +a(g561 +Vspan +p2321 +tp2322 +a(g7 +g960 +tp2323 +a(g428 +VString +p2324 +tp2325 +a(g7 +g982 +tp2326 +a(g892 +g1049 +tp2327 +a(g428 +VChars +p2328 +tp2329 +a(g7 +g964 +tp2330 +a(g400 +V+ +p2331 +tp2332 +a(g22 +g980 +tp2333 +a(g7 +g964 +tp2334 +a(g7 +g982 +tp2335 +a(g892 +V\u000a +p2336 +tp2337 +a(g608 +Vstring +p2338 +tp2339 +a(g7 +g1148 +tp2340 +a(g561 +Vsubstr +p2341 +tp2342 +a(g7 +g960 +tp2343 +a(g428 +VLStripped +p2344 +tp2345 +a(g7 +g982 +tp2346 +a(g892 +g1049 +tp2347 +a(g22 +g980 +tp2348 +a(g7 +g982 +tp2349 +a(g892 +g1049 +tp2350 +a(g608 +Vstring +p2351 +tp2352 +a(g7 +g1148 +tp2353 +a(g561 +Vcspan +p2354 +tp2355 +a(g7 +g960 +tp2356 +a(g428 +VLStripped +p2357 +tp2358 +a(g7 +g982 +tp2359 +a(g892 +g1049 +tp2360 +a(g428 +VChars +p2361 +tp2362 +a(g7 +g964 +tp2363 +a(g7 +g964 +tp2364 +a(g7 +g966 +tp2365 +a(g892 +V\u000a\u000a +p2366 +tp2367 +a(g693 +V%% Here we have some extra code to test other bits of pygments' Erlang\u000a +p2368 +tp2369 +a(g693 +V%% lexer.\u000a +p2370 +tp2371 +a(g892 +V\u000a +p2372 +tp2373 +a(g561 +Vget_timestamp +p2374 +tp2375 +a(g7 +g960 +tp2376 +a(g7 +g964 +tp2377 +a(g892 +g1049 +tp2378 +a(g400 +g956 +tp2379 +a(g400 +g1131 +tp2380 +a(g892 +V\u000a +p2381 +tp2382 +a(g7 +g1051 +tp2383 +a(g7 +g1051 +tp2384 +a(g428 +VYear +p2385 +tp2386 +a(g7 +g982 +tp2387 +a(g428 +VMonth +p2388 +tp2389 +a(g7 +g982 +tp2390 +a(g428 +VDay +p2391 +tp2392 +a(g7 +g1058 +tp2393 +a(g7 +g982 +tp2394 +a(g7 +g1051 +tp2395 +a(g428 +VHour +p2396 +tp2397 +a(g7 +g982 +tp2398 +a(g428 +VMin +p2399 +tp2400 +a(g7 +g982 +tp2401 +a(g428 +VSec +p2402 +tp2403 +a(g7 +g1058 +tp2404 +a(g7 +g1058 +tp2405 +a(g892 +g1049 +tp2406 +a(g400 +g1096 +tp2407 +a(g892 +g1049 +tp2408 +a(g608 +Verlang +p2409 +tp2410 +a(g7 +g1148 +tp2411 +a(g561 +Vuniversaltime +p2412 +tp2413 +a(g7 +g960 +tp2414 +a(g7 +g964 +tp2415 +a(g7 +g982 +tp2416 +a(g892 +V\u000a +p2417 +tp2418 +a(g608 +Vlists +p2419 +tp2420 +a(g7 +g1148 +tp2421 +a(g561 +Vflatten +p2422 +tp2423 +a(g7 +g960 +tp2424 +a(g608 +Vio_lib +p2425 +tp2426 +a(g7 +g1148 +tp2427 +a(g561 +Vformat +p2428 +tp2429 +a(g7 +g960 +tp2430 +a(g892 +V\u000a +p2431 +tp2432 +a(g76 +g1029 +tp2433 +a(g112 +V~4.10.0B +p2434 +tp2435 +a(g76 +g956 +tp2436 +a(g112 +V~2.10.0B +p2437 +tp2438 +a(g76 +g956 +tp2439 +a(g112 +V~2.10.0B +p2440 +tp2441 +a(g76 +VT +p2442 +tp2443 +a(g112 +V~2.10.0B +p2444 +tp2445 +a(g76 +g1148 +tp2446 +a(g112 +V~2.10.0B +p2447 +tp2448 +a(g76 +g1148 +tp2449 +a(g112 +V~2.10.0B +p2450 +tp2451 +a(g76 +VZ +p2452 +tp2453 +a(g76 +g1029 +tp2454 +a(g7 +g982 +tp2455 +a(g892 +V\u000a +p2456 +tp2457 +a(g7 +g974 +tp2458 +a(g428 +VYear +p2459 +tp2460 +a(g7 +g982 +tp2461 +a(g892 +g1049 +tp2462 +a(g428 +VMonth +p2463 +tp2464 +a(g7 +g982 +tp2465 +a(g892 +g1049 +tp2466 +a(g428 +VDay +p2467 +tp2468 +a(g7 +g982 +tp2469 +a(g892 +g1049 +tp2470 +a(g428 +VHour +p2471 +tp2472 +a(g7 +g982 +tp2473 +a(g892 +g1049 +tp2474 +a(g428 +VMin +p2475 +tp2476 +a(g7 +g982 +tp2477 +a(g892 +g1049 +tp2478 +a(g428 +VSec +p2479 +tp2480 +a(g7 +g1019 +tp2481 +a(g7 +g964 +tp2482 +a(g7 +g964 +tp2483 +a(g7 +g966 +tp2484 +a(g892 +V\u000a\u000a +p2485 +tp2486 +a(g561 +Va_binary +p2487 +tp2488 +a(g7 +g960 +tp2489 +a(g7 +g964 +tp2490 +a(g892 +g1049 +tp2491 +a(g400 +g956 +tp2492 +a(g400 +g1131 +tp2493 +a(g892 +V\u000a +p2494 +tp2495 +a(g400 +V< +p2496 +tp2497 +a(g400 +g2496 +tp2498 +a(g892 +g1049 +tp2499 +a(g22 +V100 +p2500 +tp2501 +a(g7 +g1148 +tp2502 +a(g22 +V16 +p2503 +tp2504 +a(g400 +g978 +tp2505 +a(g423 +Vinteger +p2506 +tp2507 +a(g7 +g982 +tp2508 +a(g892 +g1049 +tp2509 +a(g22 +V16#7f +p2510 +tp2511 +a(g892 +g1049 +tp2512 +a(g400 +g1131 +tp2513 +a(g400 +g1131 +tp2514 +a(g7 +g966 +tp2515 +a(g892 +V\u000a\u000a +p2516 +tp2517 +a(g561 +Va_list_comprehension +p2518 +tp2519 +a(g7 +g960 +tp2520 +a(g7 +g964 +tp2521 +a(g892 +g1049 +tp2522 +a(g400 +g956 +tp2523 +a(g400 +g1131 +tp2524 +a(g892 +V\u000a +p2525 +tp2526 +a(g7 +g974 +tp2527 +a(g428 +VX +p2528 +tp2529 +a(g400 +V* +p2530 +tp2531 +a(g22 +V2 +p2532 +tp2533 +a(g892 +g1049 +tp2534 +a(g7 +g1625 +tp2535 +a(g7 +g1625 +tp2536 +a(g892 +g1049 +tp2537 +a(g428 +g2528 +tp2538 +a(g892 +g1049 +tp2539 +a(g400 +g2496 +tp2540 +a(g400 +g956 +tp2541 +a(g892 +g1049 +tp2542 +a(g7 +g974 +tp2543 +a(g22 +g980 +tp2544 +a(g7 +g982 +tp2545 +a(g22 +g2532 +tp2546 +a(g7 +g982 +tp2547 +a(g22 +V3 +p2548 +tp2549 +a(g7 +g1019 +tp2550 +a(g7 +g1019 +tp2551 +a(g7 +g966 +tp2552 +a(g892 +V\u000a\u000a +p2553 +tp2554 +a(g561 +Va_map +p2555 +tp2556 +a(g7 +g960 +tp2557 +a(g7 +g964 +tp2558 +a(g892 +g1049 +tp2559 +a(g400 +g956 +tp2560 +a(g400 +g1131 +tp2561 +a(g892 +V\u000a +p2562 +tp2563 +a(g428 +VM0 +p2564 +tp2565 +a(g892 +g1049 +tp2566 +a(g400 +g1096 +tp2567 +a(g892 +g1049 +tp2568 +a(g7 +V#{ +p2569 +tp2570 +a(g892 +g1049 +tp2571 +a(g423 +Va +p2572 +tp2573 +a(g892 +g1049 +tp2574 +a(g400 +g1096 +tp2575 +a(g400 +g1131 +tp2576 +a(g892 +g1049 +tp2577 +a(g22 +g980 +tp2578 +a(g7 +g982 +tp2579 +a(g892 +g1049 +tp2580 +a(g423 +Vb +p2581 +tp2582 +a(g892 +g1049 +tp2583 +a(g400 +g1096 +tp2584 +a(g400 +g1131 +tp2585 +a(g892 +g1049 +tp2586 +a(g22 +g2532 +tp2587 +a(g892 +g1049 +tp2588 +a(g7 +g1058 +tp2589 +a(g7 +g982 +tp2590 +a(g892 +V\u000a +p2591 +tp2592 +a(g428 +VM1 +p2593 +tp2594 +a(g892 +g1049 +tp2595 +a(g400 +g1096 +tp2596 +a(g892 +g1049 +tp2597 +a(g428 +VM0 +p2598 +tp2599 +a(g7 +V#{ +p2600 +tp2601 +a(g892 +g1049 +tp2602 +a(g423 +g2581 +tp2603 +a(g892 +g1049 +tp2604 +a(g7 +g1148 +tp2605 +a(g400 +g1096 +tp2606 +a(g892 +g1049 +tp2607 +a(g22 +V200 +p2608 +tp2609 +a(g892 +g1049 +tp2610 +a(g7 +g1058 +tp2611 +a(g7 +g966 +tp2612 +a(g892 +V\u000a\u000a +p2613 +tp2614 +a(g561 +Vescape_sequences +p2615 +tp2616 +a(g7 +g960 +tp2617 +a(g7 +g964 +tp2618 +a(g892 +g1049 +tp2619 +a(g400 +g956 +tp2620 +a(g400 +g1131 +tp2621 +a(g892 +V\u000a +p2622 +tp2623 +a(g7 +g974 +tp2624 +a(g892 +g1049 +tp2625 +a(g76 +g1029 +tp2626 +a(g200 +V\u005cb +p2627 +tp2628 +a(g200 +V\u005cd +p2629 +tp2630 +a(g200 +V\u005ce +p2631 +tp2632 +a(g200 +V\u005cf +p2633 +tp2634 +a(g200 +V\u005cn +p2635 +tp2636 +a(g200 +V\u005cr +p2637 +tp2638 +a(g200 +V\u005cs +p2639 +tp2640 +a(g200 +V\u005ct +p2641 +tp2642 +a(g200 +V\u005cv +p2643 +tp2644 +a(g200 +V\u005c' +p2645 +tp2646 +a(g200 +V\u005c" +p2647 +tp2648 +a(g200 +V\u005c\u005c +p2649 +tp2650 +a(g76 +g1029 +tp2651 +a(g892 +V\u000a +p2652 +tp2653 +a(g7 +g982 +tp2654 +a(g892 +g1049 +tp2655 +a(g76 +g1029 +tp2656 +a(g200 +V\u005c1 +p2657 +tp2658 +a(g200 +V\u005c12 +p2659 +tp2660 +a(g200 +V\u005c123 +p2661 +tp2662 +a(g76 +g1029 +tp2663 +a(g892 +g1049 +tp2664 +a(g693 +V% octal\u000a +p2665 +tp2666 +a(g892 +V +p2667 +tp2668 +a(g7 +g982 +tp2669 +a(g892 +g1049 +tp2670 +a(g76 +g1029 +tp2671 +a(g200 +V\u005cx01 +p2672 +tp2673 +a(g76 +g1029 +tp2674 +a(g892 +V +p2675 +tp2676 +a(g693 +V% short hex\u000a +p2677 +tp2678 +a(g892 +V +p2679 +tp2680 +a(g7 +g982 +tp2681 +a(g892 +g1049 +tp2682 +a(g76 +g1029 +tp2683 +a(g200 +V\u005cx{fff} +p2684 +tp2685 +a(g76 +g1029 +tp2686 +a(g892 +V +p2687 +tp2688 +a(g693 +V% long hex\u000a +p2689 +tp2690 +a(g892 +V +p2691 +tp2692 +a(g7 +g982 +tp2693 +a(g892 +g1049 +tp2694 +a(g76 +g1029 +tp2695 +a(g200 +V\u005c^a +p2696 +tp2697 +a(g200 +V\u005c^A +p2698 +tp2699 +a(g76 +g1029 +tp2700 +a(g892 +V +p2701 +tp2702 +a(g693 +V% control characters\u000a +p2703 +tp2704 +a(g892 +V +p2705 +tp2706 +a(g7 +g1019 +tp2707 +a(g7 +g966 +tp2708 +a(g892 +V\u000a\u000a +p2709 +tp2710 +a(g561 +Vmap +p2711 +tp2712 +a(g7 +g960 +tp2713 +a(g428 +VFun +p2714 +tp2715 +a(g7 +g982 +tp2716 +a(g892 +g1049 +tp2717 +a(g7 +g974 +tp2718 +a(g428 +VH +p2719 +tp2720 +a(g7 +g1625 +tp2721 +a(g428 +g2442 +tp2722 +a(g7 +g1019 +tp2723 +a(g7 +g964 +tp2724 +a(g892 +g1049 +tp2725 +a(g400 +g956 +tp2726 +a(g400 +g1131 +tp2727 +a(g892 +V\u000a +p2728 +tp2729 +a(g7 +g974 +tp2730 +a(g428 +VFun +p2731 +tp2732 +a(g7 +g960 +tp2733 +a(g428 +g2719 +tp2734 +a(g7 +g964 +tp2735 +a(g892 +g1049 +tp2736 +a(g7 +g1625 +tp2737 +a(g892 +g1049 +tp2738 +a(g423 +Vmap +p2739 +tp2740 +a(g7 +g960 +tp2741 +a(g428 +VFun +p2742 +tp2743 +a(g7 +g982 +tp2744 +a(g892 +g1049 +tp2745 +a(g428 +g2442 +tp2746 +a(g7 +g964 +tp2747 +a(g7 +g1019 +tp2748 +a(g7 +g1287 +tp2749 +a(g892 +V\u000a\u000a +p2750 +tp2751 +a(g561 +Vmap +p2752 +tp2753 +a(g7 +g960 +tp2754 +a(g428 +VFun +p2755 +tp2756 +a(g7 +g982 +tp2757 +a(g892 +g1049 +tp2758 +a(g7 +g974 +tp2759 +a(g7 +g1019 +tp2760 +a(g7 +g964 +tp2761 +a(g892 +g1049 +tp2762 +a(g400 +g956 +tp2763 +a(g400 +g1131 +tp2764 +a(g892 +V\u000a +p2765 +tp2766 +a(g7 +g974 +tp2767 +a(g7 +g1019 +tp2768 +a(g7 +g966 +tp2769 +a(g892 +V\u000a\u000a +p2770 +tp2771 +a(g693 +V%% pmap, just because it's cool.\u000a +p2772 +tp2773 +a(g892 +V\u000a +p2774 +tp2775 +a(g561 +Vpmap +p2776 +tp2777 +a(g7 +g960 +tp2778 +a(g428 +VF +p2779 +tp2780 +a(g7 +g982 +tp2781 +a(g892 +g1049 +tp2782 +a(g428 +VL +p2783 +tp2784 +a(g7 +g964 +tp2785 +a(g892 +g1049 +tp2786 +a(g400 +g956 +tp2787 +a(g400 +g1131 +tp2788 +a(g892 +V\u000a +p2789 +tp2790 +a(g428 +VParent +p2791 +tp2792 +a(g892 +g1049 +tp2793 +a(g400 +g1096 +tp2794 +a(g892 +g1049 +tp2795 +a(g423 +Vself +p2796 +tp2797 +a(g7 +g960 +tp2798 +a(g7 +g964 +tp2799 +a(g7 +g982 +tp2800 +a(g892 +V\u000a +p2801 +tp2802 +a(g7 +g974 +tp2803 +a(g810 +Vreceive +p2804 +tp2805 +a(g892 +g1049 +tp2806 +a(g7 +g1051 +tp2807 +a(g428 +VPid +p2808 +tp2809 +a(g7 +g982 +tp2810 +a(g892 +g1049 +tp2811 +a(g428 +VResult +p2812 +tp2813 +a(g7 +g1058 +tp2814 +a(g892 +g1049 +tp2815 +a(g400 +g956 +tp2816 +a(g400 +g1131 +tp2817 +a(g892 +V\u000a +p2818 +tp2819 +a(g428 +VResult +p2820 +tp2821 +a(g892 +V\u000a +p2822 +tp2823 +a(g810 +Vend +p2824 +tp2825 +a(g892 +g1049 +tp2826 +a(g7 +g1625 +tp2827 +a(g7 +g1625 +tp2828 +a(g892 +g1049 +tp2829 +a(g428 +VPid +p2830 +tp2831 +a(g892 +g1049 +tp2832 +a(g400 +g2496 +tp2833 +a(g400 +g956 +tp2834 +a(g892 +g1049 +tp2835 +a(g7 +g974 +tp2836 +a(g669 +Vspawn +p2837 +tp2838 +a(g7 +g960 +tp2839 +a(g810 +Vfun +p2840 +tp2841 +a(g7 +g960 +tp2842 +a(g7 +g964 +tp2843 +a(g892 +g1049 +tp2844 +a(g400 +g956 +tp2845 +a(g400 +g1131 +tp2846 +a(g892 +V\u000a +p2847 +tp2848 +a(g428 +VParent +p2849 +tp2850 +a(g892 +g1049 +tp2851 +a(g400 +g1276 +tp2852 +a(g892 +g1049 +tp2853 +a(g7 +g1051 +tp2854 +a(g423 +Vself +p2855 +tp2856 +a(g7 +g960 +tp2857 +a(g7 +g964 +tp2858 +a(g7 +g982 +tp2859 +a(g892 +g1049 +tp2860 +a(g428 +g2779 +tp2861 +a(g7 +g960 +tp2862 +a(g428 +g2528 +tp2863 +a(g7 +g964 +tp2864 +a(g7 +g1058 +tp2865 +a(g892 +V \u000a +p2866 +tp2867 +a(g810 +Vend +p2868 +tp2869 +a(g7 +g964 +tp2870 +a(g892 +g1049 +tp2871 +a(g7 +g1625 +tp2872 +a(g7 +g1625 +tp2873 +a(g892 +g1049 +tp2874 +a(g428 +g2528 +tp2875 +a(g892 +g1049 +tp2876 +a(g400 +g2496 +tp2877 +a(g400 +g956 +tp2878 +a(g892 +g1049 +tp2879 +a(g428 +g2783 +tp2880 +a(g7 +g1019 +tp2881 +a(g7 +g1019 +tp2882 +a(g7 +g966 +tp2883 +a(g892 +V\u000a +p2884 +tp2885 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.escript b/tests/examplefiles/output/test.escript new file mode 100644 index 0000000..541ecb7 --- /dev/null +++ b/tests/examplefiles/output/test.escript @@ -0,0 +1,2391 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Hashbang' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg5 +g8 +sS'Preproc' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag8 +ag18 +ag921 +ag891 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#!/usr/bin/env escript\u000a +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g574 +Vmain +p960 +tp961 +a(g706 +V( +p962 +tp963 +a(g706 +V_ +p964 +tp965 +a(g441 +VArgs +p966 +tp967 +a(g706 +V) +p968 +tp969 +a(g827 +V +p970 +tp971 +a(g413 +V- +p972 +tp973 +a(g413 +V> +p974 +tp975 +a(g827 +V\u000a +p976 +tp977 +a(g436 +Vok +p978 +tp979 +a(g706 +V. +p980 +tp981 +a(g827 +V\u000a +p982 +tp983 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.evoque b/tests/examplefiles/output/test.evoque new file mode 100644 index 0000000..c934db1 --- /dev/null +++ b/tests/examplefiles/output/test.evoque @@ -0,0 +1,3304 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV$ +p956 +tp957 +a(g669 +Voverlay +p958 +tp959 +a(g7 +V{ +p960 +tp961 +a(g76 +Vname +p962 +tp963 +a(g400 +V= +p964 +tp965 +a(g423 +Vsite_base +p966 +tp967 +a(g7 +V} +p968 +tp969 +a(g786 +V\u000a\u000a +p970 +tp971 +a(g7 +g956 +tp972 +a(g669 +Vbegin +p973 +tp974 +a(g7 +g960 +tp975 +a(g76 +Vtable_row +p976 +tp977 +a(g7 +g968 +tp978 +a(g786 +V\u000a +p979 +tp980 +a(g7 +g956 +tp981 +a(g669 +Vfor +p982 +tp983 +a(g7 +g960 +tp984 +a(g892 +V +p985 +tp986 +a(g423 +Vcol +p987 +tp988 +a(g892 +g985 +tp989 +a(g414 +Vin +p990 +tp991 +a(g892 +g985 +tp992 +a(g423 +Vrow +p993 +tp994 +a(g892 +g985 +tp995 +a(g7 +g968 +tp996 +a(g786 +V\u000a +p997 +tp998 +a(g7 +V${ +p999 +tp1000 +a(g423 +Vcol +p1001 +tp1002 +a(g7 +g968 +tp1003 +a(g786 +V\u005c\u000a +p1004 +tp1005 +a(g7 +g956 +tp1006 +a(g669 +Velse +p1007 +tp1008 +a(g786 +V\u000a empty row\u000a +p1009 +tp1010 +a(g7 +g956 +tp1011 +a(g669 +Vrof +p1012 +tp1013 +a(g786 +V\u000a +p1014 +tp1015 +a(g7 +g956 +tp1016 +a(g669 +Vend +p1017 +tp1018 +a(g7 +g960 +tp1019 +a(g76 +Vtable_row +p1020 +tp1021 +a(g7 +g968 +tp1022 +a(g786 +V\u000a\u000a\u000a +p1023 +tp1024 +a(g7 +g956 +tp1025 +a(g669 +Vfor +p1026 +tp1027 +a(g7 +g960 +tp1028 +a(g892 +g985 +tp1029 +a(g423 +Vi +p1030 +tp1031 +a(g7 +V, +p1032 +tp1033 +a(g892 +g985 +tp1034 +a(g423 +Vrow +p1035 +tp1036 +a(g892 +g985 +tp1037 +a(g414 +Vin +p1038 +tp1039 +a(g892 +g985 +tp1040 +a(g669 +Venumerate +p1041 +tp1042 +a(g7 +V( +p1043 +tp1044 +a(g423 +Vrows +p1045 +tp1046 +a(g7 +V) +p1047 +tp1048 +a(g892 +g985 +tp1049 +a(g7 +g968 +tp1050 +a(g786 +V\u000a +p1068 +tp1069 +a(g760 +V#[ +p1070 +tp1071 +a(g760 +g985 +tp1072 +a(g760 +V" +p1073 +tp1074 +a(g760 +Vo +p1075 +tp1076 +a(g760 +Vd +p1077 +tp1078 +a(g760 +g1077 +tp1079 +a(g760 +g1073 +tp1080 +a(g760 +g985 +tp1081 +a(g760 +Vr +p1082 +tp1083 +a(g760 +g1075 +tp1084 +a(g760 +Vw +p1085 +tp1086 +a(g760 +Vs +p1087 +tp1088 +a(g760 +g985 +tp1089 +a(g760 +Vg +p1090 +tp1091 +a(g760 +Ve +p1092 +tp1093 +a(g760 +Vt +p1094 +tp1095 +a(g760 +g985 +tp1096 +a(g760 +Va +p1097 +tp1098 +a(g760 +g985 +tp1099 +a(g760 +g1087 +tp1100 +a(g760 +Vp +p1101 +tp1102 +a(g760 +g1092 +tp1103 +a(g760 +Vc +p1104 +tp1105 +a(g760 +g1030 +tp1106 +a(g760 +g1097 +tp1107 +a(g760 +Vl +p1108 +tp1109 +a(g760 +g985 +tp1110 +a(g760 +g1087 +tp1111 +a(g760 +g1094 +tp1112 +a(g760 +Vy +p1113 +tp1114 +a(g760 +g1108 +tp1115 +a(g760 +g1092 +tp1116 +a(g760 +g985 +tp1117 +a(g760 +V]# +p1118 +tp1119 +a(g786 +V\u000a +p1120 +tp1121 +a(g7 +g956 +tp1122 +a(g669 +Vevoque +p1123 +tp1124 +a(g7 +g960 +tp1125 +a(g76 +V#table_row +p1126 +tp1127 +a(g7 +g968 +tp1128 +a(g786 +V\u000a +p1129 +tp1130 +a(g7 +g956 +tp1131 +a(g669 +Vevoque +p1132 +tp1133 +a(g7 +g960 +tp1134 +a(g76 +V \u000a #table_row +p1135 +tp1136 +a(g892 +V\u000a +p1137 +tp1138 +a(g892 +V +p1139 +tp1140 +a(g7 +g968 +tp1141 +a(g786 +V\u000a +p1142 +tp1143 +a(g7 +g956 +tp1144 +a(g669 +Vevoque +p1145 +tp1146 +a(g7 +g960 +tp1147 +a(g76 +V'#table_row' +p1148 +tp1149 +a(g7 +g968 +tp1150 +a(g786 +V\u000a +p1151 +tp1152 +a(g7 +g956 +tp1153 +a(g669 +Vevoque +p1154 +tp1155 +a(g7 +g960 +tp1156 +a(g76 +V '#table_row' +p1157 +tp1158 +a(g7 +g1032 +tp1159 +a(g892 +g985 +tp1160 +a(g423 +Vcollection +p1161 +tp1162 +a(g400 +g964 +tp1163 +a(g681 +VNone +p1164 +tp1165 +a(g7 +g1032 +tp1166 +a(g892 +g985 +tp1167 +a(g423 +Vquoting +p1168 +tp1169 +a(g400 +g964 +tp1170 +a(g144 +g1073 +tp1171 +a(g144 +Vstr +p1172 +tp1173 +a(g144 +g1073 +tp1174 +a(g7 +g968 +tp1175 +a(g786 +V\u000a +p1176 +tp1177 +a(g7 +g956 +tp1178 +a(g669 +Vevoque +p1179 +tp1180 +a(g7 +g960 +tp1181 +a(g76 +Vname +p1182 +tp1183 +a(g400 +g964 +tp1184 +a(g144 +g1073 +tp1185 +a(g144 +V#table_row +p1186 +tp1187 +a(g144 +g1073 +tp1188 +a(g7 +g968 +tp1189 +a(g786 +V\u000a +p1190 +tp1191 +a(g7 +g956 +tp1192 +a(g669 +Vevoque +p1193 +tp1194 +a(g7 +g960 +tp1195 +a(g76 +Vname +p1196 +tp1197 +a(g400 +g964 +tp1198 +a(g423 +Vvar_table_row +p1199 +tp1200 +a(g7 +g968 +tp1201 +a(g786 +V\u000a +p1202 +tp1203 +a(g7 +g956 +tp1204 +a(g669 +Vevoque +p1205 +tp1206 +a(g7 +V{% +p1207 +tp1208 +a(g76 +V#table_row +p1209 +tp1210 +a(g7 +V%} +p1211 +tp1212 +a(g786 +V\u000a +p1213 +tp1214 +a(g7 +g956 +tp1215 +a(g669 +Vevoque +p1216 +tp1217 +a(g7 +V{% +p1218 +tp1219 +a(g76 +V #table_row +p1220 +tp1221 +a(g7 +V%} +p1222 +tp1223 +a(g786 +V\u000a \u000a +p1224 +tp1225 +a(g7 +g956 +tp1226 +a(g669 +Vrof +p1227 +tp1228 +a(g786 +V\u000a
    \u000a\u000a +p1229 +tp1230 +a(g7 +g956 +tp1231 +a(g669 +Vevoque +p1232 +tp1233 +a(g7 +g960 +tp1234 +a(g76 +Vdisclaimer +p1235 +tp1236 +a(g7 +g1032 +tp1237 +a(g892 +g985 +tp1238 +a(g423 +Vcollection +p1239 +tp1240 +a(g400 +g964 +tp1241 +a(g144 +g1073 +tp1242 +a(g144 +Vlegals +p1243 +tp1244 +a(g144 +g1073 +tp1245 +a(g7 +g968 +tp1246 +a(g786 +V\u000a +p1247 +tp1248 +a(g7 +g956 +tp1249 +a(g669 +Vevoque +p1250 +tp1251 +a(g7 +g960 +tp1252 +a(g76 +V disclaimer +p1253 +tp1254 +a(g7 +g1032 +tp1255 +a(g892 +g985 +tp1256 +a(g423 +Vcollection +p1257 +tp1258 +a(g400 +g964 +tp1259 +a(g144 +g1073 +tp1260 +a(g144 +Vlegals +p1261 +tp1262 +a(g144 +g1073 +tp1263 +a(g7 +g1032 +tp1264 +a(g892 +g985 +tp1265 +a(g423 +Vabc +p1266 +tp1267 +a(g400 +g964 +tp1268 +a(g22 +V123 +p1269 +tp1270 +a(g7 +g968 +tp1271 +a(g786 +V\u000a +p1272 +tp1273 +a(g7 +g956 +tp1274 +a(g669 +Vevoque +p1275 +tp1276 +a(g7 +V{% +p1277 +tp1278 +a(g76 +V disclaimer +p1279 +tp1280 +a(g7 +g1032 +tp1281 +a(g892 +g985 +tp1282 +a(g423 +Vcollection +p1283 +tp1284 +a(g400 +g964 +tp1285 +a(g144 +g1073 +tp1286 +a(g144 +Vlegals +p1287 +tp1288 +a(g144 +g1073 +tp1289 +a(g7 +V%} +p1290 +tp1291 +a(g786 +V\u000a\u000a +p1292 +tp1293 +a(g7 +g956 +tp1294 +a(g669 +Vtest +p1295 +tp1296 +a(g7 +V{% +p1297 +tp1298 +a(g892 +g985 +tp1299 +a(g423 +Vsite_base +p1300 +tp1301 +a(g400 +g964 +tp1302 +a(g144 +g1073 +tp1303 +a(g144 +Vsite.html +p1304 +tp1305 +a(g144 +g1073 +tp1306 +a(g7 +g1032 +tp1307 +a(g892 +g985 +tp1308 +a(g892 +V\u000a +p1309 +tp1310 +a(g892 +V +p1311 +tp1312 +a(g423 +Vrows +p1313 +tp1314 +a(g400 +g964 +tp1315 +a(g7 +V[ +p1316 +tp1317 +a(g7 +g1043 +tp1318 +a(g144 +g1073 +tp1319 +a(g144 +g1097 +tp1320 +a(g144 +g1073 +tp1321 +a(g7 +g1032 +tp1322 +a(g892 +g985 +tp1323 +a(g144 +g1073 +tp1324 +a(g144 +Vb +p1325 +tp1326 +a(g144 +g1073 +tp1327 +a(g7 +g1032 +tp1328 +a(g892 +g985 +tp1329 +a(g302 +V3.0 +p1330 +tp1331 +a(g7 +g1032 +tp1332 +a(g892 +g985 +tp1333 +a(g7 +g960 +tp1334 +a(g144 +g1073 +tp1335 +a(g144 +Vone +p1336 +tp1337 +a(g144 +g1073 +tp1338 +a(g7 +V: +p1339 +tp1340 +a(g22 +V1 +p1341 +tp1342 +a(g7 +g968 +tp1343 +a(g7 +g1032 +tp1344 +a(g892 +g985 +tp1345 +a(g144 +g1073 +tp1346 +a(g144 +V +p1347 +tp1348 +a(g144 +g1073 +tp1349 +a(g7 +g1032 +tp1350 +a(g892 +g985 +tp1351 +a(g144 +g1073 +tp1352 +a(g144 +g1030 +tp1353 +a(g144 +g1073 +tp1354 +a(g7 +g1032 +tp1355 +a(g892 +g985 +tp1356 +a(g144 +g1073 +tp1357 +a(g144 +Vj +p1358 +tp1359 +a(g144 +g1073 +tp1360 +a(g7 +g1047 +tp1361 +a(g7 +V] +p1362 +tp1363 +a(g892 +g985 +tp1364 +a(g7 +V%} +p1365 +tp1366 +a(g786 +V\u000a +p1367 +tp1368 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.fan b/tests/examplefiles/output/test.fan new file mode 100644 index 0000000..fc8f955 --- /dev/null +++ b/tests/examplefiles/output/test.fan @@ -0,0 +1,36708 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV//\u000a +p956 +tp957 +a(g8 +V// Copyright (c) 2008, Brian Frank and Andy Frank\u000a +p958 +tp959 +a(g8 +V// Licensed under the Academic Free License version 3.0\u000a +p960 +tp961 +a(g8 +V//\u000a +p962 +tp963 +a(g8 +V// History:\u000a +p964 +tp965 +a(g8 +V// 17 Nov 08 Brian Frank Creation\u000a +p966 +tp967 +a(g8 +V//\u000a +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g770 +Vusing +p972 +tp973 +a(g826 +V +p974 +tp975 +a(g620 +Vcompiler +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g882 +V**\u000a +p982 +tp983 +a(g882 +V** JavaBridge is the compiler plugin for bringing Java\u000a +p984 +tp985 +a(g882 +V** classes into the Fantom type system.\u000a +p986 +tp987 +a(g882 +V**\u000a +p988 +tp989 +a(g744 +Vclass +p990 +tp991 +a(g826 +g974 +tp992 +a(g628 +VJavaBridge +p993 +tp994 +a(g826 +g974 +tp995 +a(g705 +V: +p996 +tp997 +a(g826 +g974 +tp998 +a(g628 +VCBridge +p999 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g705 +V{ +p1003 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g826 +V\u000a +p1007 +tp1008 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p1009 +tp1010 +a(g8 +V// Constructor\u000a +p1011 +tp1012 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p1013 +tp1014 +a(g826 +V\u000a +p1015 +tp1016 +a(g826 +g974 +tp1017 +a(g826 +g974 +tp1018 +a(g882 +V**\u000a +p1019 +tp1020 +a(g826 +g974 +tp1021 +a(g826 +g974 +tp1022 +a(g882 +V** Construct a JavaBridge for current environment\u000a +p1023 +tp1024 +a(g826 +g974 +tp1025 +a(g826 +g974 +tp1026 +a(g882 +V**\u000a +p1027 +tp1028 +a(g826 +g974 +tp1029 +a(g826 +g974 +tp1030 +a(g744 +Vnew +p1031 +tp1032 +a(g826 +g974 +tp1033 +a(g573 +Vmake +p1034 +tp1035 +a(g705 +V( +p1036 +tp1037 +a(g628 +VCompiler +p1038 +tp1039 +a(g826 +g974 +tp1040 +a(g440 +Vc +p1041 +tp1042 +a(g705 +V, +p1043 +tp1044 +a(g826 +g974 +tp1045 +a(g628 +VClassPath +p1046 +tp1047 +a(g826 +g974 +tp1048 +a(g440 +Vcp +p1049 +tp1050 +a(g826 +g974 +tp1051 +a(g412 +V:= +p1052 +tp1053 +a(g826 +g974 +tp1054 +a(g826 +VC +p1055 +tp1056 +a(g826 +Vl +p1057 +tp1058 +a(g826 +Va +p1059 +tp1060 +a(g826 +Vs +p1061 +tp1062 +a(g826 +g1061 +tp1063 +a(g826 +VP +p1064 +tp1065 +a(g826 +g1059 +tp1066 +a(g826 +Vt +p1067 +tp1068 +a(g826 +Vh +p1069 +tp1070 +a(g412 +V. +p1071 +tp1072 +a(g573 +VmakeForCurrent +p1073 +tp1074 +a(g705 +V) +p1075 +tp1076 +a(g826 +V\u000a +p1077 +tp1078 +a(g826 +g974 +tp1079 +a(g826 +g974 +tp1080 +a(g826 +g974 +tp1081 +a(g826 +g974 +tp1082 +a(g826 +g996 +tp1083 +a(g826 +g974 +tp1084 +a(g693 +Vsuper +p1085 +tp1086 +a(g705 +g1036 +tp1087 +a(g826 +g1041 +tp1088 +a(g705 +g1075 +tp1089 +a(g826 +V\u000a +p1090 +tp1091 +a(g826 +g974 +tp1092 +a(g826 +g974 +tp1093 +a(g705 +g1003 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g826 +g974 +tp1097 +a(g826 +g974 +tp1098 +a(g826 +g974 +tp1099 +a(g826 +g974 +tp1100 +a(g693 +Vthis +p1101 +tp1102 +a(g412 +g1071 +tp1103 +a(g573 +Vcp +p1104 +tp1105 +a(g826 +g974 +tp1106 +a(g412 +V= +p1107 +tp1108 +a(g826 +g974 +tp1109 +a(g826 +g1041 +tp1110 +a(g826 +Vp +p1111 +tp1112 +a(g826 +V\u000a +p1113 +tp1114 +a(g826 +g974 +tp1115 +a(g826 +g974 +tp1116 +a(g826 +V} +p1117 +tp1118 +a(g826 +V\u000a +p1119 +tp1120 +a(g826 +V\u000a +p1121 +tp1122 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p1123 +tp1124 +a(g8 +V// Namespace\u000a +p1125 +tp1126 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p1127 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g826 +g974 +tp1131 +a(g826 +g974 +tp1132 +a(g882 +V**\u000a +p1133 +tp1134 +a(g826 +g974 +tp1135 +a(g826 +g974 +tp1136 +a(g882 +V** Map a FFI "podName" to a Java package.\u000a +p1137 +tp1138 +a(g826 +g974 +tp1139 +a(g826 +g974 +tp1140 +a(g882 +V**\u000a +p1141 +tp1142 +a(g826 +g974 +tp1143 +a(g826 +g974 +tp1144 +a(g744 +Voverride +p1145 +tp1146 +a(g826 +g974 +tp1147 +a(g628 +VCPod +p1148 +tp1149 +a(g826 +g974 +tp1150 +a(g573 +VresolvePod +p1151 +tp1152 +a(g705 +g1036 +tp1153 +a(g628 +VStr +p1154 +tp1155 +a(g826 +g974 +tp1156 +a(g440 +Vname +p1157 +tp1158 +a(g705 +g1043 +tp1159 +a(g826 +g974 +tp1160 +a(g628 +VLoc +p1161 +tp1162 +a(g705 +V? +p1163 +tp1164 +a(g826 +g974 +tp1165 +a(g440 +Vloc +p1166 +tp1167 +a(g705 +g1075 +tp1168 +a(g826 +V\u000a +p1169 +tp1170 +a(g826 +g974 +tp1171 +a(g826 +g974 +tp1172 +a(g705 +g1003 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g826 +g974 +tp1176 +a(g826 +g974 +tp1177 +a(g826 +g974 +tp1178 +a(g826 +g974 +tp1179 +a(g8 +V// the empty package is used to represent primitives\u000a +p1180 +tp1181 +a(g826 +g974 +tp1182 +a(g826 +g974 +tp1183 +a(g826 +g974 +tp1184 +a(g826 +g974 +tp1185 +a(g744 +Vif +p1186 +tp1187 +a(g826 +g974 +tp1188 +a(g705 +g1036 +tp1189 +a(g826 +Vn +p1190 +tp1191 +a(g826 +g1059 +tp1192 +a(g826 +Vm +p1193 +tp1194 +a(g826 +Ve +p1195 +tp1196 +a(g826 +g974 +tp1197 +a(g412 +g1107 +tp1198 +a(g412 +g1107 +tp1199 +a(g826 +g974 +tp1200 +a(g705 +V" +p1201 +tp1202 +a(g705 +g1201 +tp1203 +a(g705 +g1075 +tp1204 +a(g826 +g974 +tp1205 +a(g744 +Vreturn +p1206 +tp1207 +a(g826 +g974 +tp1208 +a(g826 +g1111 +tp1209 +a(g826 +Vr +p1210 +tp1211 +a(g826 +Vi +p1212 +tp1213 +a(g826 +g1193 +tp1214 +a(g826 +g1212 +tp1215 +a(g826 +g1067 +tp1216 +a(g826 +g1212 +tp1217 +a(g826 +Vv +p1218 +tp1219 +a(g826 +g1195 +tp1220 +a(g826 +g1061 +tp1221 +a(g826 +V\u000a +p1222 +tp1223 +a(g826 +V\u000a +p1224 +tp1225 +a(g826 +g974 +tp1226 +a(g826 +g974 +tp1227 +a(g826 +g974 +tp1228 +a(g826 +g974 +tp1229 +a(g8 +V// look for package name in classpatch\u000a +p1230 +tp1231 +a(g826 +g974 +tp1232 +a(g826 +g974 +tp1233 +a(g826 +g974 +tp1234 +a(g826 +g974 +tp1235 +a(g440 +Vclasses +p1236 +tp1237 +a(g826 +g974 +tp1238 +a(g412 +V:= +p1239 +tp1240 +a(g826 +g974 +tp1241 +a(g826 +g1041 +tp1242 +a(g826 +g1111 +tp1243 +a(g412 +g1071 +tp1244 +a(g573 +Vclasses +p1245 +tp1246 +a(g412 +V[ +p1247 +tp1248 +a(g826 +g1190 +tp1249 +a(g826 +g1059 +tp1250 +a(g826 +g1193 +tp1251 +a(g826 +g1195 +tp1252 +a(g412 +V] +p1253 +tp1254 +a(g826 +V\u000a +p1255 +tp1256 +a(g826 +g974 +tp1257 +a(g826 +g974 +tp1258 +a(g826 +g974 +tp1259 +a(g826 +g974 +tp1260 +a(g744 +Vif +p1261 +tp1262 +a(g826 +g974 +tp1263 +a(g705 +g1036 +tp1264 +a(g826 +g1041 +tp1265 +a(g826 +g1057 +tp1266 +a(g826 +g1059 +tp1267 +a(g826 +g1061 +tp1268 +a(g826 +g1061 +tp1269 +a(g826 +g1195 +tp1270 +a(g826 +g1061 +tp1271 +a(g826 +g974 +tp1272 +a(g412 +g1107 +tp1273 +a(g412 +g1107 +tp1274 +a(g826 +g974 +tp1275 +a(g763 +Vnull +p1276 +tp1277 +a(g705 +g1075 +tp1278 +a(g826 +V\u000a +p1279 +tp1280 +a(g826 +g974 +tp1281 +a(g826 +g974 +tp1282 +a(g826 +g974 +tp1283 +a(g826 +g974 +tp1284 +a(g826 +g974 +tp1285 +a(g826 +g974 +tp1286 +a(g744 +Vthrow +p1287 +tp1288 +a(g826 +g974 +tp1289 +a(g826 +g1055 +tp1290 +a(g826 +Vo +p1291 +tp1292 +a(g826 +g1193 +tp1293 +a(g826 +g1111 +tp1294 +a(g826 +g1212 +tp1295 +a(g826 +g1057 +tp1296 +a(g826 +g1195 +tp1297 +a(g826 +g1210 +tp1298 +a(g826 +VE +p1299 +tp1300 +a(g826 +g1210 +tp1301 +a(g826 +g1210 +tp1302 +a(g705 +g1036 +tp1303 +a(g705 +g1201 +tp1304 +a(g89 +VJ +p1305 +tp1306 +a(g89 +g1059 +tp1307 +a(g89 +g1218 +tp1308 +a(g89 +g1059 +tp1309 +a(g89 +g974 +tp1310 +a(g89 +g1111 +tp1311 +a(g89 +g1059 +tp1312 +a(g89 +g1041 +tp1313 +a(g89 +Vk +p1314 +tp1315 +a(g89 +g1059 +tp1316 +a(g89 +Vg +p1317 +tp1318 +a(g89 +g1195 +tp1319 +a(g89 +g974 +tp1320 +a(g89 +V' +p1321 +tp1322 +a(g125 +V$name +p1323 +tp1324 +a(g89 +g1321 +tp1325 +a(g89 +g974 +tp1326 +a(g89 +g1190 +tp1327 +a(g89 +g1291 +tp1328 +a(g89 +g1067 +tp1329 +a(g89 +g974 +tp1330 +a(g89 +Vf +p1331 +tp1332 +a(g89 +g1291 +tp1333 +a(g89 +Vu +p1334 +tp1335 +a(g89 +g1190 +tp1336 +a(g89 +Vd +p1337 +tp1338 +a(g705 +g1201 +tp1339 +a(g826 +g1043 +tp1340 +a(g826 +g974 +tp1341 +a(g826 +g1057 +tp1342 +a(g826 +g1291 +tp1343 +a(g826 +g1041 +tp1344 +a(g705 +g1075 +tp1345 +a(g826 +V\u000a +p1346 +tp1347 +a(g826 +V\u000a +p1348 +tp1349 +a(g826 +g974 +tp1350 +a(g826 +g974 +tp1351 +a(g826 +g974 +tp1352 +a(g826 +g974 +tp1353 +a(g8 +V// map package to JavaPod\u000a +p1354 +tp1355 +a(g826 +g974 +tp1356 +a(g826 +g974 +tp1357 +a(g826 +g974 +tp1358 +a(g826 +g974 +tp1359 +a(g744 +Vreturn +p1360 +tp1361 +a(g826 +g974 +tp1362 +a(g826 +g1305 +tp1363 +a(g826 +g1059 +tp1364 +a(g826 +g1218 +tp1365 +a(g826 +g1059 +tp1366 +a(g826 +g1064 +tp1367 +a(g826 +g1291 +tp1368 +a(g826 +g1337 +tp1369 +a(g705 +g1036 +tp1370 +a(g693 +Vthis +p1371 +tp1372 +a(g826 +g1043 +tp1373 +a(g826 +g974 +tp1374 +a(g826 +g1190 +tp1375 +a(g826 +g1059 +tp1376 +a(g826 +g1193 +tp1377 +a(g826 +g1195 +tp1378 +a(g826 +g1043 +tp1379 +a(g826 +g974 +tp1380 +a(g826 +g1041 +tp1381 +a(g826 +g1057 +tp1382 +a(g826 +g1059 +tp1383 +a(g826 +g1061 +tp1384 +a(g826 +g1061 +tp1385 +a(g826 +g1195 +tp1386 +a(g826 +g1061 +tp1387 +a(g705 +g1075 +tp1388 +a(g826 +V\u000a +p1389 +tp1390 +a(g826 +g974 +tp1391 +a(g826 +g974 +tp1392 +a(g826 +g1117 +tp1393 +a(g826 +V\u000a +p1394 +tp1395 +a(g826 +V\u000a +p1396 +tp1397 +a(g826 +g974 +tp1398 +a(g826 +g974 +tp1399 +a(g882 +V**\u000a +p1400 +tp1401 +a(g826 +g974 +tp1402 +a(g826 +g974 +tp1403 +a(g882 +V** Map class meta-data and Java members to Fantom slots\u000a +p1404 +tp1405 +a(g826 +g974 +tp1406 +a(g826 +g974 +tp1407 +a(g882 +V** for the specified JavaType.\u000a +p1408 +tp1409 +a(g826 +g974 +tp1410 +a(g826 +g974 +tp1411 +a(g882 +V**\u000a +p1412 +tp1413 +a(g826 +g974 +tp1414 +a(g826 +g974 +tp1415 +a(g744 +Vvirtual +p1416 +tp1417 +a(g826 +g974 +tp1418 +a(g628 +VVoid +p1419 +tp1420 +a(g826 +g974 +tp1421 +a(g573 +VloadType +p1422 +tp1423 +a(g705 +g1036 +tp1424 +a(g628 +VJavaType +p1425 +tp1426 +a(g826 +g974 +tp1427 +a(g440 +Vtype +p1428 +tp1429 +a(g705 +g1043 +tp1430 +a(g826 +g974 +tp1431 +a(g628 +VStr +p1432 +tp1433 +a(g705 +g996 +tp1434 +a(g628 +VCSlot +p1435 +tp1436 +a(g826 +g974 +tp1437 +a(g440 +Vslots +p1438 +tp1439 +a(g705 +g1075 +tp1440 +a(g826 +V\u000a +p1441 +tp1442 +a(g826 +g974 +tp1443 +a(g826 +g974 +tp1444 +a(g705 +g1003 +tp1445 +a(g826 +V\u000a +p1446 +tp1447 +a(g826 +g974 +tp1448 +a(g826 +g974 +tp1449 +a(g826 +g974 +tp1450 +a(g826 +g974 +tp1451 +a(g826 +g1305 +tp1452 +a(g826 +g1059 +tp1453 +a(g826 +g1218 +tp1454 +a(g826 +g1059 +tp1455 +a(g826 +VR +p1456 +tp1457 +a(g826 +g1195 +tp1458 +a(g826 +g1331 +tp1459 +a(g826 +g1057 +tp1460 +a(g826 +g1195 +tp1461 +a(g826 +g1041 +tp1462 +a(g826 +g1067 +tp1463 +a(g412 +g1071 +tp1464 +a(g573 +VloadType +p1465 +tp1466 +a(g705 +g1036 +tp1467 +a(g826 +g1067 +tp1468 +a(g826 +Vy +p1469 +tp1470 +a(g826 +g1111 +tp1471 +a(g826 +g1195 +tp1472 +a(g826 +g1043 +tp1473 +a(g826 +g974 +tp1474 +a(g826 +g1061 +tp1475 +a(g826 +g1057 +tp1476 +a(g826 +g1291 +tp1477 +a(g826 +g1067 +tp1478 +a(g826 +g1061 +tp1479 +a(g705 +g1075 +tp1480 +a(g826 +V\u000a +p1481 +tp1482 +a(g826 +g974 +tp1483 +a(g826 +g974 +tp1484 +a(g826 +g1117 +tp1485 +a(g826 +V\u000a +p1486 +tp1487 +a(g826 +V\u000a +p1488 +tp1489 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p1490 +tp1491 +a(g8 +V// Call Resolution\u000a +p1492 +tp1493 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p1494 +tp1495 +a(g826 +V\u000a +p1496 +tp1497 +a(g826 +g974 +tp1498 +a(g826 +g974 +tp1499 +a(g882 +V**\u000a +p1500 +tp1501 +a(g826 +g974 +tp1502 +a(g826 +g974 +tp1503 +a(g882 +V** Resolve a construction call to a Java constructor.\u000a +p1504 +tp1505 +a(g826 +g974 +tp1506 +a(g826 +g974 +tp1507 +a(g882 +V**\u000a +p1508 +tp1509 +a(g826 +g974 +tp1510 +a(g826 +g974 +tp1511 +a(g744 +Voverride +p1512 +tp1513 +a(g826 +g974 +tp1514 +a(g628 +VExpr +p1515 +tp1516 +a(g826 +g974 +tp1517 +a(g573 +VresolveConstruction +p1518 +tp1519 +a(g705 +g1036 +tp1520 +a(g628 +VCallExpr +p1521 +tp1522 +a(g826 +g974 +tp1523 +a(g440 +Vcall +p1524 +tp1525 +a(g705 +g1075 +tp1526 +a(g826 +V\u000a +p1527 +tp1528 +a(g826 +g974 +tp1529 +a(g826 +g974 +tp1530 +a(g705 +g1003 +tp1531 +a(g826 +V\u000a +p1532 +tp1533 +a(g826 +g974 +tp1534 +a(g826 +g974 +tp1535 +a(g826 +g974 +tp1536 +a(g826 +g974 +tp1537 +a(g8 +V// if the last argument is an it-block, then we know\u000a +p1538 +tp1539 +a(g826 +g974 +tp1540 +a(g826 +g974 +tp1541 +a(g826 +g974 +tp1542 +a(g826 +g974 +tp1543 +a(g8 +V// right away that we will not be passing it thru to Java,\u000a +p1544 +tp1545 +a(g826 +g974 +tp1546 +a(g826 +g974 +tp1547 +a(g826 +g974 +tp1548 +a(g826 +g974 +tp1549 +a(g8 +V// so strip it off to be appended as call to Obj.with\u000a +p1550 +tp1551 +a(g826 +g974 +tp1552 +a(g826 +g974 +tp1553 +a(g826 +g974 +tp1554 +a(g826 +g974 +tp1555 +a(g440 +VitBlock +p1556 +tp1557 +a(g826 +g974 +tp1558 +a(g412 +V:= +p1559 +tp1560 +a(g826 +g974 +tp1561 +a(g826 +g1041 +tp1562 +a(g826 +g1059 +tp1563 +a(g826 +g1057 +tp1564 +a(g826 +g1057 +tp1565 +a(g412 +g1071 +tp1566 +a(g573 +Vargs +p1567 +tp1568 +a(g412 +g1071 +tp1569 +a(g573 +Vlast +p1570 +tp1571 +a(g826 +g974 +tp1572 +a(g744 +Vas +p1573 +tp1574 +a(g826 +g974 +tp1575 +a(g826 +g1055 +tp1576 +a(g826 +g1057 +tp1577 +a(g826 +g1291 +tp1578 +a(g826 +g1061 +tp1579 +a(g826 +g1334 +tp1580 +a(g826 +g1210 +tp1581 +a(g826 +g1195 +tp1582 +a(g826 +g1299 +tp1583 +a(g826 +Vx +p1584 +tp1585 +a(g826 +g1111 +tp1586 +a(g826 +g1210 +tp1587 +a(g826 +V\u000a +p1588 +tp1589 +a(g826 +g974 +tp1590 +a(g826 +g974 +tp1591 +a(g826 +g974 +tp1592 +a(g826 +g974 +tp1593 +a(g744 +Vif +p1594 +tp1595 +a(g826 +g974 +tp1596 +a(g705 +g1036 +tp1597 +a(g826 +g1212 +tp1598 +a(g826 +g1067 +tp1599 +a(g826 +VB +p1600 +tp1601 +a(g826 +g1057 +tp1602 +a(g826 +g1291 +tp1603 +a(g826 +g1041 +tp1604 +a(g826 +g1314 +tp1605 +a(g826 +g974 +tp1606 +a(g412 +V! +p1607 +tp1608 +a(g412 +g1107 +tp1609 +a(g826 +g974 +tp1610 +a(g763 +Vnull +p1611 +tp1612 +a(g826 +g974 +tp1613 +a(g412 +V&& +p1614 +tp1615 +a(g826 +g974 +tp1616 +a(g826 +g1212 +tp1617 +a(g826 +g1067 +tp1618 +a(g826 +g1600 +tp1619 +a(g826 +g1057 +tp1620 +a(g826 +g1291 +tp1621 +a(g826 +g1041 +tp1622 +a(g826 +g1314 +tp1623 +a(g412 +g1071 +tp1624 +a(g573 +VisItBlock +p1625 +tp1626 +a(g705 +g1075 +tp1627 +a(g826 +V\u000a +p1628 +tp1629 +a(g826 +g974 +tp1630 +a(g826 +g974 +tp1631 +a(g826 +g974 +tp1632 +a(g826 +g974 +tp1633 +a(g826 +g974 +tp1634 +a(g826 +g974 +tp1635 +a(g826 +g1041 +tp1636 +a(g826 +g1059 +tp1637 +a(g826 +g1057 +tp1638 +a(g826 +g1057 +tp1639 +a(g412 +g1071 +tp1640 +a(g573 +Vargs +p1641 +tp1642 +a(g412 +g1071 +tp1643 +a(g573 +VremoveAt +p1644 +tp1645 +a(g705 +g1036 +tp1646 +a(g412 +V- +p1647 +tp1648 +a(g37 +V1 +p1649 +tp1650 +a(g705 +g1075 +tp1651 +a(g826 +V\u000a +p1652 +tp1653 +a(g826 +g974 +tp1654 +a(g826 +g974 +tp1655 +a(g826 +g974 +tp1656 +a(g826 +g974 +tp1657 +a(g744 +Velse +p1658 +tp1659 +a(g826 +V\u000a +p1660 +tp1661 +a(g826 +g974 +tp1662 +a(g826 +g974 +tp1663 +a(g826 +g974 +tp1664 +a(g826 +g974 +tp1665 +a(g826 +g974 +tp1666 +a(g826 +g974 +tp1667 +a(g826 +g1212 +tp1668 +a(g826 +g1067 +tp1669 +a(g826 +g1600 +tp1670 +a(g826 +g1057 +tp1671 +a(g826 +g1291 +tp1672 +a(g826 +g1041 +tp1673 +a(g826 +g1314 +tp1674 +a(g826 +g974 +tp1675 +a(g412 +g1107 +tp1676 +a(g826 +g974 +tp1677 +a(g763 +Vnull +p1678 +tp1679 +a(g826 +V\u000a +p1680 +tp1681 +a(g826 +V\u000a +p1682 +tp1683 +a(g826 +g974 +tp1684 +a(g826 +g974 +tp1685 +a(g826 +g974 +tp1686 +a(g826 +g974 +tp1687 +a(g8 +V// if this is an interop array like IntArray/int[] use make\u000a +p1688 +tp1689 +a(g826 +g974 +tp1690 +a(g826 +g974 +tp1691 +a(g826 +g974 +tp1692 +a(g826 +g974 +tp1693 +a(g8 +V// factory otherwise look for Java constructor called \u000a +p1694 +tp1695 +a(g826 +g974 +tp1696 +a(g826 +g974 +tp1697 +a(g826 +g974 +tp1698 +a(g826 +g974 +tp1699 +a(g628 +VJavaType +p1700 +tp1701 +a(g826 +g974 +tp1702 +a(g440 +Vbase +p1703 +tp1704 +a(g826 +g974 +tp1705 +a(g412 +V:= +p1706 +tp1707 +a(g826 +g974 +tp1708 +a(g826 +g1041 +tp1709 +a(g826 +g1059 +tp1710 +a(g826 +g1057 +tp1711 +a(g826 +g1057 +tp1712 +a(g412 +g1071 +tp1713 +a(g573 +Vtarget +p1714 +tp1715 +a(g412 +g1071 +tp1716 +a(g573 +Vctype +p1717 +tp1718 +a(g826 +V\u000a +p1719 +tp1720 +a(g826 +g974 +tp1721 +a(g826 +g974 +tp1722 +a(g826 +g974 +tp1723 +a(g826 +g974 +tp1724 +a(g744 +Vif +p1725 +tp1726 +a(g826 +g974 +tp1727 +a(g705 +g1036 +tp1728 +a(g826 +Vb +p1729 +tp1730 +a(g826 +g1059 +tp1731 +a(g826 +g1061 +tp1732 +a(g826 +g1195 +tp1733 +a(g412 +g1071 +tp1734 +a(g573 +VisInteropArray +p1735 +tp1736 +a(g705 +g1075 +tp1737 +a(g826 +V\u000a +p1738 +tp1739 +a(g826 +g974 +tp1740 +a(g826 +g974 +tp1741 +a(g826 +g974 +tp1742 +a(g826 +g974 +tp1743 +a(g826 +g974 +tp1744 +a(g826 +g974 +tp1745 +a(g826 +g1041 +tp1746 +a(g826 +g1059 +tp1747 +a(g826 +g1057 +tp1748 +a(g826 +g1057 +tp1749 +a(g412 +g1071 +tp1750 +a(g573 +Vmethod +p1751 +tp1752 +a(g826 +g974 +tp1753 +a(g412 +g1107 +tp1754 +a(g826 +g974 +tp1755 +a(g826 +g1729 +tp1756 +a(g826 +g1059 +tp1757 +a(g826 +g1061 +tp1758 +a(g826 +g1195 +tp1759 +a(g412 +g1071 +tp1760 +a(g573 +Vmethod +p1761 +tp1762 +a(g705 +g1036 +tp1763 +a(g705 +g1201 +tp1764 +a(g89 +g1193 +tp1765 +a(g89 +g1059 +tp1766 +a(g89 +g1314 +tp1767 +a(g89 +g1195 +tp1768 +a(g705 +g1201 +tp1769 +a(g705 +g1075 +tp1770 +a(g826 +V\u000a +p1771 +tp1772 +a(g826 +g974 +tp1773 +a(g826 +g974 +tp1774 +a(g826 +g974 +tp1775 +a(g826 +g974 +tp1776 +a(g744 +Velse +p1777 +tp1778 +a(g826 +V\u000a +p1779 +tp1780 +a(g826 +g974 +tp1781 +a(g826 +g974 +tp1782 +a(g826 +g974 +tp1783 +a(g826 +g974 +tp1784 +a(g826 +g974 +tp1785 +a(g826 +g974 +tp1786 +a(g826 +g1041 +tp1787 +a(g826 +g1059 +tp1788 +a(g826 +g1057 +tp1789 +a(g826 +g1057 +tp1790 +a(g412 +g1071 +tp1791 +a(g573 +Vmethod +p1792 +tp1793 +a(g826 +g974 +tp1794 +a(g412 +g1107 +tp1795 +a(g826 +g974 +tp1796 +a(g826 +g1729 +tp1797 +a(g826 +g1059 +tp1798 +a(g826 +g1061 +tp1799 +a(g826 +g1195 +tp1800 +a(g412 +g1071 +tp1801 +a(g573 +Vmethod +p1802 +tp1803 +a(g705 +g1036 +tp1804 +a(g705 +g1201 +tp1805 +a(g89 +V< +p1806 +tp1807 +a(g89 +g1212 +tp1808 +a(g89 +g1190 +tp1809 +a(g89 +g1212 +tp1810 +a(g89 +g1067 +tp1811 +a(g89 +V> +p1812 +tp1813 +a(g705 +g1201 +tp1814 +a(g705 +g1075 +tp1815 +a(g826 +V\u000a +p1816 +tp1817 +a(g826 +V\u000a +p1818 +tp1819 +a(g826 +g974 +tp1820 +a(g826 +g974 +tp1821 +a(g826 +g974 +tp1822 +a(g826 +g974 +tp1823 +a(g8 +V// call resolution to deal with overloading\u000a +p1824 +tp1825 +a(g826 +g974 +tp1826 +a(g826 +g974 +tp1827 +a(g826 +g974 +tp1828 +a(g826 +g974 +tp1829 +a(g826 +g1041 +tp1830 +a(g826 +g1059 +tp1831 +a(g826 +g1057 +tp1832 +a(g826 +g1057 +tp1833 +a(g826 +g974 +tp1834 +a(g412 +g1107 +tp1835 +a(g826 +g974 +tp1836 +a(g826 +g1210 +tp1837 +a(g826 +g1195 +tp1838 +a(g826 +g1061 +tp1839 +a(g826 +g1291 +tp1840 +a(g826 +g1057 +tp1841 +a(g826 +g1218 +tp1842 +a(g826 +g1195 +tp1843 +a(g826 +g1055 +tp1844 +a(g826 +g1059 +tp1845 +a(g826 +g1057 +tp1846 +a(g826 +g1057 +tp1847 +a(g705 +g1036 +tp1848 +a(g826 +g1041 +tp1849 +a(g826 +g1059 +tp1850 +a(g826 +g1057 +tp1851 +a(g826 +g1057 +tp1852 +a(g705 +g1075 +tp1853 +a(g826 +V\u000a +p1854 +tp1855 +a(g826 +V\u000a +p1856 +tp1857 +a(g826 +g974 +tp1858 +a(g826 +g974 +tp1859 +a(g826 +g974 +tp1860 +a(g826 +g974 +tp1861 +a(g8 +V// we need to create an implicit target for the Java runtime\u000a +p1862 +tp1863 +a(g826 +g974 +tp1864 +a(g826 +g974 +tp1865 +a(g826 +g974 +tp1866 +a(g826 +g974 +tp1867 +a(g8 +V// to perform the new opcode to ensure it is on the stack\u000a +p1868 +tp1869 +a(g826 +g974 +tp1870 +a(g826 +g974 +tp1871 +a(g826 +g974 +tp1872 +a(g826 +g974 +tp1873 +a(g8 +V// before the args (we don't do this for interop Array classes)\u000a +p1874 +tp1875 +a(g826 +g974 +tp1876 +a(g826 +g974 +tp1877 +a(g826 +g974 +tp1878 +a(g826 +g974 +tp1879 +a(g744 +Vif +p1880 +tp1881 +a(g826 +g974 +tp1882 +a(g705 +g1036 +tp1883 +a(g412 +g1607 +tp1884 +a(g826 +g1729 +tp1885 +a(g826 +g1059 +tp1886 +a(g826 +g1061 +tp1887 +a(g826 +g1195 +tp1888 +a(g412 +g1071 +tp1889 +a(g573 +VisInteropArray +p1890 +tp1891 +a(g705 +g1075 +tp1892 +a(g826 +V\u000a +p1893 +tp1894 +a(g826 +g974 +tp1895 +a(g826 +g974 +tp1896 +a(g826 +g974 +tp1897 +a(g826 +g974 +tp1898 +a(g705 +g1003 +tp1899 +a(g826 +V\u000a +p1900 +tp1901 +a(g826 +g974 +tp1902 +a(g826 +g974 +tp1903 +a(g826 +g974 +tp1904 +a(g826 +g974 +tp1905 +a(g826 +g974 +tp1906 +a(g826 +g974 +tp1907 +a(g440 +Vloc +p1908 +tp1909 +a(g826 +g974 +tp1910 +a(g412 +V:= +p1911 +tp1912 +a(g826 +g974 +tp1913 +a(g826 +g1041 +tp1914 +a(g826 +g1059 +tp1915 +a(g826 +g1057 +tp1916 +a(g826 +g1057 +tp1917 +a(g412 +g1071 +tp1918 +a(g573 +Vloc +p1919 +tp1920 +a(g826 +V\u000a +p1921 +tp1922 +a(g826 +g974 +tp1923 +a(g826 +g974 +tp1924 +a(g826 +g974 +tp1925 +a(g826 +g974 +tp1926 +a(g826 +g974 +tp1927 +a(g826 +g974 +tp1928 +a(g826 +g1041 +tp1929 +a(g826 +g1059 +tp1930 +a(g826 +g1057 +tp1931 +a(g826 +g1057 +tp1932 +a(g412 +g1071 +tp1933 +a(g573 +Vtarget +p1934 +tp1935 +a(g826 +g974 +tp1936 +a(g412 +g1107 +tp1937 +a(g826 +g974 +tp1938 +a(g826 +g1055 +tp1939 +a(g826 +g1059 +tp1940 +a(g826 +g1057 +tp1941 +a(g826 +g1057 +tp1942 +a(g826 +g1299 +tp1943 +a(g826 +g1584 +tp1944 +a(g826 +g1111 +tp1945 +a(g826 +g1210 +tp1946 +a(g412 +g1071 +tp1947 +a(g573 +VmakeWithMethod +p1948 +tp1949 +a(g705 +g1036 +tp1950 +a(g826 +g1057 +tp1951 +a(g826 +g1291 +tp1952 +a(g826 +g1041 +tp1953 +a(g826 +g1043 +tp1954 +a(g826 +g974 +tp1955 +a(g763 +Vnull +p1956 +tp1957 +a(g826 +g1043 +tp1958 +a(g826 +g974 +tp1959 +a(g826 +g1729 +tp1960 +a(g826 +g1059 +tp1961 +a(g826 +g1061 +tp1962 +a(g826 +g1195 +tp1963 +a(g412 +g1071 +tp1964 +a(g573 +VnewMethod +p1965 +tp1966 +a(g705 +g1075 +tp1967 +a(g826 +g974 +tp1968 +a(g705 +g1003 +tp1969 +a(g826 +g974 +tp1970 +a(g826 +g1061 +tp1971 +a(g826 +g1469 +tp1972 +a(g826 +g1190 +tp1973 +a(g826 +g1067 +tp1974 +a(g826 +g1069 +tp1975 +a(g826 +g1195 +tp1976 +a(g826 +g1067 +tp1977 +a(g826 +g1212 +tp1978 +a(g826 +g1041 +tp1979 +a(g412 +g1107 +tp1980 +a(g763 +Vtrue +p1981 +tp1982 +a(g826 +g974 +tp1983 +a(g705 +g1117 +tp1984 +a(g826 +V\u000a +p1985 +tp1986 +a(g826 +g974 +tp1987 +a(g826 +g974 +tp1988 +a(g826 +g974 +tp1989 +a(g826 +g974 +tp1990 +a(g826 +g1117 +tp1991 +a(g826 +V\u000a +p1992 +tp1993 +a(g826 +V\u000a +p1994 +tp1995 +a(g826 +g974 +tp1996 +a(g826 +g974 +tp1997 +a(g826 +g974 +tp1998 +a(g826 +g974 +tp1999 +a(g8 +V// if we stripped an it-block argument,\u000a +p2000 +tp2001 +a(g826 +g974 +tp2002 +a(g826 +g974 +tp2003 +a(g826 +g974 +tp2004 +a(g826 +g974 +tp2005 +a(g8 +V// add it as trailing call to Obj.with\u000a +p2006 +tp2007 +a(g826 +g974 +tp2008 +a(g826 +g974 +tp2009 +a(g826 +g974 +tp2010 +a(g826 +g974 +tp2011 +a(g744 +Vif +p2012 +tp2013 +a(g826 +g974 +tp2014 +a(g705 +g1036 +tp2015 +a(g826 +g1212 +tp2016 +a(g826 +g1067 +tp2017 +a(g826 +g1600 +tp2018 +a(g826 +g1057 +tp2019 +a(g826 +g1291 +tp2020 +a(g826 +g1041 +tp2021 +a(g826 +g1314 +tp2022 +a(g826 +g974 +tp2023 +a(g412 +g1607 +tp2024 +a(g412 +g1107 +tp2025 +a(g826 +g974 +tp2026 +a(g763 +Vnull +p2027 +tp2028 +a(g705 +g1075 +tp2029 +a(g826 +g974 +tp2030 +a(g744 +Vreturn +p2031 +tp2032 +a(g826 +g974 +tp2033 +a(g826 +g1212 +tp2034 +a(g826 +g1067 +tp2035 +a(g826 +g1600 +tp2036 +a(g826 +g1057 +tp2037 +a(g826 +g1291 +tp2038 +a(g826 +g1041 +tp2039 +a(g826 +g1314 +tp2040 +a(g412 +g1071 +tp2041 +a(g573 +VtoWith +p2042 +tp2043 +a(g705 +g1036 +tp2044 +a(g826 +g1041 +tp2045 +a(g826 +g1059 +tp2046 +a(g826 +g1057 +tp2047 +a(g826 +g1057 +tp2048 +a(g705 +g1075 +tp2049 +a(g826 +V\u000a +p2050 +tp2051 +a(g826 +g974 +tp2052 +a(g826 +g974 +tp2053 +a(g826 +g974 +tp2054 +a(g826 +g974 +tp2055 +a(g744 +Vreturn +p2056 +tp2057 +a(g826 +g974 +tp2058 +a(g826 +g1041 +tp2059 +a(g826 +g1059 +tp2060 +a(g826 +g1057 +tp2061 +a(g826 +g1057 +tp2062 +a(g826 +V\u000a +p2063 +tp2064 +a(g826 +g974 +tp2065 +a(g826 +g974 +tp2066 +a(g826 +g1117 +tp2067 +a(g826 +V\u000a +p2068 +tp2069 +a(g826 +V\u000a +p2070 +tp2071 +a(g826 +g974 +tp2072 +a(g826 +g974 +tp2073 +a(g882 +V**\u000a +p2074 +tp2075 +a(g826 +g974 +tp2076 +a(g826 +g974 +tp2077 +a(g882 +V** Resolve a construction chain call where a Fantom constructor\u000a +p2078 +tp2079 +a(g826 +g974 +tp2080 +a(g826 +g974 +tp2081 +a(g882 +V** calls the super-class constructor. Type check the arguments\u000a +p2082 +tp2083 +a(g826 +g974 +tp2084 +a(g826 +g974 +tp2085 +a(g882 +V** and insert any conversions needed.\u000a +p2086 +tp2087 +a(g826 +g974 +tp2088 +a(g826 +g974 +tp2089 +a(g882 +V**\u000a +p2090 +tp2091 +a(g826 +g974 +tp2092 +a(g826 +g974 +tp2093 +a(g744 +Voverride +p2094 +tp2095 +a(g826 +g974 +tp2096 +a(g628 +VExpr +p2097 +tp2098 +a(g826 +g974 +tp2099 +a(g573 +VresolveConstructorChain +p2100 +tp2101 +a(g705 +g1036 +tp2102 +a(g628 +VCallExpr +p2103 +tp2104 +a(g826 +g974 +tp2105 +a(g440 +Vcall +p2106 +tp2107 +a(g705 +g1075 +tp2108 +a(g826 +V\u000a +p2109 +tp2110 +a(g826 +g974 +tp2111 +a(g826 +g974 +tp2112 +a(g705 +g1003 +tp2113 +a(g826 +V\u000a +p2114 +tp2115 +a(g826 +g974 +tp2116 +a(g826 +g974 +tp2117 +a(g826 +g974 +tp2118 +a(g826 +g974 +tp2119 +a(g8 +V// we don't allow chaining to a this ctor for Java FFI\u000a +p2120 +tp2121 +a(g826 +g974 +tp2122 +a(g826 +g974 +tp2123 +a(g826 +g974 +tp2124 +a(g826 +g974 +tp2125 +a(g744 +Vif +p2126 +tp2127 +a(g826 +g974 +tp2128 +a(g705 +g1036 +tp2129 +a(g826 +g1041 +tp2130 +a(g826 +g1059 +tp2131 +a(g826 +g1057 +tp2132 +a(g826 +g1057 +tp2133 +a(g412 +g1071 +tp2134 +a(g573 +Vtarget +p2135 +tp2136 +a(g412 +g1071 +tp2137 +a(g573 +Vid +p2138 +tp2139 +a(g826 +g974 +tp2140 +a(g412 +g1607 +tp2141 +a(g412 +g1107 +tp2142 +a(g412 +g1107 +tp2143 +a(g826 +g974 +tp2144 +a(g826 +g1299 +tp2145 +a(g826 +g1584 +tp2146 +a(g826 +g1111 +tp2147 +a(g826 +g1210 +tp2148 +a(g826 +VI +p2149 +tp2150 +a(g826 +g1337 +tp2151 +a(g412 +g1071 +tp2152 +a(g573 +VsuperExpr +p2153 +tp2154 +a(g705 +g1075 +tp2155 +a(g826 +V\u000a +p2156 +tp2157 +a(g826 +g974 +tp2158 +a(g826 +g974 +tp2159 +a(g826 +g974 +tp2160 +a(g826 +g974 +tp2161 +a(g826 +g974 +tp2162 +a(g826 +g974 +tp2163 +a(g744 +Vthrow +p2164 +tp2165 +a(g826 +g974 +tp2166 +a(g826 +g1195 +tp2167 +a(g826 +g1210 +tp2168 +a(g826 +g1210 +tp2169 +a(g705 +g1036 +tp2170 +a(g705 +g1201 +tp2171 +a(g89 +VM +p2172 +tp2173 +a(g89 +g1334 +tp2174 +a(g89 +g1061 +tp2175 +a(g89 +g1067 +tp2176 +a(g89 +g974 +tp2177 +a(g89 +g1334 +tp2178 +a(g89 +g1061 +tp2179 +a(g89 +g1195 +tp2180 +a(g89 +g974 +tp2181 +a(g89 +g1061 +tp2182 +a(g89 +g1334 +tp2183 +a(g89 +g1111 +tp2184 +a(g89 +g1195 +tp2185 +a(g89 +g1210 +tp2186 +a(g89 +g974 +tp2187 +a(g89 +g1041 +tp2188 +a(g89 +g1291 +tp2189 +a(g89 +g1190 +tp2190 +a(g89 +g1061 +tp2191 +a(g89 +g1067 +tp2192 +a(g89 +g1210 +tp2193 +a(g89 +g1334 +tp2194 +a(g89 +g1041 +tp2195 +a(g89 +g1067 +tp2196 +a(g89 +g1291 +tp2197 +a(g89 +g1210 +tp2198 +a(g89 +g974 +tp2199 +a(g89 +g1041 +tp2200 +a(g89 +g1059 +tp2201 +a(g89 +g1057 +tp2202 +a(g89 +g1057 +tp2203 +a(g89 +g974 +tp2204 +a(g89 +g1212 +tp2205 +a(g89 +g1190 +tp2206 +a(g89 +g974 +tp2207 +a(g89 +g1305 +tp2208 +a(g89 +g1059 +tp2209 +a(g89 +g1218 +tp2210 +a(g89 +g1059 +tp2211 +a(g89 +g974 +tp2212 +a(g89 +VF +p2213 +tp2214 +a(g89 +g2213 +tp2215 +a(g89 +g2149 +tp2216 +a(g705 +g1201 +tp2217 +a(g826 +g1043 +tp2218 +a(g826 +g974 +tp2219 +a(g826 +g1041 +tp2220 +a(g826 +g1059 +tp2221 +a(g826 +g1057 +tp2222 +a(g826 +g1057 +tp2223 +a(g412 +g1071 +tp2224 +a(g573 +Vloc +p2225 +tp2226 +a(g705 +g1075 +tp2227 +a(g826 +V\u000a +p2228 +tp2229 +a(g826 +V\u000a +p2230 +tp2231 +a(g826 +g974 +tp2232 +a(g826 +g974 +tp2233 +a(g826 +g974 +tp2234 +a(g826 +g974 +tp2235 +a(g8 +V// route to a superclass constructor\u000a +p2236 +tp2237 +a(g826 +g974 +tp2238 +a(g826 +g974 +tp2239 +a(g826 +g974 +tp2240 +a(g826 +g974 +tp2241 +a(g628 +VJavaType +p2242 +tp2243 +a(g826 +g974 +tp2244 +a(g440 +Vbase +p2245 +tp2246 +a(g826 +g974 +tp2247 +a(g412 +V:= +p2248 +tp2249 +a(g826 +g974 +tp2250 +a(g826 +g1041 +tp2251 +a(g826 +g1059 +tp2252 +a(g826 +g1057 +tp2253 +a(g826 +g1057 +tp2254 +a(g412 +g1071 +tp2255 +a(g573 +Vtarget +p2256 +tp2257 +a(g412 +g1071 +tp2258 +a(g573 +Vctype +p2259 +tp2260 +a(g412 +g1071 +tp2261 +a(g573 +Vderef +p2262 +tp2263 +a(g826 +V\u000a +p2264 +tp2265 +a(g826 +g974 +tp2266 +a(g826 +g974 +tp2267 +a(g826 +g974 +tp2268 +a(g826 +g974 +tp2269 +a(g826 +g1041 +tp2270 +a(g826 +g1059 +tp2271 +a(g826 +g1057 +tp2272 +a(g826 +g1057 +tp2273 +a(g412 +g1071 +tp2274 +a(g573 +Vmethod +p2275 +tp2276 +a(g826 +g974 +tp2277 +a(g412 +g1107 +tp2278 +a(g826 +g974 +tp2279 +a(g826 +g1729 +tp2280 +a(g826 +g1059 +tp2281 +a(g826 +g1061 +tp2282 +a(g826 +g1195 +tp2283 +a(g412 +g1071 +tp2284 +a(g573 +Vmethod +p2285 +tp2286 +a(g705 +g1036 +tp2287 +a(g705 +g1201 +tp2288 +a(g89 +g1806 +tp2289 +a(g89 +g1212 +tp2290 +a(g89 +g1190 +tp2291 +a(g89 +g1212 +tp2292 +a(g89 +g1067 +tp2293 +a(g89 +g1812 +tp2294 +a(g705 +g1201 +tp2295 +a(g705 +g1075 +tp2296 +a(g826 +V\u000a +p2297 +tp2298 +a(g826 +V\u000a +p2299 +tp2300 +a(g826 +g974 +tp2301 +a(g826 +g974 +tp2302 +a(g826 +g974 +tp2303 +a(g826 +g974 +tp2304 +a(g8 +V// call resolution to deal with overloading\u000a +p2305 +tp2306 +a(g826 +g974 +tp2307 +a(g826 +g974 +tp2308 +a(g826 +g974 +tp2309 +a(g826 +g974 +tp2310 +a(g744 +Vreturn +p2311 +tp2312 +a(g826 +g974 +tp2313 +a(g826 +g1210 +tp2314 +a(g826 +g1195 +tp2315 +a(g826 +g1061 +tp2316 +a(g826 +g1291 +tp2317 +a(g826 +g1057 +tp2318 +a(g826 +g1218 +tp2319 +a(g826 +g1195 +tp2320 +a(g826 +g1055 +tp2321 +a(g826 +g1059 +tp2322 +a(g826 +g1057 +tp2323 +a(g826 +g1057 +tp2324 +a(g705 +g1036 +tp2325 +a(g826 +g1041 +tp2326 +a(g826 +g1059 +tp2327 +a(g826 +g1057 +tp2328 +a(g826 +g1057 +tp2329 +a(g705 +g1075 +tp2330 +a(g826 +V\u000a +p2331 +tp2332 +a(g826 +g974 +tp2333 +a(g826 +g974 +tp2334 +a(g826 +g1117 +tp2335 +a(g826 +V\u000a +p2336 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g826 +g974 +tp2340 +a(g826 +g974 +tp2341 +a(g882 +V**\u000a +p2342 +tp2343 +a(g826 +g974 +tp2344 +a(g826 +g974 +tp2345 +a(g882 +V** Given a dot operator slot access on the given foreign\u000a +p2346 +tp2347 +a(g826 +g974 +tp2348 +a(g826 +g974 +tp2349 +a(g882 +V** base type, determine the appopriate slot to use based on\u000a +p2350 +tp2351 +a(g826 +g974 +tp2352 +a(g826 +g974 +tp2353 +a(g882 +V** whether parens were used\u000a +p2354 +tp2355 +a(g826 +g974 +tp2356 +a(g826 +g974 +tp2357 +a(g882 +V** base.name => noParens = true\u000a +p2358 +tp2359 +a(g826 +g974 +tp2360 +a(g826 +g974 +tp2361 +a(g882 +V** base.name() => noParens = false\u000a +p2362 +tp2363 +a(g826 +g974 +tp2364 +a(g826 +g974 +tp2365 +a(g882 +V**\u000a +p2366 +tp2367 +a(g826 +g974 +tp2368 +a(g826 +g974 +tp2369 +a(g882 +V** In Java a given name could be bound to both a field and\u000a +p2370 +tp2371 +a(g826 +g974 +tp2372 +a(g826 +g974 +tp2373 +a(g882 +V** a method. In this case we only resolve the field if\u000a +p2374 +tp2375 +a(g826 +g974 +tp2376 +a(g826 +g974 +tp2377 +a(g882 +V** no parens are used. We also handle the special case of\u000a +p2378 +tp2379 +a(g826 +g974 +tp2380 +a(g826 +g974 +tp2381 +a(g882 +V** Java annotations here because their element methods are\u000a +p2382 +tp2383 +a(g826 +g974 +tp2384 +a(g826 +g974 +tp2385 +a(g882 +V** also mapped as Fantom fields (instance based mixin field).\u000a +p2386 +tp2387 +a(g826 +g974 +tp2388 +a(g826 +g974 +tp2389 +a(g882 +V**\u000a +p2390 +tp2391 +a(g826 +g974 +tp2392 +a(g826 +g974 +tp2393 +a(g744 +Voverride +p2394 +tp2395 +a(g826 +g974 +tp2396 +a(g628 +VCSlot +p2397 +tp2398 +a(g705 +g1163 +tp2399 +a(g826 +g974 +tp2400 +a(g573 +VresolveSlotAccess +p2401 +tp2402 +a(g705 +g1036 +tp2403 +a(g628 +VCType +p2404 +tp2405 +a(g826 +g974 +tp2406 +a(g440 +Vbase +p2407 +tp2408 +a(g705 +g1043 +tp2409 +a(g826 +g974 +tp2410 +a(g628 +VStr +p2411 +tp2412 +a(g826 +g974 +tp2413 +a(g440 +Vname +p2414 +tp2415 +a(g705 +g1043 +tp2416 +a(g826 +g974 +tp2417 +a(g628 +VBool +p2418 +tp2419 +a(g826 +g974 +tp2420 +a(g440 +VnoParens +p2421 +tp2422 +a(g705 +g1075 +tp2423 +a(g826 +V\u000a +p2424 +tp2425 +a(g826 +g974 +tp2426 +a(g826 +g974 +tp2427 +a(g705 +g1003 +tp2428 +a(g826 +V\u000a +p2429 +tp2430 +a(g826 +g974 +tp2431 +a(g826 +g974 +tp2432 +a(g826 +g974 +tp2433 +a(g826 +g974 +tp2434 +a(g8 +V// first try to resolve as a field\u000a +p2435 +tp2436 +a(g826 +g974 +tp2437 +a(g826 +g974 +tp2438 +a(g826 +g974 +tp2439 +a(g826 +g974 +tp2440 +a(g440 +Vfield +p2441 +tp2442 +a(g826 +g974 +tp2443 +a(g412 +V:= +p2444 +tp2445 +a(g826 +g974 +tp2446 +a(g826 +g1729 +tp2447 +a(g826 +g1059 +tp2448 +a(g826 +g1061 +tp2449 +a(g826 +g1195 +tp2450 +a(g412 +g1071 +tp2451 +a(g573 +Vfield +p2452 +tp2453 +a(g705 +g1036 +tp2454 +a(g826 +g1190 +tp2455 +a(g826 +g1059 +tp2456 +a(g826 +g1193 +tp2457 +a(g826 +g1195 +tp2458 +a(g705 +g1075 +tp2459 +a(g826 +V\u000a +p2460 +tp2461 +a(g826 +g974 +tp2462 +a(g826 +g974 +tp2463 +a(g826 +g974 +tp2464 +a(g826 +g974 +tp2465 +a(g744 +Vif +p2466 +tp2467 +a(g826 +g974 +tp2468 +a(g705 +g1036 +tp2469 +a(g826 +g1331 +tp2470 +a(g826 +g1212 +tp2471 +a(g826 +g1195 +tp2472 +a(g826 +g1057 +tp2473 +a(g826 +g1337 +tp2474 +a(g826 +g974 +tp2475 +a(g412 +g1607 +tp2476 +a(g412 +g1107 +tp2477 +a(g826 +g974 +tp2478 +a(g763 +Vnull +p2479 +tp2480 +a(g705 +g1075 +tp2481 +a(g826 +V\u000a +p2482 +tp2483 +a(g826 +g974 +tp2484 +a(g826 +g974 +tp2485 +a(g826 +g974 +tp2486 +a(g826 +g974 +tp2487 +a(g705 +g1003 +tp2488 +a(g826 +V\u000a +p2489 +tp2490 +a(g826 +g974 +tp2491 +a(g826 +g974 +tp2492 +a(g826 +g974 +tp2493 +a(g826 +g974 +tp2494 +a(g826 +g974 +tp2495 +a(g826 +g974 +tp2496 +a(g8 +V// if no () we used and this isn't an annotation field\u000a +p2497 +tp2498 +a(g826 +g974 +tp2499 +a(g826 +g974 +tp2500 +a(g826 +g974 +tp2501 +a(g826 +g974 +tp2502 +a(g826 +g974 +tp2503 +a(g826 +g974 +tp2504 +a(g744 +Vif +p2505 +tp2506 +a(g826 +g974 +tp2507 +a(g705 +g1036 +tp2508 +a(g826 +g1190 +tp2509 +a(g826 +g1291 +tp2510 +a(g826 +g1064 +tp2511 +a(g826 +g1059 +tp2512 +a(g826 +g1210 +tp2513 +a(g826 +g1195 +tp2514 +a(g826 +g1190 +tp2515 +a(g826 +g1061 +tp2516 +a(g826 +g974 +tp2517 +a(g412 +V&& +p2518 +tp2519 +a(g826 +g974 +tp2520 +a(g705 +g1036 +tp2521 +a(g826 +g1331 +tp2522 +a(g826 +g1212 +tp2523 +a(g826 +g1195 +tp2524 +a(g826 +g1057 +tp2525 +a(g826 +g1337 +tp2526 +a(g412 +g1071 +tp2527 +a(g573 +VisStatic +p2528 +tp2529 +a(g826 +g974 +tp2530 +a(g412 +V|| +p2531 +tp2532 +a(g826 +g974 +tp2533 +a(g412 +g1607 +tp2534 +a(g826 +g1729 +tp2535 +a(g826 +g1059 +tp2536 +a(g826 +g1061 +tp2537 +a(g826 +g1195 +tp2538 +a(g412 +g1071 +tp2539 +a(g573 +VisMixin +p2540 +tp2541 +a(g705 +g1075 +tp2542 +a(g705 +g1075 +tp2543 +a(g826 +V\u000a +p2544 +tp2545 +a(g826 +g974 +tp2546 +a(g826 +g974 +tp2547 +a(g826 +g974 +tp2548 +a(g826 +g974 +tp2549 +a(g826 +g974 +tp2550 +a(g826 +g974 +tp2551 +a(g826 +g974 +tp2552 +a(g826 +g974 +tp2553 +a(g744 +Vreturn +p2554 +tp2555 +a(g826 +g974 +tp2556 +a(g826 +g1331 +tp2557 +a(g826 +g1212 +tp2558 +a(g826 +g1195 +tp2559 +a(g826 +g1057 +tp2560 +a(g826 +g1337 +tp2561 +a(g826 +V\u000a +p2562 +tp2563 +a(g826 +V\u000a +p2564 +tp2565 +a(g826 +g974 +tp2566 +a(g826 +g974 +tp2567 +a(g826 +g974 +tp2568 +a(g826 +g974 +tp2569 +a(g826 +g974 +tp2570 +a(g826 +g974 +tp2571 +a(g8 +V// if we did find a field, then make sure we use that\u000a +p2572 +tp2573 +a(g826 +g974 +tp2574 +a(g826 +g974 +tp2575 +a(g826 +g974 +tp2576 +a(g826 +g974 +tp2577 +a(g826 +g974 +tp2578 +a(g826 +g974 +tp2579 +a(g8 +V// field's parent type to resolve a method (becuase the\u000a +p2580 +tp2581 +a(g826 +g974 +tp2582 +a(g826 +g974 +tp2583 +a(g826 +g974 +tp2584 +a(g826 +g974 +tp2585 +a(g826 +g974 +tp2586 +a(g826 +g974 +tp2587 +a(g8 +V// base type might be a sub-class of a Java type in which\u000a +p2588 +tp2589 +a(g826 +g974 +tp2590 +a(g826 +g974 +tp2591 +a(g826 +g974 +tp2592 +a(g826 +g974 +tp2593 +a(g826 +g974 +tp2594 +a(g826 +g974 +tp2595 +a(g8 +V// case it is unware of field/method overloads)\u000a +p2596 +tp2597 +a(g826 +g974 +tp2598 +a(g826 +g974 +tp2599 +a(g826 +g974 +tp2600 +a(g826 +g974 +tp2601 +a(g826 +g974 +tp2602 +a(g826 +g974 +tp2603 +a(g744 +Vreturn +p2604 +tp2605 +a(g826 +g974 +tp2606 +a(g826 +g1331 +tp2607 +a(g826 +g1212 +tp2608 +a(g826 +g1195 +tp2609 +a(g826 +g1057 +tp2610 +a(g826 +g1337 +tp2611 +a(g412 +g1071 +tp2612 +a(g573 +Vparent +p2613 +tp2614 +a(g412 +g1071 +tp2615 +a(g573 +Vmethod +p2616 +tp2617 +a(g705 +g1036 +tp2618 +a(g826 +g1190 +tp2619 +a(g826 +g1059 +tp2620 +a(g826 +g1193 +tp2621 +a(g826 +g1195 +tp2622 +a(g705 +g1075 +tp2623 +a(g826 +V\u000a +p2624 +tp2625 +a(g826 +g974 +tp2626 +a(g826 +g974 +tp2627 +a(g826 +g974 +tp2628 +a(g826 +g974 +tp2629 +a(g826 +g1117 +tp2630 +a(g826 +V\u000a +p2631 +tp2632 +a(g826 +V\u000a +p2633 +tp2634 +a(g826 +g974 +tp2635 +a(g826 +g974 +tp2636 +a(g826 +g974 +tp2637 +a(g826 +g974 +tp2638 +a(g8 +V// lookup method\u000a +p2639 +tp2640 +a(g826 +g974 +tp2641 +a(g826 +g974 +tp2642 +a(g826 +g974 +tp2643 +a(g826 +g974 +tp2644 +a(g744 +Vreturn +p2645 +tp2646 +a(g826 +g974 +tp2647 +a(g826 +g1729 +tp2648 +a(g826 +g1059 +tp2649 +a(g826 +g1061 +tp2650 +a(g826 +g1195 +tp2651 +a(g412 +g1071 +tp2652 +a(g573 +Vmethod +p2653 +tp2654 +a(g705 +g1036 +tp2655 +a(g826 +g1190 +tp2656 +a(g826 +g1059 +tp2657 +a(g826 +g1193 +tp2658 +a(g826 +g1195 +tp2659 +a(g705 +g1075 +tp2660 +a(g826 +V\u000a +p2661 +tp2662 +a(g826 +g974 +tp2663 +a(g826 +g974 +tp2664 +a(g826 +g1117 +tp2665 +a(g826 +V\u000a +p2666 +tp2667 +a(g826 +V\u000a +p2668 +tp2669 +a(g826 +g974 +tp2670 +a(g826 +g974 +tp2671 +a(g882 +V**\u000a +p2672 +tp2673 +a(g826 +g974 +tp2674 +a(g826 +g974 +tp2675 +a(g882 +V** Resolve a method call: try to find the best match\u000a +p2676 +tp2677 +a(g826 +g974 +tp2678 +a(g826 +g974 +tp2679 +a(g882 +V** and apply any coercions needed.\u000a +p2680 +tp2681 +a(g826 +g974 +tp2682 +a(g826 +g974 +tp2683 +a(g882 +V**\u000a +p2684 +tp2685 +a(g826 +g974 +tp2686 +a(g826 +g974 +tp2687 +a(g744 +Voverride +p2688 +tp2689 +a(g826 +g974 +tp2690 +a(g628 +VCallExpr +p2691 +tp2692 +a(g826 +g974 +tp2693 +a(g573 +VresolveCall +p2694 +tp2695 +a(g705 +g1036 +tp2696 +a(g628 +VCallExpr +p2697 +tp2698 +a(g826 +g974 +tp2699 +a(g440 +Vcall +p2700 +tp2701 +a(g705 +g1075 +tp2702 +a(g826 +V\u000a +p2703 +tp2704 +a(g826 +g974 +tp2705 +a(g826 +g974 +tp2706 +a(g705 +g1003 +tp2707 +a(g826 +V\u000a +p2708 +tp2709 +a(g826 +g974 +tp2710 +a(g826 +g974 +tp2711 +a(g826 +g974 +tp2712 +a(g826 +g974 +tp2713 +a(g8 +V// try to match against all the overloaded methods\u000a +p2714 +tp2715 +a(g826 +g974 +tp2716 +a(g826 +g974 +tp2717 +a(g826 +g974 +tp2718 +a(g826 +g974 +tp2719 +a(g440 +Vmatches +p2720 +tp2721 +a(g826 +g974 +tp2722 +a(g412 +V:= +p2723 +tp2724 +a(g826 +g974 +tp2725 +a(g628 +VCallMatch +p2726 +tp2727 +a(g52 +V[,] +p2728 +tp2729 +a(g826 +V\u000a +p2730 +tp2731 +a(g826 +g974 +tp2732 +a(g826 +g974 +tp2733 +a(g826 +g974 +tp2734 +a(g826 +g974 +tp2735 +a(g628 +VCMethod +p2736 +tp2737 +a(g705 +g1163 +tp2738 +a(g826 +g974 +tp2739 +a(g440 +g1193 +tp2740 +a(g826 +g974 +tp2741 +a(g412 +V:= +p2742 +tp2743 +a(g826 +g974 +tp2744 +a(g826 +g1041 +tp2745 +a(g826 +g1059 +tp2746 +a(g826 +g1057 +tp2747 +a(g826 +g1057 +tp2748 +a(g412 +g1071 +tp2749 +a(g573 +Vmethod +p2750 +tp2751 +a(g826 +V\u000a +p2752 +tp2753 +a(g826 +g974 +tp2754 +a(g826 +g974 +tp2755 +a(g826 +g974 +tp2756 +a(g826 +g974 +tp2757 +a(g744 +Vwhile +p2758 +tp2759 +a(g826 +g974 +tp2760 +a(g705 +g1036 +tp2761 +a(g826 +g1193 +tp2762 +a(g826 +g974 +tp2763 +a(g412 +g1607 +tp2764 +a(g412 +g1107 +tp2765 +a(g826 +g974 +tp2766 +a(g763 +Vnull +p2767 +tp2768 +a(g705 +g1075 +tp2769 +a(g826 +V\u000a +p2770 +tp2771 +a(g826 +g974 +tp2772 +a(g826 +g974 +tp2773 +a(g826 +g974 +tp2774 +a(g826 +g974 +tp2775 +a(g705 +g1003 +tp2776 +a(g826 +V\u000a +p2777 +tp2778 +a(g826 +g974 +tp2779 +a(g826 +g974 +tp2780 +a(g826 +g974 +tp2781 +a(g826 +g974 +tp2782 +a(g826 +g974 +tp2783 +a(g826 +g974 +tp2784 +a(g440 +Vmatch +p2785 +tp2786 +a(g826 +g974 +tp2787 +a(g412 +V:= +p2788 +tp2789 +a(g826 +g974 +tp2790 +a(g826 +g1193 +tp2791 +a(g826 +g1059 +tp2792 +a(g826 +g1067 +tp2793 +a(g826 +g1041 +tp2794 +a(g826 +g1069 +tp2795 +a(g826 +g1055 +tp2796 +a(g826 +g1059 +tp2797 +a(g826 +g1057 +tp2798 +a(g826 +g1057 +tp2799 +a(g705 +g1036 +tp2800 +a(g826 +g1041 +tp2801 +a(g826 +g1059 +tp2802 +a(g826 +g1057 +tp2803 +a(g826 +g1057 +tp2804 +a(g826 +g1043 +tp2805 +a(g826 +g974 +tp2806 +a(g826 +g1193 +tp2807 +a(g705 +g1075 +tp2808 +a(g826 +V\u000a +p2809 +tp2810 +a(g826 +g974 +tp2811 +a(g826 +g974 +tp2812 +a(g826 +g974 +tp2813 +a(g826 +g974 +tp2814 +a(g826 +g974 +tp2815 +a(g826 +g974 +tp2816 +a(g744 +Vif +p2817 +tp2818 +a(g826 +g974 +tp2819 +a(g705 +g1036 +tp2820 +a(g826 +g1193 +tp2821 +a(g826 +g1059 +tp2822 +a(g826 +g1067 +tp2823 +a(g826 +g1041 +tp2824 +a(g826 +g1069 +tp2825 +a(g826 +g974 +tp2826 +a(g412 +g1607 +tp2827 +a(g412 +g1107 +tp2828 +a(g826 +g974 +tp2829 +a(g763 +Vnull +p2830 +tp2831 +a(g705 +g1075 +tp2832 +a(g826 +g974 +tp2833 +a(g826 +g1193 +tp2834 +a(g826 +g1059 +tp2835 +a(g826 +g1067 +tp2836 +a(g826 +g1041 +tp2837 +a(g826 +g1069 +tp2838 +a(g826 +g1195 +tp2839 +a(g826 +g1061 +tp2840 +a(g412 +g1071 +tp2841 +a(g573 +Vadd +p2842 +tp2843 +a(g705 +g1036 +tp2844 +a(g826 +g1193 +tp2845 +a(g826 +g1059 +tp2846 +a(g826 +g1067 +tp2847 +a(g826 +g1041 +tp2848 +a(g826 +g1069 +tp2849 +a(g705 +g1075 +tp2850 +a(g826 +V\u000a +p2851 +tp2852 +a(g826 +g974 +tp2853 +a(g826 +g974 +tp2854 +a(g826 +g974 +tp2855 +a(g826 +g974 +tp2856 +a(g826 +g974 +tp2857 +a(g826 +g974 +tp2858 +a(g826 +g1193 +tp2859 +a(g826 +g974 +tp2860 +a(g412 +g1107 +tp2861 +a(g826 +g974 +tp2862 +a(g628 +g1193 +tp2863 +a(g826 +g974 +tp2864 +a(g440 +Vis +p2865 +tp2866 +a(g826 +g974 +tp2867 +a(g826 +g1305 +tp2868 +a(g826 +g1059 +tp2869 +a(g826 +g1218 +tp2870 +a(g826 +g1059 +tp2871 +a(g826 +g2172 +tp2872 +a(g826 +g1195 +tp2873 +a(g826 +g1067 +tp2874 +a(g826 +g1069 +tp2875 +a(g826 +g1291 +tp2876 +a(g826 +g1337 +tp2877 +a(g826 +g974 +tp2878 +a(g826 +g1163 +tp2879 +a(g826 +g974 +tp2880 +a(g705 +g1036 +tp2881 +a(g705 +g1036 +tp2882 +a(g826 +g1305 +tp2883 +a(g826 +g1059 +tp2884 +a(g826 +g1218 +tp2885 +a(g826 +g1059 +tp2886 +a(g826 +g2172 +tp2887 +a(g826 +g1195 +tp2888 +a(g826 +g1067 +tp2889 +a(g826 +g1069 +tp2890 +a(g826 +g1291 +tp2891 +a(g826 +g1337 +tp2892 +a(g705 +g1075 +tp2893 +a(g826 +g1193 +tp2894 +a(g705 +g1075 +tp2895 +a(g412 +g1071 +tp2896 +a(g573 +Vnext +p2897 +tp2898 +a(g826 +g974 +tp2899 +a(g826 +g996 +tp2900 +a(g826 +g974 +tp2901 +a(g763 +Vnull +p2902 +tp2903 +a(g826 +V\u000a +p2904 +tp2905 +a(g826 +g974 +tp2906 +a(g826 +g974 +tp2907 +a(g826 +g974 +tp2908 +a(g826 +g974 +tp2909 +a(g826 +g1117 +tp2910 +a(g826 +V\u000a +p2911 +tp2912 +a(g826 +V\u000a +p2913 +tp2914 +a(g826 +g974 +tp2915 +a(g826 +g974 +tp2916 +a(g826 +g974 +tp2917 +a(g826 +g974 +tp2918 +a(g8 +V// if we have exactly one match use then use that one\u000a +p2919 +tp2920 +a(g826 +g974 +tp2921 +a(g826 +g974 +tp2922 +a(g826 +g974 +tp2923 +a(g826 +g974 +tp2924 +a(g744 +Vif +p2925 +tp2926 +a(g826 +g974 +tp2927 +a(g705 +g1036 +tp2928 +a(g826 +g1193 +tp2929 +a(g826 +g1059 +tp2930 +a(g826 +g1067 +tp2931 +a(g826 +g1041 +tp2932 +a(g826 +g1069 +tp2933 +a(g826 +g1195 +tp2934 +a(g826 +g1061 +tp2935 +a(g412 +g1071 +tp2936 +a(g573 +Vsize +p2937 +tp2938 +a(g826 +g974 +tp2939 +a(g412 +g1107 +tp2940 +a(g412 +g1107 +tp2941 +a(g826 +g974 +tp2942 +a(g37 +g1649 +tp2943 +a(g705 +g1075 +tp2944 +a(g826 +g974 +tp2945 +a(g744 +Vreturn +p2946 +tp2947 +a(g826 +g974 +tp2948 +a(g826 +g1193 +tp2949 +a(g826 +g1059 +tp2950 +a(g826 +g1067 +tp2951 +a(g826 +g1041 +tp2952 +a(g826 +g1069 +tp2953 +a(g826 +g1195 +tp2954 +a(g826 +g1061 +tp2955 +a(g412 +g1247 +tp2956 +a(g37 +V0 +p2957 +tp2958 +a(g412 +g1253 +tp2959 +a(g412 +g1071 +tp2960 +a(g573 +Vapply +p2961 +tp2962 +a(g705 +g1036 +tp2963 +a(g826 +g1041 +tp2964 +a(g826 +g1059 +tp2965 +a(g826 +g1057 +tp2966 +a(g826 +g1057 +tp2967 +a(g705 +g1075 +tp2968 +a(g826 +V\u000a +p2969 +tp2970 +a(g826 +V\u000a +p2971 +tp2972 +a(g826 +g974 +tp2973 +a(g826 +g974 +tp2974 +a(g826 +g974 +tp2975 +a(g826 +g974 +tp2976 +a(g8 +V// if we have multiple matches; resolve to\u000a +p2977 +tp2978 +a(g826 +g974 +tp2979 +a(g826 +g974 +tp2980 +a(g826 +g974 +tp2981 +a(g826 +g974 +tp2982 +a(g8 +V// most specific match according to JLS rules\u000a +p2983 +tp2984 +a(g826 +g974 +tp2985 +a(g826 +g974 +tp2986 +a(g826 +g974 +tp2987 +a(g826 +g974 +tp2988 +a(g8 +V// TODO: this does not correct resolve when using Fantom implicit casting\u000a +p2989 +tp2990 +a(g826 +g974 +tp2991 +a(g826 +g974 +tp2992 +a(g826 +g974 +tp2993 +a(g826 +g974 +tp2994 +a(g744 +Vif +p2995 +tp2996 +a(g826 +g974 +tp2997 +a(g705 +g1036 +tp2998 +a(g826 +g1193 +tp2999 +a(g826 +g1059 +tp3000 +a(g826 +g1067 +tp3001 +a(g826 +g1041 +tp3002 +a(g826 +g1069 +tp3003 +a(g826 +g1195 +tp3004 +a(g826 +g1061 +tp3005 +a(g412 +g1071 +tp3006 +a(g573 +Vsize +p3007 +tp3008 +a(g826 +g974 +tp3009 +a(g412 +g1812 +tp3010 +a(g826 +g974 +tp3011 +a(g37 +g1649 +tp3012 +a(g705 +g1075 +tp3013 +a(g826 +V\u000a +p3014 +tp3015 +a(g826 +g974 +tp3016 +a(g826 +g974 +tp3017 +a(g826 +g974 +tp3018 +a(g826 +g974 +tp3019 +a(g705 +g1003 +tp3020 +a(g826 +V\u000a +p3021 +tp3022 +a(g826 +g974 +tp3023 +a(g826 +g974 +tp3024 +a(g826 +g974 +tp3025 +a(g826 +g974 +tp3026 +a(g826 +g974 +tp3027 +a(g826 +g974 +tp3028 +a(g440 +Vbest +p3029 +tp3030 +a(g826 +g974 +tp3031 +a(g412 +V:= +p3032 +tp3033 +a(g826 +g974 +tp3034 +a(g826 +g1210 +tp3035 +a(g826 +g1195 +tp3036 +a(g826 +g1061 +tp3037 +a(g826 +g1291 +tp3038 +a(g826 +g1057 +tp3039 +a(g826 +g1218 +tp3040 +a(g826 +g1195 +tp3041 +a(g826 +g2172 +tp3042 +a(g826 +g1291 +tp3043 +a(g826 +g1061 +tp3044 +a(g826 +g1067 +tp3045 +a(g826 +VS +p3046 +tp3047 +a(g826 +g1111 +tp3048 +a(g826 +g1195 +tp3049 +a(g826 +g1041 +tp3050 +a(g826 +g1212 +tp3051 +a(g826 +g1331 +tp3052 +a(g826 +g1212 +tp3053 +a(g826 +g1041 +tp3054 +a(g705 +g1036 +tp3055 +a(g826 +g1193 +tp3056 +a(g826 +g1059 +tp3057 +a(g826 +g1067 +tp3058 +a(g826 +g1041 +tp3059 +a(g826 +g1069 +tp3060 +a(g826 +g1195 +tp3061 +a(g826 +g1061 +tp3062 +a(g705 +g1075 +tp3063 +a(g826 +V\u000a +p3064 +tp3065 +a(g826 +g974 +tp3066 +a(g826 +g974 +tp3067 +a(g826 +g974 +tp3068 +a(g826 +g974 +tp3069 +a(g826 +g974 +tp3070 +a(g826 +g974 +tp3071 +a(g744 +Vif +p3072 +tp3073 +a(g826 +g974 +tp3074 +a(g705 +g1036 +tp3075 +a(g826 +g1729 +tp3076 +a(g826 +g1195 +tp3077 +a(g826 +g1061 +tp3078 +a(g826 +g1067 +tp3079 +a(g826 +g974 +tp3080 +a(g412 +g1607 +tp3081 +a(g412 +g1107 +tp3082 +a(g826 +g974 +tp3083 +a(g763 +Vnull +p3084 +tp3085 +a(g705 +g1075 +tp3086 +a(g826 +g974 +tp3087 +a(g744 +Vreturn +p3088 +tp3089 +a(g826 +g974 +tp3090 +a(g826 +g1729 +tp3091 +a(g826 +g1195 +tp3092 +a(g826 +g1061 +tp3093 +a(g826 +g1067 +tp3094 +a(g412 +g1071 +tp3095 +a(g573 +Vapply +p3096 +tp3097 +a(g705 +g1036 +tp3098 +a(g826 +g1041 +tp3099 +a(g826 +g1059 +tp3100 +a(g826 +g1057 +tp3101 +a(g826 +g1057 +tp3102 +a(g705 +g1075 +tp3103 +a(g826 +V\u000a +p3104 +tp3105 +a(g826 +g974 +tp3106 +a(g826 +g974 +tp3107 +a(g826 +g974 +tp3108 +a(g826 +g974 +tp3109 +a(g826 +g1117 +tp3110 +a(g826 +V\u000a +p3111 +tp3112 +a(g826 +V\u000a +p3113 +tp3114 +a(g826 +g974 +tp3115 +a(g826 +g974 +tp3116 +a(g826 +g974 +tp3117 +a(g826 +g974 +tp3118 +a(g8 +V// zero or multiple ambiguous matches is a compiler error\u000a +p3119 +tp3120 +a(g826 +g974 +tp3121 +a(g826 +g974 +tp3122 +a(g826 +g974 +tp3123 +a(g826 +g974 +tp3124 +a(g440 +g1061 +tp3125 +a(g826 +g974 +tp3126 +a(g412 +V:= +p3127 +tp3128 +a(g826 +g974 +tp3129 +a(g826 +g3046 +tp3130 +a(g826 +g1067 +tp3131 +a(g826 +g1210 +tp3132 +a(g826 +g1600 +tp3133 +a(g826 +g1334 +tp3134 +a(g826 +g1331 +tp3135 +a(g705 +g1036 +tp3136 +a(g705 +g1075 +tp3137 +a(g826 +V\u000a +p3138 +tp3139 +a(g826 +g974 +tp3140 +a(g826 +g974 +tp3141 +a(g826 +g974 +tp3142 +a(g826 +g974 +tp3143 +a(g826 +g1061 +tp3144 +a(g412 +g1071 +tp3145 +a(g573 +Vadd +p3146 +tp3147 +a(g705 +g1036 +tp3148 +a(g826 +g1193 +tp3149 +a(g826 +g1059 +tp3150 +a(g826 +g1067 +tp3151 +a(g826 +g1041 +tp3152 +a(g826 +g1069 +tp3153 +a(g826 +g1195 +tp3154 +a(g826 +g1061 +tp3155 +a(g412 +g1071 +tp3156 +a(g573 +VisEmpty +p3157 +tp3158 +a(g826 +g974 +tp3159 +a(g826 +g1163 +tp3160 +a(g826 +g974 +tp3161 +a(g705 +g1201 +tp3162 +a(g89 +g2149 +tp3163 +a(g89 +g1190 +tp3164 +a(g89 +g1218 +tp3165 +a(g89 +g1059 +tp3166 +a(g89 +g1057 +tp3167 +a(g89 +g1212 +tp3168 +a(g89 +g1337 +tp3169 +a(g89 +g974 +tp3170 +a(g89 +g1059 +tp3171 +a(g89 +g1210 +tp3172 +a(g89 +g1317 +tp3173 +a(g89 +g1061 +tp3174 +a(g89 +g974 +tp3175 +a(g705 +g1201 +tp3176 +a(g826 +g974 +tp3177 +a(g826 +g996 +tp3178 +a(g826 +g974 +tp3179 +a(g705 +g1201 +tp3180 +a(g89 +VA +p3181 +tp3182 +a(g89 +g1193 +tp3183 +a(g89 +g1729 +tp3184 +a(g89 +g1212 +tp3185 +a(g89 +g1317 +tp3186 +a(g89 +g1334 +tp3187 +a(g89 +g1291 +tp3188 +a(g89 +g1334 +tp3189 +a(g89 +g1061 +tp3190 +a(g89 +g974 +tp3191 +a(g89 +g1041 +tp3192 +a(g89 +g1059 +tp3193 +a(g89 +g1057 +tp3194 +a(g89 +g1057 +tp3195 +a(g89 +g974 +tp3196 +a(g705 +g1201 +tp3197 +a(g705 +g1075 +tp3198 +a(g826 +V\u000a +p3199 +tp3200 +a(g826 +g974 +tp3201 +a(g826 +g974 +tp3202 +a(g826 +g974 +tp3203 +a(g826 +g974 +tp3204 +a(g826 +g1061 +tp3205 +a(g412 +g1071 +tp3206 +a(g573 +Vadd +p3207 +tp3208 +a(g705 +g1036 +tp3209 +a(g826 +g1041 +tp3210 +a(g826 +g1059 +tp3211 +a(g826 +g1057 +tp3212 +a(g826 +g1057 +tp3213 +a(g412 +g1071 +tp3214 +a(g573 +Vname +p3215 +tp3216 +a(g705 +g1075 +tp3217 +a(g412 +g1071 +tp3218 +a(g573 +Vadd +p3219 +tp3220 +a(g705 +g1036 +tp3221 +a(g705 +g1201 +tp3222 +a(g89 +g1036 +tp3223 +a(g705 +g1201 +tp3224 +a(g705 +g1075 +tp3225 +a(g826 +V\u000a +p3226 +tp3227 +a(g826 +g974 +tp3228 +a(g826 +g974 +tp3229 +a(g826 +g974 +tp3230 +a(g826 +g974 +tp3231 +a(g826 +g1061 +tp3232 +a(g412 +g1071 +tp3233 +a(g573 +Vadd +p3234 +tp3235 +a(g705 +g1036 +tp3236 +a(g826 +g1041 +tp3237 +a(g826 +g1059 +tp3238 +a(g826 +g1057 +tp3239 +a(g826 +g1057 +tp3240 +a(g412 +g1071 +tp3241 +a(g573 +Vargs +p3242 +tp3243 +a(g412 +g1071 +tp3244 +a(g573 +Vjoin +p3245 +tp3246 +a(g705 +g1036 +tp3247 +a(g705 +g1201 +tp3248 +a(g89 +g1043 +tp3249 +a(g89 +g974 +tp3250 +a(g705 +g1201 +tp3251 +a(g705 +g1075 +tp3252 +a(g826 +g974 +tp3253 +a(g705 +V| +p3254 +tp3255 +a(g628 +VExpr +p3256 +tp3257 +a(g826 +g974 +tp3258 +a(g440 +Varg +p3259 +tp3260 +a(g705 +V-> +p3261 +tp3262 +a(g628 +VStr +p3263 +tp3264 +a(g705 +g3254 +tp3265 +a(g826 +g974 +tp3266 +a(g705 +g1003 +tp3267 +a(g826 +g974 +tp3268 +a(g744 +Vreturn +p3269 +tp3270 +a(g826 +g974 +tp3271 +a(g826 +g1059 +tp3272 +a(g826 +g1210 +tp3273 +a(g826 +g1317 +tp3274 +a(g412 +g1071 +tp3275 +a(g573 +VtoTypeStr +p3276 +tp3277 +a(g826 +g974 +tp3278 +a(g705 +g1117 +tp3279 +a(g705 +g1075 +tp3280 +a(g826 +V\u000a +p3281 +tp3282 +a(g826 +g974 +tp3283 +a(g826 +g974 +tp3284 +a(g826 +g974 +tp3285 +a(g826 +g974 +tp3286 +a(g826 +g1061 +tp3287 +a(g412 +g1071 +tp3288 +a(g573 +Vadd +p3289 +tp3290 +a(g705 +g1036 +tp3291 +a(g705 +g1201 +tp3292 +a(g89 +g1075 +tp3293 +a(g705 +g1201 +tp3294 +a(g705 +g1075 +tp3295 +a(g826 +V\u000a +p3296 +tp3297 +a(g826 +g974 +tp3298 +a(g826 +g974 +tp3299 +a(g826 +g974 +tp3300 +a(g826 +g974 +tp3301 +a(g744 +Vthrow +p3302 +tp3303 +a(g826 +g974 +tp3304 +a(g826 +g1195 +tp3305 +a(g826 +g1210 +tp3306 +a(g826 +g1210 +tp3307 +a(g705 +g1036 +tp3308 +a(g826 +g1061 +tp3309 +a(g412 +g1071 +tp3310 +a(g573 +VtoStr +p3311 +tp3312 +a(g826 +g1043 +tp3313 +a(g826 +g974 +tp3314 +a(g826 +g1041 +tp3315 +a(g826 +g1059 +tp3316 +a(g826 +g1057 +tp3317 +a(g826 +g1057 +tp3318 +a(g412 +g1071 +tp3319 +a(g573 +Vloc +p3320 +tp3321 +a(g705 +g1075 +tp3322 +a(g826 +V\u000a +p3323 +tp3324 +a(g826 +g974 +tp3325 +a(g826 +g974 +tp3326 +a(g826 +g1117 +tp3327 +a(g826 +V\u000a +p3328 +tp3329 +a(g826 +V\u000a +p3330 +tp3331 +a(g826 +g974 +tp3332 +a(g826 +g974 +tp3333 +a(g882 +V**\u000a +p3334 +tp3335 +a(g826 +g974 +tp3336 +a(g826 +g974 +tp3337 +a(g882 +V** Check if the call matches the specified overload method.\u000a +p3338 +tp3339 +a(g826 +g974 +tp3340 +a(g826 +g974 +tp3341 +a(g882 +V** If so return method and coerced args otherwise return null.\u000a +p3342 +tp3343 +a(g826 +g974 +tp3344 +a(g826 +g974 +tp3345 +a(g882 +V**\u000a +p3346 +tp3347 +a(g826 +g974 +tp3348 +a(g826 +g974 +tp3349 +a(g744 +Vinternal +p3350 +tp3351 +a(g826 +g974 +tp3352 +a(g628 +VCallMatch +p3353 +tp3354 +a(g705 +g1163 +tp3355 +a(g826 +g974 +tp3356 +a(g573 +VmatchCall +p3357 +tp3358 +a(g705 +g1036 +tp3359 +a(g628 +VCallExpr +p3360 +tp3361 +a(g826 +g974 +tp3362 +a(g440 +Vcall +p3363 +tp3364 +a(g705 +g1043 +tp3365 +a(g826 +g974 +tp3366 +a(g628 +VCMethod +p3367 +tp3368 +a(g826 +g974 +tp3369 +a(g440 +g1193 +tp3370 +a(g705 +g1075 +tp3371 +a(g826 +V\u000a +p3372 +tp3373 +a(g826 +g974 +tp3374 +a(g826 +g974 +tp3375 +a(g705 +g1003 +tp3376 +a(g826 +V\u000a +p3377 +tp3378 +a(g826 +g974 +tp3379 +a(g826 +g974 +tp3380 +a(g826 +g974 +tp3381 +a(g826 +g974 +tp3382 +a(g8 +V// first check if have matching numbers of args and params\u000a +p3383 +tp3384 +a(g826 +g974 +tp3385 +a(g826 +g974 +tp3386 +a(g826 +g974 +tp3387 +a(g826 +g974 +tp3388 +a(g440 +Vargs +p3389 +tp3390 +a(g826 +g974 +tp3391 +a(g412 +V:= +p3392 +tp3393 +a(g826 +g974 +tp3394 +a(g826 +g1041 +tp3395 +a(g826 +g1059 +tp3396 +a(g826 +g1057 +tp3397 +a(g826 +g1057 +tp3398 +a(g412 +g1071 +tp3399 +a(g573 +Vargs +p3400 +tp3401 +a(g826 +V\u000a +p3402 +tp3403 +a(g826 +g974 +tp3404 +a(g826 +g974 +tp3405 +a(g826 +g974 +tp3406 +a(g826 +g974 +tp3407 +a(g744 +Vif +p3408 +tp3409 +a(g826 +g974 +tp3410 +a(g705 +g1036 +tp3411 +a(g826 +g1193 +tp3412 +a(g412 +g1071 +tp3413 +a(g573 +Vparams +p3414 +tp3415 +a(g412 +g1071 +tp3416 +a(g573 +Vsize +p3417 +tp3418 +a(g826 +g974 +tp3419 +a(g412 +g1806 +tp3420 +a(g826 +g974 +tp3421 +a(g826 +g1059 +tp3422 +a(g826 +g1210 +tp3423 +a(g826 +g1317 +tp3424 +a(g826 +g1061 +tp3425 +a(g412 +g1071 +tp3426 +a(g573 +Vsize +p3427 +tp3428 +a(g705 +g1075 +tp3429 +a(g826 +g974 +tp3430 +a(g744 +Vreturn +p3431 +tp3432 +a(g826 +g974 +tp3433 +a(g763 +Vnull +p3434 +tp3435 +a(g826 +V\u000a +p3436 +tp3437 +a(g826 +V\u000a +p3438 +tp3439 +a(g826 +g974 +tp3440 +a(g826 +g974 +tp3441 +a(g826 +g974 +tp3442 +a(g826 +g974 +tp3443 +a(g8 +V// check if each argument is ok or can be coerced\u000a +p3444 +tp3445 +a(g826 +g974 +tp3446 +a(g826 +g974 +tp3447 +a(g826 +g974 +tp3448 +a(g826 +g974 +tp3449 +a(g440 +VisErr +p3450 +tp3451 +a(g826 +g974 +tp3452 +a(g412 +V:= +p3453 +tp3454 +a(g826 +g974 +tp3455 +a(g763 +Vfalse +p3456 +tp3457 +a(g826 +V\u000a +p3458 +tp3459 +a(g826 +g974 +tp3460 +a(g826 +g974 +tp3461 +a(g826 +g974 +tp3462 +a(g826 +g974 +tp3463 +a(g440 +VnewArgs +p3464 +tp3465 +a(g826 +g974 +tp3466 +a(g412 +V:= +p3467 +tp3468 +a(g826 +g974 +tp3469 +a(g826 +g1059 +tp3470 +a(g826 +g1210 +tp3471 +a(g826 +g1317 +tp3472 +a(g826 +g1061 +tp3473 +a(g412 +g1071 +tp3474 +a(g573 +Vdup +p3475 +tp3476 +a(g826 +V\u000a +p3477 +tp3478 +a(g826 +g974 +tp3479 +a(g826 +g974 +tp3480 +a(g826 +g974 +tp3481 +a(g826 +g974 +tp3482 +a(g826 +g1193 +tp3483 +a(g412 +g1071 +tp3484 +a(g573 +Vparams +p3485 +tp3486 +a(g412 +g1071 +tp3487 +a(g573 +Veach +p3488 +tp3489 +a(g826 +g974 +tp3490 +a(g705 +g3254 +tp3491 +a(g628 +VCParam +p3492 +tp3493 +a(g826 +g974 +tp3494 +a(g440 +g1111 +tp3495 +a(g705 +g1043 +tp3496 +a(g826 +g974 +tp3497 +a(g628 +VInt +p3498 +tp3499 +a(g826 +g974 +tp3500 +a(g440 +g1212 +tp3501 +a(g705 +g3254 +tp3502 +a(g826 +V\u000a +p3503 +tp3504 +a(g826 +g974 +tp3505 +a(g826 +g974 +tp3506 +a(g826 +g974 +tp3507 +a(g826 +g974 +tp3508 +a(g705 +g1003 +tp3509 +a(g826 +V\u000a +p3510 +tp3511 +a(g826 +g974 +tp3512 +a(g826 +g974 +tp3513 +a(g826 +g974 +tp3514 +a(g826 +g974 +tp3515 +a(g826 +g974 +tp3516 +a(g826 +g974 +tp3517 +a(g744 +Vif +p3518 +tp3519 +a(g826 +g974 +tp3520 +a(g705 +g1036 +tp3521 +a(g826 +g1212 +tp3522 +a(g826 +g974 +tp3523 +a(g412 +V>= +p3524 +tp3525 +a(g826 +g974 +tp3526 +a(g826 +g1059 +tp3527 +a(g826 +g1210 +tp3528 +a(g826 +g1317 +tp3529 +a(g826 +g1061 +tp3530 +a(g412 +g1071 +tp3531 +a(g573 +Vsize +p3532 +tp3533 +a(g705 +g1075 +tp3534 +a(g826 +V\u000a +p3535 +tp3536 +a(g826 +g974 +tp3537 +a(g826 +g974 +tp3538 +a(g826 +g974 +tp3539 +a(g826 +g974 +tp3540 +a(g826 +g974 +tp3541 +a(g826 +g974 +tp3542 +a(g705 +g1003 +tp3543 +a(g826 +V\u000a +p3544 +tp3545 +a(g826 +g974 +tp3546 +a(g826 +g974 +tp3547 +a(g826 +g974 +tp3548 +a(g826 +g974 +tp3549 +a(g826 +g974 +tp3550 +a(g826 +g974 +tp3551 +a(g826 +g974 +tp3552 +a(g826 +g974 +tp3553 +a(g8 +V// param has a default value, then that is ok\u000a +p3554 +tp3555 +a(g826 +g974 +tp3556 +a(g826 +g974 +tp3557 +a(g826 +g974 +tp3558 +a(g826 +g974 +tp3559 +a(g826 +g974 +tp3560 +a(g826 +g974 +tp3561 +a(g826 +g974 +tp3562 +a(g826 +g974 +tp3563 +a(g744 +Vif +p3564 +tp3565 +a(g826 +g974 +tp3566 +a(g705 +g1036 +tp3567 +a(g412 +g1607 +tp3568 +a(g826 +g1111 +tp3569 +a(g412 +g1071 +tp3570 +a(g573 +VhasDefault +p3571 +tp3572 +a(g705 +g1075 +tp3573 +a(g826 +g974 +tp3574 +a(g826 +g1212 +tp3575 +a(g826 +g1061 +tp3576 +a(g826 +g1299 +tp3577 +a(g826 +g1210 +tp3578 +a(g826 +g1210 +tp3579 +a(g826 +g974 +tp3580 +a(g412 +g1107 +tp3581 +a(g826 +g974 +tp3582 +a(g763 +Vtrue +p3583 +tp3584 +a(g826 +V\u000a +p3585 +tp3586 +a(g826 +g974 +tp3587 +a(g826 +g974 +tp3588 +a(g826 +g974 +tp3589 +a(g826 +g974 +tp3590 +a(g826 +g974 +tp3591 +a(g826 +g974 +tp3592 +a(g826 +g1117 +tp3593 +a(g826 +V\u000a +p3594 +tp3595 +a(g826 +g974 +tp3596 +a(g826 +g974 +tp3597 +a(g826 +g974 +tp3598 +a(g826 +g974 +tp3599 +a(g826 +g974 +tp3600 +a(g826 +g974 +tp3601 +a(g744 +Velse +p3602 +tp3603 +a(g826 +V\u000a +p3604 +tp3605 +a(g826 +g974 +tp3606 +a(g826 +g974 +tp3607 +a(g826 +g974 +tp3608 +a(g826 +g974 +tp3609 +a(g826 +g974 +tp3610 +a(g826 +g974 +tp3611 +a(g705 +g1003 +tp3612 +a(g826 +V\u000a +p3613 +tp3614 +a(g826 +g974 +tp3615 +a(g826 +g974 +tp3616 +a(g826 +g974 +tp3617 +a(g826 +g974 +tp3618 +a(g826 +g974 +tp3619 +a(g826 +g974 +tp3620 +a(g826 +g974 +tp3621 +a(g826 +g974 +tp3622 +a(g8 +V// ensure arg fits parameter type (or auto-cast)\u000a +p3623 +tp3624 +a(g826 +g974 +tp3625 +a(g826 +g974 +tp3626 +a(g826 +g974 +tp3627 +a(g826 +g974 +tp3628 +a(g826 +g974 +tp3629 +a(g826 +g974 +tp3630 +a(g826 +g974 +tp3631 +a(g826 +g974 +tp3632 +a(g826 +g1190 +tp3633 +a(g826 +g1195 +tp3634 +a(g826 +Vw +p3635 +tp3636 +a(g826 +g3181 +tp3637 +a(g826 +g1210 +tp3638 +a(g826 +g1317 +tp3639 +a(g826 +g1061 +tp3640 +a(g412 +g1247 +tp3641 +a(g826 +g1212 +tp3642 +a(g412 +g1253 +tp3643 +a(g826 +g974 +tp3644 +a(g412 +g1107 +tp3645 +a(g826 +g974 +tp3646 +a(g826 +g1041 +tp3647 +a(g826 +g1291 +tp3648 +a(g826 +g1195 +tp3649 +a(g826 +g1210 +tp3650 +a(g826 +g1041 +tp3651 +a(g826 +g1195 +tp3652 +a(g705 +g1036 +tp3653 +a(g826 +g1059 +tp3654 +a(g826 +g1210 +tp3655 +a(g826 +g1317 +tp3656 +a(g826 +g1061 +tp3657 +a(g412 +g1247 +tp3658 +a(g826 +g1212 +tp3659 +a(g412 +g1253 +tp3660 +a(g826 +g1043 +tp3661 +a(g826 +g974 +tp3662 +a(g826 +g1111 +tp3663 +a(g412 +g1071 +tp3664 +a(g573 +VparamType +p3665 +tp3666 +a(g705 +g1075 +tp3667 +a(g826 +g974 +tp3668 +a(g826 +g3254 +tp3669 +a(g412 +g1647 +tp3670 +a(g412 +g1812 +tp3671 +a(g826 +g3254 +tp3672 +a(g826 +g974 +tp3673 +a(g705 +g1003 +tp3674 +a(g826 +g974 +tp3675 +a(g826 +g1212 +tp3676 +a(g826 +g1061 +tp3677 +a(g826 +g1299 +tp3678 +a(g826 +g1210 +tp3679 +a(g826 +g1210 +tp3680 +a(g826 +g974 +tp3681 +a(g412 +g1107 +tp3682 +a(g826 +g974 +tp3683 +a(g763 +Vtrue +p3684 +tp3685 +a(g826 +g974 +tp3686 +a(g705 +g1117 +tp3687 +a(g826 +V\u000a +p3688 +tp3689 +a(g826 +g974 +tp3690 +a(g826 +g974 +tp3691 +a(g826 +g974 +tp3692 +a(g826 +g974 +tp3693 +a(g826 +g974 +tp3694 +a(g826 +g974 +tp3695 +a(g826 +g1117 +tp3696 +a(g826 +V\u000a +p3697 +tp3698 +a(g826 +g974 +tp3699 +a(g826 +g974 +tp3700 +a(g826 +g974 +tp3701 +a(g826 +g974 +tp3702 +a(g826 +g1117 +tp3703 +a(g826 +V\u000a +p3704 +tp3705 +a(g826 +g974 +tp3706 +a(g826 +g974 +tp3707 +a(g826 +g974 +tp3708 +a(g826 +g974 +tp3709 +a(g744 +Vif +p3710 +tp3711 +a(g826 +g974 +tp3712 +a(g705 +g1036 +tp3713 +a(g826 +g1212 +tp3714 +a(g826 +g1061 +tp3715 +a(g826 +g1299 +tp3716 +a(g826 +g1210 +tp3717 +a(g826 +g1210 +tp3718 +a(g705 +g1075 +tp3719 +a(g826 +g974 +tp3720 +a(g744 +Vreturn +p3721 +tp3722 +a(g826 +g974 +tp3723 +a(g763 +Vnull +p3724 +tp3725 +a(g826 +V\u000a +p3726 +tp3727 +a(g826 +g974 +tp3728 +a(g826 +g974 +tp3729 +a(g826 +g974 +tp3730 +a(g826 +g974 +tp3731 +a(g744 +Vreturn +p3732 +tp3733 +a(g826 +g974 +tp3734 +a(g826 +g1055 +tp3735 +a(g826 +g1059 +tp3736 +a(g826 +g1057 +tp3737 +a(g826 +g1057 +tp3738 +a(g826 +g2172 +tp3739 +a(g826 +g1059 +tp3740 +a(g826 +g1067 +tp3741 +a(g826 +g1041 +tp3742 +a(g826 +g1069 +tp3743 +a(g826 +g974 +tp3744 +a(g705 +g1003 +tp3745 +a(g826 +g974 +tp3746 +a(g693 +Vit +p3747 +tp3748 +a(g412 +g1071 +tp3749 +a(g573 +Vmethod +p3750 +tp3751 +a(g826 +g974 +tp3752 +a(g412 +g1107 +tp3753 +a(g826 +g974 +tp3754 +a(g826 +g1193 +tp3755 +a(g826 +V; +p3756 +tp3757 +a(g826 +g974 +tp3758 +a(g693 +Vit +p3759 +tp3760 +a(g412 +g1071 +tp3761 +a(g573 +Vargs +p3762 +tp3763 +a(g826 +g974 +tp3764 +a(g412 +g1107 +tp3765 +a(g826 +g974 +tp3766 +a(g826 +g1190 +tp3767 +a(g826 +g1195 +tp3768 +a(g826 +g3635 +tp3769 +a(g826 +g3181 +tp3770 +a(g826 +g1210 +tp3771 +a(g826 +g1317 +tp3772 +a(g826 +g1061 +tp3773 +a(g826 +g974 +tp3774 +a(g705 +g1117 +tp3775 +a(g826 +V\u000a +p3776 +tp3777 +a(g826 +g974 +tp3778 +a(g826 +g974 +tp3779 +a(g826 +g1117 +tp3780 +a(g826 +V\u000a +p3781 +tp3782 +a(g826 +V\u000a +p3783 +tp3784 +a(g826 +g974 +tp3785 +a(g826 +g974 +tp3786 +a(g882 +V**\u000a +p3787 +tp3788 +a(g826 +g974 +tp3789 +a(g826 +g974 +tp3790 +a(g882 +V** Given a list of overloaed methods find the most specific method\u000a +p3791 +tp3792 +a(g826 +g974 +tp3793 +a(g826 +g974 +tp3794 +a(g882 +V** according to Java Language Specification 15.11.2.2. The "informal\u000a +p3795 +tp3796 +a(g826 +g974 +tp3797 +a(g826 +g974 +tp3798 +a(g882 +V** intuition" rule is that a method is more specific than another\u000a +p3799 +tp3800 +a(g826 +g974 +tp3801 +a(g826 +g974 +tp3802 +a(g882 +V** if the first could be could be passed onto the second one.\u000a +p3803 +tp3804 +a(g826 +g974 +tp3805 +a(g826 +g974 +tp3806 +a(g882 +V**\u000a +p3807 +tp3808 +a(g826 +g974 +tp3809 +a(g826 +g974 +tp3810 +a(g744 +Vinternal +p3811 +tp3812 +a(g826 +g974 +tp3813 +a(g744 +Vstatic +p3814 +tp3815 +a(g826 +g974 +tp3816 +a(g628 +VCallMatch +p3817 +tp3818 +a(g705 +g1163 +tp3819 +a(g826 +g974 +tp3820 +a(g573 +VresolveMostSpecific +p3821 +tp3822 +a(g705 +g1036 +tp3823 +a(g628 +VCallMatch +p3824 +tp3825 +a(g705 +g1247 +tp3826 +a(g705 +g1253 +tp3827 +a(g826 +g974 +tp3828 +a(g440 +Vmatches +p3829 +tp3830 +a(g705 +g1075 +tp3831 +a(g826 +V\u000a +p3832 +tp3833 +a(g826 +g974 +tp3834 +a(g826 +g974 +tp3835 +a(g705 +g1003 +tp3836 +a(g826 +V\u000a +p3837 +tp3838 +a(g826 +g974 +tp3839 +a(g826 +g974 +tp3840 +a(g826 +g974 +tp3841 +a(g826 +g974 +tp3842 +a(g628 +VCallMatch +p3843 +tp3844 +a(g705 +g1163 +tp3845 +a(g826 +g974 +tp3846 +a(g440 +Vbest +p3847 +tp3848 +a(g826 +g974 +tp3849 +a(g412 +V:= +p3850 +tp3851 +a(g826 +g974 +tp3852 +a(g826 +g1193 +tp3853 +a(g826 +g1059 +tp3854 +a(g826 +g1067 +tp3855 +a(g826 +g1041 +tp3856 +a(g826 +g1069 +tp3857 +a(g826 +g1195 +tp3858 +a(g826 +g1061 +tp3859 +a(g412 +g1247 +tp3860 +a(g37 +g2957 +tp3861 +a(g412 +g1253 +tp3862 +a(g826 +V\u000a +p3863 +tp3864 +a(g826 +g974 +tp3865 +a(g826 +g974 +tp3866 +a(g826 +g974 +tp3867 +a(g826 +g974 +tp3868 +a(g744 +Vfor +p3869 +tp3870 +a(g826 +g974 +tp3871 +a(g705 +g1036 +tp3872 +a(g440 +g1212 +tp3873 +a(g412 +V:= +p3874 +tp3875 +a(g37 +g1649 +tp3876 +a(g826 +g3756 +tp3877 +a(g826 +g974 +tp3878 +a(g826 +g1212 +tp3879 +a(g412 +g1806 +tp3880 +a(g826 +g1193 +tp3881 +a(g826 +g1059 +tp3882 +a(g826 +g1067 +tp3883 +a(g826 +g1041 +tp3884 +a(g826 +g1069 +tp3885 +a(g826 +g1195 +tp3886 +a(g826 +g1061 +tp3887 +a(g412 +g1071 +tp3888 +a(g573 +Vsize +p3889 +tp3890 +a(g826 +g3756 +tp3891 +a(g826 +g974 +tp3892 +a(g412 +V++ +p3893 +tp3894 +a(g826 +g1212 +tp3895 +a(g705 +g1075 +tp3896 +a(g826 +V\u000a +p3897 +tp3898 +a(g826 +g974 +tp3899 +a(g826 +g974 +tp3900 +a(g826 +g974 +tp3901 +a(g826 +g974 +tp3902 +a(g705 +g1003 +tp3903 +a(g826 +V\u000a +p3904 +tp3905 +a(g826 +g974 +tp3906 +a(g826 +g974 +tp3907 +a(g826 +g974 +tp3908 +a(g826 +g974 +tp3909 +a(g826 +g974 +tp3910 +a(g826 +g974 +tp3911 +a(g440 +g1584 +tp3912 +a(g826 +g974 +tp3913 +a(g412 +V:= +p3914 +tp3915 +a(g826 +g974 +tp3916 +a(g826 +g1193 +tp3917 +a(g826 +g1059 +tp3918 +a(g826 +g1067 +tp3919 +a(g826 +g1041 +tp3920 +a(g826 +g1069 +tp3921 +a(g826 +g1195 +tp3922 +a(g826 +g1061 +tp3923 +a(g412 +g1247 +tp3924 +a(g826 +g1212 +tp3925 +a(g412 +g1253 +tp3926 +a(g826 +V\u000a +p3927 +tp3928 +a(g826 +g974 +tp3929 +a(g826 +g974 +tp3930 +a(g826 +g974 +tp3931 +a(g826 +g974 +tp3932 +a(g826 +g974 +tp3933 +a(g826 +g974 +tp3934 +a(g744 +Vif +p3935 +tp3936 +a(g826 +g974 +tp3937 +a(g705 +g1036 +tp3938 +a(g826 +g1212 +tp3939 +a(g826 +g1061 +tp3940 +a(g826 +g2172 +tp3941 +a(g826 +g1291 +tp3942 +a(g826 +g1210 +tp3943 +a(g826 +g1195 +tp3944 +a(g826 +g3046 +tp3945 +a(g826 +g1111 +tp3946 +a(g826 +g1195 +tp3947 +a(g826 +g1041 +tp3948 +a(g826 +g1212 +tp3949 +a(g826 +g1331 +tp3950 +a(g826 +g1212 +tp3951 +a(g826 +g1041 +tp3952 +a(g705 +g1036 +tp3953 +a(g826 +g1729 +tp3954 +a(g826 +g1195 +tp3955 +a(g826 +g1061 +tp3956 +a(g826 +g1067 +tp3957 +a(g826 +g1043 +tp3958 +a(g826 +g974 +tp3959 +a(g826 +g1584 +tp3960 +a(g705 +g1075 +tp3961 +a(g705 +g1075 +tp3962 +a(g826 +g974 +tp3963 +a(g705 +g1003 +tp3964 +a(g826 +g974 +tp3965 +a(g744 +Vcontinue +p3966 +tp3967 +a(g826 +g974 +tp3968 +a(g705 +g1117 +tp3969 +a(g826 +V\u000a +p3970 +tp3971 +a(g826 +g974 +tp3972 +a(g826 +g974 +tp3973 +a(g826 +g974 +tp3974 +a(g826 +g974 +tp3975 +a(g826 +g974 +tp3976 +a(g826 +g974 +tp3977 +a(g744 +Vif +p3978 +tp3979 +a(g826 +g974 +tp3980 +a(g705 +g1036 +tp3981 +a(g826 +g1212 +tp3982 +a(g826 +g1061 +tp3983 +a(g826 +g2172 +tp3984 +a(g826 +g1291 +tp3985 +a(g826 +g1210 +tp3986 +a(g826 +g1195 +tp3987 +a(g826 +g3046 +tp3988 +a(g826 +g1111 +tp3989 +a(g826 +g1195 +tp3990 +a(g826 +g1041 +tp3991 +a(g826 +g1212 +tp3992 +a(g826 +g1331 +tp3993 +a(g826 +g1212 +tp3994 +a(g826 +g1041 +tp3995 +a(g705 +g1036 +tp3996 +a(g826 +g1584 +tp3997 +a(g826 +g1043 +tp3998 +a(g826 +g974 +tp3999 +a(g826 +g1729 +tp4000 +a(g826 +g1195 +tp4001 +a(g826 +g1061 +tp4002 +a(g826 +g1067 +tp4003 +a(g705 +g1075 +tp4004 +a(g705 +g1075 +tp4005 +a(g826 +g974 +tp4006 +a(g705 +g1003 +tp4007 +a(g826 +g974 +tp4008 +a(g826 +g1729 +tp4009 +a(g826 +g1195 +tp4010 +a(g826 +g1061 +tp4011 +a(g826 +g1067 +tp4012 +a(g826 +g974 +tp4013 +a(g412 +g1107 +tp4014 +a(g826 +g974 +tp4015 +a(g826 +g1584 +tp4016 +a(g826 +g3756 +tp4017 +a(g826 +g974 +tp4018 +a(g744 +Vcontinue +p4019 +tp4020 +a(g826 +g974 +tp4021 +a(g705 +g1117 +tp4022 +a(g826 +V\u000a +p4023 +tp4024 +a(g826 +g974 +tp4025 +a(g826 +g974 +tp4026 +a(g826 +g974 +tp4027 +a(g826 +g974 +tp4028 +a(g826 +g974 +tp4029 +a(g826 +g974 +tp4030 +a(g744 +Vreturn +p4031 +tp4032 +a(g826 +g974 +tp4033 +a(g763 +Vnull +p4034 +tp4035 +a(g826 +V\u000a +p4036 +tp4037 +a(g826 +g974 +tp4038 +a(g826 +g974 +tp4039 +a(g826 +g974 +tp4040 +a(g826 +g974 +tp4041 +a(g826 +g1117 +tp4042 +a(g826 +V\u000a +p4043 +tp4044 +a(g826 +g974 +tp4045 +a(g826 +g974 +tp4046 +a(g826 +g974 +tp4047 +a(g826 +g974 +tp4048 +a(g744 +Vreturn +p4049 +tp4050 +a(g826 +g974 +tp4051 +a(g826 +g1729 +tp4052 +a(g826 +g1195 +tp4053 +a(g826 +g1061 +tp4054 +a(g826 +g1067 +tp4055 +a(g826 +V\u000a +p4056 +tp4057 +a(g826 +g974 +tp4058 +a(g826 +g974 +tp4059 +a(g826 +g1117 +tp4060 +a(g826 +V\u000a +p4061 +tp4062 +a(g826 +V\u000a +p4063 +tp4064 +a(g826 +g974 +tp4065 +a(g826 +g974 +tp4066 +a(g882 +V**\u000a +p4067 +tp4068 +a(g826 +g974 +tp4069 +a(g826 +g974 +tp4070 +a(g882 +V** Is 'a' more specific than 'b' such that 'a' could be used\u000a +p4071 +tp4072 +a(g826 +g974 +tp4073 +a(g826 +g974 +tp4074 +a(g882 +V** passed to 'b' without a compile time error.\u000a +p4075 +tp4076 +a(g826 +g974 +tp4077 +a(g826 +g974 +tp4078 +a(g882 +V**\u000a +p4079 +tp4080 +a(g826 +g974 +tp4081 +a(g826 +g974 +tp4082 +a(g744 +Vinternal +p4083 +tp4084 +a(g826 +g974 +tp4085 +a(g744 +Vstatic +p4086 +tp4087 +a(g826 +g974 +tp4088 +a(g628 +VBool +p4089 +tp4090 +a(g826 +g974 +tp4091 +a(g573 +VisMoreSpecific +p4092 +tp4093 +a(g705 +g1036 +tp4094 +a(g628 +VCallMatch +p4095 +tp4096 +a(g826 +g974 +tp4097 +a(g440 +g1059 +tp4098 +a(g705 +g1043 +tp4099 +a(g826 +g974 +tp4100 +a(g628 +VCallMatch +p4101 +tp4102 +a(g826 +g974 +tp4103 +a(g440 +g1729 +tp4104 +a(g705 +g1075 +tp4105 +a(g826 +V\u000a +p4106 +tp4107 +a(g826 +g974 +tp4108 +a(g826 +g974 +tp4109 +a(g705 +g1003 +tp4110 +a(g826 +V\u000a +p4111 +tp4112 +a(g826 +g974 +tp4113 +a(g826 +g974 +tp4114 +a(g826 +g974 +tp4115 +a(g826 +g974 +tp4116 +a(g744 +Vreturn +p4117 +tp4118 +a(g826 +g974 +tp4119 +a(g826 +g1059 +tp4120 +a(g412 +g1071 +tp4121 +a(g573 +Vmethod +p4122 +tp4123 +a(g412 +g1071 +tp4124 +a(g573 +Vparams +p4125 +tp4126 +a(g412 +g1071 +tp4127 +a(g573 +Vall +p4128 +tp4129 +a(g826 +g974 +tp4130 +a(g705 +g3254 +tp4131 +a(g628 +VCParam +p4132 +tp4133 +a(g826 +g974 +tp4134 +a(g440 +Vap +p4135 +tp4136 +a(g705 +g1043 +tp4137 +a(g826 +g974 +tp4138 +a(g628 +VInt +p4139 +tp4140 +a(g826 +g974 +tp4141 +a(g440 +g1212 +tp4142 +a(g705 +V-> +p4143 +tp4144 +a(g628 +VBool +p4145 +tp4146 +a(g705 +g3254 +tp4147 +a(g826 +V\u000a +p4148 +tp4149 +a(g826 +g974 +tp4150 +a(g826 +g974 +tp4151 +a(g826 +g974 +tp4152 +a(g826 +g974 +tp4153 +a(g705 +g1003 +tp4154 +a(g826 +V\u000a +p4155 +tp4156 +a(g826 +g974 +tp4157 +a(g826 +g974 +tp4158 +a(g826 +g974 +tp4159 +a(g826 +g974 +tp4160 +a(g826 +g974 +tp4161 +a(g826 +g974 +tp4162 +a(g440 +Vbp +p4163 +tp4164 +a(g826 +g974 +tp4165 +a(g412 +V:= +p4166 +tp4167 +a(g826 +g974 +tp4168 +a(g826 +g1729 +tp4169 +a(g412 +g1071 +tp4170 +a(g573 +Vmethod +p4171 +tp4172 +a(g412 +g1071 +tp4173 +a(g573 +Vparams +p4174 +tp4175 +a(g412 +g1247 +tp4176 +a(g826 +g1212 +tp4177 +a(g412 +g1253 +tp4178 +a(g826 +V\u000a +p4179 +tp4180 +a(g826 +g974 +tp4181 +a(g826 +g974 +tp4182 +a(g826 +g974 +tp4183 +a(g826 +g974 +tp4184 +a(g826 +g974 +tp4185 +a(g826 +g974 +tp4186 +a(g744 +Vreturn +p4187 +tp4188 +a(g826 +g974 +tp4189 +a(g826 +g1059 +tp4190 +a(g826 +g1111 +tp4191 +a(g412 +g1071 +tp4192 +a(g573 +VparamType +p4193 +tp4194 +a(g412 +g1071 +tp4195 +a(g573 +Vfits +p4196 +tp4197 +a(g705 +g1036 +tp4198 +a(g826 +g1729 +tp4199 +a(g826 +g1111 +tp4200 +a(g412 +g1071 +tp4201 +a(g573 +VparamType +p4202 +tp4203 +a(g705 +g1075 +tp4204 +a(g826 +V\u000a +p4205 +tp4206 +a(g826 +g974 +tp4207 +a(g826 +g974 +tp4208 +a(g826 +g974 +tp4209 +a(g826 +g974 +tp4210 +a(g826 +g1117 +tp4211 +a(g826 +V\u000a +p4212 +tp4213 +a(g826 +g974 +tp4214 +a(g826 +g974 +tp4215 +a(g826 +g1117 +tp4216 +a(g826 +V\u000a +p4217 +tp4218 +a(g826 +V\u000a +p4219 +tp4220 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p4221 +tp4222 +a(g8 +V// Overrides\u000a +p4223 +tp4224 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p4225 +tp4226 +a(g826 +V\u000a +p4227 +tp4228 +a(g826 +g974 +tp4229 +a(g826 +g974 +tp4230 +a(g882 +V**\u000a +p4231 +tp4232 +a(g826 +g974 +tp4233 +a(g826 +g974 +tp4234 +a(g882 +V** Called during Inherit step when a Fantom slot overrides a FFI slot.\u000a +p4235 +tp4236 +a(g826 +g974 +tp4237 +a(g826 +g974 +tp4238 +a(g882 +V** Log and throw compiler error if there is a problem.\u000a +p4239 +tp4240 +a(g826 +g974 +tp4241 +a(g826 +g974 +tp4242 +a(g882 +V**\u000a +p4243 +tp4244 +a(g826 +g974 +tp4245 +a(g826 +g974 +tp4246 +a(g744 +Voverride +p4247 +tp4248 +a(g826 +g974 +tp4249 +a(g628 +VVoid +p4250 +tp4251 +a(g826 +g974 +tp4252 +a(g573 +VcheckOverride +p4253 +tp4254 +a(g705 +g1036 +tp4255 +a(g628 +VTypeDef +p4256 +tp4257 +a(g826 +g974 +tp4258 +a(g440 +g1067 +tp4259 +a(g705 +g1043 +tp4260 +a(g826 +g974 +tp4261 +a(g628 +VCSlot +p4262 +tp4263 +a(g826 +g974 +tp4264 +a(g440 +Vbase +p4265 +tp4266 +a(g705 +g1043 +tp4267 +a(g826 +g974 +tp4268 +a(g628 +VSlotDef +p4269 +tp4270 +a(g826 +g974 +tp4271 +a(g440 +Vdef +p4272 +tp4273 +a(g705 +g1075 +tp4274 +a(g826 +V\u000a +p4275 +tp4276 +a(g826 +g974 +tp4277 +a(g826 +g974 +tp4278 +a(g705 +g1003 +tp4279 +a(g826 +V\u000a +p4280 +tp4281 +a(g826 +g974 +tp4282 +a(g826 +g974 +tp4283 +a(g826 +g974 +tp4284 +a(g826 +g974 +tp4285 +a(g8 +V// we don't allow Fantom to override Java methods with multiple\u000a +p4286 +tp4287 +a(g826 +g974 +tp4288 +a(g826 +g974 +tp4289 +a(g826 +g974 +tp4290 +a(g826 +g974 +tp4291 +a(g8 +V// overloaded versions since the Fantom type system can't actually\u000a +p4292 +tp4293 +a(g826 +g974 +tp4294 +a(g826 +g974 +tp4295 +a(g826 +g974 +tp4296 +a(g826 +g974 +tp4297 +a(g8 +V// override all the overloaded versions\u000a +p4298 +tp4299 +a(g826 +g974 +tp4300 +a(g826 +g974 +tp4301 +a(g826 +g974 +tp4302 +a(g826 +g974 +tp4303 +a(g440 +Vjslot +p4304 +tp4305 +a(g826 +g974 +tp4306 +a(g412 +V:= +p4307 +tp4308 +a(g826 +g974 +tp4309 +a(g628 +Vbase +p4310 +tp4311 +a(g826 +g974 +tp4312 +a(g440 +Vas +p4313 +tp4314 +a(g826 +g974 +tp4315 +a(g826 +g1305 +tp4316 +a(g826 +g1059 +tp4317 +a(g826 +g1218 +tp4318 +a(g826 +g1059 +tp4319 +a(g826 +g3046 +tp4320 +a(g826 +g1057 +tp4321 +a(g826 +g1291 +tp4322 +a(g826 +g1067 +tp4323 +a(g826 +V\u000a +p4324 +tp4325 +a(g826 +g974 +tp4326 +a(g826 +g974 +tp4327 +a(g826 +g974 +tp4328 +a(g826 +g974 +tp4329 +a(g744 +Vif +p4330 +tp4331 +a(g826 +g974 +tp4332 +a(g705 +g1036 +tp4333 +a(g826 +Vj +p4334 +tp4335 +a(g826 +g1061 +tp4336 +a(g826 +g1057 +tp4337 +a(g826 +g1291 +tp4338 +a(g826 +g1067 +tp4339 +a(g826 +g1163 +tp4340 +a(g412 +g1071 +tp4341 +a(g573 +Vnext +p4342 +tp4343 +a(g826 +g974 +tp4344 +a(g412 +g1607 +tp4345 +a(g412 +g1107 +tp4346 +a(g826 +g974 +tp4347 +a(g763 +Vnull +p4348 +tp4349 +a(g705 +g1075 +tp4350 +a(g826 +V\u000a +p4351 +tp4352 +a(g826 +g974 +tp4353 +a(g826 +g974 +tp4354 +a(g826 +g974 +tp4355 +a(g826 +g974 +tp4356 +a(g826 +g974 +tp4357 +a(g826 +g974 +tp4358 +a(g744 +Vthrow +p4359 +tp4360 +a(g826 +g974 +tp4361 +a(g826 +g1195 +tp4362 +a(g826 +g1210 +tp4363 +a(g826 +g1210 +tp4364 +a(g705 +g1036 +tp4365 +a(g705 +g1201 +tp4366 +a(g89 +g1055 +tp4367 +a(g89 +g1059 +tp4368 +a(g89 +g1190 +tp4369 +a(g89 +g1190 +tp4370 +a(g89 +g1291 +tp4371 +a(g89 +g1067 +tp4372 +a(g89 +g974 +tp4373 +a(g89 +g1291 +tp4374 +a(g89 +g1218 +tp4375 +a(g89 +g1195 +tp4376 +a(g89 +g1210 +tp4377 +a(g89 +g1210 +tp4378 +a(g89 +g1212 +tp4379 +a(g89 +g1337 +tp4380 +a(g89 +g1195 +tp4381 +a(g89 +g974 +tp4382 +a(g89 +g1305 +tp4383 +a(g89 +g1059 +tp4384 +a(g89 +g1218 +tp4385 +a(g89 +g1059 +tp4386 +a(g89 +g974 +tp4387 +a(g89 +g1291 +tp4388 +a(g89 +g1218 +tp4389 +a(g89 +g1195 +tp4390 +a(g89 +g1210 +tp4391 +a(g89 +g1057 +tp4392 +a(g89 +g1291 +tp4393 +a(g89 +g1059 +tp4394 +a(g89 +g1337 +tp4395 +a(g89 +g1195 +tp4396 +a(g89 +g1337 +tp4397 +a(g89 +g974 +tp4398 +a(g89 +g1193 +tp4399 +a(g89 +g1195 +tp4400 +a(g89 +g1067 +tp4401 +a(g89 +g1069 +tp4402 +a(g89 +g1291 +tp4403 +a(g89 +g1337 +tp4404 +a(g89 +g996 +tp4405 +a(g89 +g974 +tp4406 +a(g89 +g1321 +tp4407 +a(g125 +V$jslot +p4408 +tp4409 +a(g89 +g1071 +tp4410 +a(g89 +g1190 +tp4411 +a(g89 +g1059 +tp4412 +a(g89 +g1193 +tp4413 +a(g89 +g1195 +tp4414 +a(g89 +g1321 +tp4415 +a(g705 +g1201 +tp4416 +a(g826 +g1043 +tp4417 +a(g826 +g974 +tp4418 +a(g826 +g1337 +tp4419 +a(g826 +g1195 +tp4420 +a(g826 +g1331 +tp4421 +a(g412 +g1071 +tp4422 +a(g573 +Vloc +p4423 +tp4424 +a(g705 +g1075 +tp4425 +a(g826 +V\u000a +p4426 +tp4427 +a(g826 +V\u000a +p4428 +tp4429 +a(g826 +g974 +tp4430 +a(g826 +g974 +tp4431 +a(g826 +g974 +tp4432 +a(g826 +g974 +tp4433 +a(g8 +V// route to method override checking\u000a +p4434 +tp4435 +a(g826 +g974 +tp4436 +a(g826 +g974 +tp4437 +a(g826 +g974 +tp4438 +a(g826 +g974 +tp4439 +a(g744 +Vif +p4440 +tp4441 +a(g826 +g974 +tp4442 +a(g705 +g1036 +tp4443 +a(g628 +Vbase +p4444 +tp4445 +a(g826 +g974 +tp4446 +a(g440 +Vis +p4447 +tp4448 +a(g826 +g974 +tp4449 +a(g826 +g1305 +tp4450 +a(g826 +g1059 +tp4451 +a(g826 +g1218 +tp4452 +a(g826 +g1059 +tp4453 +a(g826 +g2172 +tp4454 +a(g826 +g1195 +tp4455 +a(g826 +g1067 +tp4456 +a(g826 +g1069 +tp4457 +a(g826 +g1291 +tp4458 +a(g826 +g1337 +tp4459 +a(g826 +g974 +tp4460 +a(g412 +V&& +p4461 +tp4462 +a(g826 +g974 +tp4463 +a(g628 +Vdef +p4464 +tp4465 +a(g826 +g974 +tp4466 +a(g440 +Vis +p4467 +tp4468 +a(g826 +g974 +tp4469 +a(g826 +g2172 +tp4470 +a(g826 +g1195 +tp4471 +a(g826 +g1067 +tp4472 +a(g826 +g1069 +tp4473 +a(g826 +g1291 +tp4474 +a(g826 +g1337 +tp4475 +a(g826 +VD +p4476 +tp4477 +a(g826 +g1195 +tp4478 +a(g826 +g1331 +tp4479 +a(g705 +g1075 +tp4480 +a(g826 +V\u000a +p4481 +tp4482 +a(g826 +g974 +tp4483 +a(g826 +g974 +tp4484 +a(g826 +g974 +tp4485 +a(g826 +g974 +tp4486 +a(g826 +g974 +tp4487 +a(g826 +g974 +tp4488 +a(g826 +g1041 +tp4489 +a(g826 +g1069 +tp4490 +a(g826 +g1195 +tp4491 +a(g826 +g1041 +tp4492 +a(g826 +g1314 +tp4493 +a(g826 +g2172 +tp4494 +a(g826 +g1195 +tp4495 +a(g826 +g1067 +tp4496 +a(g826 +g1069 +tp4497 +a(g826 +g1291 +tp4498 +a(g826 +g1337 +tp4499 +a(g826 +VO +p4500 +tp4501 +a(g826 +g1218 +tp4502 +a(g826 +g1195 +tp4503 +a(g826 +g1210 +tp4504 +a(g826 +g1210 +tp4505 +a(g826 +g1212 +tp4506 +a(g826 +g1337 +tp4507 +a(g826 +g1195 +tp4508 +a(g705 +g1036 +tp4509 +a(g826 +g1067 +tp4510 +a(g826 +g1043 +tp4511 +a(g826 +g974 +tp4512 +a(g826 +g1729 +tp4513 +a(g826 +g1059 +tp4514 +a(g826 +g1061 +tp4515 +a(g826 +g1195 +tp4516 +a(g826 +g1043 +tp4517 +a(g826 +g974 +tp4518 +a(g826 +g1337 +tp4519 +a(g826 +g1195 +tp4520 +a(g826 +g1331 +tp4521 +a(g705 +g1075 +tp4522 +a(g826 +V\u000a +p4523 +tp4524 +a(g826 +g974 +tp4525 +a(g826 +g974 +tp4526 +a(g826 +g1117 +tp4527 +a(g826 +V\u000a +p4528 +tp4529 +a(g826 +V\u000a +p4530 +tp4531 +a(g826 +g974 +tp4532 +a(g826 +g974 +tp4533 +a(g882 +V**\u000a +p4534 +tp4535 +a(g826 +g974 +tp4536 +a(g826 +g974 +tp4537 +a(g882 +V** Called on method/method overrides in the checkOverride callback.\u000a +p4538 +tp4539 +a(g826 +g974 +tp4540 +a(g826 +g974 +tp4541 +a(g882 +V**\u000a +p4542 +tp4543 +a(g826 +g974 +tp4544 +a(g826 +g974 +tp4545 +a(g744 +Vprivate +p4546 +tp4547 +a(g826 +g974 +tp4548 +a(g628 +VVoid +p4549 +tp4550 +a(g826 +g974 +tp4551 +a(g573 +VcheckMethodOverride +p4552 +tp4553 +a(g705 +g1036 +tp4554 +a(g628 +VTypeDef +p4555 +tp4556 +a(g826 +g974 +tp4557 +a(g440 +g1067 +tp4558 +a(g705 +g1043 +tp4559 +a(g826 +g974 +tp4560 +a(g628 +VJavaMethod +p4561 +tp4562 +a(g826 +g974 +tp4563 +a(g440 +Vbase +p4564 +tp4565 +a(g705 +g1043 +tp4566 +a(g826 +g974 +tp4567 +a(g628 +VMethodDef +p4568 +tp4569 +a(g826 +g974 +tp4570 +a(g440 +Vdef +p4571 +tp4572 +a(g705 +g1075 +tp4573 +a(g826 +V\u000a +p4574 +tp4575 +a(g826 +g974 +tp4576 +a(g826 +g974 +tp4577 +a(g705 +g1003 +tp4578 +a(g826 +V\u000a +p4579 +tp4580 +a(g826 +g974 +tp4581 +a(g826 +g974 +tp4582 +a(g826 +g974 +tp4583 +a(g826 +g974 +tp4584 +a(g8 +V// bail early if we know things aren't going to work out\u000a +p4585 +tp4586 +a(g826 +g974 +tp4587 +a(g826 +g974 +tp4588 +a(g826 +g974 +tp4589 +a(g826 +g974 +tp4590 +a(g744 +Vif +p4591 +tp4592 +a(g826 +g974 +tp4593 +a(g705 +g1036 +tp4594 +a(g826 +g1729 +tp4595 +a(g826 +g1059 +tp4596 +a(g826 +g1061 +tp4597 +a(g826 +g1195 +tp4598 +a(g412 +g1071 +tp4599 +a(g573 +Vparams +p4600 +tp4601 +a(g412 +g1071 +tp4602 +a(g573 +Vsize +p4603 +tp4604 +a(g826 +g974 +tp4605 +a(g412 +g1607 +tp4606 +a(g412 +g1107 +tp4607 +a(g826 +g974 +tp4608 +a(g826 +g1337 +tp4609 +a(g826 +g1195 +tp4610 +a(g826 +g1331 +tp4611 +a(g412 +g1071 +tp4612 +a(g573 +Vparams +p4613 +tp4614 +a(g412 +g1071 +tp4615 +a(g573 +Vsize +p4616 +tp4617 +a(g705 +g1075 +tp4618 +a(g826 +g974 +tp4619 +a(g744 +Vreturn +p4620 +tp4621 +a(g826 +V\u000a +p4622 +tp4623 +a(g826 +V\u000a +p4624 +tp4625 +a(g826 +g974 +tp4626 +a(g826 +g974 +tp4627 +a(g826 +g974 +tp4628 +a(g826 +g974 +tp4629 +a(g8 +V// if the return type is primitive or Java array and the\u000a +p4630 +tp4631 +a(g826 +g974 +tp4632 +a(g826 +g974 +tp4633 +a(g826 +g974 +tp4634 +a(g826 +g974 +tp4635 +a(g8 +V// Fantom declaration matches how it is inferred into the Fan\u000a +p4636 +tp4637 +a(g826 +g974 +tp4638 +a(g826 +g974 +tp4639 +a(g826 +g974 +tp4640 +a(g826 +g974 +tp4641 +a(g8 +V// type system, then just change the return type - the compiler\u000a +p4642 +tp4643 +a(g826 +g974 +tp4644 +a(g826 +g974 +tp4645 +a(g826 +g974 +tp4646 +a(g826 +g974 +tp4647 +a(g8 +V// will impliclty do all the return coercions\u000a +p4648 +tp4649 +a(g826 +g974 +tp4650 +a(g826 +g974 +tp4651 +a(g826 +g974 +tp4652 +a(g826 +g974 +tp4653 +a(g744 +Vif +p4654 +tp4655 +a(g826 +g974 +tp4656 +a(g705 +g1036 +tp4657 +a(g826 +g1212 +tp4658 +a(g826 +g1061 +tp4659 +a(g826 +g4500 +tp4660 +a(g826 +g1218 +tp4661 +a(g826 +g1195 +tp4662 +a(g826 +g1210 +tp4663 +a(g826 +g1210 +tp4664 +a(g826 +g1212 +tp4665 +a(g826 +g1337 +tp4666 +a(g826 +g1195 +tp4667 +a(g826 +g2149 +tp4668 +a(g826 +g1190 +tp4669 +a(g826 +g1331 +tp4670 +a(g826 +g1195 +tp4671 +a(g826 +g1210 +tp4672 +a(g826 +g1210 +tp4673 +a(g826 +g1195 +tp4674 +a(g826 +g1337 +tp4675 +a(g826 +VT +p4676 +tp4677 +a(g826 +g1469 +tp4678 +a(g826 +g1111 +tp4679 +a(g826 +g1195 +tp4680 +a(g705 +g1036 +tp4681 +a(g826 +g1729 +tp4682 +a(g826 +g1059 +tp4683 +a(g826 +g1061 +tp4684 +a(g826 +g1195 +tp4685 +a(g412 +g1071 +tp4686 +a(g573 +VreturnType +p4687 +tp4688 +a(g826 +g1043 +tp4689 +a(g826 +g974 +tp4690 +a(g826 +g1337 +tp4691 +a(g826 +g1195 +tp4692 +a(g826 +g1331 +tp4693 +a(g412 +g1071 +tp4694 +a(g573 +VreturnType +p4695 +tp4696 +a(g705 +g1075 +tp4697 +a(g705 +g1075 +tp4698 +a(g826 +V\u000a +p4699 +tp4700 +a(g826 +g974 +tp4701 +a(g826 +g974 +tp4702 +a(g826 +g974 +tp4703 +a(g826 +g974 +tp4704 +a(g705 +g1003 +tp4705 +a(g826 +V\u000a +p4706 +tp4707 +a(g826 +g974 +tp4708 +a(g826 +g974 +tp4709 +a(g826 +g974 +tp4710 +a(g826 +g974 +tp4711 +a(g826 +g974 +tp4712 +a(g826 +g974 +tp4713 +a(g826 +g1337 +tp4714 +a(g826 +g1195 +tp4715 +a(g826 +g1331 +tp4716 +a(g412 +g1071 +tp4717 +a(g573 +Vret +p4718 +tp4719 +a(g826 +g974 +tp4720 +a(g412 +g1107 +tp4721 +a(g826 +g974 +tp4722 +a(g826 +g1337 +tp4723 +a(g826 +g1195 +tp4724 +a(g826 +g1331 +tp4725 +a(g412 +g1071 +tp4726 +a(g573 +VinheritedRet +p4727 +tp4728 +a(g826 +g974 +tp4729 +a(g412 +g1107 +tp4730 +a(g826 +g974 +tp4731 +a(g826 +g1729 +tp4732 +a(g826 +g1059 +tp4733 +a(g826 +g1061 +tp4734 +a(g826 +g1195 +tp4735 +a(g412 +g1071 +tp4736 +a(g573 +VreturnType +p4737 +tp4738 +a(g826 +V\u000a +p4739 +tp4740 +a(g826 +g974 +tp4741 +a(g826 +g974 +tp4742 +a(g826 +g974 +tp4743 +a(g826 +g974 +tp4744 +a(g826 +g1117 +tp4745 +a(g826 +V\u000a +p4746 +tp4747 +a(g826 +V\u000a +p4748 +tp4749 +a(g826 +g974 +tp4750 +a(g826 +g974 +tp4751 +a(g826 +g974 +tp4752 +a(g826 +g974 +tp4753 +a(g8 +V// if any of the parameters is a primitive or Java array\u000a +p4754 +tp4755 +a(g826 +g974 +tp4756 +a(g826 +g974 +tp4757 +a(g826 +g974 +tp4758 +a(g826 +g974 +tp4759 +a(g8 +V// and the Fantom declaration matches how it is inferred into\u000a +p4760 +tp4761 +a(g826 +g974 +tp4762 +a(g826 +g974 +tp4763 +a(g826 +g974 +tp4764 +a(g826 +g974 +tp4765 +a(g8 +V// the Fantom type type, then change the parameter type to\u000a +p4766 +tp4767 +a(g826 +g974 +tp4768 +a(g826 +g974 +tp4769 +a(g826 +g974 +tp4770 +a(g826 +g974 +tp4771 +a(g8 +V// the Java override type and make the Fantom type a local\u000a +p4772 +tp4773 +a(g826 +g974 +tp4774 +a(g826 +g974 +tp4775 +a(g826 +g974 +tp4776 +a(g826 +g974 +tp4777 +a(g8 +V// variable:\u000a +p4778 +tp4779 +a(g826 +g974 +tp4780 +a(g826 +g974 +tp4781 +a(g826 +g974 +tp4782 +a(g826 +g974 +tp4783 +a(g8 +V// Java: void foo(int a) { ... }\u000a +p4784 +tp4785 +a(g826 +g974 +tp4786 +a(g826 +g974 +tp4787 +a(g826 +g974 +tp4788 +a(g826 +g974 +tp4789 +a(g8 +V// Fantom: Void foo(Int a) { ... }\u000a +p4790 +tp4791 +a(g826 +g974 +tp4792 +a(g826 +g974 +tp4793 +a(g826 +g974 +tp4794 +a(g826 +g974 +tp4795 +a(g8 +V// Result: Void foo(int a_$J) { Int a := a_$J; ... }\u000a +p4796 +tp4797 +a(g826 +g974 +tp4798 +a(g826 +g974 +tp4799 +a(g826 +g974 +tp4800 +a(g826 +g974 +tp4801 +a(g8 +V//\u000a +p4802 +tp4803 +a(g826 +g974 +tp4804 +a(g826 +g974 +tp4805 +a(g826 +g974 +tp4806 +a(g826 +g974 +tp4807 +a(g826 +g1729 +tp4808 +a(g826 +g1059 +tp4809 +a(g826 +g1061 +tp4810 +a(g826 +g1195 +tp4811 +a(g412 +g1071 +tp4812 +a(g573 +Vparams +p4813 +tp4814 +a(g412 +g1071 +tp4815 +a(g573 +Veachr +p4816 +tp4817 +a(g826 +g974 +tp4818 +a(g705 +g3254 +tp4819 +a(g628 +VCParam +p4820 +tp4821 +a(g826 +g974 +tp4822 +a(g440 +Vbp +p4823 +tp4824 +a(g705 +g1043 +tp4825 +a(g826 +g974 +tp4826 +a(g628 +VInt +p4827 +tp4828 +a(g826 +g974 +tp4829 +a(g440 +g1212 +tp4830 +a(g705 +g3254 +tp4831 +a(g826 +V\u000a +p4832 +tp4833 +a(g826 +g974 +tp4834 +a(g826 +g974 +tp4835 +a(g826 +g974 +tp4836 +a(g826 +g974 +tp4837 +a(g705 +g1003 +tp4838 +a(g826 +V\u000a +p4839 +tp4840 +a(g826 +g974 +tp4841 +a(g826 +g974 +tp4842 +a(g826 +g974 +tp4843 +a(g826 +g974 +tp4844 +a(g826 +g974 +tp4845 +a(g826 +g974 +tp4846 +a(g440 +Vdp +p4847 +tp4848 +a(g826 +g974 +tp4849 +a(g412 +V:= +p4850 +tp4851 +a(g826 +g974 +tp4852 +a(g826 +g1337 +tp4853 +a(g826 +g1195 +tp4854 +a(g826 +g1331 +tp4855 +a(g412 +g1071 +tp4856 +a(g573 +VparamDefs +p4857 +tp4858 +a(g412 +g1247 +tp4859 +a(g826 +g1212 +tp4860 +a(g412 +g1253 +tp4861 +a(g826 +V\u000a +p4862 +tp4863 +a(g826 +g974 +tp4864 +a(g826 +g974 +tp4865 +a(g826 +g974 +tp4866 +a(g826 +g974 +tp4867 +a(g826 +g974 +tp4868 +a(g826 +g974 +tp4869 +a(g744 +Vif +p4870 +tp4871 +a(g826 +g974 +tp4872 +a(g705 +g1036 +tp4873 +a(g412 +g1607 +tp4874 +a(g826 +g1212 +tp4875 +a(g826 +g1061 +tp4876 +a(g826 +g4500 +tp4877 +a(g826 +g1218 +tp4878 +a(g826 +g1195 +tp4879 +a(g826 +g1210 +tp4880 +a(g826 +g1210 +tp4881 +a(g826 +g1212 +tp4882 +a(g826 +g1337 +tp4883 +a(g826 +g1195 +tp4884 +a(g826 +g2149 +tp4885 +a(g826 +g1190 +tp4886 +a(g826 +g1331 +tp4887 +a(g826 +g1195 +tp4888 +a(g826 +g1210 +tp4889 +a(g826 +g1210 +tp4890 +a(g826 +g1195 +tp4891 +a(g826 +g1337 +tp4892 +a(g826 +g4676 +tp4893 +a(g826 +g1469 +tp4894 +a(g826 +g1111 +tp4895 +a(g826 +g1195 +tp4896 +a(g705 +g1036 +tp4897 +a(g826 +g1729 +tp4898 +a(g826 +g1111 +tp4899 +a(g412 +g1071 +tp4900 +a(g573 +VparamType +p4901 +tp4902 +a(g826 +g1043 +tp4903 +a(g826 +g974 +tp4904 +a(g826 +g1337 +tp4905 +a(g826 +g1111 +tp4906 +a(g412 +g1071 +tp4907 +a(g573 +VparamType +p4908 +tp4909 +a(g705 +g1075 +tp4910 +a(g705 +g1075 +tp4911 +a(g826 +g974 +tp4912 +a(g744 +Vreturn +p4913 +tp4914 +a(g826 +V\u000a +p4915 +tp4916 +a(g826 +V\u000a +p4917 +tp4918 +a(g826 +g974 +tp4919 +a(g826 +g974 +tp4920 +a(g826 +g974 +tp4921 +a(g826 +g974 +tp4922 +a(g826 +g974 +tp4923 +a(g826 +g974 +tp4924 +a(g8 +V// add local variable: Int bar := bar_$J\u000a +p4925 +tp4926 +a(g826 +g974 +tp4927 +a(g826 +g974 +tp4928 +a(g826 +g974 +tp4929 +a(g826 +g974 +tp4930 +a(g826 +g974 +tp4931 +a(g826 +g974 +tp4932 +a(g440 +Vlocal +p4933 +tp4934 +a(g826 +g974 +tp4935 +a(g412 +V:= +p4936 +tp4937 +a(g826 +g974 +tp4938 +a(g826 +VL +p4939 +tp4940 +a(g826 +g1291 +tp4941 +a(g826 +g1041 +tp4942 +a(g826 +g1059 +tp4943 +a(g826 +g1057 +tp4944 +a(g826 +g4476 +tp4945 +a(g826 +g1195 +tp4946 +a(g826 +g1331 +tp4947 +a(g826 +g3046 +tp4948 +a(g826 +g1067 +tp4949 +a(g826 +g1193 +tp4950 +a(g826 +g1067 +tp4951 +a(g705 +g1036 +tp4952 +a(g826 +g1337 +tp4953 +a(g826 +g1195 +tp4954 +a(g826 +g1331 +tp4955 +a(g412 +g1071 +tp4956 +a(g573 +Vloc +p4957 +tp4958 +a(g705 +g1075 +tp4959 +a(g826 +V\u000a +p4960 +tp4961 +a(g826 +g974 +tp4962 +a(g826 +g974 +tp4963 +a(g826 +g974 +tp4964 +a(g826 +g974 +tp4965 +a(g826 +g974 +tp4966 +a(g826 +g974 +tp4967 +a(g826 +g1057 +tp4968 +a(g826 +g1291 +tp4969 +a(g826 +g1041 +tp4970 +a(g826 +g1059 +tp4971 +a(g826 +g1057 +tp4972 +a(g412 +g1071 +tp4973 +a(g573 +Vctype +p4974 +tp4975 +a(g826 +g974 +tp4976 +a(g412 +g1107 +tp4977 +a(g826 +g974 +tp4978 +a(g826 +g1337 +tp4979 +a(g826 +g1111 +tp4980 +a(g412 +g1071 +tp4981 +a(g573 +VparamType +p4982 +tp4983 +a(g826 +V\u000a +p4984 +tp4985 +a(g826 +g974 +tp4986 +a(g826 +g974 +tp4987 +a(g826 +g974 +tp4988 +a(g826 +g974 +tp4989 +a(g826 +g974 +tp4990 +a(g826 +g974 +tp4991 +a(g826 +g1057 +tp4992 +a(g826 +g1291 +tp4993 +a(g826 +g1041 +tp4994 +a(g826 +g1059 +tp4995 +a(g826 +g1057 +tp4996 +a(g412 +g1071 +tp4997 +a(g573 +Vname +p4998 +tp4999 +a(g826 +g974 +tp5000 +a(g826 +g974 +tp5001 +a(g412 +g1107 +tp5002 +a(g826 +g974 +tp5003 +a(g826 +g1337 +tp5004 +a(g826 +g1111 +tp5005 +a(g412 +g1071 +tp5006 +a(g573 +Vname +p5007 +tp5008 +a(g826 +V\u000a +p5009 +tp5010 +a(g826 +g974 +tp5011 +a(g826 +g974 +tp5012 +a(g826 +g974 +tp5013 +a(g826 +g974 +tp5014 +a(g826 +g974 +tp5015 +a(g826 +g974 +tp5016 +a(g826 +g1057 +tp5017 +a(g826 +g1291 +tp5018 +a(g826 +g1041 +tp5019 +a(g826 +g1059 +tp5020 +a(g826 +g1057 +tp5021 +a(g412 +g1071 +tp5022 +a(g573 +Vinit +p5023 +tp5024 +a(g826 +g974 +tp5025 +a(g826 +g974 +tp5026 +a(g412 +g1107 +tp5027 +a(g826 +g974 +tp5028 +a(g826 +VU +p5029 +tp5030 +a(g826 +g1190 +tp5031 +a(g826 +g1314 +tp5032 +a(g826 +g1190 +tp5033 +a(g826 +g1291 +tp5034 +a(g826 +g3635 +tp5035 +a(g826 +g1190 +tp5036 +a(g826 +VV +p5037 +tp5038 +a(g826 +g1059 +tp5039 +a(g826 +g1210 +tp5040 +a(g826 +g1299 +tp5041 +a(g826 +g1584 +tp5042 +a(g826 +g1111 +tp5043 +a(g826 +g1210 +tp5044 +a(g705 +g1036 +tp5045 +a(g826 +g1337 +tp5046 +a(g826 +g1195 +tp5047 +a(g826 +g1331 +tp5048 +a(g412 +g1071 +tp5049 +a(g573 +Vloc +p5050 +tp5051 +a(g826 +g1043 +tp5052 +a(g826 +g974 +tp5053 +a(g763 +Vnull +p5054 +tp5055 +a(g826 +g1043 +tp5056 +a(g826 +g974 +tp5057 +a(g826 +g1337 +tp5058 +a(g826 +g1111 +tp5059 +a(g412 +g1071 +tp5060 +a(g573 +Vname +p5061 +tp5062 +a(g826 +g974 +tp5063 +a(g412 +V+ +p5064 +tp5065 +a(g826 +g974 +tp5066 +a(g705 +g1201 +tp5067 +a(g89 +V_ +p5068 +tp5069 +a(g89 +V\u005c +p5070 +tp5071 +a(g125 +V$J +p5072 +tp5073 +a(g705 +g1201 +tp5074 +a(g705 +g1075 +tp5075 +a(g826 +V\u000a +p5076 +tp5077 +a(g826 +g974 +tp5078 +a(g826 +g974 +tp5079 +a(g826 +g974 +tp5080 +a(g826 +g974 +tp5081 +a(g826 +g974 +tp5082 +a(g826 +g974 +tp5083 +a(g826 +g1337 +tp5084 +a(g826 +g1195 +tp5085 +a(g826 +g1331 +tp5086 +a(g412 +g1071 +tp5087 +a(g573 +Vcode +p5088 +tp5089 +a(g412 +g1071 +tp5090 +a(g573 +Vstmts +p5091 +tp5092 +a(g412 +g1071 +tp5093 +a(g573 +Vinsert +p5094 +tp5095 +a(g705 +g1036 +tp5096 +a(g37 +g2957 +tp5097 +a(g826 +g1043 +tp5098 +a(g826 +g974 +tp5099 +a(g826 +g1057 +tp5100 +a(g826 +g1291 +tp5101 +a(g826 +g1041 +tp5102 +a(g826 +g1059 +tp5103 +a(g826 +g1057 +tp5104 +a(g705 +g1075 +tp5105 +a(g826 +V\u000a +p5106 +tp5107 +a(g826 +V\u000a +p5108 +tp5109 +a(g826 +g974 +tp5110 +a(g826 +g974 +tp5111 +a(g826 +g974 +tp5112 +a(g826 +g974 +tp5113 +a(g826 +g974 +tp5114 +a(g826 +g974 +tp5115 +a(g8 +V// rename parameter Int bar -> int bar_$J\u000a +p5116 +tp5117 +a(g826 +g974 +tp5118 +a(g826 +g974 +tp5119 +a(g826 +g974 +tp5120 +a(g826 +g974 +tp5121 +a(g826 +g974 +tp5122 +a(g826 +g974 +tp5123 +a(g826 +g1337 +tp5124 +a(g826 +g1111 +tp5125 +a(g412 +g1071 +tp5126 +a(g573 +Vname +p5127 +tp5128 +a(g826 +g974 +tp5129 +a(g412 +g1107 +tp5130 +a(g826 +g974 +tp5131 +a(g826 +g1337 +tp5132 +a(g826 +g1111 +tp5133 +a(g412 +g1071 +tp5134 +a(g573 +Vname +p5135 +tp5136 +a(g826 +g974 +tp5137 +a(g412 +g5064 +tp5138 +a(g826 +g974 +tp5139 +a(g705 +g1201 +tp5140 +a(g89 +g5068 +tp5141 +a(g89 +V\u005c +p5142 +tp5143 +a(g125 +V$J +p5144 +tp5145 +a(g705 +g1201 +tp5146 +a(g826 +V\u000a +p5147 +tp5148 +a(g826 +g974 +tp5149 +a(g826 +g974 +tp5150 +a(g826 +g974 +tp5151 +a(g826 +g974 +tp5152 +a(g826 +g974 +tp5153 +a(g826 +g974 +tp5154 +a(g826 +g1337 +tp5155 +a(g826 +g1111 +tp5156 +a(g412 +g1071 +tp5157 +a(g573 +VparamType +p5158 +tp5159 +a(g826 +g974 +tp5160 +a(g412 +g1107 +tp5161 +a(g826 +g974 +tp5162 +a(g826 +g1729 +tp5163 +a(g826 +g1111 +tp5164 +a(g412 +g1071 +tp5165 +a(g573 +VparamType +p5166 +tp5167 +a(g826 +V\u000a +p5168 +tp5169 +a(g826 +g974 +tp5170 +a(g826 +g974 +tp5171 +a(g826 +g974 +tp5172 +a(g826 +g974 +tp5173 +a(g826 +g1117 +tp5174 +a(g826 +V\u000a +p5175 +tp5176 +a(g826 +g974 +tp5177 +a(g826 +g974 +tp5178 +a(g826 +g1117 +tp5179 +a(g826 +V\u000a +p5180 +tp5181 +a(g826 +V\u000a +p5182 +tp5183 +a(g826 +g974 +tp5184 +a(g826 +g974 +tp5185 +a(g882 +V**\u000a +p5186 +tp5187 +a(g826 +g974 +tp5188 +a(g826 +g974 +tp5189 +a(g882 +V** When overriding a Java method check if the base type is\u000a +p5190 +tp5191 +a(g826 +g974 +tp5192 +a(g826 +g974 +tp5193 +a(g882 +V** is a Java primitive or array and the override definition is\u000a +p5194 +tp5195 +a(g826 +g974 +tp5196 +a(g826 +g974 +tp5197 +a(g882 +V** matches how the Java type is inferred in the Fantom type system.\u000a +p5198 +tp5199 +a(g826 +g974 +tp5200 +a(g826 +g974 +tp5201 +a(g882 +V** If we have a match return true and we'll swizzle things in\u000a +p5202 +tp5203 +a(g826 +g974 +tp5204 +a(g826 +g974 +tp5205 +a(g882 +V** checkMethodOverride.\u000a +p5206 +tp5207 +a(g826 +g974 +tp5208 +a(g826 +g974 +tp5209 +a(g882 +V**\u000a +p5210 +tp5211 +a(g826 +g974 +tp5212 +a(g826 +g974 +tp5213 +a(g744 +Vstatic +p5214 +tp5215 +a(g826 +g974 +tp5216 +a(g744 +Vprivate +p5217 +tp5218 +a(g826 +g974 +tp5219 +a(g628 +VBool +p5220 +tp5221 +a(g826 +g974 +tp5222 +a(g573 +VisOverrideInferredType +p5223 +tp5224 +a(g705 +g1036 +tp5225 +a(g628 +VCType +p5226 +tp5227 +a(g826 +g974 +tp5228 +a(g440 +Vbase +p5229 +tp5230 +a(g705 +g1043 +tp5231 +a(g826 +g974 +tp5232 +a(g628 +VCType +p5233 +tp5234 +a(g826 +g974 +tp5235 +a(g440 +Vdef +p5236 +tp5237 +a(g705 +g1075 +tp5238 +a(g826 +V\u000a +p5239 +tp5240 +a(g826 +g974 +tp5241 +a(g826 +g974 +tp5242 +a(g705 +g1003 +tp5243 +a(g826 +V\u000a +p5244 +tp5245 +a(g826 +g974 +tp5246 +a(g826 +g974 +tp5247 +a(g826 +g974 +tp5248 +a(g826 +g974 +tp5249 +a(g8 +V// check if base class slot is a JavaType\u000a +p5250 +tp5251 +a(g826 +g974 +tp5252 +a(g826 +g974 +tp5253 +a(g826 +g974 +tp5254 +a(g826 +g974 +tp5255 +a(g440 +Vjava +p5256 +tp5257 +a(g826 +g974 +tp5258 +a(g412 +V:= +p5259 +tp5260 +a(g826 +g974 +tp5261 +a(g826 +g1729 +tp5262 +a(g826 +g1059 +tp5263 +a(g826 +g1061 +tp5264 +a(g826 +g1195 +tp5265 +a(g412 +g1071 +tp5266 +a(g573 +VtoNonNullable +p5267 +tp5268 +a(g826 +g974 +tp5269 +a(g744 +Vas +p5270 +tp5271 +a(g826 +g974 +tp5272 +a(g826 +g1305 +tp5273 +a(g826 +g1059 +tp5274 +a(g826 +g1218 +tp5275 +a(g826 +g1059 +tp5276 +a(g826 +g4676 +tp5277 +a(g826 +g1469 +tp5278 +a(g826 +g1111 +tp5279 +a(g826 +g1195 +tp5280 +a(g826 +V\u000a +p5281 +tp5282 +a(g826 +g974 +tp5283 +a(g826 +g974 +tp5284 +a(g826 +g974 +tp5285 +a(g826 +g974 +tp5286 +a(g744 +Vif +p5287 +tp5288 +a(g826 +g974 +tp5289 +a(g705 +g1036 +tp5290 +a(g826 +g4334 +tp5291 +a(g826 +g1059 +tp5292 +a(g826 +g1218 +tp5293 +a(g826 +g1059 +tp5294 +a(g826 +g974 +tp5295 +a(g412 +g1607 +tp5296 +a(g412 +g1107 +tp5297 +a(g826 +g974 +tp5298 +a(g763 +Vnull +p5299 +tp5300 +a(g705 +g1075 +tp5301 +a(g826 +V\u000a +p5302 +tp5303 +a(g826 +g974 +tp5304 +a(g826 +g974 +tp5305 +a(g826 +g974 +tp5306 +a(g826 +g974 +tp5307 +a(g705 +g1003 +tp5308 +a(g826 +V\u000a +p5309 +tp5310 +a(g826 +g974 +tp5311 +a(g826 +g974 +tp5312 +a(g826 +g974 +tp5313 +a(g826 +g974 +tp5314 +a(g826 +g974 +tp5315 +a(g826 +g974 +tp5316 +a(g8 +V// allow primitives is it matches the inferred type\u000a +p5317 +tp5318 +a(g826 +g974 +tp5319 +a(g826 +g974 +tp5320 +a(g826 +g974 +tp5321 +a(g826 +g974 +tp5322 +a(g826 +g974 +tp5323 +a(g826 +g974 +tp5324 +a(g744 +Vif +p5325 +tp5326 +a(g826 +g974 +tp5327 +a(g705 +g1036 +tp5328 +a(g826 +g4334 +tp5329 +a(g826 +g1059 +tp5330 +a(g826 +g1218 +tp5331 +a(g826 +g1059 +tp5332 +a(g412 +g1071 +tp5333 +a(g573 +VisPrimitive +p5334 +tp5335 +a(g705 +g1075 +tp5336 +a(g826 +g974 +tp5337 +a(g744 +Vreturn +p5338 +tp5339 +a(g826 +g974 +tp5340 +a(g826 +g4334 +tp5341 +a(g826 +g1059 +tp5342 +a(g826 +g1218 +tp5343 +a(g826 +g1059 +tp5344 +a(g412 +g1071 +tp5345 +a(g573 +VinferredAs +p5346 +tp5347 +a(g826 +g974 +tp5348 +a(g412 +g1107 +tp5349 +a(g412 +g1107 +tp5350 +a(g826 +g974 +tp5351 +a(g826 +g1337 +tp5352 +a(g826 +g1195 +tp5353 +a(g826 +g1331 +tp5354 +a(g826 +V\u000a +p5355 +tp5356 +a(g826 +V\u000a +p5357 +tp5358 +a(g826 +g974 +tp5359 +a(g826 +g974 +tp5360 +a(g826 +g974 +tp5361 +a(g826 +g974 +tp5362 +a(g826 +g974 +tp5363 +a(g826 +g974 +tp5364 +a(g8 +V// allow arrays if mapped as Foo[] -> Foo?[]?\u000a +p5365 +tp5366 +a(g826 +g974 +tp5367 +a(g826 +g974 +tp5368 +a(g826 +g974 +tp5369 +a(g826 +g974 +tp5370 +a(g826 +g974 +tp5371 +a(g826 +g974 +tp5372 +a(g744 +Vif +p5373 +tp5374 +a(g826 +g974 +tp5375 +a(g705 +g1036 +tp5376 +a(g826 +g4334 +tp5377 +a(g826 +g1059 +tp5378 +a(g826 +g1218 +tp5379 +a(g826 +g1059 +tp5380 +a(g412 +g1071 +tp5381 +a(g573 +VisArray +p5382 +tp5383 +a(g705 +g1075 +tp5384 +a(g826 +g974 +tp5385 +a(g744 +Vreturn +p5386 +tp5387 +a(g826 +g974 +tp5388 +a(g826 +g4334 +tp5389 +a(g826 +g1059 +tp5390 +a(g826 +g1218 +tp5391 +a(g826 +g1059 +tp5392 +a(g412 +g1071 +tp5393 +a(g573 +VinferredAs +p5394 +tp5395 +a(g826 +g974 +tp5396 +a(g412 +g1107 +tp5397 +a(g412 +g1107 +tp5398 +a(g826 +g974 +tp5399 +a(g826 +g1337 +tp5400 +a(g826 +g1195 +tp5401 +a(g826 +g1331 +tp5402 +a(g412 +g1071 +tp5403 +a(g573 +VtoNonNullable +p5404 +tp5405 +a(g826 +g974 +tp5406 +a(g412 +V&& +p5407 +tp5408 +a(g826 +g974 +tp5409 +a(g826 +g1337 +tp5410 +a(g826 +g1195 +tp5411 +a(g826 +g1331 +tp5412 +a(g412 +g1071 +tp5413 +a(g573 +VisNullable +p5414 +tp5415 +a(g826 +V\u000a +p5416 +tp5417 +a(g826 +g974 +tp5418 +a(g826 +g974 +tp5419 +a(g826 +g974 +tp5420 +a(g826 +g974 +tp5421 +a(g826 +g1117 +tp5422 +a(g826 +V\u000a +p5423 +tp5424 +a(g826 +g974 +tp5425 +a(g826 +g974 +tp5426 +a(g826 +g974 +tp5427 +a(g826 +g974 +tp5428 +a(g744 +Vreturn +p5429 +tp5430 +a(g826 +g974 +tp5431 +a(g763 +Vfalse +p5432 +tp5433 +a(g826 +V\u000a +p5434 +tp5435 +a(g826 +g974 +tp5436 +a(g826 +g974 +tp5437 +a(g826 +g1117 +tp5438 +a(g826 +V\u000a +p5439 +tp5440 +a(g826 +V\u000a +p5441 +tp5442 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p5443 +tp5444 +a(g8 +V// CheckErrors\u000a +p5445 +tp5446 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p5447 +tp5448 +a(g826 +V\u000a +p5449 +tp5450 +a(g826 +g974 +tp5451 +a(g826 +g974 +tp5452 +a(g882 +V**\u000a +p5453 +tp5454 +a(g826 +g974 +tp5455 +a(g826 +g974 +tp5456 +a(g882 +V** Called during CheckErrors step for a type which extends\u000a +p5457 +tp5458 +a(g826 +g974 +tp5459 +a(g826 +g974 +tp5460 +a(g882 +V** a FFI class or implements any FFI mixins.\u000a +p5461 +tp5462 +a(g826 +g974 +tp5463 +a(g826 +g974 +tp5464 +a(g882 +V**\u000a +p5465 +tp5466 +a(g826 +g974 +tp5467 +a(g826 +g974 +tp5468 +a(g744 +Voverride +p5469 +tp5470 +a(g826 +g974 +tp5471 +a(g628 +VVoid +p5472 +tp5473 +a(g826 +g974 +tp5474 +a(g573 +VcheckType +p5475 +tp5476 +a(g705 +g1036 +tp5477 +a(g628 +VTypeDef +p5478 +tp5479 +a(g826 +g974 +tp5480 +a(g440 +Vdef +p5481 +tp5482 +a(g705 +g1075 +tp5483 +a(g826 +V\u000a +p5484 +tp5485 +a(g826 +g974 +tp5486 +a(g826 +g974 +tp5487 +a(g705 +g1003 +tp5488 +a(g826 +V\u000a +p5489 +tp5490 +a(g826 +g974 +tp5491 +a(g826 +g974 +tp5492 +a(g826 +g974 +tp5493 +a(g826 +g974 +tp5494 +a(g8 +V// can't subclass a primitive array like ByteArray/byte[]\u000a +p5495 +tp5496 +a(g826 +g974 +tp5497 +a(g826 +g974 +tp5498 +a(g826 +g974 +tp5499 +a(g826 +g974 +tp5500 +a(g744 +Vif +p5501 +tp5502 +a(g826 +g974 +tp5503 +a(g705 +g1036 +tp5504 +a(g826 +g1337 +tp5505 +a(g826 +g1195 +tp5506 +a(g826 +g1331 +tp5507 +a(g412 +g1071 +tp5508 +a(g573 +Vbase +p5509 +tp5510 +a(g412 +g1071 +tp5511 +a(g573 +Vderef +p5512 +tp5513 +a(g826 +g974 +tp5514 +a(g744 +Vis +p5515 +tp5516 +a(g826 +g974 +tp5517 +a(g826 +g1305 +tp5518 +a(g826 +g1059 +tp5519 +a(g826 +g1218 +tp5520 +a(g826 +g1059 +tp5521 +a(g826 +g4676 +tp5522 +a(g826 +g1469 +tp5523 +a(g826 +g1111 +tp5524 +a(g826 +g1195 +tp5525 +a(g826 +g974 +tp5526 +a(g412 +V&& +p5527 +tp5528 +a(g826 +g974 +tp5529 +a(g826 +g1337 +tp5530 +a(g826 +g1195 +tp5531 +a(g826 +g1331 +tp5532 +a(g412 +g1071 +tp5533 +a(g573 +Vbase +p5534 +tp5535 +a(g412 +g1071 +tp5536 +a(g573 +Vderef +p5537 +tp5538 +a(g412 +g1647 +tp5539 +a(g412 +g1812 +tp5540 +a(g826 +g1212 +tp5541 +a(g826 +g1061 +tp5542 +a(g826 +g2149 +tp5543 +a(g826 +g1190 +tp5544 +a(g826 +g1067 +tp5545 +a(g826 +g1195 +tp5546 +a(g826 +g1210 +tp5547 +a(g826 +g1291 +tp5548 +a(g826 +g1111 +tp5549 +a(g826 +g3181 +tp5550 +a(g826 +g1210 +tp5551 +a(g826 +g1210 +tp5552 +a(g826 +g1059 +tp5553 +a(g826 +g1469 +tp5554 +a(g705 +g1075 +tp5555 +a(g826 +V\u000a +p5556 +tp5557 +a(g826 +g974 +tp5558 +a(g826 +g974 +tp5559 +a(g826 +g974 +tp5560 +a(g826 +g974 +tp5561 +a(g705 +g1003 +tp5562 +a(g826 +V\u000a +p5563 +tp5564 +a(g826 +g974 +tp5565 +a(g826 +g974 +tp5566 +a(g826 +g974 +tp5567 +a(g826 +g974 +tp5568 +a(g826 +g974 +tp5569 +a(g826 +g974 +tp5570 +a(g826 +g1195 +tp5571 +a(g826 +g1210 +tp5572 +a(g826 +g1210 +tp5573 +a(g705 +g1036 +tp5574 +a(g705 +g1201 +tp5575 +a(g89 +g1055 +tp5576 +a(g89 +g1059 +tp5577 +a(g89 +g1190 +tp5578 +a(g89 +g1190 +tp5579 +a(g89 +g1291 +tp5580 +a(g89 +g1067 +tp5581 +a(g89 +g974 +tp5582 +a(g89 +g1061 +tp5583 +a(g89 +g1334 +tp5584 +a(g89 +g1729 +tp5585 +a(g89 +g1041 +tp5586 +a(g89 +g1057 +tp5587 +a(g89 +g1059 +tp5588 +a(g89 +g1061 +tp5589 +a(g89 +g1061 +tp5590 +a(g89 +g974 +tp5591 +a(g89 +g1331 +tp5592 +a(g89 +g1210 +tp5593 +a(g89 +g1291 +tp5594 +a(g89 +g1193 +tp5595 +a(g89 +g974 +tp5596 +a(g89 +g1305 +tp5597 +a(g89 +g1059 +tp5598 +a(g89 +g1218 +tp5599 +a(g89 +g1059 +tp5600 +a(g89 +g974 +tp5601 +a(g89 +g1212 +tp5602 +a(g89 +g1190 +tp5603 +a(g89 +g1067 +tp5604 +a(g89 +g1195 +tp5605 +a(g89 +g1210 +tp5606 +a(g89 +g1291 +tp5607 +a(g89 +g1111 +tp5608 +a(g89 +g974 +tp5609 +a(g89 +g1059 +tp5610 +a(g89 +g1210 +tp5611 +a(g89 +g1210 +tp5612 +a(g89 +g1059 +tp5613 +a(g89 +g1469 +tp5614 +a(g89 +g996 +tp5615 +a(g89 +g974 +tp5616 +a(g125 +V$def +p5617 +tp5618 +a(g89 +g1071 +tp5619 +a(g89 +g1729 +tp5620 +a(g89 +g1059 +tp5621 +a(g89 +g1061 +tp5622 +a(g89 +g1195 +tp5623 +a(g705 +g1201 +tp5624 +a(g826 +g1043 +tp5625 +a(g826 +g974 +tp5626 +a(g826 +g1337 +tp5627 +a(g826 +g1195 +tp5628 +a(g826 +g1331 +tp5629 +a(g412 +g1071 +tp5630 +a(g573 +Vloc +p5631 +tp5632 +a(g705 +g1075 +tp5633 +a(g826 +V\u000a +p5634 +tp5635 +a(g826 +g974 +tp5636 +a(g826 +g974 +tp5637 +a(g826 +g974 +tp5638 +a(g826 +g974 +tp5639 +a(g826 +g974 +tp5640 +a(g826 +g974 +tp5641 +a(g744 +Vreturn +p5642 +tp5643 +a(g826 +V\u000a +p5644 +tp5645 +a(g826 +g974 +tp5646 +a(g826 +g974 +tp5647 +a(g826 +g974 +tp5648 +a(g826 +g974 +tp5649 +a(g826 +g1117 +tp5650 +a(g826 +V\u000a +p5651 +tp5652 +a(g826 +V\u000a +p5653 +tp5654 +a(g826 +g974 +tp5655 +a(g826 +g974 +tp5656 +a(g826 +g974 +tp5657 +a(g826 +g974 +tp5658 +a(g8 +V// we don't allow deep inheritance of Java classes because\u000a +p5659 +tp5660 +a(g826 +g974 +tp5661 +a(g826 +g974 +tp5662 +a(g826 +g974 +tp5663 +a(g826 +g974 +tp5664 +a(g8 +V// the Fantom constructor and Java constructor model don't match\u000a +p5665 +tp5666 +a(g826 +g974 +tp5667 +a(g826 +g974 +tp5668 +a(g826 +g974 +tp5669 +a(g826 +g974 +tp5670 +a(g8 +V// up past one level of inheritance\u000a +p5671 +tp5672 +a(g826 +g974 +tp5673 +a(g826 +g974 +tp5674 +a(g826 +g974 +tp5675 +a(g826 +g974 +tp5676 +a(g8 +V// NOTE: that that when we remove this restriction we need to\u000a +p5677 +tp5678 +a(g826 +g974 +tp5679 +a(g826 +g974 +tp5680 +a(g826 +g974 +tp5681 +a(g826 +g974 +tp5682 +a(g8 +V// test how field initialization works because instance$init\u000a +p5683 +tp5684 +a(g826 +g974 +tp5685 +a(g826 +g974 +tp5686 +a(g826 +g974 +tp5687 +a(g826 +g974 +tp5688 +a(g8 +V// is almost certain to break with the current emit design\u000a +p5689 +tp5690 +a(g826 +g974 +tp5691 +a(g826 +g974 +tp5692 +a(g826 +g974 +tp5693 +a(g826 +g974 +tp5694 +a(g440 +VjavaBase +p5695 +tp5696 +a(g826 +g974 +tp5697 +a(g412 +V:= +p5698 +tp5699 +a(g826 +g974 +tp5700 +a(g826 +g1337 +tp5701 +a(g826 +g1195 +tp5702 +a(g826 +g1331 +tp5703 +a(g412 +g1071 +tp5704 +a(g573 +Vbase +p5705 +tp5706 +a(g826 +V\u000a +p5707 +tp5708 +a(g826 +g974 +tp5709 +a(g826 +g974 +tp5710 +a(g826 +g974 +tp5711 +a(g826 +g974 +tp5712 +a(g744 +Vwhile +p5713 +tp5714 +a(g826 +g974 +tp5715 +a(g705 +g1036 +tp5716 +a(g826 +g4334 +tp5717 +a(g826 +g1059 +tp5718 +a(g826 +g1218 +tp5719 +a(g826 +g1059 +tp5720 +a(g826 +g1600 +tp5721 +a(g826 +g1059 +tp5722 +a(g826 +g1061 +tp5723 +a(g826 +g1195 +tp5724 +a(g826 +g974 +tp5725 +a(g412 +g1607 +tp5726 +a(g412 +g1107 +tp5727 +a(g826 +g974 +tp5728 +a(g763 +Vnull +p5729 +tp5730 +a(g826 +g974 +tp5731 +a(g412 +V&& +p5732 +tp5733 +a(g826 +g974 +tp5734 +a(g412 +g1607 +tp5735 +a(g826 +g4334 +tp5736 +a(g826 +g1059 +tp5737 +a(g826 +g1218 +tp5738 +a(g826 +g1059 +tp5739 +a(g826 +g1600 +tp5740 +a(g826 +g1059 +tp5741 +a(g826 +g1061 +tp5742 +a(g826 +g1195 +tp5743 +a(g412 +g1071 +tp5744 +a(g573 +VisForeign +p5745 +tp5746 +a(g705 +g1075 +tp5747 +a(g826 +g974 +tp5748 +a(g826 +g4334 +tp5749 +a(g826 +g1059 +tp5750 +a(g826 +g1218 +tp5751 +a(g826 +g1059 +tp5752 +a(g826 +g1600 +tp5753 +a(g826 +g1059 +tp5754 +a(g826 +g1061 +tp5755 +a(g826 +g1195 +tp5756 +a(g826 +g974 +tp5757 +a(g412 +g1107 +tp5758 +a(g826 +g974 +tp5759 +a(g826 +g4334 +tp5760 +a(g826 +g1059 +tp5761 +a(g826 +g1218 +tp5762 +a(g826 +g1059 +tp5763 +a(g826 +g1600 +tp5764 +a(g826 +g1059 +tp5765 +a(g826 +g1061 +tp5766 +a(g826 +g1195 +tp5767 +a(g412 +g1071 +tp5768 +a(g573 +Vbase +p5769 +tp5770 +a(g826 +V\u000a +p5771 +tp5772 +a(g826 +g974 +tp5773 +a(g826 +g974 +tp5774 +a(g826 +g974 +tp5775 +a(g826 +g974 +tp5776 +a(g744 +Vif +p5777 +tp5778 +a(g826 +g974 +tp5779 +a(g705 +g1036 +tp5780 +a(g826 +g4334 +tp5781 +a(g826 +g1059 +tp5782 +a(g826 +g1218 +tp5783 +a(g826 +g1059 +tp5784 +a(g826 +g1600 +tp5785 +a(g826 +g1059 +tp5786 +a(g826 +g1061 +tp5787 +a(g826 +g1195 +tp5788 +a(g826 +g974 +tp5789 +a(g412 +g1607 +tp5790 +a(g412 +g1107 +tp5791 +a(g826 +g974 +tp5792 +a(g763 +Vnull +p5793 +tp5794 +a(g826 +g974 +tp5795 +a(g412 +V&& +p5796 +tp5797 +a(g826 +g974 +tp5798 +a(g826 +g4334 +tp5799 +a(g826 +g1059 +tp5800 +a(g826 +g1218 +tp5801 +a(g826 +g1059 +tp5802 +a(g826 +g1600 +tp5803 +a(g826 +g1059 +tp5804 +a(g826 +g1061 +tp5805 +a(g826 +g1195 +tp5806 +a(g826 +g974 +tp5807 +a(g412 +g1607 +tp5808 +a(g412 +g1107 +tp5809 +a(g412 +g1107 +tp5810 +a(g826 +g974 +tp5811 +a(g826 +g1337 +tp5812 +a(g826 +g1195 +tp5813 +a(g826 +g1331 +tp5814 +a(g412 +g1071 +tp5815 +a(g573 +Vbase +p5816 +tp5817 +a(g705 +g1075 +tp5818 +a(g826 +V\u000a +p5819 +tp5820 +a(g826 +g974 +tp5821 +a(g826 +g974 +tp5822 +a(g826 +g974 +tp5823 +a(g826 +g974 +tp5824 +a(g705 +g1003 +tp5825 +a(g826 +V\u000a +p5826 +tp5827 +a(g826 +g974 +tp5828 +a(g826 +g974 +tp5829 +a(g826 +g974 +tp5830 +a(g826 +g974 +tp5831 +a(g826 +g974 +tp5832 +a(g826 +g974 +tp5833 +a(g826 +g1195 +tp5834 +a(g826 +g1210 +tp5835 +a(g826 +g1210 +tp5836 +a(g705 +g1036 +tp5837 +a(g705 +g1201 +tp5838 +a(g89 +g1055 +tp5839 +a(g89 +g1059 +tp5840 +a(g89 +g1190 +tp5841 +a(g89 +g1190 +tp5842 +a(g89 +g1291 +tp5843 +a(g89 +g1067 +tp5844 +a(g89 +g974 +tp5845 +a(g89 +g1061 +tp5846 +a(g89 +g1334 +tp5847 +a(g89 +g1729 +tp5848 +a(g89 +g1041 +tp5849 +a(g89 +g1057 +tp5850 +a(g89 +g1059 +tp5851 +a(g89 +g1061 +tp5852 +a(g89 +g1061 +tp5853 +a(g89 +g974 +tp5854 +a(g89 +g1305 +tp5855 +a(g89 +g1059 +tp5856 +a(g89 +g1218 +tp5857 +a(g89 +g1059 +tp5858 +a(g89 +g974 +tp5859 +a(g89 +g1041 +tp5860 +a(g89 +g1057 +tp5861 +a(g89 +g1059 +tp5862 +a(g89 +g1061 +tp5863 +a(g89 +g1061 +tp5864 +a(g89 +g974 +tp5865 +a(g89 +g1193 +tp5866 +a(g89 +g1291 +tp5867 +a(g89 +g1210 +tp5868 +a(g89 +g1195 +tp5869 +a(g89 +g974 +tp5870 +a(g89 +g1067 +tp5871 +a(g89 +g1069 +tp5872 +a(g89 +g1059 +tp5873 +a(g89 +g1190 +tp5874 +a(g89 +g974 +tp5875 +a(g89 +g1291 +tp5876 +a(g89 +g1190 +tp5877 +a(g89 +g1195 +tp5878 +a(g89 +g974 +tp5879 +a(g89 +g1057 +tp5880 +a(g89 +g1195 +tp5881 +a(g89 +g1218 +tp5882 +a(g89 +g1195 +tp5883 +a(g89 +g1057 +tp5884 +a(g89 +g996 +tp5885 +a(g89 +g974 +tp5886 +a(g125 +V$javaBase +p5887 +tp5888 +a(g705 +g1201 +tp5889 +a(g826 +g1043 +tp5890 +a(g826 +g974 +tp5891 +a(g826 +g1337 +tp5892 +a(g826 +g1195 +tp5893 +a(g826 +g1331 +tp5894 +a(g412 +g1071 +tp5895 +a(g573 +Vloc +p5896 +tp5897 +a(g705 +g1075 +tp5898 +a(g826 +V\u000a +p5899 +tp5900 +a(g826 +g974 +tp5901 +a(g826 +g974 +tp5902 +a(g826 +g974 +tp5903 +a(g826 +g974 +tp5904 +a(g826 +g974 +tp5905 +a(g826 +g974 +tp5906 +a(g744 +Vreturn +p5907 +tp5908 +a(g826 +V\u000a +p5909 +tp5910 +a(g826 +g974 +tp5911 +a(g826 +g974 +tp5912 +a(g826 +g974 +tp5913 +a(g826 +g974 +tp5914 +a(g826 +g1117 +tp5915 +a(g826 +V\u000a +p5916 +tp5917 +a(g826 +V\u000a +p5918 +tp5919 +a(g826 +g974 +tp5920 +a(g826 +g974 +tp5921 +a(g826 +g974 +tp5922 +a(g826 +g974 +tp5923 +a(g8 +V// ensure that when we map Fantom constructors to Java\u000a +p5924 +tp5925 +a(g826 +g974 +tp5926 +a(g826 +g974 +tp5927 +a(g826 +g974 +tp5928 +a(g826 +g974 +tp5929 +a(g8 +V// constructors that we don't have duplicate signatures\u000a +p5930 +tp5931 +a(g826 +g974 +tp5932 +a(g826 +g974 +tp5933 +a(g826 +g974 +tp5934 +a(g826 +g974 +tp5935 +a(g440 +Vctors +p5936 +tp5937 +a(g826 +g974 +tp5938 +a(g412 +V:= +p5939 +tp5940 +a(g826 +g974 +tp5941 +a(g826 +g1337 +tp5942 +a(g826 +g1195 +tp5943 +a(g826 +g1331 +tp5944 +a(g412 +g1071 +tp5945 +a(g573 +VctorDefs +p5946 +tp5947 +a(g826 +V\u000a +p5948 +tp5949 +a(g826 +g974 +tp5950 +a(g826 +g974 +tp5951 +a(g826 +g974 +tp5952 +a(g826 +g974 +tp5953 +a(g826 +g1041 +tp5954 +a(g826 +g1067 +tp5955 +a(g826 +g1291 +tp5956 +a(g826 +g1210 +tp5957 +a(g826 +g1061 +tp5958 +a(g412 +g1071 +tp5959 +a(g573 +Veach +p5960 +tp5961 +a(g826 +g974 +tp5962 +a(g705 +g3254 +tp5963 +a(g628 +VMethodDef +p5964 +tp5965 +a(g826 +g974 +tp5966 +a(g440 +g1059 +tp5967 +a(g705 +g1043 +tp5968 +a(g826 +g974 +tp5969 +a(g628 +VInt +p5970 +tp5971 +a(g826 +g974 +tp5972 +a(g440 +g1212 +tp5973 +a(g705 +g3254 +tp5974 +a(g826 +V\u000a +p5975 +tp5976 +a(g826 +g974 +tp5977 +a(g826 +g974 +tp5978 +a(g826 +g974 +tp5979 +a(g826 +g974 +tp5980 +a(g705 +g1003 +tp5981 +a(g826 +V\u000a +p5982 +tp5983 +a(g826 +g974 +tp5984 +a(g826 +g974 +tp5985 +a(g826 +g974 +tp5986 +a(g826 +g974 +tp5987 +a(g826 +g974 +tp5988 +a(g826 +g974 +tp5989 +a(g826 +g1041 +tp5990 +a(g826 +g1067 +tp5991 +a(g826 +g1291 +tp5992 +a(g826 +g1210 +tp5993 +a(g826 +g1061 +tp5994 +a(g412 +g1071 +tp5995 +a(g573 +Veach +p5996 +tp5997 +a(g826 +g974 +tp5998 +a(g705 +g3254 +tp5999 +a(g628 +VMethodDef +p6000 +tp6001 +a(g826 +g974 +tp6002 +a(g440 +g1729 +tp6003 +a(g705 +g1043 +tp6004 +a(g826 +g974 +tp6005 +a(g628 +VInt +p6006 +tp6007 +a(g826 +g974 +tp6008 +a(g440 +g4334 +tp6009 +a(g705 +g3254 +tp6010 +a(g826 +V\u000a +p6011 +tp6012 +a(g826 +g974 +tp6013 +a(g826 +g974 +tp6014 +a(g826 +g974 +tp6015 +a(g826 +g974 +tp6016 +a(g826 +g974 +tp6017 +a(g826 +g974 +tp6018 +a(g705 +g1003 +tp6019 +a(g826 +V\u000a +p6020 +tp6021 +a(g826 +g974 +tp6022 +a(g826 +g974 +tp6023 +a(g826 +g974 +tp6024 +a(g826 +g974 +tp6025 +a(g826 +g974 +tp6026 +a(g826 +g974 +tp6027 +a(g826 +g974 +tp6028 +a(g826 +g974 +tp6029 +a(g744 +Vif +p6030 +tp6031 +a(g826 +g974 +tp6032 +a(g705 +g1036 +tp6033 +a(g826 +g1212 +tp6034 +a(g826 +g974 +tp6035 +a(g412 +g1812 +tp6036 +a(g826 +g974 +tp6037 +a(g826 +g4334 +tp6038 +a(g826 +g974 +tp6039 +a(g412 +V&& +p6040 +tp6041 +a(g826 +g974 +tp6042 +a(g826 +g1059 +tp6043 +a(g826 +g1210 +tp6044 +a(g826 +g1195 +tp6045 +a(g826 +g1064 +tp6046 +a(g826 +g1059 +tp6047 +a(g826 +g1210 +tp6048 +a(g826 +g1059 +tp6049 +a(g826 +g1193 +tp6050 +a(g826 +g1061 +tp6051 +a(g826 +g3046 +tp6052 +a(g826 +g1059 +tp6053 +a(g826 +g1193 +tp6054 +a(g826 +g1195 +tp6055 +a(g705 +g1036 +tp6056 +a(g826 +g1059 +tp6057 +a(g826 +g1043 +tp6058 +a(g826 +g974 +tp6059 +a(g826 +g1729 +tp6060 +a(g705 +g1075 +tp6061 +a(g705 +g1075 +tp6062 +a(g826 +V\u000a +p6063 +tp6064 +a(g826 +g974 +tp6065 +a(g826 +g974 +tp6066 +a(g826 +g974 +tp6067 +a(g826 +g974 +tp6068 +a(g826 +g974 +tp6069 +a(g826 +g974 +tp6070 +a(g826 +g974 +tp6071 +a(g826 +g974 +tp6072 +a(g826 +g974 +tp6073 +a(g826 +g974 +tp6074 +a(g826 +g1195 +tp6075 +a(g826 +g1210 +tp6076 +a(g826 +g1210 +tp6077 +a(g705 +g1036 +tp6078 +a(g705 +g1201 +tp6079 +a(g89 +g4476 +tp6080 +a(g89 +g1334 +tp6081 +a(g89 +g1111 +tp6082 +a(g89 +g1057 +tp6083 +a(g89 +g1212 +tp6084 +a(g89 +g1041 +tp6085 +a(g89 +g1059 +tp6086 +a(g89 +g1067 +tp6087 +a(g89 +g1195 +tp6088 +a(g89 +g974 +tp6089 +a(g89 +g1305 +tp6090 +a(g89 +g1059 +tp6091 +a(g89 +g1218 +tp6092 +a(g89 +g1059 +tp6093 +a(g89 +g974 +tp6094 +a(g89 +g2213 +tp6095 +a(g89 +g2213 +tp6096 +a(g89 +g2149 +tp6097 +a(g89 +g974 +tp6098 +a(g89 +g1041 +tp6099 +a(g89 +g1291 +tp6100 +a(g89 +g1190 +tp6101 +a(g89 +g1061 +tp6102 +a(g89 +g1067 +tp6103 +a(g89 +g1210 +tp6104 +a(g89 +g1334 +tp6105 +a(g89 +g1041 +tp6106 +a(g89 +g1067 +tp6107 +a(g89 +g1291 +tp6108 +a(g89 +g1210 +tp6109 +a(g89 +g974 +tp6110 +a(g89 +g1061 +tp6111 +a(g89 +g1212 +tp6112 +a(g89 +g1317 +tp6113 +a(g89 +g1190 +tp6114 +a(g89 +g1059 +tp6115 +a(g89 +g1067 +tp6116 +a(g89 +g1334 +tp6117 +a(g89 +g1210 +tp6118 +a(g89 +g1195 +tp6119 +a(g89 +g1061 +tp6120 +a(g89 +g996 +tp6121 +a(g89 +g974 +tp6122 +a(g89 +g1321 +tp6123 +a(g125 +V$b +p6124 +tp6125 +a(g89 +g1071 +tp6126 +a(g89 +g1190 +tp6127 +a(g89 +g1059 +tp6128 +a(g89 +g1193 +tp6129 +a(g89 +g1195 +tp6130 +a(g89 +g1321 +tp6131 +a(g89 +g974 +tp6132 +a(g89 +g1059 +tp6133 +a(g89 +g1190 +tp6134 +a(g89 +g1337 +tp6135 +a(g89 +g974 +tp6136 +a(g89 +g1321 +tp6137 +a(g125 +V$a +p6138 +tp6139 +a(g89 +g1071 +tp6140 +a(g89 +g1190 +tp6141 +a(g89 +g1059 +tp6142 +a(g89 +g1193 +tp6143 +a(g89 +g1195 +tp6144 +a(g89 +g1321 +tp6145 +a(g705 +g1201 +tp6146 +a(g826 +g1043 +tp6147 +a(g826 +g974 +tp6148 +a(g826 +g1059 +tp6149 +a(g412 +g1071 +tp6150 +a(g573 +Vloc +p6151 +tp6152 +a(g705 +g1075 +tp6153 +a(g826 +V\u000a +p6154 +tp6155 +a(g826 +g974 +tp6156 +a(g826 +g974 +tp6157 +a(g826 +g974 +tp6158 +a(g826 +g974 +tp6159 +a(g826 +g974 +tp6160 +a(g826 +g974 +tp6161 +a(g826 +g1117 +tp6162 +a(g826 +V\u000a +p6163 +tp6164 +a(g826 +g974 +tp6165 +a(g826 +g974 +tp6166 +a(g826 +g974 +tp6167 +a(g826 +g974 +tp6168 +a(g826 +g1117 +tp6169 +a(g826 +V\u000a +p6170 +tp6171 +a(g826 +g974 +tp6172 +a(g826 +g974 +tp6173 +a(g826 +g1117 +tp6174 +a(g826 +V\u000a +p6175 +tp6176 +a(g826 +V\u000a +p6177 +tp6178 +a(g826 +g974 +tp6179 +a(g826 +g974 +tp6180 +a(g882 +V**\u000a +p6181 +tp6182 +a(g826 +g974 +tp6183 +a(g826 +g974 +tp6184 +a(g882 +V** Do the two methods have the exact same parameter types.\u000a +p6185 +tp6186 +a(g826 +g974 +tp6187 +a(g826 +g974 +tp6188 +a(g882 +V**\u000a +p6189 +tp6190 +a(g826 +g974 +tp6191 +a(g826 +g974 +tp6192 +a(g744 +Vstatic +p6193 +tp6194 +a(g826 +g974 +tp6195 +a(g628 +VBool +p6196 +tp6197 +a(g826 +g974 +tp6198 +a(g573 +VareParamsSame +p6199 +tp6200 +a(g705 +g1036 +tp6201 +a(g628 +VCMethod +p6202 +tp6203 +a(g826 +g974 +tp6204 +a(g440 +g1059 +tp6205 +a(g705 +g1043 +tp6206 +a(g826 +g974 +tp6207 +a(g628 +VCMethod +p6208 +tp6209 +a(g826 +g974 +tp6210 +a(g440 +g1729 +tp6211 +a(g705 +g1075 +tp6212 +a(g826 +V\u000a +p6213 +tp6214 +a(g826 +g974 +tp6215 +a(g826 +g974 +tp6216 +a(g705 +g1003 +tp6217 +a(g826 +V\u000a +p6218 +tp6219 +a(g826 +g974 +tp6220 +a(g826 +g974 +tp6221 +a(g826 +g974 +tp6222 +a(g826 +g974 +tp6223 +a(g744 +Vif +p6224 +tp6225 +a(g826 +g974 +tp6226 +a(g705 +g1036 +tp6227 +a(g826 +g1059 +tp6228 +a(g412 +g1071 +tp6229 +a(g573 +Vparams +p6230 +tp6231 +a(g412 +g1071 +tp6232 +a(g573 +Vsize +p6233 +tp6234 +a(g826 +g974 +tp6235 +a(g412 +g1607 +tp6236 +a(g412 +g1107 +tp6237 +a(g826 +g974 +tp6238 +a(g826 +g1729 +tp6239 +a(g412 +g1071 +tp6240 +a(g573 +Vparams +p6241 +tp6242 +a(g412 +g1071 +tp6243 +a(g573 +Vsize +p6244 +tp6245 +a(g705 +g1075 +tp6246 +a(g826 +g974 +tp6247 +a(g744 +Vreturn +p6248 +tp6249 +a(g826 +g974 +tp6250 +a(g763 +Vfalse +p6251 +tp6252 +a(g826 +V\u000a +p6253 +tp6254 +a(g826 +g974 +tp6255 +a(g826 +g974 +tp6256 +a(g826 +g974 +tp6257 +a(g826 +g974 +tp6258 +a(g744 +Vfor +p6259 +tp6260 +a(g826 +g974 +tp6261 +a(g705 +g1036 +tp6262 +a(g440 +g1212 +tp6263 +a(g412 +V:= +p6264 +tp6265 +a(g37 +g2957 +tp6266 +a(g826 +g3756 +tp6267 +a(g826 +g974 +tp6268 +a(g826 +g1212 +tp6269 +a(g412 +g1806 +tp6270 +a(g826 +g1059 +tp6271 +a(g412 +g1071 +tp6272 +a(g573 +Vparams +p6273 +tp6274 +a(g412 +g1071 +tp6275 +a(g573 +Vsize +p6276 +tp6277 +a(g826 +g3756 +tp6278 +a(g826 +g974 +tp6279 +a(g412 +V++ +p6280 +tp6281 +a(g826 +g1212 +tp6282 +a(g705 +g1075 +tp6283 +a(g826 +V\u000a +p6284 +tp6285 +a(g826 +g974 +tp6286 +a(g826 +g974 +tp6287 +a(g826 +g974 +tp6288 +a(g826 +g974 +tp6289 +a(g705 +g1003 +tp6290 +a(g826 +V\u000a +p6291 +tp6292 +a(g826 +g974 +tp6293 +a(g826 +g974 +tp6294 +a(g826 +g974 +tp6295 +a(g826 +g974 +tp6296 +a(g826 +g974 +tp6297 +a(g826 +g974 +tp6298 +a(g744 +Vif +p6299 +tp6300 +a(g826 +g974 +tp6301 +a(g705 +g1036 +tp6302 +a(g826 +g1059 +tp6303 +a(g412 +g1071 +tp6304 +a(g573 +Vparams +p6305 +tp6306 +a(g412 +g1247 +tp6307 +a(g826 +g1212 +tp6308 +a(g412 +g1253 +tp6309 +a(g412 +g1071 +tp6310 +a(g573 +VparamType +p6311 +tp6312 +a(g826 +g974 +tp6313 +a(g412 +g1607 +tp6314 +a(g412 +g1107 +tp6315 +a(g826 +g974 +tp6316 +a(g826 +g1729 +tp6317 +a(g412 +g1071 +tp6318 +a(g573 +Vparams +p6319 +tp6320 +a(g412 +g1247 +tp6321 +a(g826 +g1212 +tp6322 +a(g412 +g1253 +tp6323 +a(g412 +g1071 +tp6324 +a(g573 +VparamType +p6325 +tp6326 +a(g705 +g1075 +tp6327 +a(g826 +V\u000a +p6328 +tp6329 +a(g826 +g974 +tp6330 +a(g826 +g974 +tp6331 +a(g826 +g974 +tp6332 +a(g826 +g974 +tp6333 +a(g826 +g974 +tp6334 +a(g826 +g974 +tp6335 +a(g826 +g974 +tp6336 +a(g826 +g974 +tp6337 +a(g744 +Vreturn +p6338 +tp6339 +a(g826 +g974 +tp6340 +a(g763 +Vfalse +p6341 +tp6342 +a(g826 +V\u000a +p6343 +tp6344 +a(g826 +g974 +tp6345 +a(g826 +g974 +tp6346 +a(g826 +g974 +tp6347 +a(g826 +g974 +tp6348 +a(g826 +g1117 +tp6349 +a(g826 +V\u000a +p6350 +tp6351 +a(g826 +g974 +tp6352 +a(g826 +g974 +tp6353 +a(g826 +g974 +tp6354 +a(g826 +g974 +tp6355 +a(g744 +Vreturn +p6356 +tp6357 +a(g826 +g974 +tp6358 +a(g763 +Vtrue +p6359 +tp6360 +a(g826 +V\u000a +p6361 +tp6362 +a(g826 +g974 +tp6363 +a(g826 +g974 +tp6364 +a(g826 +g1117 +tp6365 +a(g826 +V\u000a +p6366 +tp6367 +a(g826 +V\u000a +p6368 +tp6369 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p6370 +tp6371 +a(g8 +V// Coercion\u000a +p6372 +tp6373 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p6374 +tp6375 +a(g826 +V\u000a +p6376 +tp6377 +a(g826 +g974 +tp6378 +a(g826 +g974 +tp6379 +a(g882 +V**\u000a +p6380 +tp6381 +a(g826 +g974 +tp6382 +a(g826 +g974 +tp6383 +a(g882 +V** Return if we can make the actual type fit the expected\u000a +p6384 +tp6385 +a(g826 +g974 +tp6386 +a(g826 +g974 +tp6387 +a(g882 +V** type, potentially using a coercion.\u000a +p6388 +tp6389 +a(g826 +g974 +tp6390 +a(g826 +g974 +tp6391 +a(g882 +V**\u000a +p6392 +tp6393 +a(g826 +g974 +tp6394 +a(g826 +g974 +tp6395 +a(g628 +VBool +p6396 +tp6397 +a(g826 +g974 +tp6398 +a(g573 +Vfits +p6399 +tp6400 +a(g705 +g1036 +tp6401 +a(g628 +VCType +p6402 +tp6403 +a(g826 +g974 +tp6404 +a(g440 +Vactual +p6405 +tp6406 +a(g705 +g1043 +tp6407 +a(g826 +g974 +tp6408 +a(g628 +VCType +p6409 +tp6410 +a(g826 +g974 +tp6411 +a(g440 +Vexpected +p6412 +tp6413 +a(g705 +g1075 +tp6414 +a(g826 +V\u000a +p6415 +tp6416 +a(g826 +g974 +tp6417 +a(g826 +g974 +tp6418 +a(g705 +g1003 +tp6419 +a(g826 +V\u000a +p6420 +tp6421 +a(g826 +g974 +tp6422 +a(g826 +g974 +tp6423 +a(g826 +g974 +tp6424 +a(g826 +g974 +tp6425 +a(g8 +V// use dummy expression and route to coerce code\u000a +p6426 +tp6427 +a(g826 +g974 +tp6428 +a(g826 +g974 +tp6429 +a(g826 +g974 +tp6430 +a(g826 +g974 +tp6431 +a(g440 +Vdummy +p6432 +tp6433 +a(g826 +g974 +tp6434 +a(g412 +V:= +p6435 +tp6436 +a(g826 +g974 +tp6437 +a(g826 +g5029 +tp6438 +a(g826 +g1190 +tp6439 +a(g826 +g1314 +tp6440 +a(g826 +g1190 +tp6441 +a(g826 +g1291 +tp6442 +a(g826 +g3635 +tp6443 +a(g826 +g1190 +tp6444 +a(g826 +g5037 +tp6445 +a(g826 +g1059 +tp6446 +a(g826 +g1210 +tp6447 +a(g826 +g1299 +tp6448 +a(g826 +g1584 +tp6449 +a(g826 +g1111 +tp6450 +a(g826 +g1210 +tp6451 +a(g705 +g1036 +tp6452 +a(g826 +g4939 +tp6453 +a(g826 +g1291 +tp6454 +a(g826 +g1041 +tp6455 +a(g705 +g1036 +tp6456 +a(g705 +g1201 +tp6457 +a(g89 +g1337 +tp6458 +a(g89 +g1334 +tp6459 +a(g89 +g1193 +tp6460 +a(g89 +g1193 +tp6461 +a(g89 +g1469 +tp6462 +a(g705 +g1201 +tp6463 +a(g705 +g1075 +tp6464 +a(g826 +g1043 +tp6465 +a(g826 +g974 +tp6466 +a(g763 +Vnull +p6467 +tp6468 +a(g826 +g1043 +tp6469 +a(g826 +g974 +tp6470 +a(g705 +g1201 +tp6471 +a(g89 +g1337 +tp6472 +a(g89 +g1334 +tp6473 +a(g89 +g1193 +tp6474 +a(g89 +g1193 +tp6475 +a(g89 +g1469 +tp6476 +a(g705 +g1201 +tp6477 +a(g705 +g1075 +tp6478 +a(g826 +g974 +tp6479 +a(g705 +g1003 +tp6480 +a(g826 +g974 +tp6481 +a(g826 +g1041 +tp6482 +a(g826 +g1067 +tp6483 +a(g826 +g1469 +tp6484 +a(g826 +g1111 +tp6485 +a(g826 +g1195 +tp6486 +a(g826 +g974 +tp6487 +a(g412 +g1107 +tp6488 +a(g826 +g974 +tp6489 +a(g826 +g1059 +tp6490 +a(g826 +g1041 +tp6491 +a(g826 +g1067 +tp6492 +a(g826 +g1334 +tp6493 +a(g826 +g1059 +tp6494 +a(g826 +g1057 +tp6495 +a(g826 +g974 +tp6496 +a(g705 +g1117 +tp6497 +a(g826 +V\u000a +p6498 +tp6499 +a(g826 +g974 +tp6500 +a(g826 +g974 +tp6501 +a(g826 +g974 +tp6502 +a(g826 +g974 +tp6503 +a(g440 +Vfits +p6504 +tp6505 +a(g826 +g974 +tp6506 +a(g412 +V:= +p6507 +tp6508 +a(g826 +g974 +tp6509 +a(g763 +Vtrue +p6510 +tp6511 +a(g826 +V\u000a +p6512 +tp6513 +a(g826 +g974 +tp6514 +a(g826 +g974 +tp6515 +a(g826 +g974 +tp6516 +a(g826 +g974 +tp6517 +a(g826 +g1041 +tp6518 +a(g826 +g1291 +tp6519 +a(g826 +g1195 +tp6520 +a(g826 +g1210 +tp6521 +a(g826 +g1041 +tp6522 +a(g826 +g1195 +tp6523 +a(g705 +g1036 +tp6524 +a(g826 +g1337 +tp6525 +a(g826 +g1334 +tp6526 +a(g826 +g1193 +tp6527 +a(g826 +g1193 +tp6528 +a(g826 +g1469 +tp6529 +a(g826 +g1043 +tp6530 +a(g826 +g974 +tp6531 +a(g826 +g1195 +tp6532 +a(g826 +g1584 +tp6533 +a(g826 +g1111 +tp6534 +a(g826 +g1195 +tp6535 +a(g826 +g1041 +tp6536 +a(g826 +g1067 +tp6537 +a(g826 +g1195 +tp6538 +a(g826 +g1337 +tp6539 +a(g705 +g1075 +tp6540 +a(g826 +g974 +tp6541 +a(g826 +g3254 +tp6542 +a(g412 +g1647 +tp6543 +a(g412 +g1812 +tp6544 +a(g826 +g3254 +tp6545 +a(g826 +g974 +tp6546 +a(g705 +g1003 +tp6547 +a(g826 +g974 +tp6548 +a(g826 +g1331 +tp6549 +a(g826 +g1212 +tp6550 +a(g826 +g1067 +tp6551 +a(g826 +g1061 +tp6552 +a(g412 +g1107 +tp6553 +a(g763 +Vfalse +p6554 +tp6555 +a(g826 +g974 +tp6556 +a(g705 +g1117 +tp6557 +a(g826 +V\u000a +p6558 +tp6559 +a(g826 +g974 +tp6560 +a(g826 +g974 +tp6561 +a(g826 +g974 +tp6562 +a(g826 +g974 +tp6563 +a(g744 +Vreturn +p6564 +tp6565 +a(g826 +g974 +tp6566 +a(g826 +g1331 +tp6567 +a(g826 +g1212 +tp6568 +a(g826 +g1067 +tp6569 +a(g826 +g1061 +tp6570 +a(g826 +V\u000a +p6571 +tp6572 +a(g826 +g974 +tp6573 +a(g826 +g974 +tp6574 +a(g826 +g1117 +tp6575 +a(g826 +V\u000a +p6576 +tp6577 +a(g826 +V\u000a +p6578 +tp6579 +a(g826 +g974 +tp6580 +a(g826 +g974 +tp6581 +a(g882 +V**\u000a +p6582 +tp6583 +a(g826 +g974 +tp6584 +a(g826 +g974 +tp6585 +a(g882 +V** Coerce expression to expected type. If not a type match\u000a +p6586 +tp6587 +a(g826 +g974 +tp6588 +a(g826 +g974 +tp6589 +a(g882 +V** then run the onErr function.\u000a +p6590 +tp6591 +a(g826 +g974 +tp6592 +a(g826 +g974 +tp6593 +a(g882 +V**\u000a +p6594 +tp6595 +a(g826 +g974 +tp6596 +a(g826 +g974 +tp6597 +a(g744 +Voverride +p6598 +tp6599 +a(g826 +g974 +tp6600 +a(g628 +VExpr +p6601 +tp6602 +a(g826 +g974 +tp6603 +a(g573 +Vcoerce +p6604 +tp6605 +a(g705 +g1036 +tp6606 +a(g628 +VExpr +p6607 +tp6608 +a(g826 +g974 +tp6609 +a(g440 +Vexpr +p6610 +tp6611 +a(g705 +g1043 +tp6612 +a(g826 +g974 +tp6613 +a(g628 +VCType +p6614 +tp6615 +a(g826 +g974 +tp6616 +a(g440 +Vexpected +p6617 +tp6618 +a(g705 +g1043 +tp6619 +a(g826 +g974 +tp6620 +a(g705 +g3254 +tp6621 +a(g705 +g1647 +tp6622 +a(g705 +g1812 +tp6623 +a(g705 +g3254 +tp6624 +a(g826 +g974 +tp6625 +a(g440 +VonErr +p6626 +tp6627 +a(g705 +g1075 +tp6628 +a(g826 +V\u000a +p6629 +tp6630 +a(g826 +g974 +tp6631 +a(g826 +g974 +tp6632 +a(g705 +g1003 +tp6633 +a(g826 +V\u000a +p6634 +tp6635 +a(g826 +g974 +tp6636 +a(g826 +g974 +tp6637 +a(g826 +g974 +tp6638 +a(g826 +g974 +tp6639 +a(g8 +V// handle easy case\u000a +p6640 +tp6641 +a(g826 +g974 +tp6642 +a(g826 +g974 +tp6643 +a(g826 +g974 +tp6644 +a(g826 +g974 +tp6645 +a(g440 +Vactual +p6646 +tp6647 +a(g826 +g974 +tp6648 +a(g412 +V:= +p6649 +tp6650 +a(g826 +g974 +tp6651 +a(g826 +g1195 +tp6652 +a(g826 +g1584 +tp6653 +a(g826 +g1111 +tp6654 +a(g826 +g1210 +tp6655 +a(g412 +g1071 +tp6656 +a(g573 +Vctype +p6657 +tp6658 +a(g826 +V\u000a +p6659 +tp6660 +a(g826 +g974 +tp6661 +a(g826 +g974 +tp6662 +a(g826 +g974 +tp6663 +a(g826 +g974 +tp6664 +a(g826 +g1195 +tp6665 +a(g826 +g1584 +tp6666 +a(g826 +g1111 +tp6667 +a(g826 +g1195 +tp6668 +a(g826 +g1041 +tp6669 +a(g826 +g1067 +tp6670 +a(g826 +g1195 +tp6671 +a(g826 +g1337 +tp6672 +a(g826 +g974 +tp6673 +a(g412 +g1107 +tp6674 +a(g826 +g974 +tp6675 +a(g826 +g1195 +tp6676 +a(g826 +g1584 +tp6677 +a(g826 +g1111 +tp6678 +a(g826 +g1195 +tp6679 +a(g826 +g1041 +tp6680 +a(g826 +g1067 +tp6681 +a(g826 +g1195 +tp6682 +a(g826 +g1337 +tp6683 +a(g412 +g1071 +tp6684 +a(g573 +Vderef +p6685 +tp6686 +a(g826 +V\u000a +p6687 +tp6688 +a(g826 +g974 +tp6689 +a(g826 +g974 +tp6690 +a(g826 +g974 +tp6691 +a(g826 +g974 +tp6692 +a(g744 +Vif +p6693 +tp6694 +a(g826 +g974 +tp6695 +a(g705 +g1036 +tp6696 +a(g826 +g1059 +tp6697 +a(g826 +g1041 +tp6698 +a(g826 +g1067 +tp6699 +a(g826 +g1334 +tp6700 +a(g826 +g1059 +tp6701 +a(g826 +g1057 +tp6702 +a(g826 +g974 +tp6703 +a(g412 +g1107 +tp6704 +a(g412 +g1107 +tp6705 +a(g826 +g974 +tp6706 +a(g826 +g1195 +tp6707 +a(g826 +g1584 +tp6708 +a(g826 +g1111 +tp6709 +a(g826 +g1195 +tp6710 +a(g826 +g1041 +tp6711 +a(g826 +g1067 +tp6712 +a(g826 +g1195 +tp6713 +a(g826 +g1337 +tp6714 +a(g705 +g1075 +tp6715 +a(g826 +g974 +tp6716 +a(g744 +Vreturn +p6717 +tp6718 +a(g826 +g974 +tp6719 +a(g826 +g1195 +tp6720 +a(g826 +g1584 +tp6721 +a(g826 +g1111 +tp6722 +a(g826 +g1210 +tp6723 +a(g826 +V\u000a +p6724 +tp6725 +a(g826 +V\u000a +p6726 +tp6727 +a(g826 +g974 +tp6728 +a(g826 +g974 +tp6729 +a(g826 +g974 +tp6730 +a(g826 +g974 +tp6731 +a(g8 +V// handle null literal\u000a +p6732 +tp6733 +a(g826 +g974 +tp6734 +a(g826 +g974 +tp6735 +a(g826 +g974 +tp6736 +a(g826 +g974 +tp6737 +a(g744 +Vif +p6738 +tp6739 +a(g826 +g974 +tp6740 +a(g705 +g1036 +tp6741 +a(g826 +g1195 +tp6742 +a(g826 +g1584 +tp6743 +a(g826 +g1111 +tp6744 +a(g826 +g1210 +tp6745 +a(g412 +g1071 +tp6746 +a(g573 +Vid +p6747 +tp6748 +a(g826 +g974 +tp6749 +a(g412 +g1107 +tp6750 +a(g412 +g1107 +tp6751 +a(g412 +g1107 +tp6752 +a(g826 +g974 +tp6753 +a(g826 +g1299 +tp6754 +a(g826 +g1584 +tp6755 +a(g826 +g1111 +tp6756 +a(g826 +g1210 +tp6757 +a(g826 +g2149 +tp6758 +a(g826 +g1337 +tp6759 +a(g412 +g1071 +tp6760 +a(g573 +VnullLiteral +p6761 +tp6762 +a(g826 +g974 +tp6763 +a(g412 +V&& +p6764 +tp6765 +a(g826 +g974 +tp6766 +a(g826 +g1195 +tp6767 +a(g826 +g1584 +tp6768 +a(g826 +g1111 +tp6769 +a(g826 +g1195 +tp6770 +a(g826 +g1041 +tp6771 +a(g826 +g1067 +tp6772 +a(g826 +g1195 +tp6773 +a(g826 +g1337 +tp6774 +a(g412 +g1071 +tp6775 +a(g573 +VisNullable +p6776 +tp6777 +a(g705 +g1075 +tp6778 +a(g826 +V\u000a +p6779 +tp6780 +a(g826 +g974 +tp6781 +a(g826 +g974 +tp6782 +a(g826 +g974 +tp6783 +a(g826 +g974 +tp6784 +a(g826 +g974 +tp6785 +a(g826 +g974 +tp6786 +a(g744 +Vreturn +p6787 +tp6788 +a(g826 +g974 +tp6789 +a(g826 +g1195 +tp6790 +a(g826 +g1584 +tp6791 +a(g826 +g1111 +tp6792 +a(g826 +g1210 +tp6793 +a(g826 +V\u000a +p6794 +tp6795 +a(g826 +V\u000a +p6796 +tp6797 +a(g826 +g974 +tp6798 +a(g826 +g974 +tp6799 +a(g826 +g974 +tp6800 +a(g826 +g974 +tp6801 +a(g8 +V// handle Fantom to Java primitives\u000a +p6802 +tp6803 +a(g826 +g974 +tp6804 +a(g826 +g974 +tp6805 +a(g826 +g974 +tp6806 +a(g826 +g974 +tp6807 +a(g744 +Vif +p6808 +tp6809 +a(g826 +g974 +tp6810 +a(g705 +g1036 +tp6811 +a(g826 +g1195 +tp6812 +a(g826 +g1584 +tp6813 +a(g826 +g1111 +tp6814 +a(g826 +g1195 +tp6815 +a(g826 +g1041 +tp6816 +a(g826 +g1067 +tp6817 +a(g826 +g1195 +tp6818 +a(g826 +g1337 +tp6819 +a(g412 +g1071 +tp6820 +a(g573 +Vpod +p6821 +tp6822 +a(g826 +g974 +tp6823 +a(g412 +g1107 +tp6824 +a(g412 +g1107 +tp6825 +a(g826 +g974 +tp6826 +a(g826 +g1111 +tp6827 +a(g826 +g1210 +tp6828 +a(g826 +g1212 +tp6829 +a(g826 +g1193 +tp6830 +a(g826 +g1212 +tp6831 +a(g826 +g1067 +tp6832 +a(g826 +g1212 +tp6833 +a(g826 +g1218 +tp6834 +a(g826 +g1195 +tp6835 +a(g826 +g1061 +tp6836 +a(g705 +g1075 +tp6837 +a(g826 +V\u000a +p6838 +tp6839 +a(g826 +g974 +tp6840 +a(g826 +g974 +tp6841 +a(g826 +g974 +tp6842 +a(g826 +g974 +tp6843 +a(g826 +g974 +tp6844 +a(g826 +g974 +tp6845 +a(g744 +Vreturn +p6846 +tp6847 +a(g826 +g974 +tp6848 +a(g826 +g1041 +tp6849 +a(g826 +g1291 +tp6850 +a(g826 +g1195 +tp6851 +a(g826 +g1210 +tp6852 +a(g826 +g1041 +tp6853 +a(g826 +g1195 +tp6854 +a(g826 +g4676 +tp6855 +a(g826 +g1291 +tp6856 +a(g826 +g1064 +tp6857 +a(g826 +g1210 +tp6858 +a(g826 +g1212 +tp6859 +a(g826 +g1193 +tp6860 +a(g826 +g1212 +tp6861 +a(g826 +g1067 +tp6862 +a(g826 +g1212 +tp6863 +a(g826 +g1218 +tp6864 +a(g826 +g1195 +tp6865 +a(g705 +g1036 +tp6866 +a(g826 +g1195 +tp6867 +a(g826 +g1584 +tp6868 +a(g826 +g1111 +tp6869 +a(g826 +g1210 +tp6870 +a(g826 +g1043 +tp6871 +a(g826 +g974 +tp6872 +a(g826 +g1195 +tp6873 +a(g826 +g1584 +tp6874 +a(g826 +g1111 +tp6875 +a(g826 +g1195 +tp6876 +a(g826 +g1041 +tp6877 +a(g826 +g1067 +tp6878 +a(g826 +g1195 +tp6879 +a(g826 +g1337 +tp6880 +a(g826 +g1043 +tp6881 +a(g826 +g974 +tp6882 +a(g826 +g1291 +tp6883 +a(g826 +g1190 +tp6884 +a(g826 +g1299 +tp6885 +a(g826 +g1210 +tp6886 +a(g826 +g1210 +tp6887 +a(g705 +g1075 +tp6888 +a(g826 +V\u000a +p6889 +tp6890 +a(g826 +V\u000a +p6891 +tp6892 +a(g826 +g974 +tp6893 +a(g826 +g974 +tp6894 +a(g826 +g974 +tp6895 +a(g826 +g974 +tp6896 +a(g8 +V// handle Java primitives to Fan\u000a +p6897 +tp6898 +a(g826 +g974 +tp6899 +a(g826 +g974 +tp6900 +a(g826 +g974 +tp6901 +a(g826 +g974 +tp6902 +a(g744 +Vif +p6903 +tp6904 +a(g826 +g974 +tp6905 +a(g705 +g1036 +tp6906 +a(g826 +g1059 +tp6907 +a(g826 +g1041 +tp6908 +a(g826 +g1067 +tp6909 +a(g826 +g1334 +tp6910 +a(g826 +g1059 +tp6911 +a(g826 +g1057 +tp6912 +a(g412 +g1071 +tp6913 +a(g573 +Vpod +p6914 +tp6915 +a(g826 +g974 +tp6916 +a(g412 +g1107 +tp6917 +a(g412 +g1107 +tp6918 +a(g826 +g974 +tp6919 +a(g826 +g1111 +tp6920 +a(g826 +g1210 +tp6921 +a(g826 +g1212 +tp6922 +a(g826 +g1193 +tp6923 +a(g826 +g1212 +tp6924 +a(g826 +g1067 +tp6925 +a(g826 +g1212 +tp6926 +a(g826 +g1218 +tp6927 +a(g826 +g1195 +tp6928 +a(g826 +g1061 +tp6929 +a(g705 +g1075 +tp6930 +a(g826 +V\u000a +p6931 +tp6932 +a(g826 +g974 +tp6933 +a(g826 +g974 +tp6934 +a(g826 +g974 +tp6935 +a(g826 +g974 +tp6936 +a(g826 +g974 +tp6937 +a(g826 +g974 +tp6938 +a(g744 +Vreturn +p6939 +tp6940 +a(g826 +g974 +tp6941 +a(g826 +g1041 +tp6942 +a(g826 +g1291 +tp6943 +a(g826 +g1195 +tp6944 +a(g826 +g1210 +tp6945 +a(g826 +g1041 +tp6946 +a(g826 +g1195 +tp6947 +a(g826 +g2213 +tp6948 +a(g826 +g1210 +tp6949 +a(g826 +g1291 +tp6950 +a(g826 +g1193 +tp6951 +a(g826 +g1064 +tp6952 +a(g826 +g1210 +tp6953 +a(g826 +g1212 +tp6954 +a(g826 +g1193 +tp6955 +a(g826 +g1212 +tp6956 +a(g826 +g1067 +tp6957 +a(g826 +g1212 +tp6958 +a(g826 +g1218 +tp6959 +a(g826 +g1195 +tp6960 +a(g705 +g1036 +tp6961 +a(g826 +g1195 +tp6962 +a(g826 +g1584 +tp6963 +a(g826 +g1111 +tp6964 +a(g826 +g1210 +tp6965 +a(g826 +g1043 +tp6966 +a(g826 +g974 +tp6967 +a(g826 +g1195 +tp6968 +a(g826 +g1584 +tp6969 +a(g826 +g1111 +tp6970 +a(g826 +g1195 +tp6971 +a(g826 +g1041 +tp6972 +a(g826 +g1067 +tp6973 +a(g826 +g1195 +tp6974 +a(g826 +g1337 +tp6975 +a(g826 +g1043 +tp6976 +a(g826 +g974 +tp6977 +a(g826 +g1291 +tp6978 +a(g826 +g1190 +tp6979 +a(g826 +g1299 +tp6980 +a(g826 +g1210 +tp6981 +a(g826 +g1210 +tp6982 +a(g705 +g1075 +tp6983 +a(g826 +V\u000a +p6984 +tp6985 +a(g826 +V\u000a +p6986 +tp6987 +a(g826 +g974 +tp6988 +a(g826 +g974 +tp6989 +a(g826 +g974 +tp6990 +a(g826 +g974 +tp6991 +a(g8 +V// handle Java array to Fantom list\u000a +p6992 +tp6993 +a(g826 +g974 +tp6994 +a(g826 +g974 +tp6995 +a(g826 +g974 +tp6996 +a(g826 +g974 +tp6997 +a(g744 +Vif +p6998 +tp6999 +a(g826 +g974 +tp7000 +a(g705 +g1036 +tp7001 +a(g826 +g1059 +tp7002 +a(g826 +g1041 +tp7003 +a(g826 +g1067 +tp7004 +a(g826 +g1334 +tp7005 +a(g826 +g1059 +tp7006 +a(g826 +g1057 +tp7007 +a(g412 +g1071 +tp7008 +a(g573 +Vname +p7009 +tp7010 +a(g412 +g1247 +tp7011 +a(g37 +g2957 +tp7012 +a(g412 +g1253 +tp7013 +a(g826 +g974 +tp7014 +a(g412 +g1107 +tp7015 +a(g412 +g1107 +tp7016 +a(g826 +g974 +tp7017 +a(g93 +V'[' +p7018 +tp7019 +a(g705 +g1075 +tp7020 +a(g826 +V\u000a +p7021 +tp7022 +a(g826 +g974 +tp7023 +a(g826 +g974 +tp7024 +a(g826 +g974 +tp7025 +a(g826 +g974 +tp7026 +a(g826 +g974 +tp7027 +a(g826 +g974 +tp7028 +a(g744 +Vreturn +p7029 +tp7030 +a(g826 +g974 +tp7031 +a(g826 +g1041 +tp7032 +a(g826 +g1291 +tp7033 +a(g826 +g1195 +tp7034 +a(g826 +g1210 +tp7035 +a(g826 +g1041 +tp7036 +a(g826 +g1195 +tp7037 +a(g826 +g2213 +tp7038 +a(g826 +g1210 +tp7039 +a(g826 +g1291 +tp7040 +a(g826 +g1193 +tp7041 +a(g826 +g3181 +tp7042 +a(g826 +g1210 +tp7043 +a(g826 +g1210 +tp7044 +a(g826 +g1059 +tp7045 +a(g826 +g1469 +tp7046 +a(g705 +g1036 +tp7047 +a(g826 +g1195 +tp7048 +a(g826 +g1584 +tp7049 +a(g826 +g1111 +tp7050 +a(g826 +g1210 +tp7051 +a(g826 +g1043 +tp7052 +a(g826 +g974 +tp7053 +a(g826 +g1195 +tp7054 +a(g826 +g1584 +tp7055 +a(g826 +g1111 +tp7056 +a(g826 +g1195 +tp7057 +a(g826 +g1041 +tp7058 +a(g826 +g1067 +tp7059 +a(g826 +g1195 +tp7060 +a(g826 +g1337 +tp7061 +a(g826 +g1043 +tp7062 +a(g826 +g974 +tp7063 +a(g826 +g1291 +tp7064 +a(g826 +g1190 +tp7065 +a(g826 +g1299 +tp7066 +a(g826 +g1210 +tp7067 +a(g826 +g1210 +tp7068 +a(g705 +g1075 +tp7069 +a(g826 +V\u000a +p7070 +tp7071 +a(g826 +V\u000a +p7072 +tp7073 +a(g826 +g974 +tp7074 +a(g826 +g974 +tp7075 +a(g826 +g974 +tp7076 +a(g826 +g974 +tp7077 +a(g8 +V// handle Fantom list to Java array\u000a +p7078 +tp7079 +a(g826 +g974 +tp7080 +a(g826 +g974 +tp7081 +a(g826 +g974 +tp7082 +a(g826 +g974 +tp7083 +a(g744 +Vif +p7084 +tp7085 +a(g826 +g974 +tp7086 +a(g705 +g1036 +tp7087 +a(g826 +g1195 +tp7088 +a(g826 +g1584 +tp7089 +a(g826 +g1111 +tp7090 +a(g826 +g1195 +tp7091 +a(g826 +g1041 +tp7092 +a(g826 +g1067 +tp7093 +a(g826 +g1195 +tp7094 +a(g826 +g1337 +tp7095 +a(g412 +g1071 +tp7096 +a(g573 +Vname +p7097 +tp7098 +a(g412 +g1247 +tp7099 +a(g37 +g2957 +tp7100 +a(g412 +g1253 +tp7101 +a(g826 +g974 +tp7102 +a(g412 +g1107 +tp7103 +a(g412 +g1107 +tp7104 +a(g826 +g974 +tp7105 +a(g93 +V'[' +p7106 +tp7107 +a(g705 +g1075 +tp7108 +a(g826 +V\u000a +p7109 +tp7110 +a(g826 +g974 +tp7111 +a(g826 +g974 +tp7112 +a(g826 +g974 +tp7113 +a(g826 +g974 +tp7114 +a(g826 +g974 +tp7115 +a(g826 +g974 +tp7116 +a(g744 +Vreturn +p7117 +tp7118 +a(g826 +g974 +tp7119 +a(g826 +g1041 +tp7120 +a(g826 +g1291 +tp7121 +a(g826 +g1195 +tp7122 +a(g826 +g1210 +tp7123 +a(g826 +g1041 +tp7124 +a(g826 +g1195 +tp7125 +a(g826 +g4676 +tp7126 +a(g826 +g1291 +tp7127 +a(g826 +g3181 +tp7128 +a(g826 +g1210 +tp7129 +a(g826 +g1210 +tp7130 +a(g826 +g1059 +tp7131 +a(g826 +g1469 +tp7132 +a(g705 +g1036 +tp7133 +a(g826 +g1195 +tp7134 +a(g826 +g1584 +tp7135 +a(g826 +g1111 +tp7136 +a(g826 +g1210 +tp7137 +a(g826 +g1043 +tp7138 +a(g826 +g974 +tp7139 +a(g826 +g1195 +tp7140 +a(g826 +g1584 +tp7141 +a(g826 +g1111 +tp7142 +a(g826 +g1195 +tp7143 +a(g826 +g1041 +tp7144 +a(g826 +g1067 +tp7145 +a(g826 +g1195 +tp7146 +a(g826 +g1337 +tp7147 +a(g826 +g1043 +tp7148 +a(g826 +g974 +tp7149 +a(g826 +g1291 +tp7150 +a(g826 +g1190 +tp7151 +a(g826 +g1299 +tp7152 +a(g826 +g1210 +tp7153 +a(g826 +g1210 +tp7154 +a(g705 +g1075 +tp7155 +a(g826 +V\u000a +p7156 +tp7157 +a(g826 +V\u000a +p7158 +tp7159 +a(g826 +g974 +tp7160 +a(g826 +g974 +tp7161 +a(g826 +g974 +tp7162 +a(g826 +g974 +tp7163 +a(g8 +V// handle sys::Func -> Java interface\u000a +p7164 +tp7165 +a(g826 +g974 +tp7166 +a(g826 +g974 +tp7167 +a(g826 +g974 +tp7168 +a(g826 +g974 +tp7169 +a(g744 +Vif +p7170 +tp7171 +a(g826 +g974 +tp7172 +a(g705 +g1036 +tp7173 +a(g628 +Vactual +p7174 +tp7175 +a(g826 +g974 +tp7176 +a(g440 +Vis +p7177 +tp7178 +a(g826 +g974 +tp7179 +a(g826 +g2213 +tp7180 +a(g826 +g1334 +tp7181 +a(g826 +g1190 +tp7182 +a(g826 +g1041 +tp7183 +a(g826 +g4676 +tp7184 +a(g826 +g1469 +tp7185 +a(g826 +g1111 +tp7186 +a(g826 +g1195 +tp7187 +a(g826 +g974 +tp7188 +a(g412 +V&& +p7189 +tp7190 +a(g826 +g974 +tp7191 +a(g826 +g1195 +tp7192 +a(g826 +g1584 +tp7193 +a(g826 +g1111 +tp7194 +a(g826 +g1195 +tp7195 +a(g826 +g1041 +tp7196 +a(g826 +g1067 +tp7197 +a(g826 +g1195 +tp7198 +a(g826 +g1337 +tp7199 +a(g412 +g1071 +tp7200 +a(g573 +VisMixin +p7201 +tp7202 +a(g826 +g974 +tp7203 +a(g412 +V&& +p7204 +tp7205 +a(g826 +g974 +tp7206 +a(g826 +g1195 +tp7207 +a(g826 +g1584 +tp7208 +a(g826 +g1111 +tp7209 +a(g826 +g1195 +tp7210 +a(g826 +g1041 +tp7211 +a(g826 +g1067 +tp7212 +a(g826 +g1195 +tp7213 +a(g826 +g1337 +tp7214 +a(g412 +g1071 +tp7215 +a(g573 +VtoNonNullable +p7216 +tp7217 +a(g826 +g974 +tp7218 +a(g744 +Vis +p7219 +tp7220 +a(g826 +g974 +tp7221 +a(g826 +g1305 +tp7222 +a(g826 +g1059 +tp7223 +a(g826 +g1218 +tp7224 +a(g826 +g1059 +tp7225 +a(g826 +g4676 +tp7226 +a(g826 +g1469 +tp7227 +a(g826 +g1111 +tp7228 +a(g826 +g1195 +tp7229 +a(g705 +g1075 +tp7230 +a(g826 +V\u000a +p7231 +tp7232 +a(g826 +g974 +tp7233 +a(g826 +g974 +tp7234 +a(g826 +g974 +tp7235 +a(g826 +g974 +tp7236 +a(g826 +g974 +tp7237 +a(g826 +g974 +tp7238 +a(g744 +Vreturn +p7239 +tp7240 +a(g826 +g974 +tp7241 +a(g826 +g1041 +tp7242 +a(g826 +g1291 +tp7243 +a(g826 +g1195 +tp7244 +a(g826 +g1210 +tp7245 +a(g826 +g1041 +tp7246 +a(g826 +g1195 +tp7247 +a(g826 +g2213 +tp7248 +a(g826 +g1334 +tp7249 +a(g826 +g1190 +tp7250 +a(g826 +g1041 +tp7251 +a(g826 +g4676 +tp7252 +a(g826 +g1291 +tp7253 +a(g826 +g2149 +tp7254 +a(g826 +g1190 +tp7255 +a(g826 +g1067 +tp7256 +a(g826 +g1195 +tp7257 +a(g826 +g1210 +tp7258 +a(g826 +g1331 +tp7259 +a(g826 +g1059 +tp7260 +a(g826 +g1041 +tp7261 +a(g826 +g1195 +tp7262 +a(g705 +g1036 +tp7263 +a(g826 +g1195 +tp7264 +a(g826 +g1584 +tp7265 +a(g826 +g1111 +tp7266 +a(g826 +g1210 +tp7267 +a(g826 +g1043 +tp7268 +a(g826 +g974 +tp7269 +a(g826 +g1195 +tp7270 +a(g826 +g1584 +tp7271 +a(g826 +g1111 +tp7272 +a(g826 +g1195 +tp7273 +a(g826 +g1041 +tp7274 +a(g826 +g1067 +tp7275 +a(g826 +g1195 +tp7276 +a(g826 +g1337 +tp7277 +a(g412 +g1071 +tp7278 +a(g573 +VtoNonNullable +p7279 +tp7280 +a(g826 +g1043 +tp7281 +a(g826 +g974 +tp7282 +a(g826 +g1291 +tp7283 +a(g826 +g1190 +tp7284 +a(g826 +g1299 +tp7285 +a(g826 +g1210 +tp7286 +a(g826 +g1210 +tp7287 +a(g705 +g1075 +tp7288 +a(g826 +V\u000a +p7289 +tp7290 +a(g826 +V\u000a +p7291 +tp7292 +a(g826 +g974 +tp7293 +a(g826 +g974 +tp7294 +a(g826 +g974 +tp7295 +a(g826 +g974 +tp7296 +a(g8 +V// handle special classes and interfaces for built-in Fantom\u000a +p7297 +tp7298 +a(g826 +g974 +tp7299 +a(g826 +g974 +tp7300 +a(g826 +g974 +tp7301 +a(g826 +g974 +tp7302 +a(g8 +V// classes which actually map directly to Java built-in types\u000a +p7303 +tp7304 +a(g826 +g974 +tp7305 +a(g826 +g974 +tp7306 +a(g826 +g974 +tp7307 +a(g826 +g974 +tp7308 +a(g744 +Vif +p7309 +tp7310 +a(g826 +g974 +tp7311 +a(g705 +g1036 +tp7312 +a(g826 +g1059 +tp7313 +a(g826 +g1041 +tp7314 +a(g826 +g1067 +tp7315 +a(g826 +g1334 +tp7316 +a(g826 +g1059 +tp7317 +a(g826 +g1057 +tp7318 +a(g412 +g1071 +tp7319 +a(g573 +VisBool +p7320 +tp7321 +a(g826 +g974 +tp7322 +a(g826 +g974 +tp7323 +a(g826 +g974 +tp7324 +a(g826 +g974 +tp7325 +a(g412 +V&& +p7326 +tp7327 +a(g826 +g974 +tp7328 +a(g826 +g1729 +tp7329 +a(g826 +g1291 +tp7330 +a(g826 +g1291 +tp7331 +a(g826 +g1057 +tp7332 +a(g826 +g4676 +tp7333 +a(g826 +g1469 +tp7334 +a(g826 +g1111 +tp7335 +a(g826 +g1195 +tp7336 +a(g826 +g1061 +tp7337 +a(g412 +g1071 +tp7338 +a(g573 +Vcontains +p7339 +tp7340 +a(g705 +g1036 +tp7341 +a(g826 +g1195 +tp7342 +a(g826 +g1584 +tp7343 +a(g826 +g1111 +tp7344 +a(g826 +g1195 +tp7345 +a(g826 +g1041 +tp7346 +a(g826 +g1067 +tp7347 +a(g826 +g1195 +tp7348 +a(g826 +g1337 +tp7349 +a(g412 +g1071 +tp7350 +a(g573 +VtoNonNullable +p7351 +tp7352 +a(g412 +g1071 +tp7353 +a(g573 +Vsignature +p7354 +tp7355 +a(g705 +g1075 +tp7356 +a(g705 +g1075 +tp7357 +a(g826 +g974 +tp7358 +a(g744 +Vreturn +p7359 +tp7360 +a(g826 +g974 +tp7361 +a(g826 +g1729 +tp7362 +a(g826 +g1291 +tp7363 +a(g826 +g1584 +tp7364 +a(g705 +g1036 +tp7365 +a(g826 +g1195 +tp7366 +a(g826 +g1584 +tp7367 +a(g826 +g1111 +tp7368 +a(g826 +g1210 +tp7369 +a(g705 +g1075 +tp7370 +a(g826 +V\u000a +p7371 +tp7372 +a(g826 +g974 +tp7373 +a(g826 +g974 +tp7374 +a(g826 +g974 +tp7375 +a(g826 +g974 +tp7376 +a(g744 +Vif +p7377 +tp7378 +a(g826 +g974 +tp7379 +a(g705 +g1036 +tp7380 +a(g826 +g1059 +tp7381 +a(g826 +g1041 +tp7382 +a(g826 +g1067 +tp7383 +a(g826 +g1334 +tp7384 +a(g826 +g1059 +tp7385 +a(g826 +g1057 +tp7386 +a(g412 +g1071 +tp7387 +a(g573 +VisInt +p7388 +tp7389 +a(g826 +g974 +tp7390 +a(g826 +g974 +tp7391 +a(g826 +g974 +tp7392 +a(g826 +g974 +tp7393 +a(g826 +g974 +tp7394 +a(g412 +V&& +p7395 +tp7396 +a(g826 +g974 +tp7397 +a(g826 +g1212 +tp7398 +a(g826 +g1190 +tp7399 +a(g826 +g1067 +tp7400 +a(g826 +g4676 +tp7401 +a(g826 +g1469 +tp7402 +a(g826 +g1111 +tp7403 +a(g826 +g1195 +tp7404 +a(g826 +g1061 +tp7405 +a(g412 +g1071 +tp7406 +a(g573 +Vcontains +p7407 +tp7408 +a(g705 +g1036 +tp7409 +a(g826 +g1195 +tp7410 +a(g826 +g1584 +tp7411 +a(g826 +g1111 +tp7412 +a(g826 +g1195 +tp7413 +a(g826 +g1041 +tp7414 +a(g826 +g1067 +tp7415 +a(g826 +g1195 +tp7416 +a(g826 +g1337 +tp7417 +a(g412 +g1071 +tp7418 +a(g573 +VtoNonNullable +p7419 +tp7420 +a(g412 +g1071 +tp7421 +a(g573 +Vsignature +p7422 +tp7423 +a(g705 +g1075 +tp7424 +a(g705 +g1075 +tp7425 +a(g826 +g974 +tp7426 +a(g744 +Vreturn +p7427 +tp7428 +a(g826 +g974 +tp7429 +a(g826 +g1729 +tp7430 +a(g826 +g1291 +tp7431 +a(g826 +g1584 +tp7432 +a(g705 +g1036 +tp7433 +a(g826 +g1195 +tp7434 +a(g826 +g1584 +tp7435 +a(g826 +g1111 +tp7436 +a(g826 +g1210 +tp7437 +a(g705 +g1075 +tp7438 +a(g826 +V\u000a +p7439 +tp7440 +a(g826 +g974 +tp7441 +a(g826 +g974 +tp7442 +a(g826 +g974 +tp7443 +a(g826 +g974 +tp7444 +a(g744 +Vif +p7445 +tp7446 +a(g826 +g974 +tp7447 +a(g705 +g1036 +tp7448 +a(g826 +g1059 +tp7449 +a(g826 +g1041 +tp7450 +a(g826 +g1067 +tp7451 +a(g826 +g1334 +tp7452 +a(g826 +g1059 +tp7453 +a(g826 +g1057 +tp7454 +a(g412 +g1071 +tp7455 +a(g573 +VisFloat +p7456 +tp7457 +a(g826 +g974 +tp7458 +a(g826 +g974 +tp7459 +a(g826 +g974 +tp7460 +a(g412 +V&& +p7461 +tp7462 +a(g826 +g974 +tp7463 +a(g826 +g1331 +tp7464 +a(g826 +g1057 +tp7465 +a(g826 +g1291 +tp7466 +a(g826 +g1059 +tp7467 +a(g826 +g1067 +tp7468 +a(g826 +g4676 +tp7469 +a(g826 +g1469 +tp7470 +a(g826 +g1111 +tp7471 +a(g826 +g1195 +tp7472 +a(g826 +g1061 +tp7473 +a(g412 +g1071 +tp7474 +a(g573 +Vcontains +p7475 +tp7476 +a(g705 +g1036 +tp7477 +a(g826 +g1195 +tp7478 +a(g826 +g1584 +tp7479 +a(g826 +g1111 +tp7480 +a(g826 +g1195 +tp7481 +a(g826 +g1041 +tp7482 +a(g826 +g1067 +tp7483 +a(g826 +g1195 +tp7484 +a(g826 +g1337 +tp7485 +a(g412 +g1071 +tp7486 +a(g573 +VtoNonNullable +p7487 +tp7488 +a(g412 +g1071 +tp7489 +a(g573 +Vsignature +p7490 +tp7491 +a(g705 +g1075 +tp7492 +a(g705 +g1075 +tp7493 +a(g826 +g974 +tp7494 +a(g744 +Vreturn +p7495 +tp7496 +a(g826 +g974 +tp7497 +a(g826 +g1729 +tp7498 +a(g826 +g1291 +tp7499 +a(g826 +g1584 +tp7500 +a(g705 +g1036 +tp7501 +a(g826 +g1195 +tp7502 +a(g826 +g1584 +tp7503 +a(g826 +g1111 +tp7504 +a(g826 +g1210 +tp7505 +a(g705 +g1075 +tp7506 +a(g826 +V\u000a +p7507 +tp7508 +a(g826 +g974 +tp7509 +a(g826 +g974 +tp7510 +a(g826 +g974 +tp7511 +a(g826 +g974 +tp7512 +a(g744 +Vif +p7513 +tp7514 +a(g826 +g974 +tp7515 +a(g705 +g1036 +tp7516 +a(g826 +g1059 +tp7517 +a(g826 +g1041 +tp7518 +a(g826 +g1067 +tp7519 +a(g826 +g1334 +tp7520 +a(g826 +g1059 +tp7521 +a(g826 +g1057 +tp7522 +a(g412 +g1071 +tp7523 +a(g573 +VisDecimal +p7524 +tp7525 +a(g826 +g974 +tp7526 +a(g412 +V&& +p7527 +tp7528 +a(g826 +g974 +tp7529 +a(g826 +g1337 +tp7530 +a(g826 +g1195 +tp7531 +a(g826 +g1041 +tp7532 +a(g826 +g1212 +tp7533 +a(g826 +g1193 +tp7534 +a(g826 +g1059 +tp7535 +a(g826 +g1057 +tp7536 +a(g826 +g4676 +tp7537 +a(g826 +g1469 +tp7538 +a(g826 +g1111 +tp7539 +a(g826 +g1195 +tp7540 +a(g826 +g1061 +tp7541 +a(g412 +g1071 +tp7542 +a(g573 +Vcontains +p7543 +tp7544 +a(g705 +g1036 +tp7545 +a(g826 +g1195 +tp7546 +a(g826 +g1584 +tp7547 +a(g826 +g1111 +tp7548 +a(g826 +g1195 +tp7549 +a(g826 +g1041 +tp7550 +a(g826 +g1067 +tp7551 +a(g826 +g1195 +tp7552 +a(g826 +g1337 +tp7553 +a(g412 +g1071 +tp7554 +a(g573 +VtoNonNullable +p7555 +tp7556 +a(g412 +g1071 +tp7557 +a(g573 +Vsignature +p7558 +tp7559 +a(g705 +g1075 +tp7560 +a(g705 +g1075 +tp7561 +a(g826 +g974 +tp7562 +a(g744 +Vreturn +p7563 +tp7564 +a(g826 +g974 +tp7565 +a(g826 +g1195 +tp7566 +a(g826 +g1584 +tp7567 +a(g826 +g1111 +tp7568 +a(g826 +g1210 +tp7569 +a(g826 +V\u000a +p7570 +tp7571 +a(g826 +g974 +tp7572 +a(g826 +g974 +tp7573 +a(g826 +g974 +tp7574 +a(g826 +g974 +tp7575 +a(g744 +Vif +p7576 +tp7577 +a(g826 +g974 +tp7578 +a(g705 +g1036 +tp7579 +a(g826 +g1059 +tp7580 +a(g826 +g1041 +tp7581 +a(g826 +g1067 +tp7582 +a(g826 +g1334 +tp7583 +a(g826 +g1059 +tp7584 +a(g826 +g1057 +tp7585 +a(g412 +g1071 +tp7586 +a(g573 +VisStr +p7587 +tp7588 +a(g826 +g974 +tp7589 +a(g826 +g974 +tp7590 +a(g826 +g974 +tp7591 +a(g826 +g974 +tp7592 +a(g826 +g974 +tp7593 +a(g412 +V&& +p7594 +tp7595 +a(g826 +g974 +tp7596 +a(g826 +g1061 +tp7597 +a(g826 +g1067 +tp7598 +a(g826 +g1210 +tp7599 +a(g826 +g4676 +tp7600 +a(g826 +g1469 +tp7601 +a(g826 +g1111 +tp7602 +a(g826 +g1195 +tp7603 +a(g826 +g1061 +tp7604 +a(g412 +g1071 +tp7605 +a(g573 +Vcontains +p7606 +tp7607 +a(g705 +g1036 +tp7608 +a(g826 +g1195 +tp7609 +a(g826 +g1584 +tp7610 +a(g826 +g1111 +tp7611 +a(g826 +g1195 +tp7612 +a(g826 +g1041 +tp7613 +a(g826 +g1067 +tp7614 +a(g826 +g1195 +tp7615 +a(g826 +g1337 +tp7616 +a(g412 +g1071 +tp7617 +a(g573 +VtoNonNullable +p7618 +tp7619 +a(g412 +g1071 +tp7620 +a(g573 +Vsignature +p7621 +tp7622 +a(g705 +g1075 +tp7623 +a(g705 +g1075 +tp7624 +a(g826 +g974 +tp7625 +a(g744 +Vreturn +p7626 +tp7627 +a(g826 +g974 +tp7628 +a(g826 +g1195 +tp7629 +a(g826 +g1584 +tp7630 +a(g826 +g1111 +tp7631 +a(g826 +g1210 +tp7632 +a(g826 +V\u000a +p7633 +tp7634 +a(g826 +V\u000a +p7635 +tp7636 +a(g826 +g974 +tp7637 +a(g826 +g974 +tp7638 +a(g826 +g974 +tp7639 +a(g826 +g974 +tp7640 +a(g826 +g974 +tp7641 +a(g8 +V// use normal Fantom coercion behavior\u000a +p7642 +tp7643 +a(g826 +g974 +tp7644 +a(g826 +g974 +tp7645 +a(g826 +g974 +tp7646 +a(g826 +g974 +tp7647 +a(g744 +Vreturn +p7648 +tp7649 +a(g826 +g974 +tp7650 +a(g693 +Vsuper +p7651 +tp7652 +a(g412 +g1071 +tp7653 +a(g573 +Vcoerce +p7654 +tp7655 +a(g705 +g1036 +tp7656 +a(g826 +g1195 +tp7657 +a(g826 +g1584 +tp7658 +a(g826 +g1111 +tp7659 +a(g826 +g1210 +tp7660 +a(g826 +g1043 +tp7661 +a(g826 +g974 +tp7662 +a(g826 +g1195 +tp7663 +a(g826 +g1584 +tp7664 +a(g826 +g1111 +tp7665 +a(g826 +g1195 +tp7666 +a(g826 +g1041 +tp7667 +a(g826 +g1067 +tp7668 +a(g826 +g1195 +tp7669 +a(g826 +g1337 +tp7670 +a(g826 +g1043 +tp7671 +a(g826 +g974 +tp7672 +a(g826 +g1291 +tp7673 +a(g826 +g1190 +tp7674 +a(g826 +g1299 +tp7675 +a(g826 +g1210 +tp7676 +a(g826 +g1210 +tp7677 +a(g705 +g1075 +tp7678 +a(g826 +V\u000a +p7679 +tp7680 +a(g826 +g974 +tp7681 +a(g826 +g974 +tp7682 +a(g826 +g1117 +tp7683 +a(g826 +V\u000a +p7684 +tp7685 +a(g826 +V\u000a +p7686 +tp7687 +a(g826 +g974 +tp7688 +a(g826 +g974 +tp7689 +a(g882 +V**\u000a +p7690 +tp7691 +a(g826 +g974 +tp7692 +a(g826 +g974 +tp7693 +a(g882 +V** Ensure value type is boxed.\u000a +p7694 +tp7695 +a(g826 +g974 +tp7696 +a(g826 +g974 +tp7697 +a(g882 +V**\u000a +p7698 +tp7699 +a(g826 +g974 +tp7700 +a(g826 +g974 +tp7701 +a(g744 +Vprivate +p7702 +tp7703 +a(g826 +g974 +tp7704 +a(g628 +VExpr +p7705 +tp7706 +a(g826 +g974 +tp7707 +a(g573 +Vbox +p7708 +tp7709 +a(g705 +g1036 +tp7710 +a(g628 +VExpr +p7711 +tp7712 +a(g826 +g974 +tp7713 +a(g440 +Vexpr +p7714 +tp7715 +a(g705 +g1075 +tp7716 +a(g826 +V\u000a +p7717 +tp7718 +a(g826 +g974 +tp7719 +a(g826 +g974 +tp7720 +a(g705 +g1003 +tp7721 +a(g826 +V\u000a +p7722 +tp7723 +a(g826 +g974 +tp7724 +a(g826 +g974 +tp7725 +a(g826 +g974 +tp7726 +a(g826 +g974 +tp7727 +a(g744 +Vif +p7728 +tp7729 +a(g826 +g974 +tp7730 +a(g705 +g1036 +tp7731 +a(g826 +g1195 +tp7732 +a(g826 +g1584 +tp7733 +a(g826 +g1111 +tp7734 +a(g826 +g1210 +tp7735 +a(g412 +g1071 +tp7736 +a(g573 +Vctype +p7737 +tp7738 +a(g412 +g1071 +tp7739 +a(g573 +VisVal +p7740 +tp7741 +a(g705 +g1075 +tp7742 +a(g826 +V\u000a +p7743 +tp7744 +a(g826 +g974 +tp7745 +a(g826 +g974 +tp7746 +a(g826 +g974 +tp7747 +a(g826 +g974 +tp7748 +a(g826 +g974 +tp7749 +a(g826 +g974 +tp7750 +a(g744 +Vreturn +p7751 +tp7752 +a(g826 +g974 +tp7753 +a(g826 +g4676 +tp7754 +a(g826 +g1469 +tp7755 +a(g826 +g1111 +tp7756 +a(g826 +g1195 +tp7757 +a(g826 +g1055 +tp7758 +a(g826 +g1069 +tp7759 +a(g826 +g1195 +tp7760 +a(g826 +g1041 +tp7761 +a(g826 +g1314 +tp7762 +a(g826 +g1299 +tp7763 +a(g826 +g1584 +tp7764 +a(g826 +g1111 +tp7765 +a(g826 +g1210 +tp7766 +a(g412 +g1071 +tp7767 +a(g573 +Vcoerce +p7768 +tp7769 +a(g705 +g1036 +tp7770 +a(g826 +g1195 +tp7771 +a(g826 +g1584 +tp7772 +a(g826 +g1111 +tp7773 +a(g826 +g1210 +tp7774 +a(g826 +g1043 +tp7775 +a(g826 +g974 +tp7776 +a(g826 +g1195 +tp7777 +a(g826 +g1584 +tp7778 +a(g826 +g1111 +tp7779 +a(g826 +g1210 +tp7780 +a(g412 +g1071 +tp7781 +a(g573 +Vctype +p7782 +tp7783 +a(g412 +g1071 +tp7784 +a(g573 +VtoNullable +p7785 +tp7786 +a(g705 +g1075 +tp7787 +a(g826 +V\u000a +p7788 +tp7789 +a(g826 +g974 +tp7790 +a(g826 +g974 +tp7791 +a(g826 +g974 +tp7792 +a(g826 +g974 +tp7793 +a(g744 +Velse +p7794 +tp7795 +a(g826 +V\u000a +p7796 +tp7797 +a(g826 +g974 +tp7798 +a(g826 +g974 +tp7799 +a(g826 +g974 +tp7800 +a(g826 +g974 +tp7801 +a(g826 +g974 +tp7802 +a(g826 +g974 +tp7803 +a(g744 +Vreturn +p7804 +tp7805 +a(g826 +g974 +tp7806 +a(g826 +g1195 +tp7807 +a(g826 +g1584 +tp7808 +a(g826 +g1111 +tp7809 +a(g826 +g1210 +tp7810 +a(g826 +V\u000a +p7811 +tp7812 +a(g826 +g974 +tp7813 +a(g826 +g974 +tp7814 +a(g826 +g1117 +tp7815 +a(g826 +V\u000a +p7816 +tp7817 +a(g826 +V\u000a +p7818 +tp7819 +a(g826 +g974 +tp7820 +a(g826 +g974 +tp7821 +a(g882 +V**\u000a +p7822 +tp7823 +a(g826 +g974 +tp7824 +a(g826 +g974 +tp7825 +a(g882 +V** Coerce a fan expression to a Java primitive (other\u000a +p7826 +tp7827 +a(g826 +g974 +tp7828 +a(g826 +g974 +tp7829 +a(g882 +V** than the ones we support natively)\u000a +p7830 +tp7831 +a(g826 +g974 +tp7832 +a(g826 +g974 +tp7833 +a(g882 +V**\u000a +p7834 +tp7835 +a(g826 +g974 +tp7836 +a(g826 +g974 +tp7837 +a(g628 +VExpr +p7838 +tp7839 +a(g826 +g974 +tp7840 +a(g573 +VcoerceToPrimitive +p7841 +tp7842 +a(g705 +g1036 +tp7843 +a(g628 +VExpr +p7844 +tp7845 +a(g826 +g974 +tp7846 +a(g440 +Vexpr +p7847 +tp7848 +a(g705 +g1043 +tp7849 +a(g826 +g974 +tp7850 +a(g628 +VJavaType +p7851 +tp7852 +a(g826 +g974 +tp7853 +a(g440 +Vexpected +p7854 +tp7855 +a(g705 +g1043 +tp7856 +a(g826 +g974 +tp7857 +a(g705 +g3254 +tp7858 +a(g705 +g1647 +tp7859 +a(g705 +g1812 +tp7860 +a(g705 +g3254 +tp7861 +a(g826 +g974 +tp7862 +a(g440 +VonErr +p7863 +tp7864 +a(g705 +g1075 +tp7865 +a(g826 +V\u000a +p7866 +tp7867 +a(g826 +g974 +tp7868 +a(g826 +g974 +tp7869 +a(g705 +g1003 +tp7870 +a(g826 +V\u000a +p7871 +tp7872 +a(g826 +g974 +tp7873 +a(g826 +g974 +tp7874 +a(g826 +g974 +tp7875 +a(g826 +g974 +tp7876 +a(g440 +Vactual +p7877 +tp7878 +a(g826 +g974 +tp7879 +a(g412 +V:= +p7880 +tp7881 +a(g826 +g974 +tp7882 +a(g826 +g1195 +tp7883 +a(g826 +g1584 +tp7884 +a(g826 +g1111 +tp7885 +a(g826 +g1210 +tp7886 +a(g412 +g1071 +tp7887 +a(g573 +Vctype +p7888 +tp7889 +a(g826 +V\u000a +p7890 +tp7891 +a(g826 +V\u000a +p7892 +tp7893 +a(g826 +g974 +tp7894 +a(g826 +g974 +tp7895 +a(g826 +g974 +tp7896 +a(g826 +g974 +tp7897 +a(g8 +V// sys::Int (long) -> int, short, byte\u000a +p7898 +tp7899 +a(g826 +g974 +tp7900 +a(g826 +g974 +tp7901 +a(g826 +g974 +tp7902 +a(g826 +g974 +tp7903 +a(g744 +Vif +p7904 +tp7905 +a(g826 +g974 +tp7906 +a(g705 +g1036 +tp7907 +a(g826 +g1059 +tp7908 +a(g826 +g1041 +tp7909 +a(g826 +g1067 +tp7910 +a(g826 +g1334 +tp7911 +a(g826 +g1059 +tp7912 +a(g826 +g1057 +tp7913 +a(g412 +g1071 +tp7914 +a(g573 +VisInt +p7915 +tp7916 +a(g826 +g974 +tp7917 +a(g412 +V&& +p7918 +tp7919 +a(g826 +g974 +tp7920 +a(g826 +g1195 +tp7921 +a(g826 +g1584 +tp7922 +a(g826 +g1111 +tp7923 +a(g826 +g1195 +tp7924 +a(g826 +g1041 +tp7925 +a(g826 +g1067 +tp7926 +a(g826 +g1195 +tp7927 +a(g826 +g1337 +tp7928 +a(g412 +g1071 +tp7929 +a(g573 +VisPrimitiveIntLike +p7930 +tp7931 +a(g705 +g1075 +tp7932 +a(g826 +V\u000a +p7933 +tp7934 +a(g826 +g974 +tp7935 +a(g826 +g974 +tp7936 +a(g826 +g974 +tp7937 +a(g826 +g974 +tp7938 +a(g826 +g974 +tp7939 +a(g826 +g974 +tp7940 +a(g744 +Vreturn +p7941 +tp7942 +a(g826 +g974 +tp7943 +a(g826 +g4676 +tp7944 +a(g826 +g1469 +tp7945 +a(g826 +g1111 +tp7946 +a(g826 +g1195 +tp7947 +a(g826 +g1055 +tp7948 +a(g826 +g1069 +tp7949 +a(g826 +g1195 +tp7950 +a(g826 +g1041 +tp7951 +a(g826 +g1314 +tp7952 +a(g826 +g1299 +tp7953 +a(g826 +g1584 +tp7954 +a(g826 +g1111 +tp7955 +a(g826 +g1210 +tp7956 +a(g412 +g1071 +tp7957 +a(g573 +Vcoerce +p7958 +tp7959 +a(g705 +g1036 +tp7960 +a(g826 +g1195 +tp7961 +a(g826 +g1584 +tp7962 +a(g826 +g1111 +tp7963 +a(g826 +g1210 +tp7964 +a(g826 +g1043 +tp7965 +a(g826 +g974 +tp7966 +a(g826 +g1195 +tp7967 +a(g826 +g1584 +tp7968 +a(g826 +g1111 +tp7969 +a(g826 +g1195 +tp7970 +a(g826 +g1041 +tp7971 +a(g826 +g1067 +tp7972 +a(g826 +g1195 +tp7973 +a(g826 +g1337 +tp7974 +a(g705 +g1075 +tp7975 +a(g826 +V\u000a +p7976 +tp7977 +a(g826 +V\u000a +p7978 +tp7979 +a(g826 +g974 +tp7980 +a(g826 +g974 +tp7981 +a(g826 +g974 +tp7982 +a(g826 +g974 +tp7983 +a(g8 +V// sys::Float (double) -> float\u000a +p7984 +tp7985 +a(g826 +g974 +tp7986 +a(g826 +g974 +tp7987 +a(g826 +g974 +tp7988 +a(g826 +g974 +tp7989 +a(g744 +Vif +p7990 +tp7991 +a(g826 +g974 +tp7992 +a(g705 +g1036 +tp7993 +a(g826 +g1059 +tp7994 +a(g826 +g1041 +tp7995 +a(g826 +g1067 +tp7996 +a(g826 +g1334 +tp7997 +a(g826 +g1059 +tp7998 +a(g826 +g1057 +tp7999 +a(g412 +g1071 +tp8000 +a(g573 +VisFloat +p8001 +tp8002 +a(g826 +g974 +tp8003 +a(g412 +V&& +p8004 +tp8005 +a(g826 +g974 +tp8006 +a(g826 +g1195 +tp8007 +a(g826 +g1584 +tp8008 +a(g826 +g1111 +tp8009 +a(g826 +g1195 +tp8010 +a(g826 +g1041 +tp8011 +a(g826 +g1067 +tp8012 +a(g826 +g1195 +tp8013 +a(g826 +g1337 +tp8014 +a(g412 +g1071 +tp8015 +a(g573 +VisPrimitiveFloat +p8016 +tp8017 +a(g705 +g1075 +tp8018 +a(g826 +V\u000a +p8019 +tp8020 +a(g826 +g974 +tp8021 +a(g826 +g974 +tp8022 +a(g826 +g974 +tp8023 +a(g826 +g974 +tp8024 +a(g826 +g974 +tp8025 +a(g826 +g974 +tp8026 +a(g744 +Vreturn +p8027 +tp8028 +a(g826 +g974 +tp8029 +a(g826 +g4676 +tp8030 +a(g826 +g1469 +tp8031 +a(g826 +g1111 +tp8032 +a(g826 +g1195 +tp8033 +a(g826 +g1055 +tp8034 +a(g826 +g1069 +tp8035 +a(g826 +g1195 +tp8036 +a(g826 +g1041 +tp8037 +a(g826 +g1314 +tp8038 +a(g826 +g1299 +tp8039 +a(g826 +g1584 +tp8040 +a(g826 +g1111 +tp8041 +a(g826 +g1210 +tp8042 +a(g412 +g1071 +tp8043 +a(g573 +Vcoerce +p8044 +tp8045 +a(g705 +g1036 +tp8046 +a(g826 +g1195 +tp8047 +a(g826 +g1584 +tp8048 +a(g826 +g1111 +tp8049 +a(g826 +g1210 +tp8050 +a(g826 +g1043 +tp8051 +a(g826 +g974 +tp8052 +a(g826 +g1195 +tp8053 +a(g826 +g1584 +tp8054 +a(g826 +g1111 +tp8055 +a(g826 +g1195 +tp8056 +a(g826 +g1041 +tp8057 +a(g826 +g1067 +tp8058 +a(g826 +g1195 +tp8059 +a(g826 +g1337 +tp8060 +a(g705 +g1075 +tp8061 +a(g826 +V\u000a +p8062 +tp8063 +a(g826 +V\u000a +p8064 +tp8065 +a(g826 +g974 +tp8066 +a(g826 +g974 +tp8067 +a(g826 +g974 +tp8068 +a(g826 +g974 +tp8069 +a(g8 +V// no coercion - type error\u000a +p8070 +tp8071 +a(g826 +g974 +tp8072 +a(g826 +g974 +tp8073 +a(g826 +g974 +tp8074 +a(g826 +g974 +tp8075 +a(g826 +g1291 +tp8076 +a(g826 +g1190 +tp8077 +a(g826 +g1299 +tp8078 +a(g826 +g1210 +tp8079 +a(g826 +g1210 +tp8080 +a(g705 +g1036 +tp8081 +a(g705 +g1075 +tp8082 +a(g826 +V\u000a +p8083 +tp8084 +a(g826 +g974 +tp8085 +a(g826 +g974 +tp8086 +a(g826 +g974 +tp8087 +a(g826 +g974 +tp8088 +a(g744 +Vreturn +p8089 +tp8090 +a(g826 +g974 +tp8091 +a(g826 +g1195 +tp8092 +a(g826 +g1584 +tp8093 +a(g826 +g1111 +tp8094 +a(g826 +g1210 +tp8095 +a(g826 +V\u000a +p8096 +tp8097 +a(g826 +g974 +tp8098 +a(g826 +g974 +tp8099 +a(g826 +g1117 +tp8100 +a(g826 +V\u000a +p8101 +tp8102 +a(g826 +V\u000a +p8103 +tp8104 +a(g826 +g974 +tp8105 +a(g826 +g974 +tp8106 +a(g882 +V**\u000a +p8107 +tp8108 +a(g826 +g974 +tp8109 +a(g826 +g974 +tp8110 +a(g882 +V** Coerce a Java primitive to a Fantom type.\u000a +p8111 +tp8112 +a(g826 +g974 +tp8113 +a(g826 +g974 +tp8114 +a(g882 +V**\u000a +p8115 +tp8116 +a(g826 +g974 +tp8117 +a(g826 +g974 +tp8118 +a(g628 +VExpr +p8119 +tp8120 +a(g826 +g974 +tp8121 +a(g573 +VcoerceFromPrimitive +p8122 +tp8123 +a(g705 +g1036 +tp8124 +a(g628 +VExpr +p8125 +tp8126 +a(g826 +g974 +tp8127 +a(g440 +Vexpr +p8128 +tp8129 +a(g705 +g1043 +tp8130 +a(g826 +g974 +tp8131 +a(g628 +VCType +p8132 +tp8133 +a(g826 +g974 +tp8134 +a(g440 +Vexpected +p8135 +tp8136 +a(g705 +g1043 +tp8137 +a(g826 +g974 +tp8138 +a(g705 +g3254 +tp8139 +a(g705 +g1647 +tp8140 +a(g705 +g1812 +tp8141 +a(g705 +g3254 +tp8142 +a(g826 +g974 +tp8143 +a(g440 +VonErr +p8144 +tp8145 +a(g705 +g1075 +tp8146 +a(g826 +V\u000a +p8147 +tp8148 +a(g826 +g974 +tp8149 +a(g826 +g974 +tp8150 +a(g705 +g1003 +tp8151 +a(g826 +V\u000a +p8152 +tp8153 +a(g826 +g974 +tp8154 +a(g826 +g974 +tp8155 +a(g826 +g974 +tp8156 +a(g826 +g974 +tp8157 +a(g440 +Vactual +p8158 +tp8159 +a(g826 +g974 +tp8160 +a(g412 +V:= +p8161 +tp8162 +a(g826 +g974 +tp8163 +a(g705 +g1036 +tp8164 +a(g826 +g1305 +tp8165 +a(g826 +g1059 +tp8166 +a(g826 +g1218 +tp8167 +a(g826 +g1059 +tp8168 +a(g826 +g4676 +tp8169 +a(g826 +g1469 +tp8170 +a(g826 +g1111 +tp8171 +a(g826 +g1195 +tp8172 +a(g705 +g1075 +tp8173 +a(g826 +g1195 +tp8174 +a(g826 +g1584 +tp8175 +a(g826 +g1111 +tp8176 +a(g826 +g1210 +tp8177 +a(g412 +g1071 +tp8178 +a(g573 +Vctype +p8179 +tp8180 +a(g826 +V\u000a +p8181 +tp8182 +a(g826 +V\u000a +p8183 +tp8184 +a(g826 +g974 +tp8185 +a(g826 +g974 +tp8186 +a(g826 +g974 +tp8187 +a(g826 +g974 +tp8188 +a(g8 +V// int, short, byte -> sys::Int (long)\u000a +p8189 +tp8190 +a(g826 +g974 +tp8191 +a(g826 +g974 +tp8192 +a(g826 +g974 +tp8193 +a(g826 +g974 +tp8194 +a(g744 +Vif +p8195 +tp8196 +a(g826 +g974 +tp8197 +a(g705 +g1036 +tp8198 +a(g826 +g1059 +tp8199 +a(g826 +g1041 +tp8200 +a(g826 +g1067 +tp8201 +a(g826 +g1334 +tp8202 +a(g826 +g1059 +tp8203 +a(g826 +g1057 +tp8204 +a(g412 +g1071 +tp8205 +a(g573 +VisPrimitiveIntLike +p8206 +tp8207 +a(g705 +g1075 +tp8208 +a(g826 +V\u000a +p8209 +tp8210 +a(g826 +g974 +tp8211 +a(g826 +g974 +tp8212 +a(g826 +g974 +tp8213 +a(g826 +g974 +tp8214 +a(g705 +g1003 +tp8215 +a(g826 +V\u000a +p8216 +tp8217 +a(g826 +g974 +tp8218 +a(g826 +g974 +tp8219 +a(g826 +g974 +tp8220 +a(g826 +g974 +tp8221 +a(g826 +g974 +tp8222 +a(g826 +g974 +tp8223 +a(g744 +Vif +p8224 +tp8225 +a(g826 +g974 +tp8226 +a(g705 +g1036 +tp8227 +a(g826 +g1195 +tp8228 +a(g826 +g1584 +tp8229 +a(g826 +g1111 +tp8230 +a(g826 +g1195 +tp8231 +a(g826 +g1041 +tp8232 +a(g826 +g1067 +tp8233 +a(g826 +g1195 +tp8234 +a(g826 +g1337 +tp8235 +a(g412 +g1071 +tp8236 +a(g573 +VisInt +p8237 +tp8238 +a(g826 +g974 +tp8239 +a(g412 +V|| +p8240 +tp8241 +a(g826 +g974 +tp8242 +a(g826 +g1195 +tp8243 +a(g826 +g1584 +tp8244 +a(g826 +g1111 +tp8245 +a(g826 +g1195 +tp8246 +a(g826 +g1041 +tp8247 +a(g826 +g1067 +tp8248 +a(g826 +g1195 +tp8249 +a(g826 +g1337 +tp8250 +a(g412 +g1071 +tp8251 +a(g573 +VisObj +p8252 +tp8253 +a(g705 +g1075 +tp8254 +a(g826 +V\u000a +p8255 +tp8256 +a(g826 +g974 +tp8257 +a(g826 +g974 +tp8258 +a(g826 +g974 +tp8259 +a(g826 +g974 +tp8260 +a(g826 +g974 +tp8261 +a(g826 +g974 +tp8262 +a(g826 +g974 +tp8263 +a(g826 +g974 +tp8264 +a(g744 +Vreturn +p8265 +tp8266 +a(g826 +g974 +tp8267 +a(g826 +g4676 +tp8268 +a(g826 +g1469 +tp8269 +a(g826 +g1111 +tp8270 +a(g826 +g1195 +tp8271 +a(g826 +g1055 +tp8272 +a(g826 +g1069 +tp8273 +a(g826 +g1195 +tp8274 +a(g826 +g1041 +tp8275 +a(g826 +g1314 +tp8276 +a(g826 +g1299 +tp8277 +a(g826 +g1584 +tp8278 +a(g826 +g1111 +tp8279 +a(g826 +g1210 +tp8280 +a(g412 +g1071 +tp8281 +a(g573 +Vcoerce +p8282 +tp8283 +a(g705 +g1036 +tp8284 +a(g826 +g1195 +tp8285 +a(g826 +g1584 +tp8286 +a(g826 +g1111 +tp8287 +a(g826 +g1210 +tp8288 +a(g826 +g1043 +tp8289 +a(g826 +g974 +tp8290 +a(g826 +g1195 +tp8291 +a(g826 +g1584 +tp8292 +a(g826 +g1111 +tp8293 +a(g826 +g1195 +tp8294 +a(g826 +g1041 +tp8295 +a(g826 +g1067 +tp8296 +a(g826 +g1195 +tp8297 +a(g826 +g1337 +tp8298 +a(g705 +g1075 +tp8299 +a(g826 +V\u000a +p8300 +tp8301 +a(g826 +g974 +tp8302 +a(g826 +g974 +tp8303 +a(g826 +g974 +tp8304 +a(g826 +g974 +tp8305 +a(g826 +g1117 +tp8306 +a(g826 +V\u000a +p8307 +tp8308 +a(g826 +V\u000a +p8309 +tp8310 +a(g826 +g974 +tp8311 +a(g826 +g974 +tp8312 +a(g826 +g974 +tp8313 +a(g826 +g974 +tp8314 +a(g8 +V// float -> sys::Float (float)\u000a +p8315 +tp8316 +a(g826 +g974 +tp8317 +a(g826 +g974 +tp8318 +a(g826 +g974 +tp8319 +a(g826 +g974 +tp8320 +a(g744 +Vif +p8321 +tp8322 +a(g826 +g974 +tp8323 +a(g705 +g1036 +tp8324 +a(g826 +g1059 +tp8325 +a(g826 +g1041 +tp8326 +a(g826 +g1067 +tp8327 +a(g826 +g1334 +tp8328 +a(g826 +g1059 +tp8329 +a(g826 +g1057 +tp8330 +a(g412 +g1071 +tp8331 +a(g573 +VisPrimitiveFloat +p8332 +tp8333 +a(g705 +g1075 +tp8334 +a(g826 +V\u000a +p8335 +tp8336 +a(g826 +g974 +tp8337 +a(g826 +g974 +tp8338 +a(g826 +g974 +tp8339 +a(g826 +g974 +tp8340 +a(g705 +g1003 +tp8341 +a(g826 +V\u000a +p8342 +tp8343 +a(g826 +g974 +tp8344 +a(g826 +g974 +tp8345 +a(g826 +g974 +tp8346 +a(g826 +g974 +tp8347 +a(g826 +g974 +tp8348 +a(g826 +g974 +tp8349 +a(g744 +Vif +p8350 +tp8351 +a(g826 +g974 +tp8352 +a(g705 +g1036 +tp8353 +a(g826 +g1195 +tp8354 +a(g826 +g1584 +tp8355 +a(g826 +g1111 +tp8356 +a(g826 +g1195 +tp8357 +a(g826 +g1041 +tp8358 +a(g826 +g1067 +tp8359 +a(g826 +g1195 +tp8360 +a(g826 +g1337 +tp8361 +a(g412 +g1071 +tp8362 +a(g573 +VisFloat +p8363 +tp8364 +a(g826 +g974 +tp8365 +a(g412 +V|| +p8366 +tp8367 +a(g826 +g974 +tp8368 +a(g826 +g1195 +tp8369 +a(g826 +g1584 +tp8370 +a(g826 +g1111 +tp8371 +a(g826 +g1195 +tp8372 +a(g826 +g1041 +tp8373 +a(g826 +g1067 +tp8374 +a(g826 +g1195 +tp8375 +a(g826 +g1337 +tp8376 +a(g412 +g1071 +tp8377 +a(g573 +VisObj +p8378 +tp8379 +a(g705 +g1075 +tp8380 +a(g826 +V\u000a +p8381 +tp8382 +a(g826 +g974 +tp8383 +a(g826 +g974 +tp8384 +a(g826 +g974 +tp8385 +a(g826 +g974 +tp8386 +a(g826 +g974 +tp8387 +a(g826 +g974 +tp8388 +a(g826 +g974 +tp8389 +a(g826 +g974 +tp8390 +a(g744 +Vreturn +p8391 +tp8392 +a(g826 +g974 +tp8393 +a(g826 +g4676 +tp8394 +a(g826 +g1469 +tp8395 +a(g826 +g1111 +tp8396 +a(g826 +g1195 +tp8397 +a(g826 +g1055 +tp8398 +a(g826 +g1069 +tp8399 +a(g826 +g1195 +tp8400 +a(g826 +g1041 +tp8401 +a(g826 +g1314 +tp8402 +a(g826 +g1299 +tp8403 +a(g826 +g1584 +tp8404 +a(g826 +g1111 +tp8405 +a(g826 +g1210 +tp8406 +a(g412 +g1071 +tp8407 +a(g573 +Vcoerce +p8408 +tp8409 +a(g705 +g1036 +tp8410 +a(g826 +g1195 +tp8411 +a(g826 +g1584 +tp8412 +a(g826 +g1111 +tp8413 +a(g826 +g1210 +tp8414 +a(g826 +g1043 +tp8415 +a(g826 +g974 +tp8416 +a(g826 +g1195 +tp8417 +a(g826 +g1584 +tp8418 +a(g826 +g1111 +tp8419 +a(g826 +g1195 +tp8420 +a(g826 +g1041 +tp8421 +a(g826 +g1067 +tp8422 +a(g826 +g1195 +tp8423 +a(g826 +g1337 +tp8424 +a(g705 +g1075 +tp8425 +a(g826 +V\u000a +p8426 +tp8427 +a(g826 +g974 +tp8428 +a(g826 +g974 +tp8429 +a(g826 +g974 +tp8430 +a(g826 +g974 +tp8431 +a(g826 +g1117 +tp8432 +a(g826 +V\u000a +p8433 +tp8434 +a(g826 +V\u000a +p8435 +tp8436 +a(g826 +g974 +tp8437 +a(g826 +g974 +tp8438 +a(g826 +g974 +tp8439 +a(g826 +g974 +tp8440 +a(g8 +V// no coercion - type error\u000a +p8441 +tp8442 +a(g826 +g974 +tp8443 +a(g826 +g974 +tp8444 +a(g826 +g974 +tp8445 +a(g826 +g974 +tp8446 +a(g826 +g1291 +tp8447 +a(g826 +g1190 +tp8448 +a(g826 +g1299 +tp8449 +a(g826 +g1210 +tp8450 +a(g826 +g1210 +tp8451 +a(g705 +g1036 +tp8452 +a(g705 +g1075 +tp8453 +a(g826 +V\u000a +p8454 +tp8455 +a(g826 +g974 +tp8456 +a(g826 +g974 +tp8457 +a(g826 +g974 +tp8458 +a(g826 +g974 +tp8459 +a(g744 +Vreturn +p8460 +tp8461 +a(g826 +g974 +tp8462 +a(g826 +g1195 +tp8463 +a(g826 +g1584 +tp8464 +a(g826 +g1111 +tp8465 +a(g826 +g1210 +tp8466 +a(g826 +V\u000a +p8467 +tp8468 +a(g826 +g974 +tp8469 +a(g826 +g974 +tp8470 +a(g826 +g1117 +tp8471 +a(g826 +V\u000a +p8472 +tp8473 +a(g826 +V\u000a +p8474 +tp8475 +a(g826 +g974 +tp8476 +a(g826 +g974 +tp8477 +a(g882 +V**\u000a +p8478 +tp8479 +a(g826 +g974 +tp8480 +a(g826 +g974 +tp8481 +a(g882 +V** Coerce a Java array to a Fantom list.\u000a +p8482 +tp8483 +a(g826 +g974 +tp8484 +a(g826 +g974 +tp8485 +a(g882 +V**\u000a +p8486 +tp8487 +a(g826 +g974 +tp8488 +a(g826 +g974 +tp8489 +a(g628 +VExpr +p8490 +tp8491 +a(g826 +g974 +tp8492 +a(g573 +VcoerceFromArray +p8493 +tp8494 +a(g705 +g1036 +tp8495 +a(g628 +VExpr +p8496 +tp8497 +a(g826 +g974 +tp8498 +a(g440 +Vexpr +p8499 +tp8500 +a(g705 +g1043 +tp8501 +a(g826 +g974 +tp8502 +a(g628 +VCType +p8503 +tp8504 +a(g826 +g974 +tp8505 +a(g440 +Vexpected +p8506 +tp8507 +a(g705 +g1043 +tp8508 +a(g826 +g974 +tp8509 +a(g705 +g3254 +tp8510 +a(g705 +g1647 +tp8511 +a(g705 +g1812 +tp8512 +a(g705 +g3254 +tp8513 +a(g826 +g974 +tp8514 +a(g440 +VonErr +p8515 +tp8516 +a(g705 +g1075 +tp8517 +a(g826 +V\u000a +p8518 +tp8519 +a(g826 +g974 +tp8520 +a(g826 +g974 +tp8521 +a(g705 +g1003 +tp8522 +a(g826 +V\u000a +p8523 +tp8524 +a(g826 +g974 +tp8525 +a(g826 +g974 +tp8526 +a(g826 +g974 +tp8527 +a(g826 +g974 +tp8528 +a(g440 +Vactual +p8529 +tp8530 +a(g826 +g974 +tp8531 +a(g412 +V:= +p8532 +tp8533 +a(g826 +g974 +tp8534 +a(g705 +g1036 +tp8535 +a(g826 +g1305 +tp8536 +a(g826 +g1059 +tp8537 +a(g826 +g1218 +tp8538 +a(g826 +g1059 +tp8539 +a(g826 +g4676 +tp8540 +a(g826 +g1469 +tp8541 +a(g826 +g1111 +tp8542 +a(g826 +g1195 +tp8543 +a(g705 +g1075 +tp8544 +a(g826 +g1195 +tp8545 +a(g826 +g1584 +tp8546 +a(g826 +g1111 +tp8547 +a(g826 +g1210 +tp8548 +a(g412 +g1071 +tp8549 +a(g573 +Vctype +p8550 +tp8551 +a(g412 +g1071 +tp8552 +a(g573 +VtoNonNullable +p8553 +tp8554 +a(g826 +V\u000a +p8555 +tp8556 +a(g826 +V\u000a +p8557 +tp8558 +a(g826 +g974 +tp8559 +a(g826 +g974 +tp8560 +a(g826 +g974 +tp8561 +a(g826 +g974 +tp8562 +a(g8 +V// if expected is array type\u000a +p8563 +tp8564 +a(g826 +g974 +tp8565 +a(g826 +g974 +tp8566 +a(g826 +g974 +tp8567 +a(g826 +g974 +tp8568 +a(g744 +Vif +p8569 +tp8570 +a(g826 +g974 +tp8571 +a(g705 +g1036 +tp8572 +a(g628 +Vexpected +p8573 +tp8574 +a(g826 +g974 +tp8575 +a(g440 +Vis +p8576 +tp8577 +a(g826 +g974 +tp8578 +a(g826 +g1305 +tp8579 +a(g826 +g1059 +tp8580 +a(g826 +g1218 +tp8581 +a(g826 +g1059 +tp8582 +a(g826 +g4676 +tp8583 +a(g826 +g1469 +tp8584 +a(g826 +g1111 +tp8585 +a(g826 +g1195 +tp8586 +a(g826 +g974 +tp8587 +a(g412 +V&& +p8588 +tp8589 +a(g826 +g974 +tp8590 +a(g705 +g1036 +tp8591 +a(g705 +g1036 +tp8592 +a(g826 +g1305 +tp8593 +a(g826 +g1059 +tp8594 +a(g826 +g1218 +tp8595 +a(g826 +g1059 +tp8596 +a(g826 +g4676 +tp8597 +a(g826 +g1469 +tp8598 +a(g826 +g1111 +tp8599 +a(g826 +g1195 +tp8600 +a(g705 +g1075 +tp8601 +a(g826 +g1195 +tp8602 +a(g826 +g1584 +tp8603 +a(g826 +g1111 +tp8604 +a(g826 +g1195 +tp8605 +a(g826 +g1041 +tp8606 +a(g826 +g1067 +tp8607 +a(g826 +g1195 +tp8608 +a(g826 +g1337 +tp8609 +a(g705 +g1075 +tp8610 +a(g412 +g1071 +tp8611 +a(g573 +VisArray +p8612 +tp8613 +a(g705 +g1075 +tp8614 +a(g826 +V\u000a +p8615 +tp8616 +a(g826 +g974 +tp8617 +a(g826 +g974 +tp8618 +a(g826 +g974 +tp8619 +a(g826 +g974 +tp8620 +a(g826 +g974 +tp8621 +a(g826 +g974 +tp8622 +a(g744 +Vif +p8623 +tp8624 +a(g826 +g974 +tp8625 +a(g705 +g1036 +tp8626 +a(g826 +g1059 +tp8627 +a(g826 +g1041 +tp8628 +a(g826 +g1067 +tp8629 +a(g826 +g1334 +tp8630 +a(g826 +g1059 +tp8631 +a(g826 +g1057 +tp8632 +a(g412 +g1071 +tp8633 +a(g573 +VarrayOf +p8634 +tp8635 +a(g412 +g1071 +tp8636 +a(g573 +Vfits +p8637 +tp8638 +a(g705 +g1036 +tp8639 +a(g705 +g1036 +tp8640 +a(g705 +g1036 +tp8641 +a(g826 +g1305 +tp8642 +a(g826 +g1059 +tp8643 +a(g826 +g1218 +tp8644 +a(g826 +g1059 +tp8645 +a(g826 +g4676 +tp8646 +a(g826 +g1469 +tp8647 +a(g826 +g1111 +tp8648 +a(g826 +g1195 +tp8649 +a(g705 +g1075 +tp8650 +a(g826 +g1195 +tp8651 +a(g826 +g1584 +tp8652 +a(g826 +g1111 +tp8653 +a(g826 +g1195 +tp8654 +a(g826 +g1041 +tp8655 +a(g826 +g1067 +tp8656 +a(g826 +g1195 +tp8657 +a(g826 +g1337 +tp8658 +a(g705 +g1075 +tp8659 +a(g412 +g1071 +tp8660 +a(g573 +VarrayOf +p8661 +tp8662 +a(g705 +g1075 +tp8663 +a(g705 +g1075 +tp8664 +a(g826 +g974 +tp8665 +a(g744 +Vreturn +p8666 +tp8667 +a(g826 +g974 +tp8668 +a(g826 +g1195 +tp8669 +a(g826 +g1584 +tp8670 +a(g826 +g1111 +tp8671 +a(g826 +g1210 +tp8672 +a(g826 +V\u000a +p8673 +tp8674 +a(g826 +V\u000a +p8675 +tp8676 +a(g826 +g974 +tp8677 +a(g826 +g974 +tp8678 +a(g826 +g974 +tp8679 +a(g826 +g974 +tp8680 +a(g8 +V// if expected is Obj\u000a +p8681 +tp8682 +a(g826 +g974 +tp8683 +a(g826 +g974 +tp8684 +a(g826 +g974 +tp8685 +a(g826 +g974 +tp8686 +a(g744 +Vif +p8687 +tp8688 +a(g826 +g974 +tp8689 +a(g705 +g1036 +tp8690 +a(g826 +g1195 +tp8691 +a(g826 +g1584 +tp8692 +a(g826 +g1111 +tp8693 +a(g826 +g1195 +tp8694 +a(g826 +g1041 +tp8695 +a(g826 +g1067 +tp8696 +a(g826 +g1195 +tp8697 +a(g826 +g1337 +tp8698 +a(g412 +g1071 +tp8699 +a(g573 +VisObj +p8700 +tp8701 +a(g705 +g1075 +tp8702 +a(g826 +g974 +tp8703 +a(g744 +Vreturn +p8704 +tp8705 +a(g826 +g974 +tp8706 +a(g826 +g1059 +tp8707 +a(g826 +g1210 +tp8708 +a(g826 +g1210 +tp8709 +a(g826 +g1059 +tp8710 +a(g826 +g1469 +tp8711 +a(g826 +g4676 +tp8712 +a(g826 +g1291 +tp8713 +a(g826 +g4939 +tp8714 +a(g826 +g1212 +tp8715 +a(g826 +g1061 +tp8716 +a(g826 +g1067 +tp8717 +a(g705 +g1036 +tp8718 +a(g826 +g1195 +tp8719 +a(g826 +g1584 +tp8720 +a(g826 +g1111 +tp8721 +a(g826 +g1210 +tp8722 +a(g826 +g1043 +tp8723 +a(g826 +g974 +tp8724 +a(g826 +g1059 +tp8725 +a(g826 +g1041 +tp8726 +a(g826 +g1067 +tp8727 +a(g826 +g1334 +tp8728 +a(g826 +g1059 +tp8729 +a(g826 +g1057 +tp8730 +a(g412 +g1071 +tp8731 +a(g573 +VinferredArrayOf +p8732 +tp8733 +a(g705 +g1075 +tp8734 +a(g826 +V\u000a +p8735 +tp8736 +a(g826 +V\u000a +p8737 +tp8738 +a(g826 +g974 +tp8739 +a(g826 +g974 +tp8740 +a(g826 +g974 +tp8741 +a(g826 +g974 +tp8742 +a(g8 +V// if expected is list type\u000a +p8743 +tp8744 +a(g826 +g974 +tp8745 +a(g826 +g974 +tp8746 +a(g826 +g974 +tp8747 +a(g826 +g974 +tp8748 +a(g744 +Vif +p8749 +tp8750 +a(g826 +g974 +tp8751 +a(g705 +g1036 +tp8752 +a(g826 +g1195 +tp8753 +a(g826 +g1584 +tp8754 +a(g826 +g1111 +tp8755 +a(g826 +g1195 +tp8756 +a(g826 +g1041 +tp8757 +a(g826 +g1067 +tp8758 +a(g826 +g1195 +tp8759 +a(g826 +g1337 +tp8760 +a(g412 +g1071 +tp8761 +a(g573 +VtoNonNullable +p8762 +tp8763 +a(g826 +g974 +tp8764 +a(g744 +Vis +p8765 +tp8766 +a(g826 +g974 +tp8767 +a(g826 +g4939 +tp8768 +a(g826 +g1212 +tp8769 +a(g826 +g1061 +tp8770 +a(g826 +g1067 +tp8771 +a(g826 +g4676 +tp8772 +a(g826 +g1469 +tp8773 +a(g826 +g1111 +tp8774 +a(g826 +g1195 +tp8775 +a(g705 +g1075 +tp8776 +a(g826 +V\u000a +p8777 +tp8778 +a(g826 +g974 +tp8779 +a(g826 +g974 +tp8780 +a(g826 +g974 +tp8781 +a(g826 +g974 +tp8782 +a(g705 +g1003 +tp8783 +a(g826 +V\u000a +p8784 +tp8785 +a(g826 +g974 +tp8786 +a(g826 +g974 +tp8787 +a(g826 +g974 +tp8788 +a(g826 +g974 +tp8789 +a(g826 +g974 +tp8790 +a(g826 +g974 +tp8791 +a(g440 +VexpectedOf +p8792 +tp8793 +a(g826 +g974 +tp8794 +a(g412 +V:= +p8795 +tp8796 +a(g826 +g974 +tp8797 +a(g705 +g1036 +tp8798 +a(g705 +g1036 +tp8799 +a(g826 +g4939 +tp8800 +a(g826 +g1212 +tp8801 +a(g826 +g1061 +tp8802 +a(g826 +g1067 +tp8803 +a(g826 +g4676 +tp8804 +a(g826 +g1469 +tp8805 +a(g826 +g1111 +tp8806 +a(g826 +g1195 +tp8807 +a(g705 +g1075 +tp8808 +a(g826 +g1195 +tp8809 +a(g826 +g1584 +tp8810 +a(g826 +g1111 +tp8811 +a(g826 +g1195 +tp8812 +a(g826 +g1041 +tp8813 +a(g826 +g1067 +tp8814 +a(g826 +g1195 +tp8815 +a(g826 +g1337 +tp8816 +a(g412 +g1071 +tp8817 +a(g573 +VtoNonNullable +p8818 +tp8819 +a(g705 +g1075 +tp8820 +a(g412 +g1071 +tp8821 +a(g573 +g1218 +tp8822 +a(g826 +V\u000a +p8823 +tp8824 +a(g826 +g974 +tp8825 +a(g826 +g974 +tp8826 +a(g826 +g974 +tp8827 +a(g826 +g974 +tp8828 +a(g826 +g974 +tp8829 +a(g826 +g974 +tp8830 +a(g744 +Vif +p8831 +tp8832 +a(g826 +g974 +tp8833 +a(g705 +g1036 +tp8834 +a(g826 +g1059 +tp8835 +a(g826 +g1041 +tp8836 +a(g826 +g1067 +tp8837 +a(g826 +g1334 +tp8838 +a(g826 +g1059 +tp8839 +a(g826 +g1057 +tp8840 +a(g412 +g1071 +tp8841 +a(g573 +VinferredArrayOf +p8842 +tp8843 +a(g412 +g1071 +tp8844 +a(g573 +Vfits +p8845 +tp8846 +a(g705 +g1036 +tp8847 +a(g826 +g1195 +tp8848 +a(g826 +g1584 +tp8849 +a(g826 +g1111 +tp8850 +a(g826 +g1195 +tp8851 +a(g826 +g1041 +tp8852 +a(g826 +g1067 +tp8853 +a(g826 +g1195 +tp8854 +a(g826 +g1337 +tp8855 +a(g826 +g4500 +tp8856 +a(g826 +g1331 +tp8857 +a(g705 +g1075 +tp8858 +a(g705 +g1075 +tp8859 +a(g826 +g974 +tp8860 +a(g744 +Vreturn +p8861 +tp8862 +a(g826 +g974 +tp8863 +a(g826 +g1059 +tp8864 +a(g826 +g1210 +tp8865 +a(g826 +g1210 +tp8866 +a(g826 +g1059 +tp8867 +a(g826 +g1469 +tp8868 +a(g826 +g4676 +tp8869 +a(g826 +g1291 +tp8870 +a(g826 +g4939 +tp8871 +a(g826 +g1212 +tp8872 +a(g826 +g1061 +tp8873 +a(g826 +g1067 +tp8874 +a(g705 +g1036 +tp8875 +a(g826 +g1195 +tp8876 +a(g826 +g1584 +tp8877 +a(g826 +g1111 +tp8878 +a(g826 +g1210 +tp8879 +a(g826 +g1043 +tp8880 +a(g826 +g974 +tp8881 +a(g826 +g1195 +tp8882 +a(g826 +g1584 +tp8883 +a(g826 +g1111 +tp8884 +a(g826 +g1195 +tp8885 +a(g826 +g1041 +tp8886 +a(g826 +g1067 +tp8887 +a(g826 +g1195 +tp8888 +a(g826 +g1337 +tp8889 +a(g826 +g4500 +tp8890 +a(g826 +g1331 +tp8891 +a(g705 +g1075 +tp8892 +a(g826 +V\u000a +p8893 +tp8894 +a(g826 +g974 +tp8895 +a(g826 +g974 +tp8896 +a(g826 +g974 +tp8897 +a(g826 +g974 +tp8898 +a(g826 +g1117 +tp8899 +a(g826 +V\u000a +p8900 +tp8901 +a(g826 +V\u000a +p8902 +tp8903 +a(g826 +g974 +tp8904 +a(g826 +g974 +tp8905 +a(g826 +g974 +tp8906 +a(g826 +g974 +tp8907 +a(g8 +V// no coercion available\u000a +p8908 +tp8909 +a(g826 +g974 +tp8910 +a(g826 +g974 +tp8911 +a(g826 +g974 +tp8912 +a(g826 +g974 +tp8913 +a(g826 +g1291 +tp8914 +a(g826 +g1190 +tp8915 +a(g826 +g1299 +tp8916 +a(g826 +g1210 +tp8917 +a(g826 +g1210 +tp8918 +a(g705 +g1036 +tp8919 +a(g705 +g1075 +tp8920 +a(g826 +V\u000a +p8921 +tp8922 +a(g826 +g974 +tp8923 +a(g826 +g974 +tp8924 +a(g826 +g974 +tp8925 +a(g826 +g974 +tp8926 +a(g744 +Vreturn +p8927 +tp8928 +a(g826 +g974 +tp8929 +a(g826 +g1195 +tp8930 +a(g826 +g1584 +tp8931 +a(g826 +g1111 +tp8932 +a(g826 +g1210 +tp8933 +a(g826 +V\u000a +p8934 +tp8935 +a(g826 +g974 +tp8936 +a(g826 +g974 +tp8937 +a(g826 +g1117 +tp8938 +a(g826 +V\u000a +p8939 +tp8940 +a(g826 +V\u000a +p8941 +tp8942 +a(g826 +g974 +tp8943 +a(g826 +g974 +tp8944 +a(g882 +V**\u000a +p8945 +tp8946 +a(g826 +g974 +tp8947 +a(g826 +g974 +tp8948 +a(g882 +V** Generate List.make(of, expr) where expr is Object[]\u000a +p8949 +tp8950 +a(g826 +g974 +tp8951 +a(g826 +g974 +tp8952 +a(g882 +V**\u000a +p8953 +tp8954 +a(g826 +g974 +tp8955 +a(g826 +g974 +tp8956 +a(g744 +Vprivate +p8957 +tp8958 +a(g826 +g974 +tp8959 +a(g628 +VExpr +p8960 +tp8961 +a(g826 +g974 +tp8962 +a(g573 +VarrayToList +p8963 +tp8964 +a(g705 +g1036 +tp8965 +a(g628 +VExpr +p8966 +tp8967 +a(g826 +g974 +tp8968 +a(g440 +Vexpr +p8969 +tp8970 +a(g705 +g1043 +tp8971 +a(g826 +g974 +tp8972 +a(g628 +VCType +p8973 +tp8974 +a(g826 +g974 +tp8975 +a(g440 +Vof +p8976 +tp8977 +a(g705 +g1075 +tp8978 +a(g826 +V\u000a +p8979 +tp8980 +a(g826 +g974 +tp8981 +a(g826 +g974 +tp8982 +a(g705 +g1003 +tp8983 +a(g826 +V\u000a +p8984 +tp8985 +a(g826 +g974 +tp8986 +a(g826 +g974 +tp8987 +a(g826 +g974 +tp8988 +a(g826 +g974 +tp8989 +a(g440 +Vloc +p8990 +tp8991 +a(g826 +g974 +tp8992 +a(g412 +V:= +p8993 +tp8994 +a(g826 +g974 +tp8995 +a(g826 +g1195 +tp8996 +a(g826 +g1584 +tp8997 +a(g826 +g1111 +tp8998 +a(g826 +g1210 +tp8999 +a(g412 +g1071 +tp9000 +a(g573 +Vloc +p9001 +tp9002 +a(g826 +V\u000a +p9003 +tp9004 +a(g826 +g974 +tp9005 +a(g826 +g974 +tp9006 +a(g826 +g974 +tp9007 +a(g826 +g974 +tp9008 +a(g440 +VofExpr +p9009 +tp9010 +a(g826 +g974 +tp9011 +a(g412 +V:= +p9012 +tp9013 +a(g826 +g974 +tp9014 +a(g826 +g4939 +tp9015 +a(g826 +g1212 +tp9016 +a(g826 +g1067 +tp9017 +a(g826 +g1195 +tp9018 +a(g826 +g1210 +tp9019 +a(g826 +g1059 +tp9020 +a(g826 +g1057 +tp9021 +a(g826 +g1299 +tp9022 +a(g826 +g1584 +tp9023 +a(g826 +g1111 +tp9024 +a(g826 +g1210 +tp9025 +a(g705 +g1036 +tp9026 +a(g826 +g1057 +tp9027 +a(g826 +g1291 +tp9028 +a(g826 +g1041 +tp9029 +a(g826 +g1043 +tp9030 +a(g826 +g974 +tp9031 +a(g826 +g1299 +tp9032 +a(g826 +g1584 +tp9033 +a(g826 +g1111 +tp9034 +a(g826 +g1210 +tp9035 +a(g826 +g2149 +tp9036 +a(g826 +g1337 +tp9037 +a(g412 +g1071 +tp9038 +a(g573 +VtypeLiteral +p9039 +tp9040 +a(g826 +g1043 +tp9041 +a(g826 +g974 +tp9042 +a(g826 +g1190 +tp9043 +a(g826 +g1061 +tp9044 +a(g412 +g1071 +tp9045 +a(g573 +VtypeType +p9046 +tp9047 +a(g826 +g1043 +tp9048 +a(g826 +g974 +tp9049 +a(g826 +g1291 +tp9050 +a(g826 +g1331 +tp9051 +a(g705 +g1075 +tp9052 +a(g826 +V\u000a +p9053 +tp9054 +a(g826 +g974 +tp9055 +a(g826 +g974 +tp9056 +a(g826 +g974 +tp9057 +a(g826 +g974 +tp9058 +a(g440 +Vcall +p9059 +tp9060 +a(g826 +g974 +tp9061 +a(g412 +V:= +p9062 +tp9063 +a(g826 +g974 +tp9064 +a(g826 +g1055 +tp9065 +a(g826 +g1059 +tp9066 +a(g826 +g1057 +tp9067 +a(g826 +g1057 +tp9068 +a(g826 +g1299 +tp9069 +a(g826 +g1584 +tp9070 +a(g826 +g1111 +tp9071 +a(g826 +g1210 +tp9072 +a(g412 +g1071 +tp9073 +a(g573 +VmakeWithMethod +p9074 +tp9075 +a(g705 +g1036 +tp9076 +a(g826 +g1057 +tp9077 +a(g826 +g1291 +tp9078 +a(g826 +g1041 +tp9079 +a(g826 +g1043 +tp9080 +a(g826 +g974 +tp9081 +a(g763 +Vnull +p9082 +tp9083 +a(g826 +g1043 +tp9084 +a(g826 +g974 +tp9085 +a(g826 +g1057 +tp9086 +a(g826 +g1212 +tp9087 +a(g826 +g1061 +tp9088 +a(g826 +g1067 +tp9089 +a(g826 +g2172 +tp9090 +a(g826 +g1059 +tp9091 +a(g826 +g1314 +tp9092 +a(g826 +g1195 +tp9093 +a(g826 +g2213 +tp9094 +a(g826 +g1210 +tp9095 +a(g826 +g1291 +tp9096 +a(g826 +g1193 +tp9097 +a(g826 +g3181 +tp9098 +a(g826 +g1210 +tp9099 +a(g826 +g1210 +tp9100 +a(g826 +g1059 +tp9101 +a(g826 +g1469 +tp9102 +a(g826 +g1043 +tp9103 +a(g826 +g974 +tp9104 +a(g412 +g1247 +tp9105 +a(g826 +g1291 +tp9106 +a(g826 +g1331 +tp9107 +a(g826 +g1299 +tp9108 +a(g826 +g1584 +tp9109 +a(g826 +g1111 +tp9110 +a(g826 +g1210 +tp9111 +a(g826 +g1043 +tp9112 +a(g826 +g974 +tp9113 +a(g826 +g1195 +tp9114 +a(g826 +g1584 +tp9115 +a(g826 +g1111 +tp9116 +a(g826 +g1210 +tp9117 +a(g412 +g1253 +tp9118 +a(g705 +g1075 +tp9119 +a(g826 +V\u000a +p9120 +tp9121 +a(g826 +g974 +tp9122 +a(g826 +g974 +tp9123 +a(g826 +g974 +tp9124 +a(g826 +g974 +tp9125 +a(g826 +g1041 +tp9126 +a(g826 +g1059 +tp9127 +a(g826 +g1057 +tp9128 +a(g826 +g1057 +tp9129 +a(g412 +g1071 +tp9130 +a(g573 +Vsynthetic +p9131 +tp9132 +a(g826 +g974 +tp9133 +a(g412 +g1107 +tp9134 +a(g826 +g974 +tp9135 +a(g763 +Vtrue +p9136 +tp9137 +a(g826 +V\u000a +p9138 +tp9139 +a(g826 +g974 +tp9140 +a(g826 +g974 +tp9141 +a(g826 +g974 +tp9142 +a(g826 +g974 +tp9143 +a(g744 +Vreturn +p9144 +tp9145 +a(g826 +g974 +tp9146 +a(g826 +g1041 +tp9147 +a(g826 +g1059 +tp9148 +a(g826 +g1057 +tp9149 +a(g826 +g1057 +tp9150 +a(g826 +V\u000a +p9151 +tp9152 +a(g826 +g974 +tp9153 +a(g826 +g974 +tp9154 +a(g826 +g1117 +tp9155 +a(g826 +V\u000a +p9156 +tp9157 +a(g826 +V\u000a +p9158 +tp9159 +a(g826 +g974 +tp9160 +a(g826 +g974 +tp9161 +a(g882 +V**\u000a +p9162 +tp9163 +a(g826 +g974 +tp9164 +a(g826 +g974 +tp9165 +a(g882 +V** Coerce a Fantom list to Java array.\u000a +p9166 +tp9167 +a(g826 +g974 +tp9168 +a(g826 +g974 +tp9169 +a(g882 +V**\u000a +p9170 +tp9171 +a(g826 +g974 +tp9172 +a(g826 +g974 +tp9173 +a(g628 +VExpr +p9174 +tp9175 +a(g826 +g974 +tp9176 +a(g573 +VcoerceToArray +p9177 +tp9178 +a(g705 +g1036 +tp9179 +a(g628 +VExpr +p9180 +tp9181 +a(g826 +g974 +tp9182 +a(g440 +Vexpr +p9183 +tp9184 +a(g705 +g1043 +tp9185 +a(g826 +g974 +tp9186 +a(g628 +VCType +p9187 +tp9188 +a(g826 +g974 +tp9189 +a(g440 +Vexpected +p9190 +tp9191 +a(g705 +g1043 +tp9192 +a(g826 +g974 +tp9193 +a(g705 +g3254 +tp9194 +a(g705 +g1647 +tp9195 +a(g705 +g1812 +tp9196 +a(g705 +g3254 +tp9197 +a(g826 +g974 +tp9198 +a(g440 +VonErr +p9199 +tp9200 +a(g705 +g1075 +tp9201 +a(g826 +V\u000a +p9202 +tp9203 +a(g826 +g974 +tp9204 +a(g826 +g974 +tp9205 +a(g705 +g1003 +tp9206 +a(g826 +V\u000a +p9207 +tp9208 +a(g826 +g974 +tp9209 +a(g826 +g974 +tp9210 +a(g826 +g974 +tp9211 +a(g826 +g974 +tp9212 +a(g440 +Vloc +p9213 +tp9214 +a(g826 +g974 +tp9215 +a(g412 +V:= +p9216 +tp9217 +a(g826 +g974 +tp9218 +a(g826 +g1195 +tp9219 +a(g826 +g1584 +tp9220 +a(g826 +g1111 +tp9221 +a(g826 +g1210 +tp9222 +a(g412 +g1071 +tp9223 +a(g573 +Vloc +p9224 +tp9225 +a(g826 +V\u000a +p9226 +tp9227 +a(g826 +g974 +tp9228 +a(g826 +g974 +tp9229 +a(g826 +g974 +tp9230 +a(g826 +g974 +tp9231 +a(g440 +VexpectedOf +p9232 +tp9233 +a(g826 +g974 +tp9234 +a(g412 +V:= +p9235 +tp9236 +a(g826 +g974 +tp9237 +a(g705 +g1036 +tp9238 +a(g705 +g1036 +tp9239 +a(g826 +g1305 +tp9240 +a(g826 +g1059 +tp9241 +a(g826 +g1218 +tp9242 +a(g826 +g1059 +tp9243 +a(g826 +g4676 +tp9244 +a(g826 +g1469 +tp9245 +a(g826 +g1111 +tp9246 +a(g826 +g1195 +tp9247 +a(g705 +g1075 +tp9248 +a(g826 +g1195 +tp9249 +a(g826 +g1584 +tp9250 +a(g826 +g1111 +tp9251 +a(g826 +g1195 +tp9252 +a(g826 +g1041 +tp9253 +a(g826 +g1067 +tp9254 +a(g826 +g1195 +tp9255 +a(g826 +g1337 +tp9256 +a(g412 +g1071 +tp9257 +a(g573 +VtoNonNullable +p9258 +tp9259 +a(g705 +g1075 +tp9260 +a(g412 +g1071 +tp9261 +a(g573 +VinferredArrayOf +p9262 +tp9263 +a(g826 +V\u000a +p9264 +tp9265 +a(g826 +g974 +tp9266 +a(g826 +g974 +tp9267 +a(g826 +g974 +tp9268 +a(g826 +g974 +tp9269 +a(g440 +Vactual +p9270 +tp9271 +a(g826 +g974 +tp9272 +a(g412 +V:= +p9273 +tp9274 +a(g826 +g974 +tp9275 +a(g826 +g1195 +tp9276 +a(g826 +g1584 +tp9277 +a(g826 +g1111 +tp9278 +a(g826 +g1210 +tp9279 +a(g412 +g1071 +tp9280 +a(g573 +Vctype +p9281 +tp9282 +a(g826 +V\u000a +p9283 +tp9284 +a(g826 +V\u000a +p9285 +tp9286 +a(g826 +g974 +tp9287 +a(g826 +g974 +tp9288 +a(g826 +g974 +tp9289 +a(g826 +g974 +tp9290 +a(g8 +V// if actual is list type\u000a +p9291 +tp9292 +a(g826 +g974 +tp9293 +a(g826 +g974 +tp9294 +a(g826 +g974 +tp9295 +a(g826 +g974 +tp9296 +a(g744 +Vif +p9297 +tp9298 +a(g826 +g974 +tp9299 +a(g705 +g1036 +tp9300 +a(g826 +g1059 +tp9301 +a(g826 +g1041 +tp9302 +a(g826 +g1067 +tp9303 +a(g826 +g1334 +tp9304 +a(g826 +g1059 +tp9305 +a(g826 +g1057 +tp9306 +a(g412 +g1071 +tp9307 +a(g573 +VtoNonNullable +p9308 +tp9309 +a(g826 +g974 +tp9310 +a(g744 +Vis +p9311 +tp9312 +a(g826 +g974 +tp9313 +a(g826 +g4939 +tp9314 +a(g826 +g1212 +tp9315 +a(g826 +g1061 +tp9316 +a(g826 +g1067 +tp9317 +a(g826 +g4676 +tp9318 +a(g826 +g1469 +tp9319 +a(g826 +g1111 +tp9320 +a(g826 +g1195 +tp9321 +a(g705 +g1075 +tp9322 +a(g826 +V\u000a +p9323 +tp9324 +a(g826 +g974 +tp9325 +a(g826 +g974 +tp9326 +a(g826 +g974 +tp9327 +a(g826 +g974 +tp9328 +a(g705 +g1003 +tp9329 +a(g826 +V\u000a +p9330 +tp9331 +a(g826 +g974 +tp9332 +a(g826 +g974 +tp9333 +a(g826 +g974 +tp9334 +a(g826 +g974 +tp9335 +a(g826 +g974 +tp9336 +a(g826 +g974 +tp9337 +a(g440 +VactualOf +p9338 +tp9339 +a(g826 +g974 +tp9340 +a(g412 +V:= +p9341 +tp9342 +a(g826 +g974 +tp9343 +a(g705 +g1036 +tp9344 +a(g705 +g1036 +tp9345 +a(g826 +g4939 +tp9346 +a(g826 +g1212 +tp9347 +a(g826 +g1061 +tp9348 +a(g826 +g1067 +tp9349 +a(g826 +g4676 +tp9350 +a(g826 +g1469 +tp9351 +a(g826 +g1111 +tp9352 +a(g826 +g1195 +tp9353 +a(g705 +g1075 +tp9354 +a(g826 +g1059 +tp9355 +a(g826 +g1041 +tp9356 +a(g826 +g1067 +tp9357 +a(g826 +g1334 +tp9358 +a(g826 +g1059 +tp9359 +a(g826 +g1057 +tp9360 +a(g412 +g1071 +tp9361 +a(g573 +VtoNonNullable +p9362 +tp9363 +a(g705 +g1075 +tp9364 +a(g412 +g1071 +tp9365 +a(g573 +g1218 +tp9366 +a(g826 +V\u000a +p9367 +tp9368 +a(g826 +g974 +tp9369 +a(g826 +g974 +tp9370 +a(g826 +g974 +tp9371 +a(g826 +g974 +tp9372 +a(g826 +g974 +tp9373 +a(g826 +g974 +tp9374 +a(g744 +Vif +p9375 +tp9376 +a(g826 +g974 +tp9377 +a(g705 +g1036 +tp9378 +a(g826 +g1059 +tp9379 +a(g826 +g1041 +tp9380 +a(g826 +g1067 +tp9381 +a(g826 +g1334 +tp9382 +a(g826 +g1059 +tp9383 +a(g826 +g1057 +tp9384 +a(g826 +g4500 +tp9385 +a(g826 +g1331 +tp9386 +a(g412 +g1071 +tp9387 +a(g573 +Vfits +p9388 +tp9389 +a(g705 +g1036 +tp9390 +a(g826 +g1195 +tp9391 +a(g826 +g1584 +tp9392 +a(g826 +g1111 +tp9393 +a(g826 +g1195 +tp9394 +a(g826 +g1041 +tp9395 +a(g826 +g1067 +tp9396 +a(g826 +g1195 +tp9397 +a(g826 +g1337 +tp9398 +a(g826 +g4500 +tp9399 +a(g826 +g1331 +tp9400 +a(g705 +g1075 +tp9401 +a(g705 +g1075 +tp9402 +a(g826 +V\u000a +p9403 +tp9404 +a(g826 +g974 +tp9405 +a(g826 +g974 +tp9406 +a(g826 +g974 +tp9407 +a(g826 +g974 +tp9408 +a(g826 +g974 +tp9409 +a(g826 +g974 +tp9410 +a(g705 +g1003 +tp9411 +a(g826 +V\u000a +p9412 +tp9413 +a(g826 +g974 +tp9414 +a(g826 +g974 +tp9415 +a(g826 +g974 +tp9416 +a(g826 +g974 +tp9417 +a(g826 +g974 +tp9418 +a(g826 +g974 +tp9419 +a(g826 +g974 +tp9420 +a(g826 +g974 +tp9421 +a(g8 +V// (Foo[])list.asArray(cls)\u000a +p9422 +tp9423 +a(g826 +g974 +tp9424 +a(g826 +g974 +tp9425 +a(g826 +g974 +tp9426 +a(g826 +g974 +tp9427 +a(g826 +g974 +tp9428 +a(g826 +g974 +tp9429 +a(g826 +g974 +tp9430 +a(g826 +g974 +tp9431 +a(g440 +VclsLiteral +p9432 +tp9433 +a(g826 +g974 +tp9434 +a(g412 +V:= +p9435 +tp9436 +a(g826 +g974 +tp9437 +a(g826 +g1055 +tp9438 +a(g826 +g1059 +tp9439 +a(g826 +g1057 +tp9440 +a(g826 +g1057 +tp9441 +a(g826 +g1299 +tp9442 +a(g826 +g1584 +tp9443 +a(g826 +g1111 +tp9444 +a(g826 +g1210 +tp9445 +a(g412 +g1071 +tp9446 +a(g573 +VmakeWithMethod +p9447 +tp9448 +a(g705 +g1036 +tp9449 +a(g826 +g1057 +tp9450 +a(g826 +g1291 +tp9451 +a(g826 +g1041 +tp9452 +a(g826 +g1043 +tp9453 +a(g826 +g974 +tp9454 +a(g763 +Vnull +p9455 +tp9456 +a(g826 +g1043 +tp9457 +a(g826 +g974 +tp9458 +a(g826 +g1305 +tp9459 +a(g826 +g1059 +tp9460 +a(g826 +g1218 +tp9461 +a(g826 +g1059 +tp9462 +a(g826 +g4676 +tp9463 +a(g826 +g1469 +tp9464 +a(g826 +g1111 +tp9465 +a(g826 +g1195 +tp9466 +a(g412 +g1071 +tp9467 +a(g573 +VclassLiteral +p9468 +tp9469 +a(g705 +g1036 +tp9470 +a(g693 +Vthis +p9471 +tp9472 +a(g826 +g1043 +tp9473 +a(g826 +g974 +tp9474 +a(g826 +g1195 +tp9475 +a(g826 +g1584 +tp9476 +a(g826 +g1111 +tp9477 +a(g826 +g1195 +tp9478 +a(g826 +g1041 +tp9479 +a(g826 +g1067 +tp9480 +a(g826 +g1195 +tp9481 +a(g826 +g1337 +tp9482 +a(g826 +g4500 +tp9483 +a(g826 +g1331 +tp9484 +a(g705 +g1075 +tp9485 +a(g705 +g1075 +tp9486 +a(g826 +V\u000a +p9487 +tp9488 +a(g826 +g974 +tp9489 +a(g826 +g974 +tp9490 +a(g826 +g974 +tp9491 +a(g826 +g974 +tp9492 +a(g826 +g974 +tp9493 +a(g826 +g974 +tp9494 +a(g826 +g974 +tp9495 +a(g826 +g974 +tp9496 +a(g440 +VasArray +p9497 +tp9498 +a(g826 +g974 +tp9499 +a(g412 +V:= +p9500 +tp9501 +a(g826 +g974 +tp9502 +a(g826 +g1055 +tp9503 +a(g826 +g1059 +tp9504 +a(g826 +g1057 +tp9505 +a(g826 +g1057 +tp9506 +a(g826 +g1299 +tp9507 +a(g826 +g1584 +tp9508 +a(g826 +g1111 +tp9509 +a(g826 +g1210 +tp9510 +a(g412 +g1071 +tp9511 +a(g573 +VmakeWithMethod +p9512 +tp9513 +a(g705 +g1036 +tp9514 +a(g826 +g1057 +tp9515 +a(g826 +g1291 +tp9516 +a(g826 +g1041 +tp9517 +a(g826 +g1043 +tp9518 +a(g826 +g974 +tp9519 +a(g826 +g1195 +tp9520 +a(g826 +g1584 +tp9521 +a(g826 +g1111 +tp9522 +a(g826 +g1210 +tp9523 +a(g826 +g1043 +tp9524 +a(g826 +g974 +tp9525 +a(g826 +g1057 +tp9526 +a(g826 +g1212 +tp9527 +a(g826 +g1061 +tp9528 +a(g826 +g1067 +tp9529 +a(g826 +g3181 +tp9530 +a(g826 +g1061 +tp9531 +a(g826 +g3181 +tp9532 +a(g826 +g1210 +tp9533 +a(g826 +g1210 +tp9534 +a(g826 +g1059 +tp9535 +a(g826 +g1469 +tp9536 +a(g826 +g1043 +tp9537 +a(g826 +g974 +tp9538 +a(g412 +g1247 +tp9539 +a(g826 +g1041 +tp9540 +a(g826 +g1057 +tp9541 +a(g826 +g1061 +tp9542 +a(g826 +g4939 +tp9543 +a(g826 +g1212 +tp9544 +a(g826 +g1067 +tp9545 +a(g826 +g1195 +tp9546 +a(g826 +g1210 +tp9547 +a(g826 +g1059 +tp9548 +a(g826 +g1057 +tp9549 +a(g412 +g1253 +tp9550 +a(g705 +g1075 +tp9551 +a(g826 +V\u000a +p9552 +tp9553 +a(g826 +g974 +tp9554 +a(g826 +g974 +tp9555 +a(g826 +g974 +tp9556 +a(g826 +g974 +tp9557 +a(g826 +g974 +tp9558 +a(g826 +g974 +tp9559 +a(g826 +g974 +tp9560 +a(g826 +g974 +tp9561 +a(g744 +Vreturn +p9562 +tp9563 +a(g826 +g974 +tp9564 +a(g826 +g4676 +tp9565 +a(g826 +g1469 +tp9566 +a(g826 +g1111 +tp9567 +a(g826 +g1195 +tp9568 +a(g826 +g1055 +tp9569 +a(g826 +g1069 +tp9570 +a(g826 +g1195 +tp9571 +a(g826 +g1041 +tp9572 +a(g826 +g1314 +tp9573 +a(g826 +g1299 +tp9574 +a(g826 +g1584 +tp9575 +a(g826 +g1111 +tp9576 +a(g826 +g1210 +tp9577 +a(g412 +g1071 +tp9578 +a(g573 +Vcoerce +p9579 +tp9580 +a(g705 +g1036 +tp9581 +a(g826 +g1059 +tp9582 +a(g826 +g1061 +tp9583 +a(g826 +g3181 +tp9584 +a(g826 +g1210 +tp9585 +a(g826 +g1210 +tp9586 +a(g826 +g1059 +tp9587 +a(g826 +g1469 +tp9588 +a(g826 +g1043 +tp9589 +a(g826 +g974 +tp9590 +a(g826 +g1195 +tp9591 +a(g826 +g1584 +tp9592 +a(g826 +g1111 +tp9593 +a(g826 +g1195 +tp9594 +a(g826 +g1041 +tp9595 +a(g826 +g1067 +tp9596 +a(g826 +g1195 +tp9597 +a(g826 +g1337 +tp9598 +a(g705 +g1075 +tp9599 +a(g826 +V\u000a +p9600 +tp9601 +a(g826 +g974 +tp9602 +a(g826 +g974 +tp9603 +a(g826 +g974 +tp9604 +a(g826 +g974 +tp9605 +a(g826 +g974 +tp9606 +a(g826 +g974 +tp9607 +a(g826 +g1117 +tp9608 +a(g826 +V\u000a +p9609 +tp9610 +a(g826 +g974 +tp9611 +a(g826 +g974 +tp9612 +a(g826 +g974 +tp9613 +a(g826 +g974 +tp9614 +a(g826 +g1117 +tp9615 +a(g826 +V\u000a +p9616 +tp9617 +a(g826 +V\u000a +p9618 +tp9619 +a(g826 +g974 +tp9620 +a(g826 +g974 +tp9621 +a(g826 +g974 +tp9622 +a(g826 +g974 +tp9623 +a(g8 +V// no coercion available\u000a +p9624 +tp9625 +a(g826 +g974 +tp9626 +a(g826 +g974 +tp9627 +a(g826 +g974 +tp9628 +a(g826 +g974 +tp9629 +a(g826 +g1291 +tp9630 +a(g826 +g1190 +tp9631 +a(g826 +g1299 +tp9632 +a(g826 +g1210 +tp9633 +a(g826 +g1210 +tp9634 +a(g705 +g1036 +tp9635 +a(g705 +g1075 +tp9636 +a(g826 +V\u000a +p9637 +tp9638 +a(g826 +g974 +tp9639 +a(g826 +g974 +tp9640 +a(g826 +g974 +tp9641 +a(g826 +g974 +tp9642 +a(g744 +Vreturn +p9643 +tp9644 +a(g826 +g974 +tp9645 +a(g826 +g1195 +tp9646 +a(g826 +g1584 +tp9647 +a(g826 +g1111 +tp9648 +a(g826 +g1210 +tp9649 +a(g826 +V\u000a +p9650 +tp9651 +a(g826 +g974 +tp9652 +a(g826 +g974 +tp9653 +a(g826 +g1117 +tp9654 +a(g826 +V\u000a +p9655 +tp9656 +a(g826 +V\u000a +p9657 +tp9658 +a(g826 +g974 +tp9659 +a(g826 +g974 +tp9660 +a(g882 +V**\u000a +p9661 +tp9662 +a(g826 +g974 +tp9663 +a(g826 +g974 +tp9664 +a(g882 +V** Attempt to coerce a parameterized sys::Func expr to a Java\u000a +p9665 +tp9666 +a(g826 +g974 +tp9667 +a(g826 +g974 +tp9668 +a(g882 +V** interface if the interface supports exactly one matching method.\u000a +p9669 +tp9670 +a(g826 +g974 +tp9671 +a(g826 +g974 +tp9672 +a(g882 +V**\u000a +p9673 +tp9674 +a(g826 +g974 +tp9675 +a(g826 +g974 +tp9676 +a(g628 +VExpr +p9677 +tp9678 +a(g826 +g974 +tp9679 +a(g573 +VcoerceFuncToInterface +p9680 +tp9681 +a(g705 +g1036 +tp9682 +a(g628 +VExpr +p9683 +tp9684 +a(g826 +g974 +tp9685 +a(g440 +Vexpr +p9686 +tp9687 +a(g705 +g1043 +tp9688 +a(g826 +g974 +tp9689 +a(g628 +VJavaType +p9690 +tp9691 +a(g826 +g974 +tp9692 +a(g440 +Vexpected +p9693 +tp9694 +a(g705 +g1043 +tp9695 +a(g826 +g974 +tp9696 +a(g705 +g3254 +tp9697 +a(g705 +g1647 +tp9698 +a(g705 +g1812 +tp9699 +a(g705 +g3254 +tp9700 +a(g826 +g974 +tp9701 +a(g440 +VonErr +p9702 +tp9703 +a(g705 +g1075 +tp9704 +a(g826 +V\u000a +p9705 +tp9706 +a(g826 +g974 +tp9707 +a(g826 +g974 +tp9708 +a(g705 +g1003 +tp9709 +a(g826 +V\u000a +p9710 +tp9711 +a(g826 +g974 +tp9712 +a(g826 +g974 +tp9713 +a(g826 +g974 +tp9714 +a(g826 +g974 +tp9715 +a(g8 +V// check if we have exactly one abstract method in the expected type\u000a +p9716 +tp9717 +a(g826 +g974 +tp9718 +a(g826 +g974 +tp9719 +a(g826 +g974 +tp9720 +a(g826 +g974 +tp9721 +a(g440 +Vloc +p9722 +tp9723 +a(g826 +g974 +tp9724 +a(g412 +V:= +p9725 +tp9726 +a(g826 +g974 +tp9727 +a(g826 +g1195 +tp9728 +a(g826 +g1584 +tp9729 +a(g826 +g1111 +tp9730 +a(g826 +g1210 +tp9731 +a(g412 +g1071 +tp9732 +a(g573 +Vloc +p9733 +tp9734 +a(g826 +V\u000a +p9735 +tp9736 +a(g826 +g974 +tp9737 +a(g826 +g974 +tp9738 +a(g826 +g974 +tp9739 +a(g826 +g974 +tp9740 +a(g440 +Vabstracts +p9741 +tp9742 +a(g826 +g974 +tp9743 +a(g412 +V:= +p9744 +tp9745 +a(g826 +g974 +tp9746 +a(g826 +g1195 +tp9747 +a(g826 +g1584 +tp9748 +a(g826 +g1111 +tp9749 +a(g826 +g1195 +tp9750 +a(g826 +g1041 +tp9751 +a(g826 +g1067 +tp9752 +a(g826 +g1195 +tp9753 +a(g826 +g1337 +tp9754 +a(g412 +g1071 +tp9755 +a(g573 +Vmethods +p9756 +tp9757 +a(g412 +g1071 +tp9758 +a(g573 +VfindAll +p9759 +tp9760 +a(g826 +g974 +tp9761 +a(g705 +g3254 +tp9762 +a(g628 +VCMethod +p9763 +tp9764 +a(g826 +g974 +tp9765 +a(g440 +g1193 +tp9766 +a(g705 +V-> +p9767 +tp9768 +a(g628 +VBool +p9769 +tp9770 +a(g705 +g3254 +tp9771 +a(g826 +g974 +tp9772 +a(g705 +g1003 +tp9773 +a(g826 +g974 +tp9774 +a(g744 +Vreturn +p9775 +tp9776 +a(g826 +g974 +tp9777 +a(g826 +g1193 +tp9778 +a(g412 +g1071 +tp9779 +a(g573 +VisAbstract +p9780 +tp9781 +a(g826 +g974 +tp9782 +a(g705 +g1117 +tp9783 +a(g826 +V\u000a +p9784 +tp9785 +a(g826 +g974 +tp9786 +a(g826 +g974 +tp9787 +a(g826 +g974 +tp9788 +a(g826 +g974 +tp9789 +a(g744 +Vif +p9790 +tp9791 +a(g826 +g974 +tp9792 +a(g705 +g1036 +tp9793 +a(g826 +g1059 +tp9794 +a(g826 +g1729 +tp9795 +a(g826 +g1061 +tp9796 +a(g826 +g1067 +tp9797 +a(g826 +g1210 +tp9798 +a(g826 +g1059 +tp9799 +a(g826 +g1041 +tp9800 +a(g826 +g1067 +tp9801 +a(g826 +g1061 +tp9802 +a(g412 +g1071 +tp9803 +a(g573 +Vsize +p9804 +tp9805 +a(g826 +g974 +tp9806 +a(g412 +g1607 +tp9807 +a(g412 +g1107 +tp9808 +a(g826 +g974 +tp9809 +a(g37 +g1649 +tp9810 +a(g705 +g1075 +tp9811 +a(g826 +g974 +tp9812 +a(g705 +g1003 +tp9813 +a(g826 +g974 +tp9814 +a(g826 +g1291 +tp9815 +a(g826 +g1190 +tp9816 +a(g826 +g1299 +tp9817 +a(g826 +g1210 +tp9818 +a(g826 +g1210 +tp9819 +a(g705 +g1036 +tp9820 +a(g705 +g1075 +tp9821 +a(g826 +g3756 +tp9822 +a(g826 +g974 +tp9823 +a(g744 +Vreturn +p9824 +tp9825 +a(g826 +g974 +tp9826 +a(g826 +g1195 +tp9827 +a(g826 +g1584 +tp9828 +a(g826 +g1111 +tp9829 +a(g826 +g1210 +tp9830 +a(g826 +g974 +tp9831 +a(g705 +g1117 +tp9832 +a(g826 +V\u000a +p9833 +tp9834 +a(g826 +g974 +tp9835 +a(g826 +g974 +tp9836 +a(g826 +g974 +tp9837 +a(g826 +g974 +tp9838 +a(g440 +Vmethod +p9839 +tp9840 +a(g826 +g974 +tp9841 +a(g412 +V:= +p9842 +tp9843 +a(g826 +g974 +tp9844 +a(g826 +g1059 +tp9845 +a(g826 +g1729 +tp9846 +a(g826 +g1061 +tp9847 +a(g826 +g1067 +tp9848 +a(g826 +g1210 +tp9849 +a(g826 +g1059 +tp9850 +a(g826 +g1041 +tp9851 +a(g826 +g1067 +tp9852 +a(g826 +g1061 +tp9853 +a(g412 +g1071 +tp9854 +a(g573 +Vfirst +p9855 +tp9856 +a(g826 +V\u000a +p9857 +tp9858 +a(g826 +V\u000a +p9859 +tp9860 +a(g826 +g974 +tp9861 +a(g826 +g974 +tp9862 +a(g826 +g974 +tp9863 +a(g826 +g974 +tp9864 +a(g8 +V// check if we have a match\u000a +p9865 +tp9866 +a(g826 +g974 +tp9867 +a(g826 +g974 +tp9868 +a(g826 +g974 +tp9869 +a(g826 +g974 +tp9870 +a(g628 +VFuncType +p9871 +tp9872 +a(g826 +g974 +tp9873 +a(g440 +VfuncType +p9874 +tp9875 +a(g826 +g974 +tp9876 +a(g412 +V:= +p9877 +tp9878 +a(g826 +g974 +tp9879 +a(g705 +g1036 +tp9880 +a(g826 +g2213 +tp9881 +a(g826 +g1334 +tp9882 +a(g826 +g1190 +tp9883 +a(g826 +g1041 +tp9884 +a(g826 +g4676 +tp9885 +a(g826 +g1469 +tp9886 +a(g826 +g1111 +tp9887 +a(g826 +g1195 +tp9888 +a(g705 +g1075 +tp9889 +a(g826 +g1195 +tp9890 +a(g826 +g1584 +tp9891 +a(g826 +g1111 +tp9892 +a(g826 +g1210 +tp9893 +a(g412 +g1071 +tp9894 +a(g573 +Vctype +p9895 +tp9896 +a(g826 +V\u000a +p9897 +tp9898 +a(g826 +g974 +tp9899 +a(g826 +g974 +tp9900 +a(g826 +g974 +tp9901 +a(g826 +g974 +tp9902 +a(g744 +Vif +p9903 +tp9904 +a(g826 +g974 +tp9905 +a(g705 +g1036 +tp9906 +a(g412 +g1607 +tp9907 +a(g826 +g1212 +tp9908 +a(g826 +g1061 +tp9909 +a(g826 +g2213 +tp9910 +a(g826 +g1334 +tp9911 +a(g826 +g1190 +tp9912 +a(g826 +g1041 +tp9913 +a(g826 +g4676 +tp9914 +a(g826 +g1291 +tp9915 +a(g826 +g2149 +tp9916 +a(g826 +g1190 +tp9917 +a(g826 +g1067 +tp9918 +a(g826 +g1195 +tp9919 +a(g826 +g1210 +tp9920 +a(g826 +g1331 +tp9921 +a(g826 +g1059 +tp9922 +a(g826 +g1041 +tp9923 +a(g826 +g1195 +tp9924 +a(g826 +g2172 +tp9925 +a(g826 +g1059 +tp9926 +a(g826 +g1067 +tp9927 +a(g826 +g1041 +tp9928 +a(g826 +g1069 +tp9929 +a(g705 +g1036 +tp9930 +a(g826 +g1331 +tp9931 +a(g826 +g1334 +tp9932 +a(g826 +g1190 +tp9933 +a(g826 +g1041 +tp9934 +a(g826 +g4676 +tp9935 +a(g826 +g1469 +tp9936 +a(g826 +g1111 +tp9937 +a(g826 +g1195 +tp9938 +a(g826 +g1043 +tp9939 +a(g826 +g974 +tp9940 +a(g826 +g1193 +tp9941 +a(g826 +g1195 +tp9942 +a(g826 +g1067 +tp9943 +a(g826 +g1069 +tp9944 +a(g826 +g1291 +tp9945 +a(g826 +g1337 +tp9946 +a(g705 +g1075 +tp9947 +a(g705 +g1075 +tp9948 +a(g826 +g974 +tp9949 +a(g705 +g1003 +tp9950 +a(g826 +g974 +tp9951 +a(g826 +g1291 +tp9952 +a(g826 +g1190 +tp9953 +a(g826 +g1299 +tp9954 +a(g826 +g1210 +tp9955 +a(g826 +g1210 +tp9956 +a(g705 +g1036 +tp9957 +a(g705 +g1075 +tp9958 +a(g826 +g3756 +tp9959 +a(g826 +g974 +tp9960 +a(g744 +Vreturn +p9961 +tp9962 +a(g826 +g974 +tp9963 +a(g826 +g1195 +tp9964 +a(g826 +g1584 +tp9965 +a(g826 +g1111 +tp9966 +a(g826 +g1210 +tp9967 +a(g826 +g974 +tp9968 +a(g705 +g1117 +tp9969 +a(g826 +V\u000a +p9970 +tp9971 +a(g826 +V\u000a +p9972 +tp9973 +a(g826 +g974 +tp9974 +a(g826 +g974 +tp9975 +a(g826 +g974 +tp9976 +a(g826 +g974 +tp9977 +a(g8 +V// check if we've already generated a wrapper for this combo\u000a +p9978 +tp9979 +a(g826 +g974 +tp9980 +a(g826 +g974 +tp9981 +a(g826 +g974 +tp9982 +a(g826 +g974 +tp9983 +a(g440 +Vkey +p9984 +tp9985 +a(g826 +g974 +tp9986 +a(g412 +V:= +p9987 +tp9988 +a(g826 +g974 +tp9989 +a(g705 +g1201 +tp9990 +a(g125 +V${funcType.signature} +p9991 +tp9992 +a(g89 +g5064 +tp9993 +a(g125 +V${method.qname} +p9994 +tp9995 +a(g705 +g1201 +tp9996 +a(g826 +V\u000a +p9997 +tp9998 +a(g826 +g974 +tp9999 +a(g826 +g974 +tp10000 +a(g826 +g974 +tp10001 +a(g826 +g974 +tp10002 +a(g440 +Vctor +p10003 +tp10004 +a(g826 +g974 +tp10005 +a(g412 +V:= +p10006 +tp10007 +a(g826 +g974 +tp10008 +a(g826 +g1331 +tp10009 +a(g826 +g1334 +tp10010 +a(g826 +g1190 +tp10011 +a(g826 +g1041 +tp10012 +a(g826 +VW +p10013 +tp10014 +a(g826 +g1210 +tp10015 +a(g826 +g1059 +tp10016 +a(g826 +g1111 +tp10017 +a(g826 +g1111 +tp10018 +a(g826 +g1195 +tp10019 +a(g826 +g1210 +tp10020 +a(g826 +g1061 +tp10021 +a(g412 +g1247 +tp10022 +a(g826 +g1314 +tp10023 +a(g826 +g1195 +tp10024 +a(g826 +g1469 +tp10025 +a(g412 +g1253 +tp10026 +a(g826 +V\u000a +p10027 +tp10028 +a(g826 +g974 +tp10029 +a(g826 +g974 +tp10030 +a(g826 +g974 +tp10031 +a(g826 +g974 +tp10032 +a(g744 +Vif +p10033 +tp10034 +a(g826 +g974 +tp10035 +a(g705 +g1036 +tp10036 +a(g826 +g1041 +tp10037 +a(g826 +g1067 +tp10038 +a(g826 +g1291 +tp10039 +a(g826 +g1210 +tp10040 +a(g826 +g974 +tp10041 +a(g412 +g1107 +tp10042 +a(g412 +g1107 +tp10043 +a(g826 +g974 +tp10044 +a(g763 +Vnull +p10045 +tp10046 +a(g705 +g1075 +tp10047 +a(g826 +V\u000a +p10048 +tp10049 +a(g826 +g974 +tp10050 +a(g826 +g974 +tp10051 +a(g826 +g974 +tp10052 +a(g826 +g974 +tp10053 +a(g705 +g1003 +tp10054 +a(g826 +V\u000a +p10055 +tp10056 +a(g826 +g974 +tp10057 +a(g826 +g974 +tp10058 +a(g826 +g974 +tp10059 +a(g826 +g974 +tp10060 +a(g826 +g974 +tp10061 +a(g826 +g974 +tp10062 +a(g826 +g1041 +tp10063 +a(g826 +g1067 +tp10064 +a(g826 +g1291 +tp10065 +a(g826 +g1210 +tp10066 +a(g826 +g974 +tp10067 +a(g412 +g1107 +tp10068 +a(g826 +g974 +tp10069 +a(g826 +g1317 +tp10070 +a(g826 +g1195 +tp10071 +a(g826 +g1190 +tp10072 +a(g826 +g1195 +tp10073 +a(g826 +g1210 +tp10074 +a(g826 +g1059 +tp10075 +a(g826 +g1067 +tp10076 +a(g826 +g1195 +tp10077 +a(g826 +g2213 +tp10078 +a(g826 +g1334 +tp10079 +a(g826 +g1190 +tp10080 +a(g826 +g1041 +tp10081 +a(g826 +g4676 +tp10082 +a(g826 +g1291 +tp10083 +a(g826 +g2149 +tp10084 +a(g826 +g1190 +tp10085 +a(g826 +g1067 +tp10086 +a(g826 +g1195 +tp10087 +a(g826 +g1210 +tp10088 +a(g826 +g1331 +tp10089 +a(g826 +g1059 +tp10090 +a(g826 +g1041 +tp10091 +a(g826 +g1195 +tp10092 +a(g826 +g10013 +tp10093 +a(g826 +g1210 +tp10094 +a(g826 +g1059 +tp10095 +a(g826 +g1111 +tp10096 +a(g826 +g1111 +tp10097 +a(g826 +g1195 +tp10098 +a(g826 +g1210 +tp10099 +a(g705 +g1036 +tp10100 +a(g826 +g1195 +tp10101 +a(g826 +g1584 +tp10102 +a(g826 +g1111 +tp10103 +a(g826 +g1210 +tp10104 +a(g412 +g1071 +tp10105 +a(g573 +Vloc +p10106 +tp10107 +a(g826 +g1043 +tp10108 +a(g826 +g974 +tp10109 +a(g826 +g1331 +tp10110 +a(g826 +g1334 +tp10111 +a(g826 +g1190 +tp10112 +a(g826 +g1041 +tp10113 +a(g826 +g4676 +tp10114 +a(g826 +g1469 +tp10115 +a(g826 +g1111 +tp10116 +a(g826 +g1195 +tp10117 +a(g826 +g1043 +tp10118 +a(g826 +g974 +tp10119 +a(g826 +g1195 +tp10120 +a(g826 +g1584 +tp10121 +a(g826 +g1111 +tp10122 +a(g826 +g1195 +tp10123 +a(g826 +g1041 +tp10124 +a(g826 +g1067 +tp10125 +a(g826 +g1195 +tp10126 +a(g826 +g1337 +tp10127 +a(g826 +g1043 +tp10128 +a(g826 +g974 +tp10129 +a(g826 +g1193 +tp10130 +a(g826 +g1195 +tp10131 +a(g826 +g1067 +tp10132 +a(g826 +g1069 +tp10133 +a(g826 +g1291 +tp10134 +a(g826 +g1337 +tp10135 +a(g705 +g1075 +tp10136 +a(g826 +V\u000a +p10137 +tp10138 +a(g826 +g974 +tp10139 +a(g826 +g974 +tp10140 +a(g826 +g974 +tp10141 +a(g826 +g974 +tp10142 +a(g826 +g974 +tp10143 +a(g826 +g974 +tp10144 +a(g826 +g1331 +tp10145 +a(g826 +g1334 +tp10146 +a(g826 +g1190 +tp10147 +a(g826 +g1041 +tp10148 +a(g826 +g10013 +tp10149 +a(g826 +g1210 +tp10150 +a(g826 +g1059 +tp10151 +a(g826 +g1111 +tp10152 +a(g826 +g1111 +tp10153 +a(g826 +g1195 +tp10154 +a(g826 +g1210 +tp10155 +a(g826 +g1061 +tp10156 +a(g412 +g1247 +tp10157 +a(g826 +g1314 +tp10158 +a(g826 +g1195 +tp10159 +a(g826 +g1469 +tp10160 +a(g412 +g1253 +tp10161 +a(g826 +g974 +tp10162 +a(g412 +g1107 +tp10163 +a(g826 +g974 +tp10164 +a(g826 +g1041 +tp10165 +a(g826 +g1067 +tp10166 +a(g826 +g1291 +tp10167 +a(g826 +g1210 +tp10168 +a(g826 +V\u000a +p10169 +tp10170 +a(g826 +g974 +tp10171 +a(g826 +g974 +tp10172 +a(g826 +g974 +tp10173 +a(g826 +g974 +tp10174 +a(g826 +g1117 +tp10175 +a(g826 +V\u000a +p10176 +tp10177 +a(g826 +V\u000a +p10178 +tp10179 +a(g826 +g974 +tp10180 +a(g826 +g974 +tp10181 +a(g826 +g974 +tp10182 +a(g826 +g974 +tp10183 +a(g8 +V// replace expr with FuncWrapperX(expr)\u000a +p10184 +tp10185 +a(g826 +g974 +tp10186 +a(g826 +g974 +tp10187 +a(g826 +g974 +tp10188 +a(g826 +g974 +tp10189 +a(g440 +Vcall +p10190 +tp10191 +a(g826 +g974 +tp10192 +a(g412 +V:= +p10193 +tp10194 +a(g826 +g974 +tp10195 +a(g826 +g1055 +tp10196 +a(g826 +g1059 +tp10197 +a(g826 +g1057 +tp10198 +a(g826 +g1057 +tp10199 +a(g826 +g1299 +tp10200 +a(g826 +g1584 +tp10201 +a(g826 +g1111 +tp10202 +a(g826 +g1210 +tp10203 +a(g412 +g1071 +tp10204 +a(g573 +VmakeWithMethod +p10205 +tp10206 +a(g705 +g1036 +tp10207 +a(g826 +g1057 +tp10208 +a(g826 +g1291 +tp10209 +a(g826 +g1041 +tp10210 +a(g826 +g1043 +tp10211 +a(g826 +g974 +tp10212 +a(g763 +Vnull +p10213 +tp10214 +a(g826 +g1043 +tp10215 +a(g826 +g974 +tp10216 +a(g826 +g1041 +tp10217 +a(g826 +g1067 +tp10218 +a(g826 +g1291 +tp10219 +a(g826 +g1210 +tp10220 +a(g826 +g1043 +tp10221 +a(g826 +g974 +tp10222 +a(g412 +g1247 +tp10223 +a(g826 +g1195 +tp10224 +a(g826 +g1584 +tp10225 +a(g826 +g1111 +tp10226 +a(g826 +g1210 +tp10227 +a(g412 +g1253 +tp10228 +a(g705 +g1075 +tp10229 +a(g826 +V\u000a +p10230 +tp10231 +a(g826 +g974 +tp10232 +a(g826 +g974 +tp10233 +a(g826 +g974 +tp10234 +a(g826 +g974 +tp10235 +a(g826 +g1041 +tp10236 +a(g826 +g1059 +tp10237 +a(g826 +g1057 +tp10238 +a(g826 +g1057 +tp10239 +a(g412 +g1071 +tp10240 +a(g573 +Vsynthetic +p10241 +tp10242 +a(g826 +g974 +tp10243 +a(g412 +g1107 +tp10244 +a(g826 +g974 +tp10245 +a(g763 +Vtrue +p10246 +tp10247 +a(g826 +V\u000a +p10248 +tp10249 +a(g826 +g974 +tp10250 +a(g826 +g974 +tp10251 +a(g826 +g974 +tp10252 +a(g826 +g974 +tp10253 +a(g744 +Vreturn +p10254 +tp10255 +a(g826 +g974 +tp10256 +a(g826 +g1041 +tp10257 +a(g826 +g1059 +tp10258 +a(g826 +g1057 +tp10259 +a(g826 +g1057 +tp10260 +a(g826 +V\u000a +p10261 +tp10262 +a(g826 +g974 +tp10263 +a(g826 +g974 +tp10264 +a(g826 +g1117 +tp10265 +a(g826 +V\u000a +p10266 +tp10267 +a(g826 +V\u000a +p10268 +tp10269 +a(g826 +g974 +tp10270 +a(g826 +g974 +tp10271 +a(g882 +V**\u000a +p10272 +tp10273 +a(g826 +g974 +tp10274 +a(g826 +g974 +tp10275 +a(g882 +V** Return if the specified function type can be used to implement\u000a +p10276 +tp10277 +a(g826 +g974 +tp10278 +a(g826 +g974 +tp10279 +a(g882 +V** the specified interface method.\u000a +p10280 +tp10281 +a(g826 +g974 +tp10282 +a(g826 +g974 +tp10283 +a(g882 +V**\u000a +p10284 +tp10285 +a(g826 +g974 +tp10286 +a(g826 +g974 +tp10287 +a(g628 +VBool +p10288 +tp10289 +a(g826 +g974 +tp10290 +a(g573 +VisFuncToInterfaceMatch +p10291 +tp10292 +a(g705 +g1036 +tp10293 +a(g628 +VFuncType +p10294 +tp10295 +a(g826 +g974 +tp10296 +a(g440 +VfuncType +p10297 +tp10298 +a(g705 +g1043 +tp10299 +a(g826 +g974 +tp10300 +a(g628 +VCMethod +p10301 +tp10302 +a(g826 +g974 +tp10303 +a(g440 +Vmethod +p10304 +tp10305 +a(g705 +g1075 +tp10306 +a(g826 +V\u000a +p10307 +tp10308 +a(g826 +g974 +tp10309 +a(g826 +g974 +tp10310 +a(g705 +g1003 +tp10311 +a(g826 +V\u000a +p10312 +tp10313 +a(g826 +g974 +tp10314 +a(g826 +g974 +tp10315 +a(g826 +g974 +tp10316 +a(g826 +g974 +tp10317 +a(g8 +V// sanity check to map to callX method - can't handle more than 8 args\u000a +p10318 +tp10319 +a(g826 +g974 +tp10320 +a(g826 +g974 +tp10321 +a(g826 +g974 +tp10322 +a(g826 +g974 +tp10323 +a(g744 +Vif +p10324 +tp10325 +a(g826 +g974 +tp10326 +a(g705 +g1036 +tp10327 +a(g826 +g1193 +tp10328 +a(g826 +g1195 +tp10329 +a(g826 +g1067 +tp10330 +a(g826 +g1069 +tp10331 +a(g826 +g1291 +tp10332 +a(g826 +g1337 +tp10333 +a(g412 +g1071 +tp10334 +a(g573 +Vparams +p10335 +tp10336 +a(g412 +g1071 +tp10337 +a(g573 +Vsize +p10338 +tp10339 +a(g826 +g974 +tp10340 +a(g412 +g1812 +tp10341 +a(g826 +g974 +tp10342 +a(g37 +V8 +p10343 +tp10344 +a(g705 +g1075 +tp10345 +a(g826 +g974 +tp10346 +a(g744 +Vreturn +p10347 +tp10348 +a(g826 +g974 +tp10349 +a(g763 +Vfalse +p10350 +tp10351 +a(g826 +V\u000a +p10352 +tp10353 +a(g826 +V\u000a +p10354 +tp10355 +a(g826 +g974 +tp10356 +a(g826 +g974 +tp10357 +a(g826 +g974 +tp10358 +a(g826 +g974 +tp10359 +a(g8 +V// check if method is match for function; first check is that\u000a +p10360 +tp10361 +a(g826 +g974 +tp10362 +a(g826 +g974 +tp10363 +a(g826 +g974 +tp10364 +a(g826 +g974 +tp10365 +a(g8 +V// method must supply all the arguments required by the function\u000a +p10366 +tp10367 +a(g826 +g974 +tp10368 +a(g826 +g974 +tp10369 +a(g826 +g974 +tp10370 +a(g826 +g974 +tp10371 +a(g744 +Vif +p10372 +tp10373 +a(g826 +g974 +tp10374 +a(g705 +g1036 +tp10375 +a(g826 +g1331 +tp10376 +a(g826 +g1334 +tp10377 +a(g826 +g1190 +tp10378 +a(g826 +g1041 +tp10379 +a(g826 +g4676 +tp10380 +a(g826 +g1469 +tp10381 +a(g826 +g1111 +tp10382 +a(g826 +g1195 +tp10383 +a(g412 +g1071 +tp10384 +a(g573 +Vparams +p10385 +tp10386 +a(g412 +g1071 +tp10387 +a(g573 +Vsize +p10388 +tp10389 +a(g826 +g974 +tp10390 +a(g412 +g1812 +tp10391 +a(g826 +g974 +tp10392 +a(g826 +g1193 +tp10393 +a(g826 +g1195 +tp10394 +a(g826 +g1067 +tp10395 +a(g826 +g1069 +tp10396 +a(g826 +g1291 +tp10397 +a(g826 +g1337 +tp10398 +a(g412 +g1071 +tp10399 +a(g573 +Vparams +p10400 +tp10401 +a(g412 +g1071 +tp10402 +a(g573 +Vsize +p10403 +tp10404 +a(g705 +g1075 +tp10405 +a(g826 +g974 +tp10406 +a(g744 +Vreturn +p10407 +tp10408 +a(g826 +g974 +tp10409 +a(g763 +Vfalse +p10410 +tp10411 +a(g826 +V\u000a +p10412 +tp10413 +a(g826 +V\u000a +p10414 +tp10415 +a(g826 +g974 +tp10416 +a(g826 +g974 +tp10417 +a(g826 +g974 +tp10418 +a(g826 +g974 +tp10419 +a(g8 +V// check that func return type fits method return\u000a +p10420 +tp10421 +a(g826 +g974 +tp10422 +a(g826 +g974 +tp10423 +a(g826 +g974 +tp10424 +a(g826 +g974 +tp10425 +a(g440 +VretOk +p10426 +tp10427 +a(g826 +g974 +tp10428 +a(g412 +V:= +p10429 +tp10430 +a(g826 +g974 +tp10431 +a(g826 +g1193 +tp10432 +a(g826 +g1195 +tp10433 +a(g826 +g1067 +tp10434 +a(g826 +g1069 +tp10435 +a(g826 +g1291 +tp10436 +a(g826 +g1337 +tp10437 +a(g412 +g1071 +tp10438 +a(g573 +VreturnType +p10439 +tp10440 +a(g412 +g1071 +tp10441 +a(g573 +VisVoid +p10442 +tp10443 +a(g826 +g974 +tp10444 +a(g412 +V|| +p10445 +tp10446 +a(g826 +g974 +tp10447 +a(g826 +g1331 +tp10448 +a(g826 +g1212 +tp10449 +a(g826 +g1067 +tp10450 +a(g826 +g1061 +tp10451 +a(g705 +g1036 +tp10452 +a(g826 +g1331 +tp10453 +a(g826 +g1334 +tp10454 +a(g826 +g1190 +tp10455 +a(g826 +g1041 +tp10456 +a(g826 +g4676 +tp10457 +a(g826 +g1469 +tp10458 +a(g826 +g1111 +tp10459 +a(g826 +g1195 +tp10460 +a(g412 +g1071 +tp10461 +a(g573 +Vret +p10462 +tp10463 +a(g826 +g1043 +tp10464 +a(g826 +g974 +tp10465 +a(g826 +g1193 +tp10466 +a(g826 +g1195 +tp10467 +a(g826 +g1067 +tp10468 +a(g826 +g1069 +tp10469 +a(g826 +g1291 +tp10470 +a(g826 +g1337 +tp10471 +a(g412 +g1071 +tp10472 +a(g573 +VreturnType +p10473 +tp10474 +a(g705 +g1075 +tp10475 +a(g826 +V\u000a +p10476 +tp10477 +a(g826 +g974 +tp10478 +a(g826 +g974 +tp10479 +a(g826 +g974 +tp10480 +a(g826 +g974 +tp10481 +a(g744 +Vif +p10482 +tp10483 +a(g826 +g974 +tp10484 +a(g705 +g1036 +tp10485 +a(g412 +g1607 +tp10486 +a(g826 +g1210 +tp10487 +a(g826 +g1195 +tp10488 +a(g826 +g1067 +tp10489 +a(g826 +g4500 +tp10490 +a(g826 +g1314 +tp10491 +a(g705 +g1075 +tp10492 +a(g826 +g974 +tp10493 +a(g744 +Vreturn +p10494 +tp10495 +a(g826 +g974 +tp10496 +a(g763 +Vfalse +p10497 +tp10498 +a(g826 +V\u000a +p10499 +tp10500 +a(g826 +V\u000a +p10501 +tp10502 +a(g826 +g974 +tp10503 +a(g826 +g974 +tp10504 +a(g826 +g974 +tp10505 +a(g826 +g974 +tp10506 +a(g8 +V// check all the method parameters fit the function parameters\u000a +p10507 +tp10508 +a(g826 +g974 +tp10509 +a(g826 +g974 +tp10510 +a(g826 +g974 +tp10511 +a(g826 +g974 +tp10512 +a(g440 +VparamsOk +p10513 +tp10514 +a(g826 +g974 +tp10515 +a(g412 +V:= +p10516 +tp10517 +a(g826 +g974 +tp10518 +a(g826 +g1331 +tp10519 +a(g826 +g1334 +tp10520 +a(g826 +g1190 +tp10521 +a(g826 +g1041 +tp10522 +a(g826 +g4676 +tp10523 +a(g826 +g1469 +tp10524 +a(g826 +g1111 +tp10525 +a(g826 +g1195 +tp10526 +a(g412 +g1071 +tp10527 +a(g573 +Vparams +p10528 +tp10529 +a(g412 +g1071 +tp10530 +a(g573 +Vall +p10531 +tp10532 +a(g826 +g974 +tp10533 +a(g705 +g3254 +tp10534 +a(g628 +VCType +p10535 +tp10536 +a(g826 +g974 +tp10537 +a(g440 +g1331 +tp10538 +a(g705 +g1043 +tp10539 +a(g826 +g974 +tp10540 +a(g628 +VInt +p10541 +tp10542 +a(g826 +g974 +tp10543 +a(g440 +g1212 +tp10544 +a(g705 +V-> +p10545 +tp10546 +a(g628 +VBool +p10547 +tp10548 +a(g705 +g3254 +tp10549 +a(g826 +g974 +tp10550 +a(g705 +g1003 +tp10551 +a(g826 +g974 +tp10552 +a(g744 +Vreturn +p10553 +tp10554 +a(g826 +g974 +tp10555 +a(g826 +g1331 +tp10556 +a(g826 +g1212 +tp10557 +a(g826 +g1067 +tp10558 +a(g826 +g1061 +tp10559 +a(g705 +g1036 +tp10560 +a(g826 +g1331 +tp10561 +a(g826 +g1043 +tp10562 +a(g826 +g974 +tp10563 +a(g826 +g1193 +tp10564 +a(g826 +g1195 +tp10565 +a(g826 +g1067 +tp10566 +a(g826 +g1069 +tp10567 +a(g826 +g1291 +tp10568 +a(g826 +g1337 +tp10569 +a(g412 +g1071 +tp10570 +a(g573 +Vparams +p10571 +tp10572 +a(g412 +g1247 +tp10573 +a(g826 +g1212 +tp10574 +a(g412 +g1253 +tp10575 +a(g412 +g1071 +tp10576 +a(g573 +VparamType +p10577 +tp10578 +a(g705 +g1075 +tp10579 +a(g826 +g974 +tp10580 +a(g705 +g1117 +tp10581 +a(g826 +V\u000a +p10582 +tp10583 +a(g826 +g974 +tp10584 +a(g826 +g974 +tp10585 +a(g826 +g974 +tp10586 +a(g826 +g974 +tp10587 +a(g744 +Vif +p10588 +tp10589 +a(g826 +g974 +tp10590 +a(g705 +g1036 +tp10591 +a(g412 +g1607 +tp10592 +a(g826 +g1111 +tp10593 +a(g826 +g1059 +tp10594 +a(g826 +g1210 +tp10595 +a(g826 +g1059 +tp10596 +a(g826 +g1193 +tp10597 +a(g826 +g1061 +tp10598 +a(g826 +g4500 +tp10599 +a(g826 +g1314 +tp10600 +a(g705 +g1075 +tp10601 +a(g826 +g974 +tp10602 +a(g744 +Vreturn +p10603 +tp10604 +a(g826 +g974 +tp10605 +a(g763 +Vfalse +p10606 +tp10607 +a(g826 +V\u000a +p10608 +tp10609 +a(g826 +V\u000a +p10610 +tp10611 +a(g826 +g974 +tp10612 +a(g826 +g974 +tp10613 +a(g826 +g974 +tp10614 +a(g826 +g974 +tp10615 +a(g744 +Vreturn +p10616 +tp10617 +a(g826 +g974 +tp10618 +a(g763 +Vtrue +p10619 +tp10620 +a(g826 +V\u000a +p10621 +tp10622 +a(g826 +g974 +tp10623 +a(g826 +g974 +tp10624 +a(g826 +g1117 +tp10625 +a(g826 +V\u000a +p10626 +tp10627 +a(g826 +V\u000a +p10628 +tp10629 +a(g826 +g974 +tp10630 +a(g826 +g974 +tp10631 +a(g882 +V**\u000a +p10632 +tp10633 +a(g826 +g974 +tp10634 +a(g826 +g974 +tp10635 +a(g882 +V** Generate the wrapper which implements the specified expected interface\u000a +p10636 +tp10637 +a(g826 +g974 +tp10638 +a(g826 +g974 +tp10639 +a(g882 +V** and overrides the specified method which calls the function.\u000a +p10640 +tp10641 +a(g826 +g974 +tp10642 +a(g826 +g974 +tp10643 +a(g882 +V**\u000a +p10644 +tp10645 +a(g826 +g974 +tp10646 +a(g826 +g974 +tp10647 +a(g628 +VCMethod +p10648 +tp10649 +a(g826 +g974 +tp10650 +a(g573 +VgenerateFuncToInterfaceWrapper +p10651 +tp10652 +a(g705 +g1036 +tp10653 +a(g628 +VLoc +p10654 +tp10655 +a(g826 +g974 +tp10656 +a(g440 +Vloc +p10657 +tp10658 +a(g705 +g1043 +tp10659 +a(g826 +g974 +tp10660 +a(g628 +VFuncType +p10661 +tp10662 +a(g826 +g974 +tp10663 +a(g440 +VfuncType +p10664 +tp10665 +a(g705 +g1043 +tp10666 +a(g826 +g974 +tp10667 +a(g628 +VCType +p10668 +tp10669 +a(g826 +g974 +tp10670 +a(g440 +Vexpected +p10671 +tp10672 +a(g705 +g1043 +tp10673 +a(g826 +g974 +tp10674 +a(g628 +VCMethod +p10675 +tp10676 +a(g826 +g974 +tp10677 +a(g440 +Vmethod +p10678 +tp10679 +a(g705 +g1075 +tp10680 +a(g826 +V\u000a +p10681 +tp10682 +a(g826 +g974 +tp10683 +a(g826 +g974 +tp10684 +a(g705 +g1003 +tp10685 +a(g826 +V\u000a +p10686 +tp10687 +a(g826 +g974 +tp10688 +a(g826 +g974 +tp10689 +a(g826 +g974 +tp10690 +a(g826 +g974 +tp10691 +a(g8 +V// Fantom: func typed as |Str|\u000a +p10692 +tp10693 +a(g826 +g974 +tp10694 +a(g826 +g974 +tp10695 +a(g826 +g974 +tp10696 +a(g826 +g974 +tp10697 +a(g8 +V// Java: interface Foo { void bar(String) }\u000a +p10698 +tp10699 +a(g826 +g974 +tp10700 +a(g826 +g974 +tp10701 +a(g826 +g974 +tp10702 +a(g826 +g974 +tp10703 +a(g8 +V// Result: FuncWrapperX(func)\u000a +p10704 +tp10705 +a(g826 +g974 +tp10706 +a(g826 +g974 +tp10707 +a(g826 +g974 +tp10708 +a(g826 +g974 +tp10709 +a(g8 +V//\u000a +p10710 +tp10711 +a(g826 +g974 +tp10712 +a(g826 +g974 +tp10713 +a(g826 +g974 +tp10714 +a(g826 +g974 +tp10715 +a(g8 +V// class FuncWrapperX : Foo\u000a +p10716 +tp10717 +a(g826 +g974 +tp10718 +a(g826 +g974 +tp10719 +a(g826 +g974 +tp10720 +a(g826 +g974 +tp10721 +a(g8 +V// {\u000a +p10722 +tp10723 +a(g826 +g974 +tp10724 +a(g826 +g974 +tp10725 +a(g826 +g974 +tp10726 +a(g826 +g974 +tp10727 +a(g8 +V// new make(Func f) { _func = f }\u000a +p10728 +tp10729 +a(g826 +g974 +tp10730 +a(g826 +g974 +tp10731 +a(g826 +g974 +tp10732 +a(g826 +g974 +tp10733 +a(g8 +V// override Void bar(Str a) { _func.call(a) }\u000a +p10734 +tp10735 +a(g826 +g974 +tp10736 +a(g826 +g974 +tp10737 +a(g826 +g974 +tp10738 +a(g826 +g974 +tp10739 +a(g8 +V// Func _func\u000a +p10740 +tp10741 +a(g826 +g974 +tp10742 +a(g826 +g974 +tp10743 +a(g826 +g974 +tp10744 +a(g826 +g974 +tp10745 +a(g8 +V// }\u000a +p10746 +tp10747 +a(g826 +V\u000a +p10748 +tp10749 +a(g826 +g974 +tp10750 +a(g826 +g974 +tp10751 +a(g826 +g974 +tp10752 +a(g826 +g974 +tp10753 +a(g8 +V// generate FuncWrapper class\u000a +p10754 +tp10755 +a(g826 +g974 +tp10756 +a(g826 +g974 +tp10757 +a(g826 +g974 +tp10758 +a(g826 +g974 +tp10759 +a(g440 +Vname +p10760 +tp10761 +a(g826 +g974 +tp10762 +a(g412 +V:= +p10763 +tp10764 +a(g826 +g974 +tp10765 +a(g705 +g1201 +tp10766 +a(g89 +g2213 +tp10767 +a(g89 +g1334 +tp10768 +a(g89 +g1190 +tp10769 +a(g89 +g1041 +tp10770 +a(g89 +g10013 +tp10771 +a(g89 +g1210 +tp10772 +a(g89 +g1059 +tp10773 +a(g89 +g1111 +tp10774 +a(g89 +g1111 +tp10775 +a(g89 +g1195 +tp10776 +a(g89 +g1210 +tp10777 +a(g705 +g1201 +tp10778 +a(g826 +g974 +tp10779 +a(g412 +g5064 +tp10780 +a(g826 +g974 +tp10781 +a(g826 +g1331 +tp10782 +a(g826 +g1334 +tp10783 +a(g826 +g1190 +tp10784 +a(g826 +g1041 +tp10785 +a(g826 +g10013 +tp10786 +a(g826 +g1210 +tp10787 +a(g826 +g1059 +tp10788 +a(g826 +g1111 +tp10789 +a(g826 +g1111 +tp10790 +a(g826 +g1195 +tp10791 +a(g826 +g1210 +tp10792 +a(g826 +g1061 +tp10793 +a(g412 +g1071 +tp10794 +a(g573 +Vsize +p10795 +tp10796 +a(g826 +V\u000a +p10797 +tp10798 +a(g826 +g974 +tp10799 +a(g826 +g974 +tp10800 +a(g826 +g974 +tp10801 +a(g826 +g974 +tp10802 +a(g440 +Vcls +p10803 +tp10804 +a(g826 +g974 +tp10805 +a(g412 +V:= +p10806 +tp10807 +a(g826 +g974 +tp10808 +a(g826 +g4676 +tp10809 +a(g826 +g1469 +tp10810 +a(g826 +g1111 +tp10811 +a(g826 +g1195 +tp10812 +a(g826 +g4476 +tp10813 +a(g826 +g1195 +tp10814 +a(g826 +g1331 +tp10815 +a(g705 +g1036 +tp10816 +a(g826 +g1190 +tp10817 +a(g826 +g1061 +tp10818 +a(g826 +g1043 +tp10819 +a(g826 +g974 +tp10820 +a(g826 +g1057 +tp10821 +a(g826 +g1291 +tp10822 +a(g826 +g1041 +tp10823 +a(g826 +g1043 +tp10824 +a(g826 +g974 +tp10825 +a(g826 +g1041 +tp10826 +a(g826 +g1291 +tp10827 +a(g826 +g1193 +tp10828 +a(g826 +g1111 +tp10829 +a(g826 +g1212 +tp10830 +a(g826 +g1057 +tp10831 +a(g826 +g1195 +tp10832 +a(g826 +g1210 +tp10833 +a(g412 +g1071 +tp10834 +a(g573 +Vtypes +p10835 +tp10836 +a(g412 +g1247 +tp10837 +a(g37 +g2957 +tp10838 +a(g412 +g1253 +tp10839 +a(g412 +g1071 +tp10840 +a(g573 +Vunit +p10841 +tp10842 +a(g826 +g1043 +tp10843 +a(g826 +g974 +tp10844 +a(g826 +g1190 +tp10845 +a(g826 +g1059 +tp10846 +a(g826 +g1193 +tp10847 +a(g826 +g1195 +tp10848 +a(g826 +g1043 +tp10849 +a(g826 +g974 +tp10850 +a(g826 +g2213 +tp10851 +a(g826 +g1055 +tp10852 +a(g826 +g1291 +tp10853 +a(g826 +g1190 +tp10854 +a(g826 +g1061 +tp10855 +a(g826 +g1067 +tp10856 +a(g412 +g1071 +tp10857 +a(g573 +VInternal +p10858 +tp10859 +a(g826 +g974 +tp10860 +a(g412 +g5064 +tp10861 +a(g826 +g974 +tp10862 +a(g826 +g2213 +tp10863 +a(g826 +g1055 +tp10864 +a(g826 +g1291 +tp10865 +a(g826 +g1190 +tp10866 +a(g826 +g1061 +tp10867 +a(g826 +g1067 +tp10868 +a(g412 +g1071 +tp10869 +a(g573 +VSynthetic +p10870 +tp10871 +a(g705 +g1075 +tp10872 +a(g826 +V\u000a +p10873 +tp10874 +a(g826 +g974 +tp10875 +a(g826 +g974 +tp10876 +a(g826 +g974 +tp10877 +a(g826 +g974 +tp10878 +a(g826 +g1041 +tp10879 +a(g826 +g1057 +tp10880 +a(g826 +g1061 +tp10881 +a(g412 +g1071 +tp10882 +a(g573 +Vbase +p10883 +tp10884 +a(g826 +g974 +tp10885 +a(g412 +g1107 +tp10886 +a(g826 +g974 +tp10887 +a(g826 +g1190 +tp10888 +a(g826 +g1061 +tp10889 +a(g412 +g1071 +tp10890 +a(g573 +VobjType +p10891 +tp10892 +a(g826 +V\u000a +p10893 +tp10894 +a(g826 +g974 +tp10895 +a(g826 +g974 +tp10896 +a(g826 +g974 +tp10897 +a(g826 +g974 +tp10898 +a(g826 +g1041 +tp10899 +a(g826 +g1057 +tp10900 +a(g826 +g1061 +tp10901 +a(g412 +g1071 +tp10902 +a(g573 +Vmixins +p10903 +tp10904 +a(g826 +g974 +tp10905 +a(g412 +g1107 +tp10906 +a(g826 +g974 +tp10907 +a(g412 +g1247 +tp10908 +a(g826 +g1195 +tp10909 +a(g826 +g1584 +tp10910 +a(g826 +g1111 +tp10911 +a(g826 +g1195 +tp10912 +a(g826 +g1041 +tp10913 +a(g826 +g1067 +tp10914 +a(g826 +g1195 +tp10915 +a(g826 +g1337 +tp10916 +a(g412 +g1253 +tp10917 +a(g826 +V\u000a +p10918 +tp10919 +a(g826 +g974 +tp10920 +a(g826 +g974 +tp10921 +a(g826 +g974 +tp10922 +a(g826 +g974 +tp10923 +a(g826 +g1059 +tp10924 +a(g826 +g1337 +tp10925 +a(g826 +g1337 +tp10926 +a(g826 +g4676 +tp10927 +a(g826 +g1469 +tp10928 +a(g826 +g1111 +tp10929 +a(g826 +g1195 +tp10930 +a(g826 +g4476 +tp10931 +a(g826 +g1195 +tp10932 +a(g826 +g1331 +tp10933 +a(g705 +g1036 +tp10934 +a(g826 +g1041 +tp10935 +a(g826 +g1057 +tp10936 +a(g826 +g1061 +tp10937 +a(g705 +g1075 +tp10938 +a(g826 +V\u000a +p10939 +tp10940 +a(g826 +V\u000a +p10941 +tp10942 +a(g826 +g974 +tp10943 +a(g826 +g974 +tp10944 +a(g826 +g974 +tp10945 +a(g826 +g974 +tp10946 +a(g8 +V// generate FuncWrapper._func field\u000a +p10947 +tp10948 +a(g826 +g974 +tp10949 +a(g826 +g974 +tp10950 +a(g826 +g974 +tp10951 +a(g826 +g974 +tp10952 +a(g440 +Vfield +p10953 +tp10954 +a(g826 +g974 +tp10955 +a(g412 +V:= +p10956 +tp10957 +a(g826 +g974 +tp10958 +a(g826 +g2213 +tp10959 +a(g826 +g1212 +tp10960 +a(g826 +g1195 +tp10961 +a(g826 +g1057 +tp10962 +a(g826 +g1337 +tp10963 +a(g826 +g4476 +tp10964 +a(g826 +g1195 +tp10965 +a(g826 +g1331 +tp10966 +a(g705 +g1036 +tp10967 +a(g826 +g1057 +tp10968 +a(g826 +g1291 +tp10969 +a(g826 +g1041 +tp10970 +a(g826 +g1043 +tp10971 +a(g826 +g974 +tp10972 +a(g826 +g1041 +tp10973 +a(g826 +g1057 +tp10974 +a(g826 +g1061 +tp10975 +a(g705 +g1075 +tp10976 +a(g826 +V\u000a +p10977 +tp10978 +a(g826 +g974 +tp10979 +a(g826 +g974 +tp10980 +a(g826 +g974 +tp10981 +a(g826 +g974 +tp10982 +a(g705 +g1036 +tp10983 +a(g705 +g1036 +tp10984 +a(g826 +g3046 +tp10985 +a(g826 +g1057 +tp10986 +a(g826 +g1291 +tp10987 +a(g826 +g1067 +tp10988 +a(g826 +g4476 +tp10989 +a(g826 +g1195 +tp10990 +a(g826 +g1331 +tp10991 +a(g705 +g1075 +tp10992 +a(g826 +g1331 +tp10993 +a(g826 +g1212 +tp10994 +a(g826 +g1195 +tp10995 +a(g826 +g1057 +tp10996 +a(g826 +g1337 +tp10997 +a(g705 +g1075 +tp10998 +a(g412 +g1071 +tp10999 +a(g573 +Vname +p11000 +tp11001 +a(g826 +g974 +tp11002 +a(g412 +g1107 +tp11003 +a(g826 +g974 +tp11004 +a(g705 +g1201 +tp11005 +a(g89 +g5068 +tp11006 +a(g89 +g1331 +tp11007 +a(g89 +g1334 +tp11008 +a(g89 +g1190 +tp11009 +a(g89 +g1041 +tp11010 +a(g705 +g1201 +tp11011 +a(g826 +V\u000a +p11012 +tp11013 +a(g826 +g974 +tp11014 +a(g826 +g974 +tp11015 +a(g826 +g974 +tp11016 +a(g826 +g974 +tp11017 +a(g705 +g1036 +tp11018 +a(g705 +g1036 +tp11019 +a(g826 +g4476 +tp11020 +a(g826 +g1195 +tp11021 +a(g826 +g1331 +tp11022 +a(g826 +VN +p11023 +tp11024 +a(g826 +g1291 +tp11025 +a(g826 +g1337 +tp11026 +a(g826 +g1195 +tp11027 +a(g705 +g1075 +tp11028 +a(g826 +g1331 +tp11029 +a(g826 +g1212 +tp11030 +a(g826 +g1195 +tp11031 +a(g826 +g1057 +tp11032 +a(g826 +g1337 +tp11033 +a(g705 +g1075 +tp11034 +a(g412 +g1071 +tp11035 +a(g573 +Vflags +p11036 +tp11037 +a(g826 +g974 +tp11038 +a(g412 +g1107 +tp11039 +a(g826 +g974 +tp11040 +a(g826 +g2213 +tp11041 +a(g826 +g1055 +tp11042 +a(g826 +g1291 +tp11043 +a(g826 +g1190 +tp11044 +a(g826 +g1061 +tp11045 +a(g826 +g1067 +tp11046 +a(g412 +g1071 +tp11047 +a(g573 +VPrivate +p11048 +tp11049 +a(g826 +g974 +tp11050 +a(g412 +g5064 +tp11051 +a(g826 +g974 +tp11052 +a(g826 +g2213 +tp11053 +a(g826 +g1055 +tp11054 +a(g826 +g1291 +tp11055 +a(g826 +g1190 +tp11056 +a(g826 +g1061 +tp11057 +a(g826 +g1067 +tp11058 +a(g412 +g1071 +tp11059 +a(g573 +VStorage +p11060 +tp11061 +a(g826 +g974 +tp11062 +a(g412 +g5064 +tp11063 +a(g826 +g974 +tp11064 +a(g826 +g2213 +tp11065 +a(g826 +g1055 +tp11066 +a(g826 +g1291 +tp11067 +a(g826 +g1190 +tp11068 +a(g826 +g1061 +tp11069 +a(g826 +g1067 +tp11070 +a(g412 +g1071 +tp11071 +a(g573 +VSynthetic +p11072 +tp11073 +a(g826 +V\u000a +p11074 +tp11075 +a(g826 +g974 +tp11076 +a(g826 +g974 +tp11077 +a(g826 +g974 +tp11078 +a(g826 +g974 +tp11079 +a(g826 +g1331 +tp11080 +a(g826 +g1212 +tp11081 +a(g826 +g1195 +tp11082 +a(g826 +g1057 +tp11083 +a(g826 +g1337 +tp11084 +a(g412 +g1071 +tp11085 +a(g573 +VfieldType +p11086 +tp11087 +a(g826 +g974 +tp11088 +a(g412 +g1107 +tp11089 +a(g826 +g974 +tp11090 +a(g826 +g1331 +tp11091 +a(g826 +g1334 +tp11092 +a(g826 +g1190 +tp11093 +a(g826 +g1041 +tp11094 +a(g826 +g4676 +tp11095 +a(g826 +g1469 +tp11096 +a(g826 +g1111 +tp11097 +a(g826 +g1195 +tp11098 +a(g826 +V\u000a +p11099 +tp11100 +a(g826 +g974 +tp11101 +a(g826 +g974 +tp11102 +a(g826 +g974 +tp11103 +a(g826 +g974 +tp11104 +a(g826 +g1041 +tp11105 +a(g826 +g1057 +tp11106 +a(g826 +g1061 +tp11107 +a(g412 +g1071 +tp11108 +a(g573 +VaddSlot +p11109 +tp11110 +a(g705 +g1036 +tp11111 +a(g826 +g1331 +tp11112 +a(g826 +g1212 +tp11113 +a(g826 +g1195 +tp11114 +a(g826 +g1057 +tp11115 +a(g826 +g1337 +tp11116 +a(g705 +g1075 +tp11117 +a(g826 +V\u000a +p11118 +tp11119 +a(g826 +V\u000a +p11120 +tp11121 +a(g826 +g974 +tp11122 +a(g826 +g974 +tp11123 +a(g826 +g974 +tp11124 +a(g826 +g974 +tp11125 +a(g8 +V// generate FuncWrapper.make constructor\u000a +p11126 +tp11127 +a(g826 +g974 +tp11128 +a(g826 +g974 +tp11129 +a(g826 +g974 +tp11130 +a(g826 +g974 +tp11131 +a(g440 +Vctor +p11132 +tp11133 +a(g826 +g974 +tp11134 +a(g412 +V:= +p11135 +tp11136 +a(g826 +g974 +tp11137 +a(g826 +g2172 +tp11138 +a(g826 +g1195 +tp11139 +a(g826 +g1067 +tp11140 +a(g826 +g1069 +tp11141 +a(g826 +g1291 +tp11142 +a(g826 +g1337 +tp11143 +a(g826 +g4476 +tp11144 +a(g826 +g1195 +tp11145 +a(g826 +g1331 +tp11146 +a(g705 +g1036 +tp11147 +a(g826 +g1057 +tp11148 +a(g826 +g1291 +tp11149 +a(g826 +g1041 +tp11150 +a(g826 +g1043 +tp11151 +a(g826 +g974 +tp11152 +a(g826 +g1041 +tp11153 +a(g826 +g1057 +tp11154 +a(g826 +g1061 +tp11155 +a(g826 +g1043 +tp11156 +a(g826 +g974 +tp11157 +a(g705 +g1201 +tp11158 +a(g89 +g1193 +tp11159 +a(g89 +g1059 +tp11160 +a(g89 +g1314 +tp11161 +a(g89 +g1195 +tp11162 +a(g705 +g1201 +tp11163 +a(g826 +g1043 +tp11164 +a(g826 +g974 +tp11165 +a(g826 +g2213 +tp11166 +a(g826 +g1055 +tp11167 +a(g826 +g1291 +tp11168 +a(g826 +g1190 +tp11169 +a(g826 +g1061 +tp11170 +a(g826 +g1067 +tp11171 +a(g412 +g1071 +tp11172 +a(g573 +VInternal +p11173 +tp11174 +a(g826 +g974 +tp11175 +a(g412 +g5064 +tp11176 +a(g826 +g974 +tp11177 +a(g826 +g2213 +tp11178 +a(g826 +g1055 +tp11179 +a(g826 +g1291 +tp11180 +a(g826 +g1190 +tp11181 +a(g826 +g1061 +tp11182 +a(g826 +g1067 +tp11183 +a(g412 +g1071 +tp11184 +a(g573 +VCtor +p11185 +tp11186 +a(g826 +g974 +tp11187 +a(g412 +g5064 +tp11188 +a(g826 +g974 +tp11189 +a(g826 +g2213 +tp11190 +a(g826 +g1055 +tp11191 +a(g826 +g1291 +tp11192 +a(g826 +g1190 +tp11193 +a(g826 +g1061 +tp11194 +a(g826 +g1067 +tp11195 +a(g412 +g1071 +tp11196 +a(g573 +VSynthetic +p11197 +tp11198 +a(g705 +g1075 +tp11199 +a(g826 +V\u000a +p11200 +tp11201 +a(g826 +g974 +tp11202 +a(g826 +g974 +tp11203 +a(g826 +g974 +tp11204 +a(g826 +g974 +tp11205 +a(g826 +g1041 +tp11206 +a(g826 +g1067 +tp11207 +a(g826 +g1291 +tp11208 +a(g826 +g1210 +tp11209 +a(g412 +g1071 +tp11210 +a(g573 +Vret +p11211 +tp11212 +a(g826 +g974 +tp11213 +a(g826 +g974 +tp11214 +a(g412 +g1107 +tp11215 +a(g826 +g974 +tp11216 +a(g826 +g1190 +tp11217 +a(g826 +g1061 +tp11218 +a(g412 +g1071 +tp11219 +a(g573 +VvoidType +p11220 +tp11221 +a(g826 +V\u000a +p11222 +tp11223 +a(g826 +g974 +tp11224 +a(g826 +g974 +tp11225 +a(g826 +g974 +tp11226 +a(g826 +g974 +tp11227 +a(g826 +g1041 +tp11228 +a(g826 +g1067 +tp11229 +a(g826 +g1291 +tp11230 +a(g826 +g1210 +tp11231 +a(g412 +g1071 +tp11232 +a(g573 +VparamDefs +p11233 +tp11234 +a(g826 +g974 +tp11235 +a(g412 +g1107 +tp11236 +a(g826 +g974 +tp11237 +a(g412 +g1247 +tp11238 +a(g826 +g1064 +tp11239 +a(g826 +g1059 +tp11240 +a(g826 +g1210 +tp11241 +a(g826 +g1059 +tp11242 +a(g826 +g1193 +tp11243 +a(g826 +g4476 +tp11244 +a(g826 +g1195 +tp11245 +a(g826 +g1331 +tp11246 +a(g705 +g1036 +tp11247 +a(g826 +g1057 +tp11248 +a(g826 +g1291 +tp11249 +a(g826 +g1041 +tp11250 +a(g826 +g1043 +tp11251 +a(g826 +g974 +tp11252 +a(g826 +g1331 +tp11253 +a(g826 +g1334 +tp11254 +a(g826 +g1190 +tp11255 +a(g826 +g1041 +tp11256 +a(g826 +g4676 +tp11257 +a(g826 +g1469 +tp11258 +a(g826 +g1111 +tp11259 +a(g826 +g1195 +tp11260 +a(g826 +g1043 +tp11261 +a(g826 +g974 +tp11262 +a(g705 +g1201 +tp11263 +a(g89 +g1331 +tp11264 +a(g705 +g1201 +tp11265 +a(g705 +g1075 +tp11266 +a(g412 +g1253 +tp11267 +a(g826 +V\u000a +p11268 +tp11269 +a(g826 +g974 +tp11270 +a(g826 +g974 +tp11271 +a(g826 +g974 +tp11272 +a(g826 +g974 +tp11273 +a(g826 +g1041 +tp11274 +a(g826 +g1067 +tp11275 +a(g826 +g1291 +tp11276 +a(g826 +g1210 +tp11277 +a(g412 +g1071 +tp11278 +a(g573 +Vcode +p11279 +tp11280 +a(g826 +g974 +tp11281 +a(g412 +g1107 +tp11282 +a(g826 +g974 +tp11283 +a(g826 +g1600 +tp11284 +a(g826 +g1057 +tp11285 +a(g826 +g1291 +tp11286 +a(g826 +g1041 +tp11287 +a(g826 +g1314 +tp11288 +a(g412 +g1071 +tp11289 +a(g573 +Vmake +p11290 +tp11291 +a(g705 +g1036 +tp11292 +a(g826 +g1057 +tp11293 +a(g826 +g1291 +tp11294 +a(g826 +g1041 +tp11295 +a(g705 +g1075 +tp11296 +a(g826 +V\u000a +p11297 +tp11298 +a(g826 +g974 +tp11299 +a(g826 +g974 +tp11300 +a(g826 +g974 +tp11301 +a(g826 +g974 +tp11302 +a(g826 +g1041 +tp11303 +a(g826 +g1067 +tp11304 +a(g826 +g1291 +tp11305 +a(g826 +g1210 +tp11306 +a(g412 +g1071 +tp11307 +a(g573 +Vcode +p11308 +tp11309 +a(g412 +g1071 +tp11310 +a(g573 +Vstmts +p11311 +tp11312 +a(g412 +g1071 +tp11313 +a(g573 +Vadd +p11314 +tp11315 +a(g705 +g1036 +tp11316 +a(g826 +g1600 +tp11317 +a(g826 +g1212 +tp11318 +a(g826 +g1190 +tp11319 +a(g826 +g1059 +tp11320 +a(g826 +g1210 +tp11321 +a(g826 +g1469 +tp11322 +a(g826 +g1299 +tp11323 +a(g826 +g1584 +tp11324 +a(g826 +g1111 +tp11325 +a(g826 +g1210 +tp11326 +a(g412 +g1071 +tp11327 +a(g573 +VmakeAssign +p11328 +tp11329 +a(g705 +g1036 +tp11330 +a(g826 +V\u000a +p11331 +tp11332 +a(g826 +g974 +tp11333 +a(g826 +g974 +tp11334 +a(g826 +g974 +tp11335 +a(g826 +g974 +tp11336 +a(g826 +g974 +tp11337 +a(g826 +g974 +tp11338 +a(g826 +g2213 +tp11339 +a(g826 +g1212 +tp11340 +a(g826 +g1195 +tp11341 +a(g826 +g1057 +tp11342 +a(g826 +g1337 +tp11343 +a(g826 +g1299 +tp11344 +a(g826 +g1584 +tp11345 +a(g826 +g1111 +tp11346 +a(g826 +g1210 +tp11347 +a(g705 +g1036 +tp11348 +a(g826 +g1057 +tp11349 +a(g826 +g1291 +tp11350 +a(g826 +g1041 +tp11351 +a(g826 +g1043 +tp11352 +a(g826 +g974 +tp11353 +a(g826 +g4676 +tp11354 +a(g826 +g1069 +tp11355 +a(g826 +g1212 +tp11356 +a(g826 +g1061 +tp11357 +a(g826 +g1299 +tp11358 +a(g826 +g1584 +tp11359 +a(g826 +g1111 +tp11360 +a(g826 +g1210 +tp11361 +a(g705 +g1036 +tp11362 +a(g826 +g1057 +tp11363 +a(g826 +g1291 +tp11364 +a(g826 +g1041 +tp11365 +a(g705 +g1075 +tp11366 +a(g826 +g1043 +tp11367 +a(g826 +g974 +tp11368 +a(g826 +g1331 +tp11369 +a(g826 +g1212 +tp11370 +a(g826 +g1195 +tp11371 +a(g826 +g1057 +tp11372 +a(g826 +g1337 +tp11373 +a(g705 +g1075 +tp11374 +a(g826 +g1043 +tp11375 +a(g826 +V\u000a +p11376 +tp11377 +a(g826 +g974 +tp11378 +a(g826 +g974 +tp11379 +a(g826 +g974 +tp11380 +a(g826 +g974 +tp11381 +a(g826 +g974 +tp11382 +a(g826 +g974 +tp11383 +a(g826 +g5029 +tp11384 +a(g826 +g1190 +tp11385 +a(g826 +g1314 +tp11386 +a(g826 +g1190 +tp11387 +a(g826 +g1291 +tp11388 +a(g826 +g3635 +tp11389 +a(g826 +g1190 +tp11390 +a(g826 +g5037 +tp11391 +a(g826 +g1059 +tp11392 +a(g826 +g1210 +tp11393 +a(g826 +g1299 +tp11394 +a(g826 +g1584 +tp11395 +a(g826 +g1111 +tp11396 +a(g826 +g1210 +tp11397 +a(g705 +g1036 +tp11398 +a(g826 +g1057 +tp11399 +a(g826 +g1291 +tp11400 +a(g826 +g1041 +tp11401 +a(g826 +g1043 +tp11402 +a(g826 +g974 +tp11403 +a(g763 +Vnull +p11404 +tp11405 +a(g826 +g1043 +tp11406 +a(g826 +g974 +tp11407 +a(g705 +g1201 +tp11408 +a(g89 +g1331 +tp11409 +a(g705 +g1201 +tp11410 +a(g705 +g1075 +tp11411 +a(g826 +g1075 +tp11412 +a(g412 +g1071 +tp11413 +a(g573 +VtoStmt +p11414 +tp11415 +a(g826 +g1075 +tp11416 +a(g826 +V\u000a +p11417 +tp11418 +a(g826 +g974 +tp11419 +a(g826 +g974 +tp11420 +a(g826 +g974 +tp11421 +a(g826 +g974 +tp11422 +a(g826 +g1041 +tp11423 +a(g826 +g1067 +tp11424 +a(g826 +g1291 +tp11425 +a(g826 +g1210 +tp11426 +a(g412 +g1071 +tp11427 +a(g573 +Vcode +p11428 +tp11429 +a(g412 +g1071 +tp11430 +a(g573 +Vstmts +p11431 +tp11432 +a(g412 +g1071 +tp11433 +a(g573 +Vadd +p11434 +tp11435 +a(g705 +g1036 +tp11436 +a(g826 +g1456 +tp11437 +a(g826 +g1195 +tp11438 +a(g826 +g1067 +tp11439 +a(g826 +g1334 +tp11440 +a(g826 +g1210 +tp11441 +a(g826 +g1190 +tp11442 +a(g826 +g3046 +tp11443 +a(g826 +g1067 +tp11444 +a(g826 +g1193 +tp11445 +a(g826 +g1067 +tp11446 +a(g412 +g1071 +tp11447 +a(g573 +Vmake +p11448 +tp11449 +a(g705 +g1036 +tp11450 +a(g826 +g1057 +tp11451 +a(g826 +g1291 +tp11452 +a(g826 +g1041 +tp11453 +a(g705 +g1075 +tp11454 +a(g705 +g1075 +tp11455 +a(g826 +V\u000a +p11456 +tp11457 +a(g826 +g974 +tp11458 +a(g826 +g974 +tp11459 +a(g826 +g974 +tp11460 +a(g826 +g974 +tp11461 +a(g826 +g1041 +tp11462 +a(g826 +g1057 +tp11463 +a(g826 +g1061 +tp11464 +a(g412 +g1071 +tp11465 +a(g573 +VaddSlot +p11466 +tp11467 +a(g705 +g1036 +tp11468 +a(g826 +g1041 +tp11469 +a(g826 +g1067 +tp11470 +a(g826 +g1291 +tp11471 +a(g826 +g1210 +tp11472 +a(g705 +g1075 +tp11473 +a(g826 +V\u000a +p11474 +tp11475 +a(g826 +V\u000a +p11476 +tp11477 +a(g826 +g974 +tp11478 +a(g826 +g974 +tp11479 +a(g826 +g974 +tp11480 +a(g826 +g974 +tp11481 +a(g8 +V// generate FuncWrapper override of abstract method\u000a +p11482 +tp11483 +a(g826 +g974 +tp11484 +a(g826 +g974 +tp11485 +a(g826 +g974 +tp11486 +a(g826 +g974 +tp11487 +a(g440 +Vover +p11488 +tp11489 +a(g826 +g974 +tp11490 +a(g412 +V:= +p11491 +tp11492 +a(g826 +g974 +tp11493 +a(g826 +g2172 +tp11494 +a(g826 +g1195 +tp11495 +a(g826 +g1067 +tp11496 +a(g826 +g1069 +tp11497 +a(g826 +g1291 +tp11498 +a(g826 +g1337 +tp11499 +a(g826 +g4476 +tp11500 +a(g826 +g1195 +tp11501 +a(g826 +g1331 +tp11502 +a(g705 +g1036 +tp11503 +a(g826 +g1057 +tp11504 +a(g826 +g1291 +tp11505 +a(g826 +g1041 +tp11506 +a(g826 +g1043 +tp11507 +a(g826 +g974 +tp11508 +a(g826 +g1041 +tp11509 +a(g826 +g1057 +tp11510 +a(g826 +g1061 +tp11511 +a(g826 +g1043 +tp11512 +a(g826 +g974 +tp11513 +a(g826 +g1193 +tp11514 +a(g826 +g1195 +tp11515 +a(g826 +g1067 +tp11516 +a(g826 +g1069 +tp11517 +a(g826 +g1291 +tp11518 +a(g826 +g1337 +tp11519 +a(g412 +g1071 +tp11520 +a(g573 +Vname +p11521 +tp11522 +a(g826 +g1043 +tp11523 +a(g826 +g974 +tp11524 +a(g826 +g2213 +tp11525 +a(g826 +g1055 +tp11526 +a(g826 +g1291 +tp11527 +a(g826 +g1190 +tp11528 +a(g826 +g1061 +tp11529 +a(g826 +g1067 +tp11530 +a(g412 +g1071 +tp11531 +a(g573 +VPublic +p11532 +tp11533 +a(g826 +g974 +tp11534 +a(g412 +g5064 +tp11535 +a(g826 +g974 +tp11536 +a(g826 +g2213 +tp11537 +a(g826 +g1055 +tp11538 +a(g826 +g1291 +tp11539 +a(g826 +g1190 +tp11540 +a(g826 +g1061 +tp11541 +a(g826 +g1067 +tp11542 +a(g412 +g1071 +tp11543 +a(g573 +VOverride +p11544 +tp11545 +a(g826 +g974 +tp11546 +a(g412 +g5064 +tp11547 +a(g826 +g974 +tp11548 +a(g826 +g2213 +tp11549 +a(g826 +g1055 +tp11550 +a(g826 +g1291 +tp11551 +a(g826 +g1190 +tp11552 +a(g826 +g1061 +tp11553 +a(g826 +g1067 +tp11554 +a(g412 +g1071 +tp11555 +a(g573 +VSynthetic +p11556 +tp11557 +a(g705 +g1075 +tp11558 +a(g826 +V\u000a +p11559 +tp11560 +a(g826 +g974 +tp11561 +a(g826 +g974 +tp11562 +a(g826 +g974 +tp11563 +a(g826 +g974 +tp11564 +a(g826 +g1291 +tp11565 +a(g826 +g1218 +tp11566 +a(g826 +g1195 +tp11567 +a(g826 +g1210 +tp11568 +a(g412 +g1071 +tp11569 +a(g573 +Vret +p11570 +tp11571 +a(g826 +g974 +tp11572 +a(g412 +g1107 +tp11573 +a(g826 +g974 +tp11574 +a(g826 +g1193 +tp11575 +a(g826 +g1195 +tp11576 +a(g826 +g1067 +tp11577 +a(g826 +g1069 +tp11578 +a(g826 +g1291 +tp11579 +a(g826 +g1337 +tp11580 +a(g412 +g1071 +tp11581 +a(g573 +VreturnType +p11582 +tp11583 +a(g826 +V\u000a +p11584 +tp11585 +a(g826 +g974 +tp11586 +a(g826 +g974 +tp11587 +a(g826 +g974 +tp11588 +a(g826 +g974 +tp11589 +a(g826 +g1291 +tp11590 +a(g826 +g1218 +tp11591 +a(g826 +g1195 +tp11592 +a(g826 +g1210 +tp11593 +a(g412 +g1071 +tp11594 +a(g573 +VparamDefs +p11595 +tp11596 +a(g826 +g974 +tp11597 +a(g412 +g1107 +tp11598 +a(g826 +g974 +tp11599 +a(g628 +VParamDef +p11600 +tp11601 +a(g52 +V[,] +p11602 +tp11603 +a(g826 +V\u000a +p11604 +tp11605 +a(g826 +g974 +tp11606 +a(g826 +g974 +tp11607 +a(g826 +g974 +tp11608 +a(g826 +g974 +tp11609 +a(g826 +g1291 +tp11610 +a(g826 +g1218 +tp11611 +a(g826 +g1195 +tp11612 +a(g826 +g1210 +tp11613 +a(g412 +g1071 +tp11614 +a(g573 +Vcode +p11615 +tp11616 +a(g826 +g974 +tp11617 +a(g412 +g1107 +tp11618 +a(g826 +g974 +tp11619 +a(g826 +g1600 +tp11620 +a(g826 +g1057 +tp11621 +a(g826 +g1291 +tp11622 +a(g826 +g1041 +tp11623 +a(g826 +g1314 +tp11624 +a(g412 +g1071 +tp11625 +a(g573 +Vmake +p11626 +tp11627 +a(g705 +g1036 +tp11628 +a(g826 +g1057 +tp11629 +a(g826 +g1291 +tp11630 +a(g826 +g1041 +tp11631 +a(g705 +g1075 +tp11632 +a(g826 +V\u000a +p11633 +tp11634 +a(g826 +g974 +tp11635 +a(g826 +g974 +tp11636 +a(g826 +g974 +tp11637 +a(g826 +g974 +tp11638 +a(g440 +VcallArity +p11639 +tp11640 +a(g826 +g974 +tp11641 +a(g412 +V:= +p11642 +tp11643 +a(g826 +g974 +tp11644 +a(g705 +g1201 +tp11645 +a(g89 +g1041 +tp11646 +a(g89 +g1059 +tp11647 +a(g89 +g1057 +tp11648 +a(g89 +g1057 +tp11649 +a(g705 +g1201 +tp11650 +a(g826 +V\u000a +p11651 +tp11652 +a(g826 +g974 +tp11653 +a(g826 +g974 +tp11654 +a(g826 +g974 +tp11655 +a(g826 +g974 +tp11656 +a(g440 +Vcall +p11657 +tp11658 +a(g826 +g974 +tp11659 +a(g412 +V:= +p11660 +tp11661 +a(g826 +g974 +tp11662 +a(g826 +g1055 +tp11663 +a(g826 +g1059 +tp11664 +a(g826 +g1057 +tp11665 +a(g826 +g1057 +tp11666 +a(g826 +g1299 +tp11667 +a(g826 +g1584 +tp11668 +a(g826 +g1111 +tp11669 +a(g826 +g1210 +tp11670 +a(g412 +g1071 +tp11671 +a(g573 +VmakeWithMethod +p11672 +tp11673 +a(g705 +g1036 +tp11674 +a(g826 +g1057 +tp11675 +a(g826 +g1291 +tp11676 +a(g826 +g1041 +tp11677 +a(g826 +g1043 +tp11678 +a(g826 +g974 +tp11679 +a(g826 +g2213 +tp11680 +a(g826 +g1212 +tp11681 +a(g826 +g1195 +tp11682 +a(g826 +g1057 +tp11683 +a(g826 +g1337 +tp11684 +a(g826 +g1299 +tp11685 +a(g826 +g1584 +tp11686 +a(g826 +g1111 +tp11687 +a(g826 +g1210 +tp11688 +a(g705 +g1036 +tp11689 +a(g826 +g1057 +tp11690 +a(g826 +g1291 +tp11691 +a(g826 +g1041 +tp11692 +a(g826 +g1043 +tp11693 +a(g826 +g974 +tp11694 +a(g826 +g4676 +tp11695 +a(g826 +g1069 +tp11696 +a(g826 +g1212 +tp11697 +a(g826 +g1061 +tp11698 +a(g826 +g1299 +tp11699 +a(g826 +g1584 +tp11700 +a(g826 +g1111 +tp11701 +a(g826 +g1210 +tp11702 +a(g705 +g1036 +tp11703 +a(g826 +g1057 +tp11704 +a(g826 +g1291 +tp11705 +a(g826 +g1041 +tp11706 +a(g705 +g1075 +tp11707 +a(g826 +g1043 +tp11708 +a(g826 +g974 +tp11709 +a(g826 +g1331 +tp11710 +a(g826 +g1212 +tp11711 +a(g826 +g1195 +tp11712 +a(g826 +g1057 +tp11713 +a(g826 +g1337 +tp11714 +a(g705 +g1075 +tp11715 +a(g826 +g1043 +tp11716 +a(g826 +g974 +tp11717 +a(g826 +g1331 +tp11718 +a(g826 +g1334 +tp11719 +a(g826 +g1190 +tp11720 +a(g826 +g1041 +tp11721 +a(g826 +g4676 +tp11722 +a(g826 +g1469 +tp11723 +a(g826 +g1111 +tp11724 +a(g826 +g1195 +tp11725 +a(g412 +g1071 +tp11726 +a(g573 +Vmethod +p11727 +tp11728 +a(g705 +g1036 +tp11729 +a(g826 +g1041 +tp11730 +a(g826 +g1059 +tp11731 +a(g826 +g1057 +tp11732 +a(g826 +g1057 +tp11733 +a(g826 +g3181 +tp11734 +a(g826 +g1210 +tp11735 +a(g826 +g1212 +tp11736 +a(g826 +g1067 +tp11737 +a(g826 +g1469 +tp11738 +a(g705 +g1075 +tp11739 +a(g705 +g1075 +tp11740 +a(g826 +V\u000a +p11741 +tp11742 +a(g826 +g974 +tp11743 +a(g826 +g974 +tp11744 +a(g826 +g974 +tp11745 +a(g826 +g974 +tp11746 +a(g826 +g1193 +tp11747 +a(g826 +g1195 +tp11748 +a(g826 +g1067 +tp11749 +a(g826 +g1069 +tp11750 +a(g826 +g1291 +tp11751 +a(g826 +g1337 +tp11752 +a(g412 +g1071 +tp11753 +a(g573 +Vparams +p11754 +tp11755 +a(g412 +g1071 +tp11756 +a(g573 +Veach +p11757 +tp11758 +a(g826 +g974 +tp11759 +a(g705 +g3254 +tp11760 +a(g628 +VCParam +p11761 +tp11762 +a(g826 +g974 +tp11763 +a(g440 +Vparam +p11764 +tp11765 +a(g705 +g1043 +tp11766 +a(g826 +g974 +tp11767 +a(g628 +VInt +p11768 +tp11769 +a(g826 +g974 +tp11770 +a(g440 +g1212 +tp11771 +a(g705 +g3254 +tp11772 +a(g826 +V\u000a +p11773 +tp11774 +a(g826 +g974 +tp11775 +a(g826 +g974 +tp11776 +a(g826 +g974 +tp11777 +a(g826 +g974 +tp11778 +a(g705 +g1003 +tp11779 +a(g826 +V\u000a +p11780 +tp11781 +a(g826 +g974 +tp11782 +a(g826 +g974 +tp11783 +a(g826 +g974 +tp11784 +a(g826 +g974 +tp11785 +a(g826 +g974 +tp11786 +a(g826 +g974 +tp11787 +a(g440 +VparamName +p11788 +tp11789 +a(g826 +g974 +tp11790 +a(g412 +V:= +p11791 +tp11792 +a(g826 +g974 +tp11793 +a(g705 +g1201 +tp11794 +a(g89 +g1111 +tp11795 +a(g125 +V$i +p11796 +tp11797 +a(g705 +g1201 +tp11798 +a(g826 +V\u000a +p11799 +tp11800 +a(g826 +g974 +tp11801 +a(g826 +g974 +tp11802 +a(g826 +g974 +tp11803 +a(g826 +g974 +tp11804 +a(g826 +g974 +tp11805 +a(g826 +g974 +tp11806 +a(g826 +g1291 +tp11807 +a(g826 +g1218 +tp11808 +a(g826 +g1195 +tp11809 +a(g826 +g1210 +tp11810 +a(g412 +g1071 +tp11811 +a(g573 +Vparams +p11812 +tp11813 +a(g412 +g1071 +tp11814 +a(g573 +Vadd +p11815 +tp11816 +a(g705 +g1036 +tp11817 +a(g826 +g1064 +tp11818 +a(g826 +g1059 +tp11819 +a(g826 +g1210 +tp11820 +a(g826 +g1059 +tp11821 +a(g826 +g1193 +tp11822 +a(g826 +g4476 +tp11823 +a(g826 +g1195 +tp11824 +a(g826 +g1331 +tp11825 +a(g705 +g1036 +tp11826 +a(g826 +g1057 +tp11827 +a(g826 +g1291 +tp11828 +a(g826 +g1041 +tp11829 +a(g826 +g1043 +tp11830 +a(g826 +g974 +tp11831 +a(g826 +g1111 +tp11832 +a(g826 +g1059 +tp11833 +a(g826 +g1210 +tp11834 +a(g826 +g1059 +tp11835 +a(g826 +g1193 +tp11836 +a(g412 +g1071 +tp11837 +a(g573 +VparamType +p11838 +tp11839 +a(g826 +g1043 +tp11840 +a(g826 +g974 +tp11841 +a(g826 +g1111 +tp11842 +a(g826 +g1059 +tp11843 +a(g826 +g1210 +tp11844 +a(g826 +g1059 +tp11845 +a(g826 +g1193 +tp11846 +a(g826 +g11023 +tp11847 +a(g826 +g1059 +tp11848 +a(g826 +g1193 +tp11849 +a(g826 +g1195 +tp11850 +a(g705 +g1075 +tp11851 +a(g705 +g1075 +tp11852 +a(g826 +V\u000a +p11853 +tp11854 +a(g826 +g974 +tp11855 +a(g826 +g974 +tp11856 +a(g826 +g974 +tp11857 +a(g826 +g974 +tp11858 +a(g826 +g974 +tp11859 +a(g826 +g974 +tp11860 +a(g744 +Vif +p11861 +tp11862 +a(g826 +g974 +tp11863 +a(g705 +g1036 +tp11864 +a(g826 +g1212 +tp11865 +a(g826 +g974 +tp11866 +a(g412 +g1806 +tp11867 +a(g826 +g974 +tp11868 +a(g826 +g1331 +tp11869 +a(g826 +g1334 +tp11870 +a(g826 +g1190 +tp11871 +a(g826 +g1041 +tp11872 +a(g826 +g4676 +tp11873 +a(g826 +g1469 +tp11874 +a(g826 +g1111 +tp11875 +a(g826 +g1195 +tp11876 +a(g412 +g1071 +tp11877 +a(g573 +Vparams +p11878 +tp11879 +a(g412 +g1071 +tp11880 +a(g573 +Vsize +p11881 +tp11882 +a(g705 +g1075 +tp11883 +a(g826 +V\u000a +p11884 +tp11885 +a(g826 +g974 +tp11886 +a(g826 +g974 +tp11887 +a(g826 +g974 +tp11888 +a(g826 +g974 +tp11889 +a(g826 +g974 +tp11890 +a(g826 +g974 +tp11891 +a(g826 +g974 +tp11892 +a(g826 +g974 +tp11893 +a(g826 +g1041 +tp11894 +a(g826 +g1059 +tp11895 +a(g826 +g1057 +tp11896 +a(g826 +g1057 +tp11897 +a(g412 +g1071 +tp11898 +a(g573 +Vargs +p11899 +tp11900 +a(g412 +g1071 +tp11901 +a(g573 +Vadd +p11902 +tp11903 +a(g705 +g1036 +tp11904 +a(g826 +g5029 +tp11905 +a(g826 +g1190 +tp11906 +a(g826 +g1314 +tp11907 +a(g826 +g1190 +tp11908 +a(g826 +g1291 +tp11909 +a(g826 +g3635 +tp11910 +a(g826 +g1190 +tp11911 +a(g826 +g5037 +tp11912 +a(g826 +g1059 +tp11913 +a(g826 +g1210 +tp11914 +a(g826 +g1299 +tp11915 +a(g826 +g1584 +tp11916 +a(g826 +g1111 +tp11917 +a(g826 +g1210 +tp11918 +a(g705 +g1036 +tp11919 +a(g826 +g1057 +tp11920 +a(g826 +g1291 +tp11921 +a(g826 +g1041 +tp11922 +a(g826 +g1043 +tp11923 +a(g826 +g974 +tp11924 +a(g763 +Vnull +p11925 +tp11926 +a(g826 +g1043 +tp11927 +a(g826 +g974 +tp11928 +a(g826 +g1111 +tp11929 +a(g826 +g1059 +tp11930 +a(g826 +g1210 +tp11931 +a(g826 +g1059 +tp11932 +a(g826 +g1193 +tp11933 +a(g826 +g11023 +tp11934 +a(g826 +g1059 +tp11935 +a(g826 +g1193 +tp11936 +a(g826 +g1195 +tp11937 +a(g705 +g1075 +tp11938 +a(g705 +g1075 +tp11939 +a(g826 +V\u000a +p11940 +tp11941 +a(g826 +g974 +tp11942 +a(g826 +g974 +tp11943 +a(g826 +g974 +tp11944 +a(g826 +g974 +tp11945 +a(g826 +g1117 +tp11946 +a(g826 +V\u000a +p11947 +tp11948 +a(g826 +g974 +tp11949 +a(g826 +g974 +tp11950 +a(g826 +g974 +tp11951 +a(g826 +g974 +tp11952 +a(g744 +Vif +p11953 +tp11954 +a(g826 +g974 +tp11955 +a(g705 +g1036 +tp11956 +a(g826 +g1193 +tp11957 +a(g826 +g1195 +tp11958 +a(g826 +g1067 +tp11959 +a(g826 +g1069 +tp11960 +a(g826 +g1291 +tp11961 +a(g826 +g1337 +tp11962 +a(g412 +g1071 +tp11963 +a(g573 +VreturnType +p11964 +tp11965 +a(g412 +g1071 +tp11966 +a(g573 +VisVoid +p11967 +tp11968 +a(g705 +g1075 +tp11969 +a(g826 +V\u000a +p11970 +tp11971 +a(g826 +g974 +tp11972 +a(g826 +g974 +tp11973 +a(g826 +g974 +tp11974 +a(g826 +g974 +tp11975 +a(g826 +g974 +tp11976 +a(g826 +g974 +tp11977 +a(g826 +g1291 +tp11978 +a(g826 +g1218 +tp11979 +a(g826 +g1195 +tp11980 +a(g826 +g1210 +tp11981 +a(g412 +g1071 +tp11982 +a(g573 +Vcode +p11983 +tp11984 +a(g412 +g1071 +tp11985 +a(g573 +Vstmts +p11986 +tp11987 +a(g412 +g1071 +tp11988 +a(g573 +Vadd +p11989 +tp11990 +a(g705 +g1036 +tp11991 +a(g826 +g1041 +tp11992 +a(g826 +g1059 +tp11993 +a(g826 +g1057 +tp11994 +a(g826 +g1057 +tp11995 +a(g412 +g1071 +tp11996 +a(g573 +VtoStmt +p11997 +tp11998 +a(g705 +g1075 +tp11999 +a(g412 +g1071 +tp12000 +a(g573 +Vadd +p12001 +tp12002 +a(g705 +g1036 +tp12003 +a(g826 +g1456 +tp12004 +a(g826 +g1195 +tp12005 +a(g826 +g1067 +tp12006 +a(g826 +g1334 +tp12007 +a(g826 +g1210 +tp12008 +a(g826 +g1190 +tp12009 +a(g826 +g3046 +tp12010 +a(g826 +g1067 +tp12011 +a(g826 +g1193 +tp12012 +a(g826 +g1067 +tp12013 +a(g705 +g1036 +tp12014 +a(g826 +g1057 +tp12015 +a(g826 +g1291 +tp12016 +a(g826 +g1041 +tp12017 +a(g705 +g1075 +tp12018 +a(g705 +g1075 +tp12019 +a(g826 +V\u000a +p12020 +tp12021 +a(g826 +g974 +tp12022 +a(g826 +g974 +tp12023 +a(g826 +g974 +tp12024 +a(g826 +g974 +tp12025 +a(g744 +Velse +p12026 +tp12027 +a(g826 +V\u000a +p12028 +tp12029 +a(g826 +g974 +tp12030 +a(g826 +g974 +tp12031 +a(g826 +g974 +tp12032 +a(g826 +g974 +tp12033 +a(g826 +g974 +tp12034 +a(g826 +g974 +tp12035 +a(g826 +g1291 +tp12036 +a(g826 +g1218 +tp12037 +a(g826 +g1195 +tp12038 +a(g826 +g1210 +tp12039 +a(g412 +g1071 +tp12040 +a(g573 +Vcode +p12041 +tp12042 +a(g412 +g1071 +tp12043 +a(g573 +Vstmts +p12044 +tp12045 +a(g412 +g1071 +tp12046 +a(g573 +Vadd +p12047 +tp12048 +a(g705 +g1036 +tp12049 +a(g826 +g1456 +tp12050 +a(g826 +g1195 +tp12051 +a(g826 +g1067 +tp12052 +a(g826 +g1334 +tp12053 +a(g826 +g1210 +tp12054 +a(g826 +g1190 +tp12055 +a(g826 +g3046 +tp12056 +a(g826 +g1067 +tp12057 +a(g826 +g1193 +tp12058 +a(g826 +g1067 +tp12059 +a(g705 +g1036 +tp12060 +a(g826 +g1057 +tp12061 +a(g826 +g1291 +tp12062 +a(g826 +g1041 +tp12063 +a(g826 +g1043 +tp12064 +a(g826 +g974 +tp12065 +a(g826 +g1041 +tp12066 +a(g826 +g1059 +tp12067 +a(g826 +g1057 +tp12068 +a(g826 +g1057 +tp12069 +a(g705 +g1075 +tp12070 +a(g705 +g1075 +tp12071 +a(g826 +V\u000a +p12072 +tp12073 +a(g826 +g974 +tp12074 +a(g826 +g974 +tp12075 +a(g826 +g974 +tp12076 +a(g826 +g974 +tp12077 +a(g826 +g1041 +tp12078 +a(g826 +g1057 +tp12079 +a(g826 +g1061 +tp12080 +a(g412 +g1071 +tp12081 +a(g573 +VaddSlot +p12082 +tp12083 +a(g705 +g1036 +tp12084 +a(g826 +g1291 +tp12085 +a(g826 +g1218 +tp12086 +a(g826 +g1195 +tp12087 +a(g826 +g1210 +tp12088 +a(g705 +g1075 +tp12089 +a(g826 +V\u000a +p12090 +tp12091 +a(g826 +V\u000a +p12092 +tp12093 +a(g826 +g974 +tp12094 +a(g826 +g974 +tp12095 +a(g826 +g974 +tp12096 +a(g826 +g974 +tp12097 +a(g8 +V// return the ctor which we use for coercion\u000a +p12098 +tp12099 +a(g826 +g974 +tp12100 +a(g826 +g974 +tp12101 +a(g826 +g974 +tp12102 +a(g826 +g974 +tp12103 +a(g744 +Vreturn +p12104 +tp12105 +a(g826 +g974 +tp12106 +a(g826 +g1041 +tp12107 +a(g826 +g1067 +tp12108 +a(g826 +g1291 +tp12109 +a(g826 +g1210 +tp12110 +a(g826 +V\u000a +p12111 +tp12112 +a(g826 +g974 +tp12113 +a(g826 +g974 +tp12114 +a(g826 +g1117 +tp12115 +a(g826 +V\u000a +p12116 +tp12117 +a(g826 +V\u000a +p12118 +tp12119 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p12120 +tp12121 +a(g8 +V// Reflection\u000a +p12122 +tp12123 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p12124 +tp12125 +a(g826 +V\u000a +p12126 +tp12127 +a(g826 +g974 +tp12128 +a(g826 +g974 +tp12129 +a(g882 +V**\u000a +p12130 +tp12131 +a(g826 +g974 +tp12132 +a(g826 +g974 +tp12133 +a(g882 +V** Get a CMethod representation for 'List.make(Type, Object[])'\u000a +p12134 +tp12135 +a(g826 +g974 +tp12136 +a(g826 +g974 +tp12137 +a(g882 +V**\u000a +p12138 +tp12139 +a(g826 +g974 +tp12140 +a(g826 +g974 +tp12141 +a(g744 +Vonce +p12142 +tp12143 +a(g826 +g974 +tp12144 +a(g628 +VCMethod +p12145 +tp12146 +a(g826 +g974 +tp12147 +a(g573 +VlistMakeFromArray +p12148 +tp12149 +a(g705 +g1036 +tp12150 +a(g705 +g1075 +tp12151 +a(g826 +V\u000a +p12152 +tp12153 +a(g826 +g974 +tp12154 +a(g826 +g974 +tp12155 +a(g705 +g1003 +tp12156 +a(g826 +V\u000a +p12157 +tp12158 +a(g826 +g974 +tp12159 +a(g826 +g974 +tp12160 +a(g826 +g974 +tp12161 +a(g826 +g974 +tp12162 +a(g744 +Vreturn +p12163 +tp12164 +a(g826 +g974 +tp12165 +a(g826 +g1305 +tp12166 +a(g826 +g1059 +tp12167 +a(g826 +g1218 +tp12168 +a(g826 +g1059 +tp12169 +a(g826 +g2172 +tp12170 +a(g826 +g1195 +tp12171 +a(g826 +g1067 +tp12172 +a(g826 +g1069 +tp12173 +a(g826 +g1291 +tp12174 +a(g826 +g1337 +tp12175 +a(g705 +g1036 +tp12176 +a(g826 +V\u000a +p12177 +tp12178 +a(g826 +g974 +tp12179 +a(g826 +g974 +tp12180 +a(g826 +g974 +tp12181 +a(g826 +g974 +tp12182 +a(g826 +g974 +tp12183 +a(g826 +g974 +tp12184 +a(g693 +Vthis +p12185 +tp12186 +a(g412 +g1071 +tp12187 +a(g573 +Vns +p12188 +tp12189 +a(g412 +g1071 +tp12190 +a(g573 +VlistType +p12191 +tp12192 +a(g826 +g1043 +tp12193 +a(g826 +V\u000a +p12194 +tp12195 +a(g826 +g974 +tp12196 +a(g826 +g974 +tp12197 +a(g826 +g974 +tp12198 +a(g826 +g974 +tp12199 +a(g826 +g974 +tp12200 +a(g826 +g974 +tp12201 +a(g705 +g1201 +tp12202 +a(g89 +g1193 +tp12203 +a(g89 +g1059 +tp12204 +a(g89 +g1314 +tp12205 +a(g89 +g1195 +tp12206 +a(g705 +g1201 +tp12207 +a(g826 +g1043 +tp12208 +a(g826 +V\u000a +p12209 +tp12210 +a(g826 +g974 +tp12211 +a(g826 +g974 +tp12212 +a(g826 +g974 +tp12213 +a(g826 +g974 +tp12214 +a(g826 +g974 +tp12215 +a(g826 +g974 +tp12216 +a(g826 +g2213 +tp12217 +a(g826 +g1055 +tp12218 +a(g826 +g1291 +tp12219 +a(g826 +g1190 +tp12220 +a(g826 +g1061 +tp12221 +a(g826 +g1067 +tp12222 +a(g412 +g1071 +tp12223 +a(g573 +VPublic +p12224 +tp12225 +a(g826 +g974 +tp12226 +a(g412 +g5064 +tp12227 +a(g826 +g974 +tp12228 +a(g826 +g2213 +tp12229 +a(g826 +g1055 +tp12230 +a(g826 +g1291 +tp12231 +a(g826 +g1190 +tp12232 +a(g826 +g1061 +tp12233 +a(g826 +g1067 +tp12234 +a(g412 +g1071 +tp12235 +a(g573 +VStatic +p12236 +tp12237 +a(g826 +g1043 +tp12238 +a(g826 +V\u000a +p12239 +tp12240 +a(g826 +g974 +tp12241 +a(g826 +g974 +tp12242 +a(g826 +g974 +tp12243 +a(g826 +g974 +tp12244 +a(g826 +g974 +tp12245 +a(g826 +g974 +tp12246 +a(g693 +Vthis +p12247 +tp12248 +a(g412 +g1071 +tp12249 +a(g573 +Vns +p12250 +tp12251 +a(g412 +g1071 +tp12252 +a(g573 +VlistType +p12253 +tp12254 +a(g412 +g1071 +tp12255 +a(g573 +VtoNullable +p12256 +tp12257 +a(g826 +g1043 +tp12258 +a(g826 +V\u000a +p12259 +tp12260 +a(g826 +g974 +tp12261 +a(g826 +g974 +tp12262 +a(g826 +g974 +tp12263 +a(g826 +g974 +tp12264 +a(g826 +g974 +tp12265 +a(g826 +g974 +tp12266 +a(g412 +g1247 +tp12267 +a(g826 +V\u000a +p12268 +tp12269 +a(g826 +g974 +tp12270 +a(g826 +g974 +tp12271 +a(g826 +g974 +tp12272 +a(g826 +g974 +tp12273 +a(g826 +g974 +tp12274 +a(g826 +g974 +tp12275 +a(g826 +g974 +tp12276 +a(g826 +g974 +tp12277 +a(g826 +g1305 +tp12278 +a(g826 +g1059 +tp12279 +a(g826 +g1218 +tp12280 +a(g826 +g1059 +tp12281 +a(g826 +g1064 +tp12282 +a(g826 +g1059 +tp12283 +a(g826 +g1210 +tp12284 +a(g826 +g1059 +tp12285 +a(g826 +g1193 +tp12286 +a(g705 +g1036 +tp12287 +a(g705 +g1201 +tp12288 +a(g89 +g1291 +tp12289 +a(g89 +g1331 +tp12290 +a(g705 +g1201 +tp12291 +a(g826 +g1043 +tp12292 +a(g826 +g974 +tp12293 +a(g693 +Vthis +p12294 +tp12295 +a(g412 +g1071 +tp12296 +a(g573 +Vns +p12297 +tp12298 +a(g412 +g1071 +tp12299 +a(g573 +VtypeType +p12300 +tp12301 +a(g705 +g1075 +tp12302 +a(g826 +g1043 +tp12303 +a(g826 +V\u000a +p12304 +tp12305 +a(g826 +g974 +tp12306 +a(g826 +g974 +tp12307 +a(g826 +g974 +tp12308 +a(g826 +g974 +tp12309 +a(g826 +g974 +tp12310 +a(g826 +g974 +tp12311 +a(g826 +g974 +tp12312 +a(g826 +g974 +tp12313 +a(g826 +g1305 +tp12314 +a(g826 +g1059 +tp12315 +a(g826 +g1218 +tp12316 +a(g826 +g1059 +tp12317 +a(g826 +g1064 +tp12318 +a(g826 +g1059 +tp12319 +a(g826 +g1210 +tp12320 +a(g826 +g1059 +tp12321 +a(g826 +g1193 +tp12322 +a(g705 +g1036 +tp12323 +a(g705 +g1201 +tp12324 +a(g89 +g1059 +tp12325 +a(g89 +g1210 +tp12326 +a(g89 +g1210 +tp12327 +a(g89 +g1059 +tp12328 +a(g89 +g1469 +tp12329 +a(g705 +g1201 +tp12330 +a(g826 +g1043 +tp12331 +a(g826 +g974 +tp12332 +a(g826 +g1291 +tp12333 +a(g826 +g1729 +tp12334 +a(g826 +g4334 +tp12335 +a(g826 +g1195 +tp12336 +a(g826 +g1041 +tp12337 +a(g826 +g1067 +tp12338 +a(g826 +g3181 +tp12339 +a(g826 +g1210 +tp12340 +a(g826 +g1210 +tp12341 +a(g826 +g1059 +tp12342 +a(g826 +g1469 +tp12343 +a(g826 +g4676 +tp12344 +a(g826 +g1469 +tp12345 +a(g826 +g1111 +tp12346 +a(g826 +g1195 +tp12347 +a(g705 +g1075 +tp12348 +a(g826 +V\u000a +p12349 +tp12350 +a(g826 +g974 +tp12351 +a(g826 +g974 +tp12352 +a(g826 +g974 +tp12353 +a(g826 +g974 +tp12354 +a(g826 +g974 +tp12355 +a(g826 +g974 +tp12356 +a(g412 +g1253 +tp12357 +a(g826 +g1075 +tp12358 +a(g826 +V\u000a +p12359 +tp12360 +a(g826 +g974 +tp12361 +a(g826 +g974 +tp12362 +a(g826 +g1117 +tp12363 +a(g826 +V\u000a +p12364 +tp12365 +a(g826 +V\u000a +p12366 +tp12367 +a(g826 +g974 +tp12368 +a(g826 +g974 +tp12369 +a(g882 +V**\u000a +p12370 +tp12371 +a(g826 +g974 +tp12372 +a(g826 +g974 +tp12373 +a(g882 +V** Get a CMethod representation for 'Object[] List.asArray()'\u000a +p12374 +tp12375 +a(g826 +g974 +tp12376 +a(g826 +g974 +tp12377 +a(g882 +V**\u000a +p12378 +tp12379 +a(g826 +g974 +tp12380 +a(g826 +g974 +tp12381 +a(g744 +Vonce +p12382 +tp12383 +a(g826 +g974 +tp12384 +a(g628 +VCMethod +p12385 +tp12386 +a(g826 +g974 +tp12387 +a(g573 +VlistAsArray +p12388 +tp12389 +a(g705 +g1036 +tp12390 +a(g705 +g1075 +tp12391 +a(g826 +V\u000a +p12392 +tp12393 +a(g826 +g974 +tp12394 +a(g826 +g974 +tp12395 +a(g705 +g1003 +tp12396 +a(g826 +V\u000a +p12397 +tp12398 +a(g826 +g974 +tp12399 +a(g826 +g974 +tp12400 +a(g826 +g974 +tp12401 +a(g826 +g974 +tp12402 +a(g744 +Vreturn +p12403 +tp12404 +a(g826 +g974 +tp12405 +a(g826 +g1305 +tp12406 +a(g826 +g1059 +tp12407 +a(g826 +g1218 +tp12408 +a(g826 +g1059 +tp12409 +a(g826 +g2172 +tp12410 +a(g826 +g1195 +tp12411 +a(g826 +g1067 +tp12412 +a(g826 +g1069 +tp12413 +a(g826 +g1291 +tp12414 +a(g826 +g1337 +tp12415 +a(g705 +g1036 +tp12416 +a(g826 +V\u000a +p12417 +tp12418 +a(g826 +g974 +tp12419 +a(g826 +g974 +tp12420 +a(g826 +g974 +tp12421 +a(g826 +g974 +tp12422 +a(g826 +g974 +tp12423 +a(g826 +g974 +tp12424 +a(g693 +Vthis +p12425 +tp12426 +a(g412 +g1071 +tp12427 +a(g573 +Vns +p12428 +tp12429 +a(g412 +g1071 +tp12430 +a(g573 +VlistType +p12431 +tp12432 +a(g826 +g1043 +tp12433 +a(g826 +V\u000a +p12434 +tp12435 +a(g826 +g974 +tp12436 +a(g826 +g974 +tp12437 +a(g826 +g974 +tp12438 +a(g826 +g974 +tp12439 +a(g826 +g974 +tp12440 +a(g826 +g974 +tp12441 +a(g705 +g1201 +tp12442 +a(g89 +g1059 +tp12443 +a(g89 +g1061 +tp12444 +a(g89 +g3181 +tp12445 +a(g89 +g1210 +tp12446 +a(g89 +g1210 +tp12447 +a(g89 +g1059 +tp12448 +a(g89 +g1469 +tp12449 +a(g705 +g1201 +tp12450 +a(g826 +g1043 +tp12451 +a(g826 +V\u000a +p12452 +tp12453 +a(g826 +g974 +tp12454 +a(g826 +g974 +tp12455 +a(g826 +g974 +tp12456 +a(g826 +g974 +tp12457 +a(g826 +g974 +tp12458 +a(g826 +g974 +tp12459 +a(g826 +g2213 +tp12460 +a(g826 +g1055 +tp12461 +a(g826 +g1291 +tp12462 +a(g826 +g1190 +tp12463 +a(g826 +g1061 +tp12464 +a(g826 +g1067 +tp12465 +a(g412 +g1071 +tp12466 +a(g573 +VPublic +p12467 +tp12468 +a(g826 +g1043 +tp12469 +a(g826 +V\u000a +p12470 +tp12471 +a(g826 +g974 +tp12472 +a(g826 +g974 +tp12473 +a(g826 +g974 +tp12474 +a(g826 +g974 +tp12475 +a(g826 +g974 +tp12476 +a(g826 +g974 +tp12477 +a(g826 +g1291 +tp12478 +a(g826 +g1729 +tp12479 +a(g826 +g4334 +tp12480 +a(g826 +g1195 +tp12481 +a(g826 +g1041 +tp12482 +a(g826 +g1067 +tp12483 +a(g826 +g3181 +tp12484 +a(g826 +g1210 +tp12485 +a(g826 +g1210 +tp12486 +a(g826 +g1059 +tp12487 +a(g826 +g1469 +tp12488 +a(g826 +g4676 +tp12489 +a(g826 +g1469 +tp12490 +a(g826 +g1111 +tp12491 +a(g826 +g1195 +tp12492 +a(g826 +g1043 +tp12493 +a(g826 +V\u000a +p12494 +tp12495 +a(g826 +g974 +tp12496 +a(g826 +g974 +tp12497 +a(g826 +g974 +tp12498 +a(g826 +g974 +tp12499 +a(g826 +g974 +tp12500 +a(g826 +g974 +tp12501 +a(g412 +g1247 +tp12502 +a(g826 +g1305 +tp12503 +a(g826 +g1059 +tp12504 +a(g826 +g1218 +tp12505 +a(g826 +g1059 +tp12506 +a(g826 +g1064 +tp12507 +a(g826 +g1059 +tp12508 +a(g826 +g1210 +tp12509 +a(g826 +g1059 +tp12510 +a(g826 +g1193 +tp12511 +a(g705 +g1036 +tp12512 +a(g705 +g1201 +tp12513 +a(g89 +g1041 +tp12514 +a(g89 +g1057 +tp12515 +a(g89 +g1061 +tp12516 +a(g705 +g1201 +tp12517 +a(g826 +g1043 +tp12518 +a(g826 +g974 +tp12519 +a(g826 +g1041 +tp12520 +a(g826 +g1057 +tp12521 +a(g826 +g1059 +tp12522 +a(g826 +g1061 +tp12523 +a(g826 +g1061 +tp12524 +a(g826 +g4676 +tp12525 +a(g826 +g1469 +tp12526 +a(g826 +g1111 +tp12527 +a(g826 +g1195 +tp12528 +a(g705 +g1075 +tp12529 +a(g412 +g1253 +tp12530 +a(g826 +g1075 +tp12531 +a(g826 +V\u000a +p12532 +tp12533 +a(g826 +g974 +tp12534 +a(g826 +g974 +tp12535 +a(g826 +g1117 +tp12536 +a(g826 +V\u000a +p12537 +tp12538 +a(g826 +V\u000a +p12539 +tp12540 +a(g826 +g974 +tp12541 +a(g826 +g974 +tp12542 +a(g882 +V**\u000a +p12543 +tp12544 +a(g826 +g974 +tp12545 +a(g826 +g974 +tp12546 +a(g882 +V** Get a CType representation for 'java.lang.Class'\u000a +p12547 +tp12548 +a(g826 +g974 +tp12549 +a(g826 +g974 +tp12550 +a(g882 +V**\u000a +p12551 +tp12552 +a(g826 +g974 +tp12553 +a(g826 +g974 +tp12554 +a(g744 +Vonce +p12555 +tp12556 +a(g826 +g974 +tp12557 +a(g628 +VJavaType +p12558 +tp12559 +a(g826 +g974 +tp12560 +a(g573 +VclassType +p12561 +tp12562 +a(g705 +g1036 +tp12563 +a(g705 +g1075 +tp12564 +a(g826 +V\u000a +p12565 +tp12566 +a(g826 +g974 +tp12567 +a(g826 +g974 +tp12568 +a(g705 +g1003 +tp12569 +a(g826 +V\u000a +p12570 +tp12571 +a(g826 +g974 +tp12572 +a(g826 +g974 +tp12573 +a(g826 +g974 +tp12574 +a(g826 +g974 +tp12575 +a(g744 +Vreturn +p12576 +tp12577 +a(g826 +g974 +tp12578 +a(g826 +g1190 +tp12579 +a(g826 +g1061 +tp12580 +a(g412 +g1071 +tp12581 +a(g573 +VresolveType +p12582 +tp12583 +a(g705 +g1036 +tp12584 +a(g705 +g1201 +tp12585 +a(g89 +g1247 +tp12586 +a(g89 +g4334 +tp12587 +a(g89 +g1059 +tp12588 +a(g89 +g1218 +tp12589 +a(g89 +g1059 +tp12590 +a(g89 +g1253 +tp12591 +a(g89 +g4334 +tp12592 +a(g89 +g1059 +tp12593 +a(g89 +g1218 +tp12594 +a(g89 +g1059 +tp12595 +a(g89 +g1071 +tp12596 +a(g89 +g1057 +tp12597 +a(g89 +g1059 +tp12598 +a(g89 +g1190 +tp12599 +a(g89 +g1317 +tp12600 +a(g89 +g996 +tp12601 +a(g89 +g996 +tp12602 +a(g89 +g1055 +tp12603 +a(g89 +g1057 +tp12604 +a(g89 +g1059 +tp12605 +a(g89 +g1061 +tp12606 +a(g89 +g1061 +tp12607 +a(g705 +g1201 +tp12608 +a(g705 +g1075 +tp12609 +a(g826 +V\u000a +p12610 +tp12611 +a(g826 +g974 +tp12612 +a(g826 +g974 +tp12613 +a(g826 +g1117 +tp12614 +a(g826 +V\u000a +p12615 +tp12616 +a(g826 +V\u000a +p12617 +tp12618 +a(g826 +g974 +tp12619 +a(g826 +g974 +tp12620 +a(g882 +V**\u000a +p12621 +tp12622 +a(g826 +g974 +tp12623 +a(g826 +g974 +tp12624 +a(g882 +V** Get a CType representation for 'java.lang.Object[]'\u000a +p12625 +tp12626 +a(g826 +g974 +tp12627 +a(g826 +g974 +tp12628 +a(g882 +V**\u000a +p12629 +tp12630 +a(g826 +g974 +tp12631 +a(g826 +g974 +tp12632 +a(g744 +Vonce +p12633 +tp12634 +a(g826 +g974 +tp12635 +a(g628 +VJavaType +p12636 +tp12637 +a(g826 +g974 +tp12638 +a(g573 +VobjectArrayType +p12639 +tp12640 +a(g705 +g1036 +tp12641 +a(g705 +g1075 +tp12642 +a(g826 +V\u000a +p12643 +tp12644 +a(g826 +g974 +tp12645 +a(g826 +g974 +tp12646 +a(g705 +g1003 +tp12647 +a(g826 +V\u000a +p12648 +tp12649 +a(g826 +g974 +tp12650 +a(g826 +g974 +tp12651 +a(g826 +g974 +tp12652 +a(g826 +g974 +tp12653 +a(g744 +Vreturn +p12654 +tp12655 +a(g826 +g974 +tp12656 +a(g826 +g1190 +tp12657 +a(g826 +g1061 +tp12658 +a(g412 +g1071 +tp12659 +a(g573 +VresolveType +p12660 +tp12661 +a(g705 +g1036 +tp12662 +a(g705 +g1201 +tp12663 +a(g89 +g1247 +tp12664 +a(g89 +g4334 +tp12665 +a(g89 +g1059 +tp12666 +a(g89 +g1218 +tp12667 +a(g89 +g1059 +tp12668 +a(g89 +g1253 +tp12669 +a(g89 +g4334 +tp12670 +a(g89 +g1059 +tp12671 +a(g89 +g1218 +tp12672 +a(g89 +g1059 +tp12673 +a(g89 +g1071 +tp12674 +a(g89 +g1057 +tp12675 +a(g89 +g1059 +tp12676 +a(g89 +g1190 +tp12677 +a(g89 +g1317 +tp12678 +a(g89 +g996 +tp12679 +a(g89 +g996 +tp12680 +a(g89 +g1247 +tp12681 +a(g89 +g4500 +tp12682 +a(g89 +g1729 +tp12683 +a(g89 +g4334 +tp12684 +a(g89 +g1195 +tp12685 +a(g89 +g1041 +tp12686 +a(g89 +g1067 +tp12687 +a(g705 +g1201 +tp12688 +a(g705 +g1075 +tp12689 +a(g826 +V\u000a +p12690 +tp12691 +a(g826 +g974 +tp12692 +a(g826 +g974 +tp12693 +a(g826 +g1117 +tp12694 +a(g826 +V\u000a +p12695 +tp12696 +a(g826 +V\u000a +p12697 +tp12698 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p12699 +tp12700 +a(g8 +V// Fields\u000a +p12701 +tp12702 +a(g8 +V//////////////////////////////////////////////////////////////////////////\u000a +p12703 +tp12704 +a(g826 +V\u000a +p12705 +tp12706 +a(g826 +g974 +tp12707 +a(g826 +g974 +tp12708 +a(g744 +Vconst +p12709 +tp12710 +a(g826 +g974 +tp12711 +a(g744 +Vstatic +p12712 +tp12713 +a(g826 +g974 +tp12714 +a(g628 +VStr +p12715 +tp12716 +a(g705 +g1247 +tp12717 +a(g705 +g1253 +tp12718 +a(g826 +g974 +tp12719 +a(g440 +VboolTypes +p12720 +tp12721 +a(g826 +g974 +tp12722 +a(g412 +V:= +p12723 +tp12724 +a(g826 +g974 +tp12725 +a(g826 +g3046 +tp12726 +a(g826 +g1067 +tp12727 +a(g826 +g1210 +tp12728 +a(g412 +g1247 +tp12729 +a(g826 +V\u000a +p12730 +tp12731 +a(g826 +g974 +tp12732 +a(g826 +g974 +tp12733 +a(g826 +g974 +tp12734 +a(g826 +g974 +tp12735 +a(g705 +g1201 +tp12736 +a(g89 +g1247 +tp12737 +a(g89 +g4334 +tp12738 +a(g89 +g1059 +tp12739 +a(g89 +g1218 +tp12740 +a(g89 +g1059 +tp12741 +a(g89 +g1253 +tp12742 +a(g89 +g4334 +tp12743 +a(g89 +g1059 +tp12744 +a(g89 +g1218 +tp12745 +a(g89 +g1059 +tp12746 +a(g89 +g1071 +tp12747 +a(g89 +g1212 +tp12748 +a(g89 +g1291 +tp12749 +a(g89 +g996 +tp12750 +a(g89 +g996 +tp12751 +a(g89 +g3046 +tp12752 +a(g89 +g1195 +tp12753 +a(g89 +g1210 +tp12754 +a(g89 +g1212 +tp12755 +a(g89 +g1059 +tp12756 +a(g89 +g1057 +tp12757 +a(g89 +g1212 +tp12758 +a(g89 +Vz +p12759 +tp12760 +a(g89 +g1059 +tp12761 +a(g89 +g1729 +tp12762 +a(g89 +g1057 +tp12763 +a(g89 +g1195 +tp12764 +a(g705 +g1201 +tp12765 +a(g826 +g1043 +tp12766 +a(g826 +V\u000a +p12767 +tp12768 +a(g826 +g974 +tp12769 +a(g826 +g974 +tp12770 +a(g826 +g974 +tp12771 +a(g826 +g974 +tp12772 +a(g705 +g1201 +tp12773 +a(g89 +g1247 +tp12774 +a(g89 +g4334 +tp12775 +a(g89 +g1059 +tp12776 +a(g89 +g1218 +tp12777 +a(g89 +g1059 +tp12778 +a(g89 +g1253 +tp12779 +a(g89 +g4334 +tp12780 +a(g89 +g1059 +tp12781 +a(g89 +g1218 +tp12782 +a(g89 +g1059 +tp12783 +a(g89 +g1071 +tp12784 +a(g89 +g1057 +tp12785 +a(g89 +g1059 +tp12786 +a(g89 +g1190 +tp12787 +a(g89 +g1317 +tp12788 +a(g89 +g996 +tp12789 +a(g89 +g996 +tp12790 +a(g89 +g1055 +tp12791 +a(g89 +g1291 +tp12792 +a(g89 +g1193 +tp12793 +a(g89 +g1111 +tp12794 +a(g89 +g1059 +tp12795 +a(g89 +g1210 +tp12796 +a(g89 +g1059 +tp12797 +a(g89 +g1729 +tp12798 +a(g89 +g1057 +tp12799 +a(g89 +g1195 +tp12800 +a(g705 +g1201 +tp12801 +a(g826 +g1043 +tp12802 +a(g826 +V\u000a +p12803 +tp12804 +a(g826 +g974 +tp12805 +a(g826 +g974 +tp12806 +a(g412 +g1253 +tp12807 +a(g826 +V\u000a +p12808 +tp12809 +a(g826 +V\u000a +p12810 +tp12811 +a(g826 +g974 +tp12812 +a(g826 +g974 +tp12813 +a(g744 +Vconst +p12814 +tp12815 +a(g826 +g974 +tp12816 +a(g744 +Vstatic +p12817 +tp12818 +a(g826 +g974 +tp12819 +a(g628 +VStr +p12820 +tp12821 +a(g705 +g1247 +tp12822 +a(g705 +g1253 +tp12823 +a(g826 +g974 +tp12824 +a(g440 +VintTypes +p12825 +tp12826 +a(g826 +g974 +tp12827 +a(g412 +V:= +p12828 +tp12829 +a(g826 +g974 +tp12830 +a(g826 +g3046 +tp12831 +a(g826 +g1067 +tp12832 +a(g826 +g1210 +tp12833 +a(g412 +g1247 +tp12834 +a(g826 +V\u000a +p12835 +tp12836 +a(g826 +g974 +tp12837 +a(g826 +g974 +tp12838 +a(g826 +g974 +tp12839 +a(g826 +g974 +tp12840 +a(g705 +g1201 +tp12841 +a(g89 +g1247 +tp12842 +a(g89 +g4334 +tp12843 +a(g89 +g1059 +tp12844 +a(g89 +g1218 +tp12845 +a(g89 +g1059 +tp12846 +a(g89 +g1253 +tp12847 +a(g89 +g4334 +tp12848 +a(g89 +g1059 +tp12849 +a(g89 +g1218 +tp12850 +a(g89 +g1059 +tp12851 +a(g89 +g1071 +tp12852 +a(g89 +g1057 +tp12853 +a(g89 +g1059 +tp12854 +a(g89 +g1190 +tp12855 +a(g89 +g1317 +tp12856 +a(g89 +g996 +tp12857 +a(g89 +g996 +tp12858 +a(g89 +g11023 +tp12859 +a(g89 +g1334 +tp12860 +a(g89 +g1193 +tp12861 +a(g89 +g1729 +tp12862 +a(g89 +g1195 +tp12863 +a(g89 +g1210 +tp12864 +a(g705 +g1201 +tp12865 +a(g826 +g1043 +tp12866 +a(g826 +V\u000a +p12867 +tp12868 +a(g826 +g974 +tp12869 +a(g826 +g974 +tp12870 +a(g826 +g974 +tp12871 +a(g826 +g974 +tp12872 +a(g705 +g1201 +tp12873 +a(g89 +g1247 +tp12874 +a(g89 +g4334 +tp12875 +a(g89 +g1059 +tp12876 +a(g89 +g1218 +tp12877 +a(g89 +g1059 +tp12878 +a(g89 +g1253 +tp12879 +a(g89 +g4334 +tp12880 +a(g89 +g1059 +tp12881 +a(g89 +g1218 +tp12882 +a(g89 +g1059 +tp12883 +a(g89 +g1071 +tp12884 +a(g89 +g1212 +tp12885 +a(g89 +g1291 +tp12886 +a(g89 +g996 +tp12887 +a(g89 +g996 +tp12888 +a(g89 +g3046 +tp12889 +a(g89 +g1195 +tp12890 +a(g89 +g1210 +tp12891 +a(g89 +g1212 +tp12892 +a(g89 +g1059 +tp12893 +a(g89 +g1057 +tp12894 +a(g89 +g1212 +tp12895 +a(g89 +g12759 +tp12896 +a(g89 +g1059 +tp12897 +a(g89 +g1729 +tp12898 +a(g89 +g1057 +tp12899 +a(g89 +g1195 +tp12900 +a(g705 +g1201 +tp12901 +a(g826 +g1043 +tp12902 +a(g826 +V\u000a +p12903 +tp12904 +a(g826 +g974 +tp12905 +a(g826 +g974 +tp12906 +a(g826 +g974 +tp12907 +a(g826 +g974 +tp12908 +a(g705 +g1201 +tp12909 +a(g89 +g1247 +tp12910 +a(g89 +g4334 +tp12911 +a(g89 +g1059 +tp12912 +a(g89 +g1218 +tp12913 +a(g89 +g1059 +tp12914 +a(g89 +g1253 +tp12915 +a(g89 +g4334 +tp12916 +a(g89 +g1059 +tp12917 +a(g89 +g1218 +tp12918 +a(g89 +g1059 +tp12919 +a(g89 +g1071 +tp12920 +a(g89 +g1057 +tp12921 +a(g89 +g1059 +tp12922 +a(g89 +g1190 +tp12923 +a(g89 +g1317 +tp12924 +a(g89 +g996 +tp12925 +a(g89 +g996 +tp12926 +a(g89 +g1055 +tp12927 +a(g89 +g1291 +tp12928 +a(g89 +g1193 +tp12929 +a(g89 +g1111 +tp12930 +a(g89 +g1059 +tp12931 +a(g89 +g1210 +tp12932 +a(g89 +g1059 +tp12933 +a(g89 +g1729 +tp12934 +a(g89 +g1057 +tp12935 +a(g89 +g1195 +tp12936 +a(g705 +g1201 +tp12937 +a(g826 +g1043 +tp12938 +a(g826 +V\u000a +p12939 +tp12940 +a(g826 +g974 +tp12941 +a(g826 +g974 +tp12942 +a(g412 +g1253 +tp12943 +a(g826 +V\u000a +p12944 +tp12945 +a(g826 +V\u000a +p12946 +tp12947 +a(g826 +g974 +tp12948 +a(g826 +g974 +tp12949 +a(g744 +Vconst +p12950 +tp12951 +a(g826 +g974 +tp12952 +a(g744 +Vstatic +p12953 +tp12954 +a(g826 +g974 +tp12955 +a(g628 +VStr +p12956 +tp12957 +a(g705 +g1247 +tp12958 +a(g705 +g1253 +tp12959 +a(g826 +g974 +tp12960 +a(g440 +VfloatTypes +p12961 +tp12962 +a(g826 +g974 +tp12963 +a(g412 +V:= +p12964 +tp12965 +a(g826 +g974 +tp12966 +a(g826 +g3046 +tp12967 +a(g826 +g1067 +tp12968 +a(g826 +g1210 +tp12969 +a(g412 +g1247 +tp12970 +a(g826 +V\u000a +p12971 +tp12972 +a(g826 +g974 +tp12973 +a(g826 +g974 +tp12974 +a(g826 +g974 +tp12975 +a(g826 +g974 +tp12976 +a(g705 +g1201 +tp12977 +a(g89 +g1247 +tp12978 +a(g89 +g4334 +tp12979 +a(g89 +g1059 +tp12980 +a(g89 +g1218 +tp12981 +a(g89 +g1059 +tp12982 +a(g89 +g1253 +tp12983 +a(g89 +g4334 +tp12984 +a(g89 +g1059 +tp12985 +a(g89 +g1218 +tp12986 +a(g89 +g1059 +tp12987 +a(g89 +g1071 +tp12988 +a(g89 +g1057 +tp12989 +a(g89 +g1059 +tp12990 +a(g89 +g1190 +tp12991 +a(g89 +g1317 +tp12992 +a(g89 +g996 +tp12993 +a(g89 +g996 +tp12994 +a(g89 +g11023 +tp12995 +a(g89 +g1334 +tp12996 +a(g89 +g1193 +tp12997 +a(g89 +g1729 +tp12998 +a(g89 +g1195 +tp12999 +a(g89 +g1210 +tp13000 +a(g705 +g1201 +tp13001 +a(g826 +g1043 +tp13002 +a(g826 +V\u000a +p13003 +tp13004 +a(g826 +g974 +tp13005 +a(g826 +g974 +tp13006 +a(g826 +g974 +tp13007 +a(g826 +g974 +tp13008 +a(g705 +g1201 +tp13009 +a(g89 +g1247 +tp13010 +a(g89 +g4334 +tp13011 +a(g89 +g1059 +tp13012 +a(g89 +g1218 +tp13013 +a(g89 +g1059 +tp13014 +a(g89 +g1253 +tp13015 +a(g89 +g4334 +tp13016 +a(g89 +g1059 +tp13017 +a(g89 +g1218 +tp13018 +a(g89 +g1059 +tp13019 +a(g89 +g1071 +tp13020 +a(g89 +g1212 +tp13021 +a(g89 +g1291 +tp13022 +a(g89 +g996 +tp13023 +a(g89 +g996 +tp13024 +a(g89 +g3046 +tp13025 +a(g89 +g1195 +tp13026 +a(g89 +g1210 +tp13027 +a(g89 +g1212 +tp13028 +a(g89 +g1059 +tp13029 +a(g89 +g1057 +tp13030 +a(g89 +g1212 +tp13031 +a(g89 +g12759 +tp13032 +a(g89 +g1059 +tp13033 +a(g89 +g1729 +tp13034 +a(g89 +g1057 +tp13035 +a(g89 +g1195 +tp13036 +a(g705 +g1201 +tp13037 +a(g826 +g1043 +tp13038 +a(g826 +V\u000a +p13039 +tp13040 +a(g826 +g974 +tp13041 +a(g826 +g974 +tp13042 +a(g826 +g974 +tp13043 +a(g826 +g974 +tp13044 +a(g705 +g1201 +tp13045 +a(g89 +g1247 +tp13046 +a(g89 +g4334 +tp13047 +a(g89 +g1059 +tp13048 +a(g89 +g1218 +tp13049 +a(g89 +g1059 +tp13050 +a(g89 +g1253 +tp13051 +a(g89 +g4334 +tp13052 +a(g89 +g1059 +tp13053 +a(g89 +g1218 +tp13054 +a(g89 +g1059 +tp13055 +a(g89 +g1071 +tp13056 +a(g89 +g1057 +tp13057 +a(g89 +g1059 +tp13058 +a(g89 +g1190 +tp13059 +a(g89 +g1317 +tp13060 +a(g89 +g996 +tp13061 +a(g89 +g996 +tp13062 +a(g89 +g1055 +tp13063 +a(g89 +g1291 +tp13064 +a(g89 +g1193 +tp13065 +a(g89 +g1111 +tp13066 +a(g89 +g1059 +tp13067 +a(g89 +g1210 +tp13068 +a(g89 +g1059 +tp13069 +a(g89 +g1729 +tp13070 +a(g89 +g1057 +tp13071 +a(g89 +g1195 +tp13072 +a(g705 +g1201 +tp13073 +a(g826 +g1043 +tp13074 +a(g826 +V\u000a +p13075 +tp13076 +a(g826 +g974 +tp13077 +a(g826 +g974 +tp13078 +a(g412 +g1253 +tp13079 +a(g826 +V\u000a +p13080 +tp13081 +a(g826 +V\u000a +p13082 +tp13083 +a(g826 +g974 +tp13084 +a(g826 +g974 +tp13085 +a(g744 +Vconst +p13086 +tp13087 +a(g826 +g974 +tp13088 +a(g744 +Vstatic +p13089 +tp13090 +a(g826 +g974 +tp13091 +a(g628 +VStr +p13092 +tp13093 +a(g705 +g1247 +tp13094 +a(g705 +g1253 +tp13095 +a(g826 +g974 +tp13096 +a(g440 +VdecimalTypes +p13097 +tp13098 +a(g826 +g974 +tp13099 +a(g412 +V:= +p13100 +tp13101 +a(g826 +g974 +tp13102 +a(g826 +g3046 +tp13103 +a(g826 +g1067 +tp13104 +a(g826 +g1210 +tp13105 +a(g412 +g1247 +tp13106 +a(g826 +V\u000a +p13107 +tp13108 +a(g826 +g974 +tp13109 +a(g826 +g974 +tp13110 +a(g826 +g974 +tp13111 +a(g826 +g974 +tp13112 +a(g705 +g1201 +tp13113 +a(g89 +g1247 +tp13114 +a(g89 +g4334 +tp13115 +a(g89 +g1059 +tp13116 +a(g89 +g1218 +tp13117 +a(g89 +g1059 +tp13118 +a(g89 +g1253 +tp13119 +a(g89 +g4334 +tp13120 +a(g89 +g1059 +tp13121 +a(g89 +g1218 +tp13122 +a(g89 +g1059 +tp13123 +a(g89 +g1071 +tp13124 +a(g89 +g1057 +tp13125 +a(g89 +g1059 +tp13126 +a(g89 +g1190 +tp13127 +a(g89 +g1317 +tp13128 +a(g89 +g996 +tp13129 +a(g89 +g996 +tp13130 +a(g89 +g11023 +tp13131 +a(g89 +g1334 +tp13132 +a(g89 +g1193 +tp13133 +a(g89 +g1729 +tp13134 +a(g89 +g1195 +tp13135 +a(g89 +g1210 +tp13136 +a(g705 +g1201 +tp13137 +a(g826 +g1043 +tp13138 +a(g826 +V\u000a +p13139 +tp13140 +a(g826 +g974 +tp13141 +a(g826 +g974 +tp13142 +a(g826 +g974 +tp13143 +a(g826 +g974 +tp13144 +a(g705 +g1201 +tp13145 +a(g89 +g1247 +tp13146 +a(g89 +g4334 +tp13147 +a(g89 +g1059 +tp13148 +a(g89 +g1218 +tp13149 +a(g89 +g1059 +tp13150 +a(g89 +g1253 +tp13151 +a(g89 +g4334 +tp13152 +a(g89 +g1059 +tp13153 +a(g89 +g1218 +tp13154 +a(g89 +g1059 +tp13155 +a(g89 +g1071 +tp13156 +a(g89 +g1212 +tp13157 +a(g89 +g1291 +tp13158 +a(g89 +g996 +tp13159 +a(g89 +g996 +tp13160 +a(g89 +g3046 +tp13161 +a(g89 +g1195 +tp13162 +a(g89 +g1210 +tp13163 +a(g89 +g1212 +tp13164 +a(g89 +g1059 +tp13165 +a(g89 +g1057 +tp13166 +a(g89 +g1212 +tp13167 +a(g89 +g12759 +tp13168 +a(g89 +g1059 +tp13169 +a(g89 +g1729 +tp13170 +a(g89 +g1057 +tp13171 +a(g89 +g1195 +tp13172 +a(g705 +g1201 +tp13173 +a(g826 +g1043 +tp13174 +a(g826 +V\u000a +p13175 +tp13176 +a(g826 +g974 +tp13177 +a(g826 +g974 +tp13178 +a(g826 +g974 +tp13179 +a(g826 +g974 +tp13180 +a(g705 +g1201 +tp13181 +a(g89 +g1247 +tp13182 +a(g89 +g4334 +tp13183 +a(g89 +g1059 +tp13184 +a(g89 +g1218 +tp13185 +a(g89 +g1059 +tp13186 +a(g89 +g1253 +tp13187 +a(g89 +g4334 +tp13188 +a(g89 +g1059 +tp13189 +a(g89 +g1218 +tp13190 +a(g89 +g1059 +tp13191 +a(g89 +g1071 +tp13192 +a(g89 +g1057 +tp13193 +a(g89 +g1059 +tp13194 +a(g89 +g1190 +tp13195 +a(g89 +g1317 +tp13196 +a(g89 +g996 +tp13197 +a(g89 +g996 +tp13198 +a(g89 +g1055 +tp13199 +a(g89 +g1291 +tp13200 +a(g89 +g1193 +tp13201 +a(g89 +g1111 +tp13202 +a(g89 +g1059 +tp13203 +a(g89 +g1210 +tp13204 +a(g89 +g1059 +tp13205 +a(g89 +g1729 +tp13206 +a(g89 +g1057 +tp13207 +a(g89 +g1195 +tp13208 +a(g705 +g1201 +tp13209 +a(g826 +g1043 +tp13210 +a(g826 +V\u000a +p13211 +tp13212 +a(g826 +g974 +tp13213 +a(g826 +g974 +tp13214 +a(g412 +g1253 +tp13215 +a(g826 +V\u000a +p13216 +tp13217 +a(g826 +V\u000a +p13218 +tp13219 +a(g826 +g974 +tp13220 +a(g826 +g974 +tp13221 +a(g744 +Vconst +p13222 +tp13223 +a(g826 +g974 +tp13224 +a(g744 +Vstatic +p13225 +tp13226 +a(g826 +g974 +tp13227 +a(g628 +VStr +p13228 +tp13229 +a(g705 +g1247 +tp13230 +a(g705 +g1253 +tp13231 +a(g826 +g974 +tp13232 +a(g440 +VstrTypes +p13233 +tp13234 +a(g826 +g974 +tp13235 +a(g412 +V:= +p13236 +tp13237 +a(g826 +g974 +tp13238 +a(g826 +g3046 +tp13239 +a(g826 +g1067 +tp13240 +a(g826 +g1210 +tp13241 +a(g412 +g1247 +tp13242 +a(g826 +V\u000a +p13243 +tp13244 +a(g826 +g974 +tp13245 +a(g826 +g974 +tp13246 +a(g826 +g974 +tp13247 +a(g826 +g974 +tp13248 +a(g705 +g1201 +tp13249 +a(g89 +g1247 +tp13250 +a(g89 +g4334 +tp13251 +a(g89 +g1059 +tp13252 +a(g89 +g1218 +tp13253 +a(g89 +g1059 +tp13254 +a(g89 +g1253 +tp13255 +a(g89 +g4334 +tp13256 +a(g89 +g1059 +tp13257 +a(g89 +g1218 +tp13258 +a(g89 +g1059 +tp13259 +a(g89 +g1071 +tp13260 +a(g89 +g1212 +tp13261 +a(g89 +g1291 +tp13262 +a(g89 +g996 +tp13263 +a(g89 +g996 +tp13264 +a(g89 +g3046 +tp13265 +a(g89 +g1195 +tp13266 +a(g89 +g1210 +tp13267 +a(g89 +g1212 +tp13268 +a(g89 +g1059 +tp13269 +a(g89 +g1057 +tp13270 +a(g89 +g1212 +tp13271 +a(g89 +g12759 +tp13272 +a(g89 +g1059 +tp13273 +a(g89 +g1729 +tp13274 +a(g89 +g1057 +tp13275 +a(g89 +g1195 +tp13276 +a(g705 +g1201 +tp13277 +a(g826 +g1043 +tp13278 +a(g826 +V\u000a +p13279 +tp13280 +a(g826 +g974 +tp13281 +a(g826 +g974 +tp13282 +a(g826 +g974 +tp13283 +a(g826 +g974 +tp13284 +a(g705 +g1201 +tp13285 +a(g89 +g1247 +tp13286 +a(g89 +g4334 +tp13287 +a(g89 +g1059 +tp13288 +a(g89 +g1218 +tp13289 +a(g89 +g1059 +tp13290 +a(g89 +g1253 +tp13291 +a(g89 +g4334 +tp13292 +a(g89 +g1059 +tp13293 +a(g89 +g1218 +tp13294 +a(g89 +g1059 +tp13295 +a(g89 +g1071 +tp13296 +a(g89 +g1057 +tp13297 +a(g89 +g1059 +tp13298 +a(g89 +g1190 +tp13299 +a(g89 +g1317 +tp13300 +a(g89 +g996 +tp13301 +a(g89 +g996 +tp13302 +a(g89 +g1055 +tp13303 +a(g89 +g1069 +tp13304 +a(g89 +g1059 +tp13305 +a(g89 +g1210 +tp13306 +a(g89 +g3046 +tp13307 +a(g89 +g1195 +tp13308 +a(g89 +Vq +p13309 +tp13310 +a(g89 +g1334 +tp13311 +a(g89 +g1195 +tp13312 +a(g89 +g1190 +tp13313 +a(g89 +g1041 +tp13314 +a(g89 +g1195 +tp13315 +a(g705 +g1201 +tp13316 +a(g826 +g1043 +tp13317 +a(g826 +V\u000a +p13318 +tp13319 +a(g826 +g974 +tp13320 +a(g826 +g974 +tp13321 +a(g826 +g974 +tp13322 +a(g826 +g974 +tp13323 +a(g705 +g1201 +tp13324 +a(g89 +g1247 +tp13325 +a(g89 +g4334 +tp13326 +a(g89 +g1059 +tp13327 +a(g89 +g1218 +tp13328 +a(g89 +g1059 +tp13329 +a(g89 +g1253 +tp13330 +a(g89 +g4334 +tp13331 +a(g89 +g1059 +tp13332 +a(g89 +g1218 +tp13333 +a(g89 +g1059 +tp13334 +a(g89 +g1071 +tp13335 +a(g89 +g1057 +tp13336 +a(g89 +g1059 +tp13337 +a(g89 +g1190 +tp13338 +a(g89 +g1317 +tp13339 +a(g89 +g996 +tp13340 +a(g89 +g996 +tp13341 +a(g89 +g1055 +tp13342 +a(g89 +g1291 +tp13343 +a(g89 +g1193 +tp13344 +a(g89 +g1111 +tp13345 +a(g89 +g1059 +tp13346 +a(g89 +g1210 +tp13347 +a(g89 +g1059 +tp13348 +a(g89 +g1729 +tp13349 +a(g89 +g1057 +tp13350 +a(g89 +g1195 +tp13351 +a(g705 +g1201 +tp13352 +a(g826 +g1043 +tp13353 +a(g826 +V\u000a +p13354 +tp13355 +a(g826 +g974 +tp13356 +a(g826 +g974 +tp13357 +a(g412 +g1253 +tp13358 +a(g826 +V\u000a +p13359 +tp13360 +a(g826 +V\u000a +p13361 +tp13362 +a(g826 +g974 +tp13363 +a(g826 +g974 +tp13364 +a(g628 +VJavaPrimitives +p13365 +tp13366 +a(g826 +g974 +tp13367 +a(g440 +Vprimitives +p13368 +tp13369 +a(g826 +g974 +tp13370 +a(g412 +V:= +p13371 +tp13372 +a(g826 +g974 +tp13373 +a(g826 +g1305 +tp13374 +a(g826 +g1059 +tp13375 +a(g826 +g1218 +tp13376 +a(g826 +g1059 +tp13377 +a(g826 +g1064 +tp13378 +a(g826 +g1210 +tp13379 +a(g826 +g1212 +tp13380 +a(g826 +g1193 +tp13381 +a(g826 +g1212 +tp13382 +a(g826 +g1067 +tp13383 +a(g826 +g1212 +tp13384 +a(g826 +g1218 +tp13385 +a(g826 +g1195 +tp13386 +a(g826 +g1061 +tp13387 +a(g705 +g1036 +tp13388 +a(g693 +Vthis +p13389 +tp13390 +a(g705 +g1075 +tp13391 +a(g826 +V\u000a +p13392 +tp13393 +a(g826 +g974 +tp13394 +a(g826 +g974 +tp13395 +a(g628 +VClassPath +p13396 +tp13397 +a(g826 +g974 +tp13398 +a(g440 +Vcp +p13399 +tp13400 +a(g826 +V\u000a +p13401 +tp13402 +a(g826 +V\u000a +p13403 +tp13404 +a(g826 +g974 +tp13405 +a(g826 +g974 +tp13406 +a(g744 +Vprivate +p13407 +tp13408 +a(g826 +g974 +tp13409 +a(g628 +VStr +p13410 +tp13411 +a(g705 +g996 +tp13412 +a(g628 +VCMethod +p13413 +tp13414 +a(g826 +g974 +tp13415 +a(g440 +VfuncWrappers +p13416 +tp13417 +a(g826 +g974 +tp13418 +a(g412 +V:= +p13419 +tp13420 +a(g826 +g974 +tp13421 +a(g628 +VStr +p13422 +tp13423 +a(g705 +g996 +tp13424 +a(g628 +VCMethod +p13425 +tp13426 +a(g52 +V[:] +p13427 +tp13428 +a(g826 +g974 +tp13429 +a(g826 +g974 +tp13430 +a(g8 +V// funcType+method:ctor\u000a +p13431 +tp13432 +a(g826 +V\u000a +p13433 +tp13434 +a(g826 +g1117 +tp13435 +a(g826 +V\u000a +p13436 +tp13437 +a(g826 +V\u000a +p13438 +tp13439 +a(g882 +V**************************************************************************\u000a +p13440 +tp13441 +a(g882 +V** CallMatch\u000a +p13442 +tp13443 +a(g882 +V**************************************************************************\u000a +p13444 +tp13445 +a(g826 +V\u000a +p13446 +tp13447 +a(g744 +Vinternal +p13448 +tp13449 +a(g826 +g974 +tp13450 +a(g744 +Vclass +p13451 +tp13452 +a(g826 +g974 +tp13453 +a(g628 +VCallMatch +p13454 +tp13455 +a(g826 +V\u000a +p13456 +tp13457 +a(g705 +g1003 +tp13458 +a(g826 +V\u000a +p13459 +tp13460 +a(g826 +g974 +tp13461 +a(g826 +g974 +tp13462 +a(g628 +VCallExpr +p13463 +tp13464 +a(g826 +g974 +tp13465 +a(g573 +Vapply +p13466 +tp13467 +a(g705 +g1036 +tp13468 +a(g628 +VCallExpr +p13469 +tp13470 +a(g826 +g974 +tp13471 +a(g440 +Vcall +p13472 +tp13473 +a(g705 +g1075 +tp13474 +a(g826 +V\u000a +p13475 +tp13476 +a(g826 +g974 +tp13477 +a(g826 +g974 +tp13478 +a(g705 +g1003 +tp13479 +a(g826 +V\u000a +p13480 +tp13481 +a(g826 +g974 +tp13482 +a(g826 +g974 +tp13483 +a(g826 +g974 +tp13484 +a(g826 +g974 +tp13485 +a(g826 +g1041 +tp13486 +a(g826 +g1059 +tp13487 +a(g826 +g1057 +tp13488 +a(g826 +g1057 +tp13489 +a(g412 +g1071 +tp13490 +a(g573 +Vargs +p13491 +tp13492 +a(g826 +g974 +tp13493 +a(g826 +g974 +tp13494 +a(g826 +g974 +tp13495 +a(g412 +g1107 +tp13496 +a(g826 +g974 +tp13497 +a(g826 +g1059 +tp13498 +a(g826 +g1210 +tp13499 +a(g826 +g1317 +tp13500 +a(g826 +g1061 +tp13501 +a(g826 +V\u000a +p13502 +tp13503 +a(g826 +g974 +tp13504 +a(g826 +g974 +tp13505 +a(g826 +g974 +tp13506 +a(g826 +g974 +tp13507 +a(g826 +g1041 +tp13508 +a(g826 +g1059 +tp13509 +a(g826 +g1057 +tp13510 +a(g826 +g1057 +tp13511 +a(g412 +g1071 +tp13512 +a(g573 +Vmethod +p13513 +tp13514 +a(g826 +g974 +tp13515 +a(g412 +g1107 +tp13516 +a(g826 +g974 +tp13517 +a(g826 +g1193 +tp13518 +a(g826 +g1195 +tp13519 +a(g826 +g1067 +tp13520 +a(g826 +g1069 +tp13521 +a(g826 +g1291 +tp13522 +a(g826 +g1337 +tp13523 +a(g826 +V\u000a +p13524 +tp13525 +a(g826 +g974 +tp13526 +a(g826 +g974 +tp13527 +a(g826 +g974 +tp13528 +a(g826 +g974 +tp13529 +a(g826 +g1041 +tp13530 +a(g826 +g1059 +tp13531 +a(g826 +g1057 +tp13532 +a(g826 +g1057 +tp13533 +a(g412 +g1071 +tp13534 +a(g573 +Vctype +p13535 +tp13536 +a(g826 +g974 +tp13537 +a(g826 +g974 +tp13538 +a(g412 +g1107 +tp13539 +a(g826 +g974 +tp13540 +a(g826 +g1193 +tp13541 +a(g826 +g1195 +tp13542 +a(g826 +g1067 +tp13543 +a(g826 +g1069 +tp13544 +a(g826 +g1291 +tp13545 +a(g826 +g1337 +tp13546 +a(g412 +g1071 +tp13547 +a(g573 +VisCtor +p13548 +tp13549 +a(g826 +g974 +tp13550 +a(g826 +g1163 +tp13551 +a(g826 +g974 +tp13552 +a(g826 +g1193 +tp13553 +a(g826 +g1195 +tp13554 +a(g826 +g1067 +tp13555 +a(g826 +g1069 +tp13556 +a(g826 +g1291 +tp13557 +a(g826 +g1337 +tp13558 +a(g412 +g1071 +tp13559 +a(g573 +Vparent +p13560 +tp13561 +a(g826 +g974 +tp13562 +a(g826 +g996 +tp13563 +a(g826 +g974 +tp13564 +a(g826 +g1193 +tp13565 +a(g826 +g1195 +tp13566 +a(g826 +g1067 +tp13567 +a(g826 +g1069 +tp13568 +a(g826 +g1291 +tp13569 +a(g826 +g1337 +tp13570 +a(g412 +g1071 +tp13571 +a(g573 +VreturnType +p13572 +tp13573 +a(g826 +V\u000a +p13574 +tp13575 +a(g826 +g974 +tp13576 +a(g826 +g974 +tp13577 +a(g826 +g974 +tp13578 +a(g826 +g974 +tp13579 +a(g744 +Vreturn +p13580 +tp13581 +a(g826 +g974 +tp13582 +a(g826 +g1041 +tp13583 +a(g826 +g1059 +tp13584 +a(g826 +g1057 +tp13585 +a(g826 +g1057 +tp13586 +a(g826 +V\u000a +p13587 +tp13588 +a(g826 +g974 +tp13589 +a(g826 +g974 +tp13590 +a(g826 +g1117 +tp13591 +a(g826 +V\u000a +p13592 +tp13593 +a(g826 +V\u000a +p13594 +tp13595 +a(g826 +g974 +tp13596 +a(g826 +g974 +tp13597 +a(g744 +Voverride +p13598 +tp13599 +a(g826 +g974 +tp13600 +a(g628 +VStr +p13601 +tp13602 +a(g826 +g974 +tp13603 +a(g573 +VtoStr +p13604 +tp13605 +a(g705 +g1036 +tp13606 +a(g705 +g1075 +tp13607 +a(g826 +g974 +tp13608 +a(g705 +g1003 +tp13609 +a(g826 +g974 +tp13610 +a(g744 +Vreturn +p13611 +tp13612 +a(g826 +g974 +tp13613 +a(g826 +g1193 +tp13614 +a(g826 +g1195 +tp13615 +a(g826 +g1067 +tp13616 +a(g826 +g1069 +tp13617 +a(g826 +g1291 +tp13618 +a(g826 +g1337 +tp13619 +a(g412 +g1071 +tp13620 +a(g573 +Vsignature +p13621 +tp13622 +a(g826 +g974 +tp13623 +a(g705 +g1117 +tp13624 +a(g826 +V\u000a +p13625 +tp13626 +a(g826 +V\u000a +p13627 +tp13628 +a(g826 +g974 +tp13629 +a(g826 +g974 +tp13630 +a(g628 +VCMethod +p13631 +tp13632 +a(g705 +g1163 +tp13633 +a(g826 +g974 +tp13634 +a(g440 +Vmethod +p13635 +tp13636 +a(g826 +g974 +tp13637 +a(g826 +g974 +tp13638 +a(g826 +g974 +tp13639 +a(g826 +g974 +tp13640 +a(g8 +V// matched method\u000a +p13641 +tp13642 +a(g826 +g974 +tp13643 +a(g826 +g974 +tp13644 +a(g628 +VExpr +p13645 +tp13646 +a(g705 +g1247 +tp13647 +a(g705 +g1253 +tp13648 +a(g705 +g1163 +tp13649 +a(g826 +g974 +tp13650 +a(g440 +Vargs +p13651 +tp13652 +a(g826 +g974 +tp13653 +a(g826 +g974 +tp13654 +a(g826 +g974 +tp13655 +a(g826 +g974 +tp13656 +a(g826 +g974 +tp13657 +a(g826 +g974 +tp13658 +a(g826 +g974 +tp13659 +a(g8 +V// coerced arguments\u000a +p13660 +tp13661 +a(g826 +g1117 +tp13662 +a(g826 +V\u000a +p13663 +tp13664 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.flx b/tests/examplefiles/output/test.flx new file mode 100644 index 0000000..b2f2459 --- /dev/null +++ b/tests/examplefiles/output/test.flx @@ -0,0 +1,4235 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVtype +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g881 +Vtiny +p960 +tp961 +a(g826 +g958 +tp962 +a(g400 +V= +p963 +tp964 +a(g826 +g958 +tp965 +a(g76 +V" +p966 +tp967 +a(g112 +V%i +p968 +tp969 +a(g76 +V8 +p970 +tp971 +a(g76 +g966 +tp972 +a(g693 +V; +p973 +tp974 +a(g826 +V\u000a +p975 +tp976 +a(g7 +Vtype +p977 +tp978 +a(g826 +g958 +tp979 +a(g881 +Vint +p980 +tp981 +a(g826 +g958 +tp982 +a(g400 +g963 +tp983 +a(g826 +g958 +tp984 +a(g76 +g966 +tp985 +a(g112 +V%i +p986 +tp987 +a(g76 +V32 +p988 +tp989 +a(g76 +g966 +tp990 +a(g693 +g973 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g7 +Vtypedef +p994 +tp995 +a(g826 +g958 +tp996 +a(g881 +Vbool +p997 +tp998 +a(g826 +g958 +tp999 +a(g400 +g963 +tp1000 +a(g826 +g958 +tp1001 +a(g22 +V2 +p1002 +tp1003 +a(g693 +g973 +tp1004 +a(g826 +V\u000a +p1005 +tp1006 +a(g7 +Vfun +p1007 +tp1008 +a(g826 +g958 +tp1009 +a(g561 +Vadd +p1010 +tp1011 +a(g826 +g958 +tp1012 +a(g693 +V: +p1013 +tp1014 +a(g826 +g958 +tp1015 +a(g881 +Vint +p1016 +tp1017 +a(g400 +V* +p1018 +tp1019 +a(g881 +Vint +p1020 +tp1021 +a(g826 +g958 +tp1022 +a(g400 +V- +p1023 +tp1024 +a(g400 +V> +p1025 +tp1026 +a(g826 +g958 +tp1027 +a(g881 +Vint +p1028 +tp1029 +a(g826 +g958 +tp1030 +a(g400 +g963 +tp1031 +a(g826 +g958 +tp1032 +a(g76 +g966 +tp1033 +a(g76 +V% +p1034 +tp1035 +a(g76 +Vadd +p1036 +tp1037 +a(g76 +g966 +tp1038 +a(g693 +g973 +tp1039 +a(g826 +V\u000a +p1040 +tp1041 +a(g7 +Vfun +p1042 +tp1043 +a(g826 +g958 +tp1044 +a(g561 +Vsub +p1045 +tp1046 +a(g826 +g958 +tp1047 +a(g693 +g1013 +tp1048 +a(g826 +g958 +tp1049 +a(g881 +Vint +p1050 +tp1051 +a(g400 +g1018 +tp1052 +a(g881 +Vint +p1053 +tp1054 +a(g826 +g958 +tp1055 +a(g400 +g1023 +tp1056 +a(g400 +g1025 +tp1057 +a(g826 +g958 +tp1058 +a(g881 +Vint +p1059 +tp1060 +a(g826 +g958 +tp1061 +a(g400 +g963 +tp1062 +a(g826 +g958 +tp1063 +a(g76 +g966 +tp1064 +a(g112 +V%s +p1065 +tp1066 +a(g76 +Vub +p1067 +tp1068 +a(g76 +g966 +tp1069 +a(g693 +g973 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a(g7 +Vfun +p1073 +tp1074 +a(g826 +g958 +tp1075 +a(g561 +Veq +p1076 +tp1077 +a(g826 +g958 +tp1078 +a(g693 +g1013 +tp1079 +a(g826 +g958 +tp1080 +a(g881 +Vint +p1081 +tp1082 +a(g400 +g1018 +tp1083 +a(g881 +Vint +p1084 +tp1085 +a(g826 +g958 +tp1086 +a(g400 +g1023 +tp1087 +a(g400 +g1025 +tp1088 +a(g826 +g958 +tp1089 +a(g881 +Vbool +p1090 +tp1091 +a(g826 +g958 +tp1092 +a(g400 +g963 +tp1093 +a(g826 +g958 +tp1094 +a(g76 +g966 +tp1095 +a(g112 +V%e +p1096 +tp1097 +a(g76 +Vq +p1098 +tp1099 +a(g76 +g966 +tp1100 +a(g693 +g973 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g7 +Vfun +p1104 +tp1105 +a(g826 +g958 +tp1106 +a(g561 +Vlnot +p1107 +tp1108 +a(g826 +g958 +tp1109 +a(g693 +g1013 +tp1110 +a(g826 +g958 +tp1111 +a(g881 +Vbool +p1112 +tp1113 +a(g826 +g958 +tp1114 +a(g400 +g1023 +tp1115 +a(g400 +g1025 +tp1116 +a(g826 +g958 +tp1117 +a(g881 +Vbool +p1118 +tp1119 +a(g826 +g958 +tp1120 +a(g400 +g963 +tp1121 +a(g826 +g958 +tp1122 +a(g76 +g966 +tp1123 +a(g76 +g1034 +tp1124 +a(g76 +Vlnot +p1125 +tp1126 +a(g76 +g966 +tp1127 +a(g693 +g973 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g7 +Vproc +p1131 +tp1132 +a(g826 +g958 +tp1133 +a(g561 +Vexit +p1134 +tp1135 +a(g826 +g958 +tp1136 +a(g693 +g1013 +tp1137 +a(g826 +g958 +tp1138 +a(g881 +Vint +p1139 +tp1140 +a(g826 +g958 +tp1141 +a(g400 +g963 +tp1142 +a(g826 +g958 +tp1143 +a(g76 +g966 +tp1144 +a(g76 +Vexit +p1145 +tp1146 +a(g76 +g966 +tp1147 +a(g693 +g973 +tp1148 +a(g826 +V\u000a +p1149 +tp1150 +a(g826 +V\u000a +p1151 +tp1152 +a(g745 +V// comment 1\u000a +p1153 +tp1154 +a(g776 +V/* +p1155 +tp1156 +a(g776 +V\u000a +p1157 +tp1158 +a(g776 +g958 +tp1159 +a(g776 +g958 +tp1160 +a(g776 +V/* +p1161 +tp1162 +a(g776 +V\u000a +p1163 +tp1164 +a(g776 +g958 +tp1165 +a(g776 +g958 +tp1166 +a(g776 +Vf +p1167 +tp1168 +a(g776 +Vo +p1169 +tp1170 +a(g776 +g1169 +tp1171 +a(g776 +g958 +tp1172 +a(g776 +Vb +p1173 +tp1174 +a(g776 +Va +p1175 +tp1176 +a(g776 +Vr +p1177 +tp1178 +a(g776 +V\u000a +p1179 +tp1180 +a(g776 +g958 +tp1181 +a(g776 +g958 +tp1182 +a(g776 +V*/ +p1183 +tp1184 +a(g776 +V\u000a +p1185 +tp1186 +a(g776 +g1175 +tp1187 +a(g776 +Vs +p1188 +tp1189 +a(g776 +Vd +p1190 +tp1191 +a(g776 +g1175 +tp1192 +a(g776 +g1188 +tp1193 +a(g776 +V\u000a +p1194 +tp1195 +a(g776 +V*/ +p1196 +tp1197 +a(g826 +V\u000a +p1198 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g483 +Vnoinline +p1202 +tp1203 +a(g826 +g958 +tp1204 +a(g7 +Vfun +p1205 +tp1206 +a(g826 +g958 +tp1207 +a(g561 +Vfoo +p1208 +tp1209 +a(g826 +g958 +tp1210 +a(g693 +V( +p1211 +tp1212 +a(g423 +Vx +p1213 +tp1214 +a(g693 +g1013 +tp1215 +a(g881 +Vint +p1216 +tp1217 +a(g693 +V) +p1218 +tp1219 +a(g826 +g958 +tp1220 +a(g400 +g963 +tp1221 +a(g826 +g958 +tp1222 +a(g693 +V{ +p1223 +tp1224 +a(g826 +V\u000a +p1225 +tp1226 +a(g826 +V +p1227 +tp1228 +a(g942 +Vval +p1229 +tp1230 +a(g826 +g958 +tp1231 +a(g423 +Vy +p1232 +tp1233 +a(g826 +g958 +tp1234 +a(g400 +g963 +tp1235 +a(g826 +g958 +tp1236 +a(g22 +V6 +p1237 +tp1238 +a(g693 +g973 +tp1239 +a(g826 +V\u000a +p1240 +tp1241 +a(g826 +V +p1242 +tp1243 +a(g7 +Vreturn +p1244 +tp1245 +a(g826 +g958 +tp1246 +a(g423 +g1213 +tp1247 +a(g826 +g958 +tp1248 +a(g400 +V+ +p1249 +tp1250 +a(g826 +g958 +tp1251 +a(g423 +g1232 +tp1252 +a(g693 +g973 +tp1253 +a(g826 +V\u000a +p1254 +tp1255 +a(g693 +V} +p1256 +tp1257 +a(g826 +V\u000a +p1258 +tp1259 +a(g826 +V\u000a +p1260 +tp1261 +a(g483 +Vnoinline +p1262 +tp1263 +a(g826 +g958 +tp1264 +a(g7 +Vproc +p1265 +tp1266 +a(g826 +g958 +tp1267 +a(g561 +Vfake_exit +p1268 +tp1269 +a(g826 +g958 +tp1270 +a(g693 +g1211 +tp1271 +a(g423 +g1213 +tp1272 +a(g693 +g1013 +tp1273 +a(g881 +Vint +p1274 +tp1275 +a(g693 +g1218 +tp1276 +a(g826 +g958 +tp1277 +a(g693 +g1223 +tp1278 +a(g826 +V\u000a +p1279 +tp1280 +a(g826 +V +p1281 +tp1282 +a(g423 +Vexit +p1283 +tp1284 +a(g826 +g958 +tp1285 +a(g423 +g1213 +tp1286 +a(g693 +g973 +tp1287 +a(g826 +V\u000a +p1288 +tp1289 +a(g826 +V +p1290 +tp1291 +a(g7 +Vreturn +p1292 +tp1293 +a(g693 +g973 +tp1294 +a(g826 +V\u000a +p1295 +tp1296 +a(g693 +g1256 +tp1297 +a(g826 +V\u000a +p1298 +tp1299 +a(g826 +V\u000a +p1300 +tp1301 +a(g483 +Vnoinline +p1302 +tp1303 +a(g826 +g958 +tp1304 +a(g7 +Vfun +p1305 +tp1306 +a(g826 +g958 +tp1307 +a(g561 +Vbar +p1308 +tp1309 +a(g826 +g958 +tp1310 +a(g693 +g1211 +tp1311 +a(g423 +g1213 +tp1312 +a(g693 +g1013 +tp1313 +a(g881 +Vint +p1314 +tp1315 +a(g693 +g1218 +tp1316 +a(g826 +g958 +tp1317 +a(g400 +g963 +tp1318 +a(g826 +g958 +tp1319 +a(g693 +g1223 +tp1320 +a(g826 +V\u000a +p1321 +tp1322 +a(g826 +V +p1323 +tp1324 +a(g942 +Vvar +p1325 +tp1326 +a(g826 +g958 +tp1327 +a(g423 +g1232 +tp1328 +a(g826 +g958 +tp1329 +a(g400 +g963 +tp1330 +a(g826 +g958 +tp1331 +a(g22 +V10 +p1332 +tp1333 +a(g693 +g973 +tp1334 +a(g826 +V\u000a +p1335 +tp1336 +a(g826 +V +p1337 +tp1338 +a(g483 +Vnoinline +p1339 +tp1340 +a(g826 +g958 +tp1341 +a(g7 +Vproc +p1342 +tp1343 +a(g826 +g958 +tp1344 +a(g561 +Vbaz +p1345 +tp1346 +a(g826 +g958 +tp1347 +a(g693 +g1211 +tp1348 +a(g693 +g1218 +tp1349 +a(g826 +g958 +tp1350 +a(g693 +g1223 +tp1351 +a(g826 +V\u000a +p1352 +tp1353 +a(g826 +V +p1354 +tp1355 +a(g423 +g1232 +tp1356 +a(g826 +g958 +tp1357 +a(g400 +g963 +tp1358 +a(g826 +g958 +tp1359 +a(g22 +V20 +p1360 +tp1361 +a(g693 +g973 +tp1362 +a(g826 +V\u000a +p1363 +tp1364 +a(g826 +V +p1365 +tp1366 +a(g7 +Vreturn +p1367 +tp1368 +a(g693 +g973 +tp1369 +a(g826 +V\u000a +p1370 +tp1371 +a(g826 +V +p1372 +tp1373 +a(g693 +g1256 +tp1374 +a(g826 +V\u000a +p1375 +tp1376 +a(g826 +V +p1377 +tp1378 +a(g423 +Vbaz +p1379 +tp1380 +a(g826 +g958 +tp1381 +a(g693 +g1211 +tp1382 +a(g693 +g1218 +tp1383 +a(g693 +g973 +tp1384 +a(g826 +V\u000a +p1385 +tp1386 +a(g826 +V +p1387 +tp1388 +a(g7 +Vreturn +p1389 +tp1390 +a(g826 +g958 +tp1391 +a(g423 +g1213 +tp1392 +a(g826 +g958 +tp1393 +a(g400 +g1249 +tp1394 +a(g826 +g958 +tp1395 +a(g423 +g1232 +tp1396 +a(g693 +g973 +tp1397 +a(g826 +V\u000a +p1398 +tp1399 +a(g693 +g1256 +tp1400 +a(g826 +V\u000a +p1401 +tp1402 +a(g826 +V\u000a +p1403 +tp1404 +a(g483 +Vnoinline +p1405 +tp1406 +a(g826 +g958 +tp1407 +a(g7 +Vfun +p1408 +tp1409 +a(g826 +g958 +tp1410 +a(g561 +g1213 +tp1411 +a(g826 +g958 +tp1412 +a(g693 +g1211 +tp1413 +a(g423 +g1175 +tp1414 +a(g693 +g1013 +tp1415 +a(g881 +Vint +p1416 +tp1417 +a(g693 +V, +p1418 +tp1419 +a(g826 +g958 +tp1420 +a(g423 +g1173 +tp1421 +a(g693 +g1013 +tp1422 +a(g881 +Vint +p1423 +tp1424 +a(g693 +g1418 +tp1425 +a(g826 +g958 +tp1426 +a(g423 +Vc +p1427 +tp1428 +a(g693 +g1013 +tp1429 +a(g881 +Vtiny +p1430 +tp1431 +a(g693 +g1218 +tp1432 +a(g826 +g958 +tp1433 +a(g400 +g963 +tp1434 +a(g826 +g958 +tp1435 +a(g693 +g1223 +tp1436 +a(g826 +V\u000a +p1437 +tp1438 +a(g826 +V +p1439 +tp1440 +a(g942 +Vval +p1441 +tp1442 +a(g826 +g958 +tp1443 +a(g423 +Vx1 +p1444 +tp1445 +a(g826 +g958 +tp1446 +a(g400 +g963 +tp1447 +a(g826 +g958 +tp1448 +a(g423 +g1175 +tp1449 +a(g693 +g973 +tp1450 +a(g826 +V\u000a +p1451 +tp1452 +a(g826 +V +p1453 +tp1454 +a(g942 +Vval +p1455 +tp1456 +a(g826 +g958 +tp1457 +a(g423 +Vx2 +p1458 +tp1459 +a(g826 +g958 +tp1460 +a(g400 +g963 +tp1461 +a(g826 +g958 +tp1462 +a(g423 +g1173 +tp1463 +a(g693 +g973 +tp1464 +a(g826 +V\u000a +p1465 +tp1466 +a(g826 +V +p1467 +tp1468 +a(g942 +Vval +p1469 +tp1470 +a(g826 +g958 +tp1471 +a(g423 +Vx3 +p1472 +tp1473 +a(g826 +g958 +tp1474 +a(g400 +g963 +tp1475 +a(g826 +g958 +tp1476 +a(g423 +g1427 +tp1477 +a(g693 +g973 +tp1478 +a(g826 +V\u000a +p1479 +tp1480 +a(g826 +V +p1481 +tp1482 +a(g483 +Vnoinline +p1483 +tp1484 +a(g826 +g958 +tp1485 +a(g7 +Vfun +p1486 +tp1487 +a(g826 +g958 +tp1488 +a(g561 +g1232 +tp1489 +a(g826 +g958 +tp1490 +a(g693 +g1211 +tp1491 +a(g423 +g1190 +tp1492 +a(g693 +g1013 +tp1493 +a(g881 +Vint +p1494 +tp1495 +a(g693 +g1418 +tp1496 +a(g826 +g958 +tp1497 +a(g423 +Ve +p1498 +tp1499 +a(g693 +g1013 +tp1500 +a(g881 +Vint +p1501 +tp1502 +a(g693 +g1418 +tp1503 +a(g826 +g958 +tp1504 +a(g423 +g1167 +tp1505 +a(g693 +g1013 +tp1506 +a(g881 +Vtiny +p1507 +tp1508 +a(g693 +g1218 +tp1509 +a(g826 +g958 +tp1510 +a(g400 +g963 +tp1511 +a(g826 +g958 +tp1512 +a(g693 +g1223 +tp1513 +a(g826 +V\u000a +p1514 +tp1515 +a(g826 +V +p1516 +tp1517 +a(g942 +Vval +p1518 +tp1519 +a(g826 +g958 +tp1520 +a(g423 +Vy1 +p1521 +tp1522 +a(g826 +g958 +tp1523 +a(g400 +g963 +tp1524 +a(g826 +g958 +tp1525 +a(g423 +Vx1 +p1526 +tp1527 +a(g693 +g973 +tp1528 +a(g826 +V\u000a +p1529 +tp1530 +a(g826 +V +p1531 +tp1532 +a(g942 +Vval +p1533 +tp1534 +a(g826 +g958 +tp1535 +a(g423 +Vy2 +p1536 +tp1537 +a(g826 +g958 +tp1538 +a(g400 +g963 +tp1539 +a(g826 +g958 +tp1540 +a(g423 +Vx2 +p1541 +tp1542 +a(g693 +g973 +tp1543 +a(g826 +V\u000a +p1544 +tp1545 +a(g826 +V +p1546 +tp1547 +a(g942 +Vval +p1548 +tp1549 +a(g826 +g958 +tp1550 +a(g423 +Vy3 +p1551 +tp1552 +a(g826 +g958 +tp1553 +a(g400 +g963 +tp1554 +a(g826 +g958 +tp1555 +a(g423 +g1167 +tp1556 +a(g693 +g973 +tp1557 +a(g826 +V\u000a +p1558 +tp1559 +a(g826 +V +p1560 +tp1561 +a(g483 +Vnoinline +p1562 +tp1563 +a(g826 +g958 +tp1564 +a(g7 +Vfun +p1565 +tp1566 +a(g826 +g958 +tp1567 +a(g561 +Vz +p1568 +tp1569 +a(g826 +g958 +tp1570 +a(g693 +g1211 +tp1571 +a(g423 +Vg +p1572 +tp1573 +a(g693 +g1013 +tp1574 +a(g881 +Vint +p1575 +tp1576 +a(g693 +g1418 +tp1577 +a(g826 +g958 +tp1578 +a(g423 +Vh +p1579 +tp1580 +a(g693 +g1013 +tp1581 +a(g881 +Vint +p1582 +tp1583 +a(g693 +g1418 +tp1584 +a(g826 +g958 +tp1585 +a(g423 +Vi +p1586 +tp1587 +a(g693 +g1013 +tp1588 +a(g881 +Vtiny +p1589 +tp1590 +a(g693 +g1218 +tp1591 +a(g826 +g958 +tp1592 +a(g400 +g963 +tp1593 +a(g826 +g958 +tp1594 +a(g693 +g1223 +tp1595 +a(g826 +V\u000a +p1596 +tp1597 +a(g826 +V +p1598 +tp1599 +a(g942 +Vval +p1600 +tp1601 +a(g826 +g958 +tp1602 +a(g423 +Vz1 +p1603 +tp1604 +a(g826 +g958 +tp1605 +a(g400 +g963 +tp1606 +a(g826 +g958 +tp1607 +a(g423 +Vx1 +p1608 +tp1609 +a(g693 +g973 +tp1610 +a(g826 +V\u000a +p1611 +tp1612 +a(g826 +V +p1613 +tp1614 +a(g942 +Vval +p1615 +tp1616 +a(g826 +g958 +tp1617 +a(g423 +Vz2 +p1618 +tp1619 +a(g826 +g958 +tp1620 +a(g400 +g963 +tp1621 +a(g826 +g958 +tp1622 +a(g423 +Vx2 +p1623 +tp1624 +a(g693 +g973 +tp1625 +a(g826 +V\u000a +p1626 +tp1627 +a(g826 +V +p1628 +tp1629 +a(g942 +Vval +p1630 +tp1631 +a(g826 +g958 +tp1632 +a(g423 +Vz3 +p1633 +tp1634 +a(g826 +g958 +tp1635 +a(g400 +g963 +tp1636 +a(g826 +g958 +tp1637 +a(g423 +g1586 +tp1638 +a(g693 +g973 +tp1639 +a(g826 +V\u000a +p1640 +tp1641 +a(g826 +V +p1642 +tp1643 +a(g7 +Vreturn +p1644 +tp1645 +a(g826 +g958 +tp1646 +a(g423 +Vz1 +p1647 +tp1648 +a(g693 +g973 +tp1649 +a(g826 +V\u000a +p1650 +tp1651 +a(g826 +V +p1652 +tp1653 +a(g693 +g1256 +tp1654 +a(g826 +V\u000a +p1655 +tp1656 +a(g826 +V +p1657 +tp1658 +a(g7 +Vreturn +p1659 +tp1660 +a(g826 +g958 +tp1661 +a(g423 +g1568 +tp1662 +a(g826 +g958 +tp1663 +a(g693 +g1211 +tp1664 +a(g423 +Vy1 +p1665 +tp1666 +a(g693 +g1418 +tp1667 +a(g423 +Vy2 +p1668 +tp1669 +a(g693 +g1418 +tp1670 +a(g423 +Vy3 +p1671 +tp1672 +a(g693 +g1218 +tp1673 +a(g693 +g973 +tp1674 +a(g826 +V\u000a +p1675 +tp1676 +a(g826 +V +p1677 +tp1678 +a(g693 +g1256 +tp1679 +a(g826 +V\u000a +p1680 +tp1681 +a(g826 +V +p1682 +tp1683 +a(g7 +Vreturn +p1684 +tp1685 +a(g826 +g958 +tp1686 +a(g423 +g1232 +tp1687 +a(g826 +g958 +tp1688 +a(g693 +g1211 +tp1689 +a(g423 +Vx1 +p1690 +tp1691 +a(g693 +g1418 +tp1692 +a(g423 +Vx2 +p1693 +tp1694 +a(g693 +g1418 +tp1695 +a(g423 +Vx3 +p1696 +tp1697 +a(g693 +g1218 +tp1698 +a(g693 +g973 +tp1699 +a(g826 +V\u000a +p1700 +tp1701 +a(g693 +g1256 +tp1702 +a(g826 +V\u000a +p1703 +tp1704 +a(g826 +V\u000a +p1705 +tp1706 +a(g423 +Vfake_exit +p1707 +tp1708 +a(g826 +g958 +tp1709 +a(g400 +V$ +p1710 +tp1711 +a(g826 +g958 +tp1712 +a(g693 +g1211 +tp1713 +a(g423 +Vfoo +p1714 +tp1715 +a(g826 +g958 +tp1716 +a(g22 +g1002 +tp1717 +a(g693 +g1218 +tp1718 +a(g826 +g958 +tp1719 +a(g400 +g1249 +tp1720 +a(g826 +g958 +tp1721 +a(g693 +g1211 +tp1722 +a(g423 +Vbar +p1723 +tp1724 +a(g826 +g958 +tp1725 +a(g22 +V3 +p1726 +tp1727 +a(g693 +g1218 +tp1728 +a(g826 +g958 +tp1729 +a(g400 +g1249 +tp1730 +a(g826 +g958 +tp1731 +a(g693 +g1211 +tp1732 +a(g423 +g1213 +tp1733 +a(g826 +g958 +tp1734 +a(g693 +g1211 +tp1735 +a(g22 +V1 +p1736 +tp1737 +a(g693 +g1418 +tp1738 +a(g22 +g1002 +tp1739 +a(g693 +g1418 +tp1740 +a(g22 +V3t +p1741 +tp1742 +a(g693 +g1218 +tp1743 +a(g693 +g1218 +tp1744 +a(g693 +g973 +tp1745 +a(g826 +V\u000a +p1746 +tp1747 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.gdc b/tests/examplefiles/output/test.gdc new file mode 100644 index 0000000..72f825d --- /dev/null +++ b/tests/examplefiles/output/test.gdc @@ -0,0 +1,2687 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# Execute the date dimension MAQL script +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g573 +VExecuteMaql +p960 +tp961 +a(g705 +V( +p962 +tp963 +a(g440 +VmaqlFile +p964 +tp965 +a(g412 +V= +p966 +tp967 +a(g89 +V" +p968 +tp969 +a(g89 +Vexamples/quotes/quote_date.maql +p970 +tp971 +a(g89 +g968 +tp972 +a(g705 +V) +p973 +tp974 +a(g705 +V; +p975 +tp976 +a(g826 +V\u000a\u000a +p977 +tp978 +a(g8 +V# load the stock quotes data file +p979 +tp980 +a(g826 +V\u000a +p981 +tp982 +a(g8 +V# the data file config has been generated +p983 +tp984 +a(g826 +V\u000a +p985 +tp986 +a(g573 +VLoadCsv +p987 +tp988 +a(g705 +g962 +tp989 +a(g440 +VcsvDataFile +p990 +tp991 +a(g412 +g966 +tp992 +a(g89 +g968 +tp993 +a(g89 +Vexamples/quotes/quotes.csv +p994 +tp995 +a(g89 +g968 +tp996 +a(g705 +V, +p997 +tp998 +a(g826 +V\u000a +p999 +tp1000 +a(g826 +V +p1001 +tp1002 +a(g440 +Vheader +p1003 +tp1004 +a(g412 +g966 +tp1005 +a(g89 +g968 +tp1006 +a(g89 +Vtrue +p1007 +tp1008 +a(g89 +g968 +tp1009 +a(g705 +g997 +tp1010 +a(g826 +V\u000a +p1011 +tp1012 +a(g826 +g1001 +tp1013 +a(g440 +VconfigFile +p1014 +tp1015 +a(g412 +g966 +tp1016 +a(g89 +g968 +tp1017 +a(g89 +Vexamples/quotes/quotes.config.xml +p1018 +tp1019 +a(g89 +g968 +tp1020 +a(g705 +g973 +tp1021 +a(g705 +g975 +tp1022 +a(g826 +V\u000a\u000a +p1023 +tp1024 +a(g8 +V# transfer the stock quotes data +p1025 +tp1026 +a(g826 +V\u000a +p1027 +tp1028 +a(g573 +VTransferLastSnapshot +p1029 +tp1030 +a(g705 +g962 +tp1031 +a(g705 +g973 +tp1032 +a(g705 +g975 +tp1033 +a(g826 +V\u000a\u000a +p1034 +tp1035 +a(g573 +VLoadGoogleAnalytics +p1036 +tp1037 +a(g705 +g962 +tp1038 +a(g440 +VconfigFile +p1039 +tp1040 +a(g412 +g966 +tp1041 +a(g89 +g968 +tp1042 +a(g89 +Vexamples/ga/ga.config.xml +p1043 +tp1044 +a(g89 +g968 +tp1045 +a(g705 +g997 +tp1046 +a(g440 +Vusername +p1047 +tp1048 +a(g412 +g966 +tp1049 +a(g89 +g968 +tp1050 +a(g89 +Vexample@gmail.com +p1051 +tp1052 +a(g89 +g968 +tp1053 +a(g705 +g997 +tp1054 +a(g440 +Vpassword +p1055 +tp1056 +a(g412 +g966 +tp1057 +a(g89 +g968 +tp1058 +a(g89 +V****** +p1059 +tp1060 +a(g89 +g968 +tp1061 +a(g705 +g997 +tp1062 +a(g440 +VprofileId +p1063 +tp1064 +a(g412 +g966 +tp1065 +a(g89 +g968 +tp1066 +a(g89 +Vga:7468896 +p1067 +tp1068 +a(g89 +g968 +tp1069 +a(g705 +g997 +tp1070 +a(g440 +Vdimensions +p1071 +tp1072 +a(g412 +g966 +tp1073 +a(g89 +g968 +tp1074 +a(g89 +Vga:date|ga:browser|ga:browserVersion|ga:country|ga:isMobile +p1075 +tp1076 +a(g89 +g968 +tp1077 +a(g705 +g997 +tp1078 +a(g440 +Vmetrics +p1079 +tp1080 +a(g412 +g966 +tp1081 +a(g89 +g968 +tp1082 +a(g89 +Vga:bounces|ga:newVisits|ga:pageViews|ga:visits +p1083 +tp1084 +a(g89 +g968 +tp1085 +a(g705 +g997 +tp1086 +a(g440 +VstartDate +p1087 +tp1088 +a(g412 +g966 +tp1089 +a(g89 +g968 +tp1090 +a(g89 +V2008-01-01 +p1091 +tp1092 +a(g89 +g968 +tp1093 +a(g705 +g997 +tp1094 +a(g440 +VendDate +p1095 +tp1096 +a(g412 +g966 +tp1097 +a(g89 +g968 +tp1098 +a(g89 +V2010-06-15 +p1099 +tp1100 +a(g89 +g968 +tp1101 +a(g705 +g973 +tp1102 +a(g705 +g975 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.gradle b/tests/examplefiles/output/test.gradle new file mode 100644 index 0000000..15081ac --- /dev/null +++ b/tests/examplefiles/output/test.gradle @@ -0,0 +1,2665 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Variable' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'Class' +p15 +g1 +(g2 +g3 +(g4 +g9 +g15 +tp16 +tp17 +Rp18 +(dp19 +g14 +g12 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Anonymous' +p25 +g1 +(g2 +g3 +(g4 +g9 +g25 +tp26 +tp27 +Rp28 +(dp29 +g14 +g12 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Instance' +p33 +g1 +(g2 +g3 +(g4 +g9 +g33 +tp34 +tp35 +Rp36 +(dp37 +g14 +g12 +sg20 +g21 +((lp38 +tp39 +Rp40 +sbsS'Global' +p41 +g1 +(g2 +g3 +(g4 +g9 +g41 +tp42 +tp43 +Rp44 +(dp45 +g14 +g12 +sg20 +g21 +((lp46 +tp47 +Rp48 +sbsg20 +g21 +((lp49 +g28 +ag36 +ag1 +(g2 +g3 +(g4 +g9 +S'Magic' +p50 +tp51 +tp52 +Rp53 +(dp54 +g14 +g12 +sg20 +g21 +((lp55 +tp56 +Rp57 +sbag44 +ag18 +atp58 +Rp59 +sg50 +g53 +sbsS'Operator' +p60 +g1 +(g2 +g3 +(g4 +g60 +tp61 +tp62 +Rp63 +(dp64 +g14 +g7 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbsS'Decorator' +p68 +g1 +(g2 +g3 +(g4 +g68 +tp69 +tp70 +Rp71 +(dp72 +g14 +g7 +sg20 +g21 +((lp73 +tp74 +Rp75 +sbsS'Entity' +p76 +g1 +(g2 +g3 +(g4 +g76 +tp77 +tp78 +Rp79 +(dp80 +g14 +g7 +sS'DBS' +p81 +g1 +(g2 +g3 +(g4 +g76 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g79 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsg20 +g21 +((lp89 +g84 +atp90 +Rp91 +sbsS'Symbol' +p92 +g1 +(g2 +g3 +(g4 +g92 +tp93 +tp94 +Rp95 +(dp96 +g14 +g7 +sg20 +g21 +((lp97 +tp98 +Rp99 +sbsS'Property' +p100 +g1 +(g2 +g3 +(g4 +g100 +tp101 +tp102 +Rp103 +(dp104 +g14 +g7 +sg20 +g21 +((lp105 +tp106 +Rp107 +sbsS'Pseudo' +p108 +g1 +(g2 +g3 +(g4 +g108 +tp109 +tp110 +Rp111 +(dp112 +g14 +g7 +sg20 +g21 +((lp113 +tp114 +Rp115 +sbsS'Type' +p116 +g1 +(g2 +g3 +(g4 +g116 +tp117 +tp118 +Rp119 +(dp120 +g14 +g7 +sg20 +g21 +((lp121 +tp122 +Rp123 +sbsS'Classes' +p124 +g1 +(g2 +g3 +(g4 +g124 +tp125 +tp126 +Rp127 +(dp128 +g14 +g7 +sg20 +g21 +((lp129 +tp130 +Rp131 +sbsS'Tag' +p132 +g1 +(g2 +g3 +(g4 +g132 +tp133 +tp134 +Rp135 +(dp136 +g14 +g7 +sg20 +g21 +((lp137 +tp138 +Rp139 +sbsS'Constant' +p140 +g1 +(g2 +g3 +(g4 +g140 +tp141 +tp142 +Rp143 +(dp144 +g14 +g7 +sg20 +g21 +((lp145 +tp146 +Rp147 +sbsS'Function' +p148 +g1 +(g2 +g3 +(g4 +g148 +tp149 +tp150 +Rp151 +(dp152 +g14 +g7 +sg20 +g21 +((lp153 +g1 +(g2 +g3 +(g4 +g148 +g50 +tp154 +tp155 +Rp156 +(dp157 +g14 +g151 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbatp161 +Rp162 +sg50 +g156 +sbsS'Blubb' +p163 +g1 +(g2 +g3 +(g4 +g163 +tp164 +tp165 +Rp166 +(dp167 +g14 +g7 +sg20 +g21 +((lp168 +tp169 +Rp170 +sbsS'Label' +p171 +g1 +(g2 +g3 +(g4 +g171 +tp172 +tp173 +Rp174 +(dp175 +g14 +g7 +sg20 +g21 +((lp176 +tp177 +Rp178 +sbsS'Field' +p179 +g1 +(g2 +g3 +(g4 +g179 +tp180 +tp181 +Rp182 +(dp183 +g14 +g7 +sg20 +g21 +((lp184 +tp185 +Rp186 +sbsS'Exception' +p187 +g1 +(g2 +g3 +(g4 +g187 +tp188 +tp189 +Rp190 +(dp191 +g14 +g7 +sg20 +g21 +((lp192 +tp193 +Rp194 +sbsS'Namespace' +p195 +g1 +(g2 +g3 +(g4 +g195 +tp196 +tp197 +Rp198 +(dp199 +g14 +g7 +sg20 +g21 +((lp200 +tp201 +Rp202 +sbsg20 +g21 +((lp203 +g71 +ag166 +ag111 +ag79 +ag12 +ag190 +ag103 +ag135 +ag151 +ag127 +ag1 +(g2 +g3 +(g4 +g15 +tp204 +tp205 +Rp206 +(dp207 +g14 +g7 +sg81 +g1 +(g2 +g3 +(g4 +g15 +g81 +tp208 +tp209 +Rp210 +(dp211 +g14 +g206 +sg20 +g21 +((lp212 +tp213 +Rp214 +sbsg20 +g21 +((lp215 +g1 +(g2 +g3 +(g4 +g15 +S'Start' +p216 +tp217 +tp218 +Rp219 +(dp220 +g14 +g206 +sg20 +g21 +((lp221 +tp222 +Rp223 +sbag210 +atp224 +Rp225 +sg216 +g219 +sbag1 +(g2 +g3 +(g4 +S'Other' +p226 +tp227 +tp228 +Rp229 +(dp230 +g14 +g7 +sS'Member' +p231 +g1 +(g2 +g3 +(g4 +g226 +g231 +tp232 +tp233 +Rp234 +(dp235 +g14 +g229 +sg20 +g21 +((lp236 +tp237 +Rp238 +sbsg20 +g21 +((lp239 +g234 +atp240 +Rp241 +sbag174 +ag63 +ag198 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p242 +tp243 +tp244 +Rp245 +(dp246 +g14 +g7 +sg9 +g1 +(g2 +g3 +(g4 +g242 +g9 +tp247 +tp248 +Rp249 +(dp250 +g14 +g245 +sg20 +g21 +((lp251 +tp252 +Rp253 +sbsg20 +g21 +((lp254 +g249 +atp255 +Rp256 +sbag143 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p257 +tp258 +tp259 +Rp260 +(dp261 +g14 +g7 +sg116 +g1 +(g2 +g3 +(g4 +g257 +g116 +tp262 +tp263 +Rp264 +(dp265 +g14 +g260 +sg20 +g21 +((lp266 +tp267 +Rp268 +sbsg20 +g21 +((lp269 +g1 +(g2 +g3 +(g4 +g257 +g108 +tp270 +tp271 +Rp272 +(dp273 +g14 +g260 +sg20 +g21 +((lp274 +tp275 +Rp276 +sbag264 +atp277 +Rp278 +sg108 +g272 +sbag182 +ag119 +ag95 +atp279 +Rp280 +sg14 +g1 +(g2 +g3 +(ttp281 +Rp282 +(dp283 +S'Number' +p284 +g1 +(g2 +g3 +(S'Literal' +p285 +g284 +tp286 +tp287 +Rp288 +(dp289 +S'Integer' +p290 +g1 +(g2 +g3 +(g285 +g284 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g288 +sS'Long' +p295 +g1 +(g2 +g3 +(g285 +g284 +g290 +g295 +tp296 +tp297 +Rp298 +(dp299 +g14 +g293 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g298 +atp304 +Rp305 +sbsg14 +g1 +(g2 +g3 +(g285 +tp306 +tp307 +Rp308 +(dp309 +S'Scalar' +p310 +g1 +(g2 +g3 +(g285 +g310 +tp311 +tp312 +Rp313 +(dp314 +g14 +g308 +sg20 +g21 +((lp315 +g1 +(g2 +g3 +(g285 +g310 +S'Plain' +p316 +tp317 +tp318 +Rp319 +(dp320 +g14 +g313 +sg20 +g21 +((lp321 +tp322 +Rp323 +sbatp324 +Rp325 +sg316 +g319 +sbsg284 +g288 +sg14 +g282 +sg226 +g1 +(g2 +g3 +(g285 +g226 +tp326 +tp327 +Rp328 +(dp329 +g14 +g308 +sg20 +g21 +((lp330 +tp331 +Rp332 +sbsS'Char' +p333 +g1 +(g2 +g3 +(g285 +g333 +tp334 +tp335 +Rp336 +(dp337 +g14 +g308 +sg20 +g21 +((lp338 +tp339 +Rp340 +sbsS'String' +p341 +g1 +(g2 +g3 +(g285 +g341 +tp342 +tp343 +Rp344 +(dp345 +g333 +g1 +(g2 +g3 +(g285 +g341 +g333 +tp346 +tp347 +Rp348 +(dp349 +g14 +g344 +sg20 +g21 +((lp350 +tp351 +Rp352 +sbsS'Backtick' +p353 +g1 +(g2 +g3 +(g285 +g341 +g353 +tp354 +tp355 +Rp356 +(dp357 +g14 +g344 +sg20 +g21 +((lp358 +tp359 +Rp360 +sbsS'Heredoc' +p361 +g1 +(g2 +g3 +(g285 +g341 +g361 +tp362 +tp363 +Rp364 +(dp365 +g14 +g344 +sg20 +g21 +((lp366 +tp367 +Rp368 +sbsg92 +g1 +(g2 +g3 +(g285 +g341 +g92 +tp369 +tp370 +Rp371 +(dp372 +g14 +g344 +sg20 +g21 +((lp373 +tp374 +Rp375 +sbsS'Interpol' +p376 +g1 +(g2 +g3 +(g285 +g341 +g376 +tp377 +tp378 +Rp379 +(dp380 +g14 +g344 +sg20 +g21 +((lp381 +tp382 +Rp383 +sbsS'Delimiter' +p384 +g1 +(g2 +g3 +(g285 +g341 +g384 +tp385 +tp386 +Rp387 +(dp388 +g14 +g344 +sg20 +g21 +((lp389 +tp390 +Rp391 +sbsS'Boolean' +p392 +g1 +(g2 +g3 +(g285 +g341 +g392 +tp393 +tp394 +Rp395 +(dp396 +g14 +g344 +sg20 +g21 +((lp397 +tp398 +Rp399 +sbsS'Character' +p400 +g1 +(g2 +g3 +(g285 +g341 +g400 +tp401 +tp402 +Rp403 +(dp404 +g14 +g344 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbsS'Double' +p408 +g1 +(g2 +g3 +(g285 +g341 +g408 +tp409 +tp410 +Rp411 +(dp412 +g14 +g344 +sg20 +g21 +((lp413 +tp414 +Rp415 +sbsS'Delimeter' +p416 +g1 +(g2 +g3 +(g285 +g341 +g416 +tp417 +tp418 +Rp419 +(dp420 +g14 +g344 +sg20 +g21 +((lp421 +tp422 +Rp423 +sbsS'Atom' +p424 +g1 +(g2 +g3 +(g285 +g341 +g424 +tp425 +tp426 +Rp427 +(dp428 +g14 +g344 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbsS'Affix' +p432 +g1 +(g2 +g3 +(g285 +g341 +g432 +tp433 +tp434 +Rp435 +(dp436 +g14 +g344 +sg20 +g21 +((lp437 +tp438 +Rp439 +sbsg4 +g1 +(g2 +g3 +(g285 +g341 +g4 +tp440 +tp441 +Rp442 +(dp443 +g14 +g344 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbsS'Regex' +p447 +g1 +(g2 +g3 +(g285 +g341 +g447 +tp448 +tp449 +Rp450 +(dp451 +g14 +g344 +sg20 +g21 +((lp452 +tp453 +Rp454 +sbsS'Interp' +p455 +g1 +(g2 +g3 +(g285 +g341 +g455 +tp456 +tp457 +Rp458 +(dp459 +g14 +g344 +sg20 +g21 +((lp460 +tp461 +Rp462 +sbsS'Escape' +p463 +g1 +(g2 +g3 +(g285 +g341 +g463 +tp464 +tp465 +Rp466 +(dp467 +g14 +g344 +sg20 +g21 +((lp468 +tp469 +Rp470 +sbsg20 +g21 +((lp471 +g387 +ag371 +ag450 +ag1 +(g2 +g3 +(g285 +g341 +S'Doc' +p472 +tp473 +tp474 +Rp475 +(dp476 +g14 +g344 +sg20 +g21 +((lp477 +tp478 +Rp479 +sbag403 +ag395 +ag411 +ag379 +ag427 +ag419 +ag442 +ag466 +ag1 +(g2 +g3 +(g285 +g341 +S'Single' +p480 +tp481 +tp482 +Rp483 +(dp484 +g14 +g344 +sg20 +g21 +((lp485 +tp486 +Rp487 +sbag1 +(g2 +g3 +(g285 +g341 +g226 +tp488 +tp489 +Rp490 +(dp491 +g14 +g344 +sg20 +g21 +((lp492 +tp493 +Rp494 +sbag458 +ag356 +ag435 +ag1 +(g2 +g3 +(g285 +g341 +S'Moment' +p495 +tp496 +tp497 +Rp498 +(dp499 +g14 +g344 +sg20 +g21 +((lp500 +tp501 +Rp502 +sbag348 +ag364 +atp503 +Rp504 +sg480 +g483 +sg495 +g498 +sg14 +g308 +sg226 +g490 +sg472 +g475 +sbsg20 +g21 +((lp505 +g344 +ag336 +ag328 +ag1 +(g2 +g3 +(g285 +S'Date' +p506 +tp507 +tp508 +Rp509 +(dp510 +g14 +g308 +sg20 +g21 +((lp511 +tp512 +Rp513 +sbag313 +ag288 +atp514 +Rp515 +sg506 +g509 +sbsS'Bin' +p516 +g1 +(g2 +g3 +(g285 +g284 +g516 +tp517 +tp518 +Rp519 +(dp520 +g14 +g288 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbsS'Radix' +p524 +g1 +(g2 +g3 +(g285 +g284 +g524 +tp525 +tp526 +Rp527 +(dp528 +g14 +g288 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbsS'Oct' +p532 +g1 +(g2 +g3 +(g285 +g284 +g532 +tp533 +tp534 +Rp535 +(dp536 +g14 +g288 +sg20 +g21 +((lp537 +tp538 +Rp539 +sbsS'Dec' +p540 +g1 +(g2 +g3 +(g285 +g284 +g540 +tp541 +tp542 +Rp543 +(dp544 +g14 +g288 +sg20 +g21 +((lp545 +tp546 +Rp547 +sbsS'Hex' +p548 +g1 +(g2 +g3 +(g285 +g284 +g548 +tp549 +tp550 +Rp551 +(dp552 +g14 +g288 +sg20 +g21 +((lp553 +tp554 +Rp555 +sbsg20 +g21 +((lp556 +g293 +ag527 +ag543 +ag1 +(g2 +g3 +(g285 +g284 +S'Decimal' +p557 +tp558 +tp559 +Rp560 +(dp561 +g14 +g288 +sg20 +g21 +((lp562 +tp563 +Rp564 +sbag519 +ag1 +(g2 +g3 +(g285 +g284 +S'Float' +p565 +tp566 +tp567 +Rp568 +(dp569 +g14 +g288 +sg20 +g21 +((lp570 +tp571 +Rp572 +sbag535 +ag551 +atp573 +Rp574 +sg557 +g560 +sg565 +g568 +sbsS'Generic' +p575 +g1 +(g2 +g3 +(g575 +tp576 +tp577 +Rp578 +(dp579 +g14 +g282 +sS'Deleted' +p580 +g1 +(g2 +g3 +(g575 +g580 +tp581 +tp582 +Rp583 +(dp584 +g14 +g578 +sg20 +g21 +((lp585 +tp586 +Rp587 +sbsS'Subheading' +p588 +g1 +(g2 +g3 +(g575 +g588 +tp589 +tp590 +Rp591 +(dp592 +g14 +g578 +sg20 +g21 +((lp593 +tp594 +Rp595 +sbsS'Heading' +p596 +g1 +(g2 +g3 +(g575 +g596 +tp597 +tp598 +Rp599 +(dp600 +g14 +g578 +sg20 +g21 +((lp601 +tp602 +Rp603 +sbsS'Emph' +p604 +g1 +(g2 +g3 +(g575 +g604 +tp605 +tp606 +Rp607 +(dp608 +g14 +g578 +sg20 +g21 +((lp609 +tp610 +Rp611 +sbsS'Prompt' +p612 +g1 +(g2 +g3 +(g575 +g612 +tp613 +tp614 +Rp615 +(dp616 +g14 +g578 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbsS'Inserted' +p620 +g1 +(g2 +g3 +(g575 +g620 +tp621 +tp622 +Rp623 +(dp624 +g14 +g578 +sg20 +g21 +((lp625 +tp626 +Rp627 +sbsS'Strong' +p628 +g1 +(g2 +g3 +(g575 +g628 +tp629 +tp630 +Rp631 +(dp632 +g14 +g578 +sg20 +g21 +((lp633 +tp634 +Rp635 +sbsS'Error' +p636 +g1 +(g2 +g3 +(g575 +g636 +tp637 +tp638 +Rp639 +(dp640 +g14 +g578 +sg20 +g21 +((lp641 +tp642 +Rp643 +sbsS'Traceback' +p644 +g1 +(g2 +g3 +(g575 +g644 +tp645 +tp646 +Rp647 +(dp648 +g14 +g578 +sg20 +g21 +((lp649 +tp650 +Rp651 +sbsg20 +g21 +((lp652 +g599 +ag591 +ag1 +(g2 +g3 +(g575 +S'Output' +p653 +tp654 +tp655 +Rp656 +(dp657 +g14 +g578 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbag631 +ag607 +ag639 +ag647 +ag623 +ag615 +ag583 +atp661 +Rp662 +sg653 +g656 +sbsg60 +g1 +(g2 +g3 +(g60 +tp663 +tp664 +Rp665 +(dp666 +g14 +g282 +sg81 +g1 +(g2 +g3 +(g60 +g81 +tp667 +tp668 +Rp669 +(dp670 +g14 +g665 +sg20 +g21 +((lp671 +tp672 +Rp673 +sbsg20 +g21 +((lp674 +g669 +ag1 +(g2 +g3 +(g60 +S'Word' +p675 +tp676 +tp677 +Rp678 +(dp679 +g14 +g665 +sg20 +g21 +((lp680 +tp681 +Rp682 +sbatp683 +Rp684 +sg675 +g678 +sbsg341 +g344 +sg4 +g7 +sS'Punctuation' +p685 +g1 +(g2 +g3 +(g685 +tp686 +tp687 +Rp688 +(dp689 +g14 +g282 +sg20 +g21 +((lp690 +g1 +(g2 +g3 +(g685 +S'Indicator' +p691 +tp692 +tp693 +Rp694 +(dp695 +g14 +g688 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbatp699 +Rp700 +sg691 +g694 +sbsS'Comment' +p701 +g1 +(g2 +g3 +(g701 +tp702 +tp703 +Rp704 +(dp705 +S'Multi' +p706 +g1 +(g2 +g3 +(g701 +g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g704 +sg20 +g21 +((lp711 +tp712 +Rp713 +sbsg14 +g282 +sS'Special' +p714 +g1 +(g2 +g3 +(g701 +g714 +tp715 +tp716 +Rp717 +(dp718 +g14 +g704 +sg20 +g21 +((lp719 +tp720 +Rp721 +sbsS'Hashbang' +p722 +g1 +(g2 +g3 +(g701 +g722 +tp723 +tp724 +Rp725 +(dp726 +g14 +g704 +sg20 +g21 +((lp727 +tp728 +Rp729 +sbsS'Preproc' +p730 +g1 +(g2 +g3 +(g701 +g730 +tp731 +tp732 +Rp733 +(dp734 +g14 +g704 +sg20 +g21 +((lp735 +tp736 +Rp737 +sbsg480 +g1 +(g2 +g3 +(g701 +g480 +tp738 +tp739 +Rp740 +(dp741 +g14 +g704 +sg20 +g21 +((lp742 +tp743 +Rp744 +sbsS'Directive' +p745 +g1 +(g2 +g3 +(g701 +g745 +tp746 +tp747 +Rp748 +(dp749 +g14 +g704 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsg472 +g1 +(g2 +g3 +(g701 +g472 +tp753 +tp754 +Rp755 +(dp756 +g14 +g704 +sg20 +g21 +((lp757 +tp758 +Rp759 +sbsS'Singleline' +p760 +g1 +(g2 +g3 +(g701 +g760 +tp761 +tp762 +Rp763 +(dp764 +g14 +g704 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Multiline' +p768 +g1 +(g2 +g3 +(g701 +g768 +tp769 +tp770 +Rp771 +(dp772 +g14 +g704 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g755 +ag748 +ag725 +ag709 +ag763 +ag733 +ag771 +ag740 +ag1 +(g2 +g3 +(g701 +S'PreprocFile' +p777 +tp778 +tp779 +Rp780 +(dp781 +g14 +g704 +sg20 +g21 +((lp782 +tp783 +Rp784 +sbag1 +(g2 +g3 +(g701 +S'SingleLine' +p785 +tp786 +tp787 +Rp788 +(dp789 +g14 +g704 +sg20 +g21 +((lp790 +tp791 +Rp792 +sbag717 +atp793 +Rp794 +sg777 +g780 +sg785 +g788 +sbsg285 +g308 +sg226 +g1 +(g2 +g3 +(g226 +tp795 +tp796 +Rp797 +(dp798 +g14 +g282 +sg20 +g21 +((lp799 +tp800 +Rp801 +sbsg636 +g1 +(g2 +g3 +(g636 +tp802 +tp803 +Rp804 +(dp805 +g14 +g282 +sg20 +g21 +((lp806 +tp807 +Rp808 +sbsS'Token' +p809 +g282 +sg463 +g1 +(g2 +g3 +(g463 +tp810 +tp811 +Rp812 +(dp813 +g14 +g282 +sg20 +g21 +((lp814 +tp815 +Rp816 +sbsg20 +g21 +((lp817 +g7 +ag797 +ag1 +(g2 +g3 +(S'Keyword' +p818 +tp819 +tp820 +Rp821 +(dp822 +g14 +g282 +sg116 +g1 +(g2 +g3 +(g818 +g116 +tp823 +tp824 +Rp825 +(dp826 +g14 +g821 +sg20 +g21 +((lp827 +tp828 +Rp829 +sbsS'Control' +p830 +g1 +(g2 +g3 +(g818 +g830 +tp831 +tp832 +Rp833 +(dp834 +g14 +g821 +sg20 +g21 +((lp835 +tp836 +Rp837 +sbsg140 +g1 +(g2 +g3 +(g818 +g140 +tp838 +tp839 +Rp840 +(dp841 +g14 +g821 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg195 +g1 +(g2 +g3 +(g818 +g195 +tp845 +tp846 +Rp847 +(dp848 +g14 +g821 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsS'PreProc' +p852 +g1 +(g2 +g3 +(g818 +g852 +tp853 +tp854 +Rp855 +(dp856 +g14 +g821 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg108 +g1 +(g2 +g3 +(g818 +g108 +tp860 +tp861 +Rp862 +(dp863 +g14 +g821 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsS'Reserved' +p867 +g1 +(g2 +g3 +(g818 +g867 +tp868 +tp869 +Rp870 +(dp871 +g14 +g821 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbsg20 +g21 +((lp875 +g847 +ag1 +(g2 +g3 +(g818 +g675 +tp876 +tp877 +Rp878 +(dp879 +g14 +g821 +sg20 +g21 +((lp880 +tp881 +Rp882 +sbag833 +ag1 +(g2 +g3 +(g818 +S'Declaration' +p883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g821 +sg20 +g21 +((lp888 +tp889 +Rp890 +sbag1 +(g2 +g3 +(g818 +g818 +tp891 +tp892 +Rp893 +(dp894 +g14 +g821 +sg20 +g21 +((lp895 +tp896 +Rp897 +sbag862 +ag840 +ag825 +ag870 +ag855 +atp898 +Rp899 +sg818 +g893 +sg883 +g886 +sg675 +g878 +sbag578 +ag1 +(g2 +g3 +(S'Text' +p900 +tp901 +tp902 +Rp903 +(dp904 +S'Beer' +p905 +g1 +(g2 +g3 +(g900 +g905 +tp906 +tp907 +Rp908 +(dp909 +g14 +g903 +sg20 +g21 +((lp910 +tp911 +Rp912 +sbsS'Whitespace' +p913 +g1 +(g2 +g3 +(g900 +g913 +tp914 +tp915 +Rp916 +(dp917 +g14 +g903 +sg20 +g21 +((lp918 +tp919 +Rp920 +sbsg14 +g282 +sS'Root' +p921 +g1 +(g2 +g3 +(g900 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g903 +sg20 +g21 +((lp926 +tp927 +Rp928 +sbsg92 +g1 +(g2 +g3 +(g900 +g92 +tp929 +tp930 +Rp931 +(dp932 +g14 +g903 +sg20 +g21 +((lp933 +tp934 +Rp935 +sbsg685 +g1 +(g2 +g3 +(g900 +g685 +tp936 +tp937 +Rp938 +(dp939 +g14 +g903 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbsg20 +g21 +((lp943 +g924 +ag931 +ag938 +ag916 +ag908 +ag1 +(g2 +g3 +(g900 +S'Rag' +p944 +tp945 +tp946 +Rp947 +(dp948 +g14 +g903 +sg20 +g21 +((lp949 +tp950 +Rp951 +sbatp952 +Rp953 +sg944 +g947 +sbag665 +ag812 +ag688 +ag704 +ag804 +ag308 +atp954 +Rp955 +sg818 +g821 +sg900 +g903 +sbsg15 +g206 +sg257 +g260 +sg242 +g245 +sg226 +g229 +sbVapply +p956 +tp957 +a(g903 +V +p958 +tp959 +a(g174 +Vplugin: +p960 +tp961 +a(g903 +g958 +tp962 +a(g483 +V'java' +p963 +tp964 +a(g903 +V\u000a +p965 +tp966 +a(g903 +V\u000a +p967 +tp968 +a(g7 +Vrepositories +p969 +tp970 +a(g903 +g958 +tp971 +a(g665 +V{ +p972 +tp973 +a(g903 +V\u000a +p974 +tp975 +a(g903 +V +p976 +tp977 +a(g7 +VmavenCentral +p978 +tp979 +a(g665 +V( +p980 +tp981 +a(g665 +V) +p982 +tp983 +a(g903 +V\u000a +p984 +tp985 +a(g665 +V} +p986 +tp987 +a(g903 +V\u000a +p988 +tp989 +a(g903 +V\u000a +p990 +tp991 +a(g7 +Vdependencies +p992 +tp993 +a(g903 +g958 +tp994 +a(g665 +g972 +tp995 +a(g903 +V\u000a +p996 +tp997 +a(g903 +V +p998 +tp999 +a(g7 +VtestCompile +p1000 +tp1001 +a(g903 +g958 +tp1002 +a(g483 +V'junit:junit:4.12' +p1003 +tp1004 +a(g903 +V\u000a +p1005 +tp1006 +a(g665 +g986 +tp1007 +a(g903 +V\u000a +p1008 +tp1009 +a(g903 +V\u000a +p1010 +tp1011 +a(g7 +Vtask +p1012 +tp1013 +a(g903 +g958 +tp1014 +a(g7 +VsayHello +p1015 +tp1016 +a(g903 +g958 +tp1017 +a(g665 +V< +p1018 +tp1019 +a(g665 +g1018 +tp1020 +a(g903 +g958 +tp1021 +a(g665 +g972 +tp1022 +a(g903 +V\u000a +p1023 +tp1024 +a(g903 +V +p1025 +tp1026 +a(g825 +Vdef +p1027 +tp1028 +a(g903 +g958 +tp1029 +a(g7 +Vx +p1030 +tp1031 +a(g903 +g958 +tp1032 +a(g665 +V= +p1033 +tp1034 +a(g903 +g958 +tp1035 +a(g7 +VSomeClass +p1036 +tp1037 +a(g665 +V. +p1038 +tp1039 +a(g245 +VworldString +p1040 +tp1041 +a(g903 +V\u000a +p1042 +tp1043 +a(g903 +V +p1044 +tp1045 +a(g7 +Vprintln +p1046 +tp1047 +a(g903 +g958 +tp1048 +a(g411 +V"Hello ${x}" +p1049 +tp1050 +a(g903 +V\u000a +p1051 +tp1052 +a(g665 +g986 +tp1053 +a(g903 +V\u000a +p1054 +tp1055 +a(g903 +V\u000a +p1056 +tp1057 +a(g886 +Vprivate +p1058 +tp1059 +a(g903 +g958 +tp1060 +a(g886 +Vclass +p1061 +tp1062 +a(g903 +g958 +tp1063 +a(g206 +VSomeClass +p1064 +tp1065 +a(g903 +g958 +tp1066 +a(g665 +g972 +tp1067 +a(g903 +V\u000a +p1068 +tp1069 +a(g903 +V +p1070 +tp1071 +a(g886 +Vpublic +p1072 +tp1073 +a(g903 +g958 +tp1074 +a(g886 +Vstatic +p1075 +tp1076 +a(g903 +g958 +tp1077 +a(g7 +VString +p1078 +tp1079 +a(g903 +g958 +tp1080 +a(g151 +VgetWorldString +p1081 +tp1082 +a(g665 +g980 +tp1083 +a(g665 +g982 +tp1084 +a(g903 +g958 +tp1085 +a(g665 +g972 +tp1086 +a(g903 +V\u000a +p1087 +tp1088 +a(g903 +V +p1089 +tp1090 +a(g821 +Vreturn +p1091 +tp1092 +a(g903 +g958 +tp1093 +a(g411 +V"world" +p1094 +tp1095 +a(g903 +V\u000a +p1096 +tp1097 +a(g903 +V +p1098 +tp1099 +a(g665 +g986 +tp1100 +a(g903 +V\u000a +p1101 +tp1102 +a(g665 +g986 +tp1103 +a(g903 +V\u000a +p1104 +tp1105 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.groovy b/tests/examplefiles/output/test.groovy new file mode 100644 index 0000000..5f37317 --- /dev/null +++ b/tests/examplefiles/output/test.groovy @@ -0,0 +1,4744 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// This source code comes from http://www.odelia-technologies.com/node/200\u000a +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g770 +Vpackage +p960 +tp961 +a(g826 +V +p962 +tp963 +a(g435 +Vcom +p964 +tp965 +a(g412 +V. +p966 +tp967 +a(g666 +Vodelia +p968 +tp969 +a(g412 +g966 +tp970 +a(g666 +Vgroovy +p971 +tp972 +a(g412 +g966 +tp973 +a(g666 +Vsimpleworkflow +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g826 +V\u000a +p980 +tp981 +a(g809 +Vclass +p982 +tp983 +a(g826 +g962 +tp984 +a(g628 +VSimpleWorkflowEngine +p985 +tp986 +a(g826 +g962 +tp987 +a(g412 +V{ +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g826 +V +p992 +tp993 +a(g748 +Vdef +p994 +tp995 +a(g826 +g962 +tp996 +a(g435 +VworkflowMap +p997 +tp998 +a(g826 +g962 +tp999 +a(g412 +V= +p1000 +tp1001 +a(g826 +g962 +tp1002 +a(g412 +V[ +p1003 +tp1004 +a(g412 +V: +p1005 +tp1006 +a(g412 +V] +p1007 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g826 +V +p1011 +tp1012 +a(g748 +Vdef +p1013 +tp1014 +a(g826 +g962 +tp1015 +a(g435 +Vcontext +p1016 +tp1017 +a(g826 +g962 +tp1018 +a(g412 +g1000 +tp1019 +a(g826 +g962 +tp1020 +a(g412 +g1003 +tp1021 +a(g412 +g1005 +tp1022 +a(g412 +g1007 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g826 +V +p1026 +tp1027 +a(g748 +Vdef +p1028 +tp1029 +a(g826 +g962 +tp1030 +a(g435 +VbeforeActivityName +p1031 +tp1032 +a(g826 +g962 +tp1033 +a(g412 +g1000 +tp1034 +a(g826 +g962 +tp1035 +a(g229 +V'beforeActivity' +p1036 +tp1037 +a(g826 +V\u000a +p1038 +tp1039 +a(g826 +V +p1040 +tp1041 +a(g748 +Vdef +p1042 +tp1043 +a(g826 +g962 +tp1044 +a(g435 +VafterActivityName +p1045 +tp1046 +a(g826 +g962 +tp1047 +a(g412 +g1000 +tp1048 +a(g826 +g962 +tp1049 +a(g229 +V'afterActivity' +p1050 +tp1051 +a(g826 +V\u000a +p1052 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g826 +V +p1056 +tp1057 +a(g435 +VSimpleWorkflowEngine +p1058 +tp1059 +a(g412 +V( +p1060 +tp1061 +a(g435 +Vworkflow +p1062 +tp1063 +a(g412 +V, +p1064 +tp1065 +a(g826 +g962 +tp1066 +a(g435 +Vcontext +p1067 +tp1068 +a(g826 +g962 +tp1069 +a(g412 +g1000 +tp1070 +a(g826 +g962 +tp1071 +a(g412 +g1003 +tp1072 +a(g412 +g1005 +tp1073 +a(g412 +g1007 +tp1074 +a(g412 +V) +p1075 +tp1076 +a(g826 +g962 +tp1077 +a(g412 +g988 +tp1078 +a(g826 +V\u000a +p1079 +tp1080 +a(g826 +V +p1081 +tp1082 +a(g744 +Vthis +p1083 +tp1084 +a(g412 +g966 +tp1085 +a(g666 +Vcontext +p1086 +tp1087 +a(g826 +g962 +tp1088 +a(g412 +g1000 +tp1089 +a(g826 +g962 +tp1090 +a(g435 +Vcontext +p1091 +tp1092 +a(g826 +V\u000a +p1093 +tp1094 +a(g826 +V +p1095 +tp1096 +a(g435 +VparseWorkflow +p1097 +tp1098 +a(g412 +g1060 +tp1099 +a(g435 +Vworkflow +p1100 +tp1101 +a(g412 +g1075 +tp1102 +a(g826 +V\u000a +p1103 +tp1104 +a(g826 +V +p1105 +tp1106 +a(g412 +V} +p1107 +tp1108 +a(g826 +V\u000a +p1109 +tp1110 +a(g826 +V\u000a +p1111 +tp1112 +a(g826 +V +p1113 +tp1114 +a(g748 +Vdef +p1115 +tp1116 +a(g826 +g962 +tp1117 +a(g573 +VparseWorkflow +p1118 +tp1119 +a(g412 +g1060 +tp1120 +a(g435 +Vworkflow +p1121 +tp1122 +a(g412 +g1075 +tp1123 +a(g826 +g962 +tp1124 +a(g412 +g988 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g826 +V +p1128 +tp1129 +a(g435 +VworkflowMap +p1130 +tp1131 +a(g826 +g962 +tp1132 +a(g412 +g1000 +tp1133 +a(g826 +g962 +tp1134 +a(g744 +Vnew +p1135 +tp1136 +a(g826 +g962 +tp1137 +a(g435 +VWorkflowParser +p1138 +tp1139 +a(g412 +g1060 +tp1140 +a(g412 +g1075 +tp1141 +a(g412 +g966 +tp1142 +a(g666 +Vparse +p1143 +tp1144 +a(g412 +g1060 +tp1145 +a(g435 +Vworkflow +p1146 +tp1147 +a(g412 +g1075 +tp1148 +a(g826 +V\u000a +p1149 +tp1150 +a(g826 +V +p1151 +tp1152 +a(g412 +g1107 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g826 +V\u000a +p1156 +tp1157 +a(g826 +V +p1158 +tp1159 +a(g748 +Vdef +p1160 +tp1161 +a(g826 +g962 +tp1162 +a(g573 +VgetActivityValue +p1163 +tp1164 +a(g412 +g1060 +tp1165 +a(g435 +Vactivity +p1166 +tp1167 +a(g412 +g1075 +tp1168 +a(g826 +g962 +tp1169 +a(g412 +g988 +tp1170 +a(g826 +V\u000a +p1171 +tp1172 +a(g826 +V +p1173 +tp1174 +a(g744 +Vassert +p1175 +tp1176 +a(g826 +g962 +tp1177 +a(g435 +Vactivity +p1178 +tp1179 +a(g826 +g962 +tp1180 +a(g744 +Vinstanceof +p1181 +tp1182 +a(g826 +g962 +tp1183 +a(g435 +VString +p1184 +tp1185 +a(g826 +V\u000a +p1186 +tp1187 +a(g826 +V +p1188 +tp1189 +a(g573 +Vif +p1190 +tp1191 +a(g826 +g962 +tp1192 +a(g412 +g1060 +tp1193 +a(g412 +V! +p1194 +tp1195 +a(g435 +VworkflowMap +p1196 +tp1197 +a(g412 +g1003 +tp1198 +a(g435 +Vactivity +p1199 +tp1200 +a(g412 +g1007 +tp1201 +a(g412 +g1075 +tp1202 +a(g826 +V\u000a +p1203 +tp1204 +a(g826 +V +p1205 +tp1206 +a(g744 +Vthrow +p1207 +tp1208 +a(g826 +g962 +tp1209 +a(g744 +Vnew +p1210 +tp1211 +a(g826 +g962 +tp1212 +a(g573 +VRuntimeException +p1213 +tp1214 +a(g412 +g1060 +tp1215 +a(g157 +V"$activity activity doesn't exist" +p1216 +tp1217 +a(g412 +g1075 +tp1218 +a(g826 +V\u000a +p1219 +tp1220 +a(g826 +V +p1221 +tp1222 +a(g435 +VworkflowMap +p1223 +tp1224 +a(g412 +g1003 +tp1225 +a(g435 +Vactivity +p1226 +tp1227 +a(g412 +g1007 +tp1228 +a(g826 +V\u000a +p1229 +tp1230 +a(g826 +V +p1231 +tp1232 +a(g412 +g1107 +tp1233 +a(g826 +V\u000a +p1234 +tp1235 +a(g826 +V\u000a +p1236 +tp1237 +a(g826 +V +p1238 +tp1239 +a(g748 +Vdef +p1240 +tp1241 +a(g826 +g962 +tp1242 +a(g573 +Vexecute +p1243 +tp1244 +a(g412 +g1060 +tp1245 +a(g435 +Vactivity +p1246 +tp1247 +a(g412 +g1064 +tp1248 +a(g826 +g962 +tp1249 +a(g435 +Vpause +p1250 +tp1251 +a(g412 +g1075 +tp1252 +a(g826 +g962 +tp1253 +a(g412 +g988 +tp1254 +a(g826 +V\u000a +p1255 +tp1256 +a(g826 +V +p1257 +tp1258 +a(g744 +Vif +p1259 +tp1260 +a(g826 +g962 +tp1261 +a(g412 +g1060 +tp1262 +a(g435 +VworkflowMap +p1263 +tp1264 +a(g412 +g1003 +tp1265 +a(g435 +VbeforeActivityName +p1266 +tp1267 +a(g412 +g1007 +tp1268 +a(g412 +g1075 +tp1269 +a(g826 +g962 +tp1270 +a(g412 +g988 +tp1271 +a(g826 +V\u000a +p1272 +tp1273 +a(g826 +V +p1274 +tp1275 +a(g435 +VgetActivityValue +p1276 +tp1277 +a(g412 +g1060 +tp1278 +a(g435 +VbeforeActivityName +p1279 +tp1280 +a(g412 +g1075 +tp1281 +a(g412 +g1060 +tp1282 +a(g435 +Vcontext +p1283 +tp1284 +a(g412 +g1064 +tp1285 +a(g826 +g962 +tp1286 +a(g435 +Vactivity +p1287 +tp1288 +a(g412 +g1075 +tp1289 +a(g826 +V\u000a +p1290 +tp1291 +a(g826 +V +p1292 +tp1293 +a(g412 +g1107 +tp1294 +a(g826 +V\u000a +p1295 +tp1296 +a(g826 +V\u000a +p1297 +tp1298 +a(g826 +V +p1299 +tp1300 +a(g748 +Vdef +p1301 +tp1302 +a(g826 +g962 +tp1303 +a(g435 +VactivityValue +p1304 +tp1305 +a(g826 +g962 +tp1306 +a(g412 +g1000 +tp1307 +a(g826 +g962 +tp1308 +a(g435 +VgetActivityValue +p1309 +tp1310 +a(g412 +g1060 +tp1311 +a(g435 +Vactivity +p1312 +tp1313 +a(g412 +g1075 +tp1314 +a(g826 +V\u000a +p1315 +tp1316 +a(g826 +V\u000a +p1317 +tp1318 +a(g826 +V +p1319 +tp1320 +a(g8 +V// Determine the next activity to execute\u000a +p1321 +tp1322 +a(g826 +V +p1323 +tp1324 +a(g748 +Vdef +p1325 +tp1326 +a(g826 +g962 +tp1327 +a(g435 +VnextActivity +p1328 +tp1329 +a(g826 +V\u000a +p1330 +tp1331 +a(g826 +V +p1332 +tp1333 +a(g573 +Vswitch +p1334 +tp1335 +a(g826 +g962 +tp1336 +a(g412 +g1060 +tp1337 +a(g435 +VactivityValue +p1338 +tp1339 +a(g412 +g1075 +tp1340 +a(g826 +g962 +tp1341 +a(g412 +g988 +tp1342 +a(g826 +V\u000a +p1343 +tp1344 +a(g826 +V +p1345 +tp1346 +a(g744 +Vcase +p1347 +tp1348 +a(g826 +g962 +tp1349 +a(g596 +VString: +p1350 +tp1351 +a(g826 +g962 +tp1352 +a(g435 +VnextActivity +p1353 +tp1354 +a(g826 +g962 +tp1355 +a(g412 +g1000 +tp1356 +a(g826 +g962 +tp1357 +a(g435 +VactivityValue +p1358 +tp1359 +a(g412 +V; +p1360 +tp1361 +a(g826 +g962 +tp1362 +a(g744 +Vbreak +p1363 +tp1364 +a(g826 +V\u000a +p1365 +tp1366 +a(g826 +V +p1367 +tp1368 +a(g744 +Vcase +p1369 +tp1370 +a(g826 +g962 +tp1371 +a(g596 +VClosure: +p1372 +tp1373 +a(g826 +g962 +tp1374 +a(g435 +VnextActivity +p1375 +tp1376 +a(g826 +g962 +tp1377 +a(g412 +g1000 +tp1378 +a(g826 +g962 +tp1379 +a(g435 +VactivityValue +p1380 +tp1381 +a(g412 +g1060 +tp1382 +a(g435 +Vcontext +p1383 +tp1384 +a(g412 +g1075 +tp1385 +a(g412 +g1360 +tp1386 +a(g826 +g962 +tp1387 +a(g744 +Vbreak +p1388 +tp1389 +a(g826 +V\u000a +p1390 +tp1391 +a(g826 +V +p1392 +tp1393 +a(g744 +Vcase +p1394 +tp1395 +a(g826 +g962 +tp1396 +a(g596 +VClass: +p1397 +tp1398 +a(g826 +g962 +tp1399 +a(g435 +VnextActivity +p1400 +tp1401 +a(g826 +g962 +tp1402 +a(g412 +g1000 +tp1403 +a(g826 +g962 +tp1404 +a(g435 +VactivityValue +p1405 +tp1406 +a(g412 +g966 +tp1407 +a(g666 +VnewInstance +p1408 +tp1409 +a(g412 +g1060 +tp1410 +a(g412 +g1075 +tp1411 +a(g412 +g1060 +tp1412 +a(g435 +Vcontext +p1413 +tp1414 +a(g412 +g1075 +tp1415 +a(g826 +V\u000a +p1416 +tp1417 +a(g826 +V +p1418 +tp1419 +a(g412 +g1107 +tp1420 +a(g826 +V\u000a +p1421 +tp1422 +a(g826 +V\u000a +p1423 +tp1424 +a(g826 +V +p1425 +tp1426 +a(g744 +Vif +p1427 +tp1428 +a(g826 +g962 +tp1429 +a(g412 +g1060 +tp1430 +a(g435 +VworkflowMap +p1431 +tp1432 +a(g412 +g1003 +tp1433 +a(g435 +VafterActivityName +p1434 +tp1435 +a(g412 +g1007 +tp1436 +a(g412 +g1075 +tp1437 +a(g826 +g962 +tp1438 +a(g412 +g988 +tp1439 +a(g826 +V\u000a +p1440 +tp1441 +a(g826 +V +p1442 +tp1443 +a(g435 +VgetActivityValue +p1444 +tp1445 +a(g412 +g1060 +tp1446 +a(g435 +VafterActivityName +p1447 +tp1448 +a(g412 +g1075 +tp1449 +a(g412 +g1060 +tp1450 +a(g435 +Vcontext +p1451 +tp1452 +a(g412 +g1064 +tp1453 +a(g826 +g962 +tp1454 +a(g435 +Vactivity +p1455 +tp1456 +a(g412 +g1064 +tp1457 +a(g826 +g962 +tp1458 +a(g435 +VnextActivity +p1459 +tp1460 +a(g412 +g1075 +tp1461 +a(g826 +V\u000a +p1462 +tp1463 +a(g826 +V +p1464 +tp1465 +a(g412 +g1107 +tp1466 +a(g826 +V\u000a +p1467 +tp1468 +a(g826 +V\u000a +p1469 +tp1470 +a(g826 +V +p1471 +tp1472 +a(g744 +Vif +p1473 +tp1474 +a(g826 +g962 +tp1475 +a(g412 +g1060 +tp1476 +a(g412 +g1194 +tp1477 +a(g435 +Vpause +p1478 +tp1479 +a(g826 +g962 +tp1480 +a(g412 +V& +p1481 +tp1482 +a(g412 +g1481 +tp1483 +a(g826 +g962 +tp1484 +a(g435 +VnextActivity +p1485 +tp1486 +a(g412 +g1075 +tp1487 +a(g826 +V\u000a +p1488 +tp1489 +a(g826 +V +p1490 +tp1491 +a(g435 +Vcall +p1492 +tp1493 +a(g412 +g1060 +tp1494 +a(g435 +VnextActivity +p1495 +tp1496 +a(g412 +g1075 +tp1497 +a(g826 +V\u000a +p1498 +tp1499 +a(g826 +V +p1500 +tp1501 +a(g744 +Velse +p1502 +tp1503 +a(g826 +V\u000a +p1504 +tp1505 +a(g826 +V +p1506 +tp1507 +a(g435 +VnextActivity +p1508 +tp1509 +a(g826 +V\u000a +p1510 +tp1511 +a(g826 +V +p1512 +tp1513 +a(g412 +g1107 +tp1514 +a(g826 +V\u000a +p1515 +tp1516 +a(g826 +V\u000a +p1517 +tp1518 +a(g826 +V +p1519 +tp1520 +a(g748 +Vdef +p1521 +tp1522 +a(g826 +g962 +tp1523 +a(g573 +Vcall +p1524 +tp1525 +a(g412 +g1060 +tp1526 +a(g435 +Vactivity +p1527 +tp1528 +a(g412 +g1075 +tp1529 +a(g826 +g962 +tp1530 +a(g412 +g988 +tp1531 +a(g826 +V\u000a +p1532 +tp1533 +a(g826 +V +p1534 +tp1535 +a(g435 +Vexecute +p1536 +tp1537 +a(g412 +g1060 +tp1538 +a(g435 +Vactivity +p1539 +tp1540 +a(g412 +g1064 +tp1541 +a(g826 +g962 +tp1542 +a(g763 +Vfalse +p1543 +tp1544 +a(g412 +g1075 +tp1545 +a(g826 +V\u000a +p1546 +tp1547 +a(g826 +V +p1548 +tp1549 +a(g412 +g1107 +tp1550 +a(g826 +V\u000a +p1551 +tp1552 +a(g826 +V\u000a +p1553 +tp1554 +a(g826 +V +p1555 +tp1556 +a(g748 +Vdef +p1557 +tp1558 +a(g826 +g962 +tp1559 +a(g573 +VnextActivity +p1560 +tp1561 +a(g412 +g1060 +tp1562 +a(g435 +Vactivity +p1563 +tp1564 +a(g412 +g1075 +tp1565 +a(g826 +g962 +tp1566 +a(g412 +g988 +tp1567 +a(g826 +V\u000a +p1568 +tp1569 +a(g826 +V +p1570 +tp1571 +a(g435 +Vexecute +p1572 +tp1573 +a(g412 +g1060 +tp1574 +a(g435 +Vactivity +p1575 +tp1576 +a(g412 +g1064 +tp1577 +a(g826 +g962 +tp1578 +a(g763 +Vtrue +p1579 +tp1580 +a(g412 +g1075 +tp1581 +a(g826 +V\u000a +p1582 +tp1583 +a(g826 +V +p1584 +tp1585 +a(g412 +g1107 +tp1586 +a(g826 +V\u000a +p1587 +tp1588 +a(g826 +V\u000a +p1589 +tp1590 +a(g826 +V +p1591 +tp1592 +a(g809 +Vstatic +p1593 +tp1594 +a(g826 +g962 +tp1595 +a(g748 +Vvoid +p1596 +tp1597 +a(g826 +g962 +tp1598 +a(g573 +Vmain +p1599 +tp1600 +a(g412 +g1060 +tp1601 +a(g435 +VString +p1602 +tp1603 +a(g412 +g1003 +tp1604 +a(g412 +g1007 +tp1605 +a(g826 +g962 +tp1606 +a(g435 +Vargs +p1607 +tp1608 +a(g412 +g1075 +tp1609 +a(g826 +g962 +tp1610 +a(g412 +g988 +tp1611 +a(g826 +V\u000a +p1612 +tp1613 +a(g826 +V +p1614 +tp1615 +a(g744 +Vif +p1616 +tp1617 +a(g826 +g962 +tp1618 +a(g412 +g1060 +tp1619 +a(g435 +Vargs +p1620 +tp1621 +a(g412 +g966 +tp1622 +a(g666 +Vsize +p1623 +tp1624 +a(g412 +g1060 +tp1625 +a(g412 +g1075 +tp1626 +a(g826 +g962 +tp1627 +a(g412 +g1194 +tp1628 +a(g412 +g1000 +tp1629 +a(g826 +g962 +tp1630 +a(g37 +V2 +p1631 +tp1632 +a(g412 +g1075 +tp1633 +a(g826 +g962 +tp1634 +a(g412 +g988 +tp1635 +a(g826 +V\u000a +p1636 +tp1637 +a(g826 +V +p1638 +tp1639 +a(g435 +Vprintln +p1640 +tp1641 +a(g826 +g962 +tp1642 +a(g229 +V'Usage: com.odelia.groovy.simpleworkflow.SimpleWorkflowEngine ' +p1643 +tp1644 +a(g826 +V\u000a +p1645 +tp1646 +a(g826 +V +p1647 +tp1648 +a(g744 +Vreturn +p1649 +tp1650 +a(g826 +V\u000a +p1651 +tp1652 +a(g826 +V +p1653 +tp1654 +a(g412 +g1107 +tp1655 +a(g826 +V\u000a +p1656 +tp1657 +a(g826 +V +p1658 +tp1659 +a(g435 +VSimpleWorkflowEngine +p1660 +tp1661 +a(g412 +g966 +tp1662 +a(g666 +VnewInstance +p1663 +tp1664 +a(g412 +g1060 +tp1665 +a(g744 +Vnew +p1666 +tp1667 +a(g826 +g962 +tp1668 +a(g435 +VFile +p1669 +tp1670 +a(g412 +g1060 +tp1671 +a(g435 +Vargs +p1672 +tp1673 +a(g412 +g1003 +tp1674 +a(g37 +V0 +p1675 +tp1676 +a(g412 +g1007 +tp1677 +a(g412 +g1075 +tp1678 +a(g412 +g1075 +tp1679 +a(g412 +g1060 +tp1680 +a(g435 +Vargs +p1681 +tp1682 +a(g412 +g1003 +tp1683 +a(g37 +V1 +p1684 +tp1685 +a(g412 +g1007 +tp1686 +a(g412 +g1075 +tp1687 +a(g826 +V\u000a +p1688 +tp1689 +a(g826 +V +p1690 +tp1691 +a(g412 +g1107 +tp1692 +a(g826 +V\u000a +p1693 +tp1694 +a(g826 +V\u000a +p1695 +tp1696 +a(g412 +g1107 +tp1697 +a(g826 +V\u000a +p1698 +tp1699 +a(g826 +V\u000a +p1700 +tp1701 +a(g809 +Vprivate +p1702 +tp1703 +a(g826 +g962 +tp1704 +a(g809 +Vclass +p1705 +tp1706 +a(g826 +g962 +tp1707 +a(g628 +VWorkflowParser +p1708 +tp1709 +a(g826 +g962 +tp1710 +a(g412 +g988 +tp1711 +a(g826 +V\u000a +p1712 +tp1713 +a(g826 +V +p1714 +tp1715 +a(g748 +Vdef +p1716 +tp1717 +a(g826 +g962 +tp1718 +a(g435 +Vmap +p1719 +tp1720 +a(g826 +g962 +tp1721 +a(g412 +g1000 +tp1722 +a(g826 +g962 +tp1723 +a(g412 +g1003 +tp1724 +a(g412 +g1005 +tp1725 +a(g412 +g1007 +tp1726 +a(g826 +V\u000a +p1727 +tp1728 +a(g826 +V\u000a +p1729 +tp1730 +a(g826 +V +p1731 +tp1732 +a(g748 +Vdef +p1733 +tp1734 +a(g826 +g962 +tp1735 +a(g573 +VmethodMissing +p1736 +tp1737 +a(g412 +g1060 +tp1738 +a(g435 +VString +p1739 +tp1740 +a(g826 +g962 +tp1741 +a(g435 +Vname +p1742 +tp1743 +a(g412 +g1064 +tp1744 +a(g826 +g962 +tp1745 +a(g435 +Vargs +p1746 +tp1747 +a(g412 +g1075 +tp1748 +a(g826 +g962 +tp1749 +a(g412 +g988 +tp1750 +a(g826 +V\u000a +p1751 +tp1752 +a(g826 +V +p1753 +tp1754 +a(g435 +Vmap +p1755 +tp1756 +a(g412 +g1003 +tp1757 +a(g435 +Vname +p1758 +tp1759 +a(g412 +g1007 +tp1760 +a(g826 +g962 +tp1761 +a(g412 +g1000 +tp1762 +a(g826 +g962 +tp1763 +a(g435 +Vargs +p1764 +tp1765 +a(g412 +g1003 +tp1766 +a(g37 +g1675 +tp1767 +a(g412 +g1007 +tp1768 +a(g826 +V\u000a +p1769 +tp1770 +a(g826 +V +p1771 +tp1772 +a(g412 +g1107 +tp1773 +a(g826 +V\u000a +p1774 +tp1775 +a(g826 +V\u000a +p1776 +tp1777 +a(g826 +V +p1778 +tp1779 +a(g748 +Vdef +p1780 +tp1781 +a(g826 +g962 +tp1782 +a(g573 +Vparse +p1783 +tp1784 +a(g412 +g1060 +tp1785 +a(g435 +VClosure +p1786 +tp1787 +a(g826 +g962 +tp1788 +a(g435 +Vwf +p1789 +tp1790 +a(g412 +g1075 +tp1791 +a(g826 +g962 +tp1792 +a(g412 +g988 +tp1793 +a(g826 +V\u000a +p1794 +tp1795 +a(g826 +V +p1796 +tp1797 +a(g435 +Vwf +p1798 +tp1799 +a(g412 +g966 +tp1800 +a(g666 +Vdelegate +p1801 +tp1802 +a(g826 +g962 +tp1803 +a(g412 +g1000 +tp1804 +a(g826 +g962 +tp1805 +a(g744 +Vthis +p1806 +tp1807 +a(g826 +V\u000a +p1808 +tp1809 +a(g826 +V +p1810 +tp1811 +a(g435 +Vwf +p1812 +tp1813 +a(g412 +g966 +tp1814 +a(g666 +VresolveStrategy +p1815 +tp1816 +a(g826 +g962 +tp1817 +a(g412 +g1000 +tp1818 +a(g826 +g962 +tp1819 +a(g435 +VClosure +p1820 +tp1821 +a(g412 +g966 +tp1822 +a(g666 +VDELEGATE_FIRST +p1823 +tp1824 +a(g826 +V\u000a +p1825 +tp1826 +a(g826 +V +p1827 +tp1828 +a(g435 +Vwf +p1829 +tp1830 +a(g412 +g1060 +tp1831 +a(g412 +g1075 +tp1832 +a(g826 +V\u000a +p1833 +tp1834 +a(g826 +V +p1835 +tp1836 +a(g435 +Vmap +p1837 +tp1838 +a(g826 +V\u000a +p1839 +tp1840 +a(g826 +V +p1841 +tp1842 +a(g412 +g1107 +tp1843 +a(g826 +V\u000a +p1844 +tp1845 +a(g826 +V\u000a +p1846 +tp1847 +a(g826 +V +p1848 +tp1849 +a(g748 +Vdef +p1850 +tp1851 +a(g826 +g962 +tp1852 +a(g435 +Vworkflow +p1853 +tp1854 +a(g826 +g962 +tp1855 +a(g412 +g1000 +tp1856 +a(g826 +g962 +tp1857 +a(g412 +g988 +tp1858 +a(g826 +g962 +tp1859 +a(g435 +Vit +p1860 +tp1861 +a(g826 +g962 +tp1862 +a(g412 +V- +p1863 +tp1864 +a(g412 +V> +p1865 +tp1866 +a(g826 +V\u000a +p1867 +tp1868 +a(g826 +V +p1869 +tp1870 +a(g435 +Vit +p1871 +tp1872 +a(g412 +g966 +tp1873 +a(g666 +Vdelegate +p1874 +tp1875 +a(g826 +g962 +tp1876 +a(g412 +g1000 +tp1877 +a(g826 +g962 +tp1878 +a(g744 +Vthis +p1879 +tp1880 +a(g826 +V\u000a +p1881 +tp1882 +a(g826 +V +p1883 +tp1884 +a(g435 +Vit +p1885 +tp1886 +a(g412 +g966 +tp1887 +a(g666 +VresolveStrategy +p1888 +tp1889 +a(g826 +g962 +tp1890 +a(g412 +g1000 +tp1891 +a(g826 +g962 +tp1892 +a(g435 +VClosure +p1893 +tp1894 +a(g412 +g966 +tp1895 +a(g666 +VDELEGATE_FIRST +p1896 +tp1897 +a(g826 +V\u000a +p1898 +tp1899 +a(g826 +V +p1900 +tp1901 +a(g435 +Vit +p1902 +tp1903 +a(g412 +g1060 +tp1904 +a(g412 +g1075 +tp1905 +a(g826 +V\u000a +p1906 +tp1907 +a(g826 +V +p1908 +tp1909 +a(g412 +g1107 +tp1910 +a(g826 +V\u000a +p1911 +tp1912 +a(g826 +V\u000a +p1913 +tp1914 +a(g826 +V +p1915 +tp1916 +a(g748 +Vdef +p1917 +tp1918 +a(g826 +g962 +tp1919 +a(g573 +Vparse +p1920 +tp1921 +a(g412 +g1060 +tp1922 +a(g435 +VFile +p1923 +tp1924 +a(g826 +g962 +tp1925 +a(g435 +VworkflowDef +p1926 +tp1927 +a(g412 +g1075 +tp1928 +a(g826 +g962 +tp1929 +a(g412 +g988 +tp1930 +a(g826 +V\u000a +p1931 +tp1932 +a(g826 +V +p1933 +tp1934 +a(g748 +Vdef +p1935 +tp1936 +a(g826 +g962 +tp1937 +a(g435 +Vbinding +p1938 +tp1939 +a(g826 +g962 +tp1940 +a(g412 +g1000 +tp1941 +a(g826 +g962 +tp1942 +a(g744 +Vnew +p1943 +tp1944 +a(g826 +g962 +tp1945 +a(g435 +VBinding +p1946 +tp1947 +a(g412 +g1060 +tp1948 +a(g412 +g1003 +tp1949 +a(g596 +Vworkflow: +p1950 +tp1951 +a(g826 +g962 +tp1952 +a(g435 +Vworkflow +p1953 +tp1954 +a(g412 +g1007 +tp1955 +a(g412 +g1075 +tp1956 +a(g826 +V\u000a +p1957 +tp1958 +a(g826 +V +p1959 +tp1960 +a(g748 +Vdef +p1961 +tp1962 +a(g826 +g962 +tp1963 +a(g435 +Vshell +p1964 +tp1965 +a(g826 +g962 +tp1966 +a(g412 +g1000 +tp1967 +a(g826 +g962 +tp1968 +a(g744 +Vnew +p1969 +tp1970 +a(g826 +g962 +tp1971 +a(g435 +VGroovyShell +p1972 +tp1973 +a(g412 +g1060 +tp1974 +a(g435 +Vbinding +p1975 +tp1976 +a(g412 +g1075 +tp1977 +a(g826 +V\u000a +p1978 +tp1979 +a(g826 +V +p1980 +tp1981 +a(g435 +Vshell +p1982 +tp1983 +a(g412 +g966 +tp1984 +a(g666 +Vevaluate +p1985 +tp1986 +a(g412 +g1060 +tp1987 +a(g435 +VworkflowDef +p1988 +tp1989 +a(g412 +g1075 +tp1990 +a(g826 +V\u000a +p1991 +tp1992 +a(g826 +V +p1993 +tp1994 +a(g435 +Vmap +p1995 +tp1996 +a(g826 +V\u000a +p1997 +tp1998 +a(g826 +V +p1999 +tp2000 +a(g412 +g1107 +tp2001 +a(g826 +V\u000a +p2002 +tp2003 +a(g412 +g1107 +tp2004 +a(g826 +V\u000a +p2005 +tp2006 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.hsail b/tests/examplefiles/output/test.hsail new file mode 100644 index 0000000..b864987 --- /dev/null +++ b/tests/examplefiles/output/test.hsail @@ -0,0 +1,4204 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVmodule +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g457 +V&__llvm_hsail_module +p960 +tp961 +a(g693 +V: +p962 +tp963 +a(g22 +V1 +p964 +tp965 +a(g693 +g962 +tp966 +a(g22 +V0 +p967 +tp968 +a(g693 +g962 +tp969 +a(g7 +V$full +p970 +tp971 +a(g693 +g962 +tp972 +a(g7 +V$large +p973 +tp974 +a(g693 +g962 +tp975 +a(g7 +V$near +p976 +tp977 +a(g693 +V; +p978 +tp979 +a(g826 +V\u000a\u000a +p980 +tp981 +a(g7 +Vprog +p982 +tp983 +a(g826 +g958 +tp984 +a(g7 +Vkernel +p985 +tp986 +a(g826 +g958 +tp987 +a(g457 +V&mmul2d +p988 +tp989 +a(g693 +V( +p990 +tp991 +a(g826 +V\u000a +p992 +tp993 +a(g881 +Vkernarg_u64 +p994 +tp995 +a(g826 +g958 +tp996 +a(g428 +V%__arg_p0 +p997 +tp998 +a(g693 +V, +p999 +tp1000 +a(g826 +V\u000a +p1001 +tp1002 +a(g881 +Vkernarg_u64 +p1003 +tp1004 +a(g826 +g958 +tp1005 +a(g428 +V%__arg_p1 +p1006 +tp1007 +a(g693 +g999 +tp1008 +a(g826 +V\u000a +p1009 +tp1010 +a(g881 +Vkernarg_u64 +p1011 +tp1012 +a(g826 +g958 +tp1013 +a(g428 +V%__arg_p2 +p1014 +tp1015 +a(g693 +g999 +tp1016 +a(g826 +V\u000a +p1017 +tp1018 +a(g881 +Vkernarg_u64 +p1019 +tp1020 +a(g826 +g958 +tp1021 +a(g428 +V%__arg_p3 +p1022 +tp1023 +a(g693 +V) +p1024 +tp1025 +a(g826 +V\u000a +p1026 +tp1027 +a(g693 +V{ +p1028 +tp1029 +a(g826 +V\u000a +p1030 +tp1031 +a(g7 +Vpragma +p1032 +tp1033 +a(g826 +g958 +tp1034 +a(g76 +V"AMD RTI" +p1035 +tp1036 +a(g693 +g999 +tp1037 +a(g826 +g958 +tp1038 +a(g76 +V"ARGSTART:mmul2d" +p1039 +tp1040 +a(g693 +g978 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g7 +Vpragma +p1044 +tp1045 +a(g826 +g958 +tp1046 +a(g76 +V"AMD RTI" +p1047 +tp1048 +a(g693 +g999 +tp1049 +a(g826 +g958 +tp1050 +a(g76 +V"version:3:1:104" +p1051 +tp1052 +a(g693 +g978 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g7 +Vpragma +p1056 +tp1057 +a(g826 +g958 +tp1058 +a(g76 +V"AMD RTI" +p1059 +tp1060 +a(g693 +g999 +tp1061 +a(g826 +g958 +tp1062 +a(g76 +V"device:generic" +p1063 +tp1064 +a(g693 +g978 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g7 +Vpragma +p1068 +tp1069 +a(g826 +g958 +tp1070 +a(g76 +V"AMD RTI" +p1071 +tp1072 +a(g693 +g999 +tp1073 +a(g826 +g958 +tp1074 +a(g76 +V"uniqueid:1025" +p1075 +tp1076 +a(g693 +g978 +tp1077 +a(g826 +V\u000a +p1078 +tp1079 +a(g7 +Vpragma +p1080 +tp1081 +a(g826 +g958 +tp1082 +a(g76 +V"AMD RTI" +p1083 +tp1084 +a(g693 +g999 +tp1085 +a(g826 +g958 +tp1086 +a(g76 +V"function:1:0" +p1087 +tp1088 +a(g693 +g978 +tp1089 +a(g826 +V\u000a +p1090 +tp1091 +a(g7 +Vpragma +p1092 +tp1093 +a(g826 +g958 +tp1094 +a(g76 +V"AMD RTI" +p1095 +tp1096 +a(g693 +g999 +tp1097 +a(g826 +g958 +tp1098 +a(g76 +V"memory:64bitABI" +p1099 +tp1100 +a(g693 +g978 +tp1101 +a(g826 +V\u000a +p1102 +tp1103 +a(g7 +Vpragma +p1104 +tp1105 +a(g826 +g958 +tp1106 +a(g76 +V"AMD RTI" +p1107 +tp1108 +a(g693 +g999 +tp1109 +a(g826 +g958 +tp1110 +a(g76 +V"privateid:1" +p1111 +tp1112 +a(g693 +g978 +tp1113 +a(g826 +V\u000a +p1114 +tp1115 +a(g7 +Vpragma +p1116 +tp1117 +a(g826 +g958 +tp1118 +a(g76 +V"AMD RTI" +p1119 +tp1120 +a(g693 +g999 +tp1121 +a(g826 +g958 +tp1122 +a(g76 +V"ARGEND:mmul2d" +p1123 +tp1124 +a(g693 +g978 +tp1125 +a(g826 +V\u000a +p1126 +tp1127 +a(g745 +V// BB#0: // %top\u000a +p1128 +tp1129 +a(g826 +V +p1130 +tp1131 +a(g7 +Vmov +p1132 +tp1133 +a(g7 +V_f64 +p1134 +tp1135 +a(g826 +g1130 +tp1136 +a(g441 +V$d1 +p1137 +tp1138 +a(g693 +g999 +tp1139 +a(g826 +g958 +tp1140 +a(g302 +V0.0E+0 +p1141 +tp1142 +a(g693 +g978 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g7 +Vgridsize +p1146 +tp1147 +a(g7 +V_u32 +p1148 +tp1149 +a(g826 +g1130 +tp1150 +a(g441 +V$s0 +p1151 +tp1152 +a(g693 +g999 +tp1153 +a(g826 +g958 +tp1154 +a(g22 +g967 +tp1155 +a(g693 +g978 +tp1156 +a(g826 +V\u000a +p1157 +tp1158 +a(g7 +Vworkitemabsid +p1159 +tp1160 +a(g7 +V_u32 +p1161 +tp1162 +a(g826 +g1130 +tp1163 +a(g441 +V$s1 +p1164 +tp1165 +a(g693 +g999 +tp1166 +a(g826 +g958 +tp1167 +a(g22 +g964 +tp1168 +a(g693 +g978 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g7 +Vworkitemabsid +p1172 +tp1173 +a(g7 +V_u32 +p1174 +tp1175 +a(g826 +g1130 +tp1176 +a(g441 +V$s2 +p1177 +tp1178 +a(g693 +g999 +tp1179 +a(g826 +g958 +tp1180 +a(g22 +g967 +tp1181 +a(g693 +g978 +tp1182 +a(g826 +V\u000a +p1183 +tp1184 +a(g7 +Vcvt +p1185 +tp1186 +a(g7 +V_u64 +p1187 +tp1188 +a(g7 +V_u32 +p1189 +tp1190 +a(g826 +g1130 +tp1191 +a(g441 +V$d0 +p1192 +tp1193 +a(g693 +g999 +tp1194 +a(g826 +g958 +tp1195 +a(g441 +V$s2 +p1196 +tp1197 +a(g693 +g978 +tp1198 +a(g826 +V\u000a +p1199 +tp1200 +a(g7 +Vcvt +p1201 +tp1202 +a(g7 +V_u64 +p1203 +tp1204 +a(g7 +V_u32 +p1205 +tp1206 +a(g826 +g1130 +tp1207 +a(g441 +V$d3 +p1208 +tp1209 +a(g693 +g999 +tp1210 +a(g826 +g958 +tp1211 +a(g441 +V$s1 +p1212 +tp1213 +a(g693 +g978 +tp1214 +a(g826 +V\u000a +p1215 +tp1216 +a(g7 +Vcvt +p1217 +tp1218 +a(g7 +V_u64 +p1219 +tp1220 +a(g7 +V_u32 +p1221 +tp1222 +a(g826 +g1130 +tp1223 +a(g441 +V$d4 +p1224 +tp1225 +a(g693 +g999 +tp1226 +a(g826 +g958 +tp1227 +a(g441 +V$s0 +p1228 +tp1229 +a(g693 +g978 +tp1230 +a(g826 +V\u000a +p1231 +tp1232 +a(g7 +Vld +p1233 +tp1234 +a(g7 +V_kernarg +p1235 +tp1236 +a(g7 +V_align(8) +p1237 +tp1238 +a(g7 +V_width(all) +p1239 +tp1240 +a(g7 +V_u64 +p1241 +tp1242 +a(g826 +g1130 +tp1243 +a(g441 +V$d2 +p1244 +tp1245 +a(g693 +g999 +tp1246 +a(g826 +g958 +tp1247 +a(g693 +V[ +p1248 +tp1249 +a(g428 +V%__arg_p2 +p1250 +tp1251 +a(g693 +V] +p1252 +tp1253 +a(g693 +g978 +tp1254 +a(g826 +V\u000a +p1255 +tp1256 +a(g7 +Vld +p1257 +tp1258 +a(g7 +V_kernarg +p1259 +tp1260 +a(g7 +V_align(8) +p1261 +tp1262 +a(g7 +V_width(all) +p1263 +tp1264 +a(g7 +V_u64 +p1265 +tp1266 +a(g826 +g1130 +tp1267 +a(g441 +V$d6 +p1268 +tp1269 +a(g693 +g999 +tp1270 +a(g826 +g958 +tp1271 +a(g693 +g1248 +tp1272 +a(g428 +V%__arg_p1 +p1273 +tp1274 +a(g693 +g1252 +tp1275 +a(g693 +g978 +tp1276 +a(g826 +V\u000a +p1277 +tp1278 +a(g7 +Vld +p1279 +tp1280 +a(g7 +V_kernarg +p1281 +tp1282 +a(g7 +V_align(8) +p1283 +tp1284 +a(g7 +V_width(all) +p1285 +tp1286 +a(g7 +V_u64 +p1287 +tp1288 +a(g826 +g1130 +tp1289 +a(g441 +V$d5 +p1290 +tp1291 +a(g693 +g999 +tp1292 +a(g826 +g958 +tp1293 +a(g693 +g1248 +tp1294 +a(g428 +V%__arg_p3 +p1295 +tp1296 +a(g693 +g1252 +tp1297 +a(g693 +g978 +tp1298 +a(g826 +V\u000a +p1299 +tp1300 +a(g7 +Vld +p1301 +tp1302 +a(g7 +V_kernarg +p1303 +tp1304 +a(g7 +V_align(8) +p1305 +tp1306 +a(g7 +V_width(all) +p1307 +tp1308 +a(g7 +V_u64 +p1309 +tp1310 +a(g826 +g1130 +tp1311 +a(g441 +V$d7 +p1312 +tp1313 +a(g693 +g999 +tp1314 +a(g826 +g958 +tp1315 +a(g693 +g1248 +tp1316 +a(g428 +V%__arg_p0 +p1317 +tp1318 +a(g693 +g1252 +tp1319 +a(g693 +g978 +tp1320 +a(g826 +V\u000a +p1321 +tp1322 +a(g7 +Vcmp +p1323 +tp1324 +a(g7 +V_lt +p1325 +tp1326 +a(g7 +V_b1 +p1327 +tp1328 +a(g7 +V_s64 +p1329 +tp1330 +a(g826 +g1130 +tp1331 +a(g441 +V$c0 +p1332 +tp1333 +a(g693 +g999 +tp1334 +a(g826 +g958 +tp1335 +a(g441 +V$d5 +p1336 +tp1337 +a(g693 +g999 +tp1338 +a(g826 +g958 +tp1339 +a(g22 +g964 +tp1340 +a(g693 +g978 +tp1341 +a(g826 +V\u000a +p1342 +tp1343 +a(g7 +Vcbr +p1344 +tp1345 +a(g7 +V_b1 +p1346 +tp1347 +a(g826 +g1130 +tp1348 +a(g441 +V$c0 +p1349 +tp1350 +a(g693 +g999 +tp1351 +a(g826 +g958 +tp1352 +a(g584 +V@BB0_3 +p1353 +tp1354 +a(g693 +g978 +tp1355 +a(g826 +V\u000a +p1356 +tp1357 +a(g745 +V// BB#1: // %L.preheader\u000a +p1358 +tp1359 +a(g826 +g1130 +tp1360 +a(g7 +Vmul +p1361 +tp1362 +a(g7 +V_u64 +p1363 +tp1364 +a(g826 +g1130 +tp1365 +a(g441 +V$d1 +p1366 +tp1367 +a(g693 +g999 +tp1368 +a(g826 +g958 +tp1369 +a(g441 +V$d5 +p1370 +tp1371 +a(g693 +g999 +tp1372 +a(g826 +g958 +tp1373 +a(g441 +V$d3 +p1374 +tp1375 +a(g693 +g978 +tp1376 +a(g826 +V\u000a +p1377 +tp1378 +a(g7 +Vshl +p1379 +tp1380 +a(g7 +V_u64 +p1381 +tp1382 +a(g826 +g1130 +tp1383 +a(g441 +V$d1 +p1384 +tp1385 +a(g693 +g999 +tp1386 +a(g826 +g958 +tp1387 +a(g441 +V$d1 +p1388 +tp1389 +a(g693 +g999 +tp1390 +a(g826 +g958 +tp1391 +a(g22 +V3 +p1392 +tp1393 +a(g693 +g978 +tp1394 +a(g826 +V\u000a +p1395 +tp1396 +a(g7 +Vshl +p1397 +tp1398 +a(g7 +V_u64 +p1399 +tp1400 +a(g826 +g1130 +tp1401 +a(g441 +V$d8 +p1402 +tp1403 +a(g693 +g999 +tp1404 +a(g826 +g958 +tp1405 +a(g441 +V$d0 +p1406 +tp1407 +a(g693 +g999 +tp1408 +a(g826 +g958 +tp1409 +a(g22 +g1392 +tp1410 +a(g693 +g978 +tp1411 +a(g826 +V\u000a +p1412 +tp1413 +a(g7 +Vadd +p1414 +tp1415 +a(g7 +V_u64 +p1416 +tp1417 +a(g826 +g1130 +tp1418 +a(g441 +V$d8 +p1419 +tp1420 +a(g693 +g999 +tp1421 +a(g826 +g958 +tp1422 +a(g441 +V$d7 +p1423 +tp1424 +a(g693 +g999 +tp1425 +a(g826 +g958 +tp1426 +a(g441 +V$d8 +p1427 +tp1428 +a(g693 +g978 +tp1429 +a(g826 +V\u000a +p1430 +tp1431 +a(g7 +Vadd +p1432 +tp1433 +a(g7 +V_u64 +p1434 +tp1435 +a(g826 +g1130 +tp1436 +a(g441 +V$d6 +p1437 +tp1438 +a(g693 +g999 +tp1439 +a(g826 +g958 +tp1440 +a(g441 +V$d6 +p1441 +tp1442 +a(g693 +g999 +tp1443 +a(g826 +g958 +tp1444 +a(g441 +V$d1 +p1445 +tp1446 +a(g693 +g978 +tp1447 +a(g826 +V\u000a +p1448 +tp1449 +a(g7 +Vshl +p1450 +tp1451 +a(g7 +V_u64 +p1452 +tp1453 +a(g826 +g1130 +tp1454 +a(g441 +V$d7 +p1455 +tp1456 +a(g693 +g999 +tp1457 +a(g826 +g958 +tp1458 +a(g441 +V$d4 +p1459 +tp1460 +a(g693 +g999 +tp1461 +a(g826 +g958 +tp1462 +a(g22 +g1392 +tp1463 +a(g693 +g978 +tp1464 +a(g826 +V\u000a +p1465 +tp1466 +a(g7 +Vmov +p1467 +tp1468 +a(g7 +V_f64 +p1469 +tp1470 +a(g826 +g1130 +tp1471 +a(g441 +V$d1 +p1472 +tp1473 +a(g693 +g999 +tp1474 +a(g826 +g958 +tp1475 +a(g302 +V0D0000000000000000 +p1476 +tp1477 +a(g693 +g978 +tp1478 +a(g826 +V\u000a\u000a +p1479 +tp1480 +a(g584 +V@BB0_2: +p1481 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g745 +V// %L\u000a +p1485 +tp1486 +a(g826 +g1130 +tp1487 +a(g7 +Vadd +p1488 +tp1489 +a(g7 +V_u64 +p1490 +tp1491 +a(g826 +g1130 +tp1492 +a(g441 +V$d9 +p1493 +tp1494 +a(g693 +g999 +tp1495 +a(g826 +g958 +tp1496 +a(g441 +V$d8 +p1497 +tp1498 +a(g693 +g999 +tp1499 +a(g826 +g958 +tp1500 +a(g441 +V$d7 +p1501 +tp1502 +a(g693 +g978 +tp1503 +a(g826 +V\u000a +p1504 +tp1505 +a(g7 +Vld +p1506 +tp1507 +a(g7 +V_global +p1508 +tp1509 +a(g7 +V_f64 +p1510 +tp1511 +a(g826 +g1130 +tp1512 +a(g441 +V$d8 +p1513 +tp1514 +a(g693 +g999 +tp1515 +a(g826 +g958 +tp1516 +a(g693 +g1248 +tp1517 +a(g441 +V$d8 +p1518 +tp1519 +a(g693 +g1252 +tp1520 +a(g693 +g978 +tp1521 +a(g826 +V\u000a +p1522 +tp1523 +a(g7 +Vld +p1524 +tp1525 +a(g7 +V_global +p1526 +tp1527 +a(g7 +V_f64 +p1528 +tp1529 +a(g826 +g1130 +tp1530 +a(g441 +V$d10 +p1531 +tp1532 +a(g693 +g999 +tp1533 +a(g826 +g958 +tp1534 +a(g693 +g1248 +tp1535 +a(g441 +V$d6 +p1536 +tp1537 +a(g693 +g1252 +tp1538 +a(g693 +g978 +tp1539 +a(g826 +V\u000a +p1540 +tp1541 +a(g7 +Vmul +p1542 +tp1543 +a(g7 +V_f64 +p1544 +tp1545 +a(g826 +g1130 +tp1546 +a(g441 +V$d8 +p1547 +tp1548 +a(g693 +g999 +tp1549 +a(g826 +g958 +tp1550 +a(g441 +V$d8 +p1551 +tp1552 +a(g693 +g999 +tp1553 +a(g826 +g958 +tp1554 +a(g441 +V$d10 +p1555 +tp1556 +a(g693 +g978 +tp1557 +a(g826 +V\u000a +p1558 +tp1559 +a(g7 +Vadd +p1560 +tp1561 +a(g7 +V_f64 +p1562 +tp1563 +a(g826 +g1130 +tp1564 +a(g441 +V$d1 +p1565 +tp1566 +a(g693 +g999 +tp1567 +a(g826 +g958 +tp1568 +a(g441 +V$d1 +p1569 +tp1570 +a(g693 +g999 +tp1571 +a(g826 +g958 +tp1572 +a(g441 +V$d8 +p1573 +tp1574 +a(g693 +g978 +tp1575 +a(g826 +V\u000a +p1576 +tp1577 +a(g7 +Vadd +p1578 +tp1579 +a(g7 +V_u64 +p1580 +tp1581 +a(g826 +g1130 +tp1582 +a(g441 +V$d6 +p1583 +tp1584 +a(g693 +g999 +tp1585 +a(g826 +g958 +tp1586 +a(g441 +V$d6 +p1587 +tp1588 +a(g693 +g999 +tp1589 +a(g826 +g958 +tp1590 +a(g22 +V8 +p1591 +tp1592 +a(g693 +g978 +tp1593 +a(g826 +V\u000a +p1594 +tp1595 +a(g7 +Vadd +p1596 +tp1597 +a(g7 +V_u64 +p1598 +tp1599 +a(g826 +g1130 +tp1600 +a(g441 +V$d5 +p1601 +tp1602 +a(g693 +g999 +tp1603 +a(g826 +g958 +tp1604 +a(g441 +V$d5 +p1605 +tp1606 +a(g693 +g999 +tp1607 +a(g826 +g958 +tp1608 +a(g22 +V18446744073709551615 +p1609 +tp1610 +a(g693 +g978 +tp1611 +a(g826 +V\u000a +p1612 +tp1613 +a(g7 +Vcmp +p1614 +tp1615 +a(g7 +V_ne +p1616 +tp1617 +a(g7 +V_b1 +p1618 +tp1619 +a(g7 +V_s64 +p1620 +tp1621 +a(g826 +g1130 +tp1622 +a(g441 +V$c0 +p1623 +tp1624 +a(g693 +g999 +tp1625 +a(g826 +g958 +tp1626 +a(g441 +V$d5 +p1627 +tp1628 +a(g693 +g999 +tp1629 +a(g826 +g958 +tp1630 +a(g22 +g967 +tp1631 +a(g693 +g978 +tp1632 +a(g826 +V\u000a +p1633 +tp1634 +a(g7 +Vmov +p1635 +tp1636 +a(g7 +V_b64 +p1637 +tp1638 +a(g826 +g1130 +tp1639 +a(g441 +V$d8 +p1640 +tp1641 +a(g693 +g999 +tp1642 +a(g826 +g958 +tp1643 +a(g441 +V$d9 +p1644 +tp1645 +a(g693 +g978 +tp1646 +a(g826 +V\u000a +p1647 +tp1648 +a(g7 +Vcbr +p1649 +tp1650 +a(g7 +V_b1 +p1651 +tp1652 +a(g826 +g1130 +tp1653 +a(g441 +V$c0 +p1654 +tp1655 +a(g693 +g999 +tp1656 +a(g826 +g958 +tp1657 +a(g584 +V@BB0_2 +p1658 +tp1659 +a(g693 +g978 +tp1660 +a(g826 +V\u000a\u000a +p1661 +tp1662 +a(g584 +V@BB0_3: +p1663 +tp1664 +a(g826 +V\u000a +p1665 +tp1666 +a(g745 +V// %L.7\u000a +p1667 +tp1668 +a(g826 +g1130 +tp1669 +a(g7 +Vmul +p1670 +tp1671 +a(g7 +V_u64 +p1672 +tp1673 +a(g826 +g1130 +tp1674 +a(g441 +V$d3 +p1675 +tp1676 +a(g693 +g999 +tp1677 +a(g826 +g958 +tp1678 +a(g441 +V$d3 +p1679 +tp1680 +a(g693 +g999 +tp1681 +a(g826 +g958 +tp1682 +a(g441 +V$d4 +p1683 +tp1684 +a(g693 +g978 +tp1685 +a(g826 +V\u000a +p1686 +tp1687 +a(g7 +Vadd +p1688 +tp1689 +a(g7 +V_u64 +p1690 +tp1691 +a(g826 +g1130 +tp1692 +a(g441 +V$d0 +p1693 +tp1694 +a(g693 +g999 +tp1695 +a(g826 +g958 +tp1696 +a(g441 +V$d3 +p1697 +tp1698 +a(g693 +g999 +tp1699 +a(g826 +g958 +tp1700 +a(g441 +V$d0 +p1701 +tp1702 +a(g693 +g978 +tp1703 +a(g826 +V\u000a +p1704 +tp1705 +a(g7 +Vshl +p1706 +tp1707 +a(g7 +V_u64 +p1708 +tp1709 +a(g826 +g1130 +tp1710 +a(g441 +V$d0 +p1711 +tp1712 +a(g693 +g999 +tp1713 +a(g826 +g958 +tp1714 +a(g441 +V$d0 +p1715 +tp1716 +a(g693 +g999 +tp1717 +a(g826 +g958 +tp1718 +a(g22 +g1392 +tp1719 +a(g693 +g978 +tp1720 +a(g826 +V\u000a +p1721 +tp1722 +a(g7 +Vadd +p1723 +tp1724 +a(g7 +V_u64 +p1725 +tp1726 +a(g826 +g1130 +tp1727 +a(g441 +V$d0 +p1728 +tp1729 +a(g693 +g999 +tp1730 +a(g826 +g958 +tp1731 +a(g441 +V$d2 +p1732 +tp1733 +a(g693 +g999 +tp1734 +a(g826 +g958 +tp1735 +a(g441 +V$d0 +p1736 +tp1737 +a(g693 +g978 +tp1738 +a(g826 +V\u000a +p1739 +tp1740 +a(g7 +Vst +p1741 +tp1742 +a(g7 +V_global +p1743 +tp1744 +a(g7 +V_f64 +p1745 +tp1746 +a(g826 +g1130 +tp1747 +a(g441 +V$d1 +p1748 +tp1749 +a(g693 +g999 +tp1750 +a(g826 +g958 +tp1751 +a(g693 +g1248 +tp1752 +a(g441 +V$d0 +p1753 +tp1754 +a(g693 +g1252 +tp1755 +a(g693 +g978 +tp1756 +a(g826 +V\u000a +p1757 +tp1758 +a(g7 +Vret +p1759 +tp1760 +a(g693 +g978 +tp1761 +a(g826 +V\u000a +p1762 +tp1763 +a(g693 +V} +p1764 +tp1765 +a(g693 +g978 +tp1766 +a(g826 +V\u000a +p1767 +tp1768 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.html b/tests/examplefiles/output/test.html new file mode 100644 index 0000000..3ca49c7 --- /dev/null +++ b/tests/examplefiles/output/test.html @@ -0,0 +1,43666 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV< +p956 +tp957 +a(g545 +Vhtml +p958 +tp959 +a(g7 +V> +p960 +tp961 +a(g892 +V\u000a +p962 +tp963 +a(g7 +g956 +tp964 +a(g545 +Vhead +p965 +tp966 +a(g7 +g960 +tp967 +a(g892 +V\u000a +p968 +tp969 +a(g7 +g956 +tp970 +a(g545 +Vscript +p971 +tp972 +a(g7 +g960 +tp973 +a(g892 +V +p974 +tp975 +a(g892 +V\u000a +p976 +tp977 +a(g875 +Vfunction +p978 +tp979 +a(g892 +V +p980 +tp981 +a(g638 +VinitCodeBlock +p982 +tp983 +a(g7 +V( +p984 +tp985 +a(g638 +Vid +p986 +tp987 +a(g7 +V) +p988 +tp989 +a(g7 +V; +p990 +tp991 +a(g892 +g980 +tp992 +a(g7 +V{ +p993 +tp994 +a(g892 +V\u000a +p995 +tp996 +a(g875 +Vvar +p997 +tp998 +a(g892 +g980 +tp999 +a(g638 +Vel +p1000 +tp1001 +a(g892 +g980 +tp1002 +a(g400 +V= +p1003 +tp1004 +a(g892 +g980 +tp1005 +a(g669 +Vdocument +p1006 +tp1007 +a(g7 +V. +p1008 +tp1009 +a(g638 +VgetElementById +p1010 +tp1011 +a(g7 +g984 +tp1012 +a(g638 +Vid +p1013 +tp1014 +a(g7 +g988 +tp1015 +a(g7 +g990 +tp1016 +a(g892 +V\u000a +p1017 +tp1018 +a(g7 +V} +p1019 +tp1020 +a(g892 +V\u000a +p1021 +tp1022 +a(g7 +g956 +tp1023 +a(g7 +V/ +p1024 +tp1025 +a(g545 +Vscript +p1026 +tp1027 +a(g7 +g960 +tp1028 +a(g892 +V\u000a +p1029 +tp1030 +a(g7 +g956 +tp1031 +a(g545 +Vstyle +p1032 +tp1033 +a(g7 +g960 +tp1034 +a(g892 +V\u000a +p1035 +tp1036 +a(g7 +g1008 +tp1037 +a(g616 +Vsyntax +p1038 +tp1039 +a(g892 +g980 +tp1040 +a(g7 +g993 +tp1041 +a(g892 +g980 +tp1042 +a(g810 +Vborder +p1043 +tp1044 +a(g7 +V: +p1045 +tp1046 +a(g892 +g980 +tp1047 +a(g22 +V1 +p1048 +tp1049 +a(g814 +Vpx +p1050 +tp1051 +a(g892 +g980 +tp1052 +a(g829 +Vsolid +p1053 +tp1054 +a(g892 +g980 +tp1055 +a(g285 +V#d0d0d0 +p1056 +tp1057 +a(g7 +g990 +tp1058 +a(g892 +g980 +tp1059 +a(g810 +Vbackground-color +p1060 +tp1061 +a(g7 +g1045 +tp1062 +a(g892 +g980 +tp1063 +a(g285 +V#f0f0f0 +p1064 +tp1065 +a(g7 +g990 +tp1066 +a(g892 +V\u000a +p1067 +tp1068 +a(g810 +Vmargin-left +p1069 +tp1070 +a(g7 +g1045 +tp1071 +a(g892 +g980 +tp1072 +a(g22 +V10 +p1073 +tp1074 +a(g814 +Vpx +p1075 +tp1076 +a(g7 +g990 +tp1077 +a(g892 +g980 +tp1078 +a(g810 +Vmargin-right +p1079 +tp1080 +a(g7 +g1045 +tp1081 +a(g892 +g980 +tp1082 +a(g22 +V10 +p1083 +tp1084 +a(g814 +Vpx +p1085 +tp1086 +a(g7 +g990 +tp1087 +a(g892 +g980 +tp1088 +a(g7 +g1019 +tp1089 +a(g892 +V\u000a\u000a +p1090 +tp1091 +a(g7 +g1008 +tp1092 +a(g616 +Vsyntaxheader +p1093 +tp1094 +a(g892 +g980 +tp1095 +a(g7 +g993 +tp1096 +a(g892 +g980 +tp1097 +a(g810 +Vmargin-top +p1098 +tp1099 +a(g7 +g1045 +tp1100 +a(g892 +g980 +tp1101 +a(g22 +V15 +p1102 +tp1103 +a(g814 +Vpx +p1104 +tp1105 +a(g7 +g990 +tp1106 +a(g892 +g980 +tp1107 +a(g810 +Vmargin-bottom +p1108 +tp1109 +a(g7 +g1045 +tp1110 +a(g892 +g980 +tp1111 +a(g22 +V0 +p1112 +tp1113 +a(g814 +Vpx +p1114 +tp1115 +a(g7 +g990 +tp1116 +a(g892 +V\u000a +p1117 +tp1118 +a(g810 +Vtext-align +p1119 +tp1120 +a(g7 +g1045 +tp1121 +a(g892 +g980 +tp1122 +a(g829 +Vright +p1123 +tp1124 +a(g7 +g990 +tp1125 +a(g892 +g980 +tp1126 +a(g810 +Vfont-size +p1127 +tp1128 +a(g7 +g1045 +tp1129 +a(g892 +g980 +tp1130 +a(g22 +V11 +p1131 +tp1132 +a(g814 +Vpx +p1133 +tp1134 +a(g7 +g990 +tp1135 +a(g892 +V\u000a +p1136 +tp1137 +a(g810 +Vborder-bottom +p1138 +tp1139 +a(g7 +g1045 +tp1140 +a(g892 +g980 +tp1141 +a(g22 +g1112 +tp1142 +a(g7 +g990 +tp1143 +a(g892 +g980 +tp1144 +a(g810 +Vpadding +p1145 +tp1146 +a(g7 +g1045 +tp1147 +a(g892 +g980 +tp1148 +a(g22 +V3 +p1149 +tp1150 +a(g814 +Vpx +p1151 +tp1152 +a(g7 +g990 +tp1153 +a(g892 +g980 +tp1154 +a(g7 +g1019 +tp1155 +a(g892 +V\u000a\u000a +p1156 +tp1157 +a(g7 +g1008 +tp1158 +a(g616 +Vlinenos +p1159 +tp1160 +a(g892 +g980 +tp1161 +a(g7 +g993 +tp1162 +a(g892 +g980 +tp1163 +a(g810 +Vfloat +p1164 +tp1165 +a(g7 +g1045 +tp1166 +a(g892 +g980 +tp1167 +a(g829 +Vleft +p1168 +tp1169 +a(g7 +g990 +tp1170 +a(g892 +g980 +tp1171 +a(g810 +Vdisplay +p1172 +tp1173 +a(g7 +g1045 +tp1174 +a(g892 +g980 +tp1175 +a(g829 +Vblock +p1176 +tp1177 +a(g7 +g990 +tp1178 +a(g892 +g980 +tp1179 +a(g7 +g1019 +tp1180 +a(g892 +V\u000a +p1181 +tp1182 +a(g7 +g1008 +tp1183 +a(g616 +Vlinenos +p1184 +tp1185 +a(g892 +g980 +tp1186 +a(g545 +Vpre +p1187 +tp1188 +a(g892 +g980 +tp1189 +a(g7 +g993 +tp1190 +a(g892 +g980 +tp1191 +a(g810 +Vpadding-right +p1192 +tp1193 +a(g7 +g1045 +tp1194 +a(g892 +g980 +tp1195 +a(g22 +V7 +p1196 +tp1197 +a(g814 +Vpx +p1198 +tp1199 +a(g7 +g990 +tp1200 +a(g892 +g980 +tp1201 +a(g810 +Vpadding-left +p1202 +tp1203 +a(g7 +g1045 +tp1204 +a(g892 +g980 +tp1205 +a(g22 +g1196 +tp1206 +a(g814 +Vpx +p1207 +tp1208 +a(g7 +g990 +tp1209 +a(g892 +V\u000a +p1210 +tp1211 +a(g810 +Vcolor +p1212 +tp1213 +a(g7 +g1045 +tp1214 +a(g892 +g980 +tp1215 +a(g285 +V#666 +p1216 +tp1217 +a(g7 +g990 +tp1218 +a(g892 +g980 +tp1219 +a(g7 +g1019 +tp1220 +a(g892 +V\u000a\u000a +p1221 +tp1222 +a(g545 +Vpre +p1223 +tp1224 +a(g7 +g1008 +tp1225 +a(g616 +Vsyntax +p1226 +tp1227 +a(g892 +g980 +tp1228 +a(g7 +g993 +tp1229 +a(g892 +g980 +tp1230 +a(g810 +Vpadding +p1231 +tp1232 +a(g7 +g1045 +tp1233 +a(g892 +g980 +tp1234 +a(g22 +V5 +p1235 +tp1236 +a(g814 +Vpx +p1237 +tp1238 +a(g7 +g990 +tp1239 +a(g892 +g980 +tp1240 +a(g810 +Vmargin-top +p1241 +tp1242 +a(g7 +g1045 +tp1243 +a(g892 +g980 +tp1244 +a(g22 +g1112 +tp1245 +a(g814 +Vpx +p1246 +tp1247 +a(g7 +g990 +tp1248 +a(g892 +g980 +tp1249 +a(g7 +g1019 +tp1250 +a(g892 +V\u000a\u000a +p1251 +tp1252 +a(g7 +g1008 +tp1253 +a(g616 +Vsyntax +p1254 +tp1255 +a(g892 +g980 +tp1256 +a(g7 +g1008 +tp1257 +a(g616 +Vcm +p1258 +tp1259 +a(g892 +g980 +tp1260 +a(g7 +g993 +tp1261 +a(g892 +g980 +tp1262 +a(g810 +Vcolor +p1263 +tp1264 +a(g7 +g1045 +tp1265 +a(g892 +g980 +tp1266 +a(g285 +V#60a0b0 +p1267 +tp1268 +a(g7 +g990 +tp1269 +a(g892 +g980 +tp1270 +a(g810 +Vfont-style +p1271 +tp1272 +a(g7 +g1045 +tp1273 +a(g892 +g980 +tp1274 +a(g829 +Vitalic +p1275 +tp1276 +a(g7 +g990 +tp1277 +a(g892 +g980 +tp1278 +a(g7 +g1019 +tp1279 +a(g892 +V +p1280 +tp1281 +a(g693 +V/* comments */ +p1282 +tp1283 +a(g892 +V\u000a +p1284 +tp1285 +a(g7 +g1008 +tp1286 +a(g616 +Vsyntax +p1287 +tp1288 +a(g892 +g980 +tp1289 +a(g7 +g1008 +tp1290 +a(g616 +Vcm-proc +p1291 +tp1292 +a(g892 +g980 +tp1293 +a(g7 +g993 +tp1294 +a(g892 +g980 +tp1295 +a(g810 +Vcolor +p1296 +tp1297 +a(g7 +g1045 +tp1298 +a(g892 +g980 +tp1299 +a(g285 +V#007020 +p1300 +tp1301 +a(g7 +g990 +tp1302 +a(g892 +g980 +tp1303 +a(g810 +Vfont-style +p1304 +tp1305 +a(g7 +g1045 +tp1306 +a(g892 +g980 +tp1307 +a(g829 +Vnormal +p1308 +tp1309 +a(g7 +g990 +tp1310 +a(g892 +g980 +tp1311 +a(g7 +g1019 +tp1312 +a(g892 +V +p1313 +tp1314 +a(g693 +V/* preproc */ +p1315 +tp1316 +a(g892 +V\u000a +p1317 +tp1318 +a(g7 +g1008 +tp1319 +a(g616 +Vsyntax +p1320 +tp1321 +a(g892 +g980 +tp1322 +a(g7 +g1008 +tp1323 +a(g616 +Vkw +p1324 +tp1325 +a(g892 +g980 +tp1326 +a(g7 +g993 +tp1327 +a(g892 +g980 +tp1328 +a(g810 +Vcolor +p1329 +tp1330 +a(g7 +g1045 +tp1331 +a(g892 +g980 +tp1332 +a(g285 +V#007020 +p1333 +tp1334 +a(g7 +g990 +tp1335 +a(g892 +g980 +tp1336 +a(g810 +Vfont-weight +p1337 +tp1338 +a(g7 +g1045 +tp1339 +a(g892 +g980 +tp1340 +a(g829 +Vbold +p1341 +tp1342 +a(g7 +g990 +tp1343 +a(g892 +g980 +tp1344 +a(g7 +g1019 +tp1345 +a(g892 +V +p1346 +tp1347 +a(g693 +V/* keywords */ +p1348 +tp1349 +a(g892 +V\u000a +p1350 +tp1351 +a(g7 +g1008 +tp1352 +a(g616 +Vsyntax +p1353 +tp1354 +a(g892 +g980 +tp1355 +a(g7 +g1008 +tp1356 +a(g616 +Vkw-pseudo +p1357 +tp1358 +a(g892 +g980 +tp1359 +a(g7 +g993 +tp1360 +a(g892 +g980 +tp1361 +a(g810 +Vfont-weight +p1362 +tp1363 +a(g7 +g1045 +tp1364 +a(g892 +g980 +tp1365 +a(g829 +Vnormal +p1366 +tp1367 +a(g7 +g990 +tp1368 +a(g892 +g980 +tp1369 +a(g7 +g1019 +tp1370 +a(g892 +V +p1371 +tp1372 +a(g693 +V/* pseudo keywords */ +p1373 +tp1374 +a(g892 +V\u000a +p1375 +tp1376 +a(g7 +g1008 +tp1377 +a(g616 +Vsyntax +p1378 +tp1379 +a(g892 +g980 +tp1380 +a(g7 +g1008 +tp1381 +a(g616 +Vop +p1382 +tp1383 +a(g892 +g980 +tp1384 +a(g7 +g993 +tp1385 +a(g892 +g980 +tp1386 +a(g810 +Vcolor +p1387 +tp1388 +a(g7 +g1045 +tp1389 +a(g892 +g980 +tp1390 +a(g285 +V#666666 +p1391 +tp1392 +a(g7 +g990 +tp1393 +a(g892 +g980 +tp1394 +a(g7 +g1019 +tp1395 +a(g892 +V +p1396 +tp1397 +a(g693 +V/* operators */ +p1398 +tp1399 +a(g892 +V\u000a +p1400 +tp1401 +a(g7 +g1008 +tp1402 +a(g616 +Vsyntax +p1403 +tp1404 +a(g892 +g980 +tp1405 +a(g7 +g1008 +tp1406 +a(g616 +Vop-word +p1407 +tp1408 +a(g892 +g980 +tp1409 +a(g7 +g993 +tp1410 +a(g892 +g980 +tp1411 +a(g810 +Vcolor +p1412 +tp1413 +a(g7 +g1045 +tp1414 +a(g892 +g980 +tp1415 +a(g285 +V#007020 +p1416 +tp1417 +a(g7 +g990 +tp1418 +a(g892 +g980 +tp1419 +a(g810 +Vfont-weight +p1420 +tp1421 +a(g7 +g1045 +tp1422 +a(g892 +g980 +tp1423 +a(g829 +Vbold +p1424 +tp1425 +a(g7 +g990 +tp1426 +a(g892 +g980 +tp1427 +a(g7 +g1019 +tp1428 +a(g892 +V +p1429 +tp1430 +a(g693 +V/* word operators */ +p1431 +tp1432 +a(g892 +V\u000a +p1433 +tp1434 +a(g7 +g1008 +tp1435 +a(g616 +Vsyntax +p1436 +tp1437 +a(g892 +g980 +tp1438 +a(g7 +g1008 +tp1439 +a(g616 +Vbn +p1440 +tp1441 +a(g892 +g980 +tp1442 +a(g7 +g993 +tp1443 +a(g892 +g980 +tp1444 +a(g810 +Vcolor +p1445 +tp1446 +a(g7 +g1045 +tp1447 +a(g892 +g980 +tp1448 +a(g285 +V#007020 +p1449 +tp1450 +a(g7 +g990 +tp1451 +a(g892 +g980 +tp1452 +a(g7 +g1019 +tp1453 +a(g892 +V +p1454 +tp1455 +a(g693 +V/* builtins */ +p1456 +tp1457 +a(g892 +V\u000a +p1458 +tp1459 +a(g7 +g1008 +tp1460 +a(g616 +Vsyntax +p1461 +tp1462 +a(g892 +g980 +tp1463 +a(g7 +g1008 +tp1464 +a(g616 +Vfun +p1465 +tp1466 +a(g892 +g980 +tp1467 +a(g7 +g993 +tp1468 +a(g892 +g980 +tp1469 +a(g810 +Vcolor +p1470 +tp1471 +a(g7 +g1045 +tp1472 +a(g892 +g980 +tp1473 +a(g285 +V#06287e +p1474 +tp1475 +a(g7 +g990 +tp1476 +a(g892 +g980 +tp1477 +a(g7 +g1019 +tp1478 +a(g892 +V +p1479 +tp1480 +a(g693 +V/* func name */ +p1481 +tp1482 +a(g892 +V\u000a +p1483 +tp1484 +a(g7 +g1008 +tp1485 +a(g616 +Vsyntax +p1486 +tp1487 +a(g892 +g980 +tp1488 +a(g7 +g1008 +tp1489 +a(g616 +Vcls +p1490 +tp1491 +a(g892 +g980 +tp1492 +a(g7 +g993 +tp1493 +a(g892 +g980 +tp1494 +a(g810 +Vcolor +p1495 +tp1496 +a(g7 +g1045 +tp1497 +a(g892 +g980 +tp1498 +a(g285 +V#0e84b5 +p1499 +tp1500 +a(g7 +g990 +tp1501 +a(g892 +g980 +tp1502 +a(g810 +Vfont-weight +p1503 +tp1504 +a(g7 +g1045 +tp1505 +a(g892 +g980 +tp1506 +a(g829 +Vbold +p1507 +tp1508 +a(g7 +g990 +tp1509 +a(g892 +g980 +tp1510 +a(g7 +g1019 +tp1511 +a(g892 +V +p1512 +tp1513 +a(g693 +V/* class names */ +p1514 +tp1515 +a(g892 +V\u000a +p1516 +tp1517 +a(g7 +g1008 +tp1518 +a(g616 +Vsyntax +p1519 +tp1520 +a(g892 +g980 +tp1521 +a(g7 +g1008 +tp1522 +a(g616 +Vexc +p1523 +tp1524 +a(g892 +g980 +tp1525 +a(g7 +g993 +tp1526 +a(g892 +g980 +tp1527 +a(g810 +Vcolor +p1528 +tp1529 +a(g7 +g1045 +tp1530 +a(g892 +g980 +tp1531 +a(g285 +V#007020 +p1532 +tp1533 +a(g7 +g990 +tp1534 +a(g892 +g980 +tp1535 +a(g7 +g1019 +tp1536 +a(g892 +V +p1537 +tp1538 +a(g693 +V/* exceptions */ +p1539 +tp1540 +a(g892 +V\u000a +p1541 +tp1542 +a(g7 +g1008 +tp1543 +a(g616 +Vsyntax +p1544 +tp1545 +a(g892 +g980 +tp1546 +a(g7 +g1008 +tp1547 +a(g616 +Vvar +p1548 +tp1549 +a(g892 +g980 +tp1550 +a(g7 +g993 +tp1551 +a(g892 +g980 +tp1552 +a(g810 +Vcolor +p1553 +tp1554 +a(g7 +g1045 +tp1555 +a(g892 +g980 +tp1556 +a(g285 +V#bb60d5 +p1557 +tp1558 +a(g7 +g990 +tp1559 +a(g892 +g980 +tp1560 +a(g7 +g1019 +tp1561 +a(g892 +V +p1562 +tp1563 +a(g693 +V/* variables */ +p1564 +tp1565 +a(g892 +V\u000a +p1566 +tp1567 +a(g7 +g1008 +tp1568 +a(g616 +Vsyntax +p1569 +tp1570 +a(g892 +g980 +tp1571 +a(g7 +g1008 +tp1572 +a(g616 +Vconst +p1573 +tp1574 +a(g892 +g980 +tp1575 +a(g7 +g993 +tp1576 +a(g892 +g980 +tp1577 +a(g810 +Vcolor +p1578 +tp1579 +a(g7 +g1045 +tp1580 +a(g892 +g980 +tp1581 +a(g285 +V#60add5 +p1582 +tp1583 +a(g7 +g990 +tp1584 +a(g892 +g980 +tp1585 +a(g7 +g1019 +tp1586 +a(g892 +V +p1587 +tp1588 +a(g693 +V/* constants */ +p1589 +tp1590 +a(g892 +V\u000a +p1591 +tp1592 +a(g7 +g1008 +tp1593 +a(g616 +Vsyntax +p1594 +tp1595 +a(g892 +g980 +tp1596 +a(g7 +g1008 +tp1597 +a(g616 +Ventity +p1598 +tp1599 +a(g892 +g980 +tp1600 +a(g7 +g993 +tp1601 +a(g892 +g980 +tp1602 +a(g810 +Vcolor +p1603 +tp1604 +a(g7 +g1045 +tp1605 +a(g892 +g980 +tp1606 +a(g285 +V#d55537 +p1607 +tp1608 +a(g7 +g990 +tp1609 +a(g892 +g980 +tp1610 +a(g810 +Vfont-weight +p1611 +tp1612 +a(g7 +g1045 +tp1613 +a(g892 +g980 +tp1614 +a(g829 +Vbold +p1615 +tp1616 +a(g7 +g990 +tp1617 +a(g892 +g980 +tp1618 +a(g7 +g1019 +tp1619 +a(g892 +V +p1620 +tp1621 +a(g693 +V/* entities */ +p1622 +tp1623 +a(g892 +V\u000a +p1624 +tp1625 +a(g7 +g1008 +tp1626 +a(g616 +Vsyntax +p1627 +tp1628 +a(g892 +g980 +tp1629 +a(g7 +g1008 +tp1630 +a(g616 +Vattr +p1631 +tp1632 +a(g892 +g980 +tp1633 +a(g7 +g993 +tp1634 +a(g892 +g980 +tp1635 +a(g810 +Vcolor +p1636 +tp1637 +a(g7 +g1045 +tp1638 +a(g892 +g980 +tp1639 +a(g285 +V#4070a0 +p1640 +tp1641 +a(g7 +g990 +tp1642 +a(g892 +g980 +tp1643 +a(g7 +g1019 +tp1644 +a(g892 +V +p1645 +tp1646 +a(g693 +V/* attributes */ +p1647 +tp1648 +a(g892 +V\u000a +p1649 +tp1650 +a(g7 +g1008 +tp1651 +a(g616 +Vsyntax +p1652 +tp1653 +a(g892 +g980 +tp1654 +a(g7 +g1008 +tp1655 +a(g616 +Vtag +p1656 +tp1657 +a(g892 +g980 +tp1658 +a(g7 +g993 +tp1659 +a(g892 +g980 +tp1660 +a(g810 +Vcolor +p1661 +tp1662 +a(g7 +g1045 +tp1663 +a(g892 +g980 +tp1664 +a(g285 +V#062873 +p1665 +tp1666 +a(g7 +g990 +tp1667 +a(g892 +g980 +tp1668 +a(g810 +Vfont-weight +p1669 +tp1670 +a(g7 +g1045 +tp1671 +a(g892 +g980 +tp1672 +a(g829 +Vbold +p1673 +tp1674 +a(g7 +g990 +tp1675 +a(g892 +g980 +tp1676 +a(g7 +g1019 +tp1677 +a(g892 +V +p1678 +tp1679 +a(g693 +V/* tag names */ +p1680 +tp1681 +a(g892 +V\u000a +p1682 +tp1683 +a(g7 +g1008 +tp1684 +a(g616 +Vsyntax +p1685 +tp1686 +a(g892 +g980 +tp1687 +a(g7 +g1008 +tp1688 +a(g616 +Vdeco +p1689 +tp1690 +a(g892 +g980 +tp1691 +a(g7 +g993 +tp1692 +a(g892 +g980 +tp1693 +a(g810 +Vcolor +p1694 +tp1695 +a(g7 +g1045 +tp1696 +a(g892 +g980 +tp1697 +a(g285 +V#555555 +p1698 +tp1699 +a(g7 +g990 +tp1700 +a(g892 +g980 +tp1701 +a(g810 +Vfont-weight +p1702 +tp1703 +a(g7 +g1045 +tp1704 +a(g892 +g980 +tp1705 +a(g829 +Vbold +p1706 +tp1707 +a(g7 +g990 +tp1708 +a(g892 +g980 +tp1709 +a(g7 +g1019 +tp1710 +a(g892 +V +p1711 +tp1712 +a(g693 +V/* decorators */ +p1713 +tp1714 +a(g892 +V\u000a +p1715 +tp1716 +a(g7 +g1008 +tp1717 +a(g616 +Vsyntax +p1718 +tp1719 +a(g892 +g980 +tp1720 +a(g7 +g1008 +tp1721 +a(g616 +Vst +p1722 +tp1723 +a(g892 +g980 +tp1724 +a(g7 +g993 +tp1725 +a(g892 +g980 +tp1726 +a(g810 +Vcolor +p1727 +tp1728 +a(g7 +g1045 +tp1729 +a(g892 +g980 +tp1730 +a(g285 +V#4070a0 +p1731 +tp1732 +a(g7 +g990 +tp1733 +a(g892 +g980 +tp1734 +a(g7 +g1019 +tp1735 +a(g892 +V +p1736 +tp1737 +a(g693 +V/* strings */ +p1738 +tp1739 +a(g892 +V\u000a +p1740 +tp1741 +a(g7 +g1008 +tp1742 +a(g616 +Vsyntax +p1743 +tp1744 +a(g892 +g980 +tp1745 +a(g7 +g1008 +tp1746 +a(g616 +Vst-int +p1747 +tp1748 +a(g892 +g980 +tp1749 +a(g7 +g993 +tp1750 +a(g892 +g980 +tp1751 +a(g810 +Vcolor +p1752 +tp1753 +a(g7 +g1045 +tp1754 +a(g892 +g980 +tp1755 +a(g285 +V#70a0d0 +p1756 +tp1757 +a(g7 +g990 +tp1758 +a(g892 +g980 +tp1759 +a(g810 +Vfont-style +p1760 +tp1761 +a(g7 +g1045 +tp1762 +a(g892 +g980 +tp1763 +a(g829 +Vitalic +p1764 +tp1765 +a(g7 +g990 +tp1766 +a(g892 +g980 +tp1767 +a(g7 +g1019 +tp1768 +a(g892 +V +p1769 +tp1770 +a(g693 +V/* interpolated str */ +p1771 +tp1772 +a(g892 +V\u000a +p1773 +tp1774 +a(g7 +g1008 +tp1775 +a(g616 +Vsyntax +p1776 +tp1777 +a(g892 +g980 +tp1778 +a(g7 +g1008 +tp1779 +a(g616 +Vst-esc +p1780 +tp1781 +a(g892 +g980 +tp1782 +a(g7 +g993 +tp1783 +a(g892 +g980 +tp1784 +a(g810 +Vcolor +p1785 +tp1786 +a(g7 +g1045 +tp1787 +a(g892 +g980 +tp1788 +a(g285 +V#4070a0 +p1789 +tp1790 +a(g7 +g990 +tp1791 +a(g892 +g980 +tp1792 +a(g810 +Vfont-weight +p1793 +tp1794 +a(g7 +g1045 +tp1795 +a(g892 +g980 +tp1796 +a(g829 +Vbold +p1797 +tp1798 +a(g7 +g990 +tp1799 +a(g892 +g980 +tp1800 +a(g7 +g1019 +tp1801 +a(g892 +V +p1802 +tp1803 +a(g693 +V/* escaped str */ +p1804 +tp1805 +a(g892 +V\u000a +p1806 +tp1807 +a(g7 +g1008 +tp1808 +a(g616 +Vsyntax +p1809 +tp1810 +a(g892 +g980 +tp1811 +a(g7 +g1008 +tp1812 +a(g616 +Vst-re +p1813 +tp1814 +a(g892 +g980 +tp1815 +a(g7 +g993 +tp1816 +a(g892 +g980 +tp1817 +a(g810 +Vcolor +p1818 +tp1819 +a(g7 +g1045 +tp1820 +a(g892 +g980 +tp1821 +a(g285 +V#235388 +p1822 +tp1823 +a(g7 +g990 +tp1824 +a(g892 +g980 +tp1825 +a(g7 +g1019 +tp1826 +a(g892 +V +p1827 +tp1828 +a(g693 +V/* regular expr */ +p1829 +tp1830 +a(g892 +V\u000a +p1831 +tp1832 +a(g7 +g1008 +tp1833 +a(g616 +Vsyntax +p1834 +tp1835 +a(g892 +g980 +tp1836 +a(g7 +g1008 +tp1837 +a(g616 +Vst-sym +p1838 +tp1839 +a(g892 +g980 +tp1840 +a(g7 +g993 +tp1841 +a(g892 +g980 +tp1842 +a(g810 +Vcolor +p1843 +tp1844 +a(g7 +g1045 +tp1845 +a(g892 +g980 +tp1846 +a(g285 +V#517918 +p1847 +tp1848 +a(g7 +g990 +tp1849 +a(g892 +g980 +tp1850 +a(g7 +g1019 +tp1851 +a(g892 +V +p1852 +tp1853 +a(g693 +V/* symbols */ +p1854 +tp1855 +a(g892 +V\u000a +p1856 +tp1857 +a(g7 +g1008 +tp1858 +a(g616 +Vsyntax +p1859 +tp1860 +a(g892 +g980 +tp1861 +a(g7 +g1008 +tp1862 +a(g616 +Vst-oth +p1863 +tp1864 +a(g892 +g980 +tp1865 +a(g7 +g993 +tp1866 +a(g892 +g980 +tp1867 +a(g810 +Vcolor +p1868 +tp1869 +a(g7 +g1045 +tp1870 +a(g892 +g980 +tp1871 +a(g285 +V#c65d09 +p1872 +tp1873 +a(g7 +g990 +tp1874 +a(g892 +g980 +tp1875 +a(g7 +g1019 +tp1876 +a(g892 +V +p1877 +tp1878 +a(g693 +V/* other strings */ +p1879 +tp1880 +a(g892 +V\u000a +p1881 +tp1882 +a(g7 +g1008 +tp1883 +a(g616 +Vsyntax +p1884 +tp1885 +a(g892 +g980 +tp1886 +a(g7 +g1008 +tp1887 +a(g616 +Vnb +p1888 +tp1889 +a(g892 +g980 +tp1890 +a(g7 +g993 +tp1891 +a(g892 +g980 +tp1892 +a(g810 +Vcolor +p1893 +tp1894 +a(g7 +g1045 +tp1895 +a(g892 +g980 +tp1896 +a(g285 +V#40a070 +p1897 +tp1898 +a(g7 +g990 +tp1899 +a(g892 +g980 +tp1900 +a(g7 +g1019 +tp1901 +a(g892 +V +p1902 +tp1903 +a(g693 +V/* numbers */ +p1904 +tp1905 +a(g892 +V\u000a\u000a +p1906 +tp1907 +a(g7 +g1008 +tp1908 +a(g616 +Vsyntax +p1909 +tp1910 +a(g892 +g980 +tp1911 +a(g7 +g1008 +tp1912 +a(g616 +Vgen-hd +p1913 +tp1914 +a(g892 +g980 +tp1915 +a(g7 +g993 +tp1916 +a(g892 +g980 +tp1917 +a(g810 +Vfont-weight +p1918 +tp1919 +a(g7 +g1045 +tp1920 +a(g892 +g980 +tp1921 +a(g829 +Vbold +p1922 +tp1923 +a(g7 +g990 +tp1924 +a(g892 +g980 +tp1925 +a(g810 +Vcolor +p1926 +tp1927 +a(g7 +g1045 +tp1928 +a(g892 +g980 +tp1929 +a(g829 +Vblue +p1930 +tp1931 +a(g7 +g990 +tp1932 +a(g892 +g980 +tp1933 +a(g7 +g1019 +tp1934 +a(g892 +V +p1935 +tp1936 +a(g693 +V/* headings */ +p1937 +tp1938 +a(g892 +V\u000a +p1939 +tp1940 +a(g7 +g1008 +tp1941 +a(g616 +Vsyntax +p1942 +tp1943 +a(g892 +g980 +tp1944 +a(g7 +g1008 +tp1945 +a(g616 +Vgen-sh +p1946 +tp1947 +a(g892 +g980 +tp1948 +a(g7 +g993 +tp1949 +a(g892 +g980 +tp1950 +a(g810 +Vfont-weight +p1951 +tp1952 +a(g7 +g1045 +tp1953 +a(g892 +g980 +tp1954 +a(g829 +Vbold +p1955 +tp1956 +a(g7 +g990 +tp1957 +a(g892 +g980 +tp1958 +a(g810 +Vcolor +p1959 +tp1960 +a(g7 +g1045 +tp1961 +a(g892 +g980 +tp1962 +a(g829 +Vpurple +p1963 +tp1964 +a(g7 +g990 +tp1965 +a(g892 +g980 +tp1966 +a(g7 +g1019 +tp1967 +a(g892 +V +p1968 +tp1969 +a(g693 +V/* subheadings */ +p1970 +tp1971 +a(g892 +V\u000a +p1972 +tp1973 +a(g7 +g1008 +tp1974 +a(g616 +Vsyntax +p1975 +tp1976 +a(g892 +g980 +tp1977 +a(g7 +g1008 +tp1978 +a(g616 +Vgen-del +p1979 +tp1980 +a(g892 +g980 +tp1981 +a(g7 +g993 +tp1982 +a(g892 +g980 +tp1983 +a(g810 +Vcolor +p1984 +tp1985 +a(g7 +g1045 +tp1986 +a(g892 +g980 +tp1987 +a(g829 +Vred +p1988 +tp1989 +a(g7 +g990 +tp1990 +a(g892 +g980 +tp1991 +a(g7 +g1019 +tp1992 +a(g892 +V +p1993 +tp1994 +a(g693 +V/* deleted text */ +p1995 +tp1996 +a(g892 +V\u000a +p1997 +tp1998 +a(g7 +g1008 +tp1999 +a(g616 +Vsyntax +p2000 +tp2001 +a(g892 +g980 +tp2002 +a(g7 +g1008 +tp2003 +a(g616 +Vgen-ins +p2004 +tp2005 +a(g892 +g980 +tp2006 +a(g7 +g993 +tp2007 +a(g892 +g980 +tp2008 +a(g810 +Vcolor +p2009 +tp2010 +a(g7 +g1045 +tp2011 +a(g892 +g980 +tp2012 +a(g829 +Vgreen +p2013 +tp2014 +a(g7 +g990 +tp2015 +a(g892 +g980 +tp2016 +a(g7 +g1019 +tp2017 +a(g892 +V +p2018 +tp2019 +a(g693 +V/* inserted text */ +p2020 +tp2021 +a(g892 +V\u000a +p2022 +tp2023 +a(g7 +g1008 +tp2024 +a(g616 +Vsyntax +p2025 +tp2026 +a(g892 +g980 +tp2027 +a(g7 +g1008 +tp2028 +a(g616 +Vgen-em +p2029 +tp2030 +a(g892 +g980 +tp2031 +a(g7 +g993 +tp2032 +a(g892 +g980 +tp2033 +a(g810 +Vfont-style +p2034 +tp2035 +a(g7 +g1045 +tp2036 +a(g892 +g980 +tp2037 +a(g829 +Vitalic +p2038 +tp2039 +a(g7 +g990 +tp2040 +a(g892 +g980 +tp2041 +a(g7 +g1019 +tp2042 +a(g892 +V +p2043 +tp2044 +a(g693 +V/* emphasized text */ +p2045 +tp2046 +a(g892 +V\u000a +p2047 +tp2048 +a(g7 +g1008 +tp2049 +a(g616 +Vsyntax +p2050 +tp2051 +a(g892 +g980 +tp2052 +a(g7 +g1008 +tp2053 +a(g616 +Vgen-sr +p2054 +tp2055 +a(g892 +g980 +tp2056 +a(g7 +g993 +tp2057 +a(g892 +g980 +tp2058 +a(g810 +Vfont-weight +p2059 +tp2060 +a(g7 +g1045 +tp2061 +a(g892 +g980 +tp2062 +a(g829 +Vbold +p2063 +tp2064 +a(g7 +g990 +tp2065 +a(g892 +g980 +tp2066 +a(g7 +g1019 +tp2067 +a(g892 +V +p2068 +tp2069 +a(g693 +V/* strong emph. text */ +p2070 +tp2071 +a(g892 +V\u000a\u000a +p2072 +tp2073 +a(g7 +g1008 +tp2074 +a(g616 +Vsyntax +p2075 +tp2076 +a(g892 +g980 +tp2077 +a(g7 +g1008 +tp2078 +a(g616 +Verr +p2079 +tp2080 +a(g892 +g980 +tp2081 +a(g7 +g993 +tp2082 +a(g892 +g980 +tp2083 +a(g810 +Vborder +p2084 +tp2085 +a(g7 +g1045 +tp2086 +a(g892 +g980 +tp2087 +a(g22 +g1048 +tp2088 +a(g814 +Vpx +p2089 +tp2090 +a(g892 +g980 +tp2091 +a(g829 +Vsolid +p2092 +tp2093 +a(g892 +g980 +tp2094 +a(g829 +Vred +p2095 +tp2096 +a(g7 +g990 +tp2097 +a(g892 +g980 +tp2098 +a(g7 +g1019 +tp2099 +a(g892 +V +p2100 +tp2101 +a(g693 +V/* parser errors */ +p2102 +tp2103 +a(g892 +V\u000a +p2104 +tp2105 +a(g7 +g956 +tp2106 +a(g7 +g1024 +tp2107 +a(g545 +Vstyle +p2108 +tp2109 +a(g7 +g960 +tp2110 +a(g892 +V\u000a +p2111 +tp2112 +a(g7 +g956 +tp2113 +a(g7 +g1024 +tp2114 +a(g545 +Vhead +p2115 +tp2116 +a(g7 +g960 +tp2117 +a(g892 +V\u000a +p2118 +tp2119 +a(g7 +g956 +tp2120 +a(g545 +Vbody +p2121 +tp2122 +a(g7 +g960 +tp2123 +a(g892 +V\u000a +p2124 +tp2125 +a(g7 +g956 +tp2126 +a(g545 +Vpre +p2127 +tp2128 +a(g892 +g980 +tp2129 +a(g654 +Vid +p2130 +tp2131 +a(g400 +g1003 +tp2132 +a(g76 +V"code-block" +p2133 +tp2134 +a(g892 +g980 +tp2135 +a(g654 +Vclass +p2136 +tp2137 +a(g400 +g1003 +tp2138 +a(g76 +V"syntax" +p2139 +tp2140 +a(g7 +g960 +tp2141 +a(g7 +g956 +tp2142 +a(g545 +Vspan +p2143 +tp2144 +a(g892 +g980 +tp2145 +a(g654 +Vclass +p2146 +tp2147 +a(g400 +g1003 +tp2148 +a(g76 +V"cm" +p2149 +tp2150 +a(g7 +g960 +tp2151 +a(g892 +V# -*- coding: utf-8 -*- +p2152 +tp2153 +a(g7 +g956 +tp2154 +a(g7 +g1024 +tp2155 +a(g545 +Vspan +p2156 +tp2157 +a(g7 +g960 +tp2158 +a(g892 +V\u000a +p2159 +tp2160 +a(g7 +g956 +tp2161 +a(g545 +Vspan +p2162 +tp2163 +a(g892 +g980 +tp2164 +a(g654 +Vclass +p2165 +tp2166 +a(g400 +g1003 +tp2167 +a(g76 +V"st st-db" +p2168 +tp2169 +a(g7 +g960 +tp2170 +a(g491 +V" +p2171 +tp2172 +a(g491 +V" +p2173 +tp2174 +a(g491 +V" +p2175 +tp2176 +a(g7 +g956 +tp2177 +a(g7 +g1024 +tp2178 +a(g545 +Vspan +p2179 +tp2180 +a(g7 +g960 +tp2181 +a(g7 +g956 +tp2182 +a(g545 +Vspan +p2183 +tp2184 +a(g892 +g980 +tp2185 +a(g654 +Vclass +p2186 +tp2187 +a(g400 +g1003 +tp2188 +a(g76 +V"st" +p2189 +tp2190 +a(g7 +g960 +tp2191 +a(g892 +V\u000a pocoo.pkg.core.acl\u000a ~~~~~~~~~~~~~~~~~~\u000a\u000a Pocoo ACL System.\u000a\u000a +p2192 +tp2193 +a(g7 +g956 +tp2194 +a(g7 +g1024 +tp2195 +a(g545 +Vspan +p2196 +tp2197 +a(g7 +g960 +tp2198 +a(g7 +g956 +tp2199 +a(g545 +Vspan +p2200 +tp2201 +a(g892 +g980 +tp2202 +a(g654 +Vclass +p2203 +tp2204 +a(g400 +g1003 +tp2205 +a(g76 +V"st st-db" +p2206 +tp2207 +a(g7 +g960 +tp2208 +a(g491 +V" +p2209 +tp2210 +a(g491 +V" +p2211 +tp2212 +a(g491 +V" +p2213 +tp2214 +a(g7 +g956 +tp2215 +a(g7 +g1024 +tp2216 +a(g545 +Vspan +p2217 +tp2218 +a(g7 +g960 +tp2219 +a(g892 +V\u000a\u000a +p2220 +tp2221 +a(g7 +g956 +tp2222 +a(g545 +Vspan +p2223 +tp2224 +a(g892 +g980 +tp2225 +a(g654 +Vclass +p2226 +tp2227 +a(g400 +g1003 +tp2228 +a(g76 +V"kw" +p2229 +tp2230 +a(g7 +g960 +tp2231 +a(g892 +Vfrom +p2232 +tp2233 +a(g7 +g956 +tp2234 +a(g7 +g1024 +tp2235 +a(g545 +Vspan +p2236 +tp2237 +a(g7 +g960 +tp2238 +a(g7 +g956 +tp2239 +a(g545 +Vspan +p2240 +tp2241 +a(g892 +g980 +tp2242 +a(g654 +Vclass +p2243 +tp2244 +a(g400 +g1003 +tp2245 +a(g76 +V"cls" +p2246 +tp2247 +a(g7 +g960 +tp2248 +a(g892 +Vpocoo.db +p2249 +tp2250 +a(g7 +g956 +tp2251 +a(g7 +g1024 +tp2252 +a(g545 +Vspan +p2253 +tp2254 +a(g7 +g960 +tp2255 +a(g7 +g956 +tp2256 +a(g545 +Vspan +p2257 +tp2258 +a(g892 +g980 +tp2259 +a(g654 +Vclass +p2260 +tp2261 +a(g400 +g1003 +tp2262 +a(g76 +V"kw" +p2263 +tp2264 +a(g7 +g960 +tp2265 +a(g892 +V import +p2266 +tp2267 +a(g7 +g956 +tp2268 +a(g7 +g1024 +tp2269 +a(g545 +Vspan +p2270 +tp2271 +a(g7 +g960 +tp2272 +a(g892 +g980 +tp2273 +a(g7 +g956 +tp2274 +a(g545 +Vspan +p2275 +tp2276 +a(g892 +g980 +tp2277 +a(g654 +Vclass +p2278 +tp2279 +a(g400 +g1003 +tp2280 +a(g76 +V"name" +p2281 +tp2282 +a(g7 +g960 +tp2283 +a(g892 +Vmeta +p2284 +tp2285 +a(g7 +g956 +tp2286 +a(g7 +g1024 +tp2287 +a(g545 +Vspan +p2288 +tp2289 +a(g7 +g960 +tp2290 +a(g892 +V\u000a\u000a +p2291 +tp2292 +a(g7 +g956 +tp2293 +a(g545 +Vspan +p2294 +tp2295 +a(g892 +g980 +tp2296 +a(g654 +Vclass +p2297 +tp2298 +a(g400 +g1003 +tp2299 +a(g76 +V"kw" +p2300 +tp2301 +a(g7 +g960 +tp2302 +a(g892 +Vfrom +p2303 +tp2304 +a(g7 +g956 +tp2305 +a(g7 +g1024 +tp2306 +a(g545 +Vspan +p2307 +tp2308 +a(g7 +g960 +tp2309 +a(g7 +g956 +tp2310 +a(g545 +Vspan +p2311 +tp2312 +a(g892 +g980 +tp2313 +a(g654 +Vclass +p2314 +tp2315 +a(g400 +g1003 +tp2316 +a(g76 +V"cls" +p2317 +tp2318 +a(g7 +g960 +tp2319 +a(g892 +Vpocoo.pkg.core.forum +p2320 +tp2321 +a(g7 +g956 +tp2322 +a(g7 +g1024 +tp2323 +a(g545 +Vspan +p2324 +tp2325 +a(g7 +g960 +tp2326 +a(g7 +g956 +tp2327 +a(g545 +Vspan +p2328 +tp2329 +a(g892 +g980 +tp2330 +a(g654 +Vclass +p2331 +tp2332 +a(g400 +g1003 +tp2333 +a(g76 +V"kw" +p2334 +tp2335 +a(g7 +g960 +tp2336 +a(g892 +V import +p2337 +tp2338 +a(g7 +g956 +tp2339 +a(g7 +g1024 +tp2340 +a(g545 +Vspan +p2341 +tp2342 +a(g7 +g960 +tp2343 +a(g892 +g980 +tp2344 +a(g7 +g956 +tp2345 +a(g545 +Vspan +p2346 +tp2347 +a(g892 +g980 +tp2348 +a(g654 +Vclass +p2349 +tp2350 +a(g400 +g1003 +tp2351 +a(g76 +V"name" +p2352 +tp2353 +a(g7 +g960 +tp2354 +a(g892 +VSite +p2355 +tp2356 +a(g7 +g956 +tp2357 +a(g7 +g1024 +tp2358 +a(g545 +Vspan +p2359 +tp2360 +a(g7 +g960 +tp2361 +a(g892 +V, +p2362 +tp2363 +a(g7 +g956 +tp2364 +a(g545 +Vspan +p2365 +tp2366 +a(g892 +g980 +tp2367 +a(g654 +Vclass +p2368 +tp2369 +a(g400 +g1003 +tp2370 +a(g76 +V"name" +p2371 +tp2372 +a(g7 +g960 +tp2373 +a(g892 +VForum +p2374 +tp2375 +a(g7 +g956 +tp2376 +a(g7 +g1024 +tp2377 +a(g545 +Vspan +p2378 +tp2379 +a(g7 +g960 +tp2380 +a(g892 +V, +p2381 +tp2382 +a(g7 +g956 +tp2383 +a(g545 +Vspan +p2384 +tp2385 +a(g892 +g980 +tp2386 +a(g654 +Vclass +p2387 +tp2388 +a(g400 +g1003 +tp2389 +a(g76 +V"name" +p2390 +tp2391 +a(g7 +g960 +tp2392 +a(g892 +VThread +p2393 +tp2394 +a(g7 +g956 +tp2395 +a(g7 +g1024 +tp2396 +a(g545 +Vspan +p2397 +tp2398 +a(g7 +g960 +tp2399 +a(g892 +V\u000a +p2400 +tp2401 +a(g7 +g956 +tp2402 +a(g545 +Vspan +p2403 +tp2404 +a(g892 +g980 +tp2405 +a(g654 +Vclass +p2406 +tp2407 +a(g400 +g1003 +tp2408 +a(g76 +V"kw" +p2409 +tp2410 +a(g7 +g960 +tp2411 +a(g892 +Vfrom +p2412 +tp2413 +a(g7 +g956 +tp2414 +a(g7 +g1024 +tp2415 +a(g545 +Vspan +p2416 +tp2417 +a(g7 +g960 +tp2418 +a(g7 +g956 +tp2419 +a(g545 +Vspan +p2420 +tp2421 +a(g892 +g980 +tp2422 +a(g654 +Vclass +p2423 +tp2424 +a(g400 +g1003 +tp2425 +a(g76 +V"cls" +p2426 +tp2427 +a(g7 +g960 +tp2428 +a(g892 +Vpocoo.pkg.core.user +p2429 +tp2430 +a(g7 +g956 +tp2431 +a(g7 +g1024 +tp2432 +a(g545 +Vspan +p2433 +tp2434 +a(g7 +g960 +tp2435 +a(g7 +g956 +tp2436 +a(g545 +Vspan +p2437 +tp2438 +a(g892 +g980 +tp2439 +a(g654 +Vclass +p2440 +tp2441 +a(g400 +g1003 +tp2442 +a(g76 +V"kw" +p2443 +tp2444 +a(g7 +g960 +tp2445 +a(g892 +V import +p2446 +tp2447 +a(g7 +g956 +tp2448 +a(g7 +g1024 +tp2449 +a(g545 +Vspan +p2450 +tp2451 +a(g7 +g960 +tp2452 +a(g892 +g980 +tp2453 +a(g7 +g956 +tp2454 +a(g545 +Vspan +p2455 +tp2456 +a(g892 +g980 +tp2457 +a(g654 +Vclass +p2458 +tp2459 +a(g400 +g1003 +tp2460 +a(g76 +V"name" +p2461 +tp2462 +a(g7 +g960 +tp2463 +a(g892 +VUser +p2464 +tp2465 +a(g7 +g956 +tp2466 +a(g7 +g1024 +tp2467 +a(g545 +Vspan +p2468 +tp2469 +a(g7 +g960 +tp2470 +a(g892 +V, +p2471 +tp2472 +a(g7 +g956 +tp2473 +a(g545 +Vspan +p2474 +tp2475 +a(g892 +g980 +tp2476 +a(g654 +Vclass +p2477 +tp2478 +a(g400 +g1003 +tp2479 +a(g76 +V"name" +p2480 +tp2481 +a(g7 +g960 +tp2482 +a(g892 +VGroup +p2483 +tp2484 +a(g7 +g956 +tp2485 +a(g7 +g1024 +tp2486 +a(g545 +Vspan +p2487 +tp2488 +a(g7 +g960 +tp2489 +a(g892 +V\u000a\u000a +p2490 +tp2491 +a(g7 +g956 +tp2492 +a(g545 +Vspan +p2493 +tp2494 +a(g892 +g980 +tp2495 +a(g654 +Vclass +p2496 +tp2497 +a(g400 +g1003 +tp2498 +a(g76 +V"kw" +p2499 +tp2500 +a(g7 +g960 +tp2501 +a(g892 +Vfrom +p2502 +tp2503 +a(g7 +g956 +tp2504 +a(g7 +g1024 +tp2505 +a(g545 +Vspan +p2506 +tp2507 +a(g7 +g960 +tp2508 +a(g7 +g956 +tp2509 +a(g545 +Vspan +p2510 +tp2511 +a(g892 +g980 +tp2512 +a(g654 +Vclass +p2513 +tp2514 +a(g400 +g1003 +tp2515 +a(g76 +V"cls" +p2516 +tp2517 +a(g7 +g960 +tp2518 +a(g892 +Vpocoo.pkg.core.db +p2519 +tp2520 +a(g7 +g956 +tp2521 +a(g7 +g1024 +tp2522 +a(g545 +Vspan +p2523 +tp2524 +a(g7 +g960 +tp2525 +a(g7 +g956 +tp2526 +a(g545 +Vspan +p2527 +tp2528 +a(g892 +g980 +tp2529 +a(g654 +Vclass +p2530 +tp2531 +a(g400 +g1003 +tp2532 +a(g76 +V"kw" +p2533 +tp2534 +a(g7 +g960 +tp2535 +a(g892 +V import +p2536 +tp2537 +a(g7 +g956 +tp2538 +a(g7 +g1024 +tp2539 +a(g545 +Vspan +p2540 +tp2541 +a(g7 +g960 +tp2542 +a(g892 +g980 +tp2543 +a(g7 +g956 +tp2544 +a(g545 +Vspan +p2545 +tp2546 +a(g892 +g980 +tp2547 +a(g654 +Vclass +p2548 +tp2549 +a(g400 +g1003 +tp2550 +a(g76 +V"name" +p2551 +tp2552 +a(g7 +g960 +tp2553 +a(g892 +Vusers +p2554 +tp2555 +a(g7 +g956 +tp2556 +a(g7 +g1024 +tp2557 +a(g545 +Vspan +p2558 +tp2559 +a(g7 +g960 +tp2560 +a(g892 +V, +p2561 +tp2562 +a(g7 +g956 +tp2563 +a(g545 +Vspan +p2564 +tp2565 +a(g892 +g980 +tp2566 +a(g654 +Vclass +p2567 +tp2568 +a(g400 +g1003 +tp2569 +a(g76 +V"name" +p2570 +tp2571 +a(g7 +g960 +tp2572 +a(g892 +Vgroups +p2573 +tp2574 +a(g7 +g956 +tp2575 +a(g7 +g1024 +tp2576 +a(g545 +Vspan +p2577 +tp2578 +a(g7 +g960 +tp2579 +a(g892 +V, +p2580 +tp2581 +a(g7 +g956 +tp2582 +a(g545 +Vspan +p2583 +tp2584 +a(g892 +g980 +tp2585 +a(g654 +Vclass +p2586 +tp2587 +a(g400 +g1003 +tp2588 +a(g76 +V"name" +p2589 +tp2590 +a(g7 +g960 +tp2591 +a(g892 +Vgroup_members +p2592 +tp2593 +a(g7 +g956 +tp2594 +a(g7 +g1024 +tp2595 +a(g545 +Vspan +p2596 +tp2597 +a(g7 +g960 +tp2598 +a(g892 +V, +p2599 +tp2600 +a(g7 +g956 +tp2601 +a(g545 +Vspan +p2602 +tp2603 +a(g892 +g980 +tp2604 +a(g654 +Vclass +p2605 +tp2606 +a(g400 +g1003 +tp2607 +a(g76 +V"name" +p2608 +tp2609 +a(g7 +g960 +tp2610 +a(g892 +Vprivileges +p2611 +tp2612 +a(g7 +g956 +tp2613 +a(g7 +g1024 +tp2614 +a(g545 +Vspan +p2615 +tp2616 +a(g7 +g960 +tp2617 +a(g892 +V, \u005c\u000a +p2618 +tp2619 +a(g7 +g956 +tp2620 +a(g545 +Vspan +p2621 +tp2622 +a(g892 +g980 +tp2623 +a(g654 +Vclass +p2624 +tp2625 +a(g400 +g1003 +tp2626 +a(g76 +V"name" +p2627 +tp2628 +a(g7 +g960 +tp2629 +a(g892 +Vforums +p2630 +tp2631 +a(g7 +g956 +tp2632 +a(g7 +g1024 +tp2633 +a(g545 +Vspan +p2634 +tp2635 +a(g7 +g960 +tp2636 +a(g892 +V, +p2637 +tp2638 +a(g7 +g956 +tp2639 +a(g545 +Vspan +p2640 +tp2641 +a(g892 +g980 +tp2642 +a(g654 +Vclass +p2643 +tp2644 +a(g400 +g1003 +tp2645 +a(g76 +V"name" +p2646 +tp2647 +a(g7 +g960 +tp2648 +a(g892 +Vposts +p2649 +tp2650 +a(g7 +g956 +tp2651 +a(g7 +g1024 +tp2652 +a(g545 +Vspan +p2653 +tp2654 +a(g7 +g960 +tp2655 +a(g892 +V, +p2656 +tp2657 +a(g7 +g956 +tp2658 +a(g545 +Vspan +p2659 +tp2660 +a(g892 +g980 +tp2661 +a(g654 +Vclass +p2662 +tp2663 +a(g400 +g1003 +tp2664 +a(g76 +V"name" +p2665 +tp2666 +a(g7 +g960 +tp2667 +a(g892 +Vacl_mapping +p2668 +tp2669 +a(g7 +g956 +tp2670 +a(g7 +g1024 +tp2671 +a(g545 +Vspan +p2672 +tp2673 +a(g7 +g960 +tp2674 +a(g892 +V, +p2675 +tp2676 +a(g7 +g956 +tp2677 +a(g545 +Vspan +p2678 +tp2679 +a(g892 +g980 +tp2680 +a(g654 +Vclass +p2681 +tp2682 +a(g400 +g1003 +tp2683 +a(g76 +V"name" +p2684 +tp2685 +a(g7 +g960 +tp2686 +a(g892 +Vacl_subjects +p2687 +tp2688 +a(g7 +g956 +tp2689 +a(g7 +g1024 +tp2690 +a(g545 +Vspan +p2691 +tp2692 +a(g7 +g960 +tp2693 +a(g892 +V, +p2694 +tp2695 +a(g7 +g956 +tp2696 +a(g545 +Vspan +p2697 +tp2698 +a(g892 +g980 +tp2699 +a(g654 +Vclass +p2700 +tp2701 +a(g400 +g1003 +tp2702 +a(g76 +V"name" +p2703 +tp2704 +a(g7 +g960 +tp2705 +a(g892 +Vacl_objects +p2706 +tp2707 +a(g7 +g956 +tp2708 +a(g7 +g1024 +tp2709 +a(g545 +Vspan +p2710 +tp2711 +a(g7 +g960 +tp2712 +a(g892 +V\u000a\u000a\u000a +p2713 +tp2714 +a(g7 +g956 +tp2715 +a(g545 +Vspan +p2716 +tp2717 +a(g892 +g980 +tp2718 +a(g654 +Vclass +p2719 +tp2720 +a(g400 +g1003 +tp2721 +a(g76 +V"kw" +p2722 +tp2723 +a(g7 +g960 +tp2724 +a(g892 +Vclass +p2725 +tp2726 +a(g7 +g956 +tp2727 +a(g7 +g1024 +tp2728 +a(g545 +Vspan +p2729 +tp2730 +a(g7 +g960 +tp2731 +a(g7 +g956 +tp2732 +a(g545 +Vspan +p2733 +tp2734 +a(g892 +g980 +tp2735 +a(g654 +Vclass +p2736 +tp2737 +a(g400 +g1003 +tp2738 +a(g76 +V"cls" +p2739 +tp2740 +a(g7 +g960 +tp2741 +a(g892 +VAclManager +p2742 +tp2743 +a(g7 +g956 +tp2744 +a(g7 +g1024 +tp2745 +a(g545 +Vspan +p2746 +tp2747 +a(g7 +g960 +tp2748 +a(g892 +g984 +tp2749 +a(g7 +g956 +tp2750 +a(g545 +Vspan +p2751 +tp2752 +a(g892 +g980 +tp2753 +a(g654 +Vclass +p2754 +tp2755 +a(g400 +g1003 +tp2756 +a(g76 +V"bn" +p2757 +tp2758 +a(g7 +g960 +tp2759 +a(g892 +Vobject +p2760 +tp2761 +a(g7 +g956 +tp2762 +a(g7 +g1024 +tp2763 +a(g545 +Vspan +p2764 +tp2765 +a(g7 +g960 +tp2766 +a(g892 +V):\u000a +p2767 +tp2768 +a(g7 +g956 +tp2769 +a(g545 +Vspan +p2770 +tp2771 +a(g892 +g980 +tp2772 +a(g654 +Vclass +p2773 +tp2774 +a(g400 +g1003 +tp2775 +a(g76 +V"st st-db" +p2776 +tp2777 +a(g7 +g960 +tp2778 +a(g491 +V" +p2779 +tp2780 +a(g491 +V" +p2781 +tp2782 +a(g491 +V" +p2783 +tp2784 +a(g7 +g956 +tp2785 +a(g7 +g1024 +tp2786 +a(g545 +Vspan +p2787 +tp2788 +a(g7 +g960 +tp2789 +a(g7 +g956 +tp2790 +a(g545 +Vspan +p2791 +tp2792 +a(g892 +g980 +tp2793 +a(g654 +Vclass +p2794 +tp2795 +a(g400 +g1003 +tp2796 +a(g76 +V"st" +p2797 +tp2798 +a(g7 +g960 +tp2799 +a(g892 +V\u000a Manager object to manage ALCs.\u000a +p2800 +tp2801 +a(g7 +g956 +tp2802 +a(g7 +g1024 +tp2803 +a(g545 +Vspan +p2804 +tp2805 +a(g7 +g960 +tp2806 +a(g7 +g956 +tp2807 +a(g545 +Vspan +p2808 +tp2809 +a(g892 +g980 +tp2810 +a(g654 +Vclass +p2811 +tp2812 +a(g400 +g1003 +tp2813 +a(g76 +V"st st-db" +p2814 +tp2815 +a(g7 +g960 +tp2816 +a(g491 +V" +p2817 +tp2818 +a(g491 +V" +p2819 +tp2820 +a(g491 +V" +p2821 +tp2822 +a(g7 +g956 +tp2823 +a(g7 +g1024 +tp2824 +a(g545 +Vspan +p2825 +tp2826 +a(g7 +g960 +tp2827 +a(g892 +V\u000a +p2828 +tp2829 +a(g7 +g956 +tp2830 +a(g545 +Vspan +p2831 +tp2832 +a(g892 +g980 +tp2833 +a(g654 +Vclass +p2834 +tp2835 +a(g400 +g1003 +tp2836 +a(g76 +V"name" +p2837 +tp2838 +a(g7 +g960 +tp2839 +a(g892 +VSTRONG_NO +p2840 +tp2841 +a(g7 +g956 +tp2842 +a(g7 +g1024 +tp2843 +a(g545 +Vspan +p2844 +tp2845 +a(g7 +g960 +tp2846 +a(g892 +g980 +tp2847 +a(g7 +g956 +tp2848 +a(g545 +Vspan +p2849 +tp2850 +a(g892 +g980 +tp2851 +a(g654 +Vclass +p2852 +tp2853 +a(g400 +g1003 +tp2854 +a(g76 +V"op" +p2855 +tp2856 +a(g7 +g960 +tp2857 +a(g892 +g1003 +tp2858 +a(g7 +g956 +tp2859 +a(g7 +g1024 +tp2860 +a(g545 +Vspan +p2861 +tp2862 +a(g7 +g960 +tp2863 +a(g892 +g980 +tp2864 +a(g7 +g956 +tp2865 +a(g545 +Vspan +p2866 +tp2867 +a(g892 +g980 +tp2868 +a(g654 +Vclass +p2869 +tp2870 +a(g400 +g1003 +tp2871 +a(g76 +V"op" +p2872 +tp2873 +a(g7 +g960 +tp2874 +a(g892 +V- +p2875 +tp2876 +a(g7 +g956 +tp2877 +a(g7 +g1024 +tp2878 +a(g545 +Vspan +p2879 +tp2880 +a(g7 +g960 +tp2881 +a(g7 +g956 +tp2882 +a(g545 +Vspan +p2883 +tp2884 +a(g892 +g980 +tp2885 +a(g654 +Vclass +p2886 +tp2887 +a(g400 +g1003 +tp2888 +a(g76 +V"nb nb-int" +p2889 +tp2890 +a(g7 +g960 +tp2891 +a(g892 +g1048 +tp2892 +a(g7 +g956 +tp2893 +a(g7 +g1024 +tp2894 +a(g545 +Vspan +p2895 +tp2896 +a(g7 +g960 +tp2897 +a(g892 +V\u000a\u000a +p2898 +tp2899 +a(g7 +g956 +tp2900 +a(g545 +Vspan +p2901 +tp2902 +a(g892 +g980 +tp2903 +a(g654 +Vclass +p2904 +tp2905 +a(g400 +g1003 +tp2906 +a(g76 +V"name" +p2907 +tp2908 +a(g7 +g960 +tp2909 +a(g892 +VWEAK_NO +p2910 +tp2911 +a(g7 +g956 +tp2912 +a(g7 +g1024 +tp2913 +a(g545 +Vspan +p2914 +tp2915 +a(g7 +g960 +tp2916 +a(g892 +g980 +tp2917 +a(g7 +g956 +tp2918 +a(g545 +Vspan +p2919 +tp2920 +a(g892 +g980 +tp2921 +a(g654 +Vclass +p2922 +tp2923 +a(g400 +g1003 +tp2924 +a(g76 +V"op" +p2925 +tp2926 +a(g7 +g960 +tp2927 +a(g892 +g1003 +tp2928 +a(g7 +g956 +tp2929 +a(g7 +g1024 +tp2930 +a(g545 +Vspan +p2931 +tp2932 +a(g7 +g960 +tp2933 +a(g892 +g980 +tp2934 +a(g7 +g956 +tp2935 +a(g545 +Vspan +p2936 +tp2937 +a(g892 +g980 +tp2938 +a(g654 +Vclass +p2939 +tp2940 +a(g400 +g1003 +tp2941 +a(g76 +V"nb nb-int" +p2942 +tp2943 +a(g7 +g960 +tp2944 +a(g892 +g1112 +tp2945 +a(g7 +g956 +tp2946 +a(g7 +g1024 +tp2947 +a(g545 +Vspan +p2948 +tp2949 +a(g7 +g960 +tp2950 +a(g892 +V\u000a +p2951 +tp2952 +a(g7 +g956 +tp2953 +a(g545 +Vspan +p2954 +tp2955 +a(g892 +g980 +tp2956 +a(g654 +Vclass +p2957 +tp2958 +a(g400 +g1003 +tp2959 +a(g76 +V"name" +p2960 +tp2961 +a(g7 +g960 +tp2962 +a(g892 +VWEAK_YES +p2963 +tp2964 +a(g7 +g956 +tp2965 +a(g7 +g1024 +tp2966 +a(g545 +Vspan +p2967 +tp2968 +a(g7 +g960 +tp2969 +a(g892 +g980 +tp2970 +a(g7 +g956 +tp2971 +a(g545 +Vspan +p2972 +tp2973 +a(g892 +g980 +tp2974 +a(g654 +Vclass +p2975 +tp2976 +a(g400 +g1003 +tp2977 +a(g76 +V"op" +p2978 +tp2979 +a(g7 +g960 +tp2980 +a(g892 +g1003 +tp2981 +a(g7 +g956 +tp2982 +a(g7 +g1024 +tp2983 +a(g545 +Vspan +p2984 +tp2985 +a(g7 +g960 +tp2986 +a(g892 +g980 +tp2987 +a(g7 +g956 +tp2988 +a(g545 +Vspan +p2989 +tp2990 +a(g892 +g980 +tp2991 +a(g654 +Vclass +p2992 +tp2993 +a(g400 +g1003 +tp2994 +a(g76 +V"nb nb-int" +p2995 +tp2996 +a(g7 +g960 +tp2997 +a(g892 +g1048 +tp2998 +a(g7 +g956 +tp2999 +a(g7 +g1024 +tp3000 +a(g545 +Vspan +p3001 +tp3002 +a(g7 +g960 +tp3003 +a(g892 +V\u000a +p3004 +tp3005 +a(g7 +g956 +tp3006 +a(g545 +Vspan +p3007 +tp3008 +a(g892 +g980 +tp3009 +a(g654 +Vclass +p3010 +tp3011 +a(g400 +g1003 +tp3012 +a(g76 +V"name" +p3013 +tp3014 +a(g7 +g960 +tp3015 +a(g892 +VSTRONG_YES +p3016 +tp3017 +a(g7 +g956 +tp3018 +a(g7 +g1024 +tp3019 +a(g545 +Vspan +p3020 +tp3021 +a(g7 +g960 +tp3022 +a(g892 +g980 +tp3023 +a(g7 +g956 +tp3024 +a(g545 +Vspan +p3025 +tp3026 +a(g892 +g980 +tp3027 +a(g654 +Vclass +p3028 +tp3029 +a(g400 +g1003 +tp3030 +a(g76 +V"op" +p3031 +tp3032 +a(g7 +g960 +tp3033 +a(g892 +g1003 +tp3034 +a(g7 +g956 +tp3035 +a(g7 +g1024 +tp3036 +a(g545 +Vspan +p3037 +tp3038 +a(g7 +g960 +tp3039 +a(g892 +g980 +tp3040 +a(g7 +g956 +tp3041 +a(g545 +Vspan +p3042 +tp3043 +a(g892 +g980 +tp3044 +a(g654 +Vclass +p3045 +tp3046 +a(g400 +g1003 +tp3047 +a(g76 +V"nb nb-int" +p3048 +tp3049 +a(g7 +g960 +tp3050 +a(g892 +V2 +p3051 +tp3052 +a(g7 +g956 +tp3053 +a(g7 +g1024 +tp3054 +a(g545 +Vspan +p3055 +tp3056 +a(g7 +g960 +tp3057 +a(g892 +V\u000a\u000a +p3058 +tp3059 +a(g7 +g956 +tp3060 +a(g545 +Vspan +p3061 +tp3062 +a(g892 +g980 +tp3063 +a(g654 +Vclass +p3064 +tp3065 +a(g400 +g1003 +tp3066 +a(g76 +V"kw" +p3067 +tp3068 +a(g7 +g960 +tp3069 +a(g892 +Vdef +p3070 +tp3071 +a(g7 +g956 +tp3072 +a(g7 +g1024 +tp3073 +a(g545 +Vspan +p3074 +tp3075 +a(g7 +g960 +tp3076 +a(g7 +g956 +tp3077 +a(g545 +Vspan +p3078 +tp3079 +a(g892 +g980 +tp3080 +a(g654 +Vclass +p3081 +tp3082 +a(g400 +g1003 +tp3083 +a(g76 +V"fun" +p3084 +tp3085 +a(g7 +g960 +tp3086 +a(g892 +V__init__ +p3087 +tp3088 +a(g7 +g956 +tp3089 +a(g7 +g1024 +tp3090 +a(g545 +Vspan +p3091 +tp3092 +a(g7 +g960 +tp3093 +a(g892 +g984 +tp3094 +a(g7 +g956 +tp3095 +a(g545 +Vspan +p3096 +tp3097 +a(g892 +g980 +tp3098 +a(g654 +Vclass +p3099 +tp3100 +a(g400 +g1003 +tp3101 +a(g76 +V"bn bn-pseudo" +p3102 +tp3103 +a(g7 +g960 +tp3104 +a(g892 +Vself +p3105 +tp3106 +a(g7 +g956 +tp3107 +a(g7 +g1024 +tp3108 +a(g545 +Vspan +p3109 +tp3110 +a(g7 +g960 +tp3111 +a(g892 +V, +p3112 +tp3113 +a(g7 +g956 +tp3114 +a(g545 +Vspan +p3115 +tp3116 +a(g892 +g980 +tp3117 +a(g654 +Vclass +p3118 +tp3119 +a(g400 +g1003 +tp3120 +a(g76 +V"name" +p3121 +tp3122 +a(g7 +g960 +tp3123 +a(g892 +Vctx +p3124 +tp3125 +a(g7 +g956 +tp3126 +a(g7 +g1024 +tp3127 +a(g545 +Vspan +p3128 +tp3129 +a(g7 +g960 +tp3130 +a(g892 +V, +p3131 +tp3132 +a(g7 +g956 +tp3133 +a(g545 +Vspan +p3134 +tp3135 +a(g892 +g980 +tp3136 +a(g654 +Vclass +p3137 +tp3138 +a(g400 +g1003 +tp3139 +a(g76 +V"name" +p3140 +tp3141 +a(g7 +g960 +tp3142 +a(g892 +Vsubject +p3143 +tp3144 +a(g7 +g956 +tp3145 +a(g7 +g1024 +tp3146 +a(g545 +Vspan +p3147 +tp3148 +a(g7 +g960 +tp3149 +a(g892 +V):\u000a +p3150 +tp3151 +a(g7 +g956 +tp3152 +a(g545 +Vspan +p3153 +tp3154 +a(g892 +g980 +tp3155 +a(g654 +Vclass +p3156 +tp3157 +a(g400 +g1003 +tp3158 +a(g76 +V"bn bn-pseudo" +p3159 +tp3160 +a(g7 +g960 +tp3161 +a(g892 +Vself +p3162 +tp3163 +a(g7 +g956 +tp3164 +a(g7 +g1024 +tp3165 +a(g545 +Vspan +p3166 +tp3167 +a(g7 +g960 +tp3168 +a(g892 +g1008 +tp3169 +a(g7 +g956 +tp3170 +a(g545 +Vspan +p3171 +tp3172 +a(g892 +g980 +tp3173 +a(g654 +Vclass +p3174 +tp3175 +a(g400 +g1003 +tp3176 +a(g76 +V"name" +p3177 +tp3178 +a(g7 +g960 +tp3179 +a(g892 +Vctx +p3180 +tp3181 +a(g7 +g956 +tp3182 +a(g7 +g1024 +tp3183 +a(g545 +Vspan +p3184 +tp3185 +a(g7 +g960 +tp3186 +a(g892 +g980 +tp3187 +a(g7 +g956 +tp3188 +a(g545 +Vspan +p3189 +tp3190 +a(g892 +g980 +tp3191 +a(g654 +Vclass +p3192 +tp3193 +a(g400 +g1003 +tp3194 +a(g76 +V"op" +p3195 +tp3196 +a(g7 +g960 +tp3197 +a(g892 +g1003 +tp3198 +a(g7 +g956 +tp3199 +a(g7 +g1024 +tp3200 +a(g545 +Vspan +p3201 +tp3202 +a(g7 +g960 +tp3203 +a(g892 +g980 +tp3204 +a(g7 +g956 +tp3205 +a(g545 +Vspan +p3206 +tp3207 +a(g892 +g980 +tp3208 +a(g654 +Vclass +p3209 +tp3210 +a(g400 +g1003 +tp3211 +a(g76 +V"name" +p3212 +tp3213 +a(g7 +g960 +tp3214 +a(g892 +Vctx +p3215 +tp3216 +a(g7 +g956 +tp3217 +a(g7 +g1024 +tp3218 +a(g545 +Vspan +p3219 +tp3220 +a(g7 +g960 +tp3221 +a(g892 +V\u000a\u000a +p3222 +tp3223 +a(g7 +g956 +tp3224 +a(g545 +Vspan +p3225 +tp3226 +a(g892 +g980 +tp3227 +a(g654 +Vclass +p3228 +tp3229 +a(g400 +g1003 +tp3230 +a(g76 +V"bn bn-pseudo" +p3231 +tp3232 +a(g7 +g960 +tp3233 +a(g892 +Vself +p3234 +tp3235 +a(g7 +g956 +tp3236 +a(g7 +g1024 +tp3237 +a(g545 +Vspan +p3238 +tp3239 +a(g7 +g960 +tp3240 +a(g892 +g1008 +tp3241 +a(g7 +g956 +tp3242 +a(g545 +Vspan +p3243 +tp3244 +a(g892 +g980 +tp3245 +a(g654 +Vclass +p3246 +tp3247 +a(g400 +g1003 +tp3248 +a(g76 +V"name" +p3249 +tp3250 +a(g7 +g960 +tp3251 +a(g892 +Vsubject +p3252 +tp3253 +a(g7 +g956 +tp3254 +a(g7 +g1024 +tp3255 +a(g545 +Vspan +p3256 +tp3257 +a(g7 +g960 +tp3258 +a(g892 +g980 +tp3259 +a(g7 +g956 +tp3260 +a(g545 +Vspan +p3261 +tp3262 +a(g892 +g980 +tp3263 +a(g654 +Vclass +p3264 +tp3265 +a(g400 +g1003 +tp3266 +a(g76 +V"op" +p3267 +tp3268 +a(g7 +g960 +tp3269 +a(g892 +g1003 +tp3270 +a(g7 +g956 +tp3271 +a(g7 +g1024 +tp3272 +a(g545 +Vspan +p3273 +tp3274 +a(g7 +g960 +tp3275 +a(g892 +g980 +tp3276 +a(g7 +g956 +tp3277 +a(g545 +Vspan +p3278 +tp3279 +a(g892 +g980 +tp3280 +a(g654 +Vclass +p3281 +tp3282 +a(g400 +g1003 +tp3283 +a(g76 +V"name" +p3284 +tp3285 +a(g7 +g960 +tp3286 +a(g892 +Vsubject +p3287 +tp3288 +a(g7 +g956 +tp3289 +a(g7 +g1024 +tp3290 +a(g545 +Vspan +p3291 +tp3292 +a(g7 +g960 +tp3293 +a(g892 +V\u000a +p3294 +tp3295 +a(g7 +g956 +tp3296 +a(g545 +Vspan +p3297 +tp3298 +a(g892 +g980 +tp3299 +a(g654 +Vclass +p3300 +tp3301 +a(g400 +g1003 +tp3302 +a(g76 +V"kw" +p3303 +tp3304 +a(g7 +g960 +tp3305 +a(g892 +Vif +p3306 +tp3307 +a(g7 +g956 +tp3308 +a(g7 +g1024 +tp3309 +a(g545 +Vspan +p3310 +tp3311 +a(g7 +g960 +tp3312 +a(g892 +g980 +tp3313 +a(g7 +g956 +tp3314 +a(g545 +Vspan +p3315 +tp3316 +a(g892 +g980 +tp3317 +a(g654 +Vclass +p3318 +tp3319 +a(g400 +g1003 +tp3320 +a(g76 +V"bn" +p3321 +tp3322 +a(g7 +g960 +tp3323 +a(g892 +Visinstance +p3324 +tp3325 +a(g7 +g956 +tp3326 +a(g7 +g1024 +tp3327 +a(g545 +Vspan +p3328 +tp3329 +a(g7 +g960 +tp3330 +a(g892 +g984 +tp3331 +a(g7 +g956 +tp3332 +a(g545 +Vspan +p3333 +tp3334 +a(g892 +g980 +tp3335 +a(g654 +Vclass +p3336 +tp3337 +a(g400 +g1003 +tp3338 +a(g76 +V"name" +p3339 +tp3340 +a(g7 +g960 +tp3341 +a(g892 +Vsubject +p3342 +tp3343 +a(g7 +g956 +tp3344 +a(g7 +g1024 +tp3345 +a(g545 +Vspan +p3346 +tp3347 +a(g7 +g960 +tp3348 +a(g892 +V, +p3349 +tp3350 +a(g7 +g956 +tp3351 +a(g545 +Vspan +p3352 +tp3353 +a(g892 +g980 +tp3354 +a(g654 +Vclass +p3355 +tp3356 +a(g400 +g1003 +tp3357 +a(g76 +V"name" +p3358 +tp3359 +a(g7 +g960 +tp3360 +a(g892 +VUser +p3361 +tp3362 +a(g7 +g956 +tp3363 +a(g7 +g1024 +tp3364 +a(g545 +Vspan +p3365 +tp3366 +a(g7 +g960 +tp3367 +a(g892 +V):\u000a +p3368 +tp3369 +a(g7 +g956 +tp3370 +a(g545 +Vspan +p3371 +tp3372 +a(g892 +g980 +tp3373 +a(g654 +Vclass +p3374 +tp3375 +a(g400 +g1003 +tp3376 +a(g76 +V"bn bn-pseudo" +p3377 +tp3378 +a(g7 +g960 +tp3379 +a(g892 +Vself +p3380 +tp3381 +a(g7 +g956 +tp3382 +a(g7 +g1024 +tp3383 +a(g545 +Vspan +p3384 +tp3385 +a(g7 +g960 +tp3386 +a(g892 +g1008 +tp3387 +a(g7 +g956 +tp3388 +a(g545 +Vspan +p3389 +tp3390 +a(g892 +g980 +tp3391 +a(g654 +Vclass +p3392 +tp3393 +a(g400 +g1003 +tp3394 +a(g76 +V"name" +p3395 +tp3396 +a(g7 +g960 +tp3397 +a(g892 +V_type +p3398 +tp3399 +a(g7 +g956 +tp3400 +a(g7 +g1024 +tp3401 +a(g545 +Vspan +p3402 +tp3403 +a(g7 +g960 +tp3404 +a(g892 +g980 +tp3405 +a(g7 +g956 +tp3406 +a(g545 +Vspan +p3407 +tp3408 +a(g892 +g980 +tp3409 +a(g654 +Vclass +p3410 +tp3411 +a(g400 +g1003 +tp3412 +a(g76 +V"op" +p3413 +tp3414 +a(g7 +g960 +tp3415 +a(g892 +g1003 +tp3416 +a(g7 +g956 +tp3417 +a(g7 +g1024 +tp3418 +a(g545 +Vspan +p3419 +tp3420 +a(g7 +g960 +tp3421 +a(g892 +g980 +tp3422 +a(g7 +g956 +tp3423 +a(g545 +Vspan +p3424 +tp3425 +a(g892 +g980 +tp3426 +a(g654 +Vclass +p3427 +tp3428 +a(g400 +g1003 +tp3429 +a(g76 +V"st st-sg" +p3430 +tp3431 +a(g7 +g960 +tp3432 +a(g491 +V' +p3433 +tp3434 +a(g7 +g956 +tp3435 +a(g7 +g1024 +tp3436 +a(g545 +Vspan +p3437 +tp3438 +a(g7 +g960 +tp3439 +a(g7 +g956 +tp3440 +a(g545 +Vspan +p3441 +tp3442 +a(g892 +g980 +tp3443 +a(g654 +Vclass +p3444 +tp3445 +a(g400 +g1003 +tp3446 +a(g76 +V"st" +p3447 +tp3448 +a(g7 +g960 +tp3449 +a(g892 +Vuser +p3450 +tp3451 +a(g7 +g956 +tp3452 +a(g7 +g1024 +tp3453 +a(g545 +Vspan +p3454 +tp3455 +a(g7 +g960 +tp3456 +a(g7 +g956 +tp3457 +a(g545 +Vspan +p3458 +tp3459 +a(g892 +g980 +tp3460 +a(g654 +Vclass +p3461 +tp3462 +a(g400 +g1003 +tp3463 +a(g76 +V"st st-sg" +p3464 +tp3465 +a(g7 +g960 +tp3466 +a(g491 +V' +p3467 +tp3468 +a(g7 +g956 +tp3469 +a(g7 +g1024 +tp3470 +a(g545 +Vspan +p3471 +tp3472 +a(g7 +g960 +tp3473 +a(g892 +V\u000a\u000a +p3474 +tp3475 +a(g7 +g956 +tp3476 +a(g545 +Vspan +p3477 +tp3478 +a(g892 +g980 +tp3479 +a(g654 +Vclass +p3480 +tp3481 +a(g400 +g1003 +tp3482 +a(g76 +V"kw" +p3483 +tp3484 +a(g7 +g960 +tp3485 +a(g892 +Velif +p3486 +tp3487 +a(g7 +g956 +tp3488 +a(g7 +g1024 +tp3489 +a(g545 +Vspan +p3490 +tp3491 +a(g7 +g960 +tp3492 +a(g892 +g980 +tp3493 +a(g7 +g956 +tp3494 +a(g545 +Vspan +p3495 +tp3496 +a(g892 +g980 +tp3497 +a(g654 +Vclass +p3498 +tp3499 +a(g400 +g1003 +tp3500 +a(g76 +V"bn" +p3501 +tp3502 +a(g7 +g960 +tp3503 +a(g892 +Visinstance +p3504 +tp3505 +a(g7 +g956 +tp3506 +a(g7 +g1024 +tp3507 +a(g545 +Vspan +p3508 +tp3509 +a(g7 +g960 +tp3510 +a(g892 +g984 +tp3511 +a(g7 +g956 +tp3512 +a(g545 +Vspan +p3513 +tp3514 +a(g892 +g980 +tp3515 +a(g654 +Vclass +p3516 +tp3517 +a(g400 +g1003 +tp3518 +a(g76 +V"name" +p3519 +tp3520 +a(g7 +g960 +tp3521 +a(g892 +Vsubject +p3522 +tp3523 +a(g7 +g956 +tp3524 +a(g7 +g1024 +tp3525 +a(g545 +Vspan +p3526 +tp3527 +a(g7 +g960 +tp3528 +a(g892 +V, +p3529 +tp3530 +a(g7 +g956 +tp3531 +a(g545 +Vspan +p3532 +tp3533 +a(g892 +g980 +tp3534 +a(g654 +Vclass +p3535 +tp3536 +a(g400 +g1003 +tp3537 +a(g76 +V"name" +p3538 +tp3539 +a(g7 +g960 +tp3540 +a(g892 +VGroup +p3541 +tp3542 +a(g7 +g956 +tp3543 +a(g7 +g1024 +tp3544 +a(g545 +Vspan +p3545 +tp3546 +a(g7 +g960 +tp3547 +a(g892 +V):\u000a +p3548 +tp3549 +a(g7 +g956 +tp3550 +a(g545 +Vspan +p3551 +tp3552 +a(g892 +g980 +tp3553 +a(g654 +Vclass +p3554 +tp3555 +a(g400 +g1003 +tp3556 +a(g76 +V"bn bn-pseudo" +p3557 +tp3558 +a(g7 +g960 +tp3559 +a(g892 +Vself +p3560 +tp3561 +a(g7 +g956 +tp3562 +a(g7 +g1024 +tp3563 +a(g545 +Vspan +p3564 +tp3565 +a(g7 +g960 +tp3566 +a(g892 +g1008 +tp3567 +a(g7 +g956 +tp3568 +a(g545 +Vspan +p3569 +tp3570 +a(g892 +g980 +tp3571 +a(g654 +Vclass +p3572 +tp3573 +a(g400 +g1003 +tp3574 +a(g76 +V"name" +p3575 +tp3576 +a(g7 +g960 +tp3577 +a(g892 +V_type +p3578 +tp3579 +a(g7 +g956 +tp3580 +a(g7 +g1024 +tp3581 +a(g545 +Vspan +p3582 +tp3583 +a(g7 +g960 +tp3584 +a(g892 +g980 +tp3585 +a(g7 +g956 +tp3586 +a(g545 +Vspan +p3587 +tp3588 +a(g892 +g980 +tp3589 +a(g654 +Vclass +p3590 +tp3591 +a(g400 +g1003 +tp3592 +a(g76 +V"op" +p3593 +tp3594 +a(g7 +g960 +tp3595 +a(g892 +g1003 +tp3596 +a(g7 +g956 +tp3597 +a(g7 +g1024 +tp3598 +a(g545 +Vspan +p3599 +tp3600 +a(g7 +g960 +tp3601 +a(g892 +g980 +tp3602 +a(g7 +g956 +tp3603 +a(g545 +Vspan +p3604 +tp3605 +a(g892 +g980 +tp3606 +a(g654 +Vclass +p3607 +tp3608 +a(g400 +g1003 +tp3609 +a(g76 +V"st st-sg" +p3610 +tp3611 +a(g7 +g960 +tp3612 +a(g491 +V' +p3613 +tp3614 +a(g7 +g956 +tp3615 +a(g7 +g1024 +tp3616 +a(g545 +Vspan +p3617 +tp3618 +a(g7 +g960 +tp3619 +a(g7 +g956 +tp3620 +a(g545 +Vspan +p3621 +tp3622 +a(g892 +g980 +tp3623 +a(g654 +Vclass +p3624 +tp3625 +a(g400 +g1003 +tp3626 +a(g76 +V"st" +p3627 +tp3628 +a(g7 +g960 +tp3629 +a(g892 +Vgroup +p3630 +tp3631 +a(g7 +g956 +tp3632 +a(g7 +g1024 +tp3633 +a(g545 +Vspan +p3634 +tp3635 +a(g7 +g960 +tp3636 +a(g7 +g956 +tp3637 +a(g545 +Vspan +p3638 +tp3639 +a(g892 +g980 +tp3640 +a(g654 +Vclass +p3641 +tp3642 +a(g400 +g1003 +tp3643 +a(g76 +V"st st-sg" +p3644 +tp3645 +a(g7 +g960 +tp3646 +a(g491 +V' +p3647 +tp3648 +a(g7 +g956 +tp3649 +a(g7 +g1024 +tp3650 +a(g545 +Vspan +p3651 +tp3652 +a(g7 +g960 +tp3653 +a(g892 +V\u000a\u000a +p3654 +tp3655 +a(g7 +g956 +tp3656 +a(g545 +Vspan +p3657 +tp3658 +a(g892 +g980 +tp3659 +a(g654 +Vclass +p3660 +tp3661 +a(g400 +g1003 +tp3662 +a(g76 +V"kw" +p3663 +tp3664 +a(g7 +g960 +tp3665 +a(g892 +Velse +p3666 +tp3667 +a(g7 +g956 +tp3668 +a(g7 +g1024 +tp3669 +a(g545 +Vspan +p3670 +tp3671 +a(g7 +g960 +tp3672 +a(g892 +V:\u000a +p3673 +tp3674 +a(g7 +g956 +tp3675 +a(g545 +Vspan +p3676 +tp3677 +a(g892 +g980 +tp3678 +a(g654 +Vclass +p3679 +tp3680 +a(g400 +g1003 +tp3681 +a(g76 +V"kw" +p3682 +tp3683 +a(g7 +g960 +tp3684 +a(g892 +Vraise +p3685 +tp3686 +a(g7 +g956 +tp3687 +a(g7 +g1024 +tp3688 +a(g545 +Vspan +p3689 +tp3690 +a(g7 +g960 +tp3691 +a(g892 +g980 +tp3692 +a(g7 +g956 +tp3693 +a(g545 +Vspan +p3694 +tp3695 +a(g892 +g980 +tp3696 +a(g654 +Vclass +p3697 +tp3698 +a(g400 +g1003 +tp3699 +a(g76 +V"exc" +p3700 +tp3701 +a(g7 +g960 +tp3702 +a(g892 +VValueError +p3703 +tp3704 +a(g7 +g956 +tp3705 +a(g7 +g1024 +tp3706 +a(g545 +Vspan +p3707 +tp3708 +a(g7 +g960 +tp3709 +a(g892 +g984 +tp3710 +a(g7 +g956 +tp3711 +a(g545 +Vspan +p3712 +tp3713 +a(g892 +g980 +tp3714 +a(g654 +Vclass +p3715 +tp3716 +a(g400 +g1003 +tp3717 +a(g76 +V"st st-sg" +p3718 +tp3719 +a(g7 +g960 +tp3720 +a(g491 +V' +p3721 +tp3722 +a(g7 +g956 +tp3723 +a(g7 +g1024 +tp3724 +a(g545 +Vspan +p3725 +tp3726 +a(g7 +g960 +tp3727 +a(g7 +g956 +tp3728 +a(g545 +Vspan +p3729 +tp3730 +a(g892 +g980 +tp3731 +a(g654 +Vclass +p3732 +tp3733 +a(g400 +g1003 +tp3734 +a(g76 +V"st" +p3735 +tp3736 +a(g7 +g960 +tp3737 +a(g892 +Vneither user or group specified +p3738 +tp3739 +a(g7 +g956 +tp3740 +a(g7 +g1024 +tp3741 +a(g545 +Vspan +p3742 +tp3743 +a(g7 +g960 +tp3744 +a(g7 +g956 +tp3745 +a(g545 +Vspan +p3746 +tp3747 +a(g892 +g980 +tp3748 +a(g654 +Vclass +p3749 +tp3750 +a(g400 +g1003 +tp3751 +a(g76 +V"st st-sg" +p3752 +tp3753 +a(g7 +g960 +tp3754 +a(g491 +V' +p3755 +tp3756 +a(g7 +g956 +tp3757 +a(g7 +g1024 +tp3758 +a(g545 +Vspan +p3759 +tp3760 +a(g7 +g960 +tp3761 +a(g892 +V)\u000a\u000a +p3762 +tp3763 +a(g7 +g956 +tp3764 +a(g545 +Vspan +p3765 +tp3766 +a(g892 +g980 +tp3767 +a(g654 +Vclass +p3768 +tp3769 +a(g400 +g1003 +tp3770 +a(g76 +V"kw" +p3771 +tp3772 +a(g7 +g960 +tp3773 +a(g892 +Vdef +p3774 +tp3775 +a(g7 +g956 +tp3776 +a(g7 +g1024 +tp3777 +a(g545 +Vspan +p3778 +tp3779 +a(g7 +g960 +tp3780 +a(g7 +g956 +tp3781 +a(g545 +Vspan +p3782 +tp3783 +a(g892 +g980 +tp3784 +a(g654 +Vclass +p3785 +tp3786 +a(g400 +g1003 +tp3787 +a(g76 +V"fun" +p3788 +tp3789 +a(g7 +g960 +tp3790 +a(g892 +Vallow +p3791 +tp3792 +a(g7 +g956 +tp3793 +a(g7 +g1024 +tp3794 +a(g545 +Vspan +p3795 +tp3796 +a(g7 +g960 +tp3797 +a(g892 +g984 +tp3798 +a(g7 +g956 +tp3799 +a(g545 +Vspan +p3800 +tp3801 +a(g892 +g980 +tp3802 +a(g654 +Vclass +p3803 +tp3804 +a(g400 +g1003 +tp3805 +a(g76 +V"bn bn-pseudo" +p3806 +tp3807 +a(g7 +g960 +tp3808 +a(g892 +Vself +p3809 +tp3810 +a(g7 +g956 +tp3811 +a(g7 +g1024 +tp3812 +a(g545 +Vspan +p3813 +tp3814 +a(g7 +g960 +tp3815 +a(g892 +V, +p3816 +tp3817 +a(g7 +g956 +tp3818 +a(g545 +Vspan +p3819 +tp3820 +a(g892 +g980 +tp3821 +a(g654 +Vclass +p3822 +tp3823 +a(g400 +g1003 +tp3824 +a(g76 +V"name" +p3825 +tp3826 +a(g7 +g960 +tp3827 +a(g892 +Vprivilege +p3828 +tp3829 +a(g7 +g956 +tp3830 +a(g7 +g1024 +tp3831 +a(g545 +Vspan +p3832 +tp3833 +a(g7 +g960 +tp3834 +a(g892 +V, +p3835 +tp3836 +a(g7 +g956 +tp3837 +a(g545 +Vspan +p3838 +tp3839 +a(g892 +g980 +tp3840 +a(g654 +Vclass +p3841 +tp3842 +a(g400 +g1003 +tp3843 +a(g76 +V"name" +p3844 +tp3845 +a(g7 +g960 +tp3846 +a(g892 +Vobj +p3847 +tp3848 +a(g7 +g956 +tp3849 +a(g7 +g1024 +tp3850 +a(g545 +Vspan +p3851 +tp3852 +a(g7 +g960 +tp3853 +a(g892 +V, +p3854 +tp3855 +a(g7 +g956 +tp3856 +a(g545 +Vspan +p3857 +tp3858 +a(g892 +g980 +tp3859 +a(g654 +Vclass +p3860 +tp3861 +a(g400 +g1003 +tp3862 +a(g76 +V"name" +p3863 +tp3864 +a(g7 +g960 +tp3865 +a(g892 +Vforce +p3866 +tp3867 +a(g7 +g956 +tp3868 +a(g7 +g1024 +tp3869 +a(g545 +Vspan +p3870 +tp3871 +a(g7 +g960 +tp3872 +a(g7 +g956 +tp3873 +a(g545 +Vspan +p3874 +tp3875 +a(g892 +g980 +tp3876 +a(g654 +Vclass +p3877 +tp3878 +a(g400 +g1003 +tp3879 +a(g76 +V"op" +p3880 +tp3881 +a(g7 +g960 +tp3882 +a(g892 +g1003 +tp3883 +a(g7 +g956 +tp3884 +a(g7 +g1024 +tp3885 +a(g545 +Vspan +p3886 +tp3887 +a(g7 +g960 +tp3888 +a(g7 +g956 +tp3889 +a(g545 +Vspan +p3890 +tp3891 +a(g892 +g980 +tp3892 +a(g654 +Vclass +p3893 +tp3894 +a(g400 +g1003 +tp3895 +a(g76 +V"bn bn-pseudo" +p3896 +tp3897 +a(g7 +g960 +tp3898 +a(g892 +VFalse +p3899 +tp3900 +a(g7 +g956 +tp3901 +a(g7 +g1024 +tp3902 +a(g545 +Vspan +p3903 +tp3904 +a(g7 +g960 +tp3905 +a(g892 +V):\u000a +p3906 +tp3907 +a(g7 +g956 +tp3908 +a(g545 +Vspan +p3909 +tp3910 +a(g892 +g980 +tp3911 +a(g654 +Vclass +p3912 +tp3913 +a(g400 +g1003 +tp3914 +a(g76 +V"st st-db" +p3915 +tp3916 +a(g7 +g960 +tp3917 +a(g491 +V" +p3918 +tp3919 +a(g491 +V" +p3920 +tp3921 +a(g491 +V" +p3922 +tp3923 +a(g7 +g956 +tp3924 +a(g7 +g1024 +tp3925 +a(g545 +Vspan +p3926 +tp3927 +a(g7 +g960 +tp3928 +a(g7 +g956 +tp3929 +a(g545 +Vspan +p3930 +tp3931 +a(g892 +g980 +tp3932 +a(g654 +Vclass +p3933 +tp3934 +a(g400 +g1003 +tp3935 +a(g76 +V"st" +p3936 +tp3937 +a(g7 +g960 +tp3938 +a(g892 +VAllows the subject privilege on obj. +p3939 +tp3940 +a(g7 +g956 +tp3941 +a(g7 +g1024 +tp3942 +a(g545 +Vspan +p3943 +tp3944 +a(g7 +g960 +tp3945 +a(g7 +g956 +tp3946 +a(g545 +Vspan +p3947 +tp3948 +a(g892 +g980 +tp3949 +a(g654 +Vclass +p3950 +tp3951 +a(g400 +g1003 +tp3952 +a(g76 +V"st st-db" +p3953 +tp3954 +a(g7 +g960 +tp3955 +a(g491 +V" +p3956 +tp3957 +a(g491 +V" +p3958 +tp3959 +a(g491 +V" +p3960 +tp3961 +a(g7 +g956 +tp3962 +a(g7 +g1024 +tp3963 +a(g545 +Vspan +p3964 +tp3965 +a(g7 +g960 +tp3966 +a(g892 +V\u000a\u000a +p3967 +tp3968 +a(g7 +g956 +tp3969 +a(g545 +Vspan +p3970 +tp3971 +a(g892 +g980 +tp3972 +a(g654 +Vclass +p3973 +tp3974 +a(g400 +g1003 +tp3975 +a(g76 +V"kw" +p3976 +tp3977 +a(g7 +g960 +tp3978 +a(g892 +Vreturn +p3979 +tp3980 +a(g7 +g956 +tp3981 +a(g7 +g1024 +tp3982 +a(g545 +Vspan +p3983 +tp3984 +a(g7 +g960 +tp3985 +a(g892 +g980 +tp3986 +a(g7 +g956 +tp3987 +a(g545 +Vspan +p3988 +tp3989 +a(g892 +g980 +tp3990 +a(g654 +Vclass +p3991 +tp3992 +a(g400 +g1003 +tp3993 +a(g76 +V"bn bn-pseudo" +p3994 +tp3995 +a(g7 +g960 +tp3996 +a(g892 +Vself +p3997 +tp3998 +a(g7 +g956 +tp3999 +a(g7 +g1024 +tp4000 +a(g545 +Vspan +p4001 +tp4002 +a(g7 +g960 +tp4003 +a(g892 +g1008 +tp4004 +a(g7 +g956 +tp4005 +a(g545 +Vspan +p4006 +tp4007 +a(g892 +g980 +tp4008 +a(g654 +Vclass +p4009 +tp4010 +a(g400 +g1003 +tp4011 +a(g76 +V"name" +p4012 +tp4013 +a(g7 +g960 +tp4014 +a(g892 +V_set +p4015 +tp4016 +a(g7 +g956 +tp4017 +a(g7 +g1024 +tp4018 +a(g545 +Vspan +p4019 +tp4020 +a(g7 +g960 +tp4021 +a(g892 +g984 +tp4022 +a(g7 +g956 +tp4023 +a(g545 +Vspan +p4024 +tp4025 +a(g892 +g980 +tp4026 +a(g654 +Vclass +p4027 +tp4028 +a(g400 +g1003 +tp4029 +a(g76 +V"name" +p4030 +tp4031 +a(g7 +g960 +tp4032 +a(g892 +Vprivilege +p4033 +tp4034 +a(g7 +g956 +tp4035 +a(g7 +g1024 +tp4036 +a(g545 +Vspan +p4037 +tp4038 +a(g7 +g960 +tp4039 +a(g892 +V, +p4040 +tp4041 +a(g7 +g956 +tp4042 +a(g545 +Vspan +p4043 +tp4044 +a(g892 +g980 +tp4045 +a(g654 +Vclass +p4046 +tp4047 +a(g400 +g1003 +tp4048 +a(g76 +V"name" +p4049 +tp4050 +a(g7 +g960 +tp4051 +a(g892 +Vobj +p4052 +tp4053 +a(g7 +g956 +tp4054 +a(g7 +g1024 +tp4055 +a(g545 +Vspan +p4056 +tp4057 +a(g7 +g960 +tp4058 +a(g892 +V, +p4059 +tp4060 +a(g7 +g956 +tp4061 +a(g545 +Vspan +p4062 +tp4063 +a(g892 +g980 +tp4064 +a(g654 +Vclass +p4065 +tp4066 +a(g400 +g1003 +tp4067 +a(g76 +V"nb nb-int" +p4068 +tp4069 +a(g7 +g960 +tp4070 +a(g892 +g1048 +tp4071 +a(g7 +g956 +tp4072 +a(g7 +g1024 +tp4073 +a(g545 +Vspan +p4074 +tp4075 +a(g7 +g960 +tp4076 +a(g892 +g980 +tp4077 +a(g7 +g956 +tp4078 +a(g545 +Vspan +p4079 +tp4080 +a(g892 +g980 +tp4081 +a(g654 +Vclass +p4082 +tp4083 +a(g400 +g1003 +tp4084 +a(g76 +V"op" +p4085 +tp4086 +a(g7 +g960 +tp4087 +a(g892 +V+ +p4088 +tp4089 +a(g7 +g956 +tp4090 +a(g7 +g1024 +tp4091 +a(g545 +Vspan +p4092 +tp4093 +a(g7 +g960 +tp4094 +a(g892 +g980 +tp4095 +a(g7 +g956 +tp4096 +a(g545 +Vspan +p4097 +tp4098 +a(g892 +g980 +tp4099 +a(g654 +Vclass +p4100 +tp4101 +a(g400 +g1003 +tp4102 +a(g76 +V"bn" +p4103 +tp4104 +a(g7 +g960 +tp4105 +a(g892 +Vbool +p4106 +tp4107 +a(g7 +g956 +tp4108 +a(g7 +g1024 +tp4109 +a(g545 +Vspan +p4110 +tp4111 +a(g7 +g960 +tp4112 +a(g892 +g984 +tp4113 +a(g7 +g956 +tp4114 +a(g545 +Vspan +p4115 +tp4116 +a(g892 +g980 +tp4117 +a(g654 +Vclass +p4118 +tp4119 +a(g400 +g1003 +tp4120 +a(g76 +V"name" +p4121 +tp4122 +a(g7 +g960 +tp4123 +a(g892 +Vforce +p4124 +tp4125 +a(g7 +g956 +tp4126 +a(g7 +g1024 +tp4127 +a(g545 +Vspan +p4128 +tp4129 +a(g7 +g960 +tp4130 +a(g892 +V))\u000a\u000a +p4131 +tp4132 +a(g7 +g956 +tp4133 +a(g545 +Vspan +p4134 +tp4135 +a(g892 +g980 +tp4136 +a(g654 +Vclass +p4137 +tp4138 +a(g400 +g1003 +tp4139 +a(g76 +V"kw" +p4140 +tp4141 +a(g7 +g960 +tp4142 +a(g892 +Vdef +p4143 +tp4144 +a(g7 +g956 +tp4145 +a(g7 +g1024 +tp4146 +a(g545 +Vspan +p4147 +tp4148 +a(g7 +g960 +tp4149 +a(g7 +g956 +tp4150 +a(g545 +Vspan +p4151 +tp4152 +a(g892 +g980 +tp4153 +a(g654 +Vclass +p4154 +tp4155 +a(g400 +g1003 +tp4156 +a(g76 +V"fun" +p4157 +tp4158 +a(g7 +g960 +tp4159 +a(g892 +Vdefault +p4160 +tp4161 +a(g7 +g956 +tp4162 +a(g7 +g1024 +tp4163 +a(g545 +Vspan +p4164 +tp4165 +a(g7 +g960 +tp4166 +a(g892 +g984 +tp4167 +a(g7 +g956 +tp4168 +a(g545 +Vspan +p4169 +tp4170 +a(g892 +g980 +tp4171 +a(g654 +Vclass +p4172 +tp4173 +a(g400 +g1003 +tp4174 +a(g76 +V"bn bn-pseudo" +p4175 +tp4176 +a(g7 +g960 +tp4177 +a(g892 +Vself +p4178 +tp4179 +a(g7 +g956 +tp4180 +a(g7 +g1024 +tp4181 +a(g545 +Vspan +p4182 +tp4183 +a(g7 +g960 +tp4184 +a(g892 +V, +p4185 +tp4186 +a(g7 +g956 +tp4187 +a(g545 +Vspan +p4188 +tp4189 +a(g892 +g980 +tp4190 +a(g654 +Vclass +p4191 +tp4192 +a(g400 +g1003 +tp4193 +a(g76 +V"name" +p4194 +tp4195 +a(g7 +g960 +tp4196 +a(g892 +Vprivilege +p4197 +tp4198 +a(g7 +g956 +tp4199 +a(g7 +g1024 +tp4200 +a(g545 +Vspan +p4201 +tp4202 +a(g7 +g960 +tp4203 +a(g892 +V, +p4204 +tp4205 +a(g7 +g956 +tp4206 +a(g545 +Vspan +p4207 +tp4208 +a(g892 +g980 +tp4209 +a(g654 +Vclass +p4210 +tp4211 +a(g400 +g1003 +tp4212 +a(g76 +V"name" +p4213 +tp4214 +a(g7 +g960 +tp4215 +a(g892 +Vobj +p4216 +tp4217 +a(g7 +g956 +tp4218 +a(g7 +g1024 +tp4219 +a(g545 +Vspan +p4220 +tp4221 +a(g7 +g960 +tp4222 +a(g892 +V):\u000a +p4223 +tp4224 +a(g7 +g956 +tp4225 +a(g545 +Vspan +p4226 +tp4227 +a(g892 +g980 +tp4228 +a(g654 +Vclass +p4229 +tp4230 +a(g400 +g1003 +tp4231 +a(g76 +V"st st-db" +p4232 +tp4233 +a(g7 +g960 +tp4234 +a(g491 +V" +p4235 +tp4236 +a(g491 +V" +p4237 +tp4238 +a(g491 +V" +p4239 +tp4240 +a(g7 +g956 +tp4241 +a(g7 +g1024 +tp4242 +a(g545 +Vspan +p4243 +tp4244 +a(g7 +g960 +tp4245 +a(g7 +g956 +tp4246 +a(g545 +Vspan +p4247 +tp4248 +a(g892 +g980 +tp4249 +a(g654 +Vclass +p4250 +tp4251 +a(g400 +g1003 +tp4252 +a(g76 +V"st" +p4253 +tp4254 +a(g7 +g960 +tp4255 +a(g892 +VSets the state for privilege on obj back to weak yes. +p4256 +tp4257 +a(g7 +g956 +tp4258 +a(g7 +g1024 +tp4259 +a(g545 +Vspan +p4260 +tp4261 +a(g7 +g960 +tp4262 +a(g7 +g956 +tp4263 +a(g545 +Vspan +p4264 +tp4265 +a(g892 +g980 +tp4266 +a(g654 +Vclass +p4267 +tp4268 +a(g400 +g1003 +tp4269 +a(g76 +V"st st-db" +p4270 +tp4271 +a(g7 +g960 +tp4272 +a(g491 +V" +p4273 +tp4274 +a(g491 +V" +p4275 +tp4276 +a(g491 +V" +p4277 +tp4278 +a(g7 +g956 +tp4279 +a(g7 +g1024 +tp4280 +a(g545 +Vspan +p4281 +tp4282 +a(g7 +g960 +tp4283 +a(g892 +V\u000a\u000a +p4284 +tp4285 +a(g7 +g956 +tp4286 +a(g545 +Vspan +p4287 +tp4288 +a(g892 +g980 +tp4289 +a(g654 +Vclass +p4290 +tp4291 +a(g400 +g1003 +tp4292 +a(g76 +V"kw" +p4293 +tp4294 +a(g7 +g960 +tp4295 +a(g892 +Vreturn +p4296 +tp4297 +a(g7 +g956 +tp4298 +a(g7 +g1024 +tp4299 +a(g545 +Vspan +p4300 +tp4301 +a(g7 +g960 +tp4302 +a(g892 +g980 +tp4303 +a(g7 +g956 +tp4304 +a(g545 +Vspan +p4305 +tp4306 +a(g892 +g980 +tp4307 +a(g654 +Vclass +p4308 +tp4309 +a(g400 +g1003 +tp4310 +a(g76 +V"bn bn-pseudo" +p4311 +tp4312 +a(g7 +g960 +tp4313 +a(g892 +Vself +p4314 +tp4315 +a(g7 +g956 +tp4316 +a(g7 +g1024 +tp4317 +a(g545 +Vspan +p4318 +tp4319 +a(g7 +g960 +tp4320 +a(g892 +g1008 +tp4321 +a(g7 +g956 +tp4322 +a(g545 +Vspan +p4323 +tp4324 +a(g892 +g980 +tp4325 +a(g654 +Vclass +p4326 +tp4327 +a(g400 +g1003 +tp4328 +a(g76 +V"name" +p4329 +tp4330 +a(g7 +g960 +tp4331 +a(g892 +V_set +p4332 +tp4333 +a(g7 +g956 +tp4334 +a(g7 +g1024 +tp4335 +a(g545 +Vspan +p4336 +tp4337 +a(g7 +g960 +tp4338 +a(g892 +g984 +tp4339 +a(g7 +g956 +tp4340 +a(g545 +Vspan +p4341 +tp4342 +a(g892 +g980 +tp4343 +a(g654 +Vclass +p4344 +tp4345 +a(g400 +g1003 +tp4346 +a(g76 +V"name" +p4347 +tp4348 +a(g7 +g960 +tp4349 +a(g892 +Vprivilege +p4350 +tp4351 +a(g7 +g956 +tp4352 +a(g7 +g1024 +tp4353 +a(g545 +Vspan +p4354 +tp4355 +a(g7 +g960 +tp4356 +a(g892 +V, +p4357 +tp4358 +a(g7 +g956 +tp4359 +a(g545 +Vspan +p4360 +tp4361 +a(g892 +g980 +tp4362 +a(g654 +Vclass +p4363 +tp4364 +a(g400 +g1003 +tp4365 +a(g76 +V"name" +p4366 +tp4367 +a(g7 +g960 +tp4368 +a(g892 +Vobj +p4369 +tp4370 +a(g7 +g956 +tp4371 +a(g7 +g1024 +tp4372 +a(g545 +Vspan +p4373 +tp4374 +a(g7 +g960 +tp4375 +a(g892 +V, +p4376 +tp4377 +a(g7 +g956 +tp4378 +a(g545 +Vspan +p4379 +tp4380 +a(g892 +g980 +tp4381 +a(g654 +Vclass +p4382 +tp4383 +a(g400 +g1003 +tp4384 +a(g76 +V"nb nb-int" +p4385 +tp4386 +a(g7 +g960 +tp4387 +a(g892 +g1112 +tp4388 +a(g7 +g956 +tp4389 +a(g7 +g1024 +tp4390 +a(g545 +Vspan +p4391 +tp4392 +a(g7 +g960 +tp4393 +a(g892 +V)\u000a\u000a +p4394 +tp4395 +a(g7 +g956 +tp4396 +a(g545 +Vspan +p4397 +tp4398 +a(g892 +g980 +tp4399 +a(g654 +Vclass +p4400 +tp4401 +a(g400 +g1003 +tp4402 +a(g76 +V"kw" +p4403 +tp4404 +a(g7 +g960 +tp4405 +a(g892 +Vdef +p4406 +tp4407 +a(g7 +g956 +tp4408 +a(g7 +g1024 +tp4409 +a(g545 +Vspan +p4410 +tp4411 +a(g7 +g960 +tp4412 +a(g7 +g956 +tp4413 +a(g545 +Vspan +p4414 +tp4415 +a(g892 +g980 +tp4416 +a(g654 +Vclass +p4417 +tp4418 +a(g400 +g1003 +tp4419 +a(g76 +V"fun" +p4420 +tp4421 +a(g7 +g960 +tp4422 +a(g892 +Vdeny +p4423 +tp4424 +a(g7 +g956 +tp4425 +a(g7 +g1024 +tp4426 +a(g545 +Vspan +p4427 +tp4428 +a(g7 +g960 +tp4429 +a(g892 +g984 +tp4430 +a(g7 +g956 +tp4431 +a(g545 +Vspan +p4432 +tp4433 +a(g892 +g980 +tp4434 +a(g654 +Vclass +p4435 +tp4436 +a(g400 +g1003 +tp4437 +a(g76 +V"bn bn-pseudo" +p4438 +tp4439 +a(g7 +g960 +tp4440 +a(g892 +Vself +p4441 +tp4442 +a(g7 +g956 +tp4443 +a(g7 +g1024 +tp4444 +a(g545 +Vspan +p4445 +tp4446 +a(g7 +g960 +tp4447 +a(g892 +V, +p4448 +tp4449 +a(g7 +g956 +tp4450 +a(g545 +Vspan +p4451 +tp4452 +a(g892 +g980 +tp4453 +a(g654 +Vclass +p4454 +tp4455 +a(g400 +g1003 +tp4456 +a(g76 +V"name" +p4457 +tp4458 +a(g7 +g960 +tp4459 +a(g892 +Vprivilege +p4460 +tp4461 +a(g7 +g956 +tp4462 +a(g7 +g1024 +tp4463 +a(g545 +Vspan +p4464 +tp4465 +a(g7 +g960 +tp4466 +a(g892 +V, +p4467 +tp4468 +a(g7 +g956 +tp4469 +a(g545 +Vspan +p4470 +tp4471 +a(g892 +g980 +tp4472 +a(g654 +Vclass +p4473 +tp4474 +a(g400 +g1003 +tp4475 +a(g76 +V"name" +p4476 +tp4477 +a(g7 +g960 +tp4478 +a(g892 +Vobj +p4479 +tp4480 +a(g7 +g956 +tp4481 +a(g7 +g1024 +tp4482 +a(g545 +Vspan +p4483 +tp4484 +a(g7 +g960 +tp4485 +a(g892 +V, +p4486 +tp4487 +a(g7 +g956 +tp4488 +a(g545 +Vspan +p4489 +tp4490 +a(g892 +g980 +tp4491 +a(g654 +Vclass +p4492 +tp4493 +a(g400 +g1003 +tp4494 +a(g76 +V"name" +p4495 +tp4496 +a(g7 +g960 +tp4497 +a(g892 +Vforce +p4498 +tp4499 +a(g7 +g956 +tp4500 +a(g7 +g1024 +tp4501 +a(g545 +Vspan +p4502 +tp4503 +a(g7 +g960 +tp4504 +a(g7 +g956 +tp4505 +a(g545 +Vspan +p4506 +tp4507 +a(g892 +g980 +tp4508 +a(g654 +Vclass +p4509 +tp4510 +a(g400 +g1003 +tp4511 +a(g76 +V"op" +p4512 +tp4513 +a(g7 +g960 +tp4514 +a(g892 +g1003 +tp4515 +a(g7 +g956 +tp4516 +a(g7 +g1024 +tp4517 +a(g545 +Vspan +p4518 +tp4519 +a(g7 +g960 +tp4520 +a(g7 +g956 +tp4521 +a(g545 +Vspan +p4522 +tp4523 +a(g892 +g980 +tp4524 +a(g654 +Vclass +p4525 +tp4526 +a(g400 +g1003 +tp4527 +a(g76 +V"bn bn-pseudo" +p4528 +tp4529 +a(g7 +g960 +tp4530 +a(g892 +VFalse +p4531 +tp4532 +a(g7 +g956 +tp4533 +a(g7 +g1024 +tp4534 +a(g545 +Vspan +p4535 +tp4536 +a(g7 +g960 +tp4537 +a(g892 +V):\u000a +p4538 +tp4539 +a(g7 +g956 +tp4540 +a(g545 +Vspan +p4541 +tp4542 +a(g892 +g980 +tp4543 +a(g654 +Vclass +p4544 +tp4545 +a(g400 +g1003 +tp4546 +a(g76 +V"st st-db" +p4547 +tp4548 +a(g7 +g960 +tp4549 +a(g491 +V" +p4550 +tp4551 +a(g491 +V" +p4552 +tp4553 +a(g491 +V" +p4554 +tp4555 +a(g7 +g956 +tp4556 +a(g7 +g1024 +tp4557 +a(g545 +Vspan +p4558 +tp4559 +a(g7 +g960 +tp4560 +a(g7 +g956 +tp4561 +a(g545 +Vspan +p4562 +tp4563 +a(g892 +g980 +tp4564 +a(g654 +Vclass +p4565 +tp4566 +a(g400 +g1003 +tp4567 +a(g76 +V"st" +p4568 +tp4569 +a(g7 +g960 +tp4570 +a(g892 +VDenies the subject privilege on obj. +p4571 +tp4572 +a(g7 +g956 +tp4573 +a(g7 +g1024 +tp4574 +a(g545 +Vspan +p4575 +tp4576 +a(g7 +g960 +tp4577 +a(g7 +g956 +tp4578 +a(g545 +Vspan +p4579 +tp4580 +a(g892 +g980 +tp4581 +a(g654 +Vclass +p4582 +tp4583 +a(g400 +g1003 +tp4584 +a(g76 +V"st st-db" +p4585 +tp4586 +a(g7 +g960 +tp4587 +a(g491 +V" +p4588 +tp4589 +a(g491 +V" +p4590 +tp4591 +a(g491 +V" +p4592 +tp4593 +a(g7 +g956 +tp4594 +a(g7 +g1024 +tp4595 +a(g545 +Vspan +p4596 +tp4597 +a(g7 +g960 +tp4598 +a(g892 +V\u000a\u000a +p4599 +tp4600 +a(g7 +g956 +tp4601 +a(g545 +Vspan +p4602 +tp4603 +a(g892 +g980 +tp4604 +a(g654 +Vclass +p4605 +tp4606 +a(g400 +g1003 +tp4607 +a(g76 +V"kw" +p4608 +tp4609 +a(g7 +g960 +tp4610 +a(g892 +Vreturn +p4611 +tp4612 +a(g7 +g956 +tp4613 +a(g7 +g1024 +tp4614 +a(g545 +Vspan +p4615 +tp4616 +a(g7 +g960 +tp4617 +a(g892 +g980 +tp4618 +a(g7 +g956 +tp4619 +a(g545 +Vspan +p4620 +tp4621 +a(g892 +g980 +tp4622 +a(g654 +Vclass +p4623 +tp4624 +a(g400 +g1003 +tp4625 +a(g76 +V"bn bn-pseudo" +p4626 +tp4627 +a(g7 +g960 +tp4628 +a(g892 +Vself +p4629 +tp4630 +a(g7 +g956 +tp4631 +a(g7 +g1024 +tp4632 +a(g545 +Vspan +p4633 +tp4634 +a(g7 +g960 +tp4635 +a(g892 +g1008 +tp4636 +a(g7 +g956 +tp4637 +a(g545 +Vspan +p4638 +tp4639 +a(g892 +g980 +tp4640 +a(g654 +Vclass +p4641 +tp4642 +a(g400 +g1003 +tp4643 +a(g76 +V"name" +p4644 +tp4645 +a(g7 +g960 +tp4646 +a(g892 +V_set +p4647 +tp4648 +a(g7 +g956 +tp4649 +a(g7 +g1024 +tp4650 +a(g545 +Vspan +p4651 +tp4652 +a(g7 +g960 +tp4653 +a(g892 +g984 +tp4654 +a(g7 +g956 +tp4655 +a(g545 +Vspan +p4656 +tp4657 +a(g892 +g980 +tp4658 +a(g654 +Vclass +p4659 +tp4660 +a(g400 +g1003 +tp4661 +a(g76 +V"name" +p4662 +tp4663 +a(g7 +g960 +tp4664 +a(g892 +Vprivilege +p4665 +tp4666 +a(g7 +g956 +tp4667 +a(g7 +g1024 +tp4668 +a(g545 +Vspan +p4669 +tp4670 +a(g7 +g960 +tp4671 +a(g892 +V, +p4672 +tp4673 +a(g7 +g956 +tp4674 +a(g545 +Vspan +p4675 +tp4676 +a(g892 +g980 +tp4677 +a(g654 +Vclass +p4678 +tp4679 +a(g400 +g1003 +tp4680 +a(g76 +V"name" +p4681 +tp4682 +a(g7 +g960 +tp4683 +a(g892 +Vobj +p4684 +tp4685 +a(g7 +g956 +tp4686 +a(g7 +g1024 +tp4687 +a(g545 +Vspan +p4688 +tp4689 +a(g7 +g960 +tp4690 +a(g892 +V, +p4691 +tp4692 +a(g7 +g956 +tp4693 +a(g545 +Vspan +p4694 +tp4695 +a(g892 +g980 +tp4696 +a(g654 +Vclass +p4697 +tp4698 +a(g400 +g1003 +tp4699 +a(g76 +V"op" +p4700 +tp4701 +a(g7 +g960 +tp4702 +a(g892 +g2875 +tp4703 +a(g7 +g956 +tp4704 +a(g7 +g1024 +tp4705 +a(g545 +Vspan +p4706 +tp4707 +a(g7 +g960 +tp4708 +a(g7 +g956 +tp4709 +a(g545 +Vspan +p4710 +tp4711 +a(g892 +g980 +tp4712 +a(g654 +Vclass +p4713 +tp4714 +a(g400 +g1003 +tp4715 +a(g76 +V"nb nb-int" +p4716 +tp4717 +a(g7 +g960 +tp4718 +a(g892 +g1048 +tp4719 +a(g7 +g956 +tp4720 +a(g7 +g1024 +tp4721 +a(g545 +Vspan +p4722 +tp4723 +a(g7 +g960 +tp4724 +a(g892 +g980 +tp4725 +a(g7 +g956 +tp4726 +a(g545 +Vspan +p4727 +tp4728 +a(g892 +g980 +tp4729 +a(g654 +Vclass +p4730 +tp4731 +a(g400 +g1003 +tp4732 +a(g76 +V"op" +p4733 +tp4734 +a(g7 +g960 +tp4735 +a(g892 +g2875 +tp4736 +a(g7 +g956 +tp4737 +a(g7 +g1024 +tp4738 +a(g545 +Vspan +p4739 +tp4740 +a(g7 +g960 +tp4741 +a(g892 +g980 +tp4742 +a(g7 +g956 +tp4743 +a(g545 +Vspan +p4744 +tp4745 +a(g892 +g980 +tp4746 +a(g654 +Vclass +p4747 +tp4748 +a(g400 +g1003 +tp4749 +a(g76 +V"bn" +p4750 +tp4751 +a(g7 +g960 +tp4752 +a(g892 +Vbool +p4753 +tp4754 +a(g7 +g956 +tp4755 +a(g7 +g1024 +tp4756 +a(g545 +Vspan +p4757 +tp4758 +a(g7 +g960 +tp4759 +a(g892 +g984 +tp4760 +a(g7 +g956 +tp4761 +a(g545 +Vspan +p4762 +tp4763 +a(g892 +g980 +tp4764 +a(g654 +Vclass +p4765 +tp4766 +a(g400 +g1003 +tp4767 +a(g76 +V"name" +p4768 +tp4769 +a(g7 +g960 +tp4770 +a(g892 +Vforce +p4771 +tp4772 +a(g7 +g956 +tp4773 +a(g7 +g1024 +tp4774 +a(g545 +Vspan +p4775 +tp4776 +a(g7 +g960 +tp4777 +a(g892 +V))\u000a\u000a +p4778 +tp4779 +a(g7 +g956 +tp4780 +a(g545 +Vspan +p4781 +tp4782 +a(g892 +g980 +tp4783 +a(g654 +Vclass +p4784 +tp4785 +a(g400 +g1003 +tp4786 +a(g76 +V"kw" +p4787 +tp4788 +a(g7 +g960 +tp4789 +a(g892 +Vdef +p4790 +tp4791 +a(g7 +g956 +tp4792 +a(g7 +g1024 +tp4793 +a(g545 +Vspan +p4794 +tp4795 +a(g7 +g960 +tp4796 +a(g7 +g956 +tp4797 +a(g545 +Vspan +p4798 +tp4799 +a(g892 +g980 +tp4800 +a(g654 +Vclass +p4801 +tp4802 +a(g400 +g1003 +tp4803 +a(g76 +V"fun" +p4804 +tp4805 +a(g7 +g960 +tp4806 +a(g892 +Vcan_access +p4807 +tp4808 +a(g7 +g956 +tp4809 +a(g7 +g1024 +tp4810 +a(g545 +Vspan +p4811 +tp4812 +a(g7 +g960 +tp4813 +a(g892 +g984 +tp4814 +a(g7 +g956 +tp4815 +a(g545 +Vspan +p4816 +tp4817 +a(g892 +g980 +tp4818 +a(g654 +Vclass +p4819 +tp4820 +a(g400 +g1003 +tp4821 +a(g76 +V"bn bn-pseudo" +p4822 +tp4823 +a(g7 +g960 +tp4824 +a(g892 +Vself +p4825 +tp4826 +a(g7 +g956 +tp4827 +a(g7 +g1024 +tp4828 +a(g545 +Vspan +p4829 +tp4830 +a(g7 +g960 +tp4831 +a(g892 +V, +p4832 +tp4833 +a(g7 +g956 +tp4834 +a(g545 +Vspan +p4835 +tp4836 +a(g892 +g980 +tp4837 +a(g654 +Vclass +p4838 +tp4839 +a(g400 +g1003 +tp4840 +a(g76 +V"name" +p4841 +tp4842 +a(g7 +g960 +tp4843 +a(g892 +Vprivilege +p4844 +tp4845 +a(g7 +g956 +tp4846 +a(g7 +g1024 +tp4847 +a(g545 +Vspan +p4848 +tp4849 +a(g7 +g960 +tp4850 +a(g892 +V, +p4851 +tp4852 +a(g7 +g956 +tp4853 +a(g545 +Vspan +p4854 +tp4855 +a(g892 +g980 +tp4856 +a(g654 +Vclass +p4857 +tp4858 +a(g400 +g1003 +tp4859 +a(g76 +V"name" +p4860 +tp4861 +a(g7 +g960 +tp4862 +a(g892 +Vobj +p4863 +tp4864 +a(g7 +g956 +tp4865 +a(g7 +g1024 +tp4866 +a(g545 +Vspan +p4867 +tp4868 +a(g7 +g960 +tp4869 +a(g892 +V):\u000a +p4870 +tp4871 +a(g7 +g956 +tp4872 +a(g545 +Vspan +p4873 +tp4874 +a(g892 +g980 +tp4875 +a(g654 +Vclass +p4876 +tp4877 +a(g400 +g1003 +tp4878 +a(g76 +V"st st-db" +p4879 +tp4880 +a(g7 +g960 +tp4881 +a(g491 +V" +p4882 +tp4883 +a(g491 +V" +p4884 +tp4885 +a(g491 +V" +p4886 +tp4887 +a(g7 +g956 +tp4888 +a(g7 +g1024 +tp4889 +a(g545 +Vspan +p4890 +tp4891 +a(g7 +g960 +tp4892 +a(g7 +g956 +tp4893 +a(g545 +Vspan +p4894 +tp4895 +a(g892 +g980 +tp4896 +a(g654 +Vclass +p4897 +tp4898 +a(g400 +g1003 +tp4899 +a(g76 +V"st" +p4900 +tp4901 +a(g7 +g960 +tp4902 +a(g892 +VChecks if the current subject with the required privilege\u000a somehow. Either directly or when the subject is a user and\u000a one of its groups can access it. +p4903 +tp4904 +a(g7 +g956 +tp4905 +a(g7 +g1024 +tp4906 +a(g545 +Vspan +p4907 +tp4908 +a(g7 +g960 +tp4909 +a(g7 +g956 +tp4910 +a(g545 +Vspan +p4911 +tp4912 +a(g892 +g980 +tp4913 +a(g654 +Vclass +p4914 +tp4915 +a(g400 +g1003 +tp4916 +a(g76 +V"st st-db" +p4917 +tp4918 +a(g7 +g960 +tp4919 +a(g491 +V" +p4920 +tp4921 +a(g491 +V" +p4922 +tp4923 +a(g491 +V" +p4924 +tp4925 +a(g7 +g956 +tp4926 +a(g7 +g1024 +tp4927 +a(g545 +Vspan +p4928 +tp4929 +a(g7 +g960 +tp4930 +a(g892 +V\u000a\u000a +p4931 +tp4932 +a(g7 +g956 +tp4933 +a(g545 +Vspan +p4934 +tp4935 +a(g892 +g980 +tp4936 +a(g654 +Vclass +p4937 +tp4938 +a(g400 +g1003 +tp4939 +a(g76 +V"cm" +p4940 +tp4941 +a(g7 +g960 +tp4942 +a(g892 +V#XXX: maybe this could be one big query instead of 4 +p4943 +tp4944 +a(g7 +g956 +tp4945 +a(g7 +g1024 +tp4946 +a(g545 +Vspan +p4947 +tp4948 +a(g7 +g960 +tp4949 +a(g892 +V\u000a +p4950 +tp4951 +a(g7 +g956 +tp4952 +a(g545 +Vspan +p4953 +tp4954 +a(g892 +g980 +tp4955 +a(g654 +Vclass +p4956 +tp4957 +a(g400 +g1003 +tp4958 +a(g76 +V"cm" +p4959 +tp4960 +a(g7 +g960 +tp4961 +a(g892 +V#XXX: this currently does not work correctly, therefore return True +p4962 +tp4963 +a(g7 +g956 +tp4964 +a(g7 +g1024 +tp4965 +a(g545 +Vspan +p4966 +tp4967 +a(g7 +g960 +tp4968 +a(g892 +V\u000a +p4969 +tp4970 +a(g7 +g956 +tp4971 +a(g545 +Vspan +p4972 +tp4973 +a(g892 +g980 +tp4974 +a(g654 +Vclass +p4975 +tp4976 +a(g400 +g1003 +tp4977 +a(g76 +V"kw" +p4978 +tp4979 +a(g7 +g960 +tp4980 +a(g892 +Vreturn +p4981 +tp4982 +a(g7 +g956 +tp4983 +a(g7 +g1024 +tp4984 +a(g545 +Vspan +p4985 +tp4986 +a(g7 +g960 +tp4987 +a(g892 +g980 +tp4988 +a(g7 +g956 +tp4989 +a(g545 +Vspan +p4990 +tp4991 +a(g892 +g980 +tp4992 +a(g654 +Vclass +p4993 +tp4994 +a(g400 +g1003 +tp4995 +a(g76 +V"bn bn-pseudo" +p4996 +tp4997 +a(g7 +g960 +tp4998 +a(g892 +VTrue +p4999 +tp5000 +a(g7 +g956 +tp5001 +a(g7 +g1024 +tp5002 +a(g545 +Vspan +p5003 +tp5004 +a(g7 +g960 +tp5005 +a(g892 +V\u000a\u000a +p5006 +tp5007 +a(g7 +g956 +tp5008 +a(g545 +Vspan +p5009 +tp5010 +a(g892 +g980 +tp5011 +a(g654 +Vclass +p5012 +tp5013 +a(g400 +g1003 +tp5014 +a(g76 +V"kw" +p5015 +tp5016 +a(g7 +g960 +tp5017 +a(g892 +Vif +p5018 +tp5019 +a(g7 +g956 +tp5020 +a(g7 +g1024 +tp5021 +a(g545 +Vspan +p5022 +tp5023 +a(g7 +g960 +tp5024 +a(g892 +g980 +tp5025 +a(g7 +g956 +tp5026 +a(g545 +Vspan +p5027 +tp5028 +a(g892 +g980 +tp5029 +a(g654 +Vclass +p5030 +tp5031 +a(g400 +g1003 +tp5032 +a(g76 +V"op op-word" +p5033 +tp5034 +a(g7 +g960 +tp5035 +a(g892 +Vnot +p5036 +tp5037 +a(g7 +g956 +tp5038 +a(g7 +g1024 +tp5039 +a(g545 +Vspan +p5040 +tp5041 +a(g7 +g960 +tp5042 +a(g892 +g980 +tp5043 +a(g7 +g956 +tp5044 +a(g545 +Vspan +p5045 +tp5046 +a(g892 +g980 +tp5047 +a(g654 +Vclass +p5048 +tp5049 +a(g400 +g1003 +tp5050 +a(g76 +V"bn" +p5051 +tp5052 +a(g7 +g960 +tp5053 +a(g892 +Visinstance +p5054 +tp5055 +a(g7 +g956 +tp5056 +a(g7 +g1024 +tp5057 +a(g545 +Vspan +p5058 +tp5059 +a(g7 +g960 +tp5060 +a(g892 +g984 +tp5061 +a(g7 +g956 +tp5062 +a(g545 +Vspan +p5063 +tp5064 +a(g892 +g980 +tp5065 +a(g654 +Vclass +p5066 +tp5067 +a(g400 +g1003 +tp5068 +a(g76 +V"name" +p5069 +tp5070 +a(g7 +g960 +tp5071 +a(g892 +Vobj +p5072 +tp5073 +a(g7 +g956 +tp5074 +a(g7 +g1024 +tp5075 +a(g545 +Vspan +p5076 +tp5077 +a(g7 +g960 +tp5078 +a(g892 +V, ( +p5079 +tp5080 +a(g7 +g956 +tp5081 +a(g545 +Vspan +p5082 +tp5083 +a(g892 +g980 +tp5084 +a(g654 +Vclass +p5085 +tp5086 +a(g400 +g1003 +tp5087 +a(g76 +V"name" +p5088 +tp5089 +a(g7 +g960 +tp5090 +a(g892 +VForum +p5091 +tp5092 +a(g7 +g956 +tp5093 +a(g7 +g1024 +tp5094 +a(g545 +Vspan +p5095 +tp5096 +a(g7 +g960 +tp5097 +a(g892 +V, +p5098 +tp5099 +a(g7 +g956 +tp5100 +a(g545 +Vspan +p5101 +tp5102 +a(g892 +g980 +tp5103 +a(g654 +Vclass +p5104 +tp5105 +a(g400 +g1003 +tp5106 +a(g76 +V"name" +p5107 +tp5108 +a(g7 +g960 +tp5109 +a(g892 +VThread +p5110 +tp5111 +a(g7 +g956 +tp5112 +a(g7 +g1024 +tp5113 +a(g545 +Vspan +p5114 +tp5115 +a(g7 +g960 +tp5116 +a(g892 +V, +p5117 +tp5118 +a(g7 +g956 +tp5119 +a(g545 +Vspan +p5120 +tp5121 +a(g892 +g980 +tp5122 +a(g654 +Vclass +p5123 +tp5124 +a(g400 +g1003 +tp5125 +a(g76 +V"name" +p5126 +tp5127 +a(g7 +g960 +tp5128 +a(g892 +VSite +p5129 +tp5130 +a(g7 +g956 +tp5131 +a(g7 +g1024 +tp5132 +a(g545 +Vspan +p5133 +tp5134 +a(g7 +g960 +tp5135 +a(g892 +g1008 +tp5136 +a(g7 +g956 +tp5137 +a(g545 +Vspan +p5138 +tp5139 +a(g892 +g980 +tp5140 +a(g654 +Vclass +p5141 +tp5142 +a(g400 +g1003 +tp5143 +a(g76 +V"name" +p5144 +tp5145 +a(g7 +g960 +tp5146 +a(g892 +V__class__ +p5147 +tp5148 +a(g7 +g956 +tp5149 +a(g7 +g1024 +tp5150 +a(g545 +Vspan +p5151 +tp5152 +a(g7 +g960 +tp5153 +a(g892 +V)):\u000a +p5154 +tp5155 +a(g7 +g956 +tp5156 +a(g545 +Vspan +p5157 +tp5158 +a(g892 +g980 +tp5159 +a(g654 +Vclass +p5160 +tp5161 +a(g400 +g1003 +tp5162 +a(g76 +V"kw" +p5163 +tp5164 +a(g7 +g960 +tp5165 +a(g892 +Vraise +p5166 +tp5167 +a(g7 +g956 +tp5168 +a(g7 +g1024 +tp5169 +a(g545 +Vspan +p5170 +tp5171 +a(g7 +g960 +tp5172 +a(g892 +g980 +tp5173 +a(g7 +g956 +tp5174 +a(g545 +Vspan +p5175 +tp5176 +a(g892 +g980 +tp5177 +a(g654 +Vclass +p5178 +tp5179 +a(g400 +g1003 +tp5180 +a(g76 +V"exc" +p5181 +tp5182 +a(g7 +g960 +tp5183 +a(g892 +VTypeError +p5184 +tp5185 +a(g7 +g956 +tp5186 +a(g7 +g1024 +tp5187 +a(g545 +Vspan +p5188 +tp5189 +a(g7 +g960 +tp5190 +a(g892 +g984 +tp5191 +a(g7 +g956 +tp5192 +a(g545 +Vspan +p5193 +tp5194 +a(g892 +g980 +tp5195 +a(g654 +Vclass +p5196 +tp5197 +a(g400 +g1003 +tp5198 +a(g76 +V"st st-sg" +p5199 +tp5200 +a(g7 +g960 +tp5201 +a(g491 +V' +p5202 +tp5203 +a(g7 +g956 +tp5204 +a(g7 +g1024 +tp5205 +a(g545 +Vspan +p5206 +tp5207 +a(g7 +g960 +tp5208 +a(g7 +g956 +tp5209 +a(g545 +Vspan +p5210 +tp5211 +a(g892 +g980 +tp5212 +a(g654 +Vclass +p5213 +tp5214 +a(g400 +g1003 +tp5215 +a(g76 +V"st" +p5216 +tp5217 +a(g7 +g960 +tp5218 +a(g892 +Vobj must be a forum, thread or site +p5219 +tp5220 +a(g7 +g956 +tp5221 +a(g7 +g1024 +tp5222 +a(g545 +Vspan +p5223 +tp5224 +a(g7 +g960 +tp5225 +a(g7 +g956 +tp5226 +a(g545 +Vspan +p5227 +tp5228 +a(g892 +g980 +tp5229 +a(g654 +Vclass +p5230 +tp5231 +a(g400 +g1003 +tp5232 +a(g76 +V"st st-sg" +p5233 +tp5234 +a(g7 +g960 +tp5235 +a(g491 +V' +p5236 +tp5237 +a(g7 +g956 +tp5238 +a(g7 +g1024 +tp5239 +a(g545 +Vspan +p5240 +tp5241 +a(g7 +g960 +tp5242 +a(g892 +V)\u000a +p5243 +tp5244 +a(g7 +g956 +tp5245 +a(g545 +Vspan +p5246 +tp5247 +a(g892 +g980 +tp5248 +a(g654 +Vclass +p5249 +tp5250 +a(g400 +g1003 +tp5251 +a(g76 +V"name" +p5252 +tp5253 +a(g7 +g960 +tp5254 +a(g892 +Vprivilege +p5255 +tp5256 +a(g7 +g956 +tp5257 +a(g7 +g1024 +tp5258 +a(g545 +Vspan +p5259 +tp5260 +a(g7 +g960 +tp5261 +a(g892 +g980 +tp5262 +a(g7 +g956 +tp5263 +a(g545 +Vspan +p5264 +tp5265 +a(g892 +g980 +tp5266 +a(g654 +Vclass +p5267 +tp5268 +a(g400 +g1003 +tp5269 +a(g76 +V"op" +p5270 +tp5271 +a(g7 +g960 +tp5272 +a(g892 +g1003 +tp5273 +a(g7 +g956 +tp5274 +a(g7 +g1024 +tp5275 +a(g545 +Vspan +p5276 +tp5277 +a(g7 +g960 +tp5278 +a(g892 +g980 +tp5279 +a(g7 +g956 +tp5280 +a(g545 +Vspan +p5281 +tp5282 +a(g892 +g980 +tp5283 +a(g654 +Vclass +p5284 +tp5285 +a(g400 +g1003 +tp5286 +a(g76 +V"name" +p5287 +tp5288 +a(g7 +g960 +tp5289 +a(g892 +Vprivilege +p5290 +tp5291 +a(g7 +g956 +tp5292 +a(g7 +g1024 +tp5293 +a(g545 +Vspan +p5294 +tp5295 +a(g7 +g960 +tp5296 +a(g892 +g1008 +tp5297 +a(g7 +g956 +tp5298 +a(g545 +Vspan +p5299 +tp5300 +a(g892 +g980 +tp5301 +a(g654 +Vclass +p5302 +tp5303 +a(g400 +g1003 +tp5304 +a(g76 +V"name" +p5305 +tp5306 +a(g7 +g960 +tp5307 +a(g892 +Vupper +p5308 +tp5309 +a(g7 +g956 +tp5310 +a(g7 +g1024 +tp5311 +a(g545 +Vspan +p5312 +tp5313 +a(g7 +g960 +tp5314 +a(g892 +V()\u000a +p5315 +tp5316 +a(g7 +g956 +tp5317 +a(g545 +Vspan +p5318 +tp5319 +a(g892 +g980 +tp5320 +a(g654 +Vclass +p5321 +tp5322 +a(g400 +g1003 +tp5323 +a(g76 +V"name" +p5324 +tp5325 +a(g7 +g960 +tp5326 +a(g892 +Vs +p5327 +tp5328 +a(g7 +g956 +tp5329 +a(g7 +g1024 +tp5330 +a(g545 +Vspan +p5331 +tp5332 +a(g7 +g960 +tp5333 +a(g892 +g980 +tp5334 +a(g7 +g956 +tp5335 +a(g545 +Vspan +p5336 +tp5337 +a(g892 +g980 +tp5338 +a(g654 +Vclass +p5339 +tp5340 +a(g400 +g1003 +tp5341 +a(g76 +V"op" +p5342 +tp5343 +a(g7 +g960 +tp5344 +a(g892 +g1003 +tp5345 +a(g7 +g956 +tp5346 +a(g7 +g1024 +tp5347 +a(g545 +Vspan +p5348 +tp5349 +a(g7 +g960 +tp5350 +a(g892 +g980 +tp5351 +a(g7 +g956 +tp5352 +a(g545 +Vspan +p5353 +tp5354 +a(g892 +g980 +tp5355 +a(g654 +Vclass +p5356 +tp5357 +a(g400 +g1003 +tp5358 +a(g76 +V"bn bn-pseudo" +p5359 +tp5360 +a(g7 +g960 +tp5361 +a(g892 +Vself +p5362 +tp5363 +a(g7 +g956 +tp5364 +a(g7 +g1024 +tp5365 +a(g545 +Vspan +p5366 +tp5367 +a(g7 +g960 +tp5368 +a(g892 +g1008 +tp5369 +a(g7 +g956 +tp5370 +a(g545 +Vspan +p5371 +tp5372 +a(g892 +g980 +tp5373 +a(g654 +Vclass +p5374 +tp5375 +a(g400 +g1003 +tp5376 +a(g76 +V"name" +p5377 +tp5378 +a(g7 +g960 +tp5379 +a(g892 +V_get_subject_join +p5380 +tp5381 +a(g7 +g956 +tp5382 +a(g7 +g1024 +tp5383 +a(g545 +Vspan +p5384 +tp5385 +a(g7 +g960 +tp5386 +a(g892 +V(). +p5387 +tp5388 +a(g7 +g956 +tp5389 +a(g545 +Vspan +p5390 +tp5391 +a(g892 +g980 +tp5392 +a(g654 +Vclass +p5393 +tp5394 +a(g400 +g1003 +tp5395 +a(g76 +V"name" +p5396 +tp5397 +a(g7 +g960 +tp5398 +a(g892 +Valias +p5399 +tp5400 +a(g7 +g956 +tp5401 +a(g7 +g1024 +tp5402 +a(g545 +Vspan +p5403 +tp5404 +a(g7 +g960 +tp5405 +a(g892 +g984 +tp5406 +a(g7 +g956 +tp5407 +a(g545 +Vspan +p5408 +tp5409 +a(g892 +g980 +tp5410 +a(g654 +Vclass +p5411 +tp5412 +a(g400 +g1003 +tp5413 +a(g76 +V"st st-sg" +p5414 +tp5415 +a(g7 +g960 +tp5416 +a(g491 +V' +p5417 +tp5418 +a(g7 +g956 +tp5419 +a(g7 +g1024 +tp5420 +a(g545 +Vspan +p5421 +tp5422 +a(g7 +g960 +tp5423 +a(g7 +g956 +tp5424 +a(g545 +Vspan +p5425 +tp5426 +a(g892 +g980 +tp5427 +a(g654 +Vclass +p5428 +tp5429 +a(g400 +g1003 +tp5430 +a(g76 +V"st" +p5431 +tp5432 +a(g7 +g960 +tp5433 +a(g892 +g5327 +tp5434 +a(g7 +g956 +tp5435 +a(g7 +g1024 +tp5436 +a(g545 +Vspan +p5437 +tp5438 +a(g7 +g960 +tp5439 +a(g7 +g956 +tp5440 +a(g545 +Vspan +p5441 +tp5442 +a(g892 +g980 +tp5443 +a(g654 +Vclass +p5444 +tp5445 +a(g400 +g1003 +tp5446 +a(g76 +V"st st-sg" +p5447 +tp5448 +a(g7 +g960 +tp5449 +a(g491 +V' +p5450 +tp5451 +a(g7 +g956 +tp5452 +a(g7 +g1024 +tp5453 +a(g545 +Vspan +p5454 +tp5455 +a(g7 +g960 +tp5456 +a(g892 +V). +p5457 +tp5458 +a(g7 +g956 +tp5459 +a(g545 +Vspan +p5460 +tp5461 +a(g892 +g980 +tp5462 +a(g654 +Vclass +p5463 +tp5464 +a(g400 +g1003 +tp5465 +a(g76 +V"name" +p5466 +tp5467 +a(g7 +g960 +tp5468 +a(g892 +Vc +p5469 +tp5470 +a(g7 +g956 +tp5471 +a(g7 +g1024 +tp5472 +a(g545 +Vspan +p5473 +tp5474 +a(g7 +g960 +tp5475 +a(g892 +V\u000a\u000a +p5476 +tp5477 +a(g7 +g956 +tp5478 +a(g545 +Vspan +p5479 +tp5480 +a(g892 +g980 +tp5481 +a(g654 +Vclass +p5482 +tp5483 +a(g400 +g1003 +tp5484 +a(g76 +V"kw" +p5485 +tp5486 +a(g7 +g960 +tp5487 +a(g892 +Vdef +p5488 +tp5489 +a(g7 +g956 +tp5490 +a(g7 +g1024 +tp5491 +a(g545 +Vspan +p5492 +tp5493 +a(g7 +g960 +tp5494 +a(g7 +g956 +tp5495 +a(g545 +Vspan +p5496 +tp5497 +a(g892 +g980 +tp5498 +a(g654 +Vclass +p5499 +tp5500 +a(g400 +g1003 +tp5501 +a(g76 +V"fun" +p5502 +tp5503 +a(g7 +g960 +tp5504 +a(g892 +Vdo_check +p5505 +tp5506 +a(g7 +g956 +tp5507 +a(g7 +g1024 +tp5508 +a(g545 +Vspan +p5509 +tp5510 +a(g7 +g960 +tp5511 +a(g892 +g984 +tp5512 +a(g7 +g956 +tp5513 +a(g545 +Vspan +p5514 +tp5515 +a(g892 +g980 +tp5516 +a(g654 +Vclass +p5517 +tp5518 +a(g400 +g1003 +tp5519 +a(g76 +V"name" +p5520 +tp5521 +a(g7 +g960 +tp5522 +a(g892 +Vobj +p5523 +tp5524 +a(g7 +g956 +tp5525 +a(g7 +g1024 +tp5526 +a(g545 +Vspan +p5527 +tp5528 +a(g7 +g960 +tp5529 +a(g892 +V, +p5530 +tp5531 +a(g7 +g956 +tp5532 +a(g545 +Vspan +p5533 +tp5534 +a(g892 +g980 +tp5535 +a(g654 +Vclass +p5536 +tp5537 +a(g400 +g1003 +tp5538 +a(g76 +V"name" +p5539 +tp5540 +a(g7 +g960 +tp5541 +a(g892 +Vtendency +p5542 +tp5543 +a(g7 +g956 +tp5544 +a(g7 +g1024 +tp5545 +a(g545 +Vspan +p5546 +tp5547 +a(g7 +g960 +tp5548 +a(g892 +V):\u000a +p5549 +tp5550 +a(g7 +g956 +tp5551 +a(g545 +Vspan +p5552 +tp5553 +a(g892 +g980 +tp5554 +a(g654 +Vclass +p5555 +tp5556 +a(g400 +g1003 +tp5557 +a(g76 +V"name" +p5558 +tp5559 +a(g7 +g960 +tp5560 +a(g892 +Vdb +p5561 +tp5562 +a(g7 +g956 +tp5563 +a(g7 +g1024 +tp5564 +a(g545 +Vspan +p5565 +tp5566 +a(g7 +g960 +tp5567 +a(g892 +g980 +tp5568 +a(g7 +g956 +tp5569 +a(g545 +Vspan +p5570 +tp5571 +a(g892 +g980 +tp5572 +a(g654 +Vclass +p5573 +tp5574 +a(g400 +g1003 +tp5575 +a(g76 +V"op" +p5576 +tp5577 +a(g7 +g960 +tp5578 +a(g892 +g1003 +tp5579 +a(g7 +g956 +tp5580 +a(g7 +g1024 +tp5581 +a(g545 +Vspan +p5582 +tp5583 +a(g7 +g960 +tp5584 +a(g892 +g980 +tp5585 +a(g7 +g956 +tp5586 +a(g545 +Vspan +p5587 +tp5588 +a(g892 +g980 +tp5589 +a(g654 +Vclass +p5590 +tp5591 +a(g400 +g1003 +tp5592 +a(g76 +V"bn bn-pseudo" +p5593 +tp5594 +a(g7 +g960 +tp5595 +a(g892 +Vself +p5596 +tp5597 +a(g7 +g956 +tp5598 +a(g7 +g1024 +tp5599 +a(g545 +Vspan +p5600 +tp5601 +a(g7 +g960 +tp5602 +a(g892 +g1008 +tp5603 +a(g7 +g956 +tp5604 +a(g545 +Vspan +p5605 +tp5606 +a(g892 +g980 +tp5607 +a(g654 +Vclass +p5608 +tp5609 +a(g400 +g1003 +tp5610 +a(g76 +V"name" +p5611 +tp5612 +a(g7 +g960 +tp5613 +a(g892 +Vctx +p5614 +tp5615 +a(g7 +g956 +tp5616 +a(g7 +g1024 +tp5617 +a(g545 +Vspan +p5618 +tp5619 +a(g7 +g960 +tp5620 +a(g892 +g1008 +tp5621 +a(g7 +g956 +tp5622 +a(g545 +Vspan +p5623 +tp5624 +a(g892 +g980 +tp5625 +a(g654 +Vclass +p5626 +tp5627 +a(g400 +g1003 +tp5628 +a(g76 +V"name" +p5629 +tp5630 +a(g7 +g960 +tp5631 +a(g892 +Vengine +p5632 +tp5633 +a(g7 +g956 +tp5634 +a(g7 +g1024 +tp5635 +a(g545 +Vspan +p5636 +tp5637 +a(g7 +g960 +tp5638 +a(g892 +V\u000a\u000a +p5639 +tp5640 +a(g7 +g956 +tp5641 +a(g545 +Vspan +p5642 +tp5643 +a(g892 +g980 +tp5644 +a(g654 +Vclass +p5645 +tp5646 +a(g400 +g1003 +tp5647 +a(g76 +V"name" +p5648 +tp5649 +a(g7 +g960 +tp5650 +a(g892 +Vo +p5651 +tp5652 +a(g7 +g956 +tp5653 +a(g7 +g1024 +tp5654 +a(g545 +Vspan +p5655 +tp5656 +a(g7 +g960 +tp5657 +a(g892 +g980 +tp5658 +a(g7 +g956 +tp5659 +a(g545 +Vspan +p5660 +tp5661 +a(g892 +g980 +tp5662 +a(g654 +Vclass +p5663 +tp5664 +a(g400 +g1003 +tp5665 +a(g76 +V"op" +p5666 +tp5667 +a(g7 +g960 +tp5668 +a(g892 +g1003 +tp5669 +a(g7 +g956 +tp5670 +a(g7 +g1024 +tp5671 +a(g545 +Vspan +p5672 +tp5673 +a(g7 +g960 +tp5674 +a(g892 +g980 +tp5675 +a(g7 +g956 +tp5676 +a(g545 +Vspan +p5677 +tp5678 +a(g892 +g980 +tp5679 +a(g654 +Vclass +p5680 +tp5681 +a(g400 +g1003 +tp5682 +a(g76 +V"bn bn-pseudo" +p5683 +tp5684 +a(g7 +g960 +tp5685 +a(g892 +Vself +p5686 +tp5687 +a(g7 +g956 +tp5688 +a(g7 +g1024 +tp5689 +a(g545 +Vspan +p5690 +tp5691 +a(g7 +g960 +tp5692 +a(g892 +g1008 +tp5693 +a(g7 +g956 +tp5694 +a(g545 +Vspan +p5695 +tp5696 +a(g892 +g980 +tp5697 +a(g654 +Vclass +p5698 +tp5699 +a(g400 +g1003 +tp5700 +a(g76 +V"name" +p5701 +tp5702 +a(g7 +g960 +tp5703 +a(g892 +V_get_object_join +p5704 +tp5705 +a(g7 +g956 +tp5706 +a(g7 +g1024 +tp5707 +a(g545 +Vspan +p5708 +tp5709 +a(g7 +g960 +tp5710 +a(g892 +g984 +tp5711 +a(g7 +g956 +tp5712 +a(g545 +Vspan +p5713 +tp5714 +a(g892 +g980 +tp5715 +a(g654 +Vclass +p5716 +tp5717 +a(g400 +g1003 +tp5718 +a(g76 +V"name" +p5719 +tp5720 +a(g7 +g960 +tp5721 +a(g892 +Vobj +p5722 +tp5723 +a(g7 +g956 +tp5724 +a(g7 +g1024 +tp5725 +a(g545 +Vspan +p5726 +tp5727 +a(g7 +g960 +tp5728 +a(g892 +V). +p5729 +tp5730 +a(g7 +g956 +tp5731 +a(g545 +Vspan +p5732 +tp5733 +a(g892 +g980 +tp5734 +a(g654 +Vclass +p5735 +tp5736 +a(g400 +g1003 +tp5737 +a(g76 +V"name" +p5738 +tp5739 +a(g7 +g960 +tp5740 +a(g892 +Valias +p5741 +tp5742 +a(g7 +g956 +tp5743 +a(g7 +g1024 +tp5744 +a(g545 +Vspan +p5745 +tp5746 +a(g7 +g960 +tp5747 +a(g892 +g984 +tp5748 +a(g7 +g956 +tp5749 +a(g545 +Vspan +p5750 +tp5751 +a(g892 +g980 +tp5752 +a(g654 +Vclass +p5753 +tp5754 +a(g400 +g1003 +tp5755 +a(g76 +V"st st-sg" +p5756 +tp5757 +a(g7 +g960 +tp5758 +a(g491 +V' +p5759 +tp5760 +a(g7 +g956 +tp5761 +a(g7 +g1024 +tp5762 +a(g545 +Vspan +p5763 +tp5764 +a(g7 +g960 +tp5765 +a(g7 +g956 +tp5766 +a(g545 +Vspan +p5767 +tp5768 +a(g892 +g980 +tp5769 +a(g654 +Vclass +p5770 +tp5771 +a(g400 +g1003 +tp5772 +a(g76 +V"st" +p5773 +tp5774 +a(g7 +g960 +tp5775 +a(g892 +g5651 +tp5776 +a(g7 +g956 +tp5777 +a(g7 +g1024 +tp5778 +a(g545 +Vspan +p5779 +tp5780 +a(g7 +g960 +tp5781 +a(g7 +g956 +tp5782 +a(g545 +Vspan +p5783 +tp5784 +a(g892 +g980 +tp5785 +a(g654 +Vclass +p5786 +tp5787 +a(g400 +g1003 +tp5788 +a(g76 +V"st st-sg" +p5789 +tp5790 +a(g7 +g960 +tp5791 +a(g491 +V' +p5792 +tp5793 +a(g7 +g956 +tp5794 +a(g7 +g1024 +tp5795 +a(g545 +Vspan +p5796 +tp5797 +a(g7 +g960 +tp5798 +a(g892 +V). +p5799 +tp5800 +a(g7 +g956 +tp5801 +a(g545 +Vspan +p5802 +tp5803 +a(g892 +g980 +tp5804 +a(g654 +Vclass +p5805 +tp5806 +a(g400 +g1003 +tp5807 +a(g76 +V"name" +p5808 +tp5809 +a(g7 +g960 +tp5810 +a(g892 +g5469 +tp5811 +a(g7 +g956 +tp5812 +a(g7 +g1024 +tp5813 +a(g545 +Vspan +p5814 +tp5815 +a(g7 +g960 +tp5816 +a(g892 +V\u000a\u000a +p5817 +tp5818 +a(g7 +g956 +tp5819 +a(g545 +Vspan +p5820 +tp5821 +a(g892 +g980 +tp5822 +a(g654 +Vclass +p5823 +tp5824 +a(g400 +g1003 +tp5825 +a(g76 +V"cm" +p5826 +tp5827 +a(g7 +g960 +tp5828 +a(g892 +V# self check +p5829 +tp5830 +a(g7 +g956 +tp5831 +a(g7 +g1024 +tp5832 +a(g545 +Vspan +p5833 +tp5834 +a(g7 +g960 +tp5835 +a(g892 +V\u000a +p5836 +tp5837 +a(g7 +g956 +tp5838 +a(g545 +Vspan +p5839 +tp5840 +a(g892 +g980 +tp5841 +a(g654 +Vclass +p5842 +tp5843 +a(g400 +g1003 +tp5844 +a(g76 +V"name" +p5845 +tp5846 +a(g7 +g960 +tp5847 +a(g892 +Vr +p5848 +tp5849 +a(g7 +g956 +tp5850 +a(g7 +g1024 +tp5851 +a(g545 +Vspan +p5852 +tp5853 +a(g7 +g960 +tp5854 +a(g892 +g980 +tp5855 +a(g7 +g956 +tp5856 +a(g545 +Vspan +p5857 +tp5858 +a(g892 +g980 +tp5859 +a(g654 +Vclass +p5860 +tp5861 +a(g400 +g1003 +tp5862 +a(g76 +V"op" +p5863 +tp5864 +a(g7 +g960 +tp5865 +a(g892 +g1003 +tp5866 +a(g7 +g956 +tp5867 +a(g7 +g1024 +tp5868 +a(g545 +Vspan +p5869 +tp5870 +a(g7 +g960 +tp5871 +a(g892 +g980 +tp5872 +a(g7 +g956 +tp5873 +a(g545 +Vspan +p5874 +tp5875 +a(g892 +g980 +tp5876 +a(g654 +Vclass +p5877 +tp5878 +a(g400 +g1003 +tp5879 +a(g76 +V"name" +p5880 +tp5881 +a(g7 +g960 +tp5882 +a(g892 +Vdb +p5883 +tp5884 +a(g7 +g956 +tp5885 +a(g7 +g1024 +tp5886 +a(g545 +Vspan +p5887 +tp5888 +a(g7 +g960 +tp5889 +a(g892 +g1008 +tp5890 +a(g7 +g956 +tp5891 +a(g545 +Vspan +p5892 +tp5893 +a(g892 +g980 +tp5894 +a(g654 +Vclass +p5895 +tp5896 +a(g400 +g1003 +tp5897 +a(g76 +V"name" +p5898 +tp5899 +a(g7 +g960 +tp5900 +a(g892 +Vexecute +p5901 +tp5902 +a(g7 +g956 +tp5903 +a(g7 +g1024 +tp5904 +a(g545 +Vspan +p5905 +tp5906 +a(g7 +g960 +tp5907 +a(g892 +g984 +tp5908 +a(g7 +g956 +tp5909 +a(g545 +Vspan +p5910 +tp5911 +a(g892 +g980 +tp5912 +a(g654 +Vclass +p5913 +tp5914 +a(g400 +g1003 +tp5915 +a(g76 +V"name" +p5916 +tp5917 +a(g7 +g960 +tp5918 +a(g892 +Vmeta +p5919 +tp5920 +a(g7 +g956 +tp5921 +a(g7 +g1024 +tp5922 +a(g545 +Vspan +p5923 +tp5924 +a(g7 +g960 +tp5925 +a(g892 +g1008 +tp5926 +a(g7 +g956 +tp5927 +a(g545 +Vspan +p5928 +tp5929 +a(g892 +g980 +tp5930 +a(g654 +Vclass +p5931 +tp5932 +a(g400 +g1003 +tp5933 +a(g76 +V"name" +p5934 +tp5935 +a(g7 +g960 +tp5936 +a(g892 +Vselect +p5937 +tp5938 +a(g7 +g956 +tp5939 +a(g7 +g1024 +tp5940 +a(g545 +Vspan +p5941 +tp5942 +a(g7 +g960 +tp5943 +a(g892 +V([ +p5944 +tp5945 +a(g7 +g956 +tp5946 +a(g545 +Vspan +p5947 +tp5948 +a(g892 +g980 +tp5949 +a(g654 +Vclass +p5950 +tp5951 +a(g400 +g1003 +tp5952 +a(g76 +V"name" +p5953 +tp5954 +a(g7 +g960 +tp5955 +a(g892 +Vacl_mapping +p5956 +tp5957 +a(g7 +g956 +tp5958 +a(g7 +g1024 +tp5959 +a(g545 +Vspan +p5960 +tp5961 +a(g7 +g960 +tp5962 +a(g892 +g1008 +tp5963 +a(g7 +g956 +tp5964 +a(g545 +Vspan +p5965 +tp5966 +a(g892 +g980 +tp5967 +a(g654 +Vclass +p5968 +tp5969 +a(g400 +g1003 +tp5970 +a(g76 +V"name" +p5971 +tp5972 +a(g7 +g960 +tp5973 +a(g892 +g5469 +tp5974 +a(g7 +g956 +tp5975 +a(g7 +g1024 +tp5976 +a(g545 +Vspan +p5977 +tp5978 +a(g7 +g960 +tp5979 +a(g892 +g1008 +tp5980 +a(g7 +g956 +tp5981 +a(g545 +Vspan +p5982 +tp5983 +a(g892 +g980 +tp5984 +a(g654 +Vclass +p5985 +tp5986 +a(g400 +g1003 +tp5987 +a(g76 +V"name" +p5988 +tp5989 +a(g7 +g960 +tp5990 +a(g892 +Vstate +p5991 +tp5992 +a(g7 +g956 +tp5993 +a(g7 +g1024 +tp5994 +a(g545 +Vspan +p5995 +tp5996 +a(g7 +g960 +tp5997 +a(g892 +V],\u000a ( +p5998 +tp5999 +a(g7 +g956 +tp6000 +a(g545 +Vspan +p6001 +tp6002 +a(g892 +g980 +tp6003 +a(g654 +Vclass +p6004 +tp6005 +a(g400 +g1003 +tp6006 +a(g76 +V"name" +p6007 +tp6008 +a(g7 +g960 +tp6009 +a(g892 +Vacl_mapping +p6010 +tp6011 +a(g7 +g956 +tp6012 +a(g7 +g1024 +tp6013 +a(g545 +Vspan +p6014 +tp6015 +a(g7 +g960 +tp6016 +a(g892 +g1008 +tp6017 +a(g7 +g956 +tp6018 +a(g545 +Vspan +p6019 +tp6020 +a(g892 +g980 +tp6021 +a(g654 +Vclass +p6022 +tp6023 +a(g400 +g1003 +tp6024 +a(g76 +V"name" +p6025 +tp6026 +a(g7 +g960 +tp6027 +a(g892 +g5469 +tp6028 +a(g7 +g956 +tp6029 +a(g7 +g1024 +tp6030 +a(g545 +Vspan +p6031 +tp6032 +a(g7 +g960 +tp6033 +a(g892 +g1008 +tp6034 +a(g7 +g956 +tp6035 +a(g545 +Vspan +p6036 +tp6037 +a(g892 +g980 +tp6038 +a(g654 +Vclass +p6039 +tp6040 +a(g400 +g1003 +tp6041 +a(g76 +V"name" +p6042 +tp6043 +a(g7 +g960 +tp6044 +a(g892 +Vpriv_id +p6045 +tp6046 +a(g7 +g956 +tp6047 +a(g7 +g1024 +tp6048 +a(g545 +Vspan +p6049 +tp6050 +a(g7 +g960 +tp6051 +a(g892 +g980 +tp6052 +a(g7 +g956 +tp6053 +a(g545 +Vspan +p6054 +tp6055 +a(g892 +g980 +tp6056 +a(g654 +Vclass +p6057 +tp6058 +a(g400 +g1003 +tp6059 +a(g76 +V"op" +p6060 +tp6061 +a(g7 +g960 +tp6062 +a(g892 +V== +p6063 +tp6064 +a(g7 +g956 +tp6065 +a(g7 +g1024 +tp6066 +a(g545 +Vspan +p6067 +tp6068 +a(g7 +g960 +tp6069 +a(g892 +g980 +tp6070 +a(g7 +g956 +tp6071 +a(g545 +Vspan +p6072 +tp6073 +a(g892 +g980 +tp6074 +a(g654 +Vclass +p6075 +tp6076 +a(g400 +g1003 +tp6077 +a(g76 +V"name" +p6078 +tp6079 +a(g7 +g960 +tp6080 +a(g892 +Vprivileges +p6081 +tp6082 +a(g7 +g956 +tp6083 +a(g7 +g1024 +tp6084 +a(g545 +Vspan +p6085 +tp6086 +a(g7 +g960 +tp6087 +a(g892 +g1008 +tp6088 +a(g7 +g956 +tp6089 +a(g545 +Vspan +p6090 +tp6091 +a(g892 +g980 +tp6092 +a(g654 +Vclass +p6093 +tp6094 +a(g400 +g1003 +tp6095 +a(g76 +V"name" +p6096 +tp6097 +a(g7 +g960 +tp6098 +a(g892 +g5469 +tp6099 +a(g7 +g956 +tp6100 +a(g7 +g1024 +tp6101 +a(g545 +Vspan +p6102 +tp6103 +a(g7 +g960 +tp6104 +a(g892 +g1008 +tp6105 +a(g7 +g956 +tp6106 +a(g545 +Vspan +p6107 +tp6108 +a(g892 +g980 +tp6109 +a(g654 +Vclass +p6110 +tp6111 +a(g400 +g1003 +tp6112 +a(g76 +V"name" +p6113 +tp6114 +a(g7 +g960 +tp6115 +a(g892 +Vpriv_id +p6116 +tp6117 +a(g7 +g956 +tp6118 +a(g7 +g1024 +tp6119 +a(g545 +Vspan +p6120 +tp6121 +a(g7 +g960 +tp6122 +a(g892 +V) +p6123 +tp6124 +a(g7 +g956 +tp6125 +a(g545 +Vspan +p6126 +tp6127 +a(g892 +g980 +tp6128 +a(g654 +Vclass +p6129 +tp6130 +a(g400 +g1003 +tp6131 +a(g76 +V"op" +p6132 +tp6133 +a(g7 +g960 +tp6134 +a(g491 +V& +p6135 +tp6136 +a(g7 +g956 +tp6137 +a(g7 +g1024 +tp6138 +a(g545 +Vspan +p6139 +tp6140 +a(g7 +g960 +tp6141 +a(g892 +V\u000a\u000a ( +p6142 +tp6143 +a(g7 +g956 +tp6144 +a(g545 +Vspan +p6145 +tp6146 +a(g892 +g980 +tp6147 +a(g654 +Vclass +p6148 +tp6149 +a(g400 +g1003 +tp6150 +a(g76 +V"name" +p6151 +tp6152 +a(g7 +g960 +tp6153 +a(g892 +Vacl_mapping +p6154 +tp6155 +a(g7 +g956 +tp6156 +a(g7 +g1024 +tp6157 +a(g545 +Vspan +p6158 +tp6159 +a(g7 +g960 +tp6160 +a(g892 +g1008 +tp6161 +a(g7 +g956 +tp6162 +a(g545 +Vspan +p6163 +tp6164 +a(g892 +g980 +tp6165 +a(g654 +Vclass +p6166 +tp6167 +a(g400 +g1003 +tp6168 +a(g76 +V"name" +p6169 +tp6170 +a(g7 +g960 +tp6171 +a(g892 +g5469 +tp6172 +a(g7 +g956 +tp6173 +a(g7 +g1024 +tp6174 +a(g545 +Vspan +p6175 +tp6176 +a(g7 +g960 +tp6177 +a(g892 +g1008 +tp6178 +a(g7 +g956 +tp6179 +a(g545 +Vspan +p6180 +tp6181 +a(g892 +g980 +tp6182 +a(g654 +Vclass +p6183 +tp6184 +a(g400 +g1003 +tp6185 +a(g76 +V"name" +p6186 +tp6187 +a(g7 +g960 +tp6188 +a(g892 +Vsubject_id +p6189 +tp6190 +a(g7 +g956 +tp6191 +a(g7 +g1024 +tp6192 +a(g545 +Vspan +p6193 +tp6194 +a(g7 +g960 +tp6195 +a(g892 +g980 +tp6196 +a(g7 +g956 +tp6197 +a(g545 +Vspan +p6198 +tp6199 +a(g892 +g980 +tp6200 +a(g654 +Vclass +p6201 +tp6202 +a(g400 +g1003 +tp6203 +a(g76 +V"op" +p6204 +tp6205 +a(g7 +g960 +tp6206 +a(g892 +V== +p6207 +tp6208 +a(g7 +g956 +tp6209 +a(g7 +g1024 +tp6210 +a(g545 +Vspan +p6211 +tp6212 +a(g7 +g960 +tp6213 +a(g892 +g980 +tp6214 +a(g7 +g956 +tp6215 +a(g545 +Vspan +p6216 +tp6217 +a(g892 +g980 +tp6218 +a(g654 +Vclass +p6219 +tp6220 +a(g400 +g1003 +tp6221 +a(g76 +V"name" +p6222 +tp6223 +a(g7 +g960 +tp6224 +a(g892 +g5327 +tp6225 +a(g7 +g956 +tp6226 +a(g7 +g1024 +tp6227 +a(g545 +Vspan +p6228 +tp6229 +a(g7 +g960 +tp6230 +a(g892 +g1008 +tp6231 +a(g7 +g956 +tp6232 +a(g545 +Vspan +p6233 +tp6234 +a(g892 +g980 +tp6235 +a(g654 +Vclass +p6236 +tp6237 +a(g400 +g1003 +tp6238 +a(g76 +V"name" +p6239 +tp6240 +a(g7 +g960 +tp6241 +a(g892 +Vsubject_id +p6242 +tp6243 +a(g7 +g956 +tp6244 +a(g7 +g1024 +tp6245 +a(g545 +Vspan +p6246 +tp6247 +a(g7 +g960 +tp6248 +a(g892 +V) +p6249 +tp6250 +a(g7 +g956 +tp6251 +a(g545 +Vspan +p6252 +tp6253 +a(g892 +g980 +tp6254 +a(g654 +Vclass +p6255 +tp6256 +a(g400 +g1003 +tp6257 +a(g76 +V"op" +p6258 +tp6259 +a(g7 +g960 +tp6260 +a(g491 +V& +p6261 +tp6262 +a(g7 +g956 +tp6263 +a(g7 +g1024 +tp6264 +a(g545 +Vspan +p6265 +tp6266 +a(g7 +g960 +tp6267 +a(g892 +V\u000a ( +p6268 +tp6269 +a(g7 +g956 +tp6270 +a(g545 +Vspan +p6271 +tp6272 +a(g892 +g980 +tp6273 +a(g654 +Vclass +p6274 +tp6275 +a(g400 +g1003 +tp6276 +a(g76 +V"name" +p6277 +tp6278 +a(g7 +g960 +tp6279 +a(g892 +Vacl_mapping +p6280 +tp6281 +a(g7 +g956 +tp6282 +a(g7 +g1024 +tp6283 +a(g545 +Vspan +p6284 +tp6285 +a(g7 +g960 +tp6286 +a(g892 +g1008 +tp6287 +a(g7 +g956 +tp6288 +a(g545 +Vspan +p6289 +tp6290 +a(g892 +g980 +tp6291 +a(g654 +Vclass +p6292 +tp6293 +a(g400 +g1003 +tp6294 +a(g76 +V"name" +p6295 +tp6296 +a(g7 +g960 +tp6297 +a(g892 +g5469 +tp6298 +a(g7 +g956 +tp6299 +a(g7 +g1024 +tp6300 +a(g545 +Vspan +p6301 +tp6302 +a(g7 +g960 +tp6303 +a(g892 +g1008 +tp6304 +a(g7 +g956 +tp6305 +a(g545 +Vspan +p6306 +tp6307 +a(g892 +g980 +tp6308 +a(g654 +Vclass +p6309 +tp6310 +a(g400 +g1003 +tp6311 +a(g76 +V"name" +p6312 +tp6313 +a(g7 +g960 +tp6314 +a(g892 +Vobject_id +p6315 +tp6316 +a(g7 +g956 +tp6317 +a(g7 +g1024 +tp6318 +a(g545 +Vspan +p6319 +tp6320 +a(g7 +g960 +tp6321 +a(g892 +g980 +tp6322 +a(g7 +g956 +tp6323 +a(g545 +Vspan +p6324 +tp6325 +a(g892 +g980 +tp6326 +a(g654 +Vclass +p6327 +tp6328 +a(g400 +g1003 +tp6329 +a(g76 +V"op" +p6330 +tp6331 +a(g7 +g960 +tp6332 +a(g892 +V== +p6333 +tp6334 +a(g7 +g956 +tp6335 +a(g7 +g1024 +tp6336 +a(g545 +Vspan +p6337 +tp6338 +a(g7 +g960 +tp6339 +a(g892 +g980 +tp6340 +a(g7 +g956 +tp6341 +a(g545 +Vspan +p6342 +tp6343 +a(g892 +g980 +tp6344 +a(g654 +Vclass +p6345 +tp6346 +a(g400 +g1003 +tp6347 +a(g76 +V"name" +p6348 +tp6349 +a(g7 +g960 +tp6350 +a(g892 +g5651 +tp6351 +a(g7 +g956 +tp6352 +a(g7 +g1024 +tp6353 +a(g545 +Vspan +p6354 +tp6355 +a(g7 +g960 +tp6356 +a(g892 +g1008 +tp6357 +a(g7 +g956 +tp6358 +a(g545 +Vspan +p6359 +tp6360 +a(g892 +g980 +tp6361 +a(g654 +Vclass +p6362 +tp6363 +a(g400 +g1003 +tp6364 +a(g76 +V"name" +p6365 +tp6366 +a(g7 +g960 +tp6367 +a(g892 +Vobject_id +p6368 +tp6369 +a(g7 +g956 +tp6370 +a(g7 +g1024 +tp6371 +a(g545 +Vspan +p6372 +tp6373 +a(g7 +g960 +tp6374 +a(g892 +V) +p6375 +tp6376 +a(g7 +g956 +tp6377 +a(g545 +Vspan +p6378 +tp6379 +a(g892 +g980 +tp6380 +a(g654 +Vclass +p6381 +tp6382 +a(g400 +g1003 +tp6383 +a(g76 +V"op" +p6384 +tp6385 +a(g7 +g960 +tp6386 +a(g491 +V& +p6387 +tp6388 +a(g7 +g956 +tp6389 +a(g7 +g1024 +tp6390 +a(g545 +Vspan +p6391 +tp6392 +a(g7 +g960 +tp6393 +a(g892 +V\u000a\u000a ( +p6394 +tp6395 +a(g7 +g956 +tp6396 +a(g545 +Vspan +p6397 +tp6398 +a(g892 +g980 +tp6399 +a(g654 +Vclass +p6400 +tp6401 +a(g400 +g1003 +tp6402 +a(g76 +V"name" +p6403 +tp6404 +a(g7 +g960 +tp6405 +a(g892 +Vprivileges +p6406 +tp6407 +a(g7 +g956 +tp6408 +a(g7 +g1024 +tp6409 +a(g545 +Vspan +p6410 +tp6411 +a(g7 +g960 +tp6412 +a(g892 +g1008 +tp6413 +a(g7 +g956 +tp6414 +a(g545 +Vspan +p6415 +tp6416 +a(g892 +g980 +tp6417 +a(g654 +Vclass +p6418 +tp6419 +a(g400 +g1003 +tp6420 +a(g76 +V"name" +p6421 +tp6422 +a(g7 +g960 +tp6423 +a(g892 +g5469 +tp6424 +a(g7 +g956 +tp6425 +a(g7 +g1024 +tp6426 +a(g545 +Vspan +p6427 +tp6428 +a(g7 +g960 +tp6429 +a(g892 +g1008 +tp6430 +a(g7 +g956 +tp6431 +a(g545 +Vspan +p6432 +tp6433 +a(g892 +g980 +tp6434 +a(g654 +Vclass +p6435 +tp6436 +a(g400 +g1003 +tp6437 +a(g76 +V"name" +p6438 +tp6439 +a(g7 +g960 +tp6440 +a(g892 +Vname +p6441 +tp6442 +a(g7 +g956 +tp6443 +a(g7 +g1024 +tp6444 +a(g545 +Vspan +p6445 +tp6446 +a(g7 +g960 +tp6447 +a(g892 +g980 +tp6448 +a(g7 +g956 +tp6449 +a(g545 +Vspan +p6450 +tp6451 +a(g892 +g980 +tp6452 +a(g654 +Vclass +p6453 +tp6454 +a(g400 +g1003 +tp6455 +a(g76 +V"op" +p6456 +tp6457 +a(g7 +g960 +tp6458 +a(g892 +V== +p6459 +tp6460 +a(g7 +g956 +tp6461 +a(g7 +g1024 +tp6462 +a(g545 +Vspan +p6463 +tp6464 +a(g7 +g960 +tp6465 +a(g892 +g980 +tp6466 +a(g7 +g956 +tp6467 +a(g545 +Vspan +p6468 +tp6469 +a(g892 +g980 +tp6470 +a(g654 +Vclass +p6471 +tp6472 +a(g400 +g1003 +tp6473 +a(g76 +V"name" +p6474 +tp6475 +a(g7 +g960 +tp6476 +a(g892 +Vprivilege +p6477 +tp6478 +a(g7 +g956 +tp6479 +a(g7 +g1024 +tp6480 +a(g545 +Vspan +p6481 +tp6482 +a(g7 +g960 +tp6483 +a(g892 +V)\u000a ))\u000a +p6484 +tp6485 +a(g7 +g956 +tp6486 +a(g545 +Vspan +p6487 +tp6488 +a(g892 +g980 +tp6489 +a(g654 +Vclass +p6490 +tp6491 +a(g400 +g1003 +tp6492 +a(g76 +V"name" +p6493 +tp6494 +a(g7 +g960 +tp6495 +a(g892 +Vrow +p6496 +tp6497 +a(g7 +g956 +tp6498 +a(g7 +g1024 +tp6499 +a(g545 +Vspan +p6500 +tp6501 +a(g7 +g960 +tp6502 +a(g892 +g980 +tp6503 +a(g7 +g956 +tp6504 +a(g545 +Vspan +p6505 +tp6506 +a(g892 +g980 +tp6507 +a(g654 +Vclass +p6508 +tp6509 +a(g400 +g1003 +tp6510 +a(g76 +V"op" +p6511 +tp6512 +a(g7 +g960 +tp6513 +a(g892 +g1003 +tp6514 +a(g7 +g956 +tp6515 +a(g7 +g1024 +tp6516 +a(g545 +Vspan +p6517 +tp6518 +a(g7 +g960 +tp6519 +a(g892 +g980 +tp6520 +a(g7 +g956 +tp6521 +a(g545 +Vspan +p6522 +tp6523 +a(g892 +g980 +tp6524 +a(g654 +Vclass +p6525 +tp6526 +a(g400 +g1003 +tp6527 +a(g76 +V"name" +p6528 +tp6529 +a(g7 +g960 +tp6530 +a(g892 +g5848 +tp6531 +a(g7 +g956 +tp6532 +a(g7 +g1024 +tp6533 +a(g545 +Vspan +p6534 +tp6535 +a(g7 +g960 +tp6536 +a(g892 +g1008 +tp6537 +a(g7 +g956 +tp6538 +a(g545 +Vspan +p6539 +tp6540 +a(g892 +g980 +tp6541 +a(g654 +Vclass +p6542 +tp6543 +a(g400 +g1003 +tp6544 +a(g76 +V"name" +p6545 +tp6546 +a(g7 +g960 +tp6547 +a(g892 +Vfetchone +p6548 +tp6549 +a(g7 +g956 +tp6550 +a(g7 +g1024 +tp6551 +a(g545 +Vspan +p6552 +tp6553 +a(g7 +g960 +tp6554 +a(g892 +V()\u000a +p6555 +tp6556 +a(g7 +g956 +tp6557 +a(g545 +Vspan +p6558 +tp6559 +a(g892 +g980 +tp6560 +a(g654 +Vclass +p6561 +tp6562 +a(g400 +g1003 +tp6563 +a(g76 +V"kw" +p6564 +tp6565 +a(g7 +g960 +tp6566 +a(g892 +Vif +p6567 +tp6568 +a(g7 +g956 +tp6569 +a(g7 +g1024 +tp6570 +a(g545 +Vspan +p6571 +tp6572 +a(g7 +g960 +tp6573 +a(g892 +g980 +tp6574 +a(g7 +g956 +tp6575 +a(g545 +Vspan +p6576 +tp6577 +a(g892 +g980 +tp6578 +a(g654 +Vclass +p6579 +tp6580 +a(g400 +g1003 +tp6581 +a(g76 +V"name" +p6582 +tp6583 +a(g7 +g960 +tp6584 +a(g892 +Vrow +p6585 +tp6586 +a(g7 +g956 +tp6587 +a(g7 +g1024 +tp6588 +a(g545 +Vspan +p6589 +tp6590 +a(g7 +g960 +tp6591 +a(g892 +g980 +tp6592 +a(g7 +g956 +tp6593 +a(g545 +Vspan +p6594 +tp6595 +a(g892 +g980 +tp6596 +a(g654 +Vclass +p6597 +tp6598 +a(g400 +g1003 +tp6599 +a(g76 +V"op op-word" +p6600 +tp6601 +a(g7 +g960 +tp6602 +a(g892 +Vis +p6603 +tp6604 +a(g7 +g956 +tp6605 +a(g7 +g1024 +tp6606 +a(g545 +Vspan +p6607 +tp6608 +a(g7 +g960 +tp6609 +a(g892 +g980 +tp6610 +a(g7 +g956 +tp6611 +a(g545 +Vspan +p6612 +tp6613 +a(g892 +g980 +tp6614 +a(g654 +Vclass +p6615 +tp6616 +a(g400 +g1003 +tp6617 +a(g76 +V"op op-word" +p6618 +tp6619 +a(g7 +g960 +tp6620 +a(g892 +Vnot +p6621 +tp6622 +a(g7 +g956 +tp6623 +a(g7 +g1024 +tp6624 +a(g545 +Vspan +p6625 +tp6626 +a(g7 +g960 +tp6627 +a(g892 +g980 +tp6628 +a(g7 +g956 +tp6629 +a(g545 +Vspan +p6630 +tp6631 +a(g892 +g980 +tp6632 +a(g654 +Vclass +p6633 +tp6634 +a(g400 +g1003 +tp6635 +a(g76 +V"bn bn-pseudo" +p6636 +tp6637 +a(g7 +g960 +tp6638 +a(g892 +VNone +p6639 +tp6640 +a(g7 +g956 +tp6641 +a(g7 +g1024 +tp6642 +a(g545 +Vspan +p6643 +tp6644 +a(g7 +g960 +tp6645 +a(g892 +V:\u000a +p6646 +tp6647 +a(g7 +g956 +tp6648 +a(g545 +Vspan +p6649 +tp6650 +a(g892 +g980 +tp6651 +a(g654 +Vclass +p6652 +tp6653 +a(g400 +g1003 +tp6654 +a(g76 +V"kw" +p6655 +tp6656 +a(g7 +g960 +tp6657 +a(g892 +Vif +p6658 +tp6659 +a(g7 +g956 +tp6660 +a(g7 +g1024 +tp6661 +a(g545 +Vspan +p6662 +tp6663 +a(g7 +g960 +tp6664 +a(g892 +g980 +tp6665 +a(g7 +g956 +tp6666 +a(g545 +Vspan +p6667 +tp6668 +a(g892 +g980 +tp6669 +a(g654 +Vclass +p6670 +tp6671 +a(g400 +g1003 +tp6672 +a(g76 +V"name" +p6673 +tp6674 +a(g7 +g960 +tp6675 +a(g892 +Vrow +p6676 +tp6677 +a(g7 +g956 +tp6678 +a(g7 +g1024 +tp6679 +a(g545 +Vspan +p6680 +tp6681 +a(g7 +g960 +tp6682 +a(g892 +V[ +p6683 +tp6684 +a(g7 +g956 +tp6685 +a(g545 +Vspan +p6686 +tp6687 +a(g892 +g980 +tp6688 +a(g654 +Vclass +p6689 +tp6690 +a(g400 +g1003 +tp6691 +a(g76 +V"st st-sg" +p6692 +tp6693 +a(g7 +g960 +tp6694 +a(g491 +V' +p6695 +tp6696 +a(g7 +g956 +tp6697 +a(g7 +g1024 +tp6698 +a(g545 +Vspan +p6699 +tp6700 +a(g7 +g960 +tp6701 +a(g7 +g956 +tp6702 +a(g545 +Vspan +p6703 +tp6704 +a(g892 +g980 +tp6705 +a(g654 +Vclass +p6706 +tp6707 +a(g400 +g1003 +tp6708 +a(g76 +V"st" +p6709 +tp6710 +a(g7 +g960 +tp6711 +a(g892 +Vstate +p6712 +tp6713 +a(g7 +g956 +tp6714 +a(g7 +g1024 +tp6715 +a(g545 +Vspan +p6716 +tp6717 +a(g7 +g960 +tp6718 +a(g7 +g956 +tp6719 +a(g545 +Vspan +p6720 +tp6721 +a(g892 +g980 +tp6722 +a(g654 +Vclass +p6723 +tp6724 +a(g400 +g1003 +tp6725 +a(g76 +V"st st-sg" +p6726 +tp6727 +a(g7 +g960 +tp6728 +a(g491 +V' +p6729 +tp6730 +a(g7 +g956 +tp6731 +a(g7 +g1024 +tp6732 +a(g545 +Vspan +p6733 +tp6734 +a(g7 +g960 +tp6735 +a(g892 +V] +p6736 +tp6737 +a(g7 +g956 +tp6738 +a(g545 +Vspan +p6739 +tp6740 +a(g892 +g980 +tp6741 +a(g654 +Vclass +p6742 +tp6743 +a(g400 +g1003 +tp6744 +a(g76 +V"op op-word" +p6745 +tp6746 +a(g7 +g960 +tp6747 +a(g892 +Vin +p6748 +tp6749 +a(g7 +g956 +tp6750 +a(g7 +g1024 +tp6751 +a(g545 +Vspan +p6752 +tp6753 +a(g7 +g960 +tp6754 +a(g892 +V ( +p6755 +tp6756 +a(g7 +g956 +tp6757 +a(g545 +Vspan +p6758 +tp6759 +a(g892 +g980 +tp6760 +a(g654 +Vclass +p6761 +tp6762 +a(g400 +g1003 +tp6763 +a(g76 +V"bn bn-pseudo" +p6764 +tp6765 +a(g7 +g960 +tp6766 +a(g892 +Vself +p6767 +tp6768 +a(g7 +g956 +tp6769 +a(g7 +g1024 +tp6770 +a(g545 +Vspan +p6771 +tp6772 +a(g7 +g960 +tp6773 +a(g892 +g1008 +tp6774 +a(g7 +g956 +tp6775 +a(g545 +Vspan +p6776 +tp6777 +a(g892 +g980 +tp6778 +a(g654 +Vclass +p6779 +tp6780 +a(g400 +g1003 +tp6781 +a(g76 +V"name" +p6782 +tp6783 +a(g7 +g960 +tp6784 +a(g892 +VSTRONG_NO +p6785 +tp6786 +a(g7 +g956 +tp6787 +a(g7 +g1024 +tp6788 +a(g545 +Vspan +p6789 +tp6790 +a(g7 +g960 +tp6791 +a(g892 +V, +p6792 +tp6793 +a(g7 +g956 +tp6794 +a(g545 +Vspan +p6795 +tp6796 +a(g892 +g980 +tp6797 +a(g654 +Vclass +p6798 +tp6799 +a(g400 +g1003 +tp6800 +a(g76 +V"bn bn-pseudo" +p6801 +tp6802 +a(g7 +g960 +tp6803 +a(g892 +Vself +p6804 +tp6805 +a(g7 +g956 +tp6806 +a(g7 +g1024 +tp6807 +a(g545 +Vspan +p6808 +tp6809 +a(g7 +g960 +tp6810 +a(g892 +g1008 +tp6811 +a(g7 +g956 +tp6812 +a(g545 +Vspan +p6813 +tp6814 +a(g892 +g980 +tp6815 +a(g654 +Vclass +p6816 +tp6817 +a(g400 +g1003 +tp6818 +a(g76 +V"name" +p6819 +tp6820 +a(g7 +g960 +tp6821 +a(g892 +VSTRONG_YES +p6822 +tp6823 +a(g7 +g956 +tp6824 +a(g7 +g1024 +tp6825 +a(g545 +Vspan +p6826 +tp6827 +a(g7 +g960 +tp6828 +a(g892 +V):\u000a +p6829 +tp6830 +a(g7 +g956 +tp6831 +a(g545 +Vspan +p6832 +tp6833 +a(g892 +g980 +tp6834 +a(g654 +Vclass +p6835 +tp6836 +a(g400 +g1003 +tp6837 +a(g76 +V"kw" +p6838 +tp6839 +a(g7 +g960 +tp6840 +a(g892 +Vreturn +p6841 +tp6842 +a(g7 +g956 +tp6843 +a(g7 +g1024 +tp6844 +a(g545 +Vspan +p6845 +tp6846 +a(g7 +g960 +tp6847 +a(g892 +g980 +tp6848 +a(g7 +g956 +tp6849 +a(g545 +Vspan +p6850 +tp6851 +a(g892 +g980 +tp6852 +a(g654 +Vclass +p6853 +tp6854 +a(g400 +g1003 +tp6855 +a(g76 +V"name" +p6856 +tp6857 +a(g7 +g960 +tp6858 +a(g892 +Vrow +p6859 +tp6860 +a(g7 +g956 +tp6861 +a(g7 +g1024 +tp6862 +a(g545 +Vspan +p6863 +tp6864 +a(g7 +g960 +tp6865 +a(g892 +g6683 +tp6866 +a(g7 +g956 +tp6867 +a(g545 +Vspan +p6868 +tp6869 +a(g892 +g980 +tp6870 +a(g654 +Vclass +p6871 +tp6872 +a(g400 +g1003 +tp6873 +a(g76 +V"st st-sg" +p6874 +tp6875 +a(g7 +g960 +tp6876 +a(g491 +V' +p6877 +tp6878 +a(g7 +g956 +tp6879 +a(g7 +g1024 +tp6880 +a(g545 +Vspan +p6881 +tp6882 +a(g7 +g960 +tp6883 +a(g7 +g956 +tp6884 +a(g545 +Vspan +p6885 +tp6886 +a(g892 +g980 +tp6887 +a(g654 +Vclass +p6888 +tp6889 +a(g400 +g1003 +tp6890 +a(g76 +V"st" +p6891 +tp6892 +a(g7 +g960 +tp6893 +a(g892 +Vstate +p6894 +tp6895 +a(g7 +g956 +tp6896 +a(g7 +g1024 +tp6897 +a(g545 +Vspan +p6898 +tp6899 +a(g7 +g960 +tp6900 +a(g7 +g956 +tp6901 +a(g545 +Vspan +p6902 +tp6903 +a(g892 +g980 +tp6904 +a(g654 +Vclass +p6905 +tp6906 +a(g400 +g1003 +tp6907 +a(g76 +V"st st-sg" +p6908 +tp6909 +a(g7 +g960 +tp6910 +a(g491 +V' +p6911 +tp6912 +a(g7 +g956 +tp6913 +a(g7 +g1024 +tp6914 +a(g545 +Vspan +p6915 +tp6916 +a(g7 +g960 +tp6917 +a(g892 +V] +p6918 +tp6919 +a(g7 +g956 +tp6920 +a(g545 +Vspan +p6921 +tp6922 +a(g892 +g980 +tp6923 +a(g654 +Vclass +p6924 +tp6925 +a(g400 +g1003 +tp6926 +a(g76 +V"op" +p6927 +tp6928 +a(g7 +g960 +tp6929 +a(g892 +V== +p6930 +tp6931 +a(g7 +g956 +tp6932 +a(g7 +g1024 +tp6933 +a(g545 +Vspan +p6934 +tp6935 +a(g7 +g960 +tp6936 +a(g892 +g980 +tp6937 +a(g7 +g956 +tp6938 +a(g545 +Vspan +p6939 +tp6940 +a(g892 +g980 +tp6941 +a(g654 +Vclass +p6942 +tp6943 +a(g400 +g1003 +tp6944 +a(g76 +V"bn bn-pseudo" +p6945 +tp6946 +a(g7 +g960 +tp6947 +a(g892 +Vself +p6948 +tp6949 +a(g7 +g956 +tp6950 +a(g7 +g1024 +tp6951 +a(g545 +Vspan +p6952 +tp6953 +a(g7 +g960 +tp6954 +a(g892 +g1008 +tp6955 +a(g7 +g956 +tp6956 +a(g545 +Vspan +p6957 +tp6958 +a(g892 +g980 +tp6959 +a(g654 +Vclass +p6960 +tp6961 +a(g400 +g1003 +tp6962 +a(g76 +V"name" +p6963 +tp6964 +a(g7 +g960 +tp6965 +a(g892 +VSTRONG_YES +p6966 +tp6967 +a(g7 +g956 +tp6968 +a(g7 +g1024 +tp6969 +a(g545 +Vspan +p6970 +tp6971 +a(g7 +g960 +tp6972 +a(g892 +V\u000a\u000a +p6973 +tp6974 +a(g7 +g956 +tp6975 +a(g545 +Vspan +p6976 +tp6977 +a(g892 +g980 +tp6978 +a(g654 +Vclass +p6979 +tp6980 +a(g400 +g1003 +tp6981 +a(g76 +V"name" +p6982 +tp6983 +a(g7 +g960 +tp6984 +a(g892 +Vtendency +p6985 +tp6986 +a(g7 +g956 +tp6987 +a(g7 +g1024 +tp6988 +a(g545 +Vspan +p6989 +tp6990 +a(g7 +g960 +tp6991 +a(g892 +g980 +tp6992 +a(g7 +g956 +tp6993 +a(g545 +Vspan +p6994 +tp6995 +a(g892 +g980 +tp6996 +a(g654 +Vclass +p6997 +tp6998 +a(g400 +g1003 +tp6999 +a(g76 +V"op" +p7000 +tp7001 +a(g7 +g960 +tp7002 +a(g892 +g1003 +tp7003 +a(g7 +g956 +tp7004 +a(g7 +g1024 +tp7005 +a(g545 +Vspan +p7006 +tp7007 +a(g7 +g960 +tp7008 +a(g892 +g980 +tp7009 +a(g7 +g956 +tp7010 +a(g545 +Vspan +p7011 +tp7012 +a(g892 +g980 +tp7013 +a(g654 +Vclass +p7014 +tp7015 +a(g400 +g1003 +tp7016 +a(g76 +V"name" +p7017 +tp7018 +a(g7 +g960 +tp7019 +a(g892 +Vrow +p7020 +tp7021 +a(g7 +g956 +tp7022 +a(g7 +g1024 +tp7023 +a(g545 +Vspan +p7024 +tp7025 +a(g7 +g960 +tp7026 +a(g892 +g6683 +tp7027 +a(g7 +g956 +tp7028 +a(g545 +Vspan +p7029 +tp7030 +a(g892 +g980 +tp7031 +a(g654 +Vclass +p7032 +tp7033 +a(g400 +g1003 +tp7034 +a(g76 +V"st st-sg" +p7035 +tp7036 +a(g7 +g960 +tp7037 +a(g491 +V' +p7038 +tp7039 +a(g7 +g956 +tp7040 +a(g7 +g1024 +tp7041 +a(g545 +Vspan +p7042 +tp7043 +a(g7 +g960 +tp7044 +a(g7 +g956 +tp7045 +a(g545 +Vspan +p7046 +tp7047 +a(g892 +g980 +tp7048 +a(g654 +Vclass +p7049 +tp7050 +a(g400 +g1003 +tp7051 +a(g76 +V"st" +p7052 +tp7053 +a(g7 +g960 +tp7054 +a(g892 +Vstate +p7055 +tp7056 +a(g7 +g956 +tp7057 +a(g7 +g1024 +tp7058 +a(g545 +Vspan +p7059 +tp7060 +a(g7 +g960 +tp7061 +a(g7 +g956 +tp7062 +a(g545 +Vspan +p7063 +tp7064 +a(g892 +g980 +tp7065 +a(g654 +Vclass +p7066 +tp7067 +a(g400 +g1003 +tp7068 +a(g76 +V"st st-sg" +p7069 +tp7070 +a(g7 +g960 +tp7071 +a(g491 +V' +p7072 +tp7073 +a(g7 +g956 +tp7074 +a(g7 +g1024 +tp7075 +a(g545 +Vspan +p7076 +tp7077 +a(g7 +g960 +tp7078 +a(g892 +V]\u000a\u000a +p7079 +tp7080 +a(g7 +g956 +tp7081 +a(g545 +Vspan +p7082 +tp7083 +a(g892 +g980 +tp7084 +a(g654 +Vclass +p7085 +tp7086 +a(g400 +g1003 +tp7087 +a(g76 +V"cm" +p7088 +tp7089 +a(g7 +g960 +tp7090 +a(g892 +V# if the controlled subject is a user check all groups +p7091 +tp7092 +a(g7 +g956 +tp7093 +a(g7 +g1024 +tp7094 +a(g545 +Vspan +p7095 +tp7096 +a(g7 +g960 +tp7097 +a(g892 +V\u000a +p7098 +tp7099 +a(g7 +g956 +tp7100 +a(g545 +Vspan +p7101 +tp7102 +a(g892 +g980 +tp7103 +a(g654 +Vclass +p7104 +tp7105 +a(g400 +g1003 +tp7106 +a(g76 +V"kw" +p7107 +tp7108 +a(g7 +g960 +tp7109 +a(g892 +Vif +p7110 +tp7111 +a(g7 +g956 +tp7112 +a(g7 +g1024 +tp7113 +a(g545 +Vspan +p7114 +tp7115 +a(g7 +g960 +tp7116 +a(g892 +g980 +tp7117 +a(g7 +g956 +tp7118 +a(g545 +Vspan +p7119 +tp7120 +a(g892 +g980 +tp7121 +a(g654 +Vclass +p7122 +tp7123 +a(g400 +g1003 +tp7124 +a(g76 +V"bn" +p7125 +tp7126 +a(g7 +g960 +tp7127 +a(g892 +Visinstance +p7128 +tp7129 +a(g7 +g956 +tp7130 +a(g7 +g1024 +tp7131 +a(g545 +Vspan +p7132 +tp7133 +a(g7 +g960 +tp7134 +a(g892 +g984 +tp7135 +a(g7 +g956 +tp7136 +a(g545 +Vspan +p7137 +tp7138 +a(g892 +g980 +tp7139 +a(g654 +Vclass +p7140 +tp7141 +a(g400 +g1003 +tp7142 +a(g76 +V"bn bn-pseudo" +p7143 +tp7144 +a(g7 +g960 +tp7145 +a(g892 +Vself +p7146 +tp7147 +a(g7 +g956 +tp7148 +a(g7 +g1024 +tp7149 +a(g545 +Vspan +p7150 +tp7151 +a(g7 +g960 +tp7152 +a(g892 +g1008 +tp7153 +a(g7 +g956 +tp7154 +a(g545 +Vspan +p7155 +tp7156 +a(g892 +g980 +tp7157 +a(g654 +Vclass +p7158 +tp7159 +a(g400 +g1003 +tp7160 +a(g76 +V"name" +p7161 +tp7162 +a(g7 +g960 +tp7163 +a(g892 +Vsubject +p7164 +tp7165 +a(g7 +g956 +tp7166 +a(g7 +g1024 +tp7167 +a(g545 +Vspan +p7168 +tp7169 +a(g7 +g960 +tp7170 +a(g892 +V, +p7171 +tp7172 +a(g7 +g956 +tp7173 +a(g545 +Vspan +p7174 +tp7175 +a(g892 +g980 +tp7176 +a(g654 +Vclass +p7177 +tp7178 +a(g400 +g1003 +tp7179 +a(g76 +V"name" +p7180 +tp7181 +a(g7 +g960 +tp7182 +a(g892 +VUser +p7183 +tp7184 +a(g7 +g956 +tp7185 +a(g7 +g1024 +tp7186 +a(g545 +Vspan +p7187 +tp7188 +a(g7 +g960 +tp7189 +a(g892 +V):\u000a +p7190 +tp7191 +a(g7 +g956 +tp7192 +a(g545 +Vspan +p7193 +tp7194 +a(g892 +g980 +tp7195 +a(g654 +Vclass +p7196 +tp7197 +a(g400 +g1003 +tp7198 +a(g76 +V"name" +p7199 +tp7200 +a(g7 +g960 +tp7201 +a(g892 +g5848 +tp7202 +a(g7 +g956 +tp7203 +a(g7 +g1024 +tp7204 +a(g545 +Vspan +p7205 +tp7206 +a(g7 +g960 +tp7207 +a(g892 +g980 +tp7208 +a(g7 +g956 +tp7209 +a(g545 +Vspan +p7210 +tp7211 +a(g892 +g980 +tp7212 +a(g654 +Vclass +p7213 +tp7214 +a(g400 +g1003 +tp7215 +a(g76 +V"op" +p7216 +tp7217 +a(g7 +g960 +tp7218 +a(g892 +g1003 +tp7219 +a(g7 +g956 +tp7220 +a(g7 +g1024 +tp7221 +a(g545 +Vspan +p7222 +tp7223 +a(g7 +g960 +tp7224 +a(g892 +g980 +tp7225 +a(g7 +g956 +tp7226 +a(g545 +Vspan +p7227 +tp7228 +a(g892 +g980 +tp7229 +a(g654 +Vclass +p7230 +tp7231 +a(g400 +g1003 +tp7232 +a(g76 +V"name" +p7233 +tp7234 +a(g7 +g960 +tp7235 +a(g892 +Vdb +p7236 +tp7237 +a(g7 +g956 +tp7238 +a(g7 +g1024 +tp7239 +a(g545 +Vspan +p7240 +tp7241 +a(g7 +g960 +tp7242 +a(g892 +g1008 +tp7243 +a(g7 +g956 +tp7244 +a(g545 +Vspan +p7245 +tp7246 +a(g892 +g980 +tp7247 +a(g654 +Vclass +p7248 +tp7249 +a(g400 +g1003 +tp7250 +a(g76 +V"name" +p7251 +tp7252 +a(g7 +g960 +tp7253 +a(g892 +Vexecute +p7254 +tp7255 +a(g7 +g956 +tp7256 +a(g7 +g1024 +tp7257 +a(g545 +Vspan +p7258 +tp7259 +a(g7 +g960 +tp7260 +a(g892 +g984 +tp7261 +a(g7 +g956 +tp7262 +a(g545 +Vspan +p7263 +tp7264 +a(g892 +g980 +tp7265 +a(g654 +Vclass +p7266 +tp7267 +a(g400 +g1003 +tp7268 +a(g76 +V"name" +p7269 +tp7270 +a(g7 +g960 +tp7271 +a(g892 +Vmeta +p7272 +tp7273 +a(g7 +g956 +tp7274 +a(g7 +g1024 +tp7275 +a(g545 +Vspan +p7276 +tp7277 +a(g7 +g960 +tp7278 +a(g892 +g1008 +tp7279 +a(g7 +g956 +tp7280 +a(g545 +Vspan +p7281 +tp7282 +a(g892 +g980 +tp7283 +a(g654 +Vclass +p7284 +tp7285 +a(g400 +g1003 +tp7286 +a(g76 +V"name" +p7287 +tp7288 +a(g7 +g960 +tp7289 +a(g892 +Vselect +p7290 +tp7291 +a(g7 +g956 +tp7292 +a(g7 +g1024 +tp7293 +a(g545 +Vspan +p7294 +tp7295 +a(g7 +g960 +tp7296 +a(g892 +V([ +p7297 +tp7298 +a(g7 +g956 +tp7299 +a(g545 +Vspan +p7300 +tp7301 +a(g892 +g980 +tp7302 +a(g654 +Vclass +p7303 +tp7304 +a(g400 +g1003 +tp7305 +a(g76 +V"name" +p7306 +tp7307 +a(g7 +g960 +tp7308 +a(g892 +Vacl_mapping +p7309 +tp7310 +a(g7 +g956 +tp7311 +a(g7 +g1024 +tp7312 +a(g545 +Vspan +p7313 +tp7314 +a(g7 +g960 +tp7315 +a(g892 +g1008 +tp7316 +a(g7 +g956 +tp7317 +a(g545 +Vspan +p7318 +tp7319 +a(g892 +g980 +tp7320 +a(g654 +Vclass +p7321 +tp7322 +a(g400 +g1003 +tp7323 +a(g76 +V"name" +p7324 +tp7325 +a(g7 +g960 +tp7326 +a(g892 +g5469 +tp7327 +a(g7 +g956 +tp7328 +a(g7 +g1024 +tp7329 +a(g545 +Vspan +p7330 +tp7331 +a(g7 +g960 +tp7332 +a(g892 +g1008 +tp7333 +a(g7 +g956 +tp7334 +a(g545 +Vspan +p7335 +tp7336 +a(g892 +g980 +tp7337 +a(g654 +Vclass +p7338 +tp7339 +a(g400 +g1003 +tp7340 +a(g76 +V"name" +p7341 +tp7342 +a(g7 +g960 +tp7343 +a(g892 +Vstate +p7344 +tp7345 +a(g7 +g956 +tp7346 +a(g7 +g1024 +tp7347 +a(g545 +Vspan +p7348 +tp7349 +a(g7 +g960 +tp7350 +a(g892 +V],\u000a ( +p7351 +tp7352 +a(g7 +g956 +tp7353 +a(g545 +Vspan +p7354 +tp7355 +a(g892 +g980 +tp7356 +a(g654 +Vclass +p7357 +tp7358 +a(g400 +g1003 +tp7359 +a(g76 +V"name" +p7360 +tp7361 +a(g7 +g960 +tp7362 +a(g892 +Vacl_mapping +p7363 +tp7364 +a(g7 +g956 +tp7365 +a(g7 +g1024 +tp7366 +a(g545 +Vspan +p7367 +tp7368 +a(g7 +g960 +tp7369 +a(g892 +g1008 +tp7370 +a(g7 +g956 +tp7371 +a(g545 +Vspan +p7372 +tp7373 +a(g892 +g980 +tp7374 +a(g654 +Vclass +p7375 +tp7376 +a(g400 +g1003 +tp7377 +a(g76 +V"name" +p7378 +tp7379 +a(g7 +g960 +tp7380 +a(g892 +g5469 +tp7381 +a(g7 +g956 +tp7382 +a(g7 +g1024 +tp7383 +a(g545 +Vspan +p7384 +tp7385 +a(g7 +g960 +tp7386 +a(g892 +g1008 +tp7387 +a(g7 +g956 +tp7388 +a(g545 +Vspan +p7389 +tp7390 +a(g892 +g980 +tp7391 +a(g654 +Vclass +p7392 +tp7393 +a(g400 +g1003 +tp7394 +a(g76 +V"name" +p7395 +tp7396 +a(g7 +g960 +tp7397 +a(g892 +Vobject_id +p7398 +tp7399 +a(g7 +g956 +tp7400 +a(g7 +g1024 +tp7401 +a(g545 +Vspan +p7402 +tp7403 +a(g7 +g960 +tp7404 +a(g892 +g980 +tp7405 +a(g7 +g956 +tp7406 +a(g545 +Vspan +p7407 +tp7408 +a(g892 +g980 +tp7409 +a(g654 +Vclass +p7410 +tp7411 +a(g400 +g1003 +tp7412 +a(g76 +V"op" +p7413 +tp7414 +a(g7 +g960 +tp7415 +a(g892 +V== +p7416 +tp7417 +a(g7 +g956 +tp7418 +a(g7 +g1024 +tp7419 +a(g545 +Vspan +p7420 +tp7421 +a(g7 +g960 +tp7422 +a(g892 +g980 +tp7423 +a(g7 +g956 +tp7424 +a(g545 +Vspan +p7425 +tp7426 +a(g892 +g980 +tp7427 +a(g654 +Vclass +p7428 +tp7429 +a(g400 +g1003 +tp7430 +a(g76 +V"name" +p7431 +tp7432 +a(g7 +g960 +tp7433 +a(g892 +g5651 +tp7434 +a(g7 +g956 +tp7435 +a(g7 +g1024 +tp7436 +a(g545 +Vspan +p7437 +tp7438 +a(g7 +g960 +tp7439 +a(g892 +g1008 +tp7440 +a(g7 +g956 +tp7441 +a(g545 +Vspan +p7442 +tp7443 +a(g892 +g980 +tp7444 +a(g654 +Vclass +p7445 +tp7446 +a(g400 +g1003 +tp7447 +a(g76 +V"name" +p7448 +tp7449 +a(g7 +g960 +tp7450 +a(g892 +Vobject_id +p7451 +tp7452 +a(g7 +g956 +tp7453 +a(g7 +g1024 +tp7454 +a(g545 +Vspan +p7455 +tp7456 +a(g7 +g960 +tp7457 +a(g892 +V) +p7458 +tp7459 +a(g7 +g956 +tp7460 +a(g545 +Vspan +p7461 +tp7462 +a(g892 +g980 +tp7463 +a(g654 +Vclass +p7464 +tp7465 +a(g400 +g1003 +tp7466 +a(g76 +V"op" +p7467 +tp7468 +a(g7 +g960 +tp7469 +a(g491 +V& +p7470 +tp7471 +a(g7 +g956 +tp7472 +a(g7 +g1024 +tp7473 +a(g545 +Vspan +p7474 +tp7475 +a(g7 +g960 +tp7476 +a(g892 +V\u000a\u000a ( +p7477 +tp7478 +a(g7 +g956 +tp7479 +a(g545 +Vspan +p7480 +tp7481 +a(g892 +g980 +tp7482 +a(g654 +Vclass +p7483 +tp7484 +a(g400 +g1003 +tp7485 +a(g76 +V"name" +p7486 +tp7487 +a(g7 +g960 +tp7488 +a(g892 +Vacl_mapping +p7489 +tp7490 +a(g7 +g956 +tp7491 +a(g7 +g1024 +tp7492 +a(g545 +Vspan +p7493 +tp7494 +a(g7 +g960 +tp7495 +a(g892 +g1008 +tp7496 +a(g7 +g956 +tp7497 +a(g545 +Vspan +p7498 +tp7499 +a(g892 +g980 +tp7500 +a(g654 +Vclass +p7501 +tp7502 +a(g400 +g1003 +tp7503 +a(g76 +V"name" +p7504 +tp7505 +a(g7 +g960 +tp7506 +a(g892 +g5469 +tp7507 +a(g7 +g956 +tp7508 +a(g7 +g1024 +tp7509 +a(g545 +Vspan +p7510 +tp7511 +a(g7 +g960 +tp7512 +a(g892 +g1008 +tp7513 +a(g7 +g956 +tp7514 +a(g545 +Vspan +p7515 +tp7516 +a(g892 +g980 +tp7517 +a(g654 +Vclass +p7518 +tp7519 +a(g400 +g1003 +tp7520 +a(g76 +V"name" +p7521 +tp7522 +a(g7 +g960 +tp7523 +a(g892 +Vsubject_id +p7524 +tp7525 +a(g7 +g956 +tp7526 +a(g7 +g1024 +tp7527 +a(g545 +Vspan +p7528 +tp7529 +a(g7 +g960 +tp7530 +a(g892 +g980 +tp7531 +a(g7 +g956 +tp7532 +a(g545 +Vspan +p7533 +tp7534 +a(g892 +g980 +tp7535 +a(g654 +Vclass +p7536 +tp7537 +a(g400 +g1003 +tp7538 +a(g76 +V"op" +p7539 +tp7540 +a(g7 +g960 +tp7541 +a(g892 +V== +p7542 +tp7543 +a(g7 +g956 +tp7544 +a(g7 +g1024 +tp7545 +a(g545 +Vspan +p7546 +tp7547 +a(g7 +g960 +tp7548 +a(g892 +g980 +tp7549 +a(g7 +g956 +tp7550 +a(g545 +Vspan +p7551 +tp7552 +a(g892 +g980 +tp7553 +a(g654 +Vclass +p7554 +tp7555 +a(g400 +g1003 +tp7556 +a(g76 +V"name" +p7557 +tp7558 +a(g7 +g960 +tp7559 +a(g892 +Vgroups +p7560 +tp7561 +a(g7 +g956 +tp7562 +a(g7 +g1024 +tp7563 +a(g545 +Vspan +p7564 +tp7565 +a(g7 +g960 +tp7566 +a(g892 +g1008 +tp7567 +a(g7 +g956 +tp7568 +a(g545 +Vspan +p7569 +tp7570 +a(g892 +g980 +tp7571 +a(g654 +Vclass +p7572 +tp7573 +a(g400 +g1003 +tp7574 +a(g76 +V"name" +p7575 +tp7576 +a(g7 +g960 +tp7577 +a(g892 +g5469 +tp7578 +a(g7 +g956 +tp7579 +a(g7 +g1024 +tp7580 +a(g545 +Vspan +p7581 +tp7582 +a(g7 +g960 +tp7583 +a(g892 +g1008 +tp7584 +a(g7 +g956 +tp7585 +a(g545 +Vspan +p7586 +tp7587 +a(g892 +g980 +tp7588 +a(g654 +Vclass +p7589 +tp7590 +a(g400 +g1003 +tp7591 +a(g76 +V"name" +p7592 +tp7593 +a(g7 +g960 +tp7594 +a(g892 +Vsubject_id +p7595 +tp7596 +a(g7 +g956 +tp7597 +a(g7 +g1024 +tp7598 +a(g545 +Vspan +p7599 +tp7600 +a(g7 +g960 +tp7601 +a(g892 +V) +p7602 +tp7603 +a(g7 +g956 +tp7604 +a(g545 +Vspan +p7605 +tp7606 +a(g892 +g980 +tp7607 +a(g654 +Vclass +p7608 +tp7609 +a(g400 +g1003 +tp7610 +a(g76 +V"op" +p7611 +tp7612 +a(g7 +g960 +tp7613 +a(g491 +V& +p7614 +tp7615 +a(g7 +g956 +tp7616 +a(g7 +g1024 +tp7617 +a(g545 +Vspan +p7618 +tp7619 +a(g7 +g960 +tp7620 +a(g892 +V\u000a\u000a ( +p7621 +tp7622 +a(g7 +g956 +tp7623 +a(g545 +Vspan +p7624 +tp7625 +a(g892 +g980 +tp7626 +a(g654 +Vclass +p7627 +tp7628 +a(g400 +g1003 +tp7629 +a(g76 +V"name" +p7630 +tp7631 +a(g7 +g960 +tp7632 +a(g892 +Vgroups +p7633 +tp7634 +a(g7 +g956 +tp7635 +a(g7 +g1024 +tp7636 +a(g545 +Vspan +p7637 +tp7638 +a(g7 +g960 +tp7639 +a(g892 +g1008 +tp7640 +a(g7 +g956 +tp7641 +a(g545 +Vspan +p7642 +tp7643 +a(g892 +g980 +tp7644 +a(g654 +Vclass +p7645 +tp7646 +a(g400 +g1003 +tp7647 +a(g76 +V"name" +p7648 +tp7649 +a(g7 +g960 +tp7650 +a(g892 +g5469 +tp7651 +a(g7 +g956 +tp7652 +a(g7 +g1024 +tp7653 +a(g545 +Vspan +p7654 +tp7655 +a(g7 +g960 +tp7656 +a(g892 +g1008 +tp7657 +a(g7 +g956 +tp7658 +a(g545 +Vspan +p7659 +tp7660 +a(g892 +g980 +tp7661 +a(g654 +Vclass +p7662 +tp7663 +a(g400 +g1003 +tp7664 +a(g76 +V"name" +p7665 +tp7666 +a(g7 +g960 +tp7667 +a(g892 +Vgroup_id +p7668 +tp7669 +a(g7 +g956 +tp7670 +a(g7 +g1024 +tp7671 +a(g545 +Vspan +p7672 +tp7673 +a(g7 +g960 +tp7674 +a(g892 +g980 +tp7675 +a(g7 +g956 +tp7676 +a(g545 +Vspan +p7677 +tp7678 +a(g892 +g980 +tp7679 +a(g654 +Vclass +p7680 +tp7681 +a(g400 +g1003 +tp7682 +a(g76 +V"op" +p7683 +tp7684 +a(g7 +g960 +tp7685 +a(g892 +V== +p7686 +tp7687 +a(g7 +g956 +tp7688 +a(g7 +g1024 +tp7689 +a(g545 +Vspan +p7690 +tp7691 +a(g7 +g960 +tp7692 +a(g892 +g980 +tp7693 +a(g7 +g956 +tp7694 +a(g545 +Vspan +p7695 +tp7696 +a(g892 +g980 +tp7697 +a(g654 +Vclass +p7698 +tp7699 +a(g400 +g1003 +tp7700 +a(g76 +V"name" +p7701 +tp7702 +a(g7 +g960 +tp7703 +a(g892 +Vgroup_members +p7704 +tp7705 +a(g7 +g956 +tp7706 +a(g7 +g1024 +tp7707 +a(g545 +Vspan +p7708 +tp7709 +a(g7 +g960 +tp7710 +a(g892 +g1008 +tp7711 +a(g7 +g956 +tp7712 +a(g545 +Vspan +p7713 +tp7714 +a(g892 +g980 +tp7715 +a(g654 +Vclass +p7716 +tp7717 +a(g400 +g1003 +tp7718 +a(g76 +V"name" +p7719 +tp7720 +a(g7 +g960 +tp7721 +a(g892 +g5469 +tp7722 +a(g7 +g956 +tp7723 +a(g7 +g1024 +tp7724 +a(g545 +Vspan +p7725 +tp7726 +a(g7 +g960 +tp7727 +a(g892 +g1008 +tp7728 +a(g7 +g956 +tp7729 +a(g545 +Vspan +p7730 +tp7731 +a(g892 +g980 +tp7732 +a(g654 +Vclass +p7733 +tp7734 +a(g400 +g1003 +tp7735 +a(g76 +V"name" +p7736 +tp7737 +a(g7 +g960 +tp7738 +a(g892 +Vgroup_id +p7739 +tp7740 +a(g7 +g956 +tp7741 +a(g7 +g1024 +tp7742 +a(g545 +Vspan +p7743 +tp7744 +a(g7 +g960 +tp7745 +a(g892 +V) +p7746 +tp7747 +a(g7 +g956 +tp7748 +a(g545 +Vspan +p7749 +tp7750 +a(g892 +g980 +tp7751 +a(g654 +Vclass +p7752 +tp7753 +a(g400 +g1003 +tp7754 +a(g76 +V"op" +p7755 +tp7756 +a(g7 +g960 +tp7757 +a(g491 +V& +p7758 +tp7759 +a(g7 +g956 +tp7760 +a(g7 +g1024 +tp7761 +a(g545 +Vspan +p7762 +tp7763 +a(g7 +g960 +tp7764 +a(g892 +V\u000a\u000a ( +p7765 +tp7766 +a(g7 +g956 +tp7767 +a(g545 +Vspan +p7768 +tp7769 +a(g892 +g980 +tp7770 +a(g654 +Vclass +p7771 +tp7772 +a(g400 +g1003 +tp7773 +a(g76 +V"name" +p7774 +tp7775 +a(g7 +g960 +tp7776 +a(g892 +Vgroup_members +p7777 +tp7778 +a(g7 +g956 +tp7779 +a(g7 +g1024 +tp7780 +a(g545 +Vspan +p7781 +tp7782 +a(g7 +g960 +tp7783 +a(g892 +g1008 +tp7784 +a(g7 +g956 +tp7785 +a(g545 +Vspan +p7786 +tp7787 +a(g892 +g980 +tp7788 +a(g654 +Vclass +p7789 +tp7790 +a(g400 +g1003 +tp7791 +a(g76 +V"name" +p7792 +tp7793 +a(g7 +g960 +tp7794 +a(g892 +g5469 +tp7795 +a(g7 +g956 +tp7796 +a(g7 +g1024 +tp7797 +a(g545 +Vspan +p7798 +tp7799 +a(g7 +g960 +tp7800 +a(g892 +g1008 +tp7801 +a(g7 +g956 +tp7802 +a(g545 +Vspan +p7803 +tp7804 +a(g892 +g980 +tp7805 +a(g654 +Vclass +p7806 +tp7807 +a(g400 +g1003 +tp7808 +a(g76 +V"name" +p7809 +tp7810 +a(g7 +g960 +tp7811 +a(g892 +Vuser_id +p7812 +tp7813 +a(g7 +g956 +tp7814 +a(g7 +g1024 +tp7815 +a(g545 +Vspan +p7816 +tp7817 +a(g7 +g960 +tp7818 +a(g892 +g980 +tp7819 +a(g7 +g956 +tp7820 +a(g545 +Vspan +p7821 +tp7822 +a(g892 +g980 +tp7823 +a(g654 +Vclass +p7824 +tp7825 +a(g400 +g1003 +tp7826 +a(g76 +V"op" +p7827 +tp7828 +a(g7 +g960 +tp7829 +a(g892 +V== +p7830 +tp7831 +a(g7 +g956 +tp7832 +a(g7 +g1024 +tp7833 +a(g545 +Vspan +p7834 +tp7835 +a(g7 +g960 +tp7836 +a(g892 +g980 +tp7837 +a(g7 +g956 +tp7838 +a(g545 +Vspan +p7839 +tp7840 +a(g892 +g980 +tp7841 +a(g654 +Vclass +p7842 +tp7843 +a(g400 +g1003 +tp7844 +a(g76 +V"bn bn-pseudo" +p7845 +tp7846 +a(g7 +g960 +tp7847 +a(g892 +Vself +p7848 +tp7849 +a(g7 +g956 +tp7850 +a(g7 +g1024 +tp7851 +a(g545 +Vspan +p7852 +tp7853 +a(g7 +g960 +tp7854 +a(g892 +g1008 +tp7855 +a(g7 +g956 +tp7856 +a(g545 +Vspan +p7857 +tp7858 +a(g892 +g980 +tp7859 +a(g654 +Vclass +p7860 +tp7861 +a(g400 +g1003 +tp7862 +a(g76 +V"name" +p7863 +tp7864 +a(g7 +g960 +tp7865 +a(g892 +Vsubject +p7866 +tp7867 +a(g7 +g956 +tp7868 +a(g7 +g1024 +tp7869 +a(g545 +Vspan +p7870 +tp7871 +a(g7 +g960 +tp7872 +a(g892 +g1008 +tp7873 +a(g7 +g956 +tp7874 +a(g545 +Vspan +p7875 +tp7876 +a(g892 +g980 +tp7877 +a(g654 +Vclass +p7878 +tp7879 +a(g400 +g1003 +tp7880 +a(g76 +V"name" +p7881 +tp7882 +a(g7 +g960 +tp7883 +a(g892 +Vuser_id +p7884 +tp7885 +a(g7 +g956 +tp7886 +a(g7 +g1024 +tp7887 +a(g545 +Vspan +p7888 +tp7889 +a(g7 +g960 +tp7890 +a(g892 +V)\u000a ))\u000a +p7891 +tp7892 +a(g7 +g956 +tp7893 +a(g545 +Vspan +p7894 +tp7895 +a(g892 +g980 +tp7896 +a(g654 +Vclass +p7897 +tp7898 +a(g400 +g1003 +tp7899 +a(g76 +V"kw" +p7900 +tp7901 +a(g7 +g960 +tp7902 +a(g892 +Vwhile +p7903 +tp7904 +a(g7 +g956 +tp7905 +a(g7 +g1024 +tp7906 +a(g545 +Vspan +p7907 +tp7908 +a(g7 +g960 +tp7909 +a(g892 +g980 +tp7910 +a(g7 +g956 +tp7911 +a(g545 +Vspan +p7912 +tp7913 +a(g892 +g980 +tp7914 +a(g654 +Vclass +p7915 +tp7916 +a(g400 +g1003 +tp7917 +a(g76 +V"bn bn-pseudo" +p7918 +tp7919 +a(g7 +g960 +tp7920 +a(g892 +VTrue +p7921 +tp7922 +a(g7 +g956 +tp7923 +a(g7 +g1024 +tp7924 +a(g545 +Vspan +p7925 +tp7926 +a(g7 +g960 +tp7927 +a(g892 +V:\u000a +p7928 +tp7929 +a(g7 +g956 +tp7930 +a(g545 +Vspan +p7931 +tp7932 +a(g892 +g980 +tp7933 +a(g654 +Vclass +p7934 +tp7935 +a(g400 +g1003 +tp7936 +a(g76 +V"name" +p7937 +tp7938 +a(g7 +g960 +tp7939 +a(g892 +Vrow +p7940 +tp7941 +a(g7 +g956 +tp7942 +a(g7 +g1024 +tp7943 +a(g545 +Vspan +p7944 +tp7945 +a(g7 +g960 +tp7946 +a(g892 +g980 +tp7947 +a(g7 +g956 +tp7948 +a(g545 +Vspan +p7949 +tp7950 +a(g892 +g980 +tp7951 +a(g654 +Vclass +p7952 +tp7953 +a(g400 +g1003 +tp7954 +a(g76 +V"op" +p7955 +tp7956 +a(g7 +g960 +tp7957 +a(g892 +g1003 +tp7958 +a(g7 +g956 +tp7959 +a(g7 +g1024 +tp7960 +a(g545 +Vspan +p7961 +tp7962 +a(g7 +g960 +tp7963 +a(g892 +g980 +tp7964 +a(g7 +g956 +tp7965 +a(g545 +Vspan +p7966 +tp7967 +a(g892 +g980 +tp7968 +a(g654 +Vclass +p7969 +tp7970 +a(g400 +g1003 +tp7971 +a(g76 +V"name" +p7972 +tp7973 +a(g7 +g960 +tp7974 +a(g892 +g5848 +tp7975 +a(g7 +g956 +tp7976 +a(g7 +g1024 +tp7977 +a(g545 +Vspan +p7978 +tp7979 +a(g7 +g960 +tp7980 +a(g892 +g1008 +tp7981 +a(g7 +g956 +tp7982 +a(g545 +Vspan +p7983 +tp7984 +a(g892 +g980 +tp7985 +a(g654 +Vclass +p7986 +tp7987 +a(g400 +g1003 +tp7988 +a(g76 +V"name" +p7989 +tp7990 +a(g7 +g960 +tp7991 +a(g892 +Vfetchone +p7992 +tp7993 +a(g7 +g956 +tp7994 +a(g7 +g1024 +tp7995 +a(g545 +Vspan +p7996 +tp7997 +a(g7 +g960 +tp7998 +a(g892 +V()\u000a +p7999 +tp8000 +a(g7 +g956 +tp8001 +a(g545 +Vspan +p8002 +tp8003 +a(g892 +g980 +tp8004 +a(g654 +Vclass +p8005 +tp8006 +a(g400 +g1003 +tp8007 +a(g76 +V"kw" +p8008 +tp8009 +a(g7 +g960 +tp8010 +a(g892 +Vif +p8011 +tp8012 +a(g7 +g956 +tp8013 +a(g7 +g1024 +tp8014 +a(g545 +Vspan +p8015 +tp8016 +a(g7 +g960 +tp8017 +a(g892 +g980 +tp8018 +a(g7 +g956 +tp8019 +a(g545 +Vspan +p8020 +tp8021 +a(g892 +g980 +tp8022 +a(g654 +Vclass +p8023 +tp8024 +a(g400 +g1003 +tp8025 +a(g76 +V"name" +p8026 +tp8027 +a(g7 +g960 +tp8028 +a(g892 +Vrow +p8029 +tp8030 +a(g7 +g956 +tp8031 +a(g7 +g1024 +tp8032 +a(g545 +Vspan +p8033 +tp8034 +a(g7 +g960 +tp8035 +a(g892 +g980 +tp8036 +a(g7 +g956 +tp8037 +a(g545 +Vspan +p8038 +tp8039 +a(g892 +g980 +tp8040 +a(g654 +Vclass +p8041 +tp8042 +a(g400 +g1003 +tp8043 +a(g76 +V"op op-word" +p8044 +tp8045 +a(g7 +g960 +tp8046 +a(g892 +Vis +p8047 +tp8048 +a(g7 +g956 +tp8049 +a(g7 +g1024 +tp8050 +a(g545 +Vspan +p8051 +tp8052 +a(g7 +g960 +tp8053 +a(g892 +g980 +tp8054 +a(g7 +g956 +tp8055 +a(g545 +Vspan +p8056 +tp8057 +a(g892 +g980 +tp8058 +a(g654 +Vclass +p8059 +tp8060 +a(g400 +g1003 +tp8061 +a(g76 +V"bn bn-pseudo" +p8062 +tp8063 +a(g7 +g960 +tp8064 +a(g892 +VNone +p8065 +tp8066 +a(g7 +g956 +tp8067 +a(g7 +g1024 +tp8068 +a(g545 +Vspan +p8069 +tp8070 +a(g7 +g960 +tp8071 +a(g892 +V:\u000a +p8072 +tp8073 +a(g7 +g956 +tp8074 +a(g545 +Vspan +p8075 +tp8076 +a(g892 +g980 +tp8077 +a(g654 +Vclass +p8078 +tp8079 +a(g400 +g1003 +tp8080 +a(g76 +V"kw" +p8081 +tp8082 +a(g7 +g960 +tp8083 +a(g892 +Vbreak +p8084 +tp8085 +a(g7 +g956 +tp8086 +a(g7 +g1024 +tp8087 +a(g545 +Vspan +p8088 +tp8089 +a(g7 +g960 +tp8090 +a(g892 +V\u000a\u000a +p8091 +tp8092 +a(g7 +g956 +tp8093 +a(g545 +Vspan +p8094 +tp8095 +a(g892 +g980 +tp8096 +a(g654 +Vclass +p8097 +tp8098 +a(g400 +g1003 +tp8099 +a(g76 +V"name" +p8100 +tp8101 +a(g7 +g960 +tp8102 +a(g892 +Vstate +p8103 +tp8104 +a(g7 +g956 +tp8105 +a(g7 +g1024 +tp8106 +a(g545 +Vspan +p8107 +tp8108 +a(g7 +g960 +tp8109 +a(g892 +g980 +tp8110 +a(g7 +g956 +tp8111 +a(g545 +Vspan +p8112 +tp8113 +a(g892 +g980 +tp8114 +a(g654 +Vclass +p8115 +tp8116 +a(g400 +g1003 +tp8117 +a(g76 +V"op" +p8118 +tp8119 +a(g7 +g960 +tp8120 +a(g892 +g1003 +tp8121 +a(g7 +g956 +tp8122 +a(g7 +g1024 +tp8123 +a(g545 +Vspan +p8124 +tp8125 +a(g7 +g960 +tp8126 +a(g892 +g980 +tp8127 +a(g7 +g956 +tp8128 +a(g545 +Vspan +p8129 +tp8130 +a(g892 +g980 +tp8131 +a(g654 +Vclass +p8132 +tp8133 +a(g400 +g1003 +tp8134 +a(g76 +V"name" +p8135 +tp8136 +a(g7 +g960 +tp8137 +a(g892 +Vrow +p8138 +tp8139 +a(g7 +g956 +tp8140 +a(g7 +g1024 +tp8141 +a(g545 +Vspan +p8142 +tp8143 +a(g7 +g960 +tp8144 +a(g892 +g6683 +tp8145 +a(g7 +g956 +tp8146 +a(g545 +Vspan +p8147 +tp8148 +a(g892 +g980 +tp8149 +a(g654 +Vclass +p8150 +tp8151 +a(g400 +g1003 +tp8152 +a(g76 +V"nb nb-int" +p8153 +tp8154 +a(g7 +g960 +tp8155 +a(g892 +g1112 +tp8156 +a(g7 +g956 +tp8157 +a(g7 +g1024 +tp8158 +a(g545 +Vspan +p8159 +tp8160 +a(g7 +g960 +tp8161 +a(g892 +V]\u000a +p8162 +tp8163 +a(g7 +g956 +tp8164 +a(g545 +Vspan +p8165 +tp8166 +a(g892 +g980 +tp8167 +a(g654 +Vclass +p8168 +tp8169 +a(g400 +g1003 +tp8170 +a(g76 +V"kw" +p8171 +tp8172 +a(g7 +g960 +tp8173 +a(g892 +Vif +p8174 +tp8175 +a(g7 +g956 +tp8176 +a(g7 +g1024 +tp8177 +a(g545 +Vspan +p8178 +tp8179 +a(g7 +g960 +tp8180 +a(g892 +g980 +tp8181 +a(g7 +g956 +tp8182 +a(g545 +Vspan +p8183 +tp8184 +a(g892 +g980 +tp8185 +a(g654 +Vclass +p8186 +tp8187 +a(g400 +g1003 +tp8188 +a(g76 +V"name" +p8189 +tp8190 +a(g7 +g960 +tp8191 +a(g892 +Vstate +p8192 +tp8193 +a(g7 +g956 +tp8194 +a(g7 +g1024 +tp8195 +a(g545 +Vspan +p8196 +tp8197 +a(g7 +g960 +tp8198 +a(g892 +g980 +tp8199 +a(g7 +g956 +tp8200 +a(g545 +Vspan +p8201 +tp8202 +a(g892 +g980 +tp8203 +a(g654 +Vclass +p8204 +tp8205 +a(g400 +g1003 +tp8206 +a(g76 +V"op op-word" +p8207 +tp8208 +a(g7 +g960 +tp8209 +a(g892 +Vin +p8210 +tp8211 +a(g7 +g956 +tp8212 +a(g7 +g1024 +tp8213 +a(g545 +Vspan +p8214 +tp8215 +a(g7 +g960 +tp8216 +a(g892 +V ( +p8217 +tp8218 +a(g7 +g956 +tp8219 +a(g545 +Vspan +p8220 +tp8221 +a(g892 +g980 +tp8222 +a(g654 +Vclass +p8223 +tp8224 +a(g400 +g1003 +tp8225 +a(g76 +V"bn bn-pseudo" +p8226 +tp8227 +a(g7 +g960 +tp8228 +a(g892 +Vself +p8229 +tp8230 +a(g7 +g956 +tp8231 +a(g7 +g1024 +tp8232 +a(g545 +Vspan +p8233 +tp8234 +a(g7 +g960 +tp8235 +a(g892 +g1008 +tp8236 +a(g7 +g956 +tp8237 +a(g545 +Vspan +p8238 +tp8239 +a(g892 +g980 +tp8240 +a(g654 +Vclass +p8241 +tp8242 +a(g400 +g1003 +tp8243 +a(g76 +V"name" +p8244 +tp8245 +a(g7 +g960 +tp8246 +a(g892 +VSTRONG_YES +p8247 +tp8248 +a(g7 +g956 +tp8249 +a(g7 +g1024 +tp8250 +a(g545 +Vspan +p8251 +tp8252 +a(g7 +g960 +tp8253 +a(g892 +V, +p8254 +tp8255 +a(g7 +g956 +tp8256 +a(g545 +Vspan +p8257 +tp8258 +a(g892 +g980 +tp8259 +a(g654 +Vclass +p8260 +tp8261 +a(g400 +g1003 +tp8262 +a(g76 +V"bn bn-pseudo" +p8263 +tp8264 +a(g7 +g960 +tp8265 +a(g892 +Vself +p8266 +tp8267 +a(g7 +g956 +tp8268 +a(g7 +g1024 +tp8269 +a(g545 +Vspan +p8270 +tp8271 +a(g7 +g960 +tp8272 +a(g892 +g1008 +tp8273 +a(g7 +g956 +tp8274 +a(g545 +Vspan +p8275 +tp8276 +a(g892 +g980 +tp8277 +a(g654 +Vclass +p8278 +tp8279 +a(g400 +g1003 +tp8280 +a(g76 +V"name" +p8281 +tp8282 +a(g7 +g960 +tp8283 +a(g892 +VSTRONG_NO +p8284 +tp8285 +a(g7 +g956 +tp8286 +a(g7 +g1024 +tp8287 +a(g545 +Vspan +p8288 +tp8289 +a(g7 +g960 +tp8290 +a(g892 +V):\u000a +p8291 +tp8292 +a(g7 +g956 +tp8293 +a(g545 +Vspan +p8294 +tp8295 +a(g892 +g980 +tp8296 +a(g654 +Vclass +p8297 +tp8298 +a(g400 +g1003 +tp8299 +a(g76 +V"kw" +p8300 +tp8301 +a(g7 +g960 +tp8302 +a(g892 +Vreturn +p8303 +tp8304 +a(g7 +g956 +tp8305 +a(g7 +g1024 +tp8306 +a(g545 +Vspan +p8307 +tp8308 +a(g7 +g960 +tp8309 +a(g892 +g980 +tp8310 +a(g7 +g956 +tp8311 +a(g545 +Vspan +p8312 +tp8313 +a(g892 +g980 +tp8314 +a(g654 +Vclass +p8315 +tp8316 +a(g400 +g1003 +tp8317 +a(g76 +V"name" +p8318 +tp8319 +a(g7 +g960 +tp8320 +a(g892 +Vstate +p8321 +tp8322 +a(g7 +g956 +tp8323 +a(g7 +g1024 +tp8324 +a(g545 +Vspan +p8325 +tp8326 +a(g7 +g960 +tp8327 +a(g892 +g980 +tp8328 +a(g7 +g956 +tp8329 +a(g545 +Vspan +p8330 +tp8331 +a(g892 +g980 +tp8332 +a(g654 +Vclass +p8333 +tp8334 +a(g400 +g1003 +tp8335 +a(g76 +V"op" +p8336 +tp8337 +a(g7 +g960 +tp8338 +a(g892 +V== +p8339 +tp8340 +a(g7 +g956 +tp8341 +a(g7 +g1024 +tp8342 +a(g545 +Vspan +p8343 +tp8344 +a(g7 +g960 +tp8345 +a(g892 +g980 +tp8346 +a(g7 +g956 +tp8347 +a(g545 +Vspan +p8348 +tp8349 +a(g892 +g980 +tp8350 +a(g654 +Vclass +p8351 +tp8352 +a(g400 +g1003 +tp8353 +a(g76 +V"bn bn-pseudo" +p8354 +tp8355 +a(g7 +g960 +tp8356 +a(g892 +Vself +p8357 +tp8358 +a(g7 +g956 +tp8359 +a(g7 +g1024 +tp8360 +a(g545 +Vspan +p8361 +tp8362 +a(g7 +g960 +tp8363 +a(g892 +g1008 +tp8364 +a(g7 +g956 +tp8365 +a(g545 +Vspan +p8366 +tp8367 +a(g892 +g980 +tp8368 +a(g654 +Vclass +p8369 +tp8370 +a(g400 +g1003 +tp8371 +a(g76 +V"name" +p8372 +tp8373 +a(g7 +g960 +tp8374 +a(g892 +VSTRONG_YES +p8375 +tp8376 +a(g7 +g956 +tp8377 +a(g7 +g1024 +tp8378 +a(g545 +Vspan +p8379 +tp8380 +a(g7 +g960 +tp8381 +a(g892 +V\u000a\u000a +p8382 +tp8383 +a(g7 +g956 +tp8384 +a(g545 +Vspan +p8385 +tp8386 +a(g892 +g980 +tp8387 +a(g654 +Vclass +p8388 +tp8389 +a(g400 +g1003 +tp8390 +a(g76 +V"kw" +p8391 +tp8392 +a(g7 +g960 +tp8393 +a(g892 +Vif +p8394 +tp8395 +a(g7 +g956 +tp8396 +a(g7 +g1024 +tp8397 +a(g545 +Vspan +p8398 +tp8399 +a(g7 +g960 +tp8400 +a(g892 +g980 +tp8401 +a(g7 +g956 +tp8402 +a(g545 +Vspan +p8403 +tp8404 +a(g892 +g980 +tp8405 +a(g654 +Vclass +p8406 +tp8407 +a(g400 +g1003 +tp8408 +a(g76 +V"name" +p8409 +tp8410 +a(g7 +g960 +tp8411 +a(g892 +Vtendency +p8412 +tp8413 +a(g7 +g956 +tp8414 +a(g7 +g1024 +tp8415 +a(g545 +Vspan +p8416 +tp8417 +a(g7 +g960 +tp8418 +a(g892 +g980 +tp8419 +a(g7 +g956 +tp8420 +a(g545 +Vspan +p8421 +tp8422 +a(g892 +g980 +tp8423 +a(g654 +Vclass +p8424 +tp8425 +a(g400 +g1003 +tp8426 +a(g76 +V"op op-word" +p8427 +tp8428 +a(g7 +g960 +tp8429 +a(g892 +Vis +p8430 +tp8431 +a(g7 +g956 +tp8432 +a(g7 +g1024 +tp8433 +a(g545 +Vspan +p8434 +tp8435 +a(g7 +g960 +tp8436 +a(g892 +g980 +tp8437 +a(g7 +g956 +tp8438 +a(g545 +Vspan +p8439 +tp8440 +a(g892 +g980 +tp8441 +a(g654 +Vclass +p8442 +tp8443 +a(g400 +g1003 +tp8444 +a(g76 +V"bn bn-pseudo" +p8445 +tp8446 +a(g7 +g960 +tp8447 +a(g892 +VNone +p8448 +tp8449 +a(g7 +g956 +tp8450 +a(g7 +g1024 +tp8451 +a(g545 +Vspan +p8452 +tp8453 +a(g7 +g960 +tp8454 +a(g892 +V:\u000a +p8455 +tp8456 +a(g7 +g956 +tp8457 +a(g545 +Vspan +p8458 +tp8459 +a(g892 +g980 +tp8460 +a(g654 +Vclass +p8461 +tp8462 +a(g400 +g1003 +tp8463 +a(g76 +V"name" +p8464 +tp8465 +a(g7 +g960 +tp8466 +a(g892 +Vtendency +p8467 +tp8468 +a(g7 +g956 +tp8469 +a(g7 +g1024 +tp8470 +a(g545 +Vspan +p8471 +tp8472 +a(g7 +g960 +tp8473 +a(g892 +g980 +tp8474 +a(g7 +g956 +tp8475 +a(g545 +Vspan +p8476 +tp8477 +a(g892 +g980 +tp8478 +a(g654 +Vclass +p8479 +tp8480 +a(g400 +g1003 +tp8481 +a(g76 +V"op" +p8482 +tp8483 +a(g7 +g960 +tp8484 +a(g892 +g1003 +tp8485 +a(g7 +g956 +tp8486 +a(g7 +g1024 +tp8487 +a(g545 +Vspan +p8488 +tp8489 +a(g7 +g960 +tp8490 +a(g892 +g980 +tp8491 +a(g7 +g956 +tp8492 +a(g545 +Vspan +p8493 +tp8494 +a(g892 +g980 +tp8495 +a(g654 +Vclass +p8496 +tp8497 +a(g400 +g1003 +tp8498 +a(g76 +V"name" +p8499 +tp8500 +a(g7 +g960 +tp8501 +a(g892 +Vstate +p8502 +tp8503 +a(g7 +g956 +tp8504 +a(g7 +g1024 +tp8505 +a(g545 +Vspan +p8506 +tp8507 +a(g7 +g960 +tp8508 +a(g892 +V\u000a +p8509 +tp8510 +a(g7 +g956 +tp8511 +a(g545 +Vspan +p8512 +tp8513 +a(g892 +g980 +tp8514 +a(g654 +Vclass +p8515 +tp8516 +a(g400 +g1003 +tp8517 +a(g76 +V"kw" +p8518 +tp8519 +a(g7 +g960 +tp8520 +a(g892 +Velif +p8521 +tp8522 +a(g7 +g956 +tp8523 +a(g7 +g1024 +tp8524 +a(g545 +Vspan +p8525 +tp8526 +a(g7 +g960 +tp8527 +a(g892 +g980 +tp8528 +a(g7 +g956 +tp8529 +a(g545 +Vspan +p8530 +tp8531 +a(g892 +g980 +tp8532 +a(g654 +Vclass +p8533 +tp8534 +a(g400 +g1003 +tp8535 +a(g76 +V"name" +p8536 +tp8537 +a(g7 +g960 +tp8538 +a(g892 +Vtendency +p8539 +tp8540 +a(g7 +g956 +tp8541 +a(g7 +g1024 +tp8542 +a(g545 +Vspan +p8543 +tp8544 +a(g7 +g960 +tp8545 +a(g892 +g980 +tp8546 +a(g7 +g956 +tp8547 +a(g545 +Vspan +p8548 +tp8549 +a(g892 +g980 +tp8550 +a(g654 +Vclass +p8551 +tp8552 +a(g400 +g1003 +tp8553 +a(g76 +V"op" +p8554 +tp8555 +a(g7 +g960 +tp8556 +a(g892 +V== +p8557 +tp8558 +a(g7 +g956 +tp8559 +a(g7 +g1024 +tp8560 +a(g545 +Vspan +p8561 +tp8562 +a(g7 +g960 +tp8563 +a(g892 +g980 +tp8564 +a(g7 +g956 +tp8565 +a(g545 +Vspan +p8566 +tp8567 +a(g892 +g980 +tp8568 +a(g654 +Vclass +p8569 +tp8570 +a(g400 +g1003 +tp8571 +a(g76 +V"bn bn-pseudo" +p8572 +tp8573 +a(g7 +g960 +tp8574 +a(g892 +Vself +p8575 +tp8576 +a(g7 +g956 +tp8577 +a(g7 +g1024 +tp8578 +a(g545 +Vspan +p8579 +tp8580 +a(g7 +g960 +tp8581 +a(g892 +g1008 +tp8582 +a(g7 +g956 +tp8583 +a(g545 +Vspan +p8584 +tp8585 +a(g892 +g980 +tp8586 +a(g654 +Vclass +p8587 +tp8588 +a(g400 +g1003 +tp8589 +a(g76 +V"name" +p8590 +tp8591 +a(g7 +g960 +tp8592 +a(g892 +VWEAK_NO +p8593 +tp8594 +a(g7 +g956 +tp8595 +a(g7 +g1024 +tp8596 +a(g545 +Vspan +p8597 +tp8598 +a(g7 +g960 +tp8599 +a(g892 +g980 +tp8600 +a(g7 +g956 +tp8601 +a(g545 +Vspan +p8602 +tp8603 +a(g892 +g980 +tp8604 +a(g654 +Vclass +p8605 +tp8606 +a(g400 +g1003 +tp8607 +a(g76 +V"op op-word" +p8608 +tp8609 +a(g7 +g960 +tp8610 +a(g892 +Vand +p8611 +tp8612 +a(g7 +g956 +tp8613 +a(g7 +g1024 +tp8614 +a(g545 +Vspan +p8615 +tp8616 +a(g7 +g960 +tp8617 +a(g892 +g980 +tp8618 +a(g7 +g956 +tp8619 +a(g545 +Vspan +p8620 +tp8621 +a(g892 +g980 +tp8622 +a(g654 +Vclass +p8623 +tp8624 +a(g400 +g1003 +tp8625 +a(g76 +V"name" +p8626 +tp8627 +a(g7 +g960 +tp8628 +a(g892 +Vstate +p8629 +tp8630 +a(g7 +g956 +tp8631 +a(g7 +g1024 +tp8632 +a(g545 +Vspan +p8633 +tp8634 +a(g7 +g960 +tp8635 +a(g892 +g980 +tp8636 +a(g7 +g956 +tp8637 +a(g545 +Vspan +p8638 +tp8639 +a(g892 +g980 +tp8640 +a(g654 +Vclass +p8641 +tp8642 +a(g400 +g1003 +tp8643 +a(g76 +V"op" +p8644 +tp8645 +a(g7 +g960 +tp8646 +a(g892 +V== +p8647 +tp8648 +a(g7 +g956 +tp8649 +a(g7 +g1024 +tp8650 +a(g545 +Vspan +p8651 +tp8652 +a(g7 +g960 +tp8653 +a(g892 +g980 +tp8654 +a(g7 +g956 +tp8655 +a(g545 +Vspan +p8656 +tp8657 +a(g892 +g980 +tp8658 +a(g654 +Vclass +p8659 +tp8660 +a(g400 +g1003 +tp8661 +a(g76 +V"bn bn-pseudo" +p8662 +tp8663 +a(g7 +g960 +tp8664 +a(g892 +Vself +p8665 +tp8666 +a(g7 +g956 +tp8667 +a(g7 +g1024 +tp8668 +a(g545 +Vspan +p8669 +tp8670 +a(g7 +g960 +tp8671 +a(g892 +g1008 +tp8672 +a(g7 +g956 +tp8673 +a(g545 +Vspan +p8674 +tp8675 +a(g892 +g980 +tp8676 +a(g654 +Vclass +p8677 +tp8678 +a(g400 +g1003 +tp8679 +a(g76 +V"name" +p8680 +tp8681 +a(g7 +g960 +tp8682 +a(g892 +VWEAK_YES +p8683 +tp8684 +a(g7 +g956 +tp8685 +a(g7 +g1024 +tp8686 +a(g545 +Vspan +p8687 +tp8688 +a(g7 +g960 +tp8689 +a(g892 +V:\u000a +p8690 +tp8691 +a(g7 +g956 +tp8692 +a(g545 +Vspan +p8693 +tp8694 +a(g892 +g980 +tp8695 +a(g654 +Vclass +p8696 +tp8697 +a(g400 +g1003 +tp8698 +a(g76 +V"name" +p8699 +tp8700 +a(g7 +g960 +tp8701 +a(g892 +Vtendency +p8702 +tp8703 +a(g7 +g956 +tp8704 +a(g7 +g1024 +tp8705 +a(g545 +Vspan +p8706 +tp8707 +a(g7 +g960 +tp8708 +a(g892 +g980 +tp8709 +a(g7 +g956 +tp8710 +a(g545 +Vspan +p8711 +tp8712 +a(g892 +g980 +tp8713 +a(g654 +Vclass +p8714 +tp8715 +a(g400 +g1003 +tp8716 +a(g76 +V"op" +p8717 +tp8718 +a(g7 +g960 +tp8719 +a(g892 +g1003 +tp8720 +a(g7 +g956 +tp8721 +a(g7 +g1024 +tp8722 +a(g545 +Vspan +p8723 +tp8724 +a(g7 +g960 +tp8725 +a(g892 +g980 +tp8726 +a(g7 +g956 +tp8727 +a(g545 +Vspan +p8728 +tp8729 +a(g892 +g980 +tp8730 +a(g654 +Vclass +p8731 +tp8732 +a(g400 +g1003 +tp8733 +a(g76 +V"bn bn-pseudo" +p8734 +tp8735 +a(g7 +g960 +tp8736 +a(g892 +Vself +p8737 +tp8738 +a(g7 +g956 +tp8739 +a(g7 +g1024 +tp8740 +a(g545 +Vspan +p8741 +tp8742 +a(g7 +g960 +tp8743 +a(g892 +g1008 +tp8744 +a(g7 +g956 +tp8745 +a(g545 +Vspan +p8746 +tp8747 +a(g892 +g980 +tp8748 +a(g654 +Vclass +p8749 +tp8750 +a(g400 +g1003 +tp8751 +a(g76 +V"name" +p8752 +tp8753 +a(g7 +g960 +tp8754 +a(g892 +VWEAK_YES +p8755 +tp8756 +a(g7 +g956 +tp8757 +a(g7 +g1024 +tp8758 +a(g545 +Vspan +p8759 +tp8760 +a(g7 +g960 +tp8761 +a(g892 +V\u000a\u000a +p8762 +tp8763 +a(g7 +g956 +tp8764 +a(g545 +Vspan +p8765 +tp8766 +a(g892 +g980 +tp8767 +a(g654 +Vclass +p8768 +tp8769 +a(g400 +g1003 +tp8770 +a(g76 +V"cm" +p8771 +tp8772 +a(g7 +g960 +tp8773 +a(g892 +V# check related objects +p8774 +tp8775 +a(g7 +g956 +tp8776 +a(g7 +g1024 +tp8777 +a(g545 +Vspan +p8778 +tp8779 +a(g7 +g960 +tp8780 +a(g892 +V\u000a +p8781 +tp8782 +a(g7 +g956 +tp8783 +a(g545 +Vspan +p8784 +tp8785 +a(g892 +g980 +tp8786 +a(g654 +Vclass +p8787 +tp8788 +a(g400 +g1003 +tp8789 +a(g76 +V"kw" +p8790 +tp8791 +a(g7 +g960 +tp8792 +a(g892 +Vif +p8793 +tp8794 +a(g7 +g956 +tp8795 +a(g7 +g1024 +tp8796 +a(g545 +Vspan +p8797 +tp8798 +a(g7 +g960 +tp8799 +a(g892 +g980 +tp8800 +a(g7 +g956 +tp8801 +a(g545 +Vspan +p8802 +tp8803 +a(g892 +g980 +tp8804 +a(g654 +Vclass +p8805 +tp8806 +a(g400 +g1003 +tp8807 +a(g76 +V"bn" +p8808 +tp8809 +a(g7 +g960 +tp8810 +a(g892 +Visinstance +p8811 +tp8812 +a(g7 +g956 +tp8813 +a(g7 +g1024 +tp8814 +a(g545 +Vspan +p8815 +tp8816 +a(g7 +g960 +tp8817 +a(g892 +g984 +tp8818 +a(g7 +g956 +tp8819 +a(g545 +Vspan +p8820 +tp8821 +a(g892 +g980 +tp8822 +a(g654 +Vclass +p8823 +tp8824 +a(g400 +g1003 +tp8825 +a(g76 +V"name" +p8826 +tp8827 +a(g7 +g960 +tp8828 +a(g892 +Vobj +p8829 +tp8830 +a(g7 +g956 +tp8831 +a(g7 +g1024 +tp8832 +a(g545 +Vspan +p8833 +tp8834 +a(g7 +g960 +tp8835 +a(g892 +V, +p8836 +tp8837 +a(g7 +g956 +tp8838 +a(g545 +Vspan +p8839 +tp8840 +a(g892 +g980 +tp8841 +a(g654 +Vclass +p8842 +tp8843 +a(g400 +g1003 +tp8844 +a(g76 +V"name" +p8845 +tp8846 +a(g7 +g960 +tp8847 +a(g892 +VThread +p8848 +tp8849 +a(g7 +g956 +tp8850 +a(g7 +g1024 +tp8851 +a(g545 +Vspan +p8852 +tp8853 +a(g7 +g960 +tp8854 +a(g892 +V):\u000a +p8855 +tp8856 +a(g7 +g956 +tp8857 +a(g545 +Vspan +p8858 +tp8859 +a(g892 +g980 +tp8860 +a(g654 +Vclass +p8861 +tp8862 +a(g400 +g1003 +tp8863 +a(g76 +V"kw" +p8864 +tp8865 +a(g7 +g960 +tp8866 +a(g892 +Vreturn +p8867 +tp8868 +a(g7 +g956 +tp8869 +a(g7 +g1024 +tp8870 +a(g545 +Vspan +p8871 +tp8872 +a(g7 +g960 +tp8873 +a(g892 +g980 +tp8874 +a(g7 +g956 +tp8875 +a(g545 +Vspan +p8876 +tp8877 +a(g892 +g980 +tp8878 +a(g654 +Vclass +p8879 +tp8880 +a(g400 +g1003 +tp8881 +a(g76 +V"name" +p8882 +tp8883 +a(g7 +g960 +tp8884 +a(g892 +Vdo_check +p8885 +tp8886 +a(g7 +g956 +tp8887 +a(g7 +g1024 +tp8888 +a(g545 +Vspan +p8889 +tp8890 +a(g7 +g960 +tp8891 +a(g892 +g984 +tp8892 +a(g7 +g956 +tp8893 +a(g545 +Vspan +p8894 +tp8895 +a(g892 +g980 +tp8896 +a(g654 +Vclass +p8897 +tp8898 +a(g400 +g1003 +tp8899 +a(g76 +V"name" +p8900 +tp8901 +a(g7 +g960 +tp8902 +a(g892 +Vobj +p8903 +tp8904 +a(g7 +g956 +tp8905 +a(g7 +g1024 +tp8906 +a(g545 +Vspan +p8907 +tp8908 +a(g7 +g960 +tp8909 +a(g892 +g1008 +tp8910 +a(g7 +g956 +tp8911 +a(g545 +Vspan +p8912 +tp8913 +a(g892 +g980 +tp8914 +a(g654 +Vclass +p8915 +tp8916 +a(g400 +g1003 +tp8917 +a(g76 +V"name" +p8918 +tp8919 +a(g7 +g960 +tp8920 +a(g892 +Vforum +p8921 +tp8922 +a(g7 +g956 +tp8923 +a(g7 +g1024 +tp8924 +a(g545 +Vspan +p8925 +tp8926 +a(g7 +g960 +tp8927 +a(g892 +V, +p8928 +tp8929 +a(g7 +g956 +tp8930 +a(g545 +Vspan +p8931 +tp8932 +a(g892 +g980 +tp8933 +a(g654 +Vclass +p8934 +tp8935 +a(g400 +g1003 +tp8936 +a(g76 +V"name" +p8937 +tp8938 +a(g7 +g960 +tp8939 +a(g892 +Vtendency +p8940 +tp8941 +a(g7 +g956 +tp8942 +a(g7 +g1024 +tp8943 +a(g545 +Vspan +p8944 +tp8945 +a(g7 +g960 +tp8946 +a(g892 +V)\u000a +p8947 +tp8948 +a(g7 +g956 +tp8949 +a(g545 +Vspan +p8950 +tp8951 +a(g892 +g980 +tp8952 +a(g654 +Vclass +p8953 +tp8954 +a(g400 +g1003 +tp8955 +a(g76 +V"kw" +p8956 +tp8957 +a(g7 +g960 +tp8958 +a(g892 +Velif +p8959 +tp8960 +a(g7 +g956 +tp8961 +a(g7 +g1024 +tp8962 +a(g545 +Vspan +p8963 +tp8964 +a(g7 +g960 +tp8965 +a(g892 +g980 +tp8966 +a(g7 +g956 +tp8967 +a(g545 +Vspan +p8968 +tp8969 +a(g892 +g980 +tp8970 +a(g654 +Vclass +p8971 +tp8972 +a(g400 +g1003 +tp8973 +a(g76 +V"bn" +p8974 +tp8975 +a(g7 +g960 +tp8976 +a(g892 +Visinstance +p8977 +tp8978 +a(g7 +g956 +tp8979 +a(g7 +g1024 +tp8980 +a(g545 +Vspan +p8981 +tp8982 +a(g7 +g960 +tp8983 +a(g892 +g984 +tp8984 +a(g7 +g956 +tp8985 +a(g545 +Vspan +p8986 +tp8987 +a(g892 +g980 +tp8988 +a(g654 +Vclass +p8989 +tp8990 +a(g400 +g1003 +tp8991 +a(g76 +V"name" +p8992 +tp8993 +a(g7 +g960 +tp8994 +a(g892 +Vobj +p8995 +tp8996 +a(g7 +g956 +tp8997 +a(g7 +g1024 +tp8998 +a(g545 +Vspan +p8999 +tp9000 +a(g7 +g960 +tp9001 +a(g892 +V, +p9002 +tp9003 +a(g7 +g956 +tp9004 +a(g545 +Vspan +p9005 +tp9006 +a(g892 +g980 +tp9007 +a(g654 +Vclass +p9008 +tp9009 +a(g400 +g1003 +tp9010 +a(g76 +V"name" +p9011 +tp9012 +a(g7 +g960 +tp9013 +a(g892 +VForum +p9014 +tp9015 +a(g7 +g956 +tp9016 +a(g7 +g1024 +tp9017 +a(g545 +Vspan +p9018 +tp9019 +a(g7 +g960 +tp9020 +a(g892 +V):\u000a +p9021 +tp9022 +a(g7 +g956 +tp9023 +a(g545 +Vspan +p9024 +tp9025 +a(g892 +g980 +tp9026 +a(g654 +Vclass +p9027 +tp9028 +a(g400 +g1003 +tp9029 +a(g76 +V"kw" +p9030 +tp9031 +a(g7 +g960 +tp9032 +a(g892 +Vreturn +p9033 +tp9034 +a(g7 +g956 +tp9035 +a(g7 +g1024 +tp9036 +a(g545 +Vspan +p9037 +tp9038 +a(g7 +g960 +tp9039 +a(g892 +g980 +tp9040 +a(g7 +g956 +tp9041 +a(g545 +Vspan +p9042 +tp9043 +a(g892 +g980 +tp9044 +a(g654 +Vclass +p9045 +tp9046 +a(g400 +g1003 +tp9047 +a(g76 +V"name" +p9048 +tp9049 +a(g7 +g960 +tp9050 +a(g892 +Vdo_check +p9051 +tp9052 +a(g7 +g956 +tp9053 +a(g7 +g1024 +tp9054 +a(g545 +Vspan +p9055 +tp9056 +a(g7 +g960 +tp9057 +a(g892 +g984 +tp9058 +a(g7 +g956 +tp9059 +a(g545 +Vspan +p9060 +tp9061 +a(g892 +g980 +tp9062 +a(g654 +Vclass +p9063 +tp9064 +a(g400 +g1003 +tp9065 +a(g76 +V"name" +p9066 +tp9067 +a(g7 +g960 +tp9068 +a(g892 +VSite +p9069 +tp9070 +a(g7 +g956 +tp9071 +a(g7 +g1024 +tp9072 +a(g545 +Vspan +p9073 +tp9074 +a(g7 +g960 +tp9075 +a(g892 +V, +p9076 +tp9077 +a(g7 +g956 +tp9078 +a(g545 +Vspan +p9079 +tp9080 +a(g892 +g980 +tp9081 +a(g654 +Vclass +p9082 +tp9083 +a(g400 +g1003 +tp9084 +a(g76 +V"name" +p9085 +tp9086 +a(g7 +g960 +tp9087 +a(g892 +Vtendency +p9088 +tp9089 +a(g7 +g956 +tp9090 +a(g7 +g1024 +tp9091 +a(g545 +Vspan +p9092 +tp9093 +a(g7 +g960 +tp9094 +a(g892 +V)\u000a +p9095 +tp9096 +a(g7 +g956 +tp9097 +a(g545 +Vspan +p9098 +tp9099 +a(g892 +g980 +tp9100 +a(g654 +Vclass +p9101 +tp9102 +a(g400 +g1003 +tp9103 +a(g76 +V"kw" +p9104 +tp9105 +a(g7 +g960 +tp9106 +a(g892 +Velse +p9107 +tp9108 +a(g7 +g956 +tp9109 +a(g7 +g1024 +tp9110 +a(g545 +Vspan +p9111 +tp9112 +a(g7 +g960 +tp9113 +a(g892 +V:\u000a +p9114 +tp9115 +a(g7 +g956 +tp9116 +a(g545 +Vspan +p9117 +tp9118 +a(g892 +g980 +tp9119 +a(g654 +Vclass +p9120 +tp9121 +a(g400 +g1003 +tp9122 +a(g76 +V"kw" +p9123 +tp9124 +a(g7 +g960 +tp9125 +a(g892 +Vreturn +p9126 +tp9127 +a(g7 +g956 +tp9128 +a(g7 +g1024 +tp9129 +a(g545 +Vspan +p9130 +tp9131 +a(g7 +g960 +tp9132 +a(g892 +g980 +tp9133 +a(g7 +g956 +tp9134 +a(g545 +Vspan +p9135 +tp9136 +a(g892 +g980 +tp9137 +a(g654 +Vclass +p9138 +tp9139 +a(g400 +g1003 +tp9140 +a(g76 +V"name" +p9141 +tp9142 +a(g7 +g960 +tp9143 +a(g892 +Vtendency +p9144 +tp9145 +a(g7 +g956 +tp9146 +a(g7 +g1024 +tp9147 +a(g545 +Vspan +p9148 +tp9149 +a(g7 +g960 +tp9150 +a(g892 +V\u000a\u000a +p9151 +tp9152 +a(g7 +g956 +tp9153 +a(g545 +Vspan +p9154 +tp9155 +a(g892 +g980 +tp9156 +a(g654 +Vclass +p9157 +tp9158 +a(g400 +g1003 +tp9159 +a(g76 +V"kw" +p9160 +tp9161 +a(g7 +g960 +tp9162 +a(g892 +Vreturn +p9163 +tp9164 +a(g7 +g956 +tp9165 +a(g7 +g1024 +tp9166 +a(g545 +Vspan +p9167 +tp9168 +a(g7 +g960 +tp9169 +a(g892 +g980 +tp9170 +a(g7 +g956 +tp9171 +a(g545 +Vspan +p9172 +tp9173 +a(g892 +g980 +tp9174 +a(g654 +Vclass +p9175 +tp9176 +a(g400 +g1003 +tp9177 +a(g76 +V"name" +p9178 +tp9179 +a(g7 +g960 +tp9180 +a(g892 +Vdo_check +p9181 +tp9182 +a(g7 +g956 +tp9183 +a(g7 +g1024 +tp9184 +a(g545 +Vspan +p9185 +tp9186 +a(g7 +g960 +tp9187 +a(g892 +g984 +tp9188 +a(g7 +g956 +tp9189 +a(g545 +Vspan +p9190 +tp9191 +a(g892 +g980 +tp9192 +a(g654 +Vclass +p9193 +tp9194 +a(g400 +g1003 +tp9195 +a(g76 +V"name" +p9196 +tp9197 +a(g7 +g960 +tp9198 +a(g892 +Vobj +p9199 +tp9200 +a(g7 +g956 +tp9201 +a(g7 +g1024 +tp9202 +a(g545 +Vspan +p9203 +tp9204 +a(g7 +g960 +tp9205 +a(g892 +V, +p9206 +tp9207 +a(g7 +g956 +tp9208 +a(g545 +Vspan +p9209 +tp9210 +a(g892 +g980 +tp9211 +a(g654 +Vclass +p9212 +tp9213 +a(g400 +g1003 +tp9214 +a(g76 +V"bn bn-pseudo" +p9215 +tp9216 +a(g7 +g960 +tp9217 +a(g892 +VNone +p9218 +tp9219 +a(g7 +g956 +tp9220 +a(g7 +g1024 +tp9221 +a(g545 +Vspan +p9222 +tp9223 +a(g7 +g960 +tp9224 +a(g892 +V) +p9225 +tp9226 +a(g7 +g956 +tp9227 +a(g545 +Vspan +p9228 +tp9229 +a(g892 +g980 +tp9230 +a(g654 +Vclass +p9231 +tp9232 +a(g400 +g1003 +tp9233 +a(g76 +V"op op-word" +p9234 +tp9235 +a(g7 +g960 +tp9236 +a(g892 +Vin +p9237 +tp9238 +a(g7 +g956 +tp9239 +a(g7 +g1024 +tp9240 +a(g545 +Vspan +p9241 +tp9242 +a(g7 +g960 +tp9243 +a(g892 +V ( +p9244 +tp9245 +a(g7 +g956 +tp9246 +a(g545 +Vspan +p9247 +tp9248 +a(g892 +g980 +tp9249 +a(g654 +Vclass +p9250 +tp9251 +a(g400 +g1003 +tp9252 +a(g76 +V"bn bn-pseudo" +p9253 +tp9254 +a(g7 +g960 +tp9255 +a(g892 +Vself +p9256 +tp9257 +a(g7 +g956 +tp9258 +a(g7 +g1024 +tp9259 +a(g545 +Vspan +p9260 +tp9261 +a(g7 +g960 +tp9262 +a(g892 +g1008 +tp9263 +a(g7 +g956 +tp9264 +a(g545 +Vspan +p9265 +tp9266 +a(g892 +g980 +tp9267 +a(g654 +Vclass +p9268 +tp9269 +a(g400 +g1003 +tp9270 +a(g76 +V"name" +p9271 +tp9272 +a(g7 +g960 +tp9273 +a(g892 +VWEAK_YES +p9274 +tp9275 +a(g7 +g956 +tp9276 +a(g7 +g1024 +tp9277 +a(g545 +Vspan +p9278 +tp9279 +a(g7 +g960 +tp9280 +a(g892 +V, +p9281 +tp9282 +a(g7 +g956 +tp9283 +a(g545 +Vspan +p9284 +tp9285 +a(g892 +g980 +tp9286 +a(g654 +Vclass +p9287 +tp9288 +a(g400 +g1003 +tp9289 +a(g76 +V"bn bn-pseudo" +p9290 +tp9291 +a(g7 +g960 +tp9292 +a(g892 +Vself +p9293 +tp9294 +a(g7 +g956 +tp9295 +a(g7 +g1024 +tp9296 +a(g545 +Vspan +p9297 +tp9298 +a(g7 +g960 +tp9299 +a(g892 +g1008 +tp9300 +a(g7 +g956 +tp9301 +a(g545 +Vspan +p9302 +tp9303 +a(g892 +g980 +tp9304 +a(g654 +Vclass +p9305 +tp9306 +a(g400 +g1003 +tp9307 +a(g76 +V"name" +p9308 +tp9309 +a(g7 +g960 +tp9310 +a(g892 +VSTRONG_YES +p9311 +tp9312 +a(g7 +g956 +tp9313 +a(g7 +g1024 +tp9314 +a(g545 +Vspan +p9315 +tp9316 +a(g7 +g960 +tp9317 +a(g892 +V)\u000a\u000a +p9318 +tp9319 +a(g7 +g956 +tp9320 +a(g545 +Vspan +p9321 +tp9322 +a(g892 +g980 +tp9323 +a(g654 +Vclass +p9324 +tp9325 +a(g400 +g1003 +tp9326 +a(g76 +V"kw" +p9327 +tp9328 +a(g7 +g960 +tp9329 +a(g892 +Vdef +p9330 +tp9331 +a(g7 +g956 +tp9332 +a(g7 +g1024 +tp9333 +a(g545 +Vspan +p9334 +tp9335 +a(g7 +g960 +tp9336 +a(g7 +g956 +tp9337 +a(g545 +Vspan +p9338 +tp9339 +a(g892 +g980 +tp9340 +a(g654 +Vclass +p9341 +tp9342 +a(g400 +g1003 +tp9343 +a(g76 +V"fun" +p9344 +tp9345 +a(g7 +g960 +tp9346 +a(g892 +V_set +p9347 +tp9348 +a(g7 +g956 +tp9349 +a(g7 +g1024 +tp9350 +a(g545 +Vspan +p9351 +tp9352 +a(g7 +g960 +tp9353 +a(g892 +g984 +tp9354 +a(g7 +g956 +tp9355 +a(g545 +Vspan +p9356 +tp9357 +a(g892 +g980 +tp9358 +a(g654 +Vclass +p9359 +tp9360 +a(g400 +g1003 +tp9361 +a(g76 +V"bn bn-pseudo" +p9362 +tp9363 +a(g7 +g960 +tp9364 +a(g892 +Vself +p9365 +tp9366 +a(g7 +g956 +tp9367 +a(g7 +g1024 +tp9368 +a(g545 +Vspan +p9369 +tp9370 +a(g7 +g960 +tp9371 +a(g892 +V, +p9372 +tp9373 +a(g7 +g956 +tp9374 +a(g545 +Vspan +p9375 +tp9376 +a(g892 +g980 +tp9377 +a(g654 +Vclass +p9378 +tp9379 +a(g400 +g1003 +tp9380 +a(g76 +V"name" +p9381 +tp9382 +a(g7 +g960 +tp9383 +a(g892 +Vprivilege +p9384 +tp9385 +a(g7 +g956 +tp9386 +a(g7 +g1024 +tp9387 +a(g545 +Vspan +p9388 +tp9389 +a(g7 +g960 +tp9390 +a(g892 +V, +p9391 +tp9392 +a(g7 +g956 +tp9393 +a(g545 +Vspan +p9394 +tp9395 +a(g892 +g980 +tp9396 +a(g654 +Vclass +p9397 +tp9398 +a(g400 +g1003 +tp9399 +a(g76 +V"name" +p9400 +tp9401 +a(g7 +g960 +tp9402 +a(g892 +Vobj +p9403 +tp9404 +a(g7 +g956 +tp9405 +a(g7 +g1024 +tp9406 +a(g545 +Vspan +p9407 +tp9408 +a(g7 +g960 +tp9409 +a(g892 +V, +p9410 +tp9411 +a(g7 +g956 +tp9412 +a(g545 +Vspan +p9413 +tp9414 +a(g892 +g980 +tp9415 +a(g654 +Vclass +p9416 +tp9417 +a(g400 +g1003 +tp9418 +a(g76 +V"name" +p9419 +tp9420 +a(g7 +g960 +tp9421 +a(g892 +Vstate +p9422 +tp9423 +a(g7 +g956 +tp9424 +a(g7 +g1024 +tp9425 +a(g545 +Vspan +p9426 +tp9427 +a(g7 +g960 +tp9428 +a(g892 +V):\u000a +p9429 +tp9430 +a(g7 +g956 +tp9431 +a(g545 +Vspan +p9432 +tp9433 +a(g892 +g980 +tp9434 +a(g654 +Vclass +p9435 +tp9436 +a(g400 +g1003 +tp9437 +a(g76 +V"st st-db" +p9438 +tp9439 +a(g7 +g960 +tp9440 +a(g491 +V" +p9441 +tp9442 +a(g491 +V" +p9443 +tp9444 +a(g491 +V" +p9445 +tp9446 +a(g7 +g956 +tp9447 +a(g7 +g1024 +tp9448 +a(g545 +Vspan +p9449 +tp9450 +a(g7 +g960 +tp9451 +a(g7 +g956 +tp9452 +a(g545 +Vspan +p9453 +tp9454 +a(g892 +g980 +tp9455 +a(g654 +Vclass +p9456 +tp9457 +a(g400 +g1003 +tp9458 +a(g76 +V"st" +p9459 +tp9460 +a(g7 +g960 +tp9461 +a(g892 +VHelper functions for settings privileges. +p9462 +tp9463 +a(g7 +g956 +tp9464 +a(g7 +g1024 +tp9465 +a(g545 +Vspan +p9466 +tp9467 +a(g7 +g960 +tp9468 +a(g7 +g956 +tp9469 +a(g545 +Vspan +p9470 +tp9471 +a(g892 +g980 +tp9472 +a(g654 +Vclass +p9473 +tp9474 +a(g400 +g1003 +tp9475 +a(g76 +V"st st-db" +p9476 +tp9477 +a(g7 +g960 +tp9478 +a(g491 +V" +p9479 +tp9480 +a(g491 +V" +p9481 +tp9482 +a(g491 +V" +p9483 +tp9484 +a(g7 +g956 +tp9485 +a(g7 +g1024 +tp9486 +a(g545 +Vspan +p9487 +tp9488 +a(g7 +g960 +tp9489 +a(g892 +V\u000a\u000a +p9490 +tp9491 +a(g7 +g956 +tp9492 +a(g545 +Vspan +p9493 +tp9494 +a(g892 +g980 +tp9495 +a(g654 +Vclass +p9496 +tp9497 +a(g400 +g1003 +tp9498 +a(g76 +V"name" +p9499 +tp9500 +a(g7 +g960 +tp9501 +a(g892 +Vprivilege +p9502 +tp9503 +a(g7 +g956 +tp9504 +a(g7 +g1024 +tp9505 +a(g545 +Vspan +p9506 +tp9507 +a(g7 +g960 +tp9508 +a(g892 +g980 +tp9509 +a(g7 +g956 +tp9510 +a(g545 +Vspan +p9511 +tp9512 +a(g892 +g980 +tp9513 +a(g654 +Vclass +p9514 +tp9515 +a(g400 +g1003 +tp9516 +a(g76 +V"op" +p9517 +tp9518 +a(g7 +g960 +tp9519 +a(g892 +g1003 +tp9520 +a(g7 +g956 +tp9521 +a(g7 +g1024 +tp9522 +a(g545 +Vspan +p9523 +tp9524 +a(g7 +g960 +tp9525 +a(g892 +g980 +tp9526 +a(g7 +g956 +tp9527 +a(g545 +Vspan +p9528 +tp9529 +a(g892 +g980 +tp9530 +a(g654 +Vclass +p9531 +tp9532 +a(g400 +g1003 +tp9533 +a(g76 +V"name" +p9534 +tp9535 +a(g7 +g960 +tp9536 +a(g892 +Vprivilege +p9537 +tp9538 +a(g7 +g956 +tp9539 +a(g7 +g1024 +tp9540 +a(g545 +Vspan +p9541 +tp9542 +a(g7 +g960 +tp9543 +a(g892 +g1008 +tp9544 +a(g7 +g956 +tp9545 +a(g545 +Vspan +p9546 +tp9547 +a(g892 +g980 +tp9548 +a(g654 +Vclass +p9549 +tp9550 +a(g400 +g1003 +tp9551 +a(g76 +V"name" +p9552 +tp9553 +a(g7 +g960 +tp9554 +a(g892 +Vupper +p9555 +tp9556 +a(g7 +g956 +tp9557 +a(g7 +g1024 +tp9558 +a(g545 +Vspan +p9559 +tp9560 +a(g7 +g960 +tp9561 +a(g892 +V()\u000a +p9562 +tp9563 +a(g7 +g956 +tp9564 +a(g545 +Vspan +p9565 +tp9566 +a(g892 +g980 +tp9567 +a(g654 +Vclass +p9568 +tp9569 +a(g400 +g1003 +tp9570 +a(g76 +V"kw" +p9571 +tp9572 +a(g7 +g960 +tp9573 +a(g892 +Vif +p9574 +tp9575 +a(g7 +g956 +tp9576 +a(g7 +g1024 +tp9577 +a(g545 +Vspan +p9578 +tp9579 +a(g7 +g960 +tp9580 +a(g892 +g980 +tp9581 +a(g7 +g956 +tp9582 +a(g545 +Vspan +p9583 +tp9584 +a(g892 +g980 +tp9585 +a(g654 +Vclass +p9586 +tp9587 +a(g400 +g1003 +tp9588 +a(g76 +V"bn bn-pseudo" +p9589 +tp9590 +a(g7 +g960 +tp9591 +a(g892 +Vself +p9592 +tp9593 +a(g7 +g956 +tp9594 +a(g7 +g1024 +tp9595 +a(g545 +Vspan +p9596 +tp9597 +a(g7 +g960 +tp9598 +a(g892 +g1008 +tp9599 +a(g7 +g956 +tp9600 +a(g545 +Vspan +p9601 +tp9602 +a(g892 +g980 +tp9603 +a(g654 +Vclass +p9604 +tp9605 +a(g400 +g1003 +tp9606 +a(g76 +V"name" +p9607 +tp9608 +a(g7 +g960 +tp9609 +a(g892 +Vsubject +p9610 +tp9611 +a(g7 +g956 +tp9612 +a(g7 +g1024 +tp9613 +a(g545 +Vspan +p9614 +tp9615 +a(g7 +g960 +tp9616 +a(g892 +g1008 +tp9617 +a(g7 +g956 +tp9618 +a(g545 +Vspan +p9619 +tp9620 +a(g892 +g980 +tp9621 +a(g654 +Vclass +p9622 +tp9623 +a(g400 +g1003 +tp9624 +a(g76 +V"name" +p9625 +tp9626 +a(g7 +g960 +tp9627 +a(g892 +Vsubject_id +p9628 +tp9629 +a(g7 +g956 +tp9630 +a(g7 +g1024 +tp9631 +a(g545 +Vspan +p9632 +tp9633 +a(g7 +g960 +tp9634 +a(g892 +g980 +tp9635 +a(g7 +g956 +tp9636 +a(g545 +Vspan +p9637 +tp9638 +a(g892 +g980 +tp9639 +a(g654 +Vclass +p9640 +tp9641 +a(g400 +g1003 +tp9642 +a(g76 +V"op op-word" +p9643 +tp9644 +a(g7 +g960 +tp9645 +a(g892 +Vis +p9646 +tp9647 +a(g7 +g956 +tp9648 +a(g7 +g1024 +tp9649 +a(g545 +Vspan +p9650 +tp9651 +a(g7 +g960 +tp9652 +a(g892 +g980 +tp9653 +a(g7 +g956 +tp9654 +a(g545 +Vspan +p9655 +tp9656 +a(g892 +g980 +tp9657 +a(g654 +Vclass +p9658 +tp9659 +a(g400 +g1003 +tp9660 +a(g76 +V"bn bn-pseudo" +p9661 +tp9662 +a(g7 +g960 +tp9663 +a(g892 +VNone +p9664 +tp9665 +a(g7 +g956 +tp9666 +a(g7 +g1024 +tp9667 +a(g545 +Vspan +p9668 +tp9669 +a(g7 +g960 +tp9670 +a(g892 +V:\u000a +p9671 +tp9672 +a(g7 +g956 +tp9673 +a(g545 +Vspan +p9674 +tp9675 +a(g892 +g980 +tp9676 +a(g654 +Vclass +p9677 +tp9678 +a(g400 +g1003 +tp9679 +a(g76 +V"bn bn-pseudo" +p9680 +tp9681 +a(g7 +g960 +tp9682 +a(g892 +Vself +p9683 +tp9684 +a(g7 +g956 +tp9685 +a(g7 +g1024 +tp9686 +a(g545 +Vspan +p9687 +tp9688 +a(g7 +g960 +tp9689 +a(g892 +g1008 +tp9690 +a(g7 +g956 +tp9691 +a(g545 +Vspan +p9692 +tp9693 +a(g892 +g980 +tp9694 +a(g654 +Vclass +p9695 +tp9696 +a(g400 +g1003 +tp9697 +a(g76 +V"name" +p9698 +tp9699 +a(g7 +g960 +tp9700 +a(g892 +V_bootstrap +p9701 +tp9702 +a(g7 +g956 +tp9703 +a(g7 +g1024 +tp9704 +a(g545 +Vspan +p9705 +tp9706 +a(g7 +g960 +tp9707 +a(g892 +V()\u000a +p9708 +tp9709 +a(g7 +g956 +tp9710 +a(g545 +Vspan +p9711 +tp9712 +a(g892 +g980 +tp9713 +a(g654 +Vclass +p9714 +tp9715 +a(g400 +g1003 +tp9716 +a(g76 +V"kw" +p9717 +tp9718 +a(g7 +g960 +tp9719 +a(g892 +Vif +p9720 +tp9721 +a(g7 +g956 +tp9722 +a(g7 +g1024 +tp9723 +a(g545 +Vspan +p9724 +tp9725 +a(g7 +g960 +tp9726 +a(g892 +g980 +tp9727 +a(g7 +g956 +tp9728 +a(g545 +Vspan +p9729 +tp9730 +a(g892 +g980 +tp9731 +a(g654 +Vclass +p9732 +tp9733 +a(g400 +g1003 +tp9734 +a(g76 +V"name" +p9735 +tp9736 +a(g7 +g960 +tp9737 +a(g892 +Vobj +p9738 +tp9739 +a(g7 +g956 +tp9740 +a(g7 +g1024 +tp9741 +a(g545 +Vspan +p9742 +tp9743 +a(g7 +g960 +tp9744 +a(g892 +g1008 +tp9745 +a(g7 +g956 +tp9746 +a(g545 +Vspan +p9747 +tp9748 +a(g892 +g980 +tp9749 +a(g654 +Vclass +p9750 +tp9751 +a(g400 +g1003 +tp9752 +a(g76 +V"name" +p9753 +tp9754 +a(g7 +g960 +tp9755 +a(g892 +Vobject_id +p9756 +tp9757 +a(g7 +g956 +tp9758 +a(g7 +g1024 +tp9759 +a(g545 +Vspan +p9760 +tp9761 +a(g7 +g960 +tp9762 +a(g892 +g980 +tp9763 +a(g7 +g956 +tp9764 +a(g545 +Vspan +p9765 +tp9766 +a(g892 +g980 +tp9767 +a(g654 +Vclass +p9768 +tp9769 +a(g400 +g1003 +tp9770 +a(g76 +V"op op-word" +p9771 +tp9772 +a(g7 +g960 +tp9773 +a(g892 +Vis +p9774 +tp9775 +a(g7 +g956 +tp9776 +a(g7 +g1024 +tp9777 +a(g545 +Vspan +p9778 +tp9779 +a(g7 +g960 +tp9780 +a(g892 +g980 +tp9781 +a(g7 +g956 +tp9782 +a(g545 +Vspan +p9783 +tp9784 +a(g892 +g980 +tp9785 +a(g654 +Vclass +p9786 +tp9787 +a(g400 +g1003 +tp9788 +a(g76 +V"bn bn-pseudo" +p9789 +tp9790 +a(g7 +g960 +tp9791 +a(g892 +VNone +p9792 +tp9793 +a(g7 +g956 +tp9794 +a(g7 +g1024 +tp9795 +a(g545 +Vspan +p9796 +tp9797 +a(g7 +g960 +tp9798 +a(g892 +V:\u000a +p9799 +tp9800 +a(g7 +g956 +tp9801 +a(g545 +Vspan +p9802 +tp9803 +a(g892 +g980 +tp9804 +a(g654 +Vclass +p9805 +tp9806 +a(g400 +g1003 +tp9807 +a(g76 +V"bn bn-pseudo" +p9808 +tp9809 +a(g7 +g960 +tp9810 +a(g892 +Vself +p9811 +tp9812 +a(g7 +g956 +tp9813 +a(g7 +g1024 +tp9814 +a(g545 +Vspan +p9815 +tp9816 +a(g7 +g960 +tp9817 +a(g892 +g1008 +tp9818 +a(g7 +g956 +tp9819 +a(g545 +Vspan +p9820 +tp9821 +a(g892 +g980 +tp9822 +a(g654 +Vclass +p9823 +tp9824 +a(g400 +g1003 +tp9825 +a(g76 +V"name" +p9826 +tp9827 +a(g7 +g960 +tp9828 +a(g892 +V_bootstrap_object +p9829 +tp9830 +a(g7 +g956 +tp9831 +a(g7 +g1024 +tp9832 +a(g545 +Vspan +p9833 +tp9834 +a(g7 +g960 +tp9835 +a(g892 +g984 +tp9836 +a(g7 +g956 +tp9837 +a(g545 +Vspan +p9838 +tp9839 +a(g892 +g980 +tp9840 +a(g654 +Vclass +p9841 +tp9842 +a(g400 +g1003 +tp9843 +a(g76 +V"name" +p9844 +tp9845 +a(g7 +g960 +tp9846 +a(g892 +Vobj +p9847 +tp9848 +a(g7 +g956 +tp9849 +a(g7 +g1024 +tp9850 +a(g545 +Vspan +p9851 +tp9852 +a(g7 +g960 +tp9853 +a(g892 +V)\u000a +p9854 +tp9855 +a(g7 +g956 +tp9856 +a(g545 +Vspan +p9857 +tp9858 +a(g892 +g980 +tp9859 +a(g654 +Vclass +p9860 +tp9861 +a(g400 +g1003 +tp9862 +a(g76 +V"cm" +p9863 +tp9864 +a(g7 +g960 +tp9865 +a(g892 +V# special state +p9866 +tp9867 +a(g491 +V" +p9868 +tp9869 +a(g892 +g1112 +tp9870 +a(g491 +V" +p9871 +tp9872 +a(g892 +V which means delete +p9873 +tp9874 +a(g7 +g956 +tp9875 +a(g7 +g1024 +tp9876 +a(g545 +Vspan +p9877 +tp9878 +a(g7 +g960 +tp9879 +a(g892 +V\u000a\u000a +p9880 +tp9881 +a(g7 +g956 +tp9882 +a(g545 +Vspan +p9883 +tp9884 +a(g892 +g980 +tp9885 +a(g654 +Vclass +p9886 +tp9887 +a(g400 +g1003 +tp9888 +a(g76 +V"kw" +p9889 +tp9890 +a(g7 +g960 +tp9891 +a(g892 +Vif +p9892 +tp9893 +a(g7 +g956 +tp9894 +a(g7 +g1024 +tp9895 +a(g545 +Vspan +p9896 +tp9897 +a(g7 +g960 +tp9898 +a(g892 +g980 +tp9899 +a(g7 +g956 +tp9900 +a(g545 +Vspan +p9901 +tp9902 +a(g892 +g980 +tp9903 +a(g654 +Vclass +p9904 +tp9905 +a(g400 +g1003 +tp9906 +a(g76 +V"op op-word" +p9907 +tp9908 +a(g7 +g960 +tp9909 +a(g892 +Vnot +p9910 +tp9911 +a(g7 +g956 +tp9912 +a(g7 +g1024 +tp9913 +a(g545 +Vspan +p9914 +tp9915 +a(g7 +g960 +tp9916 +a(g892 +g980 +tp9917 +a(g7 +g956 +tp9918 +a(g545 +Vspan +p9919 +tp9920 +a(g892 +g980 +tp9921 +a(g654 +Vclass +p9922 +tp9923 +a(g400 +g1003 +tp9924 +a(g76 +V"name" +p9925 +tp9926 +a(g7 +g960 +tp9927 +a(g892 +Vstate +p9928 +tp9929 +a(g7 +g956 +tp9930 +a(g7 +g1024 +tp9931 +a(g545 +Vspan +p9932 +tp9933 +a(g7 +g960 +tp9934 +a(g892 +V:\u000a +p9935 +tp9936 +a(g7 +g956 +tp9937 +a(g545 +Vspan +p9938 +tp9939 +a(g892 +g980 +tp9940 +a(g654 +Vclass +p9941 +tp9942 +a(g400 +g1003 +tp9943 +a(g76 +V"name" +p9944 +tp9945 +a(g7 +g960 +tp9946 +a(g892 +Vp +p9947 +tp9948 +a(g7 +g956 +tp9949 +a(g7 +g1024 +tp9950 +a(g545 +Vspan +p9951 +tp9952 +a(g7 +g960 +tp9953 +a(g892 +g980 +tp9954 +a(g7 +g956 +tp9955 +a(g545 +Vspan +p9956 +tp9957 +a(g892 +g980 +tp9958 +a(g654 +Vclass +p9959 +tp9960 +a(g400 +g1003 +tp9961 +a(g76 +V"op" +p9962 +tp9963 +a(g7 +g960 +tp9964 +a(g892 +g1003 +tp9965 +a(g7 +g956 +tp9966 +a(g7 +g1024 +tp9967 +a(g545 +Vspan +p9968 +tp9969 +a(g7 +g960 +tp9970 +a(g892 +g980 +tp9971 +a(g7 +g956 +tp9972 +a(g545 +Vspan +p9973 +tp9974 +a(g892 +g980 +tp9975 +a(g654 +Vclass +p9976 +tp9977 +a(g400 +g1003 +tp9978 +a(g76 +V"name" +p9979 +tp9980 +a(g7 +g960 +tp9981 +a(g892 +Vmeta +p9982 +tp9983 +a(g7 +g956 +tp9984 +a(g7 +g1024 +tp9985 +a(g545 +Vspan +p9986 +tp9987 +a(g7 +g960 +tp9988 +a(g892 +g1008 +tp9989 +a(g7 +g956 +tp9990 +a(g545 +Vspan +p9991 +tp9992 +a(g892 +g980 +tp9993 +a(g654 +Vclass +p9994 +tp9995 +a(g400 +g1003 +tp9996 +a(g76 +V"name" +p9997 +tp9998 +a(g7 +g960 +tp9999 +a(g892 +Vselect +p10000 +tp10001 +a(g7 +g956 +tp10002 +a(g7 +g1024 +tp10003 +a(g545 +Vspan +p10004 +tp10005 +a(g7 +g960 +tp10006 +a(g892 +V([ +p10007 +tp10008 +a(g7 +g956 +tp10009 +a(g545 +Vspan +p10010 +tp10011 +a(g892 +g980 +tp10012 +a(g654 +Vclass +p10013 +tp10014 +a(g400 +g1003 +tp10015 +a(g76 +V"name" +p10016 +tp10017 +a(g7 +g960 +tp10018 +a(g892 +Vprivileges +p10019 +tp10020 +a(g7 +g956 +tp10021 +a(g7 +g1024 +tp10022 +a(g545 +Vspan +p10023 +tp10024 +a(g7 +g960 +tp10025 +a(g892 +g1008 +tp10026 +a(g7 +g956 +tp10027 +a(g545 +Vspan +p10028 +tp10029 +a(g892 +g980 +tp10030 +a(g654 +Vclass +p10031 +tp10032 +a(g400 +g1003 +tp10033 +a(g76 +V"name" +p10034 +tp10035 +a(g7 +g960 +tp10036 +a(g892 +g5469 +tp10037 +a(g7 +g956 +tp10038 +a(g7 +g1024 +tp10039 +a(g545 +Vspan +p10040 +tp10041 +a(g7 +g960 +tp10042 +a(g892 +g1008 +tp10043 +a(g7 +g956 +tp10044 +a(g545 +Vspan +p10045 +tp10046 +a(g892 +g980 +tp10047 +a(g654 +Vclass +p10048 +tp10049 +a(g400 +g1003 +tp10050 +a(g76 +V"name" +p10051 +tp10052 +a(g7 +g960 +tp10053 +a(g892 +Vpriv_id +p10054 +tp10055 +a(g7 +g956 +tp10056 +a(g7 +g1024 +tp10057 +a(g545 +Vspan +p10058 +tp10059 +a(g7 +g960 +tp10060 +a(g892 +V], +p10061 +tp10062 +a(g7 +g956 +tp10063 +a(g545 +Vspan +p10064 +tp10065 +a(g892 +g980 +tp10066 +a(g654 +Vclass +p10067 +tp10068 +a(g400 +g1003 +tp10069 +a(g76 +V"name" +p10070 +tp10071 +a(g7 +g960 +tp10072 +a(g892 +Vprivileges +p10073 +tp10074 +a(g7 +g956 +tp10075 +a(g7 +g1024 +tp10076 +a(g545 +Vspan +p10077 +tp10078 +a(g7 +g960 +tp10079 +a(g892 +g1008 +tp10080 +a(g7 +g956 +tp10081 +a(g545 +Vspan +p10082 +tp10083 +a(g892 +g980 +tp10084 +a(g654 +Vclass +p10085 +tp10086 +a(g400 +g1003 +tp10087 +a(g76 +V"name" +p10088 +tp10089 +a(g7 +g960 +tp10090 +a(g892 +g5469 +tp10091 +a(g7 +g956 +tp10092 +a(g7 +g1024 +tp10093 +a(g545 +Vspan +p10094 +tp10095 +a(g7 +g960 +tp10096 +a(g892 +g1008 +tp10097 +a(g7 +g956 +tp10098 +a(g545 +Vspan +p10099 +tp10100 +a(g892 +g980 +tp10101 +a(g654 +Vclass +p10102 +tp10103 +a(g400 +g1003 +tp10104 +a(g76 +V"name" +p10105 +tp10106 +a(g7 +g960 +tp10107 +a(g892 +Vname +p10108 +tp10109 +a(g7 +g956 +tp10110 +a(g7 +g1024 +tp10111 +a(g545 +Vspan +p10112 +tp10113 +a(g7 +g960 +tp10114 +a(g892 +g980 +tp10115 +a(g7 +g956 +tp10116 +a(g545 +Vspan +p10117 +tp10118 +a(g892 +g980 +tp10119 +a(g654 +Vclass +p10120 +tp10121 +a(g400 +g1003 +tp10122 +a(g76 +V"op" +p10123 +tp10124 +a(g7 +g960 +tp10125 +a(g892 +V== +p10126 +tp10127 +a(g7 +g956 +tp10128 +a(g7 +g1024 +tp10129 +a(g545 +Vspan +p10130 +tp10131 +a(g7 +g960 +tp10132 +a(g892 +g980 +tp10133 +a(g7 +g956 +tp10134 +a(g545 +Vspan +p10135 +tp10136 +a(g892 +g980 +tp10137 +a(g654 +Vclass +p10138 +tp10139 +a(g400 +g1003 +tp10140 +a(g76 +V"name" +p10141 +tp10142 +a(g7 +g960 +tp10143 +a(g892 +Vprivilege +p10144 +tp10145 +a(g7 +g956 +tp10146 +a(g7 +g1024 +tp10147 +a(g545 +Vspan +p10148 +tp10149 +a(g7 +g960 +tp10150 +a(g892 +V)\u000a +p10151 +tp10152 +a(g7 +g956 +tp10153 +a(g545 +Vspan +p10154 +tp10155 +a(g892 +g980 +tp10156 +a(g654 +Vclass +p10157 +tp10158 +a(g400 +g1003 +tp10159 +a(g76 +V"bn bn-pseudo" +p10160 +tp10161 +a(g7 +g960 +tp10162 +a(g892 +Vself +p10163 +tp10164 +a(g7 +g956 +tp10165 +a(g7 +g1024 +tp10166 +a(g545 +Vspan +p10167 +tp10168 +a(g7 +g960 +tp10169 +a(g892 +g1008 +tp10170 +a(g7 +g956 +tp10171 +a(g545 +Vspan +p10172 +tp10173 +a(g892 +g980 +tp10174 +a(g654 +Vclass +p10175 +tp10176 +a(g400 +g1003 +tp10177 +a(g76 +V"name" +p10178 +tp10179 +a(g7 +g960 +tp10180 +a(g892 +Vctx +p10181 +tp10182 +a(g7 +g956 +tp10183 +a(g7 +g1024 +tp10184 +a(g545 +Vspan +p10185 +tp10186 +a(g7 +g960 +tp10187 +a(g892 +g1008 +tp10188 +a(g7 +g956 +tp10189 +a(g545 +Vspan +p10190 +tp10191 +a(g892 +g980 +tp10192 +a(g654 +Vclass +p10193 +tp10194 +a(g400 +g1003 +tp10195 +a(g76 +V"name" +p10196 +tp10197 +a(g7 +g960 +tp10198 +a(g892 +Vengine +p10199 +tp10200 +a(g7 +g956 +tp10201 +a(g7 +g1024 +tp10202 +a(g545 +Vspan +p10203 +tp10204 +a(g7 +g960 +tp10205 +a(g892 +g1008 +tp10206 +a(g7 +g956 +tp10207 +a(g545 +Vspan +p10208 +tp10209 +a(g892 +g980 +tp10210 +a(g654 +Vclass +p10211 +tp10212 +a(g400 +g1003 +tp10213 +a(g76 +V"name" +p10214 +tp10215 +a(g7 +g960 +tp10216 +a(g892 +Vexecute +p10217 +tp10218 +a(g7 +g956 +tp10219 +a(g7 +g1024 +tp10220 +a(g545 +Vspan +p10221 +tp10222 +a(g7 +g960 +tp10223 +a(g892 +g984 +tp10224 +a(g7 +g956 +tp10225 +a(g545 +Vspan +p10226 +tp10227 +a(g892 +g980 +tp10228 +a(g654 +Vclass +p10229 +tp10230 +a(g400 +g1003 +tp10231 +a(g76 +V"name" +p10232 +tp10233 +a(g7 +g960 +tp10234 +a(g892 +Vacl_mapping +p10235 +tp10236 +a(g7 +g956 +tp10237 +a(g7 +g1024 +tp10238 +a(g545 +Vspan +p10239 +tp10240 +a(g7 +g960 +tp10241 +a(g892 +g1008 +tp10242 +a(g7 +g956 +tp10243 +a(g545 +Vspan +p10244 +tp10245 +a(g892 +g980 +tp10246 +a(g654 +Vclass +p10247 +tp10248 +a(g400 +g1003 +tp10249 +a(g76 +V"name" +p10250 +tp10251 +a(g7 +g960 +tp10252 +a(g892 +Vdelete +p10253 +tp10254 +a(g7 +g956 +tp10255 +a(g7 +g1024 +tp10256 +a(g545 +Vspan +p10257 +tp10258 +a(g7 +g960 +tp10259 +a(g892 +V(\u000a ( +p10260 +tp10261 +a(g7 +g956 +tp10262 +a(g545 +Vspan +p10263 +tp10264 +a(g892 +g980 +tp10265 +a(g654 +Vclass +p10266 +tp10267 +a(g400 +g1003 +tp10268 +a(g76 +V"name" +p10269 +tp10270 +a(g7 +g960 +tp10271 +a(g892 +Vacl_mapping +p10272 +tp10273 +a(g7 +g956 +tp10274 +a(g7 +g1024 +tp10275 +a(g545 +Vspan +p10276 +tp10277 +a(g7 +g960 +tp10278 +a(g892 +g1008 +tp10279 +a(g7 +g956 +tp10280 +a(g545 +Vspan +p10281 +tp10282 +a(g892 +g980 +tp10283 +a(g654 +Vclass +p10284 +tp10285 +a(g400 +g1003 +tp10286 +a(g76 +V"name" +p10287 +tp10288 +a(g7 +g960 +tp10289 +a(g892 +g5469 +tp10290 +a(g7 +g956 +tp10291 +a(g7 +g1024 +tp10292 +a(g545 +Vspan +p10293 +tp10294 +a(g7 +g960 +tp10295 +a(g892 +g1008 +tp10296 +a(g7 +g956 +tp10297 +a(g545 +Vspan +p10298 +tp10299 +a(g892 +g980 +tp10300 +a(g654 +Vclass +p10301 +tp10302 +a(g400 +g1003 +tp10303 +a(g76 +V"name" +p10304 +tp10305 +a(g7 +g960 +tp10306 +a(g892 +Vpriv_id +p10307 +tp10308 +a(g7 +g956 +tp10309 +a(g7 +g1024 +tp10310 +a(g545 +Vspan +p10311 +tp10312 +a(g7 +g960 +tp10313 +a(g892 +g980 +tp10314 +a(g7 +g956 +tp10315 +a(g545 +Vspan +p10316 +tp10317 +a(g892 +g980 +tp10318 +a(g654 +Vclass +p10319 +tp10320 +a(g400 +g1003 +tp10321 +a(g76 +V"op" +p10322 +tp10323 +a(g7 +g960 +tp10324 +a(g892 +V== +p10325 +tp10326 +a(g7 +g956 +tp10327 +a(g7 +g1024 +tp10328 +a(g545 +Vspan +p10329 +tp10330 +a(g7 +g960 +tp10331 +a(g892 +g980 +tp10332 +a(g7 +g956 +tp10333 +a(g545 +Vspan +p10334 +tp10335 +a(g892 +g980 +tp10336 +a(g654 +Vclass +p10337 +tp10338 +a(g400 +g1003 +tp10339 +a(g76 +V"name" +p10340 +tp10341 +a(g7 +g960 +tp10342 +a(g892 +g9947 +tp10343 +a(g7 +g956 +tp10344 +a(g7 +g1024 +tp10345 +a(g545 +Vspan +p10346 +tp10347 +a(g7 +g960 +tp10348 +a(g892 +g1008 +tp10349 +a(g7 +g956 +tp10350 +a(g545 +Vspan +p10351 +tp10352 +a(g892 +g980 +tp10353 +a(g654 +Vclass +p10354 +tp10355 +a(g400 +g1003 +tp10356 +a(g76 +V"name" +p10357 +tp10358 +a(g7 +g960 +tp10359 +a(g892 +g5469 +tp10360 +a(g7 +g956 +tp10361 +a(g7 +g1024 +tp10362 +a(g545 +Vspan +p10363 +tp10364 +a(g7 +g960 +tp10365 +a(g892 +g1008 +tp10366 +a(g7 +g956 +tp10367 +a(g545 +Vspan +p10368 +tp10369 +a(g892 +g980 +tp10370 +a(g654 +Vclass +p10371 +tp10372 +a(g400 +g1003 +tp10373 +a(g76 +V"name" +p10374 +tp10375 +a(g7 +g960 +tp10376 +a(g892 +Vpriv_id +p10377 +tp10378 +a(g7 +g956 +tp10379 +a(g7 +g1024 +tp10380 +a(g545 +Vspan +p10381 +tp10382 +a(g7 +g960 +tp10383 +a(g892 +V) +p10384 +tp10385 +a(g7 +g956 +tp10386 +a(g545 +Vspan +p10387 +tp10388 +a(g892 +g980 +tp10389 +a(g654 +Vclass +p10390 +tp10391 +a(g400 +g1003 +tp10392 +a(g76 +V"op" +p10393 +tp10394 +a(g7 +g960 +tp10395 +a(g491 +V& +p10396 +tp10397 +a(g7 +g956 +tp10398 +a(g7 +g1024 +tp10399 +a(g545 +Vspan +p10400 +tp10401 +a(g7 +g960 +tp10402 +a(g892 +V\u000a\u000a ( +p10403 +tp10404 +a(g7 +g956 +tp10405 +a(g545 +Vspan +p10406 +tp10407 +a(g892 +g980 +tp10408 +a(g654 +Vclass +p10409 +tp10410 +a(g400 +g1003 +tp10411 +a(g76 +V"name" +p10412 +tp10413 +a(g7 +g960 +tp10414 +a(g892 +Vacl_mapping +p10415 +tp10416 +a(g7 +g956 +tp10417 +a(g7 +g1024 +tp10418 +a(g545 +Vspan +p10419 +tp10420 +a(g7 +g960 +tp10421 +a(g892 +g1008 +tp10422 +a(g7 +g956 +tp10423 +a(g545 +Vspan +p10424 +tp10425 +a(g892 +g980 +tp10426 +a(g654 +Vclass +p10427 +tp10428 +a(g400 +g1003 +tp10429 +a(g76 +V"name" +p10430 +tp10431 +a(g7 +g960 +tp10432 +a(g892 +g5469 +tp10433 +a(g7 +g956 +tp10434 +a(g7 +g1024 +tp10435 +a(g545 +Vspan +p10436 +tp10437 +a(g7 +g960 +tp10438 +a(g892 +g1008 +tp10439 +a(g7 +g956 +tp10440 +a(g545 +Vspan +p10441 +tp10442 +a(g892 +g980 +tp10443 +a(g654 +Vclass +p10444 +tp10445 +a(g400 +g1003 +tp10446 +a(g76 +V"name" +p10447 +tp10448 +a(g7 +g960 +tp10449 +a(g892 +Vsubject_id +p10450 +tp10451 +a(g7 +g956 +tp10452 +a(g7 +g1024 +tp10453 +a(g545 +Vspan +p10454 +tp10455 +a(g7 +g960 +tp10456 +a(g892 +g980 +tp10457 +a(g7 +g956 +tp10458 +a(g545 +Vspan +p10459 +tp10460 +a(g892 +g980 +tp10461 +a(g654 +Vclass +p10462 +tp10463 +a(g400 +g1003 +tp10464 +a(g76 +V"op" +p10465 +tp10466 +a(g7 +g960 +tp10467 +a(g892 +V== +p10468 +tp10469 +a(g7 +g956 +tp10470 +a(g7 +g1024 +tp10471 +a(g545 +Vspan +p10472 +tp10473 +a(g7 +g960 +tp10474 +a(g892 +g980 +tp10475 +a(g7 +g956 +tp10476 +a(g545 +Vspan +p10477 +tp10478 +a(g892 +g980 +tp10479 +a(g654 +Vclass +p10480 +tp10481 +a(g400 +g1003 +tp10482 +a(g76 +V"bn bn-pseudo" +p10483 +tp10484 +a(g7 +g960 +tp10485 +a(g892 +Vself +p10486 +tp10487 +a(g7 +g956 +tp10488 +a(g7 +g1024 +tp10489 +a(g545 +Vspan +p10490 +tp10491 +a(g7 +g960 +tp10492 +a(g892 +g1008 +tp10493 +a(g7 +g956 +tp10494 +a(g545 +Vspan +p10495 +tp10496 +a(g892 +g980 +tp10497 +a(g654 +Vclass +p10498 +tp10499 +a(g400 +g1003 +tp10500 +a(g76 +V"name" +p10501 +tp10502 +a(g7 +g960 +tp10503 +a(g892 +Vsubject +p10504 +tp10505 +a(g7 +g956 +tp10506 +a(g7 +g1024 +tp10507 +a(g545 +Vspan +p10508 +tp10509 +a(g7 +g960 +tp10510 +a(g892 +g1008 +tp10511 +a(g7 +g956 +tp10512 +a(g545 +Vspan +p10513 +tp10514 +a(g892 +g980 +tp10515 +a(g654 +Vclass +p10516 +tp10517 +a(g400 +g1003 +tp10518 +a(g76 +V"name" +p10519 +tp10520 +a(g7 +g960 +tp10521 +a(g892 +Vsubject_id +p10522 +tp10523 +a(g7 +g956 +tp10524 +a(g7 +g1024 +tp10525 +a(g545 +Vspan +p10526 +tp10527 +a(g7 +g960 +tp10528 +a(g892 +V) +p10529 +tp10530 +a(g7 +g956 +tp10531 +a(g545 +Vspan +p10532 +tp10533 +a(g892 +g980 +tp10534 +a(g654 +Vclass +p10535 +tp10536 +a(g400 +g1003 +tp10537 +a(g76 +V"op" +p10538 +tp10539 +a(g7 +g960 +tp10540 +a(g491 +V& +p10541 +tp10542 +a(g7 +g956 +tp10543 +a(g7 +g1024 +tp10544 +a(g545 +Vspan +p10545 +tp10546 +a(g7 +g960 +tp10547 +a(g892 +V\u000a\u000a ( +p10548 +tp10549 +a(g7 +g956 +tp10550 +a(g545 +Vspan +p10551 +tp10552 +a(g892 +g980 +tp10553 +a(g654 +Vclass +p10554 +tp10555 +a(g400 +g1003 +tp10556 +a(g76 +V"name" +p10557 +tp10558 +a(g7 +g960 +tp10559 +a(g892 +Vacl_mapping +p10560 +tp10561 +a(g7 +g956 +tp10562 +a(g7 +g1024 +tp10563 +a(g545 +Vspan +p10564 +tp10565 +a(g7 +g960 +tp10566 +a(g892 +g1008 +tp10567 +a(g7 +g956 +tp10568 +a(g545 +Vspan +p10569 +tp10570 +a(g892 +g980 +tp10571 +a(g654 +Vclass +p10572 +tp10573 +a(g400 +g1003 +tp10574 +a(g76 +V"name" +p10575 +tp10576 +a(g7 +g960 +tp10577 +a(g892 +g5469 +tp10578 +a(g7 +g956 +tp10579 +a(g7 +g1024 +tp10580 +a(g545 +Vspan +p10581 +tp10582 +a(g7 +g960 +tp10583 +a(g892 +g1008 +tp10584 +a(g7 +g956 +tp10585 +a(g545 +Vspan +p10586 +tp10587 +a(g892 +g980 +tp10588 +a(g654 +Vclass +p10589 +tp10590 +a(g400 +g1003 +tp10591 +a(g76 +V"name" +p10592 +tp10593 +a(g7 +g960 +tp10594 +a(g892 +Vobject_id +p10595 +tp10596 +a(g7 +g956 +tp10597 +a(g7 +g1024 +tp10598 +a(g545 +Vspan +p10599 +tp10600 +a(g7 +g960 +tp10601 +a(g892 +g980 +tp10602 +a(g7 +g956 +tp10603 +a(g545 +Vspan +p10604 +tp10605 +a(g892 +g980 +tp10606 +a(g654 +Vclass +p10607 +tp10608 +a(g400 +g1003 +tp10609 +a(g76 +V"op" +p10610 +tp10611 +a(g7 +g960 +tp10612 +a(g892 +V== +p10613 +tp10614 +a(g7 +g956 +tp10615 +a(g7 +g1024 +tp10616 +a(g545 +Vspan +p10617 +tp10618 +a(g7 +g960 +tp10619 +a(g892 +g980 +tp10620 +a(g7 +g956 +tp10621 +a(g545 +Vspan +p10622 +tp10623 +a(g892 +g980 +tp10624 +a(g654 +Vclass +p10625 +tp10626 +a(g400 +g1003 +tp10627 +a(g76 +V"name" +p10628 +tp10629 +a(g7 +g960 +tp10630 +a(g892 +Vobj +p10631 +tp10632 +a(g7 +g956 +tp10633 +a(g7 +g1024 +tp10634 +a(g545 +Vspan +p10635 +tp10636 +a(g7 +g960 +tp10637 +a(g892 +g1008 +tp10638 +a(g7 +g956 +tp10639 +a(g545 +Vspan +p10640 +tp10641 +a(g892 +g980 +tp10642 +a(g654 +Vclass +p10643 +tp10644 +a(g400 +g1003 +tp10645 +a(g76 +V"name" +p10646 +tp10647 +a(g7 +g960 +tp10648 +a(g892 +Vobject_id +p10649 +tp10650 +a(g7 +g956 +tp10651 +a(g7 +g1024 +tp10652 +a(g545 +Vspan +p10653 +tp10654 +a(g7 +g960 +tp10655 +a(g892 +V)\u000a ))\u000a +p10656 +tp10657 +a(g7 +g956 +tp10658 +a(g545 +Vspan +p10659 +tp10660 +a(g892 +g980 +tp10661 +a(g654 +Vclass +p10662 +tp10663 +a(g400 +g1003 +tp10664 +a(g76 +V"kw" +p10665 +tp10666 +a(g7 +g960 +tp10667 +a(g892 +Vreturn +p10668 +tp10669 +a(g7 +g956 +tp10670 +a(g7 +g1024 +tp10671 +a(g545 +Vspan +p10672 +tp10673 +a(g7 +g960 +tp10674 +a(g892 +V\u000a +p10675 +tp10676 +a(g7 +g956 +tp10677 +a(g545 +Vspan +p10678 +tp10679 +a(g892 +g980 +tp10680 +a(g654 +Vclass +p10681 +tp10682 +a(g400 +g1003 +tp10683 +a(g76 +V"cm" +p10684 +tp10685 +a(g7 +g960 +tp10686 +a(g892 +V# touch privilege and check existing mapping +p10687 +tp10688 +a(g7 +g956 +tp10689 +a(g7 +g1024 +tp10690 +a(g545 +Vspan +p10691 +tp10692 +a(g7 +g960 +tp10693 +a(g892 +V\u000a\u000a +p10694 +tp10695 +a(g7 +g956 +tp10696 +a(g545 +Vspan +p10697 +tp10698 +a(g892 +g980 +tp10699 +a(g654 +Vclass +p10700 +tp10701 +a(g400 +g1003 +tp10702 +a(g76 +V"name" +p10703 +tp10704 +a(g7 +g960 +tp10705 +a(g892 +Vpriv_id +p10706 +tp10707 +a(g7 +g956 +tp10708 +a(g7 +g1024 +tp10709 +a(g545 +Vspan +p10710 +tp10711 +a(g7 +g960 +tp10712 +a(g892 +g980 +tp10713 +a(g7 +g956 +tp10714 +a(g545 +Vspan +p10715 +tp10716 +a(g892 +g980 +tp10717 +a(g654 +Vclass +p10718 +tp10719 +a(g400 +g1003 +tp10720 +a(g76 +V"op" +p10721 +tp10722 +a(g7 +g960 +tp10723 +a(g892 +g1003 +tp10724 +a(g7 +g956 +tp10725 +a(g7 +g1024 +tp10726 +a(g545 +Vspan +p10727 +tp10728 +a(g7 +g960 +tp10729 +a(g892 +g980 +tp10730 +a(g7 +g956 +tp10731 +a(g545 +Vspan +p10732 +tp10733 +a(g892 +g980 +tp10734 +a(g654 +Vclass +p10735 +tp10736 +a(g400 +g1003 +tp10737 +a(g76 +V"bn bn-pseudo" +p10738 +tp10739 +a(g7 +g960 +tp10740 +a(g892 +Vself +p10741 +tp10742 +a(g7 +g956 +tp10743 +a(g7 +g1024 +tp10744 +a(g545 +Vspan +p10745 +tp10746 +a(g7 +g960 +tp10747 +a(g892 +g1008 +tp10748 +a(g7 +g956 +tp10749 +a(g545 +Vspan +p10750 +tp10751 +a(g892 +g980 +tp10752 +a(g654 +Vclass +p10753 +tp10754 +a(g400 +g1003 +tp10755 +a(g76 +V"name" +p10756 +tp10757 +a(g7 +g960 +tp10758 +a(g892 +V_fetch_privilege +p10759 +tp10760 +a(g7 +g956 +tp10761 +a(g7 +g1024 +tp10762 +a(g545 +Vspan +p10763 +tp10764 +a(g7 +g960 +tp10765 +a(g892 +g984 +tp10766 +a(g7 +g956 +tp10767 +a(g545 +Vspan +p10768 +tp10769 +a(g892 +g980 +tp10770 +a(g654 +Vclass +p10771 +tp10772 +a(g400 +g1003 +tp10773 +a(g76 +V"name" +p10774 +tp10775 +a(g7 +g960 +tp10776 +a(g892 +Vprivilege +p10777 +tp10778 +a(g7 +g956 +tp10779 +a(g7 +g1024 +tp10780 +a(g545 +Vspan +p10781 +tp10782 +a(g7 +g960 +tp10783 +a(g892 +V)\u000a +p10784 +tp10785 +a(g7 +g956 +tp10786 +a(g545 +Vspan +p10787 +tp10788 +a(g892 +g980 +tp10789 +a(g654 +Vclass +p10790 +tp10791 +a(g400 +g1003 +tp10792 +a(g76 +V"name" +p10793 +tp10794 +a(g7 +g960 +tp10795 +a(g892 +g5848 +tp10796 +a(g7 +g956 +tp10797 +a(g7 +g1024 +tp10798 +a(g545 +Vspan +p10799 +tp10800 +a(g7 +g960 +tp10801 +a(g892 +g980 +tp10802 +a(g7 +g956 +tp10803 +a(g545 +Vspan +p10804 +tp10805 +a(g892 +g980 +tp10806 +a(g654 +Vclass +p10807 +tp10808 +a(g400 +g1003 +tp10809 +a(g76 +V"op" +p10810 +tp10811 +a(g7 +g960 +tp10812 +a(g892 +g1003 +tp10813 +a(g7 +g956 +tp10814 +a(g7 +g1024 +tp10815 +a(g545 +Vspan +p10816 +tp10817 +a(g7 +g960 +tp10818 +a(g892 +g980 +tp10819 +a(g7 +g956 +tp10820 +a(g545 +Vspan +p10821 +tp10822 +a(g892 +g980 +tp10823 +a(g654 +Vclass +p10824 +tp10825 +a(g400 +g1003 +tp10826 +a(g76 +V"bn bn-pseudo" +p10827 +tp10828 +a(g7 +g960 +tp10829 +a(g892 +Vself +p10830 +tp10831 +a(g7 +g956 +tp10832 +a(g7 +g1024 +tp10833 +a(g545 +Vspan +p10834 +tp10835 +a(g7 +g960 +tp10836 +a(g892 +g1008 +tp10837 +a(g7 +g956 +tp10838 +a(g545 +Vspan +p10839 +tp10840 +a(g892 +g980 +tp10841 +a(g654 +Vclass +p10842 +tp10843 +a(g400 +g1003 +tp10844 +a(g76 +V"name" +p10845 +tp10846 +a(g7 +g960 +tp10847 +a(g892 +Vctx +p10848 +tp10849 +a(g7 +g956 +tp10850 +a(g7 +g1024 +tp10851 +a(g545 +Vspan +p10852 +tp10853 +a(g7 +g960 +tp10854 +a(g892 +g1008 +tp10855 +a(g7 +g956 +tp10856 +a(g545 +Vspan +p10857 +tp10858 +a(g892 +g980 +tp10859 +a(g654 +Vclass +p10860 +tp10861 +a(g400 +g1003 +tp10862 +a(g76 +V"name" +p10863 +tp10864 +a(g7 +g960 +tp10865 +a(g892 +Vengine +p10866 +tp10867 +a(g7 +g956 +tp10868 +a(g7 +g1024 +tp10869 +a(g545 +Vspan +p10870 +tp10871 +a(g7 +g960 +tp10872 +a(g892 +g1008 +tp10873 +a(g7 +g956 +tp10874 +a(g545 +Vspan +p10875 +tp10876 +a(g892 +g980 +tp10877 +a(g654 +Vclass +p10878 +tp10879 +a(g400 +g1003 +tp10880 +a(g76 +V"name" +p10881 +tp10882 +a(g7 +g960 +tp10883 +a(g892 +Vexecute +p10884 +tp10885 +a(g7 +g956 +tp10886 +a(g7 +g1024 +tp10887 +a(g545 +Vspan +p10888 +tp10889 +a(g7 +g960 +tp10890 +a(g892 +g984 +tp10891 +a(g7 +g956 +tp10892 +a(g545 +Vspan +p10893 +tp10894 +a(g892 +g980 +tp10895 +a(g654 +Vclass +p10896 +tp10897 +a(g400 +g1003 +tp10898 +a(g76 +V"name" +p10899 +tp10900 +a(g7 +g960 +tp10901 +a(g892 +Vmeta +p10902 +tp10903 +a(g7 +g956 +tp10904 +a(g7 +g1024 +tp10905 +a(g545 +Vspan +p10906 +tp10907 +a(g7 +g960 +tp10908 +a(g892 +g1008 +tp10909 +a(g7 +g956 +tp10910 +a(g545 +Vspan +p10911 +tp10912 +a(g892 +g980 +tp10913 +a(g654 +Vclass +p10914 +tp10915 +a(g400 +g1003 +tp10916 +a(g76 +V"name" +p10917 +tp10918 +a(g7 +g960 +tp10919 +a(g892 +Vselect +p10920 +tp10921 +a(g7 +g956 +tp10922 +a(g7 +g1024 +tp10923 +a(g545 +Vspan +p10924 +tp10925 +a(g7 +g960 +tp10926 +a(g892 +V([ +p10927 +tp10928 +a(g7 +g956 +tp10929 +a(g545 +Vspan +p10930 +tp10931 +a(g892 +g980 +tp10932 +a(g654 +Vclass +p10933 +tp10934 +a(g400 +g1003 +tp10935 +a(g76 +V"name" +p10936 +tp10937 +a(g7 +g960 +tp10938 +a(g892 +Vacl_mapping +p10939 +tp10940 +a(g7 +g956 +tp10941 +a(g7 +g1024 +tp10942 +a(g545 +Vspan +p10943 +tp10944 +a(g7 +g960 +tp10945 +a(g892 +g1008 +tp10946 +a(g7 +g956 +tp10947 +a(g545 +Vspan +p10948 +tp10949 +a(g892 +g980 +tp10950 +a(g654 +Vclass +p10951 +tp10952 +a(g400 +g1003 +tp10953 +a(g76 +V"name" +p10954 +tp10955 +a(g7 +g960 +tp10956 +a(g892 +g5469 +tp10957 +a(g7 +g956 +tp10958 +a(g7 +g1024 +tp10959 +a(g545 +Vspan +p10960 +tp10961 +a(g7 +g960 +tp10962 +a(g892 +g1008 +tp10963 +a(g7 +g956 +tp10964 +a(g545 +Vspan +p10965 +tp10966 +a(g892 +g980 +tp10967 +a(g654 +Vclass +p10968 +tp10969 +a(g400 +g1003 +tp10970 +a(g76 +V"name" +p10971 +tp10972 +a(g7 +g960 +tp10973 +a(g892 +Vstate +p10974 +tp10975 +a(g7 +g956 +tp10976 +a(g7 +g1024 +tp10977 +a(g545 +Vspan +p10978 +tp10979 +a(g7 +g960 +tp10980 +a(g892 +V],\u000a ( +p10981 +tp10982 +a(g7 +g956 +tp10983 +a(g545 +Vspan +p10984 +tp10985 +a(g892 +g980 +tp10986 +a(g654 +Vclass +p10987 +tp10988 +a(g400 +g1003 +tp10989 +a(g76 +V"name" +p10990 +tp10991 +a(g7 +g960 +tp10992 +a(g892 +Vacl_mapping +p10993 +tp10994 +a(g7 +g956 +tp10995 +a(g7 +g1024 +tp10996 +a(g545 +Vspan +p10997 +tp10998 +a(g7 +g960 +tp10999 +a(g892 +g1008 +tp11000 +a(g7 +g956 +tp11001 +a(g545 +Vspan +p11002 +tp11003 +a(g892 +g980 +tp11004 +a(g654 +Vclass +p11005 +tp11006 +a(g400 +g1003 +tp11007 +a(g76 +V"name" +p11008 +tp11009 +a(g7 +g960 +tp11010 +a(g892 +g5469 +tp11011 +a(g7 +g956 +tp11012 +a(g7 +g1024 +tp11013 +a(g545 +Vspan +p11014 +tp11015 +a(g7 +g960 +tp11016 +a(g892 +g1008 +tp11017 +a(g7 +g956 +tp11018 +a(g545 +Vspan +p11019 +tp11020 +a(g892 +g980 +tp11021 +a(g654 +Vclass +p11022 +tp11023 +a(g400 +g1003 +tp11024 +a(g76 +V"name" +p11025 +tp11026 +a(g7 +g960 +tp11027 +a(g892 +Vpriv_id +p11028 +tp11029 +a(g7 +g956 +tp11030 +a(g7 +g1024 +tp11031 +a(g545 +Vspan +p11032 +tp11033 +a(g7 +g960 +tp11034 +a(g892 +g980 +tp11035 +a(g7 +g956 +tp11036 +a(g545 +Vspan +p11037 +tp11038 +a(g892 +g980 +tp11039 +a(g654 +Vclass +p11040 +tp11041 +a(g400 +g1003 +tp11042 +a(g76 +V"op" +p11043 +tp11044 +a(g7 +g960 +tp11045 +a(g892 +V== +p11046 +tp11047 +a(g7 +g956 +tp11048 +a(g7 +g1024 +tp11049 +a(g545 +Vspan +p11050 +tp11051 +a(g7 +g960 +tp11052 +a(g892 +g980 +tp11053 +a(g7 +g956 +tp11054 +a(g545 +Vspan +p11055 +tp11056 +a(g892 +g980 +tp11057 +a(g654 +Vclass +p11058 +tp11059 +a(g400 +g1003 +tp11060 +a(g76 +V"name" +p11061 +tp11062 +a(g7 +g960 +tp11063 +a(g892 +Vpriv_id +p11064 +tp11065 +a(g7 +g956 +tp11066 +a(g7 +g1024 +tp11067 +a(g545 +Vspan +p11068 +tp11069 +a(g7 +g960 +tp11070 +a(g892 +V) +p11071 +tp11072 +a(g7 +g956 +tp11073 +a(g545 +Vspan +p11074 +tp11075 +a(g892 +g980 +tp11076 +a(g654 +Vclass +p11077 +tp11078 +a(g400 +g1003 +tp11079 +a(g76 +V"op" +p11080 +tp11081 +a(g7 +g960 +tp11082 +a(g491 +V& +p11083 +tp11084 +a(g7 +g956 +tp11085 +a(g7 +g1024 +tp11086 +a(g545 +Vspan +p11087 +tp11088 +a(g7 +g960 +tp11089 +a(g892 +V\u000a\u000a ( +p11090 +tp11091 +a(g7 +g956 +tp11092 +a(g545 +Vspan +p11093 +tp11094 +a(g892 +g980 +tp11095 +a(g654 +Vclass +p11096 +tp11097 +a(g400 +g1003 +tp11098 +a(g76 +V"name" +p11099 +tp11100 +a(g7 +g960 +tp11101 +a(g892 +Vacl_mapping +p11102 +tp11103 +a(g7 +g956 +tp11104 +a(g7 +g1024 +tp11105 +a(g545 +Vspan +p11106 +tp11107 +a(g7 +g960 +tp11108 +a(g892 +g1008 +tp11109 +a(g7 +g956 +tp11110 +a(g545 +Vspan +p11111 +tp11112 +a(g892 +g980 +tp11113 +a(g654 +Vclass +p11114 +tp11115 +a(g400 +g1003 +tp11116 +a(g76 +V"name" +p11117 +tp11118 +a(g7 +g960 +tp11119 +a(g892 +g5469 +tp11120 +a(g7 +g956 +tp11121 +a(g7 +g1024 +tp11122 +a(g545 +Vspan +p11123 +tp11124 +a(g7 +g960 +tp11125 +a(g892 +g1008 +tp11126 +a(g7 +g956 +tp11127 +a(g545 +Vspan +p11128 +tp11129 +a(g892 +g980 +tp11130 +a(g654 +Vclass +p11131 +tp11132 +a(g400 +g1003 +tp11133 +a(g76 +V"name" +p11134 +tp11135 +a(g7 +g960 +tp11136 +a(g892 +Vsubject_id +p11137 +tp11138 +a(g7 +g956 +tp11139 +a(g7 +g1024 +tp11140 +a(g545 +Vspan +p11141 +tp11142 +a(g7 +g960 +tp11143 +a(g892 +g980 +tp11144 +a(g7 +g956 +tp11145 +a(g545 +Vspan +p11146 +tp11147 +a(g892 +g980 +tp11148 +a(g654 +Vclass +p11149 +tp11150 +a(g400 +g1003 +tp11151 +a(g76 +V"op" +p11152 +tp11153 +a(g7 +g960 +tp11154 +a(g892 +V== +p11155 +tp11156 +a(g7 +g956 +tp11157 +a(g7 +g1024 +tp11158 +a(g545 +Vspan +p11159 +tp11160 +a(g7 +g960 +tp11161 +a(g892 +g980 +tp11162 +a(g7 +g956 +tp11163 +a(g545 +Vspan +p11164 +tp11165 +a(g892 +g980 +tp11166 +a(g654 +Vclass +p11167 +tp11168 +a(g400 +g1003 +tp11169 +a(g76 +V"bn bn-pseudo" +p11170 +tp11171 +a(g7 +g960 +tp11172 +a(g892 +Vself +p11173 +tp11174 +a(g7 +g956 +tp11175 +a(g7 +g1024 +tp11176 +a(g545 +Vspan +p11177 +tp11178 +a(g7 +g960 +tp11179 +a(g892 +g1008 +tp11180 +a(g7 +g956 +tp11181 +a(g545 +Vspan +p11182 +tp11183 +a(g892 +g980 +tp11184 +a(g654 +Vclass +p11185 +tp11186 +a(g400 +g1003 +tp11187 +a(g76 +V"name" +p11188 +tp11189 +a(g7 +g960 +tp11190 +a(g892 +Vsubject +p11191 +tp11192 +a(g7 +g956 +tp11193 +a(g7 +g1024 +tp11194 +a(g545 +Vspan +p11195 +tp11196 +a(g7 +g960 +tp11197 +a(g892 +g1008 +tp11198 +a(g7 +g956 +tp11199 +a(g545 +Vspan +p11200 +tp11201 +a(g892 +g980 +tp11202 +a(g654 +Vclass +p11203 +tp11204 +a(g400 +g1003 +tp11205 +a(g76 +V"name" +p11206 +tp11207 +a(g7 +g960 +tp11208 +a(g892 +Vsubject_id +p11209 +tp11210 +a(g7 +g956 +tp11211 +a(g7 +g1024 +tp11212 +a(g545 +Vspan +p11213 +tp11214 +a(g7 +g960 +tp11215 +a(g892 +V) +p11216 +tp11217 +a(g7 +g956 +tp11218 +a(g545 +Vspan +p11219 +tp11220 +a(g892 +g980 +tp11221 +a(g654 +Vclass +p11222 +tp11223 +a(g400 +g1003 +tp11224 +a(g76 +V"op" +p11225 +tp11226 +a(g7 +g960 +tp11227 +a(g491 +V& +p11228 +tp11229 +a(g7 +g956 +tp11230 +a(g7 +g1024 +tp11231 +a(g545 +Vspan +p11232 +tp11233 +a(g7 +g960 +tp11234 +a(g892 +V\u000a\u000a ( +p11235 +tp11236 +a(g7 +g956 +tp11237 +a(g545 +Vspan +p11238 +tp11239 +a(g892 +g980 +tp11240 +a(g654 +Vclass +p11241 +tp11242 +a(g400 +g1003 +tp11243 +a(g76 +V"name" +p11244 +tp11245 +a(g7 +g960 +tp11246 +a(g892 +Vacl_mapping +p11247 +tp11248 +a(g7 +g956 +tp11249 +a(g7 +g1024 +tp11250 +a(g545 +Vspan +p11251 +tp11252 +a(g7 +g960 +tp11253 +a(g892 +g1008 +tp11254 +a(g7 +g956 +tp11255 +a(g545 +Vspan +p11256 +tp11257 +a(g892 +g980 +tp11258 +a(g654 +Vclass +p11259 +tp11260 +a(g400 +g1003 +tp11261 +a(g76 +V"name" +p11262 +tp11263 +a(g7 +g960 +tp11264 +a(g892 +g5469 +tp11265 +a(g7 +g956 +tp11266 +a(g7 +g1024 +tp11267 +a(g545 +Vspan +p11268 +tp11269 +a(g7 +g960 +tp11270 +a(g892 +g1008 +tp11271 +a(g7 +g956 +tp11272 +a(g545 +Vspan +p11273 +tp11274 +a(g892 +g980 +tp11275 +a(g654 +Vclass +p11276 +tp11277 +a(g400 +g1003 +tp11278 +a(g76 +V"name" +p11279 +tp11280 +a(g7 +g960 +tp11281 +a(g892 +Vobject_id +p11282 +tp11283 +a(g7 +g956 +tp11284 +a(g7 +g1024 +tp11285 +a(g545 +Vspan +p11286 +tp11287 +a(g7 +g960 +tp11288 +a(g892 +g980 +tp11289 +a(g7 +g956 +tp11290 +a(g545 +Vspan +p11291 +tp11292 +a(g892 +g980 +tp11293 +a(g654 +Vclass +p11294 +tp11295 +a(g400 +g1003 +tp11296 +a(g76 +V"op" +p11297 +tp11298 +a(g7 +g960 +tp11299 +a(g892 +V== +p11300 +tp11301 +a(g7 +g956 +tp11302 +a(g7 +g1024 +tp11303 +a(g545 +Vspan +p11304 +tp11305 +a(g7 +g960 +tp11306 +a(g892 +g980 +tp11307 +a(g7 +g956 +tp11308 +a(g545 +Vspan +p11309 +tp11310 +a(g892 +g980 +tp11311 +a(g654 +Vclass +p11312 +tp11313 +a(g400 +g1003 +tp11314 +a(g76 +V"name" +p11315 +tp11316 +a(g7 +g960 +tp11317 +a(g892 +Vobj +p11318 +tp11319 +a(g7 +g956 +tp11320 +a(g7 +g1024 +tp11321 +a(g545 +Vspan +p11322 +tp11323 +a(g7 +g960 +tp11324 +a(g892 +g1008 +tp11325 +a(g7 +g956 +tp11326 +a(g545 +Vspan +p11327 +tp11328 +a(g892 +g980 +tp11329 +a(g654 +Vclass +p11330 +tp11331 +a(g400 +g1003 +tp11332 +a(g76 +V"name" +p11333 +tp11334 +a(g7 +g960 +tp11335 +a(g892 +Vobject_id +p11336 +tp11337 +a(g7 +g956 +tp11338 +a(g7 +g1024 +tp11339 +a(g545 +Vspan +p11340 +tp11341 +a(g7 +g960 +tp11342 +a(g892 +V)\u000a ))\u000a +p11343 +tp11344 +a(g7 +g956 +tp11345 +a(g545 +Vspan +p11346 +tp11347 +a(g892 +g980 +tp11348 +a(g654 +Vclass +p11349 +tp11350 +a(g400 +g1003 +tp11351 +a(g76 +V"name" +p11352 +tp11353 +a(g7 +g960 +tp11354 +a(g892 +Vrow +p11355 +tp11356 +a(g7 +g956 +tp11357 +a(g7 +g1024 +tp11358 +a(g545 +Vspan +p11359 +tp11360 +a(g7 +g960 +tp11361 +a(g892 +g980 +tp11362 +a(g7 +g956 +tp11363 +a(g545 +Vspan +p11364 +tp11365 +a(g892 +g980 +tp11366 +a(g654 +Vclass +p11367 +tp11368 +a(g400 +g1003 +tp11369 +a(g76 +V"op" +p11370 +tp11371 +a(g7 +g960 +tp11372 +a(g892 +g1003 +tp11373 +a(g7 +g956 +tp11374 +a(g7 +g1024 +tp11375 +a(g545 +Vspan +p11376 +tp11377 +a(g7 +g960 +tp11378 +a(g892 +g980 +tp11379 +a(g7 +g956 +tp11380 +a(g545 +Vspan +p11381 +tp11382 +a(g892 +g980 +tp11383 +a(g654 +Vclass +p11384 +tp11385 +a(g400 +g1003 +tp11386 +a(g76 +V"name" +p11387 +tp11388 +a(g7 +g960 +tp11389 +a(g892 +g5848 +tp11390 +a(g7 +g956 +tp11391 +a(g7 +g1024 +tp11392 +a(g545 +Vspan +p11393 +tp11394 +a(g7 +g960 +tp11395 +a(g892 +g1008 +tp11396 +a(g7 +g956 +tp11397 +a(g545 +Vspan +p11398 +tp11399 +a(g892 +g980 +tp11400 +a(g654 +Vclass +p11401 +tp11402 +a(g400 +g1003 +tp11403 +a(g76 +V"name" +p11404 +tp11405 +a(g7 +g960 +tp11406 +a(g892 +Vfetchone +p11407 +tp11408 +a(g7 +g956 +tp11409 +a(g7 +g1024 +tp11410 +a(g545 +Vspan +p11411 +tp11412 +a(g7 +g960 +tp11413 +a(g892 +V()\u000a +p11414 +tp11415 +a(g7 +g956 +tp11416 +a(g545 +Vspan +p11417 +tp11418 +a(g892 +g980 +tp11419 +a(g654 +Vclass +p11420 +tp11421 +a(g400 +g1003 +tp11422 +a(g76 +V"kw" +p11423 +tp11424 +a(g7 +g960 +tp11425 +a(g892 +Vif +p11426 +tp11427 +a(g7 +g956 +tp11428 +a(g7 +g1024 +tp11429 +a(g545 +Vspan +p11430 +tp11431 +a(g7 +g960 +tp11432 +a(g892 +g980 +tp11433 +a(g7 +g956 +tp11434 +a(g545 +Vspan +p11435 +tp11436 +a(g892 +g980 +tp11437 +a(g654 +Vclass +p11438 +tp11439 +a(g400 +g1003 +tp11440 +a(g76 +V"name" +p11441 +tp11442 +a(g7 +g960 +tp11443 +a(g892 +Vrow +p11444 +tp11445 +a(g7 +g956 +tp11446 +a(g7 +g1024 +tp11447 +a(g545 +Vspan +p11448 +tp11449 +a(g7 +g960 +tp11450 +a(g892 +g980 +tp11451 +a(g7 +g956 +tp11452 +a(g545 +Vspan +p11453 +tp11454 +a(g892 +g980 +tp11455 +a(g654 +Vclass +p11456 +tp11457 +a(g400 +g1003 +tp11458 +a(g76 +V"op op-word" +p11459 +tp11460 +a(g7 +g960 +tp11461 +a(g892 +Vis +p11462 +tp11463 +a(g7 +g956 +tp11464 +a(g7 +g1024 +tp11465 +a(g545 +Vspan +p11466 +tp11467 +a(g7 +g960 +tp11468 +a(g892 +g980 +tp11469 +a(g7 +g956 +tp11470 +a(g545 +Vspan +p11471 +tp11472 +a(g892 +g980 +tp11473 +a(g654 +Vclass +p11474 +tp11475 +a(g400 +g1003 +tp11476 +a(g76 +V"op op-word" +p11477 +tp11478 +a(g7 +g960 +tp11479 +a(g892 +Vnot +p11480 +tp11481 +a(g7 +g956 +tp11482 +a(g7 +g1024 +tp11483 +a(g545 +Vspan +p11484 +tp11485 +a(g7 +g960 +tp11486 +a(g892 +g980 +tp11487 +a(g7 +g956 +tp11488 +a(g545 +Vspan +p11489 +tp11490 +a(g892 +g980 +tp11491 +a(g654 +Vclass +p11492 +tp11493 +a(g400 +g1003 +tp11494 +a(g76 +V"bn bn-pseudo" +p11495 +tp11496 +a(g7 +g960 +tp11497 +a(g892 +VNone +p11498 +tp11499 +a(g7 +g956 +tp11500 +a(g7 +g1024 +tp11501 +a(g545 +Vspan +p11502 +tp11503 +a(g7 +g960 +tp11504 +a(g892 +V:\u000a +p11505 +tp11506 +a(g7 +g956 +tp11507 +a(g545 +Vspan +p11508 +tp11509 +a(g892 +g980 +tp11510 +a(g654 +Vclass +p11511 +tp11512 +a(g400 +g1003 +tp11513 +a(g76 +V"cm" +p11514 +tp11515 +a(g7 +g960 +tp11516 +a(g892 +V# this rule exists already +p11517 +tp11518 +a(g7 +g956 +tp11519 +a(g7 +g1024 +tp11520 +a(g545 +Vspan +p11521 +tp11522 +a(g7 +g960 +tp11523 +a(g892 +V\u000a\u000a +p11524 +tp11525 +a(g7 +g956 +tp11526 +a(g545 +Vspan +p11527 +tp11528 +a(g892 +g980 +tp11529 +a(g654 +Vclass +p11530 +tp11531 +a(g400 +g1003 +tp11532 +a(g76 +V"kw" +p11533 +tp11534 +a(g7 +g960 +tp11535 +a(g892 +Vif +p11536 +tp11537 +a(g7 +g956 +tp11538 +a(g7 +g1024 +tp11539 +a(g545 +Vspan +p11540 +tp11541 +a(g7 +g960 +tp11542 +a(g892 +g980 +tp11543 +a(g7 +g956 +tp11544 +a(g545 +Vspan +p11545 +tp11546 +a(g892 +g980 +tp11547 +a(g654 +Vclass +p11548 +tp11549 +a(g400 +g1003 +tp11550 +a(g76 +V"name" +p11551 +tp11552 +a(g7 +g960 +tp11553 +a(g892 +Vrow +p11554 +tp11555 +a(g7 +g956 +tp11556 +a(g7 +g1024 +tp11557 +a(g545 +Vspan +p11558 +tp11559 +a(g7 +g960 +tp11560 +a(g892 +g6683 +tp11561 +a(g7 +g956 +tp11562 +a(g545 +Vspan +p11563 +tp11564 +a(g892 +g980 +tp11565 +a(g654 +Vclass +p11566 +tp11567 +a(g400 +g1003 +tp11568 +a(g76 +V"st st-sg" +p11569 +tp11570 +a(g7 +g960 +tp11571 +a(g491 +V' +p11572 +tp11573 +a(g7 +g956 +tp11574 +a(g7 +g1024 +tp11575 +a(g545 +Vspan +p11576 +tp11577 +a(g7 +g960 +tp11578 +a(g7 +g956 +tp11579 +a(g545 +Vspan +p11580 +tp11581 +a(g892 +g980 +tp11582 +a(g654 +Vclass +p11583 +tp11584 +a(g400 +g1003 +tp11585 +a(g76 +V"st" +p11586 +tp11587 +a(g7 +g960 +tp11588 +a(g892 +Vstate +p11589 +tp11590 +a(g7 +g956 +tp11591 +a(g7 +g1024 +tp11592 +a(g545 +Vspan +p11593 +tp11594 +a(g7 +g960 +tp11595 +a(g7 +g956 +tp11596 +a(g545 +Vspan +p11597 +tp11598 +a(g892 +g980 +tp11599 +a(g654 +Vclass +p11600 +tp11601 +a(g400 +g1003 +tp11602 +a(g76 +V"st st-sg" +p11603 +tp11604 +a(g7 +g960 +tp11605 +a(g491 +V' +p11606 +tp11607 +a(g7 +g956 +tp11608 +a(g7 +g1024 +tp11609 +a(g545 +Vspan +p11610 +tp11611 +a(g7 +g960 +tp11612 +a(g892 +V] +p11613 +tp11614 +a(g7 +g956 +tp11615 +a(g545 +Vspan +p11616 +tp11617 +a(g892 +g980 +tp11618 +a(g654 +Vclass +p11619 +tp11620 +a(g400 +g1003 +tp11621 +a(g76 +V"op" +p11622 +tp11623 +a(g7 +g960 +tp11624 +a(g892 +V== +p11625 +tp11626 +a(g7 +g956 +tp11627 +a(g7 +g1024 +tp11628 +a(g545 +Vspan +p11629 +tp11630 +a(g7 +g960 +tp11631 +a(g892 +g980 +tp11632 +a(g7 +g956 +tp11633 +a(g545 +Vspan +p11634 +tp11635 +a(g892 +g980 +tp11636 +a(g654 +Vclass +p11637 +tp11638 +a(g400 +g1003 +tp11639 +a(g76 +V"name" +p11640 +tp11641 +a(g7 +g960 +tp11642 +a(g892 +Vstate +p11643 +tp11644 +a(g7 +g956 +tp11645 +a(g7 +g1024 +tp11646 +a(g545 +Vspan +p11647 +tp11648 +a(g7 +g960 +tp11649 +a(g892 +V:\u000a +p11650 +tp11651 +a(g7 +g956 +tp11652 +a(g545 +Vspan +p11653 +tp11654 +a(g892 +g980 +tp11655 +a(g654 +Vclass +p11656 +tp11657 +a(g400 +g1003 +tp11658 +a(g76 +V"kw" +p11659 +tp11660 +a(g7 +g960 +tp11661 +a(g892 +Vreturn +p11662 +tp11663 +a(g7 +g956 +tp11664 +a(g7 +g1024 +tp11665 +a(g545 +Vspan +p11666 +tp11667 +a(g7 +g960 +tp11668 +a(g892 +V\u000a +p11669 +tp11670 +a(g7 +g956 +tp11671 +a(g545 +Vspan +p11672 +tp11673 +a(g892 +g980 +tp11674 +a(g654 +Vclass +p11675 +tp11676 +a(g400 +g1003 +tp11677 +a(g76 +V"cm" +p11678 +tp11679 +a(g7 +g960 +tp11680 +a(g892 +V# goddamn, same rule - different state, delete old first +p11681 +tp11682 +a(g7 +g956 +tp11683 +a(g7 +g1024 +tp11684 +a(g545 +Vspan +p11685 +tp11686 +a(g7 +g960 +tp11687 +a(g892 +V\u000a +p11688 +tp11689 +a(g7 +g956 +tp11690 +a(g545 +Vspan +p11691 +tp11692 +a(g892 +g980 +tp11693 +a(g654 +Vclass +p11694 +tp11695 +a(g400 +g1003 +tp11696 +a(g76 +V"bn bn-pseudo" +p11697 +tp11698 +a(g7 +g960 +tp11699 +a(g892 +Vself +p11700 +tp11701 +a(g7 +g956 +tp11702 +a(g7 +g1024 +tp11703 +a(g545 +Vspan +p11704 +tp11705 +a(g7 +g960 +tp11706 +a(g892 +g1008 +tp11707 +a(g7 +g956 +tp11708 +a(g545 +Vspan +p11709 +tp11710 +a(g892 +g980 +tp11711 +a(g654 +Vclass +p11712 +tp11713 +a(g400 +g1003 +tp11714 +a(g76 +V"name" +p11715 +tp11716 +a(g7 +g960 +tp11717 +a(g892 +V_set +p11718 +tp11719 +a(g7 +g956 +tp11720 +a(g7 +g1024 +tp11721 +a(g545 +Vspan +p11722 +tp11723 +a(g7 +g960 +tp11724 +a(g892 +g984 +tp11725 +a(g7 +g956 +tp11726 +a(g545 +Vspan +p11727 +tp11728 +a(g892 +g980 +tp11729 +a(g654 +Vclass +p11730 +tp11731 +a(g400 +g1003 +tp11732 +a(g76 +V"name" +p11733 +tp11734 +a(g7 +g960 +tp11735 +a(g892 +Vprivilege +p11736 +tp11737 +a(g7 +g956 +tp11738 +a(g7 +g1024 +tp11739 +a(g545 +Vspan +p11740 +tp11741 +a(g7 +g960 +tp11742 +a(g892 +V, +p11743 +tp11744 +a(g7 +g956 +tp11745 +a(g545 +Vspan +p11746 +tp11747 +a(g892 +g980 +tp11748 +a(g654 +Vclass +p11749 +tp11750 +a(g400 +g1003 +tp11751 +a(g76 +V"name" +p11752 +tp11753 +a(g7 +g960 +tp11754 +a(g892 +Vobj +p11755 +tp11756 +a(g7 +g956 +tp11757 +a(g7 +g1024 +tp11758 +a(g545 +Vspan +p11759 +tp11760 +a(g7 +g960 +tp11761 +a(g892 +V, +p11762 +tp11763 +a(g7 +g956 +tp11764 +a(g545 +Vspan +p11765 +tp11766 +a(g892 +g980 +tp11767 +a(g654 +Vclass +p11768 +tp11769 +a(g400 +g1003 +tp11770 +a(g76 +V"nb nb-int" +p11771 +tp11772 +a(g7 +g960 +tp11773 +a(g892 +g1112 +tp11774 +a(g7 +g956 +tp11775 +a(g7 +g1024 +tp11776 +a(g545 +Vspan +p11777 +tp11778 +a(g7 +g960 +tp11779 +a(g892 +V)\u000a +p11780 +tp11781 +a(g7 +g956 +tp11782 +a(g545 +Vspan +p11783 +tp11784 +a(g892 +g980 +tp11785 +a(g654 +Vclass +p11786 +tp11787 +a(g400 +g1003 +tp11788 +a(g76 +V"cm" +p11789 +tp11790 +a(g7 +g960 +tp11791 +a(g892 +V# insert new rule +p11792 +tp11793 +a(g7 +g956 +tp11794 +a(g7 +g1024 +tp11795 +a(g545 +Vspan +p11796 +tp11797 +a(g7 +g960 +tp11798 +a(g892 +V\u000a\u000a +p11799 +tp11800 +a(g7 +g956 +tp11801 +a(g545 +Vspan +p11802 +tp11803 +a(g892 +g980 +tp11804 +a(g654 +Vclass +p11805 +tp11806 +a(g400 +g1003 +tp11807 +a(g76 +V"bn bn-pseudo" +p11808 +tp11809 +a(g7 +g960 +tp11810 +a(g892 +Vself +p11811 +tp11812 +a(g7 +g956 +tp11813 +a(g7 +g1024 +tp11814 +a(g545 +Vspan +p11815 +tp11816 +a(g7 +g960 +tp11817 +a(g892 +g1008 +tp11818 +a(g7 +g956 +tp11819 +a(g545 +Vspan +p11820 +tp11821 +a(g892 +g980 +tp11822 +a(g654 +Vclass +p11823 +tp11824 +a(g400 +g1003 +tp11825 +a(g76 +V"name" +p11826 +tp11827 +a(g7 +g960 +tp11828 +a(g892 +Vctx +p11829 +tp11830 +a(g7 +g956 +tp11831 +a(g7 +g1024 +tp11832 +a(g545 +Vspan +p11833 +tp11834 +a(g7 +g960 +tp11835 +a(g892 +g1008 +tp11836 +a(g7 +g956 +tp11837 +a(g545 +Vspan +p11838 +tp11839 +a(g892 +g980 +tp11840 +a(g654 +Vclass +p11841 +tp11842 +a(g400 +g1003 +tp11843 +a(g76 +V"name" +p11844 +tp11845 +a(g7 +g960 +tp11846 +a(g892 +Vengine +p11847 +tp11848 +a(g7 +g956 +tp11849 +a(g7 +g1024 +tp11850 +a(g545 +Vspan +p11851 +tp11852 +a(g7 +g960 +tp11853 +a(g892 +g1008 +tp11854 +a(g7 +g956 +tp11855 +a(g545 +Vspan +p11856 +tp11857 +a(g892 +g980 +tp11858 +a(g654 +Vclass +p11859 +tp11860 +a(g400 +g1003 +tp11861 +a(g76 +V"name" +p11862 +tp11863 +a(g7 +g960 +tp11864 +a(g892 +Vexecute +p11865 +tp11866 +a(g7 +g956 +tp11867 +a(g7 +g1024 +tp11868 +a(g545 +Vspan +p11869 +tp11870 +a(g7 +g960 +tp11871 +a(g892 +g984 +tp11872 +a(g7 +g956 +tp11873 +a(g545 +Vspan +p11874 +tp11875 +a(g892 +g980 +tp11876 +a(g654 +Vclass +p11877 +tp11878 +a(g400 +g1003 +tp11879 +a(g76 +V"name" +p11880 +tp11881 +a(g7 +g960 +tp11882 +a(g892 +Vacl_mapping +p11883 +tp11884 +a(g7 +g956 +tp11885 +a(g7 +g1024 +tp11886 +a(g545 +Vspan +p11887 +tp11888 +a(g7 +g960 +tp11889 +a(g892 +g1008 +tp11890 +a(g7 +g956 +tp11891 +a(g545 +Vspan +p11892 +tp11893 +a(g892 +g980 +tp11894 +a(g654 +Vclass +p11895 +tp11896 +a(g400 +g1003 +tp11897 +a(g76 +V"name" +p11898 +tp11899 +a(g7 +g960 +tp11900 +a(g892 +Vinsert +p11901 +tp11902 +a(g7 +g956 +tp11903 +a(g7 +g1024 +tp11904 +a(g545 +Vspan +p11905 +tp11906 +a(g7 +g960 +tp11907 +a(g892 +V(),\u000a +p11908 +tp11909 +a(g7 +g956 +tp11910 +a(g545 +Vspan +p11911 +tp11912 +a(g892 +g980 +tp11913 +a(g654 +Vclass +p11914 +tp11915 +a(g400 +g1003 +tp11916 +a(g76 +V"name" +p11917 +tp11918 +a(g7 +g960 +tp11919 +a(g892 +Vpriv_id +p11920 +tp11921 +a(g7 +g956 +tp11922 +a(g7 +g1024 +tp11923 +a(g545 +Vspan +p11924 +tp11925 +a(g7 +g960 +tp11926 +a(g892 +g980 +tp11927 +a(g7 +g956 +tp11928 +a(g545 +Vspan +p11929 +tp11930 +a(g892 +g980 +tp11931 +a(g654 +Vclass +p11932 +tp11933 +a(g400 +g1003 +tp11934 +a(g76 +V"op" +p11935 +tp11936 +a(g7 +g960 +tp11937 +a(g892 +g1003 +tp11938 +a(g7 +g956 +tp11939 +a(g7 +g1024 +tp11940 +a(g545 +Vspan +p11941 +tp11942 +a(g7 +g960 +tp11943 +a(g892 +g980 +tp11944 +a(g7 +g956 +tp11945 +a(g545 +Vspan +p11946 +tp11947 +a(g892 +g980 +tp11948 +a(g654 +Vclass +p11949 +tp11950 +a(g400 +g1003 +tp11951 +a(g76 +V"name" +p11952 +tp11953 +a(g7 +g960 +tp11954 +a(g892 +Vpriv_id +p11955 +tp11956 +a(g7 +g956 +tp11957 +a(g7 +g1024 +tp11958 +a(g545 +Vspan +p11959 +tp11960 +a(g7 +g960 +tp11961 +a(g892 +V,\u000a +p11962 +tp11963 +a(g7 +g956 +tp11964 +a(g545 +Vspan +p11965 +tp11966 +a(g892 +g980 +tp11967 +a(g654 +Vclass +p11968 +tp11969 +a(g400 +g1003 +tp11970 +a(g76 +V"name" +p11971 +tp11972 +a(g7 +g960 +tp11973 +a(g892 +Vsubject_id +p11974 +tp11975 +a(g7 +g956 +tp11976 +a(g7 +g1024 +tp11977 +a(g545 +Vspan +p11978 +tp11979 +a(g7 +g960 +tp11980 +a(g892 +g980 +tp11981 +a(g7 +g956 +tp11982 +a(g545 +Vspan +p11983 +tp11984 +a(g892 +g980 +tp11985 +a(g654 +Vclass +p11986 +tp11987 +a(g400 +g1003 +tp11988 +a(g76 +V"op" +p11989 +tp11990 +a(g7 +g960 +tp11991 +a(g892 +g1003 +tp11992 +a(g7 +g956 +tp11993 +a(g7 +g1024 +tp11994 +a(g545 +Vspan +p11995 +tp11996 +a(g7 +g960 +tp11997 +a(g892 +g980 +tp11998 +a(g7 +g956 +tp11999 +a(g545 +Vspan +p12000 +tp12001 +a(g892 +g980 +tp12002 +a(g654 +Vclass +p12003 +tp12004 +a(g400 +g1003 +tp12005 +a(g76 +V"bn bn-pseudo" +p12006 +tp12007 +a(g7 +g960 +tp12008 +a(g892 +Vself +p12009 +tp12010 +a(g7 +g956 +tp12011 +a(g7 +g1024 +tp12012 +a(g545 +Vspan +p12013 +tp12014 +a(g7 +g960 +tp12015 +a(g892 +g1008 +tp12016 +a(g7 +g956 +tp12017 +a(g545 +Vspan +p12018 +tp12019 +a(g892 +g980 +tp12020 +a(g654 +Vclass +p12021 +tp12022 +a(g400 +g1003 +tp12023 +a(g76 +V"name" +p12024 +tp12025 +a(g7 +g960 +tp12026 +a(g892 +Vsubject +p12027 +tp12028 +a(g7 +g956 +tp12029 +a(g7 +g1024 +tp12030 +a(g545 +Vspan +p12031 +tp12032 +a(g7 +g960 +tp12033 +a(g892 +g1008 +tp12034 +a(g7 +g956 +tp12035 +a(g545 +Vspan +p12036 +tp12037 +a(g892 +g980 +tp12038 +a(g654 +Vclass +p12039 +tp12040 +a(g400 +g1003 +tp12041 +a(g76 +V"name" +p12042 +tp12043 +a(g7 +g960 +tp12044 +a(g892 +Vsubject_id +p12045 +tp12046 +a(g7 +g956 +tp12047 +a(g7 +g1024 +tp12048 +a(g545 +Vspan +p12049 +tp12050 +a(g7 +g960 +tp12051 +a(g892 +V,\u000a +p12052 +tp12053 +a(g7 +g956 +tp12054 +a(g545 +Vspan +p12055 +tp12056 +a(g892 +g980 +tp12057 +a(g654 +Vclass +p12058 +tp12059 +a(g400 +g1003 +tp12060 +a(g76 +V"name" +p12061 +tp12062 +a(g7 +g960 +tp12063 +a(g892 +Vobject_id +p12064 +tp12065 +a(g7 +g956 +tp12066 +a(g7 +g1024 +tp12067 +a(g545 +Vspan +p12068 +tp12069 +a(g7 +g960 +tp12070 +a(g892 +g980 +tp12071 +a(g7 +g956 +tp12072 +a(g545 +Vspan +p12073 +tp12074 +a(g892 +g980 +tp12075 +a(g654 +Vclass +p12076 +tp12077 +a(g400 +g1003 +tp12078 +a(g76 +V"op" +p12079 +tp12080 +a(g7 +g960 +tp12081 +a(g892 +g1003 +tp12082 +a(g7 +g956 +tp12083 +a(g7 +g1024 +tp12084 +a(g545 +Vspan +p12085 +tp12086 +a(g7 +g960 +tp12087 +a(g892 +g980 +tp12088 +a(g7 +g956 +tp12089 +a(g545 +Vspan +p12090 +tp12091 +a(g892 +g980 +tp12092 +a(g654 +Vclass +p12093 +tp12094 +a(g400 +g1003 +tp12095 +a(g76 +V"name" +p12096 +tp12097 +a(g7 +g960 +tp12098 +a(g892 +Vobj +p12099 +tp12100 +a(g7 +g956 +tp12101 +a(g7 +g1024 +tp12102 +a(g545 +Vspan +p12103 +tp12104 +a(g7 +g960 +tp12105 +a(g892 +g1008 +tp12106 +a(g7 +g956 +tp12107 +a(g545 +Vspan +p12108 +tp12109 +a(g892 +g980 +tp12110 +a(g654 +Vclass +p12111 +tp12112 +a(g400 +g1003 +tp12113 +a(g76 +V"name" +p12114 +tp12115 +a(g7 +g960 +tp12116 +a(g892 +Vobject_id +p12117 +tp12118 +a(g7 +g956 +tp12119 +a(g7 +g1024 +tp12120 +a(g545 +Vspan +p12121 +tp12122 +a(g7 +g960 +tp12123 +a(g892 +V,\u000a +p12124 +tp12125 +a(g7 +g956 +tp12126 +a(g545 +Vspan +p12127 +tp12128 +a(g892 +g980 +tp12129 +a(g654 +Vclass +p12130 +tp12131 +a(g400 +g1003 +tp12132 +a(g76 +V"name" +p12133 +tp12134 +a(g7 +g960 +tp12135 +a(g892 +Vstate +p12136 +tp12137 +a(g7 +g956 +tp12138 +a(g7 +g1024 +tp12139 +a(g545 +Vspan +p12140 +tp12141 +a(g7 +g960 +tp12142 +a(g892 +g980 +tp12143 +a(g7 +g956 +tp12144 +a(g545 +Vspan +p12145 +tp12146 +a(g892 +g980 +tp12147 +a(g654 +Vclass +p12148 +tp12149 +a(g400 +g1003 +tp12150 +a(g76 +V"op" +p12151 +tp12152 +a(g7 +g960 +tp12153 +a(g892 +g1003 +tp12154 +a(g7 +g956 +tp12155 +a(g7 +g1024 +tp12156 +a(g545 +Vspan +p12157 +tp12158 +a(g7 +g960 +tp12159 +a(g892 +g980 +tp12160 +a(g7 +g956 +tp12161 +a(g545 +Vspan +p12162 +tp12163 +a(g892 +g980 +tp12164 +a(g654 +Vclass +p12165 +tp12166 +a(g400 +g1003 +tp12167 +a(g76 +V"name" +p12168 +tp12169 +a(g7 +g960 +tp12170 +a(g892 +Vstate +p12171 +tp12172 +a(g7 +g956 +tp12173 +a(g7 +g1024 +tp12174 +a(g545 +Vspan +p12175 +tp12176 +a(g7 +g960 +tp12177 +a(g892 +V\u000a\u000a )\u000a\u000a +p12178 +tp12179 +a(g7 +g956 +tp12180 +a(g545 +Vspan +p12181 +tp12182 +a(g892 +g980 +tp12183 +a(g654 +Vclass +p12184 +tp12185 +a(g400 +g1003 +tp12186 +a(g76 +V"kw" +p12187 +tp12188 +a(g7 +g960 +tp12189 +a(g892 +Vdef +p12190 +tp12191 +a(g7 +g956 +tp12192 +a(g7 +g1024 +tp12193 +a(g545 +Vspan +p12194 +tp12195 +a(g7 +g960 +tp12196 +a(g7 +g956 +tp12197 +a(g545 +Vspan +p12198 +tp12199 +a(g892 +g980 +tp12200 +a(g654 +Vclass +p12201 +tp12202 +a(g400 +g1003 +tp12203 +a(g76 +V"fun" +p12204 +tp12205 +a(g7 +g960 +tp12206 +a(g892 +V_bootstrap +p12207 +tp12208 +a(g7 +g956 +tp12209 +a(g7 +g1024 +tp12210 +a(g545 +Vspan +p12211 +tp12212 +a(g7 +g960 +tp12213 +a(g892 +g984 +tp12214 +a(g7 +g956 +tp12215 +a(g545 +Vspan +p12216 +tp12217 +a(g892 +g980 +tp12218 +a(g654 +Vclass +p12219 +tp12220 +a(g400 +g1003 +tp12221 +a(g76 +V"bn bn-pseudo" +p12222 +tp12223 +a(g7 +g960 +tp12224 +a(g892 +Vself +p12225 +tp12226 +a(g7 +g956 +tp12227 +a(g7 +g1024 +tp12228 +a(g545 +Vspan +p12229 +tp12230 +a(g7 +g960 +tp12231 +a(g892 +V):\u000a +p12232 +tp12233 +a(g7 +g956 +tp12234 +a(g545 +Vspan +p12235 +tp12236 +a(g892 +g980 +tp12237 +a(g654 +Vclass +p12238 +tp12239 +a(g400 +g1003 +tp12240 +a(g76 +V"st st-db" +p12241 +tp12242 +a(g7 +g960 +tp12243 +a(g491 +V" +p12244 +tp12245 +a(g491 +V" +p12246 +tp12247 +a(g491 +V" +p12248 +tp12249 +a(g7 +g956 +tp12250 +a(g7 +g1024 +tp12251 +a(g545 +Vspan +p12252 +tp12253 +a(g7 +g960 +tp12254 +a(g7 +g956 +tp12255 +a(g545 +Vspan +p12256 +tp12257 +a(g892 +g980 +tp12258 +a(g654 +Vclass +p12259 +tp12260 +a(g400 +g1003 +tp12261 +a(g76 +V"st" +p12262 +tp12263 +a(g7 +g960 +tp12264 +a(g892 +VThis method is automatically called when subject_id is\u000a None and an subject_id is required. +p12265 +tp12266 +a(g7 +g956 +tp12267 +a(g7 +g1024 +tp12268 +a(g545 +Vspan +p12269 +tp12270 +a(g7 +g960 +tp12271 +a(g7 +g956 +tp12272 +a(g545 +Vspan +p12273 +tp12274 +a(g892 +g980 +tp12275 +a(g654 +Vclass +p12276 +tp12277 +a(g400 +g1003 +tp12278 +a(g76 +V"st st-db" +p12279 +tp12280 +a(g7 +g960 +tp12281 +a(g491 +V" +p12282 +tp12283 +a(g491 +V" +p12284 +tp12285 +a(g491 +V" +p12286 +tp12287 +a(g7 +g956 +tp12288 +a(g7 +g1024 +tp12289 +a(g545 +Vspan +p12290 +tp12291 +a(g7 +g960 +tp12292 +a(g892 +V\u000a +p12293 +tp12294 +a(g7 +g956 +tp12295 +a(g545 +Vspan +p12296 +tp12297 +a(g892 +g980 +tp12298 +a(g654 +Vclass +p12299 +tp12300 +a(g400 +g1003 +tp12301 +a(g76 +V"name" +p12302 +tp12303 +a(g7 +g960 +tp12304 +a(g892 +g5848 +tp12305 +a(g7 +g956 +tp12306 +a(g7 +g1024 +tp12307 +a(g545 +Vspan +p12308 +tp12309 +a(g7 +g960 +tp12310 +a(g892 +g980 +tp12311 +a(g7 +g956 +tp12312 +a(g545 +Vspan +p12313 +tp12314 +a(g892 +g980 +tp12315 +a(g654 +Vclass +p12316 +tp12317 +a(g400 +g1003 +tp12318 +a(g76 +V"op" +p12319 +tp12320 +a(g7 +g960 +tp12321 +a(g892 +g1003 +tp12322 +a(g7 +g956 +tp12323 +a(g7 +g1024 +tp12324 +a(g545 +Vspan +p12325 +tp12326 +a(g7 +g960 +tp12327 +a(g892 +g980 +tp12328 +a(g7 +g956 +tp12329 +a(g545 +Vspan +p12330 +tp12331 +a(g892 +g980 +tp12332 +a(g654 +Vclass +p12333 +tp12334 +a(g400 +g1003 +tp12335 +a(g76 +V"bn bn-pseudo" +p12336 +tp12337 +a(g7 +g960 +tp12338 +a(g892 +Vself +p12339 +tp12340 +a(g7 +g956 +tp12341 +a(g7 +g1024 +tp12342 +a(g545 +Vspan +p12343 +tp12344 +a(g7 +g960 +tp12345 +a(g892 +g1008 +tp12346 +a(g7 +g956 +tp12347 +a(g545 +Vspan +p12348 +tp12349 +a(g892 +g980 +tp12350 +a(g654 +Vclass +p12351 +tp12352 +a(g400 +g1003 +tp12353 +a(g76 +V"name" +p12354 +tp12355 +a(g7 +g960 +tp12356 +a(g892 +Vctx +p12357 +tp12358 +a(g7 +g956 +tp12359 +a(g7 +g1024 +tp12360 +a(g545 +Vspan +p12361 +tp12362 +a(g7 +g960 +tp12363 +a(g892 +g1008 +tp12364 +a(g7 +g956 +tp12365 +a(g545 +Vspan +p12366 +tp12367 +a(g892 +g980 +tp12368 +a(g654 +Vclass +p12369 +tp12370 +a(g400 +g1003 +tp12371 +a(g76 +V"name" +p12372 +tp12373 +a(g7 +g960 +tp12374 +a(g892 +Vengine +p12375 +tp12376 +a(g7 +g956 +tp12377 +a(g7 +g1024 +tp12378 +a(g545 +Vspan +p12379 +tp12380 +a(g7 +g960 +tp12381 +a(g892 +g1008 +tp12382 +a(g7 +g956 +tp12383 +a(g545 +Vspan +p12384 +tp12385 +a(g892 +g980 +tp12386 +a(g654 +Vclass +p12387 +tp12388 +a(g400 +g1003 +tp12389 +a(g76 +V"name" +p12390 +tp12391 +a(g7 +g960 +tp12392 +a(g892 +Vexecute +p12393 +tp12394 +a(g7 +g956 +tp12395 +a(g7 +g1024 +tp12396 +a(g545 +Vspan +p12397 +tp12398 +a(g7 +g960 +tp12399 +a(g892 +g984 +tp12400 +a(g7 +g956 +tp12401 +a(g545 +Vspan +p12402 +tp12403 +a(g892 +g980 +tp12404 +a(g654 +Vclass +p12405 +tp12406 +a(g400 +g1003 +tp12407 +a(g76 +V"name" +p12408 +tp12409 +a(g7 +g960 +tp12410 +a(g892 +Vacl_subjects +p12411 +tp12412 +a(g7 +g956 +tp12413 +a(g7 +g1024 +tp12414 +a(g545 +Vspan +p12415 +tp12416 +a(g7 +g960 +tp12417 +a(g892 +g1008 +tp12418 +a(g7 +g956 +tp12419 +a(g545 +Vspan +p12420 +tp12421 +a(g892 +g980 +tp12422 +a(g654 +Vclass +p12423 +tp12424 +a(g400 +g1003 +tp12425 +a(g76 +V"name" +p12426 +tp12427 +a(g7 +g960 +tp12428 +a(g892 +Vinsert +p12429 +tp12430 +a(g7 +g956 +tp12431 +a(g7 +g1024 +tp12432 +a(g545 +Vspan +p12433 +tp12434 +a(g7 +g960 +tp12435 +a(g892 +V(),\u000a +p12436 +tp12437 +a(g7 +g956 +tp12438 +a(g545 +Vspan +p12439 +tp12440 +a(g892 +g980 +tp12441 +a(g654 +Vclass +p12442 +tp12443 +a(g400 +g1003 +tp12444 +a(g76 +V"name" +p12445 +tp12446 +a(g7 +g960 +tp12447 +a(g892 +Vsubject_type +p12448 +tp12449 +a(g7 +g956 +tp12450 +a(g7 +g1024 +tp12451 +a(g545 +Vspan +p12452 +tp12453 +a(g7 +g960 +tp12454 +a(g892 +g980 +tp12455 +a(g7 +g956 +tp12456 +a(g545 +Vspan +p12457 +tp12458 +a(g892 +g980 +tp12459 +a(g654 +Vclass +p12460 +tp12461 +a(g400 +g1003 +tp12462 +a(g76 +V"op" +p12463 +tp12464 +a(g7 +g960 +tp12465 +a(g892 +g1003 +tp12466 +a(g7 +g956 +tp12467 +a(g7 +g1024 +tp12468 +a(g545 +Vspan +p12469 +tp12470 +a(g7 +g960 +tp12471 +a(g892 +g980 +tp12472 +a(g7 +g956 +tp12473 +a(g545 +Vspan +p12474 +tp12475 +a(g892 +g980 +tp12476 +a(g654 +Vclass +p12477 +tp12478 +a(g400 +g1003 +tp12479 +a(g76 +V"bn bn-pseudo" +p12480 +tp12481 +a(g7 +g960 +tp12482 +a(g892 +Vself +p12483 +tp12484 +a(g7 +g956 +tp12485 +a(g7 +g1024 +tp12486 +a(g545 +Vspan +p12487 +tp12488 +a(g7 +g960 +tp12489 +a(g892 +g1008 +tp12490 +a(g7 +g956 +tp12491 +a(g545 +Vspan +p12492 +tp12493 +a(g892 +g980 +tp12494 +a(g654 +Vclass +p12495 +tp12496 +a(g400 +g1003 +tp12497 +a(g76 +V"name" +p12498 +tp12499 +a(g7 +g960 +tp12500 +a(g892 +V_type +p12501 +tp12502 +a(g7 +g956 +tp12503 +a(g7 +g1024 +tp12504 +a(g545 +Vspan +p12505 +tp12506 +a(g7 +g960 +tp12507 +a(g892 +V\u000a\u000a )\u000a +p12508 +tp12509 +a(g7 +g956 +tp12510 +a(g545 +Vspan +p12511 +tp12512 +a(g892 +g980 +tp12513 +a(g654 +Vclass +p12514 +tp12515 +a(g400 +g1003 +tp12516 +a(g76 +V"bn bn-pseudo" +p12517 +tp12518 +a(g7 +g960 +tp12519 +a(g892 +Vself +p12520 +tp12521 +a(g7 +g956 +tp12522 +a(g7 +g1024 +tp12523 +a(g545 +Vspan +p12524 +tp12525 +a(g7 +g960 +tp12526 +a(g892 +g1008 +tp12527 +a(g7 +g956 +tp12528 +a(g545 +Vspan +p12529 +tp12530 +a(g892 +g980 +tp12531 +a(g654 +Vclass +p12532 +tp12533 +a(g400 +g1003 +tp12534 +a(g76 +V"name" +p12535 +tp12536 +a(g7 +g960 +tp12537 +a(g892 +Vsubject +p12538 +tp12539 +a(g7 +g956 +tp12540 +a(g7 +g1024 +tp12541 +a(g545 +Vspan +p12542 +tp12543 +a(g7 +g960 +tp12544 +a(g892 +g1008 +tp12545 +a(g7 +g956 +tp12546 +a(g545 +Vspan +p12547 +tp12548 +a(g892 +g980 +tp12549 +a(g654 +Vclass +p12550 +tp12551 +a(g400 +g1003 +tp12552 +a(g76 +V"name" +p12553 +tp12554 +a(g7 +g960 +tp12555 +a(g892 +Vsubject_id +p12556 +tp12557 +a(g7 +g956 +tp12558 +a(g7 +g1024 +tp12559 +a(g545 +Vspan +p12560 +tp12561 +a(g7 +g960 +tp12562 +a(g892 +g980 +tp12563 +a(g7 +g956 +tp12564 +a(g545 +Vspan +p12565 +tp12566 +a(g892 +g980 +tp12567 +a(g654 +Vclass +p12568 +tp12569 +a(g400 +g1003 +tp12570 +a(g76 +V"op" +p12571 +tp12572 +a(g7 +g960 +tp12573 +a(g892 +g1003 +tp12574 +a(g7 +g956 +tp12575 +a(g7 +g1024 +tp12576 +a(g545 +Vspan +p12577 +tp12578 +a(g7 +g960 +tp12579 +a(g892 +g980 +tp12580 +a(g7 +g956 +tp12581 +a(g545 +Vspan +p12582 +tp12583 +a(g892 +g980 +tp12584 +a(g654 +Vclass +p12585 +tp12586 +a(g400 +g1003 +tp12587 +a(g76 +V"name" +p12588 +tp12589 +a(g7 +g960 +tp12590 +a(g892 +g5848 +tp12591 +a(g7 +g956 +tp12592 +a(g7 +g1024 +tp12593 +a(g545 +Vspan +p12594 +tp12595 +a(g7 +g960 +tp12596 +a(g892 +g1008 +tp12597 +a(g7 +g956 +tp12598 +a(g545 +Vspan +p12599 +tp12600 +a(g892 +g980 +tp12601 +a(g654 +Vclass +p12602 +tp12603 +a(g400 +g1003 +tp12604 +a(g76 +V"name" +p12605 +tp12606 +a(g7 +g960 +tp12607 +a(g892 +Vlast_inserted_ids +p12608 +tp12609 +a(g7 +g956 +tp12610 +a(g7 +g1024 +tp12611 +a(g545 +Vspan +p12612 +tp12613 +a(g7 +g960 +tp12614 +a(g892 +V()[ +p12615 +tp12616 +a(g7 +g956 +tp12617 +a(g545 +Vspan +p12618 +tp12619 +a(g892 +g980 +tp12620 +a(g654 +Vclass +p12621 +tp12622 +a(g400 +g1003 +tp12623 +a(g76 +V"nb nb-int" +p12624 +tp12625 +a(g7 +g960 +tp12626 +a(g892 +g1112 +tp12627 +a(g7 +g956 +tp12628 +a(g7 +g1024 +tp12629 +a(g545 +Vspan +p12630 +tp12631 +a(g7 +g960 +tp12632 +a(g892 +V]\u000a +p12633 +tp12634 +a(g7 +g956 +tp12635 +a(g545 +Vspan +p12636 +tp12637 +a(g892 +g980 +tp12638 +a(g654 +Vclass +p12639 +tp12640 +a(g400 +g1003 +tp12641 +a(g76 +V"bn bn-pseudo" +p12642 +tp12643 +a(g7 +g960 +tp12644 +a(g892 +Vself +p12645 +tp12646 +a(g7 +g956 +tp12647 +a(g7 +g1024 +tp12648 +a(g545 +Vspan +p12649 +tp12650 +a(g7 +g960 +tp12651 +a(g892 +g1008 +tp12652 +a(g7 +g956 +tp12653 +a(g545 +Vspan +p12654 +tp12655 +a(g892 +g980 +tp12656 +a(g654 +Vclass +p12657 +tp12658 +a(g400 +g1003 +tp12659 +a(g76 +V"name" +p12660 +tp12661 +a(g7 +g960 +tp12662 +a(g892 +Vsubject +p12663 +tp12664 +a(g7 +g956 +tp12665 +a(g7 +g1024 +tp12666 +a(g545 +Vspan +p12667 +tp12668 +a(g7 +g960 +tp12669 +a(g892 +g1008 +tp12670 +a(g7 +g956 +tp12671 +a(g545 +Vspan +p12672 +tp12673 +a(g892 +g980 +tp12674 +a(g654 +Vclass +p12675 +tp12676 +a(g400 +g1003 +tp12677 +a(g76 +V"name" +p12678 +tp12679 +a(g7 +g960 +tp12680 +a(g892 +Vsave +p12681 +tp12682 +a(g7 +g956 +tp12683 +a(g7 +g1024 +tp12684 +a(g545 +Vspan +p12685 +tp12686 +a(g7 +g960 +tp12687 +a(g892 +V()\u000a\u000a +p12688 +tp12689 +a(g7 +g956 +tp12690 +a(g545 +Vspan +p12691 +tp12692 +a(g892 +g980 +tp12693 +a(g654 +Vclass +p12694 +tp12695 +a(g400 +g1003 +tp12696 +a(g76 +V"kw" +p12697 +tp12698 +a(g7 +g960 +tp12699 +a(g892 +Vdef +p12700 +tp12701 +a(g7 +g956 +tp12702 +a(g7 +g1024 +tp12703 +a(g545 +Vspan +p12704 +tp12705 +a(g7 +g960 +tp12706 +a(g7 +g956 +tp12707 +a(g545 +Vspan +p12708 +tp12709 +a(g892 +g980 +tp12710 +a(g654 +Vclass +p12711 +tp12712 +a(g400 +g1003 +tp12713 +a(g76 +V"fun" +p12714 +tp12715 +a(g7 +g960 +tp12716 +a(g892 +V_bootstrap_object +p12717 +tp12718 +a(g7 +g956 +tp12719 +a(g7 +g1024 +tp12720 +a(g545 +Vspan +p12721 +tp12722 +a(g7 +g960 +tp12723 +a(g892 +g984 +tp12724 +a(g7 +g956 +tp12725 +a(g545 +Vspan +p12726 +tp12727 +a(g892 +g980 +tp12728 +a(g654 +Vclass +p12729 +tp12730 +a(g400 +g1003 +tp12731 +a(g76 +V"bn bn-pseudo" +p12732 +tp12733 +a(g7 +g960 +tp12734 +a(g892 +Vself +p12735 +tp12736 +a(g7 +g956 +tp12737 +a(g7 +g1024 +tp12738 +a(g545 +Vspan +p12739 +tp12740 +a(g7 +g960 +tp12741 +a(g892 +V, +p12742 +tp12743 +a(g7 +g956 +tp12744 +a(g545 +Vspan +p12745 +tp12746 +a(g892 +g980 +tp12747 +a(g654 +Vclass +p12748 +tp12749 +a(g400 +g1003 +tp12750 +a(g76 +V"name" +p12751 +tp12752 +a(g7 +g960 +tp12753 +a(g892 +Vobj +p12754 +tp12755 +a(g7 +g956 +tp12756 +a(g7 +g1024 +tp12757 +a(g545 +Vspan +p12758 +tp12759 +a(g7 +g960 +tp12760 +a(g892 +V):\u000a +p12761 +tp12762 +a(g7 +g956 +tp12763 +a(g545 +Vspan +p12764 +tp12765 +a(g892 +g980 +tp12766 +a(g654 +Vclass +p12767 +tp12768 +a(g400 +g1003 +tp12769 +a(g76 +V"st st-db" +p12770 +tp12771 +a(g7 +g960 +tp12772 +a(g491 +V" +p12773 +tp12774 +a(g491 +V" +p12775 +tp12776 +a(g491 +V" +p12777 +tp12778 +a(g7 +g956 +tp12779 +a(g7 +g1024 +tp12780 +a(g545 +Vspan +p12781 +tp12782 +a(g7 +g960 +tp12783 +a(g7 +g956 +tp12784 +a(g545 +Vspan +p12785 +tp12786 +a(g892 +g980 +tp12787 +a(g654 +Vclass +p12788 +tp12789 +a(g400 +g1003 +tp12790 +a(g76 +V"st" +p12791 +tp12792 +a(g7 +g960 +tp12793 +a(g892 +VLike _bootstrap but works for objects. +p12794 +tp12795 +a(g7 +g956 +tp12796 +a(g7 +g1024 +tp12797 +a(g545 +Vspan +p12798 +tp12799 +a(g7 +g960 +tp12800 +a(g7 +g956 +tp12801 +a(g545 +Vspan +p12802 +tp12803 +a(g892 +g980 +tp12804 +a(g654 +Vclass +p12805 +tp12806 +a(g400 +g1003 +tp12807 +a(g76 +V"st st-db" +p12808 +tp12809 +a(g7 +g960 +tp12810 +a(g491 +V" +p12811 +tp12812 +a(g491 +V" +p12813 +tp12814 +a(g491 +V" +p12815 +tp12816 +a(g7 +g956 +tp12817 +a(g7 +g1024 +tp12818 +a(g545 +Vspan +p12819 +tp12820 +a(g7 +g960 +tp12821 +a(g892 +V\u000a\u000a +p12822 +tp12823 +a(g7 +g956 +tp12824 +a(g545 +Vspan +p12825 +tp12826 +a(g892 +g980 +tp12827 +a(g654 +Vclass +p12828 +tp12829 +a(g400 +g1003 +tp12830 +a(g76 +V"name" +p12831 +tp12832 +a(g7 +g960 +tp12833 +a(g892 +Vobjtype +p12834 +tp12835 +a(g7 +g956 +tp12836 +a(g7 +g1024 +tp12837 +a(g545 +Vspan +p12838 +tp12839 +a(g7 +g960 +tp12840 +a(g892 +g980 +tp12841 +a(g7 +g956 +tp12842 +a(g545 +Vspan +p12843 +tp12844 +a(g892 +g980 +tp12845 +a(g654 +Vclass +p12846 +tp12847 +a(g400 +g1003 +tp12848 +a(g76 +V"op" +p12849 +tp12850 +a(g7 +g960 +tp12851 +a(g892 +g1003 +tp12852 +a(g7 +g956 +tp12853 +a(g7 +g1024 +tp12854 +a(g545 +Vspan +p12855 +tp12856 +a(g7 +g960 +tp12857 +a(g892 +g980 +tp12858 +a(g7 +g956 +tp12859 +a(g545 +Vspan +p12860 +tp12861 +a(g892 +g980 +tp12862 +a(g654 +Vclass +p12863 +tp12864 +a(g400 +g1003 +tp12865 +a(g76 +V"bn bn-pseudo" +p12866 +tp12867 +a(g7 +g960 +tp12868 +a(g892 +Vself +p12869 +tp12870 +a(g7 +g956 +tp12871 +a(g7 +g1024 +tp12872 +a(g545 +Vspan +p12873 +tp12874 +a(g7 +g960 +tp12875 +a(g892 +g1008 +tp12876 +a(g7 +g956 +tp12877 +a(g545 +Vspan +p12878 +tp12879 +a(g892 +g980 +tp12880 +a(g654 +Vclass +p12881 +tp12882 +a(g400 +g1003 +tp12883 +a(g76 +V"name" +p12884 +tp12885 +a(g7 +g960 +tp12886 +a(g892 +V_get_object_type +p12887 +tp12888 +a(g7 +g956 +tp12889 +a(g7 +g1024 +tp12890 +a(g545 +Vspan +p12891 +tp12892 +a(g7 +g960 +tp12893 +a(g892 +g984 +tp12894 +a(g7 +g956 +tp12895 +a(g545 +Vspan +p12896 +tp12897 +a(g892 +g980 +tp12898 +a(g654 +Vclass +p12899 +tp12900 +a(g400 +g1003 +tp12901 +a(g76 +V"name" +p12902 +tp12903 +a(g7 +g960 +tp12904 +a(g892 +Vobj +p12905 +tp12906 +a(g7 +g956 +tp12907 +a(g7 +g1024 +tp12908 +a(g545 +Vspan +p12909 +tp12910 +a(g7 +g960 +tp12911 +a(g892 +V)\u000a +p12912 +tp12913 +a(g7 +g956 +tp12914 +a(g545 +Vspan +p12915 +tp12916 +a(g892 +g980 +tp12917 +a(g654 +Vclass +p12918 +tp12919 +a(g400 +g1003 +tp12920 +a(g76 +V"name" +p12921 +tp12922 +a(g7 +g960 +tp12923 +a(g892 +g5848 +tp12924 +a(g7 +g956 +tp12925 +a(g7 +g1024 +tp12926 +a(g545 +Vspan +p12927 +tp12928 +a(g7 +g960 +tp12929 +a(g892 +g980 +tp12930 +a(g7 +g956 +tp12931 +a(g545 +Vspan +p12932 +tp12933 +a(g892 +g980 +tp12934 +a(g654 +Vclass +p12935 +tp12936 +a(g400 +g1003 +tp12937 +a(g76 +V"op" +p12938 +tp12939 +a(g7 +g960 +tp12940 +a(g892 +g1003 +tp12941 +a(g7 +g956 +tp12942 +a(g7 +g1024 +tp12943 +a(g545 +Vspan +p12944 +tp12945 +a(g7 +g960 +tp12946 +a(g892 +g980 +tp12947 +a(g7 +g956 +tp12948 +a(g545 +Vspan +p12949 +tp12950 +a(g892 +g980 +tp12951 +a(g654 +Vclass +p12952 +tp12953 +a(g400 +g1003 +tp12954 +a(g76 +V"bn bn-pseudo" +p12955 +tp12956 +a(g7 +g960 +tp12957 +a(g892 +Vself +p12958 +tp12959 +a(g7 +g956 +tp12960 +a(g7 +g1024 +tp12961 +a(g545 +Vspan +p12962 +tp12963 +a(g7 +g960 +tp12964 +a(g892 +g1008 +tp12965 +a(g7 +g956 +tp12966 +a(g545 +Vspan +p12967 +tp12968 +a(g892 +g980 +tp12969 +a(g654 +Vclass +p12970 +tp12971 +a(g400 +g1003 +tp12972 +a(g76 +V"name" +p12973 +tp12974 +a(g7 +g960 +tp12975 +a(g892 +Vctx +p12976 +tp12977 +a(g7 +g956 +tp12978 +a(g7 +g1024 +tp12979 +a(g545 +Vspan +p12980 +tp12981 +a(g7 +g960 +tp12982 +a(g892 +g1008 +tp12983 +a(g7 +g956 +tp12984 +a(g545 +Vspan +p12985 +tp12986 +a(g892 +g980 +tp12987 +a(g654 +Vclass +p12988 +tp12989 +a(g400 +g1003 +tp12990 +a(g76 +V"name" +p12991 +tp12992 +a(g7 +g960 +tp12993 +a(g892 +Vengine +p12994 +tp12995 +a(g7 +g956 +tp12996 +a(g7 +g1024 +tp12997 +a(g545 +Vspan +p12998 +tp12999 +a(g7 +g960 +tp13000 +a(g892 +g1008 +tp13001 +a(g7 +g956 +tp13002 +a(g545 +Vspan +p13003 +tp13004 +a(g892 +g980 +tp13005 +a(g654 +Vclass +p13006 +tp13007 +a(g400 +g1003 +tp13008 +a(g76 +V"name" +p13009 +tp13010 +a(g7 +g960 +tp13011 +a(g892 +Vexecute +p13012 +tp13013 +a(g7 +g956 +tp13014 +a(g7 +g1024 +tp13015 +a(g545 +Vspan +p13016 +tp13017 +a(g7 +g960 +tp13018 +a(g892 +g984 +tp13019 +a(g7 +g956 +tp13020 +a(g545 +Vspan +p13021 +tp13022 +a(g892 +g980 +tp13023 +a(g654 +Vclass +p13024 +tp13025 +a(g400 +g1003 +tp13026 +a(g76 +V"name" +p13027 +tp13028 +a(g7 +g960 +tp13029 +a(g892 +Vacl_objects +p13030 +tp13031 +a(g7 +g956 +tp13032 +a(g7 +g1024 +tp13033 +a(g545 +Vspan +p13034 +tp13035 +a(g7 +g960 +tp13036 +a(g892 +g1008 +tp13037 +a(g7 +g956 +tp13038 +a(g545 +Vspan +p13039 +tp13040 +a(g892 +g980 +tp13041 +a(g654 +Vclass +p13042 +tp13043 +a(g400 +g1003 +tp13044 +a(g76 +V"name" +p13045 +tp13046 +a(g7 +g960 +tp13047 +a(g892 +Vinsert +p13048 +tp13049 +a(g7 +g956 +tp13050 +a(g7 +g1024 +tp13051 +a(g545 +Vspan +p13052 +tp13053 +a(g7 +g960 +tp13054 +a(g892 +V(),\u000a +p13055 +tp13056 +a(g7 +g956 +tp13057 +a(g545 +Vspan +p13058 +tp13059 +a(g892 +g980 +tp13060 +a(g654 +Vclass +p13061 +tp13062 +a(g400 +g1003 +tp13063 +a(g76 +V"name" +p13064 +tp13065 +a(g7 +g960 +tp13066 +a(g892 +Vobject_type +p13067 +tp13068 +a(g7 +g956 +tp13069 +a(g7 +g1024 +tp13070 +a(g545 +Vspan +p13071 +tp13072 +a(g7 +g960 +tp13073 +a(g892 +g980 +tp13074 +a(g7 +g956 +tp13075 +a(g545 +Vspan +p13076 +tp13077 +a(g892 +g980 +tp13078 +a(g654 +Vclass +p13079 +tp13080 +a(g400 +g1003 +tp13081 +a(g76 +V"op" +p13082 +tp13083 +a(g7 +g960 +tp13084 +a(g892 +g1003 +tp13085 +a(g7 +g956 +tp13086 +a(g7 +g1024 +tp13087 +a(g545 +Vspan +p13088 +tp13089 +a(g7 +g960 +tp13090 +a(g892 +g980 +tp13091 +a(g7 +g956 +tp13092 +a(g545 +Vspan +p13093 +tp13094 +a(g892 +g980 +tp13095 +a(g654 +Vclass +p13096 +tp13097 +a(g400 +g1003 +tp13098 +a(g76 +V"name" +p13099 +tp13100 +a(g7 +g960 +tp13101 +a(g892 +Vobjtype +p13102 +tp13103 +a(g7 +g956 +tp13104 +a(g7 +g1024 +tp13105 +a(g545 +Vspan +p13106 +tp13107 +a(g7 +g960 +tp13108 +a(g892 +V\u000a\u000a )\u000a +p13109 +tp13110 +a(g7 +g956 +tp13111 +a(g545 +Vspan +p13112 +tp13113 +a(g892 +g980 +tp13114 +a(g654 +Vclass +p13115 +tp13116 +a(g400 +g1003 +tp13117 +a(g76 +V"name" +p13118 +tp13119 +a(g7 +g960 +tp13120 +a(g892 +Vobj +p13121 +tp13122 +a(g7 +g956 +tp13123 +a(g7 +g1024 +tp13124 +a(g545 +Vspan +p13125 +tp13126 +a(g7 +g960 +tp13127 +a(g892 +g1008 +tp13128 +a(g7 +g956 +tp13129 +a(g545 +Vspan +p13130 +tp13131 +a(g892 +g980 +tp13132 +a(g654 +Vclass +p13133 +tp13134 +a(g400 +g1003 +tp13135 +a(g76 +V"name" +p13136 +tp13137 +a(g7 +g960 +tp13138 +a(g892 +Vobject_id +p13139 +tp13140 +a(g7 +g956 +tp13141 +a(g7 +g1024 +tp13142 +a(g545 +Vspan +p13143 +tp13144 +a(g7 +g960 +tp13145 +a(g892 +g980 +tp13146 +a(g7 +g956 +tp13147 +a(g545 +Vspan +p13148 +tp13149 +a(g892 +g980 +tp13150 +a(g654 +Vclass +p13151 +tp13152 +a(g400 +g1003 +tp13153 +a(g76 +V"op" +p13154 +tp13155 +a(g7 +g960 +tp13156 +a(g892 +g1003 +tp13157 +a(g7 +g956 +tp13158 +a(g7 +g1024 +tp13159 +a(g545 +Vspan +p13160 +tp13161 +a(g7 +g960 +tp13162 +a(g892 +g980 +tp13163 +a(g7 +g956 +tp13164 +a(g545 +Vspan +p13165 +tp13166 +a(g892 +g980 +tp13167 +a(g654 +Vclass +p13168 +tp13169 +a(g400 +g1003 +tp13170 +a(g76 +V"name" +p13171 +tp13172 +a(g7 +g960 +tp13173 +a(g892 +g5848 +tp13174 +a(g7 +g956 +tp13175 +a(g7 +g1024 +tp13176 +a(g545 +Vspan +p13177 +tp13178 +a(g7 +g960 +tp13179 +a(g892 +g1008 +tp13180 +a(g7 +g956 +tp13181 +a(g545 +Vspan +p13182 +tp13183 +a(g892 +g980 +tp13184 +a(g654 +Vclass +p13185 +tp13186 +a(g400 +g1003 +tp13187 +a(g76 +V"name" +p13188 +tp13189 +a(g7 +g960 +tp13190 +a(g892 +Vlast_inserted_ids +p13191 +tp13192 +a(g7 +g956 +tp13193 +a(g7 +g1024 +tp13194 +a(g545 +Vspan +p13195 +tp13196 +a(g7 +g960 +tp13197 +a(g892 +V()[ +p13198 +tp13199 +a(g7 +g956 +tp13200 +a(g545 +Vspan +p13201 +tp13202 +a(g892 +g980 +tp13203 +a(g654 +Vclass +p13204 +tp13205 +a(g400 +g1003 +tp13206 +a(g76 +V"nb nb-int" +p13207 +tp13208 +a(g7 +g960 +tp13209 +a(g892 +g1112 +tp13210 +a(g7 +g956 +tp13211 +a(g7 +g1024 +tp13212 +a(g545 +Vspan +p13213 +tp13214 +a(g7 +g960 +tp13215 +a(g892 +V]\u000a +p13216 +tp13217 +a(g7 +g956 +tp13218 +a(g545 +Vspan +p13219 +tp13220 +a(g892 +g980 +tp13221 +a(g654 +Vclass +p13222 +tp13223 +a(g400 +g1003 +tp13224 +a(g76 +V"name" +p13225 +tp13226 +a(g7 +g960 +tp13227 +a(g892 +Vobj +p13228 +tp13229 +a(g7 +g956 +tp13230 +a(g7 +g1024 +tp13231 +a(g545 +Vspan +p13232 +tp13233 +a(g7 +g960 +tp13234 +a(g892 +g1008 +tp13235 +a(g7 +g956 +tp13236 +a(g545 +Vspan +p13237 +tp13238 +a(g892 +g980 +tp13239 +a(g654 +Vclass +p13240 +tp13241 +a(g400 +g1003 +tp13242 +a(g76 +V"name" +p13243 +tp13244 +a(g7 +g960 +tp13245 +a(g892 +Vsave +p13246 +tp13247 +a(g7 +g956 +tp13248 +a(g7 +g1024 +tp13249 +a(g545 +Vspan +p13250 +tp13251 +a(g7 +g960 +tp13252 +a(g892 +V()\u000a\u000a +p13253 +tp13254 +a(g7 +g956 +tp13255 +a(g545 +Vspan +p13256 +tp13257 +a(g892 +g980 +tp13258 +a(g654 +Vclass +p13259 +tp13260 +a(g400 +g1003 +tp13261 +a(g76 +V"kw" +p13262 +tp13263 +a(g7 +g960 +tp13264 +a(g892 +Vdef +p13265 +tp13266 +a(g7 +g956 +tp13267 +a(g7 +g1024 +tp13268 +a(g545 +Vspan +p13269 +tp13270 +a(g7 +g960 +tp13271 +a(g7 +g956 +tp13272 +a(g545 +Vspan +p13273 +tp13274 +a(g892 +g980 +tp13275 +a(g654 +Vclass +p13276 +tp13277 +a(g400 +g1003 +tp13278 +a(g76 +V"fun" +p13279 +tp13280 +a(g7 +g960 +tp13281 +a(g892 +V_get_object_type +p13282 +tp13283 +a(g7 +g956 +tp13284 +a(g7 +g1024 +tp13285 +a(g545 +Vspan +p13286 +tp13287 +a(g7 +g960 +tp13288 +a(g892 +g984 +tp13289 +a(g7 +g956 +tp13290 +a(g545 +Vspan +p13291 +tp13292 +a(g892 +g980 +tp13293 +a(g654 +Vclass +p13294 +tp13295 +a(g400 +g1003 +tp13296 +a(g76 +V"bn bn-pseudo" +p13297 +tp13298 +a(g7 +g960 +tp13299 +a(g892 +Vself +p13300 +tp13301 +a(g7 +g956 +tp13302 +a(g7 +g1024 +tp13303 +a(g545 +Vspan +p13304 +tp13305 +a(g7 +g960 +tp13306 +a(g892 +V, +p13307 +tp13308 +a(g7 +g956 +tp13309 +a(g545 +Vspan +p13310 +tp13311 +a(g892 +g980 +tp13312 +a(g654 +Vclass +p13313 +tp13314 +a(g400 +g1003 +tp13315 +a(g76 +V"name" +p13316 +tp13317 +a(g7 +g960 +tp13318 +a(g892 +Vobj +p13319 +tp13320 +a(g7 +g956 +tp13321 +a(g7 +g1024 +tp13322 +a(g545 +Vspan +p13323 +tp13324 +a(g7 +g960 +tp13325 +a(g892 +V):\u000a +p13326 +tp13327 +a(g7 +g956 +tp13328 +a(g545 +Vspan +p13329 +tp13330 +a(g892 +g980 +tp13331 +a(g654 +Vclass +p13332 +tp13333 +a(g400 +g1003 +tp13334 +a(g76 +V"kw" +p13335 +tp13336 +a(g7 +g960 +tp13337 +a(g892 +Vif +p13338 +tp13339 +a(g7 +g956 +tp13340 +a(g7 +g1024 +tp13341 +a(g545 +Vspan +p13342 +tp13343 +a(g7 +g960 +tp13344 +a(g892 +g980 +tp13345 +a(g7 +g956 +tp13346 +a(g545 +Vspan +p13347 +tp13348 +a(g892 +g980 +tp13349 +a(g654 +Vclass +p13350 +tp13351 +a(g400 +g1003 +tp13352 +a(g76 +V"bn" +p13353 +tp13354 +a(g7 +g960 +tp13355 +a(g892 +Visinstance +p13356 +tp13357 +a(g7 +g956 +tp13358 +a(g7 +g1024 +tp13359 +a(g545 +Vspan +p13360 +tp13361 +a(g7 +g960 +tp13362 +a(g892 +g984 +tp13363 +a(g7 +g956 +tp13364 +a(g545 +Vspan +p13365 +tp13366 +a(g892 +g980 +tp13367 +a(g654 +Vclass +p13368 +tp13369 +a(g400 +g1003 +tp13370 +a(g76 +V"name" +p13371 +tp13372 +a(g7 +g960 +tp13373 +a(g892 +Vobj +p13374 +tp13375 +a(g7 +g956 +tp13376 +a(g7 +g1024 +tp13377 +a(g545 +Vspan +p13378 +tp13379 +a(g7 +g960 +tp13380 +a(g892 +V, +p13381 +tp13382 +a(g7 +g956 +tp13383 +a(g545 +Vspan +p13384 +tp13385 +a(g892 +g980 +tp13386 +a(g654 +Vclass +p13387 +tp13388 +a(g400 +g1003 +tp13389 +a(g76 +V"name" +p13390 +tp13391 +a(g7 +g960 +tp13392 +a(g892 +VForum +p13393 +tp13394 +a(g7 +g956 +tp13395 +a(g7 +g1024 +tp13396 +a(g545 +Vspan +p13397 +tp13398 +a(g7 +g960 +tp13399 +a(g892 +V):\u000a +p13400 +tp13401 +a(g7 +g956 +tp13402 +a(g545 +Vspan +p13403 +tp13404 +a(g892 +g980 +tp13405 +a(g654 +Vclass +p13406 +tp13407 +a(g400 +g1003 +tp13408 +a(g76 +V"kw" +p13409 +tp13410 +a(g7 +g960 +tp13411 +a(g892 +Vreturn +p13412 +tp13413 +a(g7 +g956 +tp13414 +a(g7 +g1024 +tp13415 +a(g545 +Vspan +p13416 +tp13417 +a(g7 +g960 +tp13418 +a(g892 +g980 +tp13419 +a(g7 +g956 +tp13420 +a(g545 +Vspan +p13421 +tp13422 +a(g892 +g980 +tp13423 +a(g654 +Vclass +p13424 +tp13425 +a(g400 +g1003 +tp13426 +a(g76 +V"st st-sg" +p13427 +tp13428 +a(g7 +g960 +tp13429 +a(g491 +V' +p13430 +tp13431 +a(g7 +g956 +tp13432 +a(g7 +g1024 +tp13433 +a(g545 +Vspan +p13434 +tp13435 +a(g7 +g960 +tp13436 +a(g7 +g956 +tp13437 +a(g545 +Vspan +p13438 +tp13439 +a(g892 +g980 +tp13440 +a(g654 +Vclass +p13441 +tp13442 +a(g400 +g1003 +tp13443 +a(g76 +V"st" +p13444 +tp13445 +a(g7 +g960 +tp13446 +a(g892 +Vforum +p13447 +tp13448 +a(g7 +g956 +tp13449 +a(g7 +g1024 +tp13450 +a(g545 +Vspan +p13451 +tp13452 +a(g7 +g960 +tp13453 +a(g7 +g956 +tp13454 +a(g545 +Vspan +p13455 +tp13456 +a(g892 +g980 +tp13457 +a(g654 +Vclass +p13458 +tp13459 +a(g400 +g1003 +tp13460 +a(g76 +V"st st-sg" +p13461 +tp13462 +a(g7 +g960 +tp13463 +a(g491 +V' +p13464 +tp13465 +a(g7 +g956 +tp13466 +a(g7 +g1024 +tp13467 +a(g545 +Vspan +p13468 +tp13469 +a(g7 +g960 +tp13470 +a(g892 +V\u000a\u000a +p13471 +tp13472 +a(g7 +g956 +tp13473 +a(g545 +Vspan +p13474 +tp13475 +a(g892 +g980 +tp13476 +a(g654 +Vclass +p13477 +tp13478 +a(g400 +g1003 +tp13479 +a(g76 +V"kw" +p13480 +tp13481 +a(g7 +g960 +tp13482 +a(g892 +Velif +p13483 +tp13484 +a(g7 +g956 +tp13485 +a(g7 +g1024 +tp13486 +a(g545 +Vspan +p13487 +tp13488 +a(g7 +g960 +tp13489 +a(g892 +g980 +tp13490 +a(g7 +g956 +tp13491 +a(g545 +Vspan +p13492 +tp13493 +a(g892 +g980 +tp13494 +a(g654 +Vclass +p13495 +tp13496 +a(g400 +g1003 +tp13497 +a(g76 +V"bn" +p13498 +tp13499 +a(g7 +g960 +tp13500 +a(g892 +Visinstance +p13501 +tp13502 +a(g7 +g956 +tp13503 +a(g7 +g1024 +tp13504 +a(g545 +Vspan +p13505 +tp13506 +a(g7 +g960 +tp13507 +a(g892 +g984 +tp13508 +a(g7 +g956 +tp13509 +a(g545 +Vspan +p13510 +tp13511 +a(g892 +g980 +tp13512 +a(g654 +Vclass +p13513 +tp13514 +a(g400 +g1003 +tp13515 +a(g76 +V"name" +p13516 +tp13517 +a(g7 +g960 +tp13518 +a(g892 +Vobj +p13519 +tp13520 +a(g7 +g956 +tp13521 +a(g7 +g1024 +tp13522 +a(g545 +Vspan +p13523 +tp13524 +a(g7 +g960 +tp13525 +a(g892 +V, +p13526 +tp13527 +a(g7 +g956 +tp13528 +a(g545 +Vspan +p13529 +tp13530 +a(g892 +g980 +tp13531 +a(g654 +Vclass +p13532 +tp13533 +a(g400 +g1003 +tp13534 +a(g76 +V"name" +p13535 +tp13536 +a(g7 +g960 +tp13537 +a(g892 +VThread +p13538 +tp13539 +a(g7 +g956 +tp13540 +a(g7 +g1024 +tp13541 +a(g545 +Vspan +p13542 +tp13543 +a(g7 +g960 +tp13544 +a(g892 +V):\u000a +p13545 +tp13546 +a(g7 +g956 +tp13547 +a(g545 +Vspan +p13548 +tp13549 +a(g892 +g980 +tp13550 +a(g654 +Vclass +p13551 +tp13552 +a(g400 +g1003 +tp13553 +a(g76 +V"kw" +p13554 +tp13555 +a(g7 +g960 +tp13556 +a(g892 +Vreturn +p13557 +tp13558 +a(g7 +g956 +tp13559 +a(g7 +g1024 +tp13560 +a(g545 +Vspan +p13561 +tp13562 +a(g7 +g960 +tp13563 +a(g892 +g980 +tp13564 +a(g7 +g956 +tp13565 +a(g545 +Vspan +p13566 +tp13567 +a(g892 +g980 +tp13568 +a(g654 +Vclass +p13569 +tp13570 +a(g400 +g1003 +tp13571 +a(g76 +V"st st-sg" +p13572 +tp13573 +a(g7 +g960 +tp13574 +a(g491 +V' +p13575 +tp13576 +a(g7 +g956 +tp13577 +a(g7 +g1024 +tp13578 +a(g545 +Vspan +p13579 +tp13580 +a(g7 +g960 +tp13581 +a(g7 +g956 +tp13582 +a(g545 +Vspan +p13583 +tp13584 +a(g892 +g980 +tp13585 +a(g654 +Vclass +p13586 +tp13587 +a(g400 +g1003 +tp13588 +a(g76 +V"st" +p13589 +tp13590 +a(g7 +g960 +tp13591 +a(g892 +Vthread +p13592 +tp13593 +a(g7 +g956 +tp13594 +a(g7 +g1024 +tp13595 +a(g545 +Vspan +p13596 +tp13597 +a(g7 +g960 +tp13598 +a(g7 +g956 +tp13599 +a(g545 +Vspan +p13600 +tp13601 +a(g892 +g980 +tp13602 +a(g654 +Vclass +p13603 +tp13604 +a(g400 +g1003 +tp13605 +a(g76 +V"st st-sg" +p13606 +tp13607 +a(g7 +g960 +tp13608 +a(g491 +V' +p13609 +tp13610 +a(g7 +g956 +tp13611 +a(g7 +g1024 +tp13612 +a(g545 +Vspan +p13613 +tp13614 +a(g7 +g960 +tp13615 +a(g892 +V\u000a +p13616 +tp13617 +a(g7 +g956 +tp13618 +a(g545 +Vspan +p13619 +tp13620 +a(g892 +g980 +tp13621 +a(g654 +Vclass +p13622 +tp13623 +a(g400 +g1003 +tp13624 +a(g76 +V"kw" +p13625 +tp13626 +a(g7 +g960 +tp13627 +a(g892 +Velif +p13628 +tp13629 +a(g7 +g956 +tp13630 +a(g7 +g1024 +tp13631 +a(g545 +Vspan +p13632 +tp13633 +a(g7 +g960 +tp13634 +a(g892 +g980 +tp13635 +a(g7 +g956 +tp13636 +a(g545 +Vspan +p13637 +tp13638 +a(g892 +g980 +tp13639 +a(g654 +Vclass +p13640 +tp13641 +a(g400 +g1003 +tp13642 +a(g76 +V"name" +p13643 +tp13644 +a(g7 +g960 +tp13645 +a(g892 +Vobj +p13646 +tp13647 +a(g7 +g956 +tp13648 +a(g7 +g1024 +tp13649 +a(g545 +Vspan +p13650 +tp13651 +a(g7 +g960 +tp13652 +a(g892 +g980 +tp13653 +a(g7 +g956 +tp13654 +a(g545 +Vspan +p13655 +tp13656 +a(g892 +g980 +tp13657 +a(g654 +Vclass +p13658 +tp13659 +a(g400 +g1003 +tp13660 +a(g76 +V"op op-word" +p13661 +tp13662 +a(g7 +g960 +tp13663 +a(g892 +Vis +p13664 +tp13665 +a(g7 +g956 +tp13666 +a(g7 +g1024 +tp13667 +a(g545 +Vspan +p13668 +tp13669 +a(g7 +g960 +tp13670 +a(g892 +g980 +tp13671 +a(g7 +g956 +tp13672 +a(g545 +Vspan +p13673 +tp13674 +a(g892 +g980 +tp13675 +a(g654 +Vclass +p13676 +tp13677 +a(g400 +g1003 +tp13678 +a(g76 +V"name" +p13679 +tp13680 +a(g7 +g960 +tp13681 +a(g892 +VSite +p13682 +tp13683 +a(g7 +g956 +tp13684 +a(g7 +g1024 +tp13685 +a(g545 +Vspan +p13686 +tp13687 +a(g7 +g960 +tp13688 +a(g892 +V:\u000a +p13689 +tp13690 +a(g7 +g956 +tp13691 +a(g545 +Vspan +p13692 +tp13693 +a(g892 +g980 +tp13694 +a(g654 +Vclass +p13695 +tp13696 +a(g400 +g1003 +tp13697 +a(g76 +V"kw" +p13698 +tp13699 +a(g7 +g960 +tp13700 +a(g892 +Vreturn +p13701 +tp13702 +a(g7 +g956 +tp13703 +a(g7 +g1024 +tp13704 +a(g545 +Vspan +p13705 +tp13706 +a(g7 +g960 +tp13707 +a(g892 +g980 +tp13708 +a(g7 +g956 +tp13709 +a(g545 +Vspan +p13710 +tp13711 +a(g892 +g980 +tp13712 +a(g654 +Vclass +p13713 +tp13714 +a(g400 +g1003 +tp13715 +a(g76 +V"st st-sg" +p13716 +tp13717 +a(g7 +g960 +tp13718 +a(g491 +V' +p13719 +tp13720 +a(g7 +g956 +tp13721 +a(g7 +g1024 +tp13722 +a(g545 +Vspan +p13723 +tp13724 +a(g7 +g960 +tp13725 +a(g7 +g956 +tp13726 +a(g545 +Vspan +p13727 +tp13728 +a(g892 +g980 +tp13729 +a(g654 +Vclass +p13730 +tp13731 +a(g400 +g1003 +tp13732 +a(g76 +V"st" +p13733 +tp13734 +a(g7 +g960 +tp13735 +a(g892 +Vsite +p13736 +tp13737 +a(g7 +g956 +tp13738 +a(g7 +g1024 +tp13739 +a(g545 +Vspan +p13740 +tp13741 +a(g7 +g960 +tp13742 +a(g7 +g956 +tp13743 +a(g545 +Vspan +p13744 +tp13745 +a(g892 +g980 +tp13746 +a(g654 +Vclass +p13747 +tp13748 +a(g400 +g1003 +tp13749 +a(g76 +V"st st-sg" +p13750 +tp13751 +a(g7 +g960 +tp13752 +a(g491 +V' +p13753 +tp13754 +a(g7 +g956 +tp13755 +a(g7 +g1024 +tp13756 +a(g545 +Vspan +p13757 +tp13758 +a(g7 +g960 +tp13759 +a(g892 +V\u000a\u000a +p13760 +tp13761 +a(g7 +g956 +tp13762 +a(g545 +Vspan +p13763 +tp13764 +a(g892 +g980 +tp13765 +a(g654 +Vclass +p13766 +tp13767 +a(g400 +g1003 +tp13768 +a(g76 +V"kw" +p13769 +tp13770 +a(g7 +g960 +tp13771 +a(g892 +Vraise +p13772 +tp13773 +a(g7 +g956 +tp13774 +a(g7 +g1024 +tp13775 +a(g545 +Vspan +p13776 +tp13777 +a(g7 +g960 +tp13778 +a(g892 +g980 +tp13779 +a(g7 +g956 +tp13780 +a(g545 +Vspan +p13781 +tp13782 +a(g892 +g980 +tp13783 +a(g654 +Vclass +p13784 +tp13785 +a(g400 +g1003 +tp13786 +a(g76 +V"exc" +p13787 +tp13788 +a(g7 +g960 +tp13789 +a(g892 +VTypeError +p13790 +tp13791 +a(g7 +g956 +tp13792 +a(g7 +g1024 +tp13793 +a(g545 +Vspan +p13794 +tp13795 +a(g7 +g960 +tp13796 +a(g892 +g984 +tp13797 +a(g7 +g956 +tp13798 +a(g545 +Vspan +p13799 +tp13800 +a(g892 +g980 +tp13801 +a(g654 +Vclass +p13802 +tp13803 +a(g400 +g1003 +tp13804 +a(g76 +V"st st-sg" +p13805 +tp13806 +a(g7 +g960 +tp13807 +a(g491 +V' +p13808 +tp13809 +a(g7 +g956 +tp13810 +a(g7 +g1024 +tp13811 +a(g545 +Vspan +p13812 +tp13813 +a(g7 +g960 +tp13814 +a(g7 +g956 +tp13815 +a(g545 +Vspan +p13816 +tp13817 +a(g892 +g980 +tp13818 +a(g654 +Vclass +p13819 +tp13820 +a(g400 +g1003 +tp13821 +a(g76 +V"st" +p13822 +tp13823 +a(g7 +g960 +tp13824 +a(g892 +Vobj isn +p13825 +tp13826 +a(g7 +g956 +tp13827 +a(g7 +g1024 +tp13828 +a(g545 +Vspan +p13829 +tp13830 +a(g7 +g960 +tp13831 +a(g7 +g956 +tp13832 +a(g545 +Vspan +p13833 +tp13834 +a(g892 +g980 +tp13835 +a(g654 +Vclass +p13836 +tp13837 +a(g400 +g1003 +tp13838 +a(g76 +V"st st-esc" +p13839 +tp13840 +a(g7 +g960 +tp13841 +a(g892 +V\u005c +p13842 +tp13843 +a(g491 +V' +p13844 +tp13845 +a(g7 +g956 +tp13846 +a(g7 +g1024 +tp13847 +a(g545 +Vspan +p13848 +tp13849 +a(g7 +g960 +tp13850 +a(g7 +g956 +tp13851 +a(g545 +Vspan +p13852 +tp13853 +a(g892 +g980 +tp13854 +a(g654 +Vclass +p13855 +tp13856 +a(g400 +g1003 +tp13857 +a(g76 +V"st" +p13858 +tp13859 +a(g7 +g960 +tp13860 +a(g892 +Vt a forum or thread +p13861 +tp13862 +a(g7 +g956 +tp13863 +a(g7 +g1024 +tp13864 +a(g545 +Vspan +p13865 +tp13866 +a(g7 +g960 +tp13867 +a(g7 +g956 +tp13868 +a(g545 +Vspan +p13869 +tp13870 +a(g892 +g980 +tp13871 +a(g654 +Vclass +p13872 +tp13873 +a(g400 +g1003 +tp13874 +a(g76 +V"st st-sg" +p13875 +tp13876 +a(g7 +g960 +tp13877 +a(g491 +V' +p13878 +tp13879 +a(g7 +g956 +tp13880 +a(g7 +g1024 +tp13881 +a(g545 +Vspan +p13882 +tp13883 +a(g7 +g960 +tp13884 +a(g892 +V)\u000a\u000a +p13885 +tp13886 +a(g7 +g956 +tp13887 +a(g545 +Vspan +p13888 +tp13889 +a(g892 +g980 +tp13890 +a(g654 +Vclass +p13891 +tp13892 +a(g400 +g1003 +tp13893 +a(g76 +V"kw" +p13894 +tp13895 +a(g7 +g960 +tp13896 +a(g892 +Vdef +p13897 +tp13898 +a(g7 +g956 +tp13899 +a(g7 +g1024 +tp13900 +a(g545 +Vspan +p13901 +tp13902 +a(g7 +g960 +tp13903 +a(g7 +g956 +tp13904 +a(g545 +Vspan +p13905 +tp13906 +a(g892 +g980 +tp13907 +a(g654 +Vclass +p13908 +tp13909 +a(g400 +g1003 +tp13910 +a(g76 +V"fun" +p13911 +tp13912 +a(g7 +g960 +tp13913 +a(g892 +V_get_object_join +p13914 +tp13915 +a(g7 +g956 +tp13916 +a(g7 +g1024 +tp13917 +a(g545 +Vspan +p13918 +tp13919 +a(g7 +g960 +tp13920 +a(g892 +g984 +tp13921 +a(g7 +g956 +tp13922 +a(g545 +Vspan +p13923 +tp13924 +a(g892 +g980 +tp13925 +a(g654 +Vclass +p13926 +tp13927 +a(g400 +g1003 +tp13928 +a(g76 +V"bn bn-pseudo" +p13929 +tp13930 +a(g7 +g960 +tp13931 +a(g892 +Vself +p13932 +tp13933 +a(g7 +g956 +tp13934 +a(g7 +g1024 +tp13935 +a(g545 +Vspan +p13936 +tp13937 +a(g7 +g960 +tp13938 +a(g892 +V, +p13939 +tp13940 +a(g7 +g956 +tp13941 +a(g545 +Vspan +p13942 +tp13943 +a(g892 +g980 +tp13944 +a(g654 +Vclass +p13945 +tp13946 +a(g400 +g1003 +tp13947 +a(g76 +V"name" +p13948 +tp13949 +a(g7 +g960 +tp13950 +a(g892 +Vobj +p13951 +tp13952 +a(g7 +g956 +tp13953 +a(g7 +g1024 +tp13954 +a(g545 +Vspan +p13955 +tp13956 +a(g7 +g960 +tp13957 +a(g892 +V):\u000a +p13958 +tp13959 +a(g7 +g956 +tp13960 +a(g545 +Vspan +p13961 +tp13962 +a(g892 +g980 +tp13963 +a(g654 +Vclass +p13964 +tp13965 +a(g400 +g1003 +tp13966 +a(g76 +V"st st-db" +p13967 +tp13968 +a(g7 +g960 +tp13969 +a(g491 +V" +p13970 +tp13971 +a(g491 +V" +p13972 +tp13973 +a(g491 +V" +p13974 +tp13975 +a(g7 +g956 +tp13976 +a(g7 +g1024 +tp13977 +a(g545 +Vspan +p13978 +tp13979 +a(g7 +g960 +tp13980 +a(g7 +g956 +tp13981 +a(g545 +Vspan +p13982 +tp13983 +a(g892 +g980 +tp13984 +a(g654 +Vclass +p13985 +tp13986 +a(g400 +g1003 +tp13987 +a(g76 +V"st" +p13988 +tp13989 +a(g7 +g960 +tp13990 +a(g892 +VReturns a subjoin for the object id. +p13991 +tp13992 +a(g7 +g956 +tp13993 +a(g7 +g1024 +tp13994 +a(g545 +Vspan +p13995 +tp13996 +a(g7 +g960 +tp13997 +a(g7 +g956 +tp13998 +a(g545 +Vspan +p13999 +tp14000 +a(g892 +g980 +tp14001 +a(g654 +Vclass +p14002 +tp14003 +a(g400 +g1003 +tp14004 +a(g76 +V"st st-db" +p14005 +tp14006 +a(g7 +g960 +tp14007 +a(g491 +V" +p14008 +tp14009 +a(g491 +V" +p14010 +tp14011 +a(g491 +V" +p14012 +tp14013 +a(g7 +g956 +tp14014 +a(g7 +g1024 +tp14015 +a(g545 +Vspan +p14016 +tp14017 +a(g7 +g960 +tp14018 +a(g892 +V\u000a\u000a +p14019 +tp14020 +a(g7 +g956 +tp14021 +a(g545 +Vspan +p14022 +tp14023 +a(g892 +g980 +tp14024 +a(g654 +Vclass +p14025 +tp14026 +a(g400 +g1003 +tp14027 +a(g76 +V"name" +p14028 +tp14029 +a(g7 +g960 +tp14030 +a(g892 +Vt +p14031 +tp14032 +a(g7 +g956 +tp14033 +a(g7 +g1024 +tp14034 +a(g545 +Vspan +p14035 +tp14036 +a(g7 +g960 +tp14037 +a(g892 +g980 +tp14038 +a(g7 +g956 +tp14039 +a(g545 +Vspan +p14040 +tp14041 +a(g892 +g980 +tp14042 +a(g654 +Vclass +p14043 +tp14044 +a(g400 +g1003 +tp14045 +a(g76 +V"op" +p14046 +tp14047 +a(g7 +g960 +tp14048 +a(g892 +g1003 +tp14049 +a(g7 +g956 +tp14050 +a(g7 +g1024 +tp14051 +a(g545 +Vspan +p14052 +tp14053 +a(g7 +g960 +tp14054 +a(g892 +g980 +tp14055 +a(g7 +g956 +tp14056 +a(g545 +Vspan +p14057 +tp14058 +a(g892 +g980 +tp14059 +a(g654 +Vclass +p14060 +tp14061 +a(g400 +g1003 +tp14062 +a(g76 +V"bn bn-pseudo" +p14063 +tp14064 +a(g7 +g960 +tp14065 +a(g892 +Vself +p14066 +tp14067 +a(g7 +g956 +tp14068 +a(g7 +g1024 +tp14069 +a(g545 +Vspan +p14070 +tp14071 +a(g7 +g960 +tp14072 +a(g892 +g1008 +tp14073 +a(g7 +g956 +tp14074 +a(g545 +Vspan +p14075 +tp14076 +a(g892 +g980 +tp14077 +a(g654 +Vclass +p14078 +tp14079 +a(g400 +g1003 +tp14080 +a(g76 +V"name" +p14081 +tp14082 +a(g7 +g960 +tp14083 +a(g892 +V_get_object_type +p14084 +tp14085 +a(g7 +g956 +tp14086 +a(g7 +g1024 +tp14087 +a(g545 +Vspan +p14088 +tp14089 +a(g7 +g960 +tp14090 +a(g892 +g984 +tp14091 +a(g7 +g956 +tp14092 +a(g545 +Vspan +p14093 +tp14094 +a(g892 +g980 +tp14095 +a(g654 +Vclass +p14096 +tp14097 +a(g400 +g1003 +tp14098 +a(g76 +V"name" +p14099 +tp14100 +a(g7 +g960 +tp14101 +a(g892 +Vobj +p14102 +tp14103 +a(g7 +g956 +tp14104 +a(g7 +g1024 +tp14105 +a(g545 +Vspan +p14106 +tp14107 +a(g7 +g960 +tp14108 +a(g892 +V)\u000a +p14109 +tp14110 +a(g7 +g956 +tp14111 +a(g545 +Vspan +p14112 +tp14113 +a(g892 +g980 +tp14114 +a(g654 +Vclass +p14115 +tp14116 +a(g400 +g1003 +tp14117 +a(g76 +V"kw" +p14118 +tp14119 +a(g7 +g960 +tp14120 +a(g892 +Vif +p14121 +tp14122 +a(g7 +g956 +tp14123 +a(g7 +g1024 +tp14124 +a(g545 +Vspan +p14125 +tp14126 +a(g7 +g960 +tp14127 +a(g892 +g980 +tp14128 +a(g7 +g956 +tp14129 +a(g545 +Vspan +p14130 +tp14131 +a(g892 +g980 +tp14132 +a(g654 +Vclass +p14133 +tp14134 +a(g400 +g1003 +tp14135 +a(g76 +V"name" +p14136 +tp14137 +a(g7 +g960 +tp14138 +a(g892 +g14031 +tp14139 +a(g7 +g956 +tp14140 +a(g7 +g1024 +tp14141 +a(g545 +Vspan +p14142 +tp14143 +a(g7 +g960 +tp14144 +a(g892 +g980 +tp14145 +a(g7 +g956 +tp14146 +a(g545 +Vspan +p14147 +tp14148 +a(g892 +g980 +tp14149 +a(g654 +Vclass +p14150 +tp14151 +a(g400 +g1003 +tp14152 +a(g76 +V"op" +p14153 +tp14154 +a(g7 +g960 +tp14155 +a(g892 +V== +p14156 +tp14157 +a(g7 +g956 +tp14158 +a(g7 +g1024 +tp14159 +a(g545 +Vspan +p14160 +tp14161 +a(g7 +g960 +tp14162 +a(g892 +g980 +tp14163 +a(g7 +g956 +tp14164 +a(g545 +Vspan +p14165 +tp14166 +a(g892 +g980 +tp14167 +a(g654 +Vclass +p14168 +tp14169 +a(g400 +g1003 +tp14170 +a(g76 +V"st st-sg" +p14171 +tp14172 +a(g7 +g960 +tp14173 +a(g491 +V' +p14174 +tp14175 +a(g7 +g956 +tp14176 +a(g7 +g1024 +tp14177 +a(g545 +Vspan +p14178 +tp14179 +a(g7 +g960 +tp14180 +a(g7 +g956 +tp14181 +a(g545 +Vspan +p14182 +tp14183 +a(g892 +g980 +tp14184 +a(g654 +Vclass +p14185 +tp14186 +a(g400 +g1003 +tp14187 +a(g76 +V"st" +p14188 +tp14189 +a(g7 +g960 +tp14190 +a(g892 +Vforum +p14191 +tp14192 +a(g7 +g956 +tp14193 +a(g7 +g1024 +tp14194 +a(g545 +Vspan +p14195 +tp14196 +a(g7 +g960 +tp14197 +a(g7 +g956 +tp14198 +a(g545 +Vspan +p14199 +tp14200 +a(g892 +g980 +tp14201 +a(g654 +Vclass +p14202 +tp14203 +a(g400 +g1003 +tp14204 +a(g76 +V"st st-sg" +p14205 +tp14206 +a(g7 +g960 +tp14207 +a(g491 +V' +p14208 +tp14209 +a(g7 +g956 +tp14210 +a(g7 +g1024 +tp14211 +a(g545 +Vspan +p14212 +tp14213 +a(g7 +g960 +tp14214 +a(g892 +V:\u000a +p14215 +tp14216 +a(g7 +g956 +tp14217 +a(g545 +Vspan +p14218 +tp14219 +a(g892 +g980 +tp14220 +a(g654 +Vclass +p14221 +tp14222 +a(g400 +g1003 +tp14223 +a(g76 +V"kw" +p14224 +tp14225 +a(g7 +g960 +tp14226 +a(g892 +Vreturn +p14227 +tp14228 +a(g7 +g956 +tp14229 +a(g7 +g1024 +tp14230 +a(g545 +Vspan +p14231 +tp14232 +a(g7 +g960 +tp14233 +a(g892 +g980 +tp14234 +a(g7 +g956 +tp14235 +a(g545 +Vspan +p14236 +tp14237 +a(g892 +g980 +tp14238 +a(g654 +Vclass +p14239 +tp14240 +a(g400 +g1003 +tp14241 +a(g76 +V"name" +p14242 +tp14243 +a(g7 +g960 +tp14244 +a(g892 +Vmeta +p14245 +tp14246 +a(g7 +g956 +tp14247 +a(g7 +g1024 +tp14248 +a(g545 +Vspan +p14249 +tp14250 +a(g7 +g960 +tp14251 +a(g892 +g1008 +tp14252 +a(g7 +g956 +tp14253 +a(g545 +Vspan +p14254 +tp14255 +a(g892 +g980 +tp14256 +a(g654 +Vclass +p14257 +tp14258 +a(g400 +g1003 +tp14259 +a(g76 +V"name" +p14260 +tp14261 +a(g7 +g960 +tp14262 +a(g892 +Vselect +p14263 +tp14264 +a(g7 +g956 +tp14265 +a(g7 +g1024 +tp14266 +a(g545 +Vspan +p14267 +tp14268 +a(g7 +g960 +tp14269 +a(g892 +V([ +p14270 +tp14271 +a(g7 +g956 +tp14272 +a(g545 +Vspan +p14273 +tp14274 +a(g892 +g980 +tp14275 +a(g654 +Vclass +p14276 +tp14277 +a(g400 +g1003 +tp14278 +a(g76 +V"name" +p14279 +tp14280 +a(g7 +g960 +tp14281 +a(g892 +Vforums +p14282 +tp14283 +a(g7 +g956 +tp14284 +a(g7 +g1024 +tp14285 +a(g545 +Vspan +p14286 +tp14287 +a(g7 +g960 +tp14288 +a(g892 +g1008 +tp14289 +a(g7 +g956 +tp14290 +a(g545 +Vspan +p14291 +tp14292 +a(g892 +g980 +tp14293 +a(g654 +Vclass +p14294 +tp14295 +a(g400 +g1003 +tp14296 +a(g76 +V"name" +p14297 +tp14298 +a(g7 +g960 +tp14299 +a(g892 +g5469 +tp14300 +a(g7 +g956 +tp14301 +a(g7 +g1024 +tp14302 +a(g545 +Vspan +p14303 +tp14304 +a(g7 +g960 +tp14305 +a(g892 +g1008 +tp14306 +a(g7 +g956 +tp14307 +a(g545 +Vspan +p14308 +tp14309 +a(g892 +g980 +tp14310 +a(g654 +Vclass +p14311 +tp14312 +a(g400 +g1003 +tp14313 +a(g76 +V"name" +p14314 +tp14315 +a(g7 +g960 +tp14316 +a(g892 +Vobject_id +p14317 +tp14318 +a(g7 +g956 +tp14319 +a(g7 +g1024 +tp14320 +a(g545 +Vspan +p14321 +tp14322 +a(g7 +g960 +tp14323 +a(g892 +V],\u000a +p14324 +tp14325 +a(g7 +g956 +tp14326 +a(g545 +Vspan +p14327 +tp14328 +a(g892 +g980 +tp14329 +a(g654 +Vclass +p14330 +tp14331 +a(g400 +g1003 +tp14332 +a(g76 +V"name" +p14333 +tp14334 +a(g7 +g960 +tp14335 +a(g892 +Vforums +p14336 +tp14337 +a(g7 +g956 +tp14338 +a(g7 +g1024 +tp14339 +a(g545 +Vspan +p14340 +tp14341 +a(g7 +g960 +tp14342 +a(g892 +g1008 +tp14343 +a(g7 +g956 +tp14344 +a(g545 +Vspan +p14345 +tp14346 +a(g892 +g980 +tp14347 +a(g654 +Vclass +p14348 +tp14349 +a(g400 +g1003 +tp14350 +a(g76 +V"name" +p14351 +tp14352 +a(g7 +g960 +tp14353 +a(g892 +g5469 +tp14354 +a(g7 +g956 +tp14355 +a(g7 +g1024 +tp14356 +a(g545 +Vspan +p14357 +tp14358 +a(g7 +g960 +tp14359 +a(g892 +g1008 +tp14360 +a(g7 +g956 +tp14361 +a(g545 +Vspan +p14362 +tp14363 +a(g892 +g980 +tp14364 +a(g654 +Vclass +p14365 +tp14366 +a(g400 +g1003 +tp14367 +a(g76 +V"name" +p14368 +tp14369 +a(g7 +g960 +tp14370 +a(g892 +Vforum_id +p14371 +tp14372 +a(g7 +g956 +tp14373 +a(g7 +g1024 +tp14374 +a(g545 +Vspan +p14375 +tp14376 +a(g7 +g960 +tp14377 +a(g892 +g980 +tp14378 +a(g7 +g956 +tp14379 +a(g545 +Vspan +p14380 +tp14381 +a(g892 +g980 +tp14382 +a(g654 +Vclass +p14383 +tp14384 +a(g400 +g1003 +tp14385 +a(g76 +V"op" +p14386 +tp14387 +a(g7 +g960 +tp14388 +a(g892 +V== +p14389 +tp14390 +a(g7 +g956 +tp14391 +a(g7 +g1024 +tp14392 +a(g545 +Vspan +p14393 +tp14394 +a(g7 +g960 +tp14395 +a(g892 +g980 +tp14396 +a(g7 +g956 +tp14397 +a(g545 +Vspan +p14398 +tp14399 +a(g892 +g980 +tp14400 +a(g654 +Vclass +p14401 +tp14402 +a(g400 +g1003 +tp14403 +a(g76 +V"name" +p14404 +tp14405 +a(g7 +g960 +tp14406 +a(g892 +Vobj +p14407 +tp14408 +a(g7 +g956 +tp14409 +a(g7 +g1024 +tp14410 +a(g545 +Vspan +p14411 +tp14412 +a(g7 +g960 +tp14413 +a(g892 +g1008 +tp14414 +a(g7 +g956 +tp14415 +a(g545 +Vspan +p14416 +tp14417 +a(g892 +g980 +tp14418 +a(g654 +Vclass +p14419 +tp14420 +a(g400 +g1003 +tp14421 +a(g76 +V"name" +p14422 +tp14423 +a(g7 +g960 +tp14424 +a(g892 +Vforum_id +p14425 +tp14426 +a(g7 +g956 +tp14427 +a(g7 +g1024 +tp14428 +a(g545 +Vspan +p14429 +tp14430 +a(g7 +g960 +tp14431 +a(g892 +V\u000a\u000a )\u000a +p14432 +tp14433 +a(g7 +g956 +tp14434 +a(g545 +Vspan +p14435 +tp14436 +a(g892 +g980 +tp14437 +a(g654 +Vclass +p14438 +tp14439 +a(g400 +g1003 +tp14440 +a(g76 +V"kw" +p14441 +tp14442 +a(g7 +g960 +tp14443 +a(g892 +Velif +p14444 +tp14445 +a(g7 +g956 +tp14446 +a(g7 +g1024 +tp14447 +a(g545 +Vspan +p14448 +tp14449 +a(g7 +g960 +tp14450 +a(g892 +g980 +tp14451 +a(g7 +g956 +tp14452 +a(g545 +Vspan +p14453 +tp14454 +a(g892 +g980 +tp14455 +a(g654 +Vclass +p14456 +tp14457 +a(g400 +g1003 +tp14458 +a(g76 +V"name" +p14459 +tp14460 +a(g7 +g960 +tp14461 +a(g892 +g14031 +tp14462 +a(g7 +g956 +tp14463 +a(g7 +g1024 +tp14464 +a(g545 +Vspan +p14465 +tp14466 +a(g7 +g960 +tp14467 +a(g892 +g980 +tp14468 +a(g7 +g956 +tp14469 +a(g545 +Vspan +p14470 +tp14471 +a(g892 +g980 +tp14472 +a(g654 +Vclass +p14473 +tp14474 +a(g400 +g1003 +tp14475 +a(g76 +V"op" +p14476 +tp14477 +a(g7 +g960 +tp14478 +a(g892 +V== +p14479 +tp14480 +a(g7 +g956 +tp14481 +a(g7 +g1024 +tp14482 +a(g545 +Vspan +p14483 +tp14484 +a(g7 +g960 +tp14485 +a(g892 +g980 +tp14486 +a(g7 +g956 +tp14487 +a(g545 +Vspan +p14488 +tp14489 +a(g892 +g980 +tp14490 +a(g654 +Vclass +p14491 +tp14492 +a(g400 +g1003 +tp14493 +a(g76 +V"st st-sg" +p14494 +tp14495 +a(g7 +g960 +tp14496 +a(g491 +V' +p14497 +tp14498 +a(g7 +g956 +tp14499 +a(g7 +g1024 +tp14500 +a(g545 +Vspan +p14501 +tp14502 +a(g7 +g960 +tp14503 +a(g7 +g956 +tp14504 +a(g545 +Vspan +p14505 +tp14506 +a(g892 +g980 +tp14507 +a(g654 +Vclass +p14508 +tp14509 +a(g400 +g1003 +tp14510 +a(g76 +V"st" +p14511 +tp14512 +a(g7 +g960 +tp14513 +a(g892 +Vthread +p14514 +tp14515 +a(g7 +g956 +tp14516 +a(g7 +g1024 +tp14517 +a(g545 +Vspan +p14518 +tp14519 +a(g7 +g960 +tp14520 +a(g7 +g956 +tp14521 +a(g545 +Vspan +p14522 +tp14523 +a(g892 +g980 +tp14524 +a(g654 +Vclass +p14525 +tp14526 +a(g400 +g1003 +tp14527 +a(g76 +V"st st-sg" +p14528 +tp14529 +a(g7 +g960 +tp14530 +a(g491 +V' +p14531 +tp14532 +a(g7 +g956 +tp14533 +a(g7 +g1024 +tp14534 +a(g545 +Vspan +p14535 +tp14536 +a(g7 +g960 +tp14537 +a(g892 +V:\u000a +p14538 +tp14539 +a(g7 +g956 +tp14540 +a(g545 +Vspan +p14541 +tp14542 +a(g892 +g980 +tp14543 +a(g654 +Vclass +p14544 +tp14545 +a(g400 +g1003 +tp14546 +a(g76 +V"kw" +p14547 +tp14548 +a(g7 +g960 +tp14549 +a(g892 +Vreturn +p14550 +tp14551 +a(g7 +g956 +tp14552 +a(g7 +g1024 +tp14553 +a(g545 +Vspan +p14554 +tp14555 +a(g7 +g960 +tp14556 +a(g892 +g980 +tp14557 +a(g7 +g956 +tp14558 +a(g545 +Vspan +p14559 +tp14560 +a(g892 +g980 +tp14561 +a(g654 +Vclass +p14562 +tp14563 +a(g400 +g1003 +tp14564 +a(g76 +V"name" +p14565 +tp14566 +a(g7 +g960 +tp14567 +a(g892 +Vmeta +p14568 +tp14569 +a(g7 +g956 +tp14570 +a(g7 +g1024 +tp14571 +a(g545 +Vspan +p14572 +tp14573 +a(g7 +g960 +tp14574 +a(g892 +g1008 +tp14575 +a(g7 +g956 +tp14576 +a(g545 +Vspan +p14577 +tp14578 +a(g892 +g980 +tp14579 +a(g654 +Vclass +p14580 +tp14581 +a(g400 +g1003 +tp14582 +a(g76 +V"name" +p14583 +tp14584 +a(g7 +g960 +tp14585 +a(g892 +Vselect +p14586 +tp14587 +a(g7 +g956 +tp14588 +a(g7 +g1024 +tp14589 +a(g545 +Vspan +p14590 +tp14591 +a(g7 +g960 +tp14592 +a(g892 +V([ +p14593 +tp14594 +a(g7 +g956 +tp14595 +a(g545 +Vspan +p14596 +tp14597 +a(g892 +g980 +tp14598 +a(g654 +Vclass +p14599 +tp14600 +a(g400 +g1003 +tp14601 +a(g76 +V"name" +p14602 +tp14603 +a(g7 +g960 +tp14604 +a(g892 +Vposts +p14605 +tp14606 +a(g7 +g956 +tp14607 +a(g7 +g1024 +tp14608 +a(g545 +Vspan +p14609 +tp14610 +a(g7 +g960 +tp14611 +a(g892 +g1008 +tp14612 +a(g7 +g956 +tp14613 +a(g545 +Vspan +p14614 +tp14615 +a(g892 +g980 +tp14616 +a(g654 +Vclass +p14617 +tp14618 +a(g400 +g1003 +tp14619 +a(g76 +V"name" +p14620 +tp14621 +a(g7 +g960 +tp14622 +a(g892 +g5469 +tp14623 +a(g7 +g956 +tp14624 +a(g7 +g1024 +tp14625 +a(g545 +Vspan +p14626 +tp14627 +a(g7 +g960 +tp14628 +a(g892 +g1008 +tp14629 +a(g7 +g956 +tp14630 +a(g545 +Vspan +p14631 +tp14632 +a(g892 +g980 +tp14633 +a(g654 +Vclass +p14634 +tp14635 +a(g400 +g1003 +tp14636 +a(g76 +V"name" +p14637 +tp14638 +a(g7 +g960 +tp14639 +a(g892 +Vobject_id +p14640 +tp14641 +a(g7 +g956 +tp14642 +a(g7 +g1024 +tp14643 +a(g545 +Vspan +p14644 +tp14645 +a(g7 +g960 +tp14646 +a(g892 +V],\u000a +p14647 +tp14648 +a(g7 +g956 +tp14649 +a(g545 +Vspan +p14650 +tp14651 +a(g892 +g980 +tp14652 +a(g654 +Vclass +p14653 +tp14654 +a(g400 +g1003 +tp14655 +a(g76 +V"name" +p14656 +tp14657 +a(g7 +g960 +tp14658 +a(g892 +Vposts +p14659 +tp14660 +a(g7 +g956 +tp14661 +a(g7 +g1024 +tp14662 +a(g545 +Vspan +p14663 +tp14664 +a(g7 +g960 +tp14665 +a(g892 +g1008 +tp14666 +a(g7 +g956 +tp14667 +a(g545 +Vspan +p14668 +tp14669 +a(g892 +g980 +tp14670 +a(g654 +Vclass +p14671 +tp14672 +a(g400 +g1003 +tp14673 +a(g76 +V"name" +p14674 +tp14675 +a(g7 +g960 +tp14676 +a(g892 +g5469 +tp14677 +a(g7 +g956 +tp14678 +a(g7 +g1024 +tp14679 +a(g545 +Vspan +p14680 +tp14681 +a(g7 +g960 +tp14682 +a(g892 +g1008 +tp14683 +a(g7 +g956 +tp14684 +a(g545 +Vspan +p14685 +tp14686 +a(g892 +g980 +tp14687 +a(g654 +Vclass +p14688 +tp14689 +a(g400 +g1003 +tp14690 +a(g76 +V"name" +p14691 +tp14692 +a(g7 +g960 +tp14693 +a(g892 +Vpost_id +p14694 +tp14695 +a(g7 +g956 +tp14696 +a(g7 +g1024 +tp14697 +a(g545 +Vspan +p14698 +tp14699 +a(g7 +g960 +tp14700 +a(g892 +g980 +tp14701 +a(g7 +g956 +tp14702 +a(g545 +Vspan +p14703 +tp14704 +a(g892 +g980 +tp14705 +a(g654 +Vclass +p14706 +tp14707 +a(g400 +g1003 +tp14708 +a(g76 +V"op" +p14709 +tp14710 +a(g7 +g960 +tp14711 +a(g892 +V== +p14712 +tp14713 +a(g7 +g956 +tp14714 +a(g7 +g1024 +tp14715 +a(g545 +Vspan +p14716 +tp14717 +a(g7 +g960 +tp14718 +a(g892 +g980 +tp14719 +a(g7 +g956 +tp14720 +a(g545 +Vspan +p14721 +tp14722 +a(g892 +g980 +tp14723 +a(g654 +Vclass +p14724 +tp14725 +a(g400 +g1003 +tp14726 +a(g76 +V"name" +p14727 +tp14728 +a(g7 +g960 +tp14729 +a(g892 +Vobj +p14730 +tp14731 +a(g7 +g956 +tp14732 +a(g7 +g1024 +tp14733 +a(g545 +Vspan +p14734 +tp14735 +a(g7 +g960 +tp14736 +a(g892 +g1008 +tp14737 +a(g7 +g956 +tp14738 +a(g545 +Vspan +p14739 +tp14740 +a(g892 +g980 +tp14741 +a(g654 +Vclass +p14742 +tp14743 +a(g400 +g1003 +tp14744 +a(g76 +V"name" +p14745 +tp14746 +a(g7 +g960 +tp14747 +a(g892 +Vpost_id +p14748 +tp14749 +a(g7 +g956 +tp14750 +a(g7 +g1024 +tp14751 +a(g545 +Vspan +p14752 +tp14753 +a(g7 +g960 +tp14754 +a(g892 +V\u000a\u000a )\u000a +p14755 +tp14756 +a(g7 +g956 +tp14757 +a(g545 +Vspan +p14758 +tp14759 +a(g892 +g980 +tp14760 +a(g654 +Vclass +p14761 +tp14762 +a(g400 +g1003 +tp14763 +a(g76 +V"kw" +p14764 +tp14765 +a(g7 +g960 +tp14766 +a(g892 +Velse +p14767 +tp14768 +a(g7 +g956 +tp14769 +a(g7 +g1024 +tp14770 +a(g545 +Vspan +p14771 +tp14772 +a(g7 +g960 +tp14773 +a(g892 +V:\u000a +p14774 +tp14775 +a(g7 +g956 +tp14776 +a(g545 +Vspan +p14777 +tp14778 +a(g892 +g980 +tp14779 +a(g654 +Vclass +p14780 +tp14781 +a(g400 +g1003 +tp14782 +a(g76 +V"cm" +p14783 +tp14784 +a(g7 +g960 +tp14785 +a(g892 +V# XXX: it works ^^ +p14786 +tp14787 +a(g7 +g956 +tp14788 +a(g7 +g1024 +tp14789 +a(g545 +Vspan +p14790 +tp14791 +a(g7 +g960 +tp14792 +a(g892 +V\u000a +p14793 +tp14794 +a(g7 +g956 +tp14795 +a(g545 +Vspan +p14796 +tp14797 +a(g892 +g980 +tp14798 +a(g654 +Vclass +p14799 +tp14800 +a(g400 +g1003 +tp14801 +a(g76 +V"cm" +p14802 +tp14803 +a(g7 +g960 +tp14804 +a(g892 +V# i really want something like meta.select( +p14805 +tp14806 +a(g491 +V' +p14807 +tp14808 +a(g892 +V0 as group_id +p14809 +tp14810 +a(g491 +V' +p14811 +tp14812 +a(g892 +g988 +tp14813 +a(g7 +g956 +tp14814 +a(g7 +g1024 +tp14815 +a(g545 +Vspan +p14816 +tp14817 +a(g7 +g960 +tp14818 +a(g892 +V\u000a +p14819 +tp14820 +a(g7 +g956 +tp14821 +a(g545 +Vspan +p14822 +tp14823 +a(g892 +g980 +tp14824 +a(g654 +Vclass +p14825 +tp14826 +a(g400 +g1003 +tp14827 +a(g76 +V"kw" +p14828 +tp14829 +a(g7 +g960 +tp14830 +a(g892 +Vclass +p14831 +tp14832 +a(g7 +g956 +tp14833 +a(g7 +g1024 +tp14834 +a(g545 +Vspan +p14835 +tp14836 +a(g7 +g960 +tp14837 +a(g7 +g956 +tp14838 +a(g545 +Vspan +p14839 +tp14840 +a(g892 +g980 +tp14841 +a(g654 +Vclass +p14842 +tp14843 +a(g400 +g1003 +tp14844 +a(g76 +V"cls" +p14845 +tp14846 +a(g7 +g960 +tp14847 +a(g892 +VFake +p14848 +tp14849 +a(g7 +g956 +tp14850 +a(g7 +g1024 +tp14851 +a(g545 +Vspan +p14852 +tp14853 +a(g7 +g960 +tp14854 +a(g892 +g984 +tp14855 +a(g7 +g956 +tp14856 +a(g545 +Vspan +p14857 +tp14858 +a(g892 +g980 +tp14859 +a(g654 +Vclass +p14860 +tp14861 +a(g400 +g1003 +tp14862 +a(g76 +V"bn" +p14863 +tp14864 +a(g7 +g960 +tp14865 +a(g892 +Vobject +p14866 +tp14867 +a(g7 +g956 +tp14868 +a(g7 +g1024 +tp14869 +a(g545 +Vspan +p14870 +tp14871 +a(g7 +g960 +tp14872 +a(g892 +V):\u000a +p14873 +tp14874 +a(g7 +g956 +tp14875 +a(g545 +Vspan +p14876 +tp14877 +a(g892 +g980 +tp14878 +a(g654 +Vclass +p14879 +tp14880 +a(g400 +g1003 +tp14881 +a(g76 +V"kw" +p14882 +tp14883 +a(g7 +g960 +tp14884 +a(g892 +Vdef +p14885 +tp14886 +a(g7 +g956 +tp14887 +a(g7 +g1024 +tp14888 +a(g545 +Vspan +p14889 +tp14890 +a(g7 +g960 +tp14891 +a(g7 +g956 +tp14892 +a(g545 +Vspan +p14893 +tp14894 +a(g892 +g980 +tp14895 +a(g654 +Vclass +p14896 +tp14897 +a(g400 +g1003 +tp14898 +a(g76 +V"fun" +p14899 +tp14900 +a(g7 +g960 +tp14901 +a(g892 +Valias +p14902 +tp14903 +a(g7 +g956 +tp14904 +a(g7 +g1024 +tp14905 +a(g545 +Vspan +p14906 +tp14907 +a(g7 +g960 +tp14908 +a(g892 +g984 +tp14909 +a(g7 +g956 +tp14910 +a(g545 +Vspan +p14911 +tp14912 +a(g892 +g980 +tp14913 +a(g654 +Vclass +p14914 +tp14915 +a(g400 +g1003 +tp14916 +a(g76 +V"bn bn-pseudo" +p14917 +tp14918 +a(g7 +g960 +tp14919 +a(g892 +Vself +p14920 +tp14921 +a(g7 +g956 +tp14922 +a(g7 +g1024 +tp14923 +a(g545 +Vspan +p14924 +tp14925 +a(g7 +g960 +tp14926 +a(g892 +V, +p14927 +tp14928 +a(g7 +g956 +tp14929 +a(g545 +Vspan +p14930 +tp14931 +a(g892 +g980 +tp14932 +a(g654 +Vclass +p14933 +tp14934 +a(g400 +g1003 +tp14935 +a(g76 +V"name" +p14936 +tp14937 +a(g7 +g960 +tp14938 +a(g892 +Vn +p14939 +tp14940 +a(g7 +g956 +tp14941 +a(g7 +g1024 +tp14942 +a(g545 +Vspan +p14943 +tp14944 +a(g7 +g960 +tp14945 +a(g892 +V):\u000a +p14946 +tp14947 +a(g7 +g956 +tp14948 +a(g545 +Vspan +p14949 +tp14950 +a(g892 +g980 +tp14951 +a(g654 +Vclass +p14952 +tp14953 +a(g400 +g1003 +tp14954 +a(g76 +V"kw" +p14955 +tp14956 +a(g7 +g960 +tp14957 +a(g892 +Vclass +p14958 +tp14959 +a(g7 +g956 +tp14960 +a(g7 +g1024 +tp14961 +a(g545 +Vspan +p14962 +tp14963 +a(g7 +g960 +tp14964 +a(g7 +g956 +tp14965 +a(g545 +Vspan +p14966 +tp14967 +a(g892 +g980 +tp14968 +a(g654 +Vclass +p14969 +tp14970 +a(g400 +g1003 +tp14971 +a(g76 +V"cls" +p14972 +tp14973 +a(g7 +g960 +tp14974 +a(g892 +V_C +p14975 +tp14976 +a(g7 +g956 +tp14977 +a(g7 +g1024 +tp14978 +a(g545 +Vspan +p14979 +tp14980 +a(g7 +g960 +tp14981 +a(g892 +g984 +tp14982 +a(g7 +g956 +tp14983 +a(g545 +Vspan +p14984 +tp14985 +a(g892 +g980 +tp14986 +a(g654 +Vclass +p14987 +tp14988 +a(g400 +g1003 +tp14989 +a(g76 +V"bn" +p14990 +tp14991 +a(g7 +g960 +tp14992 +a(g892 +Vobject +p14993 +tp14994 +a(g7 +g956 +tp14995 +a(g7 +g1024 +tp14996 +a(g545 +Vspan +p14997 +tp14998 +a(g7 +g960 +tp14999 +a(g892 +V):\u000a +p15000 +tp15001 +a(g7 +g956 +tp15002 +a(g545 +Vspan +p15003 +tp15004 +a(g892 +g980 +tp15005 +a(g654 +Vclass +p15006 +tp15007 +a(g400 +g1003 +tp15008 +a(g76 +V"kw" +p15009 +tp15010 +a(g7 +g960 +tp15011 +a(g892 +Vclass +p15012 +tp15013 +a(g7 +g956 +tp15014 +a(g7 +g1024 +tp15015 +a(g545 +Vspan +p15016 +tp15017 +a(g7 +g960 +tp15018 +a(g7 +g956 +tp15019 +a(g545 +Vspan +p15020 +tp15021 +a(g892 +g980 +tp15022 +a(g654 +Vclass +p15023 +tp15024 +a(g400 +g1003 +tp15025 +a(g76 +V"cls" +p15026 +tp15027 +a(g7 +g960 +tp15028 +a(g892 +g5469 +tp15029 +a(g7 +g956 +tp15030 +a(g7 +g1024 +tp15031 +a(g545 +Vspan +p15032 +tp15033 +a(g7 +g960 +tp15034 +a(g892 +g984 +tp15035 +a(g7 +g956 +tp15036 +a(g545 +Vspan +p15037 +tp15038 +a(g892 +g980 +tp15039 +a(g654 +Vclass +p15040 +tp15041 +a(g400 +g1003 +tp15042 +a(g76 +V"bn" +p15043 +tp15044 +a(g7 +g960 +tp15045 +a(g892 +Vobject +p15046 +tp15047 +a(g7 +g956 +tp15048 +a(g7 +g1024 +tp15049 +a(g545 +Vspan +p15050 +tp15051 +a(g7 +g960 +tp15052 +a(g892 +V):\u000a +p15053 +tp15054 +a(g7 +g956 +tp15055 +a(g545 +Vspan +p15056 +tp15057 +a(g892 +g980 +tp15058 +a(g654 +Vclass +p15059 +tp15060 +a(g400 +g1003 +tp15061 +a(g76 +V"name" +p15062 +tp15063 +a(g7 +g960 +tp15064 +a(g892 +Vobject_id +p15065 +tp15066 +a(g7 +g956 +tp15067 +a(g7 +g1024 +tp15068 +a(g545 +Vspan +p15069 +tp15070 +a(g7 +g960 +tp15071 +a(g892 +g980 +tp15072 +a(g7 +g956 +tp15073 +a(g545 +Vspan +p15074 +tp15075 +a(g892 +g980 +tp15076 +a(g654 +Vclass +p15077 +tp15078 +a(g400 +g1003 +tp15079 +a(g76 +V"op" +p15080 +tp15081 +a(g7 +g960 +tp15082 +a(g892 +g1003 +tp15083 +a(g7 +g956 +tp15084 +a(g7 +g1024 +tp15085 +a(g545 +Vspan +p15086 +tp15087 +a(g7 +g960 +tp15088 +a(g892 +g980 +tp15089 +a(g7 +g956 +tp15090 +a(g545 +Vspan +p15091 +tp15092 +a(g892 +g980 +tp15093 +a(g654 +Vclass +p15094 +tp15095 +a(g400 +g1003 +tp15096 +a(g76 +V"nb nb-int" +p15097 +tp15098 +a(g7 +g960 +tp15099 +a(g892 +g1112 +tp15100 +a(g7 +g956 +tp15101 +a(g7 +g1024 +tp15102 +a(g545 +Vspan +p15103 +tp15104 +a(g7 +g960 +tp15105 +a(g892 +V\u000a\u000a +p15106 +tp15107 +a(g7 +g956 +tp15108 +a(g545 +Vspan +p15109 +tp15110 +a(g892 +g980 +tp15111 +a(g654 +Vclass +p15112 +tp15113 +a(g400 +g1003 +tp15114 +a(g76 +V"kw" +p15115 +tp15116 +a(g7 +g960 +tp15117 +a(g892 +Vreturn +p15118 +tp15119 +a(g7 +g956 +tp15120 +a(g7 +g1024 +tp15121 +a(g545 +Vspan +p15122 +tp15123 +a(g7 +g960 +tp15124 +a(g892 +g980 +tp15125 +a(g7 +g956 +tp15126 +a(g545 +Vspan +p15127 +tp15128 +a(g892 +g980 +tp15129 +a(g654 +Vclass +p15130 +tp15131 +a(g400 +g1003 +tp15132 +a(g76 +V"name" +p15133 +tp15134 +a(g7 +g960 +tp15135 +a(g892 +V_C +p15136 +tp15137 +a(g7 +g956 +tp15138 +a(g7 +g1024 +tp15139 +a(g545 +Vspan +p15140 +tp15141 +a(g7 +g960 +tp15142 +a(g892 +V\u000a +p15143 +tp15144 +a(g7 +g956 +tp15145 +a(g545 +Vspan +p15146 +tp15147 +a(g892 +g980 +tp15148 +a(g654 +Vclass +p15149 +tp15150 +a(g400 +g1003 +tp15151 +a(g76 +V"kw" +p15152 +tp15153 +a(g7 +g960 +tp15154 +a(g892 +Vreturn +p15155 +tp15156 +a(g7 +g956 +tp15157 +a(g7 +g1024 +tp15158 +a(g545 +Vspan +p15159 +tp15160 +a(g7 +g960 +tp15161 +a(g892 +g980 +tp15162 +a(g7 +g956 +tp15163 +a(g545 +Vspan +p15164 +tp15165 +a(g892 +g980 +tp15166 +a(g654 +Vclass +p15167 +tp15168 +a(g400 +g1003 +tp15169 +a(g76 +V"name" +p15170 +tp15171 +a(g7 +g960 +tp15172 +a(g892 +VFake +p15173 +tp15174 +a(g7 +g956 +tp15175 +a(g7 +g1024 +tp15176 +a(g545 +Vspan +p15177 +tp15178 +a(g7 +g960 +tp15179 +a(g892 +V()\u000a\u000a +p15180 +tp15181 +a(g7 +g956 +tp15182 +a(g545 +Vspan +p15183 +tp15184 +a(g892 +g980 +tp15185 +a(g654 +Vclass +p15186 +tp15187 +a(g400 +g1003 +tp15188 +a(g76 +V"kw" +p15189 +tp15190 +a(g7 +g960 +tp15191 +a(g892 +Vdef +p15192 +tp15193 +a(g7 +g956 +tp15194 +a(g7 +g1024 +tp15195 +a(g545 +Vspan +p15196 +tp15197 +a(g7 +g960 +tp15198 +a(g7 +g956 +tp15199 +a(g545 +Vspan +p15200 +tp15201 +a(g892 +g980 +tp15202 +a(g654 +Vclass +p15203 +tp15204 +a(g400 +g1003 +tp15205 +a(g76 +V"fun" +p15206 +tp15207 +a(g7 +g960 +tp15208 +a(g892 +V_get_subject_join +p15209 +tp15210 +a(g7 +g956 +tp15211 +a(g7 +g1024 +tp15212 +a(g545 +Vspan +p15213 +tp15214 +a(g7 +g960 +tp15215 +a(g892 +g984 +tp15216 +a(g7 +g956 +tp15217 +a(g545 +Vspan +p15218 +tp15219 +a(g892 +g980 +tp15220 +a(g654 +Vclass +p15221 +tp15222 +a(g400 +g1003 +tp15223 +a(g76 +V"bn bn-pseudo" +p15224 +tp15225 +a(g7 +g960 +tp15226 +a(g892 +Vself +p15227 +tp15228 +a(g7 +g956 +tp15229 +a(g7 +g1024 +tp15230 +a(g545 +Vspan +p15231 +tp15232 +a(g7 +g960 +tp15233 +a(g892 +V):\u000a +p15234 +tp15235 +a(g7 +g956 +tp15236 +a(g545 +Vspan +p15237 +tp15238 +a(g892 +g980 +tp15239 +a(g654 +Vclass +p15240 +tp15241 +a(g400 +g1003 +tp15242 +a(g76 +V"st st-db" +p15243 +tp15244 +a(g7 +g960 +tp15245 +a(g491 +V" +p15246 +tp15247 +a(g491 +V" +p15248 +tp15249 +a(g491 +V" +p15250 +tp15251 +a(g7 +g956 +tp15252 +a(g7 +g1024 +tp15253 +a(g545 +Vspan +p15254 +tp15255 +a(g7 +g960 +tp15256 +a(g7 +g956 +tp15257 +a(g545 +Vspan +p15258 +tp15259 +a(g892 +g980 +tp15260 +a(g654 +Vclass +p15261 +tp15262 +a(g400 +g1003 +tp15263 +a(g76 +V"st" +p15264 +tp15265 +a(g7 +g960 +tp15266 +a(g892 +VReturns a subjoin for the subject id. +p15267 +tp15268 +a(g7 +g956 +tp15269 +a(g7 +g1024 +tp15270 +a(g545 +Vspan +p15271 +tp15272 +a(g7 +g960 +tp15273 +a(g7 +g956 +tp15274 +a(g545 +Vspan +p15275 +tp15276 +a(g892 +g980 +tp15277 +a(g654 +Vclass +p15278 +tp15279 +a(g400 +g1003 +tp15280 +a(g76 +V"st st-db" +p15281 +tp15282 +a(g7 +g960 +tp15283 +a(g491 +V" +p15284 +tp15285 +a(g491 +V" +p15286 +tp15287 +a(g491 +V" +p15288 +tp15289 +a(g7 +g956 +tp15290 +a(g7 +g1024 +tp15291 +a(g545 +Vspan +p15292 +tp15293 +a(g7 +g960 +tp15294 +a(g892 +V\u000a\u000a +p15295 +tp15296 +a(g7 +g956 +tp15297 +a(g545 +Vspan +p15298 +tp15299 +a(g892 +g980 +tp15300 +a(g654 +Vclass +p15301 +tp15302 +a(g400 +g1003 +tp15303 +a(g76 +V"kw" +p15304 +tp15305 +a(g7 +g960 +tp15306 +a(g892 +Vif +p15307 +tp15308 +a(g7 +g956 +tp15309 +a(g7 +g1024 +tp15310 +a(g545 +Vspan +p15311 +tp15312 +a(g7 +g960 +tp15313 +a(g892 +g980 +tp15314 +a(g7 +g956 +tp15315 +a(g545 +Vspan +p15316 +tp15317 +a(g892 +g980 +tp15318 +a(g654 +Vclass +p15319 +tp15320 +a(g400 +g1003 +tp15321 +a(g76 +V"bn bn-pseudo" +p15322 +tp15323 +a(g7 +g960 +tp15324 +a(g892 +Vself +p15325 +tp15326 +a(g7 +g956 +tp15327 +a(g7 +g1024 +tp15328 +a(g545 +Vspan +p15329 +tp15330 +a(g7 +g960 +tp15331 +a(g892 +g1008 +tp15332 +a(g7 +g956 +tp15333 +a(g545 +Vspan +p15334 +tp15335 +a(g892 +g980 +tp15336 +a(g654 +Vclass +p15337 +tp15338 +a(g400 +g1003 +tp15339 +a(g76 +V"name" +p15340 +tp15341 +a(g7 +g960 +tp15342 +a(g892 +V_type +p15343 +tp15344 +a(g7 +g956 +tp15345 +a(g7 +g1024 +tp15346 +a(g545 +Vspan +p15347 +tp15348 +a(g7 +g960 +tp15349 +a(g892 +g980 +tp15350 +a(g7 +g956 +tp15351 +a(g545 +Vspan +p15352 +tp15353 +a(g892 +g980 +tp15354 +a(g654 +Vclass +p15355 +tp15356 +a(g400 +g1003 +tp15357 +a(g76 +V"op" +p15358 +tp15359 +a(g7 +g960 +tp15360 +a(g892 +V== +p15361 +tp15362 +a(g7 +g956 +tp15363 +a(g7 +g1024 +tp15364 +a(g545 +Vspan +p15365 +tp15366 +a(g7 +g960 +tp15367 +a(g892 +g980 +tp15368 +a(g7 +g956 +tp15369 +a(g545 +Vspan +p15370 +tp15371 +a(g892 +g980 +tp15372 +a(g654 +Vclass +p15373 +tp15374 +a(g400 +g1003 +tp15375 +a(g76 +V"st st-sg" +p15376 +tp15377 +a(g7 +g960 +tp15378 +a(g491 +V' +p15379 +tp15380 +a(g7 +g956 +tp15381 +a(g7 +g1024 +tp15382 +a(g545 +Vspan +p15383 +tp15384 +a(g7 +g960 +tp15385 +a(g7 +g956 +tp15386 +a(g545 +Vspan +p15387 +tp15388 +a(g892 +g980 +tp15389 +a(g654 +Vclass +p15390 +tp15391 +a(g400 +g1003 +tp15392 +a(g76 +V"st" +p15393 +tp15394 +a(g7 +g960 +tp15395 +a(g892 +Vuser +p15396 +tp15397 +a(g7 +g956 +tp15398 +a(g7 +g1024 +tp15399 +a(g545 +Vspan +p15400 +tp15401 +a(g7 +g960 +tp15402 +a(g7 +g956 +tp15403 +a(g545 +Vspan +p15404 +tp15405 +a(g892 +g980 +tp15406 +a(g654 +Vclass +p15407 +tp15408 +a(g400 +g1003 +tp15409 +a(g76 +V"st st-sg" +p15410 +tp15411 +a(g7 +g960 +tp15412 +a(g491 +V' +p15413 +tp15414 +a(g7 +g956 +tp15415 +a(g7 +g1024 +tp15416 +a(g545 +Vspan +p15417 +tp15418 +a(g7 +g960 +tp15419 +a(g892 +V:\u000a +p15420 +tp15421 +a(g7 +g956 +tp15422 +a(g545 +Vspan +p15423 +tp15424 +a(g892 +g980 +tp15425 +a(g654 +Vclass +p15426 +tp15427 +a(g400 +g1003 +tp15428 +a(g76 +V"kw" +p15429 +tp15430 +a(g7 +g960 +tp15431 +a(g892 +Vreturn +p15432 +tp15433 +a(g7 +g956 +tp15434 +a(g7 +g1024 +tp15435 +a(g545 +Vspan +p15436 +tp15437 +a(g7 +g960 +tp15438 +a(g892 +g980 +tp15439 +a(g7 +g956 +tp15440 +a(g545 +Vspan +p15441 +tp15442 +a(g892 +g980 +tp15443 +a(g654 +Vclass +p15444 +tp15445 +a(g400 +g1003 +tp15446 +a(g76 +V"name" +p15447 +tp15448 +a(g7 +g960 +tp15449 +a(g892 +Vmeta +p15450 +tp15451 +a(g7 +g956 +tp15452 +a(g7 +g1024 +tp15453 +a(g545 +Vspan +p15454 +tp15455 +a(g7 +g960 +tp15456 +a(g892 +g1008 +tp15457 +a(g7 +g956 +tp15458 +a(g545 +Vspan +p15459 +tp15460 +a(g892 +g980 +tp15461 +a(g654 +Vclass +p15462 +tp15463 +a(g400 +g1003 +tp15464 +a(g76 +V"name" +p15465 +tp15466 +a(g7 +g960 +tp15467 +a(g892 +Vselect +p15468 +tp15469 +a(g7 +g956 +tp15470 +a(g7 +g1024 +tp15471 +a(g545 +Vspan +p15472 +tp15473 +a(g7 +g960 +tp15474 +a(g892 +V([ +p15475 +tp15476 +a(g7 +g956 +tp15477 +a(g545 +Vspan +p15478 +tp15479 +a(g892 +g980 +tp15480 +a(g654 +Vclass +p15481 +tp15482 +a(g400 +g1003 +tp15483 +a(g76 +V"name" +p15484 +tp15485 +a(g7 +g960 +tp15486 +a(g892 +Vusers +p15487 +tp15488 +a(g7 +g956 +tp15489 +a(g7 +g1024 +tp15490 +a(g545 +Vspan +p15491 +tp15492 +a(g7 +g960 +tp15493 +a(g892 +g1008 +tp15494 +a(g7 +g956 +tp15495 +a(g545 +Vspan +p15496 +tp15497 +a(g892 +g980 +tp15498 +a(g654 +Vclass +p15499 +tp15500 +a(g400 +g1003 +tp15501 +a(g76 +V"name" +p15502 +tp15503 +a(g7 +g960 +tp15504 +a(g892 +g5469 +tp15505 +a(g7 +g956 +tp15506 +a(g7 +g1024 +tp15507 +a(g545 +Vspan +p15508 +tp15509 +a(g7 +g960 +tp15510 +a(g892 +g1008 +tp15511 +a(g7 +g956 +tp15512 +a(g545 +Vspan +p15513 +tp15514 +a(g892 +g980 +tp15515 +a(g654 +Vclass +p15516 +tp15517 +a(g400 +g1003 +tp15518 +a(g76 +V"name" +p15519 +tp15520 +a(g7 +g960 +tp15521 +a(g892 +Vsubject_id +p15522 +tp15523 +a(g7 +g956 +tp15524 +a(g7 +g1024 +tp15525 +a(g545 +Vspan +p15526 +tp15527 +a(g7 +g960 +tp15528 +a(g892 +V],\u000a +p15529 +tp15530 +a(g7 +g956 +tp15531 +a(g545 +Vspan +p15532 +tp15533 +a(g892 +g980 +tp15534 +a(g654 +Vclass +p15535 +tp15536 +a(g400 +g1003 +tp15537 +a(g76 +V"name" +p15538 +tp15539 +a(g7 +g960 +tp15540 +a(g892 +Vusers +p15541 +tp15542 +a(g7 +g956 +tp15543 +a(g7 +g1024 +tp15544 +a(g545 +Vspan +p15545 +tp15546 +a(g7 +g960 +tp15547 +a(g892 +g1008 +tp15548 +a(g7 +g956 +tp15549 +a(g545 +Vspan +p15550 +tp15551 +a(g892 +g980 +tp15552 +a(g654 +Vclass +p15553 +tp15554 +a(g400 +g1003 +tp15555 +a(g76 +V"name" +p15556 +tp15557 +a(g7 +g960 +tp15558 +a(g892 +g5469 +tp15559 +a(g7 +g956 +tp15560 +a(g7 +g1024 +tp15561 +a(g545 +Vspan +p15562 +tp15563 +a(g7 +g960 +tp15564 +a(g892 +g1008 +tp15565 +a(g7 +g956 +tp15566 +a(g545 +Vspan +p15567 +tp15568 +a(g892 +g980 +tp15569 +a(g654 +Vclass +p15570 +tp15571 +a(g400 +g1003 +tp15572 +a(g76 +V"name" +p15573 +tp15574 +a(g7 +g960 +tp15575 +a(g892 +Vuser_id +p15576 +tp15577 +a(g7 +g956 +tp15578 +a(g7 +g1024 +tp15579 +a(g545 +Vspan +p15580 +tp15581 +a(g7 +g960 +tp15582 +a(g892 +g980 +tp15583 +a(g7 +g956 +tp15584 +a(g545 +Vspan +p15585 +tp15586 +a(g892 +g980 +tp15587 +a(g654 +Vclass +p15588 +tp15589 +a(g400 +g1003 +tp15590 +a(g76 +V"op" +p15591 +tp15592 +a(g7 +g960 +tp15593 +a(g892 +V== +p15594 +tp15595 +a(g7 +g956 +tp15596 +a(g7 +g1024 +tp15597 +a(g545 +Vspan +p15598 +tp15599 +a(g7 +g960 +tp15600 +a(g892 +g980 +tp15601 +a(g7 +g956 +tp15602 +a(g545 +Vspan +p15603 +tp15604 +a(g892 +g980 +tp15605 +a(g654 +Vclass +p15606 +tp15607 +a(g400 +g1003 +tp15608 +a(g76 +V"bn bn-pseudo" +p15609 +tp15610 +a(g7 +g960 +tp15611 +a(g892 +Vself +p15612 +tp15613 +a(g7 +g956 +tp15614 +a(g7 +g1024 +tp15615 +a(g545 +Vspan +p15616 +tp15617 +a(g7 +g960 +tp15618 +a(g892 +g1008 +tp15619 +a(g7 +g956 +tp15620 +a(g545 +Vspan +p15621 +tp15622 +a(g892 +g980 +tp15623 +a(g654 +Vclass +p15624 +tp15625 +a(g400 +g1003 +tp15626 +a(g76 +V"name" +p15627 +tp15628 +a(g7 +g960 +tp15629 +a(g892 +Vsubject +p15630 +tp15631 +a(g7 +g956 +tp15632 +a(g7 +g1024 +tp15633 +a(g545 +Vspan +p15634 +tp15635 +a(g7 +g960 +tp15636 +a(g892 +g1008 +tp15637 +a(g7 +g956 +tp15638 +a(g545 +Vspan +p15639 +tp15640 +a(g892 +g980 +tp15641 +a(g654 +Vclass +p15642 +tp15643 +a(g400 +g1003 +tp15644 +a(g76 +V"name" +p15645 +tp15646 +a(g7 +g960 +tp15647 +a(g892 +Vuser_id +p15648 +tp15649 +a(g7 +g956 +tp15650 +a(g7 +g1024 +tp15651 +a(g545 +Vspan +p15652 +tp15653 +a(g7 +g960 +tp15654 +a(g892 +V\u000a\u000a )\u000a +p15655 +tp15656 +a(g7 +g956 +tp15657 +a(g545 +Vspan +p15658 +tp15659 +a(g892 +g980 +tp15660 +a(g654 +Vclass +p15661 +tp15662 +a(g400 +g1003 +tp15663 +a(g76 +V"kw" +p15664 +tp15665 +a(g7 +g960 +tp15666 +a(g892 +Vreturn +p15667 +tp15668 +a(g7 +g956 +tp15669 +a(g7 +g1024 +tp15670 +a(g545 +Vspan +p15671 +tp15672 +a(g7 +g960 +tp15673 +a(g892 +g980 +tp15674 +a(g7 +g956 +tp15675 +a(g545 +Vspan +p15676 +tp15677 +a(g892 +g980 +tp15678 +a(g654 +Vclass +p15679 +tp15680 +a(g400 +g1003 +tp15681 +a(g76 +V"name" +p15682 +tp15683 +a(g7 +g960 +tp15684 +a(g892 +Vmeta +p15685 +tp15686 +a(g7 +g956 +tp15687 +a(g7 +g1024 +tp15688 +a(g545 +Vspan +p15689 +tp15690 +a(g7 +g960 +tp15691 +a(g892 +g1008 +tp15692 +a(g7 +g956 +tp15693 +a(g545 +Vspan +p15694 +tp15695 +a(g892 +g980 +tp15696 +a(g654 +Vclass +p15697 +tp15698 +a(g400 +g1003 +tp15699 +a(g76 +V"name" +p15700 +tp15701 +a(g7 +g960 +tp15702 +a(g892 +Vselect +p15703 +tp15704 +a(g7 +g956 +tp15705 +a(g7 +g1024 +tp15706 +a(g545 +Vspan +p15707 +tp15708 +a(g7 +g960 +tp15709 +a(g892 +V([ +p15710 +tp15711 +a(g7 +g956 +tp15712 +a(g545 +Vspan +p15713 +tp15714 +a(g892 +g980 +tp15715 +a(g654 +Vclass +p15716 +tp15717 +a(g400 +g1003 +tp15718 +a(g76 +V"name" +p15719 +tp15720 +a(g7 +g960 +tp15721 +a(g892 +Vgroups +p15722 +tp15723 +a(g7 +g956 +tp15724 +a(g7 +g1024 +tp15725 +a(g545 +Vspan +p15726 +tp15727 +a(g7 +g960 +tp15728 +a(g892 +g1008 +tp15729 +a(g7 +g956 +tp15730 +a(g545 +Vspan +p15731 +tp15732 +a(g892 +g980 +tp15733 +a(g654 +Vclass +p15734 +tp15735 +a(g400 +g1003 +tp15736 +a(g76 +V"name" +p15737 +tp15738 +a(g7 +g960 +tp15739 +a(g892 +g5469 +tp15740 +a(g7 +g956 +tp15741 +a(g7 +g1024 +tp15742 +a(g545 +Vspan +p15743 +tp15744 +a(g7 +g960 +tp15745 +a(g892 +g1008 +tp15746 +a(g7 +g956 +tp15747 +a(g545 +Vspan +p15748 +tp15749 +a(g892 +g980 +tp15750 +a(g654 +Vclass +p15751 +tp15752 +a(g400 +g1003 +tp15753 +a(g76 +V"name" +p15754 +tp15755 +a(g7 +g960 +tp15756 +a(g892 +Vsubject_id +p15757 +tp15758 +a(g7 +g956 +tp15759 +a(g7 +g1024 +tp15760 +a(g545 +Vspan +p15761 +tp15762 +a(g7 +g960 +tp15763 +a(g892 +V],\u000a +p15764 +tp15765 +a(g7 +g956 +tp15766 +a(g545 +Vspan +p15767 +tp15768 +a(g892 +g980 +tp15769 +a(g654 +Vclass +p15770 +tp15771 +a(g400 +g1003 +tp15772 +a(g76 +V"name" +p15773 +tp15774 +a(g7 +g960 +tp15775 +a(g892 +Vgroups +p15776 +tp15777 +a(g7 +g956 +tp15778 +a(g7 +g1024 +tp15779 +a(g545 +Vspan +p15780 +tp15781 +a(g7 +g960 +tp15782 +a(g892 +g1008 +tp15783 +a(g7 +g956 +tp15784 +a(g545 +Vspan +p15785 +tp15786 +a(g892 +g980 +tp15787 +a(g654 +Vclass +p15788 +tp15789 +a(g400 +g1003 +tp15790 +a(g76 +V"name" +p15791 +tp15792 +a(g7 +g960 +tp15793 +a(g892 +g5469 +tp15794 +a(g7 +g956 +tp15795 +a(g7 +g1024 +tp15796 +a(g545 +Vspan +p15797 +tp15798 +a(g7 +g960 +tp15799 +a(g892 +g1008 +tp15800 +a(g7 +g956 +tp15801 +a(g545 +Vspan +p15802 +tp15803 +a(g892 +g980 +tp15804 +a(g654 +Vclass +p15805 +tp15806 +a(g400 +g1003 +tp15807 +a(g76 +V"name" +p15808 +tp15809 +a(g7 +g960 +tp15810 +a(g892 +Vgroup_id +p15811 +tp15812 +a(g7 +g956 +tp15813 +a(g7 +g1024 +tp15814 +a(g545 +Vspan +p15815 +tp15816 +a(g7 +g960 +tp15817 +a(g892 +g980 +tp15818 +a(g7 +g956 +tp15819 +a(g545 +Vspan +p15820 +tp15821 +a(g892 +g980 +tp15822 +a(g654 +Vclass +p15823 +tp15824 +a(g400 +g1003 +tp15825 +a(g76 +V"op" +p15826 +tp15827 +a(g7 +g960 +tp15828 +a(g892 +V== +p15829 +tp15830 +a(g7 +g956 +tp15831 +a(g7 +g1024 +tp15832 +a(g545 +Vspan +p15833 +tp15834 +a(g7 +g960 +tp15835 +a(g892 +g980 +tp15836 +a(g7 +g956 +tp15837 +a(g545 +Vspan +p15838 +tp15839 +a(g892 +g980 +tp15840 +a(g654 +Vclass +p15841 +tp15842 +a(g400 +g1003 +tp15843 +a(g76 +V"bn bn-pseudo" +p15844 +tp15845 +a(g7 +g960 +tp15846 +a(g892 +Vself +p15847 +tp15848 +a(g7 +g956 +tp15849 +a(g7 +g1024 +tp15850 +a(g545 +Vspan +p15851 +tp15852 +a(g7 +g960 +tp15853 +a(g892 +g1008 +tp15854 +a(g7 +g956 +tp15855 +a(g545 +Vspan +p15856 +tp15857 +a(g892 +g980 +tp15858 +a(g654 +Vclass +p15859 +tp15860 +a(g400 +g1003 +tp15861 +a(g76 +V"name" +p15862 +tp15863 +a(g7 +g960 +tp15864 +a(g892 +Vsubject +p15865 +tp15866 +a(g7 +g956 +tp15867 +a(g7 +g1024 +tp15868 +a(g545 +Vspan +p15869 +tp15870 +a(g7 +g960 +tp15871 +a(g892 +g1008 +tp15872 +a(g7 +g956 +tp15873 +a(g545 +Vspan +p15874 +tp15875 +a(g892 +g980 +tp15876 +a(g654 +Vclass +p15877 +tp15878 +a(g400 +g1003 +tp15879 +a(g76 +V"name" +p15880 +tp15881 +a(g7 +g960 +tp15882 +a(g892 +Vgroup_id +p15883 +tp15884 +a(g7 +g956 +tp15885 +a(g7 +g1024 +tp15886 +a(g545 +Vspan +p15887 +tp15888 +a(g7 +g960 +tp15889 +a(g892 +V\u000a\u000a )\u000a\u000a +p15890 +tp15891 +a(g7 +g956 +tp15892 +a(g545 +Vspan +p15893 +tp15894 +a(g892 +g980 +tp15895 +a(g654 +Vclass +p15896 +tp15897 +a(g400 +g1003 +tp15898 +a(g76 +V"kw" +p15899 +tp15900 +a(g7 +g960 +tp15901 +a(g892 +Vdef +p15902 +tp15903 +a(g7 +g956 +tp15904 +a(g7 +g1024 +tp15905 +a(g545 +Vspan +p15906 +tp15907 +a(g7 +g960 +tp15908 +a(g7 +g956 +tp15909 +a(g545 +Vspan +p15910 +tp15911 +a(g892 +g980 +tp15912 +a(g654 +Vclass +p15913 +tp15914 +a(g400 +g1003 +tp15915 +a(g76 +V"fun" +p15916 +tp15917 +a(g7 +g960 +tp15918 +a(g892 +V_fetch_privilege +p15919 +tp15920 +a(g7 +g956 +tp15921 +a(g7 +g1024 +tp15922 +a(g545 +Vspan +p15923 +tp15924 +a(g7 +g960 +tp15925 +a(g892 +g984 +tp15926 +a(g7 +g956 +tp15927 +a(g545 +Vspan +p15928 +tp15929 +a(g892 +g980 +tp15930 +a(g654 +Vclass +p15931 +tp15932 +a(g400 +g1003 +tp15933 +a(g76 +V"bn bn-pseudo" +p15934 +tp15935 +a(g7 +g960 +tp15936 +a(g892 +Vself +p15937 +tp15938 +a(g7 +g956 +tp15939 +a(g7 +g1024 +tp15940 +a(g545 +Vspan +p15941 +tp15942 +a(g7 +g960 +tp15943 +a(g892 +V, +p15944 +tp15945 +a(g7 +g956 +tp15946 +a(g545 +Vspan +p15947 +tp15948 +a(g892 +g980 +tp15949 +a(g654 +Vclass +p15950 +tp15951 +a(g400 +g1003 +tp15952 +a(g76 +V"name" +p15953 +tp15954 +a(g7 +g960 +tp15955 +a(g892 +Vname +p15956 +tp15957 +a(g7 +g956 +tp15958 +a(g7 +g1024 +tp15959 +a(g545 +Vspan +p15960 +tp15961 +a(g7 +g960 +tp15962 +a(g892 +V):\u000a +p15963 +tp15964 +a(g7 +g956 +tp15965 +a(g545 +Vspan +p15966 +tp15967 +a(g892 +g980 +tp15968 +a(g654 +Vclass +p15969 +tp15970 +a(g400 +g1003 +tp15971 +a(g76 +V"st st-db" +p15972 +tp15973 +a(g7 +g960 +tp15974 +a(g491 +V" +p15975 +tp15976 +a(g491 +V" +p15977 +tp15978 +a(g491 +V" +p15979 +tp15980 +a(g7 +g956 +tp15981 +a(g7 +g1024 +tp15982 +a(g545 +Vspan +p15983 +tp15984 +a(g7 +g960 +tp15985 +a(g7 +g956 +tp15986 +a(g545 +Vspan +p15987 +tp15988 +a(g892 +g980 +tp15989 +a(g654 +Vclass +p15990 +tp15991 +a(g400 +g1003 +tp15992 +a(g76 +V"st" +p15993 +tp15994 +a(g7 +g960 +tp15995 +a(g892 +VReturns the priv_id for the given privilege. If it\u000a doesn +p15996 +tp15997 +a(g7 +g956 +tp15998 +a(g7 +g1024 +tp15999 +a(g545 +Vspan +p16000 +tp16001 +a(g7 +g960 +tp16002 +a(g7 +g956 +tp16003 +a(g545 +Vspan +p16004 +tp16005 +a(g892 +g980 +tp16006 +a(g654 +Vclass +p16007 +tp16008 +a(g400 +g1003 +tp16009 +a(g76 +V"st st-esc" +p16010 +tp16011 +a(g7 +g960 +tp16012 +a(g892 +V\u005c +p16013 +tp16014 +a(g491 +V' +p16015 +tp16016 +a(g7 +g956 +tp16017 +a(g7 +g1024 +tp16018 +a(g545 +Vspan +p16019 +tp16020 +a(g7 +g960 +tp16021 +a(g7 +g956 +tp16022 +a(g545 +Vspan +p16023 +tp16024 +a(g892 +g980 +tp16025 +a(g654 +Vclass +p16026 +tp16027 +a(g400 +g1003 +tp16028 +a(g76 +V"st" +p16029 +tp16030 +a(g7 +g960 +tp16031 +a(g892 +Vt exist by now the system will create a new\u000a privilege. +p16032 +tp16033 +a(g7 +g956 +tp16034 +a(g7 +g1024 +tp16035 +a(g545 +Vspan +p16036 +tp16037 +a(g7 +g960 +tp16038 +a(g7 +g956 +tp16039 +a(g545 +Vspan +p16040 +tp16041 +a(g892 +g980 +tp16042 +a(g654 +Vclass +p16043 +tp16044 +a(g400 +g1003 +tp16045 +a(g76 +V"st st-db" +p16046 +tp16047 +a(g7 +g960 +tp16048 +a(g491 +V" +p16049 +tp16050 +a(g491 +V" +p16051 +tp16052 +a(g491 +V" +p16053 +tp16054 +a(g7 +g956 +tp16055 +a(g7 +g1024 +tp16056 +a(g545 +Vspan +p16057 +tp16058 +a(g7 +g960 +tp16059 +a(g892 +V\u000a +p16060 +tp16061 +a(g7 +g956 +tp16062 +a(g545 +Vspan +p16063 +tp16064 +a(g892 +g980 +tp16065 +a(g654 +Vclass +p16066 +tp16067 +a(g400 +g1003 +tp16068 +a(g76 +V"name" +p16069 +tp16070 +a(g7 +g960 +tp16071 +a(g892 +g5848 +tp16072 +a(g7 +g956 +tp16073 +a(g7 +g1024 +tp16074 +a(g545 +Vspan +p16075 +tp16076 +a(g7 +g960 +tp16077 +a(g892 +g980 +tp16078 +a(g7 +g956 +tp16079 +a(g545 +Vspan +p16080 +tp16081 +a(g892 +g980 +tp16082 +a(g654 +Vclass +p16083 +tp16084 +a(g400 +g1003 +tp16085 +a(g76 +V"op" +p16086 +tp16087 +a(g7 +g960 +tp16088 +a(g892 +g1003 +tp16089 +a(g7 +g956 +tp16090 +a(g7 +g1024 +tp16091 +a(g545 +Vspan +p16092 +tp16093 +a(g7 +g960 +tp16094 +a(g892 +g980 +tp16095 +a(g7 +g956 +tp16096 +a(g545 +Vspan +p16097 +tp16098 +a(g892 +g980 +tp16099 +a(g654 +Vclass +p16100 +tp16101 +a(g400 +g1003 +tp16102 +a(g76 +V"bn bn-pseudo" +p16103 +tp16104 +a(g7 +g960 +tp16105 +a(g892 +Vself +p16106 +tp16107 +a(g7 +g956 +tp16108 +a(g7 +g1024 +tp16109 +a(g545 +Vspan +p16110 +tp16111 +a(g7 +g960 +tp16112 +a(g892 +g1008 +tp16113 +a(g7 +g956 +tp16114 +a(g545 +Vspan +p16115 +tp16116 +a(g892 +g980 +tp16117 +a(g654 +Vclass +p16118 +tp16119 +a(g400 +g1003 +tp16120 +a(g76 +V"name" +p16121 +tp16122 +a(g7 +g960 +tp16123 +a(g892 +Vctx +p16124 +tp16125 +a(g7 +g956 +tp16126 +a(g7 +g1024 +tp16127 +a(g545 +Vspan +p16128 +tp16129 +a(g7 +g960 +tp16130 +a(g892 +g1008 +tp16131 +a(g7 +g956 +tp16132 +a(g545 +Vspan +p16133 +tp16134 +a(g892 +g980 +tp16135 +a(g654 +Vclass +p16136 +tp16137 +a(g400 +g1003 +tp16138 +a(g76 +V"name" +p16139 +tp16140 +a(g7 +g960 +tp16141 +a(g892 +Vengine +p16142 +tp16143 +a(g7 +g956 +tp16144 +a(g7 +g1024 +tp16145 +a(g545 +Vspan +p16146 +tp16147 +a(g7 +g960 +tp16148 +a(g892 +g1008 +tp16149 +a(g7 +g956 +tp16150 +a(g545 +Vspan +p16151 +tp16152 +a(g892 +g980 +tp16153 +a(g654 +Vclass +p16154 +tp16155 +a(g400 +g1003 +tp16156 +a(g76 +V"name" +p16157 +tp16158 +a(g7 +g960 +tp16159 +a(g892 +Vexecute +p16160 +tp16161 +a(g7 +g956 +tp16162 +a(g7 +g1024 +tp16163 +a(g545 +Vspan +p16164 +tp16165 +a(g7 +g960 +tp16166 +a(g892 +g984 +tp16167 +a(g7 +g956 +tp16168 +a(g545 +Vspan +p16169 +tp16170 +a(g892 +g980 +tp16171 +a(g654 +Vclass +p16172 +tp16173 +a(g400 +g1003 +tp16174 +a(g76 +V"name" +p16175 +tp16176 +a(g7 +g960 +tp16177 +a(g892 +Vmeta +p16178 +tp16179 +a(g7 +g956 +tp16180 +a(g7 +g1024 +tp16181 +a(g545 +Vspan +p16182 +tp16183 +a(g7 +g960 +tp16184 +a(g892 +g1008 +tp16185 +a(g7 +g956 +tp16186 +a(g545 +Vspan +p16187 +tp16188 +a(g892 +g980 +tp16189 +a(g654 +Vclass +p16190 +tp16191 +a(g400 +g1003 +tp16192 +a(g76 +V"name" +p16193 +tp16194 +a(g7 +g960 +tp16195 +a(g892 +Vselect +p16196 +tp16197 +a(g7 +g956 +tp16198 +a(g7 +g1024 +tp16199 +a(g545 +Vspan +p16200 +tp16201 +a(g7 +g960 +tp16202 +a(g892 +V([ +p16203 +tp16204 +a(g7 +g956 +tp16205 +a(g545 +Vspan +p16206 +tp16207 +a(g892 +g980 +tp16208 +a(g654 +Vclass +p16209 +tp16210 +a(g400 +g1003 +tp16211 +a(g76 +V"name" +p16212 +tp16213 +a(g7 +g960 +tp16214 +a(g892 +Vprivileges +p16215 +tp16216 +a(g7 +g956 +tp16217 +a(g7 +g1024 +tp16218 +a(g545 +Vspan +p16219 +tp16220 +a(g7 +g960 +tp16221 +a(g892 +g1008 +tp16222 +a(g7 +g956 +tp16223 +a(g545 +Vspan +p16224 +tp16225 +a(g892 +g980 +tp16226 +a(g654 +Vclass +p16227 +tp16228 +a(g400 +g1003 +tp16229 +a(g76 +V"name" +p16230 +tp16231 +a(g7 +g960 +tp16232 +a(g892 +g5469 +tp16233 +a(g7 +g956 +tp16234 +a(g7 +g1024 +tp16235 +a(g545 +Vspan +p16236 +tp16237 +a(g7 +g960 +tp16238 +a(g892 +g1008 +tp16239 +a(g7 +g956 +tp16240 +a(g545 +Vspan +p16241 +tp16242 +a(g892 +g980 +tp16243 +a(g654 +Vclass +p16244 +tp16245 +a(g400 +g1003 +tp16246 +a(g76 +V"name" +p16247 +tp16248 +a(g7 +g960 +tp16249 +a(g892 +Vpriv_id +p16250 +tp16251 +a(g7 +g956 +tp16252 +a(g7 +g1024 +tp16253 +a(g545 +Vspan +p16254 +tp16255 +a(g7 +g960 +tp16256 +a(g892 +V],\u000a +p16257 +tp16258 +a(g7 +g956 +tp16259 +a(g545 +Vspan +p16260 +tp16261 +a(g892 +g980 +tp16262 +a(g654 +Vclass +p16263 +tp16264 +a(g400 +g1003 +tp16265 +a(g76 +V"name" +p16266 +tp16267 +a(g7 +g960 +tp16268 +a(g892 +Vprivileges +p16269 +tp16270 +a(g7 +g956 +tp16271 +a(g7 +g1024 +tp16272 +a(g545 +Vspan +p16273 +tp16274 +a(g7 +g960 +tp16275 +a(g892 +g1008 +tp16276 +a(g7 +g956 +tp16277 +a(g545 +Vspan +p16278 +tp16279 +a(g892 +g980 +tp16280 +a(g654 +Vclass +p16281 +tp16282 +a(g400 +g1003 +tp16283 +a(g76 +V"name" +p16284 +tp16285 +a(g7 +g960 +tp16286 +a(g892 +g5469 +tp16287 +a(g7 +g956 +tp16288 +a(g7 +g1024 +tp16289 +a(g545 +Vspan +p16290 +tp16291 +a(g7 +g960 +tp16292 +a(g892 +g1008 +tp16293 +a(g7 +g956 +tp16294 +a(g545 +Vspan +p16295 +tp16296 +a(g892 +g980 +tp16297 +a(g654 +Vclass +p16298 +tp16299 +a(g400 +g1003 +tp16300 +a(g76 +V"name" +p16301 +tp16302 +a(g7 +g960 +tp16303 +a(g892 +Vname +p16304 +tp16305 +a(g7 +g956 +tp16306 +a(g7 +g1024 +tp16307 +a(g545 +Vspan +p16308 +tp16309 +a(g7 +g960 +tp16310 +a(g892 +g980 +tp16311 +a(g7 +g956 +tp16312 +a(g545 +Vspan +p16313 +tp16314 +a(g892 +g980 +tp16315 +a(g654 +Vclass +p16316 +tp16317 +a(g400 +g1003 +tp16318 +a(g76 +V"op" +p16319 +tp16320 +a(g7 +g960 +tp16321 +a(g892 +V== +p16322 +tp16323 +a(g7 +g956 +tp16324 +a(g7 +g1024 +tp16325 +a(g545 +Vspan +p16326 +tp16327 +a(g7 +g960 +tp16328 +a(g892 +g980 +tp16329 +a(g7 +g956 +tp16330 +a(g545 +Vspan +p16331 +tp16332 +a(g892 +g980 +tp16333 +a(g654 +Vclass +p16334 +tp16335 +a(g400 +g1003 +tp16336 +a(g76 +V"name" +p16337 +tp16338 +a(g7 +g960 +tp16339 +a(g892 +Vname +p16340 +tp16341 +a(g7 +g956 +tp16342 +a(g7 +g1024 +tp16343 +a(g545 +Vspan +p16344 +tp16345 +a(g7 +g960 +tp16346 +a(g892 +V\u000a\u000a ))\u000a +p16347 +tp16348 +a(g7 +g956 +tp16349 +a(g545 +Vspan +p16350 +tp16351 +a(g892 +g980 +tp16352 +a(g654 +Vclass +p16353 +tp16354 +a(g400 +g1003 +tp16355 +a(g76 +V"name" +p16356 +tp16357 +a(g7 +g960 +tp16358 +a(g892 +Vrow +p16359 +tp16360 +a(g7 +g956 +tp16361 +a(g7 +g1024 +tp16362 +a(g545 +Vspan +p16363 +tp16364 +a(g7 +g960 +tp16365 +a(g892 +g980 +tp16366 +a(g7 +g956 +tp16367 +a(g545 +Vspan +p16368 +tp16369 +a(g892 +g980 +tp16370 +a(g654 +Vclass +p16371 +tp16372 +a(g400 +g1003 +tp16373 +a(g76 +V"op" +p16374 +tp16375 +a(g7 +g960 +tp16376 +a(g892 +g1003 +tp16377 +a(g7 +g956 +tp16378 +a(g7 +g1024 +tp16379 +a(g545 +Vspan +p16380 +tp16381 +a(g7 +g960 +tp16382 +a(g892 +g980 +tp16383 +a(g7 +g956 +tp16384 +a(g545 +Vspan +p16385 +tp16386 +a(g892 +g980 +tp16387 +a(g654 +Vclass +p16388 +tp16389 +a(g400 +g1003 +tp16390 +a(g76 +V"name" +p16391 +tp16392 +a(g7 +g960 +tp16393 +a(g892 +g5848 +tp16394 +a(g7 +g956 +tp16395 +a(g7 +g1024 +tp16396 +a(g545 +Vspan +p16397 +tp16398 +a(g7 +g960 +tp16399 +a(g892 +g1008 +tp16400 +a(g7 +g956 +tp16401 +a(g545 +Vspan +p16402 +tp16403 +a(g892 +g980 +tp16404 +a(g654 +Vclass +p16405 +tp16406 +a(g400 +g1003 +tp16407 +a(g76 +V"name" +p16408 +tp16409 +a(g7 +g960 +tp16410 +a(g892 +Vfetchone +p16411 +tp16412 +a(g7 +g956 +tp16413 +a(g7 +g1024 +tp16414 +a(g545 +Vspan +p16415 +tp16416 +a(g7 +g960 +tp16417 +a(g892 +V()\u000a +p16418 +tp16419 +a(g7 +g956 +tp16420 +a(g545 +Vspan +p16421 +tp16422 +a(g892 +g980 +tp16423 +a(g654 +Vclass +p16424 +tp16425 +a(g400 +g1003 +tp16426 +a(g76 +V"kw" +p16427 +tp16428 +a(g7 +g960 +tp16429 +a(g892 +Vif +p16430 +tp16431 +a(g7 +g956 +tp16432 +a(g7 +g1024 +tp16433 +a(g545 +Vspan +p16434 +tp16435 +a(g7 +g960 +tp16436 +a(g892 +g980 +tp16437 +a(g7 +g956 +tp16438 +a(g545 +Vspan +p16439 +tp16440 +a(g892 +g980 +tp16441 +a(g654 +Vclass +p16442 +tp16443 +a(g400 +g1003 +tp16444 +a(g76 +V"name" +p16445 +tp16446 +a(g7 +g960 +tp16447 +a(g892 +Vrow +p16448 +tp16449 +a(g7 +g956 +tp16450 +a(g7 +g1024 +tp16451 +a(g545 +Vspan +p16452 +tp16453 +a(g7 +g960 +tp16454 +a(g892 +g980 +tp16455 +a(g7 +g956 +tp16456 +a(g545 +Vspan +p16457 +tp16458 +a(g892 +g980 +tp16459 +a(g654 +Vclass +p16460 +tp16461 +a(g400 +g1003 +tp16462 +a(g76 +V"op op-word" +p16463 +tp16464 +a(g7 +g960 +tp16465 +a(g892 +Vis +p16466 +tp16467 +a(g7 +g956 +tp16468 +a(g7 +g1024 +tp16469 +a(g545 +Vspan +p16470 +tp16471 +a(g7 +g960 +tp16472 +a(g892 +g980 +tp16473 +a(g7 +g956 +tp16474 +a(g545 +Vspan +p16475 +tp16476 +a(g892 +g980 +tp16477 +a(g654 +Vclass +p16478 +tp16479 +a(g400 +g1003 +tp16480 +a(g76 +V"op op-word" +p16481 +tp16482 +a(g7 +g960 +tp16483 +a(g892 +Vnot +p16484 +tp16485 +a(g7 +g956 +tp16486 +a(g7 +g1024 +tp16487 +a(g545 +Vspan +p16488 +tp16489 +a(g7 +g960 +tp16490 +a(g892 +g980 +tp16491 +a(g7 +g956 +tp16492 +a(g545 +Vspan +p16493 +tp16494 +a(g892 +g980 +tp16495 +a(g654 +Vclass +p16496 +tp16497 +a(g400 +g1003 +tp16498 +a(g76 +V"bn bn-pseudo" +p16499 +tp16500 +a(g7 +g960 +tp16501 +a(g892 +VNone +p16502 +tp16503 +a(g7 +g956 +tp16504 +a(g7 +g1024 +tp16505 +a(g545 +Vspan +p16506 +tp16507 +a(g7 +g960 +tp16508 +a(g892 +V:\u000a +p16509 +tp16510 +a(g7 +g956 +tp16511 +a(g545 +Vspan +p16512 +tp16513 +a(g892 +g980 +tp16514 +a(g654 +Vclass +p16515 +tp16516 +a(g400 +g1003 +tp16517 +a(g76 +V"kw" +p16518 +tp16519 +a(g7 +g960 +tp16520 +a(g892 +Vreturn +p16521 +tp16522 +a(g7 +g956 +tp16523 +a(g7 +g1024 +tp16524 +a(g545 +Vspan +p16525 +tp16526 +a(g7 +g960 +tp16527 +a(g892 +g980 +tp16528 +a(g7 +g956 +tp16529 +a(g545 +Vspan +p16530 +tp16531 +a(g892 +g980 +tp16532 +a(g654 +Vclass +p16533 +tp16534 +a(g400 +g1003 +tp16535 +a(g76 +V"name" +p16536 +tp16537 +a(g7 +g960 +tp16538 +a(g892 +Vrow +p16539 +tp16540 +a(g7 +g956 +tp16541 +a(g7 +g1024 +tp16542 +a(g545 +Vspan +p16543 +tp16544 +a(g7 +g960 +tp16545 +a(g892 +g6683 +tp16546 +a(g7 +g956 +tp16547 +a(g545 +Vspan +p16548 +tp16549 +a(g892 +g980 +tp16550 +a(g654 +Vclass +p16551 +tp16552 +a(g400 +g1003 +tp16553 +a(g76 +V"nb nb-int" +p16554 +tp16555 +a(g7 +g960 +tp16556 +a(g892 +g1112 +tp16557 +a(g7 +g956 +tp16558 +a(g7 +g1024 +tp16559 +a(g545 +Vspan +p16560 +tp16561 +a(g7 +g960 +tp16562 +a(g892 +V]\u000a +p16563 +tp16564 +a(g7 +g956 +tp16565 +a(g545 +Vspan +p16566 +tp16567 +a(g892 +g980 +tp16568 +a(g654 +Vclass +p16569 +tp16570 +a(g400 +g1003 +tp16571 +a(g76 +V"name" +p16572 +tp16573 +a(g7 +g960 +tp16574 +a(g892 +g5848 +tp16575 +a(g7 +g956 +tp16576 +a(g7 +g1024 +tp16577 +a(g545 +Vspan +p16578 +tp16579 +a(g7 +g960 +tp16580 +a(g892 +g980 +tp16581 +a(g7 +g956 +tp16582 +a(g545 +Vspan +p16583 +tp16584 +a(g892 +g980 +tp16585 +a(g654 +Vclass +p16586 +tp16587 +a(g400 +g1003 +tp16588 +a(g76 +V"op" +p16589 +tp16590 +a(g7 +g960 +tp16591 +a(g892 +g1003 +tp16592 +a(g7 +g956 +tp16593 +a(g7 +g1024 +tp16594 +a(g545 +Vspan +p16595 +tp16596 +a(g7 +g960 +tp16597 +a(g892 +g980 +tp16598 +a(g7 +g956 +tp16599 +a(g545 +Vspan +p16600 +tp16601 +a(g892 +g980 +tp16602 +a(g654 +Vclass +p16603 +tp16604 +a(g400 +g1003 +tp16605 +a(g76 +V"bn bn-pseudo" +p16606 +tp16607 +a(g7 +g960 +tp16608 +a(g892 +Vself +p16609 +tp16610 +a(g7 +g956 +tp16611 +a(g7 +g1024 +tp16612 +a(g545 +Vspan +p16613 +tp16614 +a(g7 +g960 +tp16615 +a(g892 +g1008 +tp16616 +a(g7 +g956 +tp16617 +a(g545 +Vspan +p16618 +tp16619 +a(g892 +g980 +tp16620 +a(g654 +Vclass +p16621 +tp16622 +a(g400 +g1003 +tp16623 +a(g76 +V"name" +p16624 +tp16625 +a(g7 +g960 +tp16626 +a(g892 +Vctx +p16627 +tp16628 +a(g7 +g956 +tp16629 +a(g7 +g1024 +tp16630 +a(g545 +Vspan +p16631 +tp16632 +a(g7 +g960 +tp16633 +a(g892 +g1008 +tp16634 +a(g7 +g956 +tp16635 +a(g545 +Vspan +p16636 +tp16637 +a(g892 +g980 +tp16638 +a(g654 +Vclass +p16639 +tp16640 +a(g400 +g1003 +tp16641 +a(g76 +V"name" +p16642 +tp16643 +a(g7 +g960 +tp16644 +a(g892 +Vengine +p16645 +tp16646 +a(g7 +g956 +tp16647 +a(g7 +g1024 +tp16648 +a(g545 +Vspan +p16649 +tp16650 +a(g7 +g960 +tp16651 +a(g892 +g1008 +tp16652 +a(g7 +g956 +tp16653 +a(g545 +Vspan +p16654 +tp16655 +a(g892 +g980 +tp16656 +a(g654 +Vclass +p16657 +tp16658 +a(g400 +g1003 +tp16659 +a(g76 +V"name" +p16660 +tp16661 +a(g7 +g960 +tp16662 +a(g892 +Vexecute +p16663 +tp16664 +a(g7 +g956 +tp16665 +a(g7 +g1024 +tp16666 +a(g545 +Vspan +p16667 +tp16668 +a(g7 +g960 +tp16669 +a(g892 +g984 +tp16670 +a(g7 +g956 +tp16671 +a(g545 +Vspan +p16672 +tp16673 +a(g892 +g980 +tp16674 +a(g654 +Vclass +p16675 +tp16676 +a(g400 +g1003 +tp16677 +a(g76 +V"name" +p16678 +tp16679 +a(g7 +g960 +tp16680 +a(g892 +Vprivileges +p16681 +tp16682 +a(g7 +g956 +tp16683 +a(g7 +g1024 +tp16684 +a(g545 +Vspan +p16685 +tp16686 +a(g7 +g960 +tp16687 +a(g892 +g1008 +tp16688 +a(g7 +g956 +tp16689 +a(g545 +Vspan +p16690 +tp16691 +a(g892 +g980 +tp16692 +a(g654 +Vclass +p16693 +tp16694 +a(g400 +g1003 +tp16695 +a(g76 +V"name" +p16696 +tp16697 +a(g7 +g960 +tp16698 +a(g892 +Vinsert +p16699 +tp16700 +a(g7 +g956 +tp16701 +a(g7 +g1024 +tp16702 +a(g545 +Vspan +p16703 +tp16704 +a(g7 +g960 +tp16705 +a(g892 +V(),\u000a +p16706 +tp16707 +a(g7 +g956 +tp16708 +a(g545 +Vspan +p16709 +tp16710 +a(g892 +g980 +tp16711 +a(g654 +Vclass +p16712 +tp16713 +a(g400 +g1003 +tp16714 +a(g76 +V"name" +p16715 +tp16716 +a(g7 +g960 +tp16717 +a(g892 +Vname +p16718 +tp16719 +a(g7 +g956 +tp16720 +a(g7 +g1024 +tp16721 +a(g545 +Vspan +p16722 +tp16723 +a(g7 +g960 +tp16724 +a(g892 +g980 +tp16725 +a(g7 +g956 +tp16726 +a(g545 +Vspan +p16727 +tp16728 +a(g892 +g980 +tp16729 +a(g654 +Vclass +p16730 +tp16731 +a(g400 +g1003 +tp16732 +a(g76 +V"op" +p16733 +tp16734 +a(g7 +g960 +tp16735 +a(g892 +g1003 +tp16736 +a(g7 +g956 +tp16737 +a(g7 +g1024 +tp16738 +a(g545 +Vspan +p16739 +tp16740 +a(g7 +g960 +tp16741 +a(g892 +g980 +tp16742 +a(g7 +g956 +tp16743 +a(g545 +Vspan +p16744 +tp16745 +a(g892 +g980 +tp16746 +a(g654 +Vclass +p16747 +tp16748 +a(g400 +g1003 +tp16749 +a(g76 +V"name" +p16750 +tp16751 +a(g7 +g960 +tp16752 +a(g892 +Vname +p16753 +tp16754 +a(g7 +g956 +tp16755 +a(g7 +g1024 +tp16756 +a(g545 +Vspan +p16757 +tp16758 +a(g7 +g960 +tp16759 +a(g892 +V\u000a\u000a )\u000a +p16760 +tp16761 +a(g7 +g956 +tp16762 +a(g545 +Vspan +p16763 +tp16764 +a(g892 +g980 +tp16765 +a(g654 +Vclass +p16766 +tp16767 +a(g400 +g1003 +tp16768 +a(g76 +V"kw" +p16769 +tp16770 +a(g7 +g960 +tp16771 +a(g892 +Vreturn +p16772 +tp16773 +a(g7 +g956 +tp16774 +a(g7 +g1024 +tp16775 +a(g545 +Vspan +p16776 +tp16777 +a(g7 +g960 +tp16778 +a(g892 +g980 +tp16779 +a(g7 +g956 +tp16780 +a(g545 +Vspan +p16781 +tp16782 +a(g892 +g980 +tp16783 +a(g654 +Vclass +p16784 +tp16785 +a(g400 +g1003 +tp16786 +a(g76 +V"name" +p16787 +tp16788 +a(g7 +g960 +tp16789 +a(g892 +g5848 +tp16790 +a(g7 +g956 +tp16791 +a(g7 +g1024 +tp16792 +a(g545 +Vspan +p16793 +tp16794 +a(g7 +g960 +tp16795 +a(g892 +g1008 +tp16796 +a(g7 +g956 +tp16797 +a(g545 +Vspan +p16798 +tp16799 +a(g892 +g980 +tp16800 +a(g654 +Vclass +p16801 +tp16802 +a(g400 +g1003 +tp16803 +a(g76 +V"name" +p16804 +tp16805 +a(g7 +g960 +tp16806 +a(g892 +Vlast_inserted_ids +p16807 +tp16808 +a(g7 +g956 +tp16809 +a(g7 +g1024 +tp16810 +a(g545 +Vspan +p16811 +tp16812 +a(g7 +g960 +tp16813 +a(g892 +V()[ +p16814 +tp16815 +a(g7 +g956 +tp16816 +a(g545 +Vspan +p16817 +tp16818 +a(g892 +g980 +tp16819 +a(g654 +Vclass +p16820 +tp16821 +a(g400 +g1003 +tp16822 +a(g76 +V"nb nb-int" +p16823 +tp16824 +a(g7 +g960 +tp16825 +a(g892 +g1112 +tp16826 +a(g7 +g956 +tp16827 +a(g7 +g1024 +tp16828 +a(g545 +Vspan +p16829 +tp16830 +a(g7 +g960 +tp16831 +a(g892 +V]\u000a\u000a +p16832 +tp16833 +a(g7 +g956 +tp16834 +a(g545 +Vspan +p16835 +tp16836 +a(g892 +g980 +tp16837 +a(g654 +Vclass +p16838 +tp16839 +a(g400 +g1003 +tp16840 +a(g76 +V"kw" +p16841 +tp16842 +a(g7 +g960 +tp16843 +a(g892 +Vdef +p16844 +tp16845 +a(g7 +g956 +tp16846 +a(g7 +g1024 +tp16847 +a(g545 +Vspan +p16848 +tp16849 +a(g7 +g960 +tp16850 +a(g7 +g956 +tp16851 +a(g545 +Vspan +p16852 +tp16853 +a(g892 +g980 +tp16854 +a(g654 +Vclass +p16855 +tp16856 +a(g400 +g1003 +tp16857 +a(g76 +V"fun" +p16858 +tp16859 +a(g7 +g960 +tp16860 +a(g892 +V__repr__ +p16861 +tp16862 +a(g7 +g956 +tp16863 +a(g7 +g1024 +tp16864 +a(g545 +Vspan +p16865 +tp16866 +a(g7 +g960 +tp16867 +a(g892 +g984 +tp16868 +a(g7 +g956 +tp16869 +a(g545 +Vspan +p16870 +tp16871 +a(g892 +g980 +tp16872 +a(g654 +Vclass +p16873 +tp16874 +a(g400 +g1003 +tp16875 +a(g76 +V"bn bn-pseudo" +p16876 +tp16877 +a(g7 +g960 +tp16878 +a(g892 +Vself +p16879 +tp16880 +a(g7 +g956 +tp16881 +a(g7 +g1024 +tp16882 +a(g545 +Vspan +p16883 +tp16884 +a(g7 +g960 +tp16885 +a(g892 +V):\u000a +p16886 +tp16887 +a(g7 +g956 +tp16888 +a(g545 +Vspan +p16889 +tp16890 +a(g892 +g980 +tp16891 +a(g654 +Vclass +p16892 +tp16893 +a(g400 +g1003 +tp16894 +a(g76 +V"kw" +p16895 +tp16896 +a(g7 +g960 +tp16897 +a(g892 +Vif +p16898 +tp16899 +a(g7 +g956 +tp16900 +a(g7 +g1024 +tp16901 +a(g545 +Vspan +p16902 +tp16903 +a(g7 +g960 +tp16904 +a(g892 +g980 +tp16905 +a(g7 +g956 +tp16906 +a(g545 +Vspan +p16907 +tp16908 +a(g892 +g980 +tp16909 +a(g654 +Vclass +p16910 +tp16911 +a(g400 +g1003 +tp16912 +a(g76 +V"bn bn-pseudo" +p16913 +tp16914 +a(g7 +g960 +tp16915 +a(g892 +Vself +p16916 +tp16917 +a(g7 +g956 +tp16918 +a(g7 +g1024 +tp16919 +a(g545 +Vspan +p16920 +tp16921 +a(g7 +g960 +tp16922 +a(g892 +g1008 +tp16923 +a(g7 +g956 +tp16924 +a(g545 +Vspan +p16925 +tp16926 +a(g892 +g980 +tp16927 +a(g654 +Vclass +p16928 +tp16929 +a(g400 +g1003 +tp16930 +a(g76 +V"name" +p16931 +tp16932 +a(g7 +g960 +tp16933 +a(g892 +V_type +p16934 +tp16935 +a(g7 +g956 +tp16936 +a(g7 +g1024 +tp16937 +a(g545 +Vspan +p16938 +tp16939 +a(g7 +g960 +tp16940 +a(g892 +g980 +tp16941 +a(g7 +g956 +tp16942 +a(g545 +Vspan +p16943 +tp16944 +a(g892 +g980 +tp16945 +a(g654 +Vclass +p16946 +tp16947 +a(g400 +g1003 +tp16948 +a(g76 +V"op" +p16949 +tp16950 +a(g7 +g960 +tp16951 +a(g892 +V== +p16952 +tp16953 +a(g7 +g956 +tp16954 +a(g7 +g1024 +tp16955 +a(g545 +Vspan +p16956 +tp16957 +a(g7 +g960 +tp16958 +a(g892 +g980 +tp16959 +a(g7 +g956 +tp16960 +a(g545 +Vspan +p16961 +tp16962 +a(g892 +g980 +tp16963 +a(g654 +Vclass +p16964 +tp16965 +a(g400 +g1003 +tp16966 +a(g76 +V"st st-sg" +p16967 +tp16968 +a(g7 +g960 +tp16969 +a(g491 +V' +p16970 +tp16971 +a(g7 +g956 +tp16972 +a(g7 +g1024 +tp16973 +a(g545 +Vspan +p16974 +tp16975 +a(g7 +g960 +tp16976 +a(g7 +g956 +tp16977 +a(g545 +Vspan +p16978 +tp16979 +a(g892 +g980 +tp16980 +a(g654 +Vclass +p16981 +tp16982 +a(g400 +g1003 +tp16983 +a(g76 +V"st" +p16984 +tp16985 +a(g7 +g960 +tp16986 +a(g892 +Vuser +p16987 +tp16988 +a(g7 +g956 +tp16989 +a(g7 +g1024 +tp16990 +a(g545 +Vspan +p16991 +tp16992 +a(g7 +g960 +tp16993 +a(g7 +g956 +tp16994 +a(g545 +Vspan +p16995 +tp16996 +a(g892 +g980 +tp16997 +a(g654 +Vclass +p16998 +tp16999 +a(g400 +g1003 +tp17000 +a(g76 +V"st st-sg" +p17001 +tp17002 +a(g7 +g960 +tp17003 +a(g491 +V' +p17004 +tp17005 +a(g7 +g956 +tp17006 +a(g7 +g1024 +tp17007 +a(g545 +Vspan +p17008 +tp17009 +a(g7 +g960 +tp17010 +a(g892 +V:\u000a +p17011 +tp17012 +a(g7 +g956 +tp17013 +a(g545 +Vspan +p17014 +tp17015 +a(g892 +g980 +tp17016 +a(g654 +Vclass +p17017 +tp17018 +a(g400 +g1003 +tp17019 +a(g76 +V"name" +p17020 +tp17021 +a(g7 +g960 +tp17022 +a(g892 +Vid_ +p17023 +tp17024 +a(g7 +g956 +tp17025 +a(g7 +g1024 +tp17026 +a(g545 +Vspan +p17027 +tp17028 +a(g7 +g960 +tp17029 +a(g892 +g980 +tp17030 +a(g7 +g956 +tp17031 +a(g545 +Vspan +p17032 +tp17033 +a(g892 +g980 +tp17034 +a(g654 +Vclass +p17035 +tp17036 +a(g400 +g1003 +tp17037 +a(g76 +V"op" +p17038 +tp17039 +a(g7 +g960 +tp17040 +a(g892 +g1003 +tp17041 +a(g7 +g956 +tp17042 +a(g7 +g1024 +tp17043 +a(g545 +Vspan +p17044 +tp17045 +a(g7 +g960 +tp17046 +a(g892 +g980 +tp17047 +a(g7 +g956 +tp17048 +a(g545 +Vspan +p17049 +tp17050 +a(g892 +g980 +tp17051 +a(g654 +Vclass +p17052 +tp17053 +a(g400 +g1003 +tp17054 +a(g76 +V"bn bn-pseudo" +p17055 +tp17056 +a(g7 +g960 +tp17057 +a(g892 +Vself +p17058 +tp17059 +a(g7 +g956 +tp17060 +a(g7 +g1024 +tp17061 +a(g545 +Vspan +p17062 +tp17063 +a(g7 +g960 +tp17064 +a(g892 +g1008 +tp17065 +a(g7 +g956 +tp17066 +a(g545 +Vspan +p17067 +tp17068 +a(g892 +g980 +tp17069 +a(g654 +Vclass +p17070 +tp17071 +a(g400 +g1003 +tp17072 +a(g76 +V"name" +p17073 +tp17074 +a(g7 +g960 +tp17075 +a(g892 +Vsubject +p17076 +tp17077 +a(g7 +g956 +tp17078 +a(g7 +g1024 +tp17079 +a(g545 +Vspan +p17080 +tp17081 +a(g7 +g960 +tp17082 +a(g892 +g1008 +tp17083 +a(g7 +g956 +tp17084 +a(g545 +Vspan +p17085 +tp17086 +a(g892 +g980 +tp17087 +a(g654 +Vclass +p17088 +tp17089 +a(g400 +g1003 +tp17090 +a(g76 +V"name" +p17091 +tp17092 +a(g7 +g960 +tp17093 +a(g892 +Vuser_id +p17094 +tp17095 +a(g7 +g956 +tp17096 +a(g7 +g1024 +tp17097 +a(g545 +Vspan +p17098 +tp17099 +a(g7 +g960 +tp17100 +a(g892 +V\u000a\u000a +p17101 +tp17102 +a(g7 +g956 +tp17103 +a(g545 +Vspan +p17104 +tp17105 +a(g892 +g980 +tp17106 +a(g654 +Vclass +p17107 +tp17108 +a(g400 +g1003 +tp17109 +a(g76 +V"kw" +p17110 +tp17111 +a(g7 +g960 +tp17112 +a(g892 +Velse +p17113 +tp17114 +a(g7 +g956 +tp17115 +a(g7 +g1024 +tp17116 +a(g545 +Vspan +p17117 +tp17118 +a(g7 +g960 +tp17119 +a(g892 +V:\u000a +p17120 +tp17121 +a(g7 +g956 +tp17122 +a(g545 +Vspan +p17123 +tp17124 +a(g892 +g980 +tp17125 +a(g654 +Vclass +p17126 +tp17127 +a(g400 +g1003 +tp17128 +a(g76 +V"name" +p17129 +tp17130 +a(g7 +g960 +tp17131 +a(g892 +Vid_ +p17132 +tp17133 +a(g7 +g956 +tp17134 +a(g7 +g1024 +tp17135 +a(g545 +Vspan +p17136 +tp17137 +a(g7 +g960 +tp17138 +a(g892 +g980 +tp17139 +a(g7 +g956 +tp17140 +a(g545 +Vspan +p17141 +tp17142 +a(g892 +g980 +tp17143 +a(g654 +Vclass +p17144 +tp17145 +a(g400 +g1003 +tp17146 +a(g76 +V"op" +p17147 +tp17148 +a(g7 +g960 +tp17149 +a(g892 +g1003 +tp17150 +a(g7 +g956 +tp17151 +a(g7 +g1024 +tp17152 +a(g545 +Vspan +p17153 +tp17154 +a(g7 +g960 +tp17155 +a(g892 +g980 +tp17156 +a(g7 +g956 +tp17157 +a(g545 +Vspan +p17158 +tp17159 +a(g892 +g980 +tp17160 +a(g654 +Vclass +p17161 +tp17162 +a(g400 +g1003 +tp17163 +a(g76 +V"bn bn-pseudo" +p17164 +tp17165 +a(g7 +g960 +tp17166 +a(g892 +Vself +p17167 +tp17168 +a(g7 +g956 +tp17169 +a(g7 +g1024 +tp17170 +a(g545 +Vspan +p17171 +tp17172 +a(g7 +g960 +tp17173 +a(g892 +g1008 +tp17174 +a(g7 +g956 +tp17175 +a(g545 +Vspan +p17176 +tp17177 +a(g892 +g980 +tp17178 +a(g654 +Vclass +p17179 +tp17180 +a(g400 +g1003 +tp17181 +a(g76 +V"name" +p17182 +tp17183 +a(g7 +g960 +tp17184 +a(g892 +Vsubject +p17185 +tp17186 +a(g7 +g956 +tp17187 +a(g7 +g1024 +tp17188 +a(g545 +Vspan +p17189 +tp17190 +a(g7 +g960 +tp17191 +a(g892 +g1008 +tp17192 +a(g7 +g956 +tp17193 +a(g545 +Vspan +p17194 +tp17195 +a(g892 +g980 +tp17196 +a(g654 +Vclass +p17197 +tp17198 +a(g400 +g1003 +tp17199 +a(g76 +V"name" +p17200 +tp17201 +a(g7 +g960 +tp17202 +a(g892 +Vgroup_id +p17203 +tp17204 +a(g7 +g956 +tp17205 +a(g7 +g1024 +tp17206 +a(g545 +Vspan +p17207 +tp17208 +a(g7 +g960 +tp17209 +a(g892 +V\u000a +p17210 +tp17211 +a(g7 +g956 +tp17212 +a(g545 +Vspan +p17213 +tp17214 +a(g892 +g980 +tp17215 +a(g654 +Vclass +p17216 +tp17217 +a(g400 +g1003 +tp17218 +a(g76 +V"kw" +p17219 +tp17220 +a(g7 +g960 +tp17221 +a(g892 +Vif +p17222 +tp17223 +a(g7 +g956 +tp17224 +a(g7 +g1024 +tp17225 +a(g545 +Vspan +p17226 +tp17227 +a(g7 +g960 +tp17228 +a(g892 +g980 +tp17229 +a(g7 +g956 +tp17230 +a(g545 +Vspan +p17231 +tp17232 +a(g892 +g980 +tp17233 +a(g654 +Vclass +p17234 +tp17235 +a(g400 +g1003 +tp17236 +a(g76 +V"bn bn-pseudo" +p17237 +tp17238 +a(g7 +g960 +tp17239 +a(g892 +Vself +p17240 +tp17241 +a(g7 +g956 +tp17242 +a(g7 +g1024 +tp17243 +a(g545 +Vspan +p17244 +tp17245 +a(g7 +g960 +tp17246 +a(g892 +g1008 +tp17247 +a(g7 +g956 +tp17248 +a(g545 +Vspan +p17249 +tp17250 +a(g892 +g980 +tp17251 +a(g654 +Vclass +p17252 +tp17253 +a(g400 +g1003 +tp17254 +a(g76 +V"name" +p17255 +tp17256 +a(g7 +g960 +tp17257 +a(g892 +Vsubject +p17258 +tp17259 +a(g7 +g956 +tp17260 +a(g7 +g1024 +tp17261 +a(g545 +Vspan +p17262 +tp17263 +a(g7 +g960 +tp17264 +a(g892 +g1008 +tp17265 +a(g7 +g956 +tp17266 +a(g545 +Vspan +p17267 +tp17268 +a(g892 +g980 +tp17269 +a(g654 +Vclass +p17270 +tp17271 +a(g400 +g1003 +tp17272 +a(g76 +V"name" +p17273 +tp17274 +a(g7 +g960 +tp17275 +a(g892 +Vsubject_id +p17276 +tp17277 +a(g7 +g956 +tp17278 +a(g7 +g1024 +tp17279 +a(g545 +Vspan +p17280 +tp17281 +a(g7 +g960 +tp17282 +a(g892 +g980 +tp17283 +a(g7 +g956 +tp17284 +a(g545 +Vspan +p17285 +tp17286 +a(g892 +g980 +tp17287 +a(g654 +Vclass +p17288 +tp17289 +a(g400 +g1003 +tp17290 +a(g76 +V"op op-word" +p17291 +tp17292 +a(g7 +g960 +tp17293 +a(g892 +Vis +p17294 +tp17295 +a(g7 +g956 +tp17296 +a(g7 +g1024 +tp17297 +a(g545 +Vspan +p17298 +tp17299 +a(g7 +g960 +tp17300 +a(g892 +g980 +tp17301 +a(g7 +g956 +tp17302 +a(g545 +Vspan +p17303 +tp17304 +a(g892 +g980 +tp17305 +a(g654 +Vclass +p17306 +tp17307 +a(g400 +g1003 +tp17308 +a(g76 +V"bn bn-pseudo" +p17309 +tp17310 +a(g7 +g960 +tp17311 +a(g892 +VNone +p17312 +tp17313 +a(g7 +g956 +tp17314 +a(g7 +g1024 +tp17315 +a(g545 +Vspan +p17316 +tp17317 +a(g7 +g960 +tp17318 +a(g892 +V:\u000a +p17319 +tp17320 +a(g7 +g956 +tp17321 +a(g545 +Vspan +p17322 +tp17323 +a(g892 +g980 +tp17324 +a(g654 +Vclass +p17325 +tp17326 +a(g400 +g1003 +tp17327 +a(g76 +V"kw" +p17328 +tp17329 +a(g7 +g960 +tp17330 +a(g892 +Vreturn +p17331 +tp17332 +a(g7 +g956 +tp17333 +a(g7 +g1024 +tp17334 +a(g545 +Vspan +p17335 +tp17336 +a(g7 +g960 +tp17337 +a(g892 +g980 +tp17338 +a(g7 +g956 +tp17339 +a(g545 +Vspan +p17340 +tp17341 +a(g892 +g980 +tp17342 +a(g654 +Vclass +p17343 +tp17344 +a(g400 +g1003 +tp17345 +a(g76 +V"st st-sg" +p17346 +tp17347 +a(g7 +g960 +tp17348 +a(g491 +V' +p17349 +tp17350 +a(g7 +g956 +tp17351 +a(g7 +g1024 +tp17352 +a(g545 +Vspan +p17353 +tp17354 +a(g7 +g960 +tp17355 +a(g7 +g956 +tp17356 +a(g545 +Vspan +p17357 +tp17358 +a(g892 +g980 +tp17359 +a(g654 +Vclass +p17360 +tp17361 +a(g400 +g1003 +tp17362 +a(g76 +V"st" +p17363 +tp17364 +a(g7 +g960 +tp17365 +a(g491 +V< +p17366 +tp17367 +a(g7 +g956 +tp17368 +a(g7 +g1024 +tp17369 +a(g545 +Vspan +p17370 +tp17371 +a(g7 +g960 +tp17372 +a(g7 +g956 +tp17373 +a(g545 +Vspan +p17374 +tp17375 +a(g892 +g980 +tp17376 +a(g654 +Vclass +p17377 +tp17378 +a(g400 +g1003 +tp17379 +a(g76 +V"st st-int" +p17380 +tp17381 +a(g7 +g960 +tp17382 +a(g892 +V%s +p17383 +tp17384 +a(g7 +g956 +tp17385 +a(g7 +g1024 +tp17386 +a(g545 +Vspan +p17387 +tp17388 +a(g7 +g960 +tp17389 +a(g7 +g956 +tp17390 +a(g545 +Vspan +p17391 +tp17392 +a(g892 +g980 +tp17393 +a(g654 +Vclass +p17394 +tp17395 +a(g400 +g1003 +tp17396 +a(g76 +V"st" +p17397 +tp17398 +a(g7 +g960 +tp17399 +a(g892 +g980 +tp17400 +a(g7 +g956 +tp17401 +a(g7 +g1024 +tp17402 +a(g545 +Vspan +p17403 +tp17404 +a(g7 +g960 +tp17405 +a(g7 +g956 +tp17406 +a(g545 +Vspan +p17407 +tp17408 +a(g892 +g980 +tp17409 +a(g654 +Vclass +p17410 +tp17411 +a(g400 +g1003 +tp17412 +a(g76 +V"st st-int" +p17413 +tp17414 +a(g7 +g960 +tp17415 +a(g892 +V%s +p17416 +tp17417 +a(g7 +g956 +tp17418 +a(g7 +g1024 +tp17419 +a(g545 +Vspan +p17420 +tp17421 +a(g7 +g960 +tp17422 +a(g7 +g956 +tp17423 +a(g545 +Vspan +p17424 +tp17425 +a(g892 +g980 +tp17426 +a(g654 +Vclass +p17427 +tp17428 +a(g400 +g1003 +tp17429 +a(g76 +V"st" +p17430 +tp17431 +a(g7 +g960 +tp17432 +a(g892 +g1045 +tp17433 +a(g7 +g956 +tp17434 +a(g7 +g1024 +tp17435 +a(g545 +Vspan +p17436 +tp17437 +a(g7 +g960 +tp17438 +a(g7 +g956 +tp17439 +a(g545 +Vspan +p17440 +tp17441 +a(g892 +g980 +tp17442 +a(g654 +Vclass +p17443 +tp17444 +a(g400 +g1003 +tp17445 +a(g76 +V"st st-int" +p17446 +tp17447 +a(g7 +g960 +tp17448 +a(g892 +V%d +p17449 +tp17450 +a(g7 +g956 +tp17451 +a(g7 +g1024 +tp17452 +a(g545 +Vspan +p17453 +tp17454 +a(g7 +g960 +tp17455 +a(g7 +g956 +tp17456 +a(g545 +Vspan +p17457 +tp17458 +a(g892 +g980 +tp17459 +a(g654 +Vclass +p17460 +tp17461 +a(g400 +g1003 +tp17462 +a(g76 +V"st" +p17463 +tp17464 +a(g7 +g960 +tp17465 +a(g892 +V inactive +p17466 +tp17467 +a(g491 +V> +p17468 +tp17469 +a(g7 +g956 +tp17470 +a(g7 +g1024 +tp17471 +a(g545 +Vspan +p17472 +tp17473 +a(g7 +g960 +tp17474 +a(g7 +g956 +tp17475 +a(g545 +Vspan +p17476 +tp17477 +a(g892 +g980 +tp17478 +a(g654 +Vclass +p17479 +tp17480 +a(g400 +g1003 +tp17481 +a(g76 +V"st st-sg" +p17482 +tp17483 +a(g7 +g960 +tp17484 +a(g491 +V' +p17485 +tp17486 +a(g7 +g956 +tp17487 +a(g7 +g1024 +tp17488 +a(g545 +Vspan +p17489 +tp17490 +a(g7 +g960 +tp17491 +a(g892 +g980 +tp17492 +a(g7 +g956 +tp17493 +a(g545 +Vspan +p17494 +tp17495 +a(g892 +g980 +tp17496 +a(g654 +Vclass +p17497 +tp17498 +a(g400 +g1003 +tp17499 +a(g76 +V"op" +p17500 +tp17501 +a(g7 +g960 +tp17502 +a(g892 +V% +p17503 +tp17504 +a(g7 +g956 +tp17505 +a(g7 +g1024 +tp17506 +a(g545 +Vspan +p17507 +tp17508 +a(g7 +g960 +tp17509 +a(g892 +V (\u000a +p17510 +tp17511 +a(g7 +g956 +tp17512 +a(g545 +Vspan +p17513 +tp17514 +a(g892 +g980 +tp17515 +a(g654 +Vclass +p17516 +tp17517 +a(g400 +g1003 +tp17518 +a(g76 +V"bn bn-pseudo" +p17519 +tp17520 +a(g7 +g960 +tp17521 +a(g892 +Vself +p17522 +tp17523 +a(g7 +g956 +tp17524 +a(g7 +g1024 +tp17525 +a(g545 +Vspan +p17526 +tp17527 +a(g7 +g960 +tp17528 +a(g892 +g1008 +tp17529 +a(g7 +g956 +tp17530 +a(g545 +Vspan +p17531 +tp17532 +a(g892 +g980 +tp17533 +a(g654 +Vclass +p17534 +tp17535 +a(g400 +g1003 +tp17536 +a(g76 +V"name" +p17537 +tp17538 +a(g7 +g960 +tp17539 +a(g892 +V__class__ +p17540 +tp17541 +a(g7 +g956 +tp17542 +a(g7 +g1024 +tp17543 +a(g545 +Vspan +p17544 +tp17545 +a(g7 +g960 +tp17546 +a(g892 +g1008 +tp17547 +a(g7 +g956 +tp17548 +a(g545 +Vspan +p17549 +tp17550 +a(g892 +g980 +tp17551 +a(g654 +Vclass +p17552 +tp17553 +a(g400 +g1003 +tp17554 +a(g76 +V"name" +p17555 +tp17556 +a(g7 +g960 +tp17557 +a(g892 +V__name__ +p17558 +tp17559 +a(g7 +g956 +tp17560 +a(g7 +g1024 +tp17561 +a(g545 +Vspan +p17562 +tp17563 +a(g7 +g960 +tp17564 +a(g892 +V,\u000a +p17565 +tp17566 +a(g7 +g956 +tp17567 +a(g545 +Vspan +p17568 +tp17569 +a(g892 +g980 +tp17570 +a(g654 +Vclass +p17571 +tp17572 +a(g400 +g1003 +tp17573 +a(g76 +V"bn bn-pseudo" +p17574 +tp17575 +a(g7 +g960 +tp17576 +a(g892 +Vself +p17577 +tp17578 +a(g7 +g956 +tp17579 +a(g7 +g1024 +tp17580 +a(g545 +Vspan +p17581 +tp17582 +a(g7 +g960 +tp17583 +a(g892 +g1008 +tp17584 +a(g7 +g956 +tp17585 +a(g545 +Vspan +p17586 +tp17587 +a(g892 +g980 +tp17588 +a(g654 +Vclass +p17589 +tp17590 +a(g400 +g1003 +tp17591 +a(g76 +V"name" +p17592 +tp17593 +a(g7 +g960 +tp17594 +a(g892 +V_type +p17595 +tp17596 +a(g7 +g956 +tp17597 +a(g7 +g1024 +tp17598 +a(g545 +Vspan +p17599 +tp17600 +a(g7 +g960 +tp17601 +a(g892 +V,\u000a +p17602 +tp17603 +a(g7 +g956 +tp17604 +a(g545 +Vspan +p17605 +tp17606 +a(g892 +g980 +tp17607 +a(g654 +Vclass +p17608 +tp17609 +a(g400 +g1003 +tp17610 +a(g76 +V"name" +p17611 +tp17612 +a(g7 +g960 +tp17613 +a(g892 +Vid_ +p17614 +tp17615 +a(g7 +g956 +tp17616 +a(g7 +g1024 +tp17617 +a(g545 +Vspan +p17618 +tp17619 +a(g7 +g960 +tp17620 +a(g892 +V\u000a\u000a )\u000a +p17621 +tp17622 +a(g7 +g956 +tp17623 +a(g545 +Vspan +p17624 +tp17625 +a(g892 +g980 +tp17626 +a(g654 +Vclass +p17627 +tp17628 +a(g400 +g1003 +tp17629 +a(g76 +V"kw" +p17630 +tp17631 +a(g7 +g960 +tp17632 +a(g892 +Vreturn +p17633 +tp17634 +a(g7 +g956 +tp17635 +a(g7 +g1024 +tp17636 +a(g545 +Vspan +p17637 +tp17638 +a(g7 +g960 +tp17639 +a(g892 +g980 +tp17640 +a(g7 +g956 +tp17641 +a(g545 +Vspan +p17642 +tp17643 +a(g892 +g980 +tp17644 +a(g654 +Vclass +p17645 +tp17646 +a(g400 +g1003 +tp17647 +a(g76 +V"st st-sg" +p17648 +tp17649 +a(g7 +g960 +tp17650 +a(g491 +V' +p17651 +tp17652 +a(g7 +g956 +tp17653 +a(g7 +g1024 +tp17654 +a(g545 +Vspan +p17655 +tp17656 +a(g7 +g960 +tp17657 +a(g7 +g956 +tp17658 +a(g545 +Vspan +p17659 +tp17660 +a(g892 +g980 +tp17661 +a(g654 +Vclass +p17662 +tp17663 +a(g400 +g1003 +tp17664 +a(g76 +V"st" +p17665 +tp17666 +a(g7 +g960 +tp17667 +a(g491 +V< +p17668 +tp17669 +a(g7 +g956 +tp17670 +a(g7 +g1024 +tp17671 +a(g545 +Vspan +p17672 +tp17673 +a(g7 +g960 +tp17674 +a(g7 +g956 +tp17675 +a(g545 +Vspan +p17676 +tp17677 +a(g892 +g980 +tp17678 +a(g654 +Vclass +p17679 +tp17680 +a(g400 +g1003 +tp17681 +a(g76 +V"st st-int" +p17682 +tp17683 +a(g7 +g960 +tp17684 +a(g892 +V%s +p17685 +tp17686 +a(g7 +g956 +tp17687 +a(g7 +g1024 +tp17688 +a(g545 +Vspan +p17689 +tp17690 +a(g7 +g960 +tp17691 +a(g7 +g956 +tp17692 +a(g545 +Vspan +p17693 +tp17694 +a(g892 +g980 +tp17695 +a(g654 +Vclass +p17696 +tp17697 +a(g400 +g1003 +tp17698 +a(g76 +V"st" +p17699 +tp17700 +a(g7 +g960 +tp17701 +a(g892 +g980 +tp17702 +a(g7 +g956 +tp17703 +a(g7 +g1024 +tp17704 +a(g545 +Vspan +p17705 +tp17706 +a(g7 +g960 +tp17707 +a(g7 +g956 +tp17708 +a(g545 +Vspan +p17709 +tp17710 +a(g892 +g980 +tp17711 +a(g654 +Vclass +p17712 +tp17713 +a(g400 +g1003 +tp17714 +a(g76 +V"st st-int" +p17715 +tp17716 +a(g7 +g960 +tp17717 +a(g892 +V%s +p17718 +tp17719 +a(g7 +g956 +tp17720 +a(g7 +g1024 +tp17721 +a(g545 +Vspan +p17722 +tp17723 +a(g7 +g960 +tp17724 +a(g7 +g956 +tp17725 +a(g545 +Vspan +p17726 +tp17727 +a(g892 +g980 +tp17728 +a(g654 +Vclass +p17729 +tp17730 +a(g400 +g1003 +tp17731 +a(g76 +V"st" +p17732 +tp17733 +a(g7 +g960 +tp17734 +a(g892 +g1045 +tp17735 +a(g7 +g956 +tp17736 +a(g7 +g1024 +tp17737 +a(g545 +Vspan +p17738 +tp17739 +a(g7 +g960 +tp17740 +a(g7 +g956 +tp17741 +a(g545 +Vspan +p17742 +tp17743 +a(g892 +g980 +tp17744 +a(g654 +Vclass +p17745 +tp17746 +a(g400 +g1003 +tp17747 +a(g76 +V"st st-int" +p17748 +tp17749 +a(g7 +g960 +tp17750 +a(g892 +V%d +p17751 +tp17752 +a(g7 +g956 +tp17753 +a(g7 +g1024 +tp17754 +a(g545 +Vspan +p17755 +tp17756 +a(g7 +g960 +tp17757 +a(g7 +g956 +tp17758 +a(g545 +Vspan +p17759 +tp17760 +a(g892 +g980 +tp17761 +a(g654 +Vclass +p17762 +tp17763 +a(g400 +g1003 +tp17764 +a(g76 +V"st" +p17765 +tp17766 +a(g7 +g960 +tp17767 +a(g892 +V active as +p17768 +tp17769 +a(g7 +g956 +tp17770 +a(g7 +g1024 +tp17771 +a(g545 +Vspan +p17772 +tp17773 +a(g7 +g960 +tp17774 +a(g7 +g956 +tp17775 +a(g545 +Vspan +p17776 +tp17777 +a(g892 +g980 +tp17778 +a(g654 +Vclass +p17779 +tp17780 +a(g400 +g1003 +tp17781 +a(g76 +V"st st-int" +p17782 +tp17783 +a(g7 +g960 +tp17784 +a(g892 +V%d +p17785 +tp17786 +a(g7 +g956 +tp17787 +a(g7 +g1024 +tp17788 +a(g545 +Vspan +p17789 +tp17790 +a(g7 +g960 +tp17791 +a(g7 +g956 +tp17792 +a(g545 +Vspan +p17793 +tp17794 +a(g892 +g980 +tp17795 +a(g654 +Vclass +p17796 +tp17797 +a(g400 +g1003 +tp17798 +a(g76 +V"st" +p17799 +tp17800 +a(g7 +g960 +tp17801 +a(g491 +V> +p17802 +tp17803 +a(g7 +g956 +tp17804 +a(g7 +g1024 +tp17805 +a(g545 +Vspan +p17806 +tp17807 +a(g7 +g960 +tp17808 +a(g7 +g956 +tp17809 +a(g545 +Vspan +p17810 +tp17811 +a(g892 +g980 +tp17812 +a(g654 +Vclass +p17813 +tp17814 +a(g400 +g1003 +tp17815 +a(g76 +V"st st-sg" +p17816 +tp17817 +a(g7 +g960 +tp17818 +a(g491 +V' +p17819 +tp17820 +a(g7 +g956 +tp17821 +a(g7 +g1024 +tp17822 +a(g545 +Vspan +p17823 +tp17824 +a(g7 +g960 +tp17825 +a(g892 +g980 +tp17826 +a(g7 +g956 +tp17827 +a(g545 +Vspan +p17828 +tp17829 +a(g892 +g980 +tp17830 +a(g654 +Vclass +p17831 +tp17832 +a(g400 +g1003 +tp17833 +a(g76 +V"op" +p17834 +tp17835 +a(g7 +g960 +tp17836 +a(g892 +g17503 +tp17837 +a(g7 +g956 +tp17838 +a(g7 +g1024 +tp17839 +a(g545 +Vspan +p17840 +tp17841 +a(g7 +g960 +tp17842 +a(g892 +V (\u000a +p17843 +tp17844 +a(g7 +g956 +tp17845 +a(g545 +Vspan +p17846 +tp17847 +a(g892 +g980 +tp17848 +a(g654 +Vclass +p17849 +tp17850 +a(g400 +g1003 +tp17851 +a(g76 +V"bn bn-pseudo" +p17852 +tp17853 +a(g7 +g960 +tp17854 +a(g892 +Vself +p17855 +tp17856 +a(g7 +g956 +tp17857 +a(g7 +g1024 +tp17858 +a(g545 +Vspan +p17859 +tp17860 +a(g7 +g960 +tp17861 +a(g892 +g1008 +tp17862 +a(g7 +g956 +tp17863 +a(g545 +Vspan +p17864 +tp17865 +a(g892 +g980 +tp17866 +a(g654 +Vclass +p17867 +tp17868 +a(g400 +g1003 +tp17869 +a(g76 +V"name" +p17870 +tp17871 +a(g7 +g960 +tp17872 +a(g892 +V__class__ +p17873 +tp17874 +a(g7 +g956 +tp17875 +a(g7 +g1024 +tp17876 +a(g545 +Vspan +p17877 +tp17878 +a(g7 +g960 +tp17879 +a(g892 +g1008 +tp17880 +a(g7 +g956 +tp17881 +a(g545 +Vspan +p17882 +tp17883 +a(g892 +g980 +tp17884 +a(g654 +Vclass +p17885 +tp17886 +a(g400 +g1003 +tp17887 +a(g76 +V"name" +p17888 +tp17889 +a(g7 +g960 +tp17890 +a(g892 +V__name__ +p17891 +tp17892 +a(g7 +g956 +tp17893 +a(g7 +g1024 +tp17894 +a(g545 +Vspan +p17895 +tp17896 +a(g7 +g960 +tp17897 +a(g892 +V,\u000a +p17898 +tp17899 +a(g7 +g956 +tp17900 +a(g545 +Vspan +p17901 +tp17902 +a(g892 +g980 +tp17903 +a(g654 +Vclass +p17904 +tp17905 +a(g400 +g1003 +tp17906 +a(g76 +V"bn bn-pseudo" +p17907 +tp17908 +a(g7 +g960 +tp17909 +a(g892 +Vself +p17910 +tp17911 +a(g7 +g956 +tp17912 +a(g7 +g1024 +tp17913 +a(g545 +Vspan +p17914 +tp17915 +a(g7 +g960 +tp17916 +a(g892 +g1008 +tp17917 +a(g7 +g956 +tp17918 +a(g545 +Vspan +p17919 +tp17920 +a(g892 +g980 +tp17921 +a(g654 +Vclass +p17922 +tp17923 +a(g400 +g1003 +tp17924 +a(g76 +V"name" +p17925 +tp17926 +a(g7 +g960 +tp17927 +a(g892 +V_type +p17928 +tp17929 +a(g7 +g956 +tp17930 +a(g7 +g1024 +tp17931 +a(g545 +Vspan +p17932 +tp17933 +a(g7 +g960 +tp17934 +a(g892 +V,\u000a +p17935 +tp17936 +a(g7 +g956 +tp17937 +a(g545 +Vspan +p17938 +tp17939 +a(g892 +g980 +tp17940 +a(g654 +Vclass +p17941 +tp17942 +a(g400 +g1003 +tp17943 +a(g76 +V"name" +p17944 +tp17945 +a(g7 +g960 +tp17946 +a(g892 +Vid_ +p17947 +tp17948 +a(g7 +g956 +tp17949 +a(g7 +g1024 +tp17950 +a(g545 +Vspan +p17951 +tp17952 +a(g7 +g960 +tp17953 +a(g892 +V,\u000a +p17954 +tp17955 +a(g7 +g956 +tp17956 +a(g545 +Vspan +p17957 +tp17958 +a(g892 +g980 +tp17959 +a(g654 +Vclass +p17960 +tp17961 +a(g400 +g1003 +tp17962 +a(g76 +V"bn bn-pseudo" +p17963 +tp17964 +a(g7 +g960 +tp17965 +a(g892 +Vself +p17966 +tp17967 +a(g7 +g956 +tp17968 +a(g7 +g1024 +tp17969 +a(g545 +Vspan +p17970 +tp17971 +a(g7 +g960 +tp17972 +a(g892 +g1008 +tp17973 +a(g7 +g956 +tp17974 +a(g545 +Vspan +p17975 +tp17976 +a(g892 +g980 +tp17977 +a(g654 +Vclass +p17978 +tp17979 +a(g400 +g1003 +tp17980 +a(g76 +V"name" +p17981 +tp17982 +a(g7 +g960 +tp17983 +a(g892 +Vsubject +p17984 +tp17985 +a(g7 +g956 +tp17986 +a(g7 +g1024 +tp17987 +a(g545 +Vspan +p17988 +tp17989 +a(g7 +g960 +tp17990 +a(g892 +g1008 +tp17991 +a(g7 +g956 +tp17992 +a(g545 +Vspan +p17993 +tp17994 +a(g892 +g980 +tp17995 +a(g654 +Vclass +p17996 +tp17997 +a(g400 +g1003 +tp17998 +a(g76 +V"name" +p17999 +tp18000 +a(g7 +g960 +tp18001 +a(g892 +Vsubject_id +p18002 +tp18003 +a(g7 +g956 +tp18004 +a(g7 +g1024 +tp18005 +a(g545 +Vspan +p18006 +tp18007 +a(g7 +g960 +tp18008 +a(g892 +V\u000a\u000a )\u000a +p18009 +tp18010 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.idr b/tests/examplefiles/output/test.idr new file mode 100644 index 0000000..6868b81 --- /dev/null +++ b/tests/examplefiles/output/test.idr @@ -0,0 +1,7345 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVmodule +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g613 +VMain +p960 +tp961 +a(g831 +V\u000a +p962 +tp963 +a(g831 +V\u000a +p964 +tp965 +a(g8 +Vdata +p966 +tp967 +a(g831 +g958 +tp968 +a(g886 +VTy +p969 +tp970 +a(g831 +g958 +tp971 +a(g419 +V= +p972 +tp973 +a(g831 +g958 +tp974 +a(g886 +VTyInt +p975 +tp976 +a(g831 +g958 +tp977 +a(g419 +V| +p978 +tp979 +a(g831 +g958 +tp980 +a(g886 +VTyBool +p981 +tp982 +a(g831 +g958 +tp983 +a(g419 +g978 +tp984 +a(g831 +g958 +tp985 +a(g886 +VTyFun +p986 +tp987 +a(g831 +g958 +tp988 +a(g886 +VTy +p989 +tp990 +a(g831 +g958 +tp991 +a(g886 +VTy +p992 +tp993 +a(g831 +V\u000a +p994 +tp995 +a(g831 +V\u000a +p996 +tp997 +a(g566 +VinterpTy +p998 +tp999 +a(g831 +g958 +tp1000 +a(g419 +V: +p1001 +tp1002 +a(g831 +g958 +tp1003 +a(g886 +VTy +p1004 +tp1005 +a(g831 +g958 +tp1006 +a(g419 +V-> +p1007 +tp1008 +a(g831 +g958 +tp1009 +a(g886 +VType +p1010 +tp1011 +a(g831 +V\u000a +p1012 +tp1013 +a(g831 +VinterpTy +p1014 +tp1015 +a(g831 +g958 +tp1016 +a(g886 +VTyInt +p1017 +tp1018 +a(g831 +g958 +tp1019 +a(g831 +g958 +tp1020 +a(g831 +g958 +tp1021 +a(g831 +g958 +tp1022 +a(g831 +g958 +tp1023 +a(g831 +g958 +tp1024 +a(g831 +g958 +tp1025 +a(g419 +g972 +tp1026 +a(g831 +g958 +tp1027 +a(g886 +VInt +p1028 +tp1029 +a(g831 +V\u000a +p1030 +tp1031 +a(g831 +VinterpTy +p1032 +tp1033 +a(g831 +g958 +tp1034 +a(g886 +VTyBool +p1035 +tp1036 +a(g831 +g958 +tp1037 +a(g831 +g958 +tp1038 +a(g831 +g958 +tp1039 +a(g831 +g958 +tp1040 +a(g831 +g958 +tp1041 +a(g831 +g958 +tp1042 +a(g419 +g972 +tp1043 +a(g831 +g958 +tp1044 +a(g886 +VBool +p1045 +tp1046 +a(g831 +V\u000a +p1047 +tp1048 +a(g831 +VinterpTy +p1049 +tp1050 +a(g831 +g958 +tp1051 +a(g419 +V( +p1052 +tp1053 +a(g886 +VTyFun +p1054 +tp1055 +a(g831 +g958 +tp1056 +a(g831 +Vs +p1057 +tp1058 +a(g831 +g958 +tp1059 +a(g831 +Vt +p1060 +tp1061 +a(g419 +V) +p1062 +tp1063 +a(g831 +g958 +tp1064 +a(g419 +g972 +tp1065 +a(g831 +g958 +tp1066 +a(g831 +VinterpTy +p1067 +tp1068 +a(g831 +g958 +tp1069 +a(g831 +g1057 +tp1070 +a(g831 +g958 +tp1071 +a(g419 +V-> +p1072 +tp1073 +a(g831 +g958 +tp1074 +a(g831 +VinterpTy +p1075 +tp1076 +a(g831 +g958 +tp1077 +a(g831 +g1060 +tp1078 +a(g831 +V\u000a +p1079 +tp1080 +a(g831 +V\u000a +p1081 +tp1082 +a(g8 +Vusing +p1083 +tp1084 +a(g831 +g958 +tp1085 +a(g419 +g1052 +tp1086 +a(g886 +VG +p1087 +tp1088 +a(g831 +g958 +tp1089 +a(g419 +g1001 +tp1090 +a(g831 +g958 +tp1091 +a(g886 +VVect +p1092 +tp1093 +a(g831 +g958 +tp1094 +a(g831 +Vn +p1095 +tp1096 +a(g831 +g958 +tp1097 +a(g886 +VTy +p1098 +tp1099 +a(g419 +g1062 +tp1100 +a(g831 +V\u000a +p1101 +tp1102 +a(g831 +V\u000a +p1103 +tp1104 +a(g831 +g958 +tp1105 +a(g831 +g958 +tp1106 +a(g8 +Vdata +p1107 +tp1108 +a(g831 +g958 +tp1109 +a(g886 +VEnv +p1110 +tp1111 +a(g831 +g958 +tp1112 +a(g419 +g1001 +tp1113 +a(g831 +g958 +tp1114 +a(g886 +VVect +p1115 +tp1116 +a(g831 +g958 +tp1117 +a(g831 +g1095 +tp1118 +a(g831 +g958 +tp1119 +a(g886 +VTy +p1120 +tp1121 +a(g831 +g958 +tp1122 +a(g419 +V-> +p1123 +tp1124 +a(g831 +g958 +tp1125 +a(g886 +VType +p1126 +tp1127 +a(g831 +g958 +tp1128 +a(g8 +Vwhere +p1129 +tp1130 +a(g831 +V\u000a +p1131 +tp1132 +a(g831 +V +p1133 +tp1134 +a(g566 +VNil +p1135 +tp1136 +a(g831 +V +p1137 +tp1138 +a(g419 +g1001 +tp1139 +a(g831 +g958 +tp1140 +a(g886 +VEnv +p1141 +tp1142 +a(g831 +g958 +tp1143 +a(g886 +VNil +p1144 +tp1145 +a(g831 +V\u000a +p1146 +tp1147 +a(g831 +g958 +tp1148 +a(g831 +g958 +tp1149 +a(g831 +g958 +tp1150 +a(g831 +g958 +tp1151 +a(g831 +g958 +tp1152 +a(g831 +g958 +tp1153 +a(g419 +V(::) +p1154 +tp1155 +a(g831 +g958 +tp1156 +a(g419 +g1001 +tp1157 +a(g831 +g958 +tp1158 +a(g831 +VinterpTy +p1159 +tp1160 +a(g831 +g958 +tp1161 +a(g831 +Va +p1162 +tp1163 +a(g831 +g958 +tp1164 +a(g419 +V-> +p1165 +tp1166 +a(g831 +g958 +tp1167 +a(g886 +VEnv +p1168 +tp1169 +a(g831 +g958 +tp1170 +a(g886 +g1087 +tp1171 +a(g831 +g958 +tp1172 +a(g419 +V-> +p1173 +tp1174 +a(g831 +g958 +tp1175 +a(g886 +VEnv +p1176 +tp1177 +a(g831 +g958 +tp1178 +a(g419 +g1052 +tp1179 +a(g831 +g1162 +tp1180 +a(g831 +g958 +tp1181 +a(g419 +V:: +p1182 +tp1183 +a(g831 +g958 +tp1184 +a(g886 +g1087 +tp1185 +a(g419 +g1062 +tp1186 +a(g831 +V\u000a +p1187 +tp1188 +a(g831 +V\u000a +p1189 +tp1190 +a(g831 +g958 +tp1191 +a(g831 +g958 +tp1192 +a(g8 +Vdata +p1193 +tp1194 +a(g831 +g958 +tp1195 +a(g886 +VHasType +p1196 +tp1197 +a(g831 +g958 +tp1198 +a(g419 +g1001 +tp1199 +a(g831 +g958 +tp1200 +a(g419 +g1052 +tp1201 +a(g831 +Vi +p1202 +tp1203 +a(g831 +g958 +tp1204 +a(g419 +g1001 +tp1205 +a(g831 +g958 +tp1206 +a(g886 +VFin +p1207 +tp1208 +a(g831 +g958 +tp1209 +a(g831 +g1095 +tp1210 +a(g419 +g1062 +tp1211 +a(g831 +g958 +tp1212 +a(g419 +V-> +p1213 +tp1214 +a(g831 +g958 +tp1215 +a(g886 +VVect +p1216 +tp1217 +a(g831 +g958 +tp1218 +a(g831 +g1095 +tp1219 +a(g831 +g958 +tp1220 +a(g886 +VTy +p1221 +tp1222 +a(g831 +g958 +tp1223 +a(g419 +V-> +p1224 +tp1225 +a(g831 +g958 +tp1226 +a(g886 +VTy +p1227 +tp1228 +a(g831 +g958 +tp1229 +a(g419 +V-> +p1230 +tp1231 +a(g831 +g958 +tp1232 +a(g886 +VType +p1233 +tp1234 +a(g831 +g958 +tp1235 +a(g8 +Vwhere +p1236 +tp1237 +a(g831 +V\u000a +p1238 +tp1239 +a(g831 +V +p1240 +tp1241 +a(g566 +Vstop +p1242 +tp1243 +a(g831 +g958 +tp1244 +a(g419 +g1001 +tp1245 +a(g831 +g958 +tp1246 +a(g886 +VHasType +p1247 +tp1248 +a(g831 +g958 +tp1249 +a(g831 +VfZ +p1250 +tp1251 +a(g831 +g958 +tp1252 +a(g419 +g1052 +tp1253 +a(g831 +g1060 +tp1254 +a(g831 +g958 +tp1255 +a(g419 +V:: +p1256 +tp1257 +a(g831 +g958 +tp1258 +a(g886 +g1087 +tp1259 +a(g419 +g1062 +tp1260 +a(g831 +g958 +tp1261 +a(g831 +g1060 +tp1262 +a(g831 +V\u000a +p1263 +tp1264 +a(g831 +V +p1265 +tp1266 +a(g566 +Vpop +p1267 +tp1268 +a(g831 +V +p1269 +tp1270 +a(g419 +g1001 +tp1271 +a(g831 +g958 +tp1272 +a(g886 +VHasType +p1273 +tp1274 +a(g831 +g958 +tp1275 +a(g831 +Vk +p1276 +tp1277 +a(g831 +g958 +tp1278 +a(g886 +g1087 +tp1279 +a(g831 +g958 +tp1280 +a(g831 +g1060 +tp1281 +a(g831 +g958 +tp1282 +a(g419 +V-> +p1283 +tp1284 +a(g831 +g958 +tp1285 +a(g886 +VHasType +p1286 +tp1287 +a(g831 +g958 +tp1288 +a(g419 +g1052 +tp1289 +a(g831 +VfS +p1290 +tp1291 +a(g831 +g958 +tp1292 +a(g831 +g1276 +tp1293 +a(g419 +g1062 +tp1294 +a(g831 +g958 +tp1295 +a(g419 +g1052 +tp1296 +a(g831 +Vu +p1297 +tp1298 +a(g831 +g958 +tp1299 +a(g419 +V:: +p1300 +tp1301 +a(g831 +g958 +tp1302 +a(g886 +g1087 +tp1303 +a(g419 +g1062 +tp1304 +a(g831 +g958 +tp1305 +a(g831 +g1060 +tp1306 +a(g831 +V\u000a +p1307 +tp1308 +a(g831 +V\u000a +p1309 +tp1310 +a(g566 +Vlookup +p1311 +tp1312 +a(g831 +g958 +tp1313 +a(g419 +g1001 +tp1314 +a(g831 +g958 +tp1315 +a(g886 +VHasType +p1316 +tp1317 +a(g831 +g958 +tp1318 +a(g831 +g1202 +tp1319 +a(g831 +g958 +tp1320 +a(g886 +g1087 +tp1321 +a(g831 +g958 +tp1322 +a(g831 +g1060 +tp1323 +a(g831 +g958 +tp1324 +a(g419 +V-> +p1325 +tp1326 +a(g831 +g958 +tp1327 +a(g886 +VEnv +p1328 +tp1329 +a(g831 +g958 +tp1330 +a(g886 +g1087 +tp1331 +a(g831 +g958 +tp1332 +a(g419 +V-> +p1333 +tp1334 +a(g831 +g958 +tp1335 +a(g831 +VinterpTy +p1336 +tp1337 +a(g831 +g958 +tp1338 +a(g831 +g1060 +tp1339 +a(g831 +V\u000a +p1340 +tp1341 +a(g831 +g958 +tp1342 +a(g831 +g958 +tp1343 +a(g831 +Vlookup +p1344 +tp1345 +a(g831 +g958 +tp1346 +a(g831 +Vstop +p1347 +tp1348 +a(g831 +g958 +tp1349 +a(g831 +g958 +tp1350 +a(g831 +g958 +tp1351 +a(g831 +g958 +tp1352 +a(g419 +g1052 +tp1353 +a(g831 +Vx +p1354 +tp1355 +a(g831 +g958 +tp1356 +a(g419 +V:: +p1357 +tp1358 +a(g831 +g958 +tp1359 +a(g831 +Vxs +p1360 +tp1361 +a(g419 +g1062 +tp1362 +a(g831 +g958 +tp1363 +a(g419 +g972 +tp1364 +a(g831 +g958 +tp1365 +a(g831 +g1354 +tp1366 +a(g831 +V\u000a +p1367 +tp1368 +a(g831 +g958 +tp1369 +a(g831 +g958 +tp1370 +a(g831 +Vlookup +p1371 +tp1372 +a(g831 +g958 +tp1373 +a(g419 +g1052 +tp1374 +a(g831 +Vpop +p1375 +tp1376 +a(g831 +g958 +tp1377 +a(g831 +g1276 +tp1378 +a(g419 +g1062 +tp1379 +a(g831 +g958 +tp1380 +a(g419 +g1052 +tp1381 +a(g831 +g1354 +tp1382 +a(g831 +g958 +tp1383 +a(g419 +V:: +p1384 +tp1385 +a(g831 +g958 +tp1386 +a(g831 +Vxs +p1387 +tp1388 +a(g419 +g1062 +tp1389 +a(g831 +g958 +tp1390 +a(g419 +g972 +tp1391 +a(g831 +g958 +tp1392 +a(g831 +Vlookup +p1393 +tp1394 +a(g831 +g958 +tp1395 +a(g831 +g1276 +tp1396 +a(g831 +g958 +tp1397 +a(g831 +Vxs +p1398 +tp1399 +a(g831 +V\u000a +p1400 +tp1401 +a(g831 +V\u000a +p1402 +tp1403 +a(g831 +g958 +tp1404 +a(g831 +g958 +tp1405 +a(g8 +Vdata +p1406 +tp1407 +a(g831 +g958 +tp1408 +a(g886 +VExpr +p1409 +tp1410 +a(g831 +g958 +tp1411 +a(g419 +g1001 +tp1412 +a(g831 +g958 +tp1413 +a(g886 +VVect +p1414 +tp1415 +a(g831 +g958 +tp1416 +a(g831 +g1095 +tp1417 +a(g831 +g958 +tp1418 +a(g886 +VTy +p1419 +tp1420 +a(g831 +g958 +tp1421 +a(g419 +V-> +p1422 +tp1423 +a(g831 +g958 +tp1424 +a(g886 +VTy +p1425 +tp1426 +a(g831 +g958 +tp1427 +a(g419 +V-> +p1428 +tp1429 +a(g831 +g958 +tp1430 +a(g886 +VType +p1431 +tp1432 +a(g831 +g958 +tp1433 +a(g8 +Vwhere +p1434 +tp1435 +a(g831 +V\u000a +p1436 +tp1437 +a(g831 +V +p1438 +tp1439 +a(g566 +VVar +p1440 +tp1441 +a(g831 +g958 +tp1442 +a(g419 +g1001 +tp1443 +a(g831 +g958 +tp1444 +a(g886 +VHasType +p1445 +tp1446 +a(g831 +g958 +tp1447 +a(g831 +g1202 +tp1448 +a(g831 +g958 +tp1449 +a(g886 +g1087 +tp1450 +a(g831 +g958 +tp1451 +a(g831 +g1060 +tp1452 +a(g831 +g958 +tp1453 +a(g419 +V-> +p1454 +tp1455 +a(g831 +g958 +tp1456 +a(g886 +VExpr +p1457 +tp1458 +a(g831 +g958 +tp1459 +a(g886 +g1087 +tp1460 +a(g831 +g958 +tp1461 +a(g831 +g1060 +tp1462 +a(g831 +V\u000a +p1463 +tp1464 +a(g831 +V +p1465 +tp1466 +a(g566 +VVal +p1467 +tp1468 +a(g831 +g958 +tp1469 +a(g419 +g1001 +tp1470 +a(g831 +g958 +tp1471 +a(g419 +g1052 +tp1472 +a(g831 +g1354 +tp1473 +a(g831 +g958 +tp1474 +a(g419 +g1001 +tp1475 +a(g831 +g958 +tp1476 +a(g886 +VInt +p1477 +tp1478 +a(g419 +g1062 +tp1479 +a(g831 +g958 +tp1480 +a(g419 +V-> +p1481 +tp1482 +a(g831 +g958 +tp1483 +a(g886 +VExpr +p1484 +tp1485 +a(g831 +g958 +tp1486 +a(g886 +g1087 +tp1487 +a(g831 +g958 +tp1488 +a(g886 +VTyInt +p1489 +tp1490 +a(g831 +V\u000a +p1491 +tp1492 +a(g831 +V +p1493 +tp1494 +a(g566 +VLam +p1495 +tp1496 +a(g831 +g958 +tp1497 +a(g419 +g1001 +tp1498 +a(g831 +g958 +tp1499 +a(g886 +VExpr +p1500 +tp1501 +a(g831 +g958 +tp1502 +a(g419 +g1052 +tp1503 +a(g831 +g1162 +tp1504 +a(g831 +g958 +tp1505 +a(g419 +V:: +p1506 +tp1507 +a(g831 +g958 +tp1508 +a(g886 +g1087 +tp1509 +a(g419 +g1062 +tp1510 +a(g831 +g958 +tp1511 +a(g831 +g1060 +tp1512 +a(g831 +g958 +tp1513 +a(g419 +V-> +p1514 +tp1515 +a(g831 +g958 +tp1516 +a(g886 +VExpr +p1517 +tp1518 +a(g831 +g958 +tp1519 +a(g886 +g1087 +tp1520 +a(g831 +g958 +tp1521 +a(g419 +g1052 +tp1522 +a(g886 +VTyFun +p1523 +tp1524 +a(g831 +g958 +tp1525 +a(g831 +g1162 +tp1526 +a(g831 +g958 +tp1527 +a(g831 +g1060 +tp1528 +a(g419 +g1062 +tp1529 +a(g831 +V\u000a +p1530 +tp1531 +a(g831 +V +p1532 +tp1533 +a(g566 +VApp +p1534 +tp1535 +a(g831 +g958 +tp1536 +a(g419 +g1001 +tp1537 +a(g831 +g958 +tp1538 +a(g886 +VExpr +p1539 +tp1540 +a(g831 +g958 +tp1541 +a(g886 +g1087 +tp1542 +a(g831 +g958 +tp1543 +a(g419 +g1052 +tp1544 +a(g886 +VTyFun +p1545 +tp1546 +a(g831 +g958 +tp1547 +a(g831 +g1162 +tp1548 +a(g831 +g958 +tp1549 +a(g831 +g1060 +tp1550 +a(g419 +g1062 +tp1551 +a(g831 +g958 +tp1552 +a(g419 +V-> +p1553 +tp1554 +a(g831 +g958 +tp1555 +a(g886 +VExpr +p1556 +tp1557 +a(g831 +g958 +tp1558 +a(g886 +g1087 +tp1559 +a(g831 +g958 +tp1560 +a(g831 +g1162 +tp1561 +a(g831 +g958 +tp1562 +a(g419 +V-> +p1563 +tp1564 +a(g831 +g958 +tp1565 +a(g886 +VExpr +p1566 +tp1567 +a(g831 +g958 +tp1568 +a(g886 +g1087 +tp1569 +a(g831 +g958 +tp1570 +a(g831 +g1060 +tp1571 +a(g831 +V\u000a +p1572 +tp1573 +a(g831 +V +p1574 +tp1575 +a(g566 +VOp +p1576 +tp1577 +a(g831 +V +p1578 +tp1579 +a(g419 +g1001 +tp1580 +a(g831 +g958 +tp1581 +a(g419 +g1052 +tp1582 +a(g831 +VinterpTy +p1583 +tp1584 +a(g831 +g958 +tp1585 +a(g831 +g1162 +tp1586 +a(g831 +g958 +tp1587 +a(g419 +V-> +p1588 +tp1589 +a(g831 +g958 +tp1590 +a(g831 +VinterpTy +p1591 +tp1592 +a(g831 +g958 +tp1593 +a(g831 +Vb +p1594 +tp1595 +a(g831 +g958 +tp1596 +a(g419 +V-> +p1597 +tp1598 +a(g831 +g958 +tp1599 +a(g831 +VinterpTy +p1600 +tp1601 +a(g831 +g958 +tp1602 +a(g831 +Vc +p1603 +tp1604 +a(g419 +g1062 +tp1605 +a(g831 +g958 +tp1606 +a(g419 +V-> +p1607 +tp1608 +a(g831 +g958 +tp1609 +a(g886 +VExpr +p1610 +tp1611 +a(g831 +g958 +tp1612 +a(g886 +g1087 +tp1613 +a(g831 +g958 +tp1614 +a(g831 +g1162 +tp1615 +a(g831 +g958 +tp1616 +a(g419 +V-> +p1617 +tp1618 +a(g831 +g958 +tp1619 +a(g886 +VExpr +p1620 +tp1621 +a(g831 +g958 +tp1622 +a(g886 +g1087 +tp1623 +a(g831 +g958 +tp1624 +a(g831 +g1594 +tp1625 +a(g831 +g958 +tp1626 +a(g419 +V-> +p1627 +tp1628 +a(g831 +V\u000a +p1629 +tp1630 +a(g831 +g958 +tp1631 +a(g831 +g958 +tp1632 +a(g831 +g958 +tp1633 +a(g831 +g958 +tp1634 +a(g831 +g958 +tp1635 +a(g831 +g958 +tp1636 +a(g831 +g958 +tp1637 +a(g831 +g958 +tp1638 +a(g831 +g958 +tp1639 +a(g831 +g958 +tp1640 +a(g831 +g958 +tp1641 +a(g831 +g958 +tp1642 +a(g886 +VExpr +p1643 +tp1644 +a(g831 +g958 +tp1645 +a(g886 +g1087 +tp1646 +a(g831 +g958 +tp1647 +a(g831 +g1603 +tp1648 +a(g831 +V\u000a +p1649 +tp1650 +a(g831 +V +p1651 +tp1652 +a(g566 +VIf +p1653 +tp1654 +a(g831 +V +p1655 +tp1656 +a(g419 +g1001 +tp1657 +a(g831 +g958 +tp1658 +a(g886 +VExpr +p1659 +tp1660 +a(g831 +g958 +tp1661 +a(g886 +g1087 +tp1662 +a(g831 +g958 +tp1663 +a(g886 +VTyBool +p1664 +tp1665 +a(g831 +g958 +tp1666 +a(g419 +V-> +p1667 +tp1668 +a(g831 +g958 +tp1669 +a(g886 +VExpr +p1670 +tp1671 +a(g831 +g958 +tp1672 +a(g886 +g1087 +tp1673 +a(g831 +g958 +tp1674 +a(g831 +g1162 +tp1675 +a(g831 +g958 +tp1676 +a(g419 +V-> +p1677 +tp1678 +a(g831 +g958 +tp1679 +a(g886 +VExpr +p1680 +tp1681 +a(g831 +g958 +tp1682 +a(g886 +g1087 +tp1683 +a(g831 +g958 +tp1684 +a(g831 +g1162 +tp1685 +a(g831 +g958 +tp1686 +a(g419 +V-> +p1687 +tp1688 +a(g831 +g958 +tp1689 +a(g886 +VExpr +p1690 +tp1691 +a(g831 +g958 +tp1692 +a(g886 +g1087 +tp1693 +a(g831 +g958 +tp1694 +a(g831 +g1162 +tp1695 +a(g831 +V\u000a +p1696 +tp1697 +a(g831 +V +p1698 +tp1699 +a(g566 +VBind +p1700 +tp1701 +a(g831 +g958 +tp1702 +a(g419 +g1001 +tp1703 +a(g831 +g958 +tp1704 +a(g886 +VExpr +p1705 +tp1706 +a(g831 +g958 +tp1707 +a(g886 +g1087 +tp1708 +a(g831 +g958 +tp1709 +a(g831 +g1162 +tp1710 +a(g831 +g958 +tp1711 +a(g419 +V-> +p1712 +tp1713 +a(g831 +g958 +tp1714 +a(g419 +g1052 +tp1715 +a(g831 +VinterpTy +p1716 +tp1717 +a(g831 +g958 +tp1718 +a(g831 +g1162 +tp1719 +a(g831 +g958 +tp1720 +a(g419 +V-> +p1721 +tp1722 +a(g831 +g958 +tp1723 +a(g886 +VExpr +p1724 +tp1725 +a(g831 +g958 +tp1726 +a(g886 +g1087 +tp1727 +a(g831 +g958 +tp1728 +a(g831 +g1594 +tp1729 +a(g419 +g1062 +tp1730 +a(g831 +g958 +tp1731 +a(g419 +V-> +p1732 +tp1733 +a(g831 +g958 +tp1734 +a(g886 +VExpr +p1735 +tp1736 +a(g831 +g958 +tp1737 +a(g886 +g1087 +tp1738 +a(g831 +g958 +tp1739 +a(g831 +g1594 +tp1740 +a(g831 +V\u000a +p1741 +tp1742 +a(g831 +V\u000a +p1743 +tp1744 +a(g831 +g958 +tp1745 +a(g831 +g958 +tp1746 +a(g8 +Vdsl +p1747 +tp1748 +a(g831 +g958 +tp1749 +a(g831 +Vexpr +p1750 +tp1751 +a(g831 +V\u000a +p1752 +tp1753 +a(g831 +g958 +tp1754 +a(g831 +g958 +tp1755 +a(g831 +g958 +tp1756 +a(g831 +g958 +tp1757 +a(g831 +g958 +tp1758 +a(g831 +g958 +tp1759 +a(g831 +Vlambda +p1760 +tp1761 +a(g831 +g958 +tp1762 +a(g831 +g958 +tp1763 +a(g831 +g958 +tp1764 +a(g831 +g958 +tp1765 +a(g831 +g958 +tp1766 +a(g831 +g958 +tp1767 +a(g419 +g972 +tp1768 +a(g831 +g958 +tp1769 +a(g886 +VLam +p1770 +tp1771 +a(g831 +V\u000a +p1772 +tp1773 +a(g831 +g958 +tp1774 +a(g831 +g958 +tp1775 +a(g831 +g958 +tp1776 +a(g831 +g958 +tp1777 +a(g831 +g958 +tp1778 +a(g831 +g958 +tp1779 +a(g831 +Vvariable +p1780 +tp1781 +a(g831 +g958 +tp1782 +a(g831 +g958 +tp1783 +a(g831 +g958 +tp1784 +a(g831 +g958 +tp1785 +a(g419 +g972 +tp1786 +a(g831 +g958 +tp1787 +a(g886 +VVar +p1788 +tp1789 +a(g831 +V\u000a +p1790 +tp1791 +a(g831 +g958 +tp1792 +a(g831 +g958 +tp1793 +a(g831 +g958 +tp1794 +a(g831 +g958 +tp1795 +a(g831 +g958 +tp1796 +a(g831 +g958 +tp1797 +a(g831 +Vindex_first +p1798 +tp1799 +a(g831 +g958 +tp1800 +a(g419 +g972 +tp1801 +a(g831 +g958 +tp1802 +a(g831 +Vstop +p1803 +tp1804 +a(g831 +V\u000a +p1805 +tp1806 +a(g831 +g958 +tp1807 +a(g831 +g958 +tp1808 +a(g831 +g958 +tp1809 +a(g831 +g958 +tp1810 +a(g831 +g958 +tp1811 +a(g831 +g958 +tp1812 +a(g831 +Vindex_next +p1813 +tp1814 +a(g831 +g958 +tp1815 +a(g831 +g958 +tp1816 +a(g419 +g972 +tp1817 +a(g831 +g958 +tp1818 +a(g831 +Vpop +p1819 +tp1820 +a(g831 +V\u000a +p1821 +tp1822 +a(g831 +V\u000a +p1823 +tp1824 +a(g831 +g958 +tp1825 +a(g831 +g958 +tp1826 +a(g419 +V(<$>) +p1827 +tp1828 +a(g831 +g958 +tp1829 +a(g419 +g1001 +tp1830 +a(g831 +g958 +tp1831 +a(g419 +V|( +p1832 +tp1833 +a(g831 +Vf +p1834 +tp1835 +a(g831 +g958 +tp1836 +a(g419 +g1001 +tp1837 +a(g831 +g958 +tp1838 +a(g886 +VExpr +p1839 +tp1840 +a(g831 +g958 +tp1841 +a(g886 +g1087 +tp1842 +a(g831 +g958 +tp1843 +a(g419 +g1052 +tp1844 +a(g886 +VTyFun +p1845 +tp1846 +a(g831 +g958 +tp1847 +a(g831 +g1162 +tp1848 +a(g831 +g958 +tp1849 +a(g831 +g1060 +tp1850 +a(g419 +V)) +p1851 +tp1852 +a(g831 +g958 +tp1853 +a(g419 +V-> +p1854 +tp1855 +a(g831 +g958 +tp1856 +a(g886 +VExpr +p1857 +tp1858 +a(g831 +g958 +tp1859 +a(g886 +g1087 +tp1860 +a(g831 +g958 +tp1861 +a(g831 +g1162 +tp1862 +a(g831 +g958 +tp1863 +a(g419 +V-> +p1864 +tp1865 +a(g831 +g958 +tp1866 +a(g886 +VExpr +p1867 +tp1868 +a(g831 +g958 +tp1869 +a(g886 +g1087 +tp1870 +a(g831 +g958 +tp1871 +a(g831 +g1060 +tp1872 +a(g831 +V\u000a +p1873 +tp1874 +a(g831 +g958 +tp1875 +a(g831 +g958 +tp1876 +a(g419 +V(<$>) +p1877 +tp1878 +a(g831 +g958 +tp1879 +a(g419 +g972 +tp1880 +a(g831 +g958 +tp1881 +a(g419 +V\u005c +p1882 +tp1883 +a(g831 +g1834 +tp1884 +a(g831 +V, +p1885 +tp1886 +a(g831 +g958 +tp1887 +a(g831 +g1162 +tp1888 +a(g831 +g958 +tp1889 +a(g419 +V=> +p1890 +tp1891 +a(g831 +g958 +tp1892 +a(g886 +VApp +p1893 +tp1894 +a(g831 +g958 +tp1895 +a(g831 +g1834 +tp1896 +a(g831 +g958 +tp1897 +a(g831 +g1162 +tp1898 +a(g831 +V\u000a +p1899 +tp1900 +a(g831 +V\u000a +p1901 +tp1902 +a(g566 +Vpure +p1903 +tp1904 +a(g831 +g958 +tp1905 +a(g419 +g1001 +tp1906 +a(g831 +g958 +tp1907 +a(g886 +VExpr +p1908 +tp1909 +a(g831 +g958 +tp1910 +a(g886 +g1087 +tp1911 +a(g831 +g958 +tp1912 +a(g831 +g1162 +tp1913 +a(g831 +g958 +tp1914 +a(g419 +V-> +p1915 +tp1916 +a(g831 +g958 +tp1917 +a(g886 +VExpr +p1918 +tp1919 +a(g831 +g958 +tp1920 +a(g886 +g1087 +tp1921 +a(g831 +g958 +tp1922 +a(g831 +g1162 +tp1923 +a(g831 +V\u000a +p1924 +tp1925 +a(g831 +g958 +tp1926 +a(g831 +g958 +tp1927 +a(g831 +Vpure +p1928 +tp1929 +a(g831 +g958 +tp1930 +a(g419 +g972 +tp1931 +a(g831 +g958 +tp1932 +a(g831 +Vid +p1933 +tp1934 +a(g831 +V\u000a +p1935 +tp1936 +a(g831 +V\u000a +p1937 +tp1938 +a(g831 +g958 +tp1939 +a(g831 +g958 +tp1940 +a(g8 +Vsyntax +p1941 +tp1942 +a(g831 +g958 +tp1943 +a(g886 +VIF +p1944 +tp1945 +a(g831 +g958 +tp1946 +a(g419 +V[ +p1947 +tp1948 +a(g831 +g1354 +tp1949 +a(g419 +V] +p1950 +tp1951 +a(g831 +g958 +tp1952 +a(g886 +VTHEN +p1953 +tp1954 +a(g831 +g958 +tp1955 +a(g419 +g1947 +tp1956 +a(g831 +g1060 +tp1957 +a(g419 +g1950 +tp1958 +a(g831 +g958 +tp1959 +a(g886 +VELSE +p1960 +tp1961 +a(g831 +g958 +tp1962 +a(g419 +g1947 +tp1963 +a(g831 +Ve +p1964 +tp1965 +a(g419 +g1950 +tp1966 +a(g831 +g958 +tp1967 +a(g419 +g972 +tp1968 +a(g831 +g958 +tp1969 +a(g886 +VIf +p1970 +tp1971 +a(g831 +g958 +tp1972 +a(g831 +g1354 +tp1973 +a(g831 +g958 +tp1974 +a(g831 +g1060 +tp1975 +a(g831 +g958 +tp1976 +a(g831 +g1964 +tp1977 +a(g831 +V\u000a +p1978 +tp1979 +a(g831 +V\u000a +p1980 +tp1981 +a(g831 +g958 +tp1982 +a(g831 +g958 +tp1983 +a(g419 +V(==) +p1984 +tp1985 +a(g831 +g958 +tp1986 +a(g419 +g1001 +tp1987 +a(g831 +g958 +tp1988 +a(g886 +VExpr +p1989 +tp1990 +a(g831 +g958 +tp1991 +a(g886 +g1087 +tp1992 +a(g831 +g958 +tp1993 +a(g886 +VTyInt +p1994 +tp1995 +a(g831 +g958 +tp1996 +a(g419 +V-> +p1997 +tp1998 +a(g831 +g958 +tp1999 +a(g886 +VExpr +p2000 +tp2001 +a(g831 +g958 +tp2002 +a(g886 +g1087 +tp2003 +a(g831 +g958 +tp2004 +a(g886 +VTyInt +p2005 +tp2006 +a(g831 +g958 +tp2007 +a(g419 +V-> +p2008 +tp2009 +a(g831 +g958 +tp2010 +a(g886 +VExpr +p2011 +tp2012 +a(g831 +g958 +tp2013 +a(g886 +g1087 +tp2014 +a(g831 +g958 +tp2015 +a(g886 +VTyBool +p2016 +tp2017 +a(g831 +V\u000a +p2018 +tp2019 +a(g831 +g958 +tp2020 +a(g831 +g958 +tp2021 +a(g419 +V(==) +p2022 +tp2023 +a(g831 +g958 +tp2024 +a(g419 +g972 +tp2025 +a(g831 +g958 +tp2026 +a(g886 +VOp +p2027 +tp2028 +a(g831 +g958 +tp2029 +a(g419 +V(==) +p2030 +tp2031 +a(g831 +V\u000a +p2032 +tp2033 +a(g831 +V\u000a +p2034 +tp2035 +a(g831 +g958 +tp2036 +a(g831 +g958 +tp2037 +a(g419 +V(<) +p2038 +tp2039 +a(g831 +g958 +tp2040 +a(g419 +g1001 +tp2041 +a(g831 +g958 +tp2042 +a(g886 +VExpr +p2043 +tp2044 +a(g831 +g958 +tp2045 +a(g886 +g1087 +tp2046 +a(g831 +g958 +tp2047 +a(g886 +VTyInt +p2048 +tp2049 +a(g831 +g958 +tp2050 +a(g419 +V-> +p2051 +tp2052 +a(g831 +g958 +tp2053 +a(g886 +VExpr +p2054 +tp2055 +a(g831 +g958 +tp2056 +a(g886 +g1087 +tp2057 +a(g831 +g958 +tp2058 +a(g886 +VTyInt +p2059 +tp2060 +a(g831 +g958 +tp2061 +a(g419 +V-> +p2062 +tp2063 +a(g831 +g958 +tp2064 +a(g886 +VExpr +p2065 +tp2066 +a(g831 +g958 +tp2067 +a(g886 +g1087 +tp2068 +a(g831 +g958 +tp2069 +a(g886 +VTyBool +p2070 +tp2071 +a(g831 +V\u000a +p2072 +tp2073 +a(g831 +g958 +tp2074 +a(g831 +g958 +tp2075 +a(g419 +V(<) +p2076 +tp2077 +a(g831 +g958 +tp2078 +a(g419 +g972 +tp2079 +a(g831 +g958 +tp2080 +a(g886 +VOp +p2081 +tp2082 +a(g831 +g958 +tp2083 +a(g419 +V(<) +p2084 +tp2085 +a(g831 +V\u000a +p2086 +tp2087 +a(g831 +V\u000a +p2088 +tp2089 +a(g831 +g958 +tp2090 +a(g831 +g958 +tp2091 +a(g8 +Vinstance +p2092 +tp2093 +a(g831 +g958 +tp2094 +a(g886 +VNum +p2095 +tp2096 +a(g831 +g958 +tp2097 +a(g419 +g1052 +tp2098 +a(g886 +VExpr +p2099 +tp2100 +a(g831 +g958 +tp2101 +a(g886 +g1087 +tp2102 +a(g831 +g958 +tp2103 +a(g886 +VTyInt +p2104 +tp2105 +a(g419 +g1062 +tp2106 +a(g831 +g958 +tp2107 +a(g8 +Vwhere +p2108 +tp2109 +a(g831 +V\u000a +p2110 +tp2111 +a(g831 +g958 +tp2112 +a(g831 +g958 +tp2113 +a(g831 +g958 +tp2114 +a(g831 +g958 +tp2115 +a(g419 +V(+) +p2116 +tp2117 +a(g831 +g958 +tp2118 +a(g831 +g1354 +tp2119 +a(g831 +g958 +tp2120 +a(g831 +Vy +p2121 +tp2122 +a(g831 +g958 +tp2123 +a(g419 +g972 +tp2124 +a(g831 +g958 +tp2125 +a(g886 +VOp +p2126 +tp2127 +a(g831 +g958 +tp2128 +a(g419 +V(+) +p2129 +tp2130 +a(g831 +g958 +tp2131 +a(g831 +g1354 +tp2132 +a(g831 +g958 +tp2133 +a(g831 +g2121 +tp2134 +a(g831 +V\u000a +p2135 +tp2136 +a(g831 +g958 +tp2137 +a(g831 +g958 +tp2138 +a(g831 +g958 +tp2139 +a(g831 +g958 +tp2140 +a(g419 +V(-) +p2141 +tp2142 +a(g831 +g958 +tp2143 +a(g831 +g1354 +tp2144 +a(g831 +g958 +tp2145 +a(g831 +g2121 +tp2146 +a(g831 +g958 +tp2147 +a(g419 +g972 +tp2148 +a(g831 +g958 +tp2149 +a(g886 +VOp +p2150 +tp2151 +a(g831 +g958 +tp2152 +a(g419 +V(-) +p2153 +tp2154 +a(g831 +g958 +tp2155 +a(g831 +g1354 +tp2156 +a(g831 +g958 +tp2157 +a(g831 +g2121 +tp2158 +a(g831 +V\u000a +p2159 +tp2160 +a(g831 +g958 +tp2161 +a(g831 +g958 +tp2162 +a(g831 +g958 +tp2163 +a(g831 +g958 +tp2164 +a(g419 +V(*) +p2165 +tp2166 +a(g831 +g958 +tp2167 +a(g831 +g1354 +tp2168 +a(g831 +g958 +tp2169 +a(g831 +g2121 +tp2170 +a(g831 +g958 +tp2171 +a(g419 +g972 +tp2172 +a(g831 +g958 +tp2173 +a(g886 +VOp +p2174 +tp2175 +a(g831 +g958 +tp2176 +a(g419 +V(*) +p2177 +tp2178 +a(g831 +g958 +tp2179 +a(g831 +g1354 +tp2180 +a(g831 +g958 +tp2181 +a(g831 +g2121 +tp2182 +a(g831 +V\u000a +p2183 +tp2184 +a(g831 +V\u000a +p2185 +tp2186 +a(g831 +g958 +tp2187 +a(g831 +g958 +tp2188 +a(g831 +g958 +tp2189 +a(g831 +g958 +tp2190 +a(g831 +Vabs +p2191 +tp2192 +a(g831 +g958 +tp2193 +a(g831 +g1354 +tp2194 +a(g831 +g958 +tp2195 +a(g419 +g972 +tp2196 +a(g831 +g958 +tp2197 +a(g886 +VIF +p2198 +tp2199 +a(g831 +g958 +tp2200 +a(g419 +g1052 +tp2201 +a(g831 +g1354 +tp2202 +a(g831 +g958 +tp2203 +a(g419 +V< +p2204 +tp2205 +a(g831 +g958 +tp2206 +a(g27 +V0 +p2207 +tp2208 +a(g419 +g1062 +tp2209 +a(g831 +g958 +tp2210 +a(g886 +VTHEN +p2211 +tp2212 +a(g831 +g958 +tp2213 +a(g419 +V(- +p2214 +tp2215 +a(g831 +g1354 +tp2216 +a(g419 +g1062 +tp2217 +a(g831 +g958 +tp2218 +a(g886 +VELSE +p2219 +tp2220 +a(g831 +g958 +tp2221 +a(g831 +g1354 +tp2222 +a(g831 +V\u000a +p2223 +tp2224 +a(g831 +V\u000a +p2225 +tp2226 +a(g831 +g958 +tp2227 +a(g831 +g958 +tp2228 +a(g831 +g958 +tp2229 +a(g831 +g958 +tp2230 +a(g831 +VfromInteger +p2231 +tp2232 +a(g831 +g958 +tp2233 +a(g419 +g972 +tp2234 +a(g831 +g958 +tp2235 +a(g886 +VVal +p2236 +tp2237 +a(g831 +g958 +tp2238 +a(g419 +V. +p2239 +tp2240 +a(g831 +g958 +tp2241 +a(g831 +VfromInteger +p2242 +tp2243 +a(g831 +V\u000a\u000a +p2244 +tp2245 +a(g750 +V||| Evaluates an expression in the given context. +p2246 +tp2247 +a(g831 +V\u000a +p2248 +tp2249 +a(g831 +V +p2250 +tp2251 +a(g566 +Vinterp +p2252 +tp2253 +a(g831 +g958 +tp2254 +a(g419 +g1001 +tp2255 +a(g831 +g958 +tp2256 +a(g886 +VEnv +p2257 +tp2258 +a(g831 +g958 +tp2259 +a(g886 +g1087 +tp2260 +a(g831 +g958 +tp2261 +a(g419 +V-> +p2262 +tp2263 +a(g831 +g958 +tp2264 +a(g419 +V{ +p2265 +tp2266 +a(g8 +Vstatic +p2267 +tp2268 +a(g419 +V} +p2269 +tp2270 +a(g831 +g958 +tp2271 +a(g886 +VExpr +p2272 +tp2273 +a(g831 +g958 +tp2274 +a(g886 +g1087 +tp2275 +a(g831 +g958 +tp2276 +a(g831 +g1060 +tp2277 +a(g831 +g958 +tp2278 +a(g419 +V-> +p2279 +tp2280 +a(g831 +g958 +tp2281 +a(g831 +VinterpTy +p2282 +tp2283 +a(g831 +g958 +tp2284 +a(g831 +g1060 +tp2285 +a(g831 +V\u000a +p2286 +tp2287 +a(g831 +g958 +tp2288 +a(g831 +g958 +tp2289 +a(g831 +Vinterp +p2290 +tp2291 +a(g831 +g958 +tp2292 +a(g831 +Venv +p2293 +tp2294 +a(g831 +g958 +tp2295 +a(g419 +g1052 +tp2296 +a(g886 +VVar +p2297 +tp2298 +a(g831 +g958 +tp2299 +a(g831 +g1202 +tp2300 +a(g419 +g1062 +tp2301 +a(g831 +g958 +tp2302 +a(g831 +g958 +tp2303 +a(g831 +g958 +tp2304 +a(g831 +g958 +tp2305 +a(g831 +g958 +tp2306 +a(g419 +g972 +tp2307 +a(g831 +g958 +tp2308 +a(g831 +Vlookup +p2309 +tp2310 +a(g831 +g958 +tp2311 +a(g831 +g1202 +tp2312 +a(g831 +g958 +tp2313 +a(g831 +Venv +p2314 +tp2315 +a(g831 +V\u000a +p2316 +tp2317 +a(g831 +g958 +tp2318 +a(g831 +g958 +tp2319 +a(g831 +Vinterp +p2320 +tp2321 +a(g831 +g958 +tp2322 +a(g831 +Venv +p2323 +tp2324 +a(g831 +g958 +tp2325 +a(g419 +g1052 +tp2326 +a(g886 +VVal +p2327 +tp2328 +a(g831 +g958 +tp2329 +a(g831 +g1354 +tp2330 +a(g419 +g1062 +tp2331 +a(g831 +g958 +tp2332 +a(g831 +g958 +tp2333 +a(g831 +g958 +tp2334 +a(g831 +g958 +tp2335 +a(g831 +g958 +tp2336 +a(g419 +g972 +tp2337 +a(g831 +g958 +tp2338 +a(g831 +g1354 +tp2339 +a(g831 +V\u000a +p2340 +tp2341 +a(g831 +g958 +tp2342 +a(g831 +g958 +tp2343 +a(g831 +Vinterp +p2344 +tp2345 +a(g831 +g958 +tp2346 +a(g831 +Venv +p2347 +tp2348 +a(g831 +g958 +tp2349 +a(g419 +g1052 +tp2350 +a(g886 +VLam +p2351 +tp2352 +a(g831 +g958 +tp2353 +a(g831 +Vsc +p2354 +tp2355 +a(g419 +g1062 +tp2356 +a(g831 +g958 +tp2357 +a(g831 +g958 +tp2358 +a(g831 +g958 +tp2359 +a(g831 +g958 +tp2360 +a(g419 +g972 +tp2361 +a(g831 +g958 +tp2362 +a(g419 +V\u005c +p2363 +tp2364 +a(g831 +g1354 +tp2365 +a(g831 +g958 +tp2366 +a(g419 +V=> +p2367 +tp2368 +a(g831 +g958 +tp2369 +a(g831 +Vinterp +p2370 +tp2371 +a(g831 +g958 +tp2372 +a(g419 +g1052 +tp2373 +a(g831 +g1354 +tp2374 +a(g831 +g958 +tp2375 +a(g419 +V:: +p2376 +tp2377 +a(g831 +g958 +tp2378 +a(g831 +Venv +p2379 +tp2380 +a(g419 +g1062 +tp2381 +a(g831 +g958 +tp2382 +a(g831 +Vsc +p2383 +tp2384 +a(g831 +V\u000a +p2385 +tp2386 +a(g831 +g958 +tp2387 +a(g831 +g958 +tp2388 +a(g831 +Vinterp +p2389 +tp2390 +a(g831 +g958 +tp2391 +a(g831 +Venv +p2392 +tp2393 +a(g831 +g958 +tp2394 +a(g419 +g1052 +tp2395 +a(g886 +VApp +p2396 +tp2397 +a(g831 +g958 +tp2398 +a(g831 +g1834 +tp2399 +a(g831 +g958 +tp2400 +a(g831 +g1057 +tp2401 +a(g419 +g1062 +tp2402 +a(g831 +g958 +tp2403 +a(g831 +g958 +tp2404 +a(g831 +g958 +tp2405 +a(g419 +g972 +tp2406 +a(g831 +g958 +tp2407 +a(g419 +g1052 +tp2408 +a(g831 +Vinterp +p2409 +tp2410 +a(g831 +g958 +tp2411 +a(g831 +Venv +p2412 +tp2413 +a(g831 +g958 +tp2414 +a(g831 +g1834 +tp2415 +a(g419 +g1062 +tp2416 +a(g831 +g958 +tp2417 +a(g419 +g1052 +tp2418 +a(g831 +Vinterp +p2419 +tp2420 +a(g831 +g958 +tp2421 +a(g831 +Venv +p2422 +tp2423 +a(g831 +g958 +tp2424 +a(g831 +g1057 +tp2425 +a(g419 +g1062 +tp2426 +a(g831 +V\u000a +p2427 +tp2428 +a(g831 +g958 +tp2429 +a(g831 +g958 +tp2430 +a(g831 +Vinterp +p2431 +tp2432 +a(g831 +g958 +tp2433 +a(g831 +Venv +p2434 +tp2435 +a(g831 +g958 +tp2436 +a(g419 +g1052 +tp2437 +a(g886 +VOp +p2438 +tp2439 +a(g831 +g958 +tp2440 +a(g831 +Vop +p2441 +tp2442 +a(g831 +g958 +tp2443 +a(g831 +g1354 +tp2444 +a(g831 +g958 +tp2445 +a(g831 +g2121 +tp2446 +a(g419 +g1062 +tp2447 +a(g831 +g958 +tp2448 +a(g419 +g972 +tp2449 +a(g831 +g958 +tp2450 +a(g831 +Vop +p2451 +tp2452 +a(g831 +g958 +tp2453 +a(g419 +g1052 +tp2454 +a(g831 +Vinterp +p2455 +tp2456 +a(g831 +g958 +tp2457 +a(g831 +Venv +p2458 +tp2459 +a(g831 +g958 +tp2460 +a(g831 +g1354 +tp2461 +a(g419 +g1062 +tp2462 +a(g831 +g958 +tp2463 +a(g419 +g1052 +tp2464 +a(g831 +Vinterp +p2465 +tp2466 +a(g831 +g958 +tp2467 +a(g831 +Venv +p2468 +tp2469 +a(g831 +g958 +tp2470 +a(g831 +g2121 +tp2471 +a(g419 +g1062 +tp2472 +a(g831 +V\u000a +p2473 +tp2474 +a(g831 +g958 +tp2475 +a(g831 +g958 +tp2476 +a(g831 +Vinterp +p2477 +tp2478 +a(g831 +g958 +tp2479 +a(g831 +Venv +p2480 +tp2481 +a(g831 +g958 +tp2482 +a(g419 +g1052 +tp2483 +a(g886 +VIf +p2484 +tp2485 +a(g831 +g958 +tp2486 +a(g831 +g1354 +tp2487 +a(g831 +g958 +tp2488 +a(g831 +g1060 +tp2489 +a(g831 +g958 +tp2490 +a(g831 +g1964 +tp2491 +a(g419 +g1062 +tp2492 +a(g831 +g958 +tp2493 +a(g831 +g958 +tp2494 +a(g419 +g972 +tp2495 +a(g831 +g958 +tp2496 +a(g8 +Vif +p2497 +tp2498 +a(g831 +g958 +tp2499 +a(g419 +g1052 +tp2500 +a(g831 +Vinterp +p2501 +tp2502 +a(g831 +g958 +tp2503 +a(g831 +Venv +p2504 +tp2505 +a(g831 +g958 +tp2506 +a(g831 +g1354 +tp2507 +a(g419 +g1062 +tp2508 +a(g831 +g958 +tp2509 +a(g8 +Vthen +p2510 +tp2511 +a(g831 +g958 +tp2512 +a(g419 +g1052 +tp2513 +a(g831 +Vinterp +p2514 +tp2515 +a(g831 +g958 +tp2516 +a(g831 +Venv +p2517 +tp2518 +a(g831 +g958 +tp2519 +a(g831 +g1060 +tp2520 +a(g419 +g1062 +tp2521 +a(g831 +g958 +tp2522 +a(g8 +Velse +p2523 +tp2524 +a(g831 +g958 +tp2525 +a(g419 +g1052 +tp2526 +a(g831 +Vinterp +p2527 +tp2528 +a(g831 +g958 +tp2529 +a(g831 +Venv +p2530 +tp2531 +a(g831 +g958 +tp2532 +a(g831 +g1964 +tp2533 +a(g419 +g1062 +tp2534 +a(g831 +V\u000a +p2535 +tp2536 +a(g831 +g958 +tp2537 +a(g831 +g958 +tp2538 +a(g831 +Vinterp +p2539 +tp2540 +a(g831 +g958 +tp2541 +a(g831 +Venv +p2542 +tp2543 +a(g831 +g958 +tp2544 +a(g419 +g1052 +tp2545 +a(g886 +VBind +p2546 +tp2547 +a(g831 +g958 +tp2548 +a(g831 +Vv +p2549 +tp2550 +a(g831 +g958 +tp2551 +a(g831 +g1834 +tp2552 +a(g419 +g1062 +tp2553 +a(g831 +g958 +tp2554 +a(g831 +g958 +tp2555 +a(g419 +g972 +tp2556 +a(g831 +g958 +tp2557 +a(g831 +Vinterp +p2558 +tp2559 +a(g831 +g958 +tp2560 +a(g831 +Venv +p2561 +tp2562 +a(g831 +g958 +tp2563 +a(g419 +g1052 +tp2564 +a(g831 +g1834 +tp2565 +a(g831 +g958 +tp2566 +a(g419 +g1052 +tp2567 +a(g831 +Vinterp +p2568 +tp2569 +a(g831 +g958 +tp2570 +a(g831 +Venv +p2571 +tp2572 +a(g831 +g958 +tp2573 +a(g831 +g2549 +tp2574 +a(g419 +V)) +p2575 +tp2576 +a(g831 +V\u000a +p2577 +tp2578 +a(g831 +V\u000a +p2579 +tp2580 +a(g566 +VeId +p2581 +tp2582 +a(g831 +g958 +tp2583 +a(g419 +g1001 +tp2584 +a(g831 +g958 +tp2585 +a(g886 +VExpr +p2586 +tp2587 +a(g831 +g958 +tp2588 +a(g886 +g1087 +tp2589 +a(g831 +g958 +tp2590 +a(g419 +g1052 +tp2591 +a(g886 +VTyFun +p2592 +tp2593 +a(g831 +g958 +tp2594 +a(g886 +VTyInt +p2595 +tp2596 +a(g831 +g958 +tp2597 +a(g886 +VTyInt +p2598 +tp2599 +a(g419 +g1062 +tp2600 +a(g831 +V\u000a +p2601 +tp2602 +a(g831 +g958 +tp2603 +a(g831 +g958 +tp2604 +a(g831 +VeId +p2605 +tp2606 +a(g831 +g958 +tp2607 +a(g419 +g972 +tp2608 +a(g831 +g958 +tp2609 +a(g831 +Vexpr +p2610 +tp2611 +a(g831 +g958 +tp2612 +a(g419 +V(\u005c +p2613 +tp2614 +a(g831 +g1354 +tp2615 +a(g831 +g958 +tp2616 +a(g419 +V=> +p2617 +tp2618 +a(g831 +g958 +tp2619 +a(g831 +g1354 +tp2620 +a(g419 +g1062 +tp2621 +a(g831 +V\u000a +p2622 +tp2623 +a(g831 +V\u000a +p2624 +tp2625 +a(g566 +VeTEST +p2626 +tp2627 +a(g831 +g958 +tp2628 +a(g419 +g1001 +tp2629 +a(g831 +g958 +tp2630 +a(g886 +VExpr +p2631 +tp2632 +a(g831 +g958 +tp2633 +a(g886 +g1087 +tp2634 +a(g831 +g958 +tp2635 +a(g419 +g1052 +tp2636 +a(g886 +VTyFun +p2637 +tp2638 +a(g831 +g958 +tp2639 +a(g886 +VTyInt +p2640 +tp2641 +a(g831 +g958 +tp2642 +a(g419 +g1052 +tp2643 +a(g886 +VTyFun +p2644 +tp2645 +a(g831 +g958 +tp2646 +a(g886 +VTyInt +p2647 +tp2648 +a(g831 +g958 +tp2649 +a(g886 +VTyInt +p2650 +tp2651 +a(g419 +V)) +p2652 +tp2653 +a(g831 +V\u000a +p2654 +tp2655 +a(g831 +g958 +tp2656 +a(g831 +g958 +tp2657 +a(g831 +VeTEST +p2658 +tp2659 +a(g831 +g958 +tp2660 +a(g419 +g972 +tp2661 +a(g831 +g958 +tp2662 +a(g831 +Vexpr +p2663 +tp2664 +a(g831 +g958 +tp2665 +a(g419 +V(\u005c +p2666 +tp2667 +a(g831 +g1354 +tp2668 +a(g831 +g1885 +tp2669 +a(g831 +g958 +tp2670 +a(g831 +g2121 +tp2671 +a(g831 +g958 +tp2672 +a(g419 +V=> +p2673 +tp2674 +a(g831 +g958 +tp2675 +a(g831 +g2121 +tp2676 +a(g419 +g1062 +tp2677 +a(g831 +V\u000a +p2678 +tp2679 +a(g831 +V\u000a +p2680 +tp2681 +a(g566 +VeAdd +p2682 +tp2683 +a(g831 +g958 +tp2684 +a(g419 +g1001 +tp2685 +a(g831 +g958 +tp2686 +a(g886 +VExpr +p2687 +tp2688 +a(g831 +g958 +tp2689 +a(g886 +g1087 +tp2690 +a(g831 +g958 +tp2691 +a(g419 +g1052 +tp2692 +a(g886 +VTyFun +p2693 +tp2694 +a(g831 +g958 +tp2695 +a(g886 +VTyInt +p2696 +tp2697 +a(g831 +g958 +tp2698 +a(g419 +g1052 +tp2699 +a(g886 +VTyFun +p2700 +tp2701 +a(g831 +g958 +tp2702 +a(g886 +VTyInt +p2703 +tp2704 +a(g831 +g958 +tp2705 +a(g886 +VTyInt +p2706 +tp2707 +a(g419 +V)) +p2708 +tp2709 +a(g831 +V\u000a +p2710 +tp2711 +a(g831 +g958 +tp2712 +a(g831 +g958 +tp2713 +a(g831 +VeAdd +p2714 +tp2715 +a(g831 +g958 +tp2716 +a(g419 +g972 +tp2717 +a(g831 +g958 +tp2718 +a(g831 +Vexpr +p2719 +tp2720 +a(g831 +g958 +tp2721 +a(g419 +V(\u005c +p2722 +tp2723 +a(g831 +g1354 +tp2724 +a(g831 +g1885 +tp2725 +a(g831 +g958 +tp2726 +a(g831 +g2121 +tp2727 +a(g831 +g958 +tp2728 +a(g419 +V=> +p2729 +tp2730 +a(g831 +g958 +tp2731 +a(g886 +VOp +p2732 +tp2733 +a(g831 +g958 +tp2734 +a(g419 +V(+) +p2735 +tp2736 +a(g831 +g958 +tp2737 +a(g831 +g1354 +tp2738 +a(g831 +g958 +tp2739 +a(g831 +g2121 +tp2740 +a(g419 +g1062 +tp2741 +a(g831 +V\u000a +p2742 +tp2743 +a(g831 +V\u000a +p2744 +tp2745 +a(g566 +VeDouble +p2746 +tp2747 +a(g831 +g958 +tp2748 +a(g419 +g1001 +tp2749 +a(g831 +g958 +tp2750 +a(g886 +VExpr +p2751 +tp2752 +a(g831 +g958 +tp2753 +a(g886 +g1087 +tp2754 +a(g831 +g958 +tp2755 +a(g419 +g1052 +tp2756 +a(g886 +VTyFun +p2757 +tp2758 +a(g831 +g958 +tp2759 +a(g886 +VTyInt +p2760 +tp2761 +a(g831 +g958 +tp2762 +a(g886 +VTyInt +p2763 +tp2764 +a(g419 +g1062 +tp2765 +a(g831 +V\u000a +p2766 +tp2767 +a(g831 +g958 +tp2768 +a(g831 +g958 +tp2769 +a(g831 +VeDouble +p2770 +tp2771 +a(g831 +g958 +tp2772 +a(g419 +g972 +tp2773 +a(g831 +g958 +tp2774 +a(g831 +Vexpr +p2775 +tp2776 +a(g831 +g958 +tp2777 +a(g419 +V(\u005c +p2778 +tp2779 +a(g831 +g1354 +tp2780 +a(g831 +g958 +tp2781 +a(g419 +V=> +p2782 +tp2783 +a(g831 +g958 +tp2784 +a(g886 +VApp +p2785 +tp2786 +a(g831 +g958 +tp2787 +a(g419 +g1052 +tp2788 +a(g886 +VApp +p2789 +tp2790 +a(g831 +g958 +tp2791 +a(g831 +VeAdd +p2792 +tp2793 +a(g831 +g958 +tp2794 +a(g831 +g1354 +tp2795 +a(g419 +g1062 +tp2796 +a(g831 +g958 +tp2797 +a(g419 +g1052 +tp2798 +a(g886 +VVar +p2799 +tp2800 +a(g831 +g958 +tp2801 +a(g831 +Vstop +p2802 +tp2803 +a(g419 +V)) +p2804 +tp2805 +a(g831 +V\u000a +p2806 +tp2807 +a(g831 +V\u000a +p2808 +tp2809 +a(g566 +VeFac +p2810 +tp2811 +a(g831 +g958 +tp2812 +a(g419 +g1001 +tp2813 +a(g831 +g958 +tp2814 +a(g886 +VExpr +p2815 +tp2816 +a(g831 +g958 +tp2817 +a(g886 +g1087 +tp2818 +a(g831 +g958 +tp2819 +a(g419 +g1052 +tp2820 +a(g886 +VTyFun +p2821 +tp2822 +a(g831 +g958 +tp2823 +a(g886 +VTyInt +p2824 +tp2825 +a(g831 +g958 +tp2826 +a(g886 +VTyInt +p2827 +tp2828 +a(g419 +g1062 +tp2829 +a(g831 +V\u000a +p2830 +tp2831 +a(g831 +g958 +tp2832 +a(g831 +g958 +tp2833 +a(g831 +VeFac +p2834 +tp2835 +a(g831 +g958 +tp2836 +a(g419 +g972 +tp2837 +a(g831 +g958 +tp2838 +a(g831 +Vexpr +p2839 +tp2840 +a(g831 +g958 +tp2841 +a(g419 +V(\u005c +p2842 +tp2843 +a(g831 +g1354 +tp2844 +a(g831 +g958 +tp2845 +a(g419 +V=> +p2846 +tp2847 +a(g831 +g958 +tp2848 +a(g886 +VIF +p2849 +tp2850 +a(g831 +g958 +tp2851 +a(g831 +g1354 +tp2852 +a(g831 +g958 +tp2853 +a(g419 +g972 +tp2854 +a(g419 +g972 +tp2855 +a(g831 +g958 +tp2856 +a(g27 +g2207 +tp2857 +a(g831 +g958 +tp2858 +a(g886 +VTHEN +p2859 +tp2860 +a(g831 +g958 +tp2861 +a(g27 +V1 +p2862 +tp2863 +a(g831 +g958 +tp2864 +a(g886 +VELSE +p2865 +tp2866 +a(g831 +g958 +tp2867 +a(g419 +V[| +p2868 +tp2869 +a(g831 +g958 +tp2870 +a(g831 +VeFac +p2871 +tp2872 +a(g831 +g958 +tp2873 +a(g419 +g1052 +tp2874 +a(g831 +g1354 +tp2875 +a(g831 +g958 +tp2876 +a(g419 +V- +p2877 +tp2878 +a(g831 +g958 +tp2879 +a(g27 +g2862 +tp2880 +a(g419 +g1062 +tp2881 +a(g831 +g958 +tp2882 +a(g419 +V|] +p2883 +tp2884 +a(g831 +g958 +tp2885 +a(g419 +V* +p2886 +tp2887 +a(g831 +g958 +tp2888 +a(g831 +g1354 +tp2889 +a(g419 +g1062 +tp2890 +a(g831 +V\u000a +p2891 +tp2892 +a(g831 +V\u000a +p2893 +tp2894 +a(g566 +VtestFac +p2895 +tp2896 +a(g831 +g958 +tp2897 +a(g419 +g1001 +tp2898 +a(g831 +g958 +tp2899 +a(g886 +VInt +p2900 +tp2901 +a(g831 +V\u000a +p2902 +tp2903 +a(g831 +VtestFac +p2904 +tp2905 +a(g831 +g958 +tp2906 +a(g419 +g972 +tp2907 +a(g831 +g958 +tp2908 +a(g831 +Vinterp +p2909 +tp2910 +a(g831 +g958 +tp2911 +a(g419 +V[] +p2912 +tp2913 +a(g831 +g958 +tp2914 +a(g831 +VeFac +p2915 +tp2916 +a(g831 +g958 +tp2917 +a(g27 +V4 +p2918 +tp2919 +a(g831 +V\u000a\u000a +p2920 +tp2921 +a(g750 +V--testFacTooBig : Int +p2922 +tp2923 +a(g831 +V\u000a +p2924 +tp2925 +a(g750 +V--testFacTooBig = interp [] eFac 100000 +p2926 +tp2927 +a(g831 +V\u000a\u000a +p2928 +tp2929 +a(g781 +V{- +p2930 +tp2931 +a(g781 +VtestFacTooBig2 : Int\u000atestFacTooBig2 = interp [] eFac 1000\u000a +p2932 +tp2933 +a(g781 +V-} +p2934 +tp2935 +a(g831 +V\u000a +p2936 +tp2937 +a(g831 +V\u000a +p2938 +tp2939 +a(g566 +Vmain +p2940 +tp2941 +a(g831 +g958 +tp2942 +a(g419 +g1001 +tp2943 +a(g831 +g958 +tp2944 +a(g886 +VIO +p2945 +tp2946 +a(g831 +g958 +tp2947 +a(g419 +V() +p2948 +tp2949 +a(g831 +V\u000a +p2950 +tp2951 +a(g831 +Vmain +p2952 +tp2953 +a(g831 +g958 +tp2954 +a(g419 +g972 +tp2955 +a(g831 +g958 +tp2956 +a(g831 +Vprint +p2957 +tp2958 +a(g831 +g958 +tp2959 +a(g831 +VtestFac +p2960 +tp2961 +a(g831 +V\u000a +p2962 +tp2963 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.ini b/tests/examplefiles/output/test.ini new file mode 100644 index 0000000..1c1b69d --- /dev/null +++ b/tests/examplefiles/output/test.ini @@ -0,0 +1,2421 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbV[section] +p956 +tp957 +a(g826 +V\u000a\u000a +p958 +tp959 +a(g654 +Vfoo +p960 +tp961 +a(g826 +V +p962 +tp963 +a(g400 +V= +p964 +tp965 +a(g826 +g962 +tp966 +a(g76 +Vbar +p967 +tp968 +a(g826 +V\u000a +p969 +tp970 +a(g654 +Vcontinued +p971 +tp972 +a(g826 +g962 +tp973 +a(g400 +g964 +tp974 +a(g826 +g962 +tp975 +a(g76 +Vfoo\u000a baz +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g654 +Vconttwo +p980 +tp981 +a(g826 +g962 +tp982 +a(g400 +g964 +tp983 +a(g76 +V\u000a foo +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g745 +V; comment +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g745 +V# comment +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.java b/tests/examplefiles/output/test.java new file mode 100644 index 0000000..2165f58 --- /dev/null +++ b/tests/examplefiles/output/test.java @@ -0,0 +1,16162 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/*\u000a * Created on 13-Mar-2004\u000a * Created by James Yeh\u000a * Copyright (C) 2004, 2005, 2006 Aelitis, All Rights Reserved.\u000a *\u000a * This program is free software; you can redistribute it and/or\u000a * modify it under the terms of the GNU General Public License\u000a * as published by the Free Software Foundation; either version 2\u000a * of the License, or (at your option) any later version.\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\u000a * GNU General Public License for more details.\u000a * You should have received a copy of the GNU General Public License\u000a * along with this program; if not, write to the Free Software\u000a * Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.\u000a * \u000a * AELITIS, SAS au capital de 46,603.30 euros\u000a * 8 Allee Lenotre, La Grille Royale, 78600 Le Mesnil le Roi, France.\u000a *\u000a */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g827 +V\u000a +p960 +tp961 +a(g771 +Vpackage +p962 +tp963 +a(g827 +V +p964 +tp965 +a(g621 +Vorg.gudy.azureus2.platform.macosx +p966 +tp967 +a(g413 +V; +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g827 +V\u000a +p972 +tp973 +a(g771 +Vimport +p974 +tp975 +a(g827 +g964 +tp976 +a(g621 +Vorg.gudy.azureus2.core3.logging.* +p977 +tp978 +a(g413 +g968 +tp979 +a(g827 +V\u000a +p980 +tp981 +a(g771 +Vimport +p982 +tp983 +a(g827 +g964 +tp984 +a(g621 +Vorg.gudy.azureus2.core3.util.AEMonitor +p985 +tp986 +a(g413 +g968 +tp987 +a(g827 +V\u000a +p988 +tp989 +a(g771 +Vimport +p990 +tp991 +a(g827 +g964 +tp992 +a(g621 +Vorg.gudy.azureus2.core3.util.Debug +p993 +tp994 +a(g413 +g968 +tp995 +a(g827 +V\u000a +p996 +tp997 +a(g771 +Vimport +p998 +tp999 +a(g827 +g964 +tp1000 +a(g621 +Vorg.gudy.azureus2.core3.util.SystemProperties +p1001 +tp1002 +a(g413 +g968 +tp1003 +a(g827 +V\u000a +p1004 +tp1005 +a(g771 +Vimport +p1006 +tp1007 +a(g827 +g964 +tp1008 +a(g621 +Vorg.gudy.azureus2.platform.PlatformManager +p1009 +tp1010 +a(g413 +g968 +tp1011 +a(g827 +V\u000a +p1012 +tp1013 +a(g771 +Vimport +p1014 +tp1015 +a(g827 +g964 +tp1016 +a(g621 +Vorg.gudy.azureus2.platform.PlatformManagerCapabilities +p1017 +tp1018 +a(g413 +g968 +tp1019 +a(g827 +V\u000a +p1020 +tp1021 +a(g771 +Vimport +p1022 +tp1023 +a(g827 +g964 +tp1024 +a(g621 +Vorg.gudy.azureus2.platform.PlatformManagerListener +p1025 +tp1026 +a(g413 +g968 +tp1027 +a(g827 +V\u000a +p1028 +tp1029 +a(g771 +Vimport +p1030 +tp1031 +a(g827 +g964 +tp1032 +a(g621 +Vorg.gudy.azureus2.platform.macosx.access.jnilib.OSXAccess +p1033 +tp1034 +a(g413 +g968 +tp1035 +a(g827 +V\u000a +p1036 +tp1037 +a(g827 +V\u000a +p1038 +tp1039 +a(g771 +Vimport +p1040 +tp1041 +a(g827 +g964 +tp1042 +a(g621 +Vorg.gudy.azureus2.plugins.platform.PlatformManagerException +p1043 +tp1044 +a(g413 +g968 +tp1045 +a(g827 +V\u000a +p1046 +tp1047 +a(g827 +V\u000a +p1048 +tp1049 +a(g771 +Vimport +p1050 +tp1051 +a(g827 +g964 +tp1052 +a(g621 +Vjava.io.BufferedReader +p1053 +tp1054 +a(g413 +g968 +tp1055 +a(g827 +V\u000a +p1056 +tp1057 +a(g771 +Vimport +p1058 +tp1059 +a(g827 +g964 +tp1060 +a(g621 +Vjava.io.File +p1061 +tp1062 +a(g413 +g968 +tp1063 +a(g827 +V\u000a +p1064 +tp1065 +a(g771 +Vimport +p1066 +tp1067 +a(g827 +g964 +tp1068 +a(g621 +Vjava.io.IOException +p1069 +tp1070 +a(g413 +g968 +tp1071 +a(g827 +V\u000a +p1072 +tp1073 +a(g771 +Vimport +p1074 +tp1075 +a(g827 +g964 +tp1076 +a(g621 +Vjava.io.InputStreamReader +p1077 +tp1078 +a(g413 +g968 +tp1079 +a(g827 +V\u000a +p1080 +tp1081 +a(g771 +Vimport +p1082 +tp1083 +a(g827 +g964 +tp1084 +a(g621 +Vjava.text.MessageFormat +p1085 +tp1086 +a(g413 +g968 +tp1087 +a(g827 +V\u000a +p1088 +tp1089 +a(g771 +Vimport +p1090 +tp1091 +a(g827 +g964 +tp1092 +a(g621 +Vjava.util.HashSet +p1093 +tp1094 +a(g413 +g968 +tp1095 +a(g827 +V\u000a +p1096 +tp1097 +a(g827 +V\u000a +p1098 +tp1099 +a(g827 +V\u000a +p1100 +tp1101 +a(g8 +V/**\u000a * Performs platform-specific operations with Mac OS X\u000a *\u000a * @author James Yeh\u000a * @version 1.0 Initial Version\u000a * @see PlatformManager\u000a */ +p1102 +tp1103 +a(g827 +V\u000a +p1104 +tp1105 +a(g810 +Vpublic +p1106 +tp1107 +a(g827 +g964 +tp1108 +a(g810 +Vclass +p1109 +tp1110 +a(g827 +g964 +tp1111 +a(g629 +VPlatformManagerImpl +p1112 +tp1113 +a(g827 +g964 +tp1114 +a(g810 +Vimplements +p1115 +tp1116 +a(g827 +g964 +tp1117 +a(g436 +VPlatformManager +p1118 +tp1119 +a(g827 +V\u000a +p1120 +tp1121 +a(g413 +V{ +p1122 +tp1123 +a(g827 +V\u000a +p1124 +tp1125 +a(g827 +V +p1126 +tp1127 +a(g810 +Vprivate +p1128 +tp1129 +a(g827 +g964 +tp1130 +a(g810 +Vstatic +p1131 +tp1132 +a(g827 +g964 +tp1133 +a(g810 +Vfinal +p1134 +tp1135 +a(g827 +g964 +tp1136 +a(g436 +VLogIDs +p1137 +tp1138 +a(g827 +g964 +tp1139 +a(g436 +VLOGID +p1140 +tp1141 +a(g827 +g964 +tp1142 +a(g413 +V= +p1143 +tp1144 +a(g827 +g964 +tp1145 +a(g436 +VLogIDs +p1146 +tp1147 +a(g413 +V. +p1148 +tp1149 +a(g667 +VCORE +p1150 +tp1151 +a(g413 +g968 +tp1152 +a(g827 +V\u000a +p1153 +tp1154 +a(g827 +V\u000a +p1155 +tp1156 +a(g827 +V +p1157 +tp1158 +a(g810 +Vprotected +p1159 +tp1160 +a(g827 +g964 +tp1161 +a(g810 +Vstatic +p1162 +tp1163 +a(g827 +g964 +tp1164 +a(g436 +VPlatformManagerImpl +p1165 +tp1166 +a(g827 +g964 +tp1167 +a(g436 +Vsingleton +p1168 +tp1169 +a(g413 +g968 +tp1170 +a(g827 +V\u000a +p1171 +tp1172 +a(g827 +V +p1173 +tp1174 +a(g810 +Vprotected +p1175 +tp1176 +a(g827 +g964 +tp1177 +a(g810 +Vstatic +p1178 +tp1179 +a(g827 +g964 +tp1180 +a(g436 +VAEMonitor +p1181 +tp1182 +a(g827 +g964 +tp1183 +a(g436 +Vclass_mon +p1184 +tp1185 +a(g827 +g964 +tp1186 +a(g413 +g1143 +tp1187 +a(g827 +g964 +tp1188 +a(g745 +Vnew +p1189 +tp1190 +a(g827 +g964 +tp1191 +a(g436 +VAEMonitor +p1192 +tp1193 +a(g413 +V( +p1194 +tp1195 +a(g89 +V"PlatformManager" +p1196 +tp1197 +a(g413 +V) +p1198 +tp1199 +a(g413 +g968 +tp1200 +a(g827 +V\u000a +p1201 +tp1202 +a(g827 +V\u000a +p1203 +tp1204 +a(g827 +V +p1205 +tp1206 +a(g810 +Vprivate +p1207 +tp1208 +a(g827 +g964 +tp1209 +a(g810 +Vstatic +p1210 +tp1211 +a(g827 +g964 +tp1212 +a(g810 +Vfinal +p1213 +tp1214 +a(g827 +g964 +tp1215 +a(g436 +VString +p1216 +tp1217 +a(g827 +g964 +tp1218 +a(g436 +VUSERDATA_PATH +p1219 +tp1220 +a(g827 +g964 +tp1221 +a(g413 +g1143 +tp1222 +a(g827 +g964 +tp1223 +a(g745 +Vnew +p1224 +tp1225 +a(g827 +g964 +tp1226 +a(g436 +VFile +p1227 +tp1228 +a(g413 +g1194 +tp1229 +a(g436 +VSystem +p1230 +tp1231 +a(g413 +g1148 +tp1232 +a(g667 +VgetProperty +p1233 +tp1234 +a(g413 +g1194 +tp1235 +a(g89 +V"user.home" +p1236 +tp1237 +a(g413 +g1198 +tp1238 +a(g827 +g964 +tp1239 +a(g413 +V+ +p1240 +tp1241 +a(g827 +g964 +tp1242 +a(g89 +V"/Library/Application Support/" +p1243 +tp1244 +a(g413 +g1198 +tp1245 +a(g413 +g1148 +tp1246 +a(g667 +VgetPath +p1247 +tp1248 +a(g413 +g1194 +tp1249 +a(g413 +g1198 +tp1250 +a(g413 +g968 +tp1251 +a(g827 +V\u000a +p1252 +tp1253 +a(g827 +V\u000a +p1254 +tp1255 +a(g827 +V +p1256 +tp1257 +a(g906 +V//T: PlatformManagerCapabilities\u000a +p1258 +tp1259 +a(g827 +V +p1260 +tp1261 +a(g810 +Vprivate +p1262 +tp1263 +a(g827 +g964 +tp1264 +a(g810 +Vfinal +p1265 +tp1266 +a(g827 +g964 +tp1267 +a(g436 +VHashSet +p1268 +tp1269 +a(g827 +g964 +tp1270 +a(g436 +VcapabilitySet +p1271 +tp1272 +a(g827 +g964 +tp1273 +a(g413 +g1143 +tp1274 +a(g827 +g964 +tp1275 +a(g745 +Vnew +p1276 +tp1277 +a(g827 +g964 +tp1278 +a(g436 +VHashSet +p1279 +tp1280 +a(g413 +g1194 +tp1281 +a(g413 +g1198 +tp1282 +a(g413 +g968 +tp1283 +a(g827 +V\u000a +p1284 +tp1285 +a(g827 +V\u000a +p1286 +tp1287 +a(g827 +V +p1288 +tp1289 +a(g8 +V/**\u000a * Gets the platform manager singleton, which was already initialized\u000a */ +p1290 +tp1291 +a(g827 +V\u000a +p1292 +tp1293 +a(g827 +V +p1294 +tp1295 +a(g810 +Vpublic +p1296 +tp1297 +a(g827 +g964 +tp1298 +a(g810 +Vstatic +p1299 +tp1300 +a(g827 +g964 +tp1301 +a(g436 +VPlatformManagerImpl +p1302 +tp1303 +a(g827 +g964 +tp1304 +a(g574 +VgetSingleton +p1305 +tp1306 +a(g413 +g1194 +tp1307 +a(g413 +g1198 +tp1308 +a(g827 +V\u000a +p1309 +tp1310 +a(g827 +V +p1311 +tp1312 +a(g413 +g1122 +tp1313 +a(g827 +V\u000a +p1314 +tp1315 +a(g827 +V +p1316 +tp1317 +a(g745 +Vreturn +p1318 +tp1319 +a(g827 +g964 +tp1320 +a(g436 +Vsingleton +p1321 +tp1322 +a(g413 +g968 +tp1323 +a(g827 +V\u000a +p1324 +tp1325 +a(g827 +V +p1326 +tp1327 +a(g413 +V} +p1328 +tp1329 +a(g827 +V\u000a +p1330 +tp1331 +a(g827 +V\u000a +p1332 +tp1333 +a(g827 +V +p1334 +tp1335 +a(g8 +V/**\u000a * Tries to enable cocoa-java access and instantiates the singleton\u000a */ +p1336 +tp1337 +a(g827 +V\u000a +p1338 +tp1339 +a(g827 +V +p1340 +tp1341 +a(g810 +Vstatic +p1342 +tp1343 +a(g827 +V\u000a +p1344 +tp1345 +a(g827 +V +p1346 +tp1347 +a(g413 +g1122 +tp1348 +a(g827 +V\u000a +p1349 +tp1350 +a(g827 +V +p1351 +tp1352 +a(g436 +VinitializeSingleton +p1353 +tp1354 +a(g413 +g1194 +tp1355 +a(g413 +g1198 +tp1356 +a(g413 +g968 +tp1357 +a(g827 +V\u000a +p1358 +tp1359 +a(g827 +V +p1360 +tp1361 +a(g413 +g1328 +tp1362 +a(g827 +V\u000a +p1363 +tp1364 +a(g827 +V\u000a +p1365 +tp1366 +a(g827 +V +p1367 +tp1368 +a(g8 +V/**\u000a * Instantiates the singleton\u000a */ +p1369 +tp1370 +a(g827 +V\u000a +p1371 +tp1372 +a(g827 +V +p1373 +tp1374 +a(g810 +Vprivate +p1375 +tp1376 +a(g827 +g964 +tp1377 +a(g810 +Vstatic +p1378 +tp1379 +a(g827 +g964 +tp1380 +a(g749 +Vvoid +p1381 +tp1382 +a(g827 +g964 +tp1383 +a(g574 +VinitializeSingleton +p1384 +tp1385 +a(g413 +g1194 +tp1386 +a(g413 +g1198 +tp1387 +a(g827 +V\u000a +p1388 +tp1389 +a(g827 +V +p1390 +tp1391 +a(g413 +g1122 +tp1392 +a(g827 +V\u000a +p1393 +tp1394 +a(g827 +V +p1395 +tp1396 +a(g745 +Vtry +p1397 +tp1398 +a(g827 +V\u000a +p1399 +tp1400 +a(g827 +V +p1401 +tp1402 +a(g413 +g1122 +tp1403 +a(g827 +V\u000a +p1404 +tp1405 +a(g827 +V +p1406 +tp1407 +a(g436 +Vclass_mon +p1408 +tp1409 +a(g413 +g1148 +tp1410 +a(g667 +Venter +p1411 +tp1412 +a(g413 +g1194 +tp1413 +a(g413 +g1198 +tp1414 +a(g413 +g968 +tp1415 +a(g827 +V\u000a +p1416 +tp1417 +a(g827 +V +p1418 +tp1419 +a(g436 +Vsingleton +p1420 +tp1421 +a(g827 +g964 +tp1422 +a(g413 +g1143 +tp1423 +a(g827 +g964 +tp1424 +a(g745 +Vnew +p1425 +tp1426 +a(g827 +g964 +tp1427 +a(g436 +VPlatformManagerImpl +p1428 +tp1429 +a(g413 +g1194 +tp1430 +a(g413 +g1198 +tp1431 +a(g413 +g968 +tp1432 +a(g827 +V\u000a +p1433 +tp1434 +a(g827 +V +p1435 +tp1436 +a(g413 +g1328 +tp1437 +a(g827 +V\u000a +p1438 +tp1439 +a(g827 +V +p1440 +tp1441 +a(g745 +Vcatch +p1442 +tp1443 +a(g827 +g964 +tp1444 +a(g413 +g1194 +tp1445 +a(g436 +VThrowable +p1446 +tp1447 +a(g827 +g964 +tp1448 +a(g436 +Ve +p1449 +tp1450 +a(g413 +g1198 +tp1451 +a(g827 +V\u000a +p1452 +tp1453 +a(g827 +V +p1454 +tp1455 +a(g413 +g1122 +tp1456 +a(g827 +V\u000a +p1457 +tp1458 +a(g827 +V +p1459 +tp1460 +a(g436 +VLogger +p1461 +tp1462 +a(g413 +g1148 +tp1463 +a(g667 +Vlog +p1464 +tp1465 +a(g413 +g1194 +tp1466 +a(g745 +Vnew +p1467 +tp1468 +a(g827 +g964 +tp1469 +a(g436 +VLogEvent +p1470 +tp1471 +a(g413 +g1194 +tp1472 +a(g436 +VLOGID +p1473 +tp1474 +a(g413 +V, +p1475 +tp1476 +a(g827 +g964 +tp1477 +a(g89 +V"Failed to initialize platform manager" +p1478 +tp1479 +a(g827 +V\u000a +p1480 +tp1481 +a(g827 +V +p1482 +tp1483 +a(g413 +g1240 +tp1484 +a(g827 +g964 +tp1485 +a(g89 +V" for Mac OS X" +p1486 +tp1487 +a(g413 +g1475 +tp1488 +a(g827 +g964 +tp1489 +a(g436 +g1449 +tp1490 +a(g413 +g1198 +tp1491 +a(g413 +g1198 +tp1492 +a(g413 +g968 +tp1493 +a(g827 +V\u000a +p1494 +tp1495 +a(g827 +V +p1496 +tp1497 +a(g413 +g1328 +tp1498 +a(g827 +V\u000a +p1499 +tp1500 +a(g827 +V +p1501 +tp1502 +a(g745 +Vfinally +p1503 +tp1504 +a(g827 +V\u000a +p1505 +tp1506 +a(g827 +V +p1507 +tp1508 +a(g413 +g1122 +tp1509 +a(g827 +V\u000a +p1510 +tp1511 +a(g827 +V +p1512 +tp1513 +a(g436 +Vclass_mon +p1514 +tp1515 +a(g413 +g1148 +tp1516 +a(g667 +Vexit +p1517 +tp1518 +a(g413 +g1194 +tp1519 +a(g413 +g1198 +tp1520 +a(g413 +g968 +tp1521 +a(g827 +V\u000a +p1522 +tp1523 +a(g827 +V +p1524 +tp1525 +a(g413 +g1328 +tp1526 +a(g827 +V\u000a +p1527 +tp1528 +a(g827 +V +p1529 +tp1530 +a(g413 +g1328 +tp1531 +a(g827 +V\u000a +p1532 +tp1533 +a(g827 +V\u000a +p1534 +tp1535 +a(g827 +V +p1536 +tp1537 +a(g8 +V/**\u000a * Creates a new PlatformManager and initializes its capabilities\u000a */ +p1538 +tp1539 +a(g827 +V\u000a +p1540 +tp1541 +a(g827 +V +p1542 +tp1543 +a(g810 +Vpublic +p1544 +tp1545 +a(g827 +g964 +tp1546 +a(g574 +VPlatformManagerImpl +p1547 +tp1548 +a(g413 +g1194 +tp1549 +a(g413 +g1198 +tp1550 +a(g827 +V\u000a +p1551 +tp1552 +a(g827 +V +p1553 +tp1554 +a(g413 +g1122 +tp1555 +a(g827 +V\u000a +p1556 +tp1557 +a(g827 +V +p1558 +tp1559 +a(g436 +VcapabilitySet +p1560 +tp1561 +a(g413 +g1148 +tp1562 +a(g667 +Vadd +p1563 +tp1564 +a(g413 +g1194 +tp1565 +a(g436 +VPlatformManagerCapabilities +p1566 +tp1567 +a(g413 +g1148 +tp1568 +a(g667 +VRecoverableFileDelete +p1569 +tp1570 +a(g413 +g1198 +tp1571 +a(g413 +g968 +tp1572 +a(g827 +V\u000a +p1573 +tp1574 +a(g827 +V +p1575 +tp1576 +a(g436 +VcapabilitySet +p1577 +tp1578 +a(g413 +g1148 +tp1579 +a(g667 +Vadd +p1580 +tp1581 +a(g413 +g1194 +tp1582 +a(g436 +VPlatformManagerCapabilities +p1583 +tp1584 +a(g413 +g1148 +tp1585 +a(g667 +VShowFileInBrowser +p1586 +tp1587 +a(g413 +g1198 +tp1588 +a(g413 +g968 +tp1589 +a(g827 +V\u000a +p1590 +tp1591 +a(g827 +V +p1592 +tp1593 +a(g436 +VcapabilitySet +p1594 +tp1595 +a(g413 +g1148 +tp1596 +a(g667 +Vadd +p1597 +tp1598 +a(g413 +g1194 +tp1599 +a(g436 +VPlatformManagerCapabilities +p1600 +tp1601 +a(g413 +g1148 +tp1602 +a(g667 +VShowPathInCommandLine +p1603 +tp1604 +a(g413 +g1198 +tp1605 +a(g413 +g968 +tp1606 +a(g827 +V\u000a +p1607 +tp1608 +a(g827 +V +p1609 +tp1610 +a(g436 +VcapabilitySet +p1611 +tp1612 +a(g413 +g1148 +tp1613 +a(g667 +Vadd +p1614 +tp1615 +a(g413 +g1194 +tp1616 +a(g436 +VPlatformManagerCapabilities +p1617 +tp1618 +a(g413 +g1148 +tp1619 +a(g667 +VCreateCommandLineProcess +p1620 +tp1621 +a(g413 +g1198 +tp1622 +a(g413 +g968 +tp1623 +a(g827 +V\u000a +p1624 +tp1625 +a(g827 +V +p1626 +tp1627 +a(g436 +VcapabilitySet +p1628 +tp1629 +a(g413 +g1148 +tp1630 +a(g667 +Vadd +p1631 +tp1632 +a(g413 +g1194 +tp1633 +a(g436 +VPlatformManagerCapabilities +p1634 +tp1635 +a(g413 +g1148 +tp1636 +a(g667 +VGetUserDataDirectory +p1637 +tp1638 +a(g413 +g1198 +tp1639 +a(g413 +g968 +tp1640 +a(g827 +V\u000a +p1641 +tp1642 +a(g827 +V +p1643 +tp1644 +a(g436 +VcapabilitySet +p1645 +tp1646 +a(g413 +g1148 +tp1647 +a(g667 +Vadd +p1648 +tp1649 +a(g413 +g1194 +tp1650 +a(g436 +VPlatformManagerCapabilities +p1651 +tp1652 +a(g413 +g1148 +tp1653 +a(g667 +VUseNativeScripting +p1654 +tp1655 +a(g413 +g1198 +tp1656 +a(g413 +g968 +tp1657 +a(g827 +V\u000a +p1658 +tp1659 +a(g827 +V +p1660 +tp1661 +a(g436 +VcapabilitySet +p1662 +tp1663 +a(g413 +g1148 +tp1664 +a(g667 +Vadd +p1665 +tp1666 +a(g413 +g1194 +tp1667 +a(g436 +VPlatformManagerCapabilities +p1668 +tp1669 +a(g413 +g1148 +tp1670 +a(g667 +VPlaySystemAlert +p1671 +tp1672 +a(g413 +g1198 +tp1673 +a(g413 +g968 +tp1674 +a(g827 +V\u000a +p1675 +tp1676 +a(g827 +V +p1677 +tp1678 +a(g827 +V\u000a +p1679 +tp1680 +a(g827 +V +p1681 +tp1682 +a(g745 +Vif +p1683 +tp1684 +a(g827 +g964 +tp1685 +a(g413 +g1194 +tp1686 +a(g436 +VOSXAccess +p1687 +tp1688 +a(g413 +g1148 +tp1689 +a(g667 +VisLoaded +p1690 +tp1691 +a(g413 +g1194 +tp1692 +a(g413 +g1198 +tp1693 +a(g413 +g1198 +tp1694 +a(g827 +g964 +tp1695 +a(g413 +g1122 +tp1696 +a(g827 +V\u000a +p1697 +tp1698 +a(g827 +V +p1699 +tp1700 +a(g436 +VcapabilitySet +p1701 +tp1702 +a(g413 +g1148 +tp1703 +a(g667 +Vadd +p1704 +tp1705 +a(g413 +g1194 +tp1706 +a(g436 +VPlatformManagerCapabilities +p1707 +tp1708 +a(g413 +g1148 +tp1709 +a(g667 +VGetVersion +p1710 +tp1711 +a(g413 +g1198 +tp1712 +a(g413 +g968 +tp1713 +a(g827 +V\u000a +p1714 +tp1715 +a(g827 +V +p1716 +tp1717 +a(g413 +g1328 +tp1718 +a(g827 +V\u000a +p1719 +tp1720 +a(g827 +V +p1721 +tp1722 +a(g413 +g1328 +tp1723 +a(g827 +V\u000a +p1724 +tp1725 +a(g827 +V\u000a +p1726 +tp1727 +a(g827 +V +p1728 +tp1729 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p1730 +tp1731 +a(g827 +V\u000a +p1732 +tp1733 +a(g827 +V +p1734 +tp1735 +a(g810 +Vpublic +p1736 +tp1737 +a(g827 +g964 +tp1738 +a(g749 +Vint +p1739 +tp1740 +a(g827 +g964 +tp1741 +a(g574 +VgetPlatformType +p1742 +tp1743 +a(g413 +g1194 +tp1744 +a(g413 +g1198 +tp1745 +a(g827 +V\u000a +p1746 +tp1747 +a(g827 +V +p1748 +tp1749 +a(g413 +g1122 +tp1750 +a(g827 +V\u000a +p1751 +tp1752 +a(g827 +V +p1753 +tp1754 +a(g745 +Vreturn +p1755 +tp1756 +a(g827 +g964 +tp1757 +a(g436 +VPT_MACOSX +p1758 +tp1759 +a(g413 +g968 +tp1760 +a(g827 +V\u000a +p1761 +tp1762 +a(g827 +V +p1763 +tp1764 +a(g413 +g1328 +tp1765 +a(g827 +V\u000a +p1766 +tp1767 +a(g827 +V\u000a +p1768 +tp1769 +a(g827 +V +p1770 +tp1771 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p1772 +tp1773 +a(g827 +V\u000a +p1774 +tp1775 +a(g827 +V +p1776 +tp1777 +a(g810 +Vpublic +p1778 +tp1779 +a(g827 +g964 +tp1780 +a(g436 +VString +p1781 +tp1782 +a(g827 +g964 +tp1783 +a(g574 +VgetVersion +p1784 +tp1785 +a(g413 +g1194 +tp1786 +a(g413 +g1198 +tp1787 +a(g827 +g964 +tp1788 +a(g810 +Vthrows +p1789 +tp1790 +a(g827 +g964 +tp1791 +a(g436 +VPlatformManagerException +p1792 +tp1793 +a(g827 +V\u000a +p1794 +tp1795 +a(g827 +V +p1796 +tp1797 +a(g413 +g1122 +tp1798 +a(g827 +V\u000a +p1799 +tp1800 +a(g827 +V +p1801 +tp1802 +a(g745 +Vif +p1803 +tp1804 +a(g827 +g964 +tp1805 +a(g413 +g1194 +tp1806 +a(g413 +V! +p1807 +tp1808 +a(g436 +VOSXAccess +p1809 +tp1810 +a(g413 +g1148 +tp1811 +a(g667 +VisLoaded +p1812 +tp1813 +a(g413 +g1194 +tp1814 +a(g413 +g1198 +tp1815 +a(g413 +g1198 +tp1816 +a(g827 +g964 +tp1817 +a(g413 +g1122 +tp1818 +a(g827 +V\u000a +p1819 +tp1820 +a(g827 +V +p1821 +tp1822 +a(g745 +Vthrow +p1823 +tp1824 +a(g827 +g964 +tp1825 +a(g745 +Vnew +p1826 +tp1827 +a(g827 +g964 +tp1828 +a(g436 +VPlatformManagerException +p1829 +tp1830 +a(g413 +g1194 +tp1831 +a(g89 +V"Unsupported capability called on platform manager" +p1832 +tp1833 +a(g413 +g1198 +tp1834 +a(g413 +g968 +tp1835 +a(g827 +V\u000a +p1836 +tp1837 +a(g827 +V +p1838 +tp1839 +a(g413 +g1328 +tp1840 +a(g827 +V\u000a +p1841 +tp1842 +a(g827 +V +p1843 +tp1844 +a(g827 +V\u000a +p1845 +tp1846 +a(g827 +V +p1847 +tp1848 +a(g745 +Vreturn +p1849 +tp1850 +a(g827 +g964 +tp1851 +a(g436 +VOSXAccess +p1852 +tp1853 +a(g413 +g1148 +tp1854 +a(g667 +VgetVersion +p1855 +tp1856 +a(g413 +g1194 +tp1857 +a(g413 +g1198 +tp1858 +a(g413 +g968 +tp1859 +a(g827 +V\u000a +p1860 +tp1861 +a(g827 +V +p1862 +tp1863 +a(g413 +g1328 +tp1864 +a(g827 +V\u000a +p1865 +tp1866 +a(g827 +V\u000a +p1867 +tp1868 +a(g827 +V +p1869 +tp1870 +a(g8 +V/**\u000a * {@inheritDoc}\u000a * @see org.gudy.azureus2.core3.util.SystemProperties#getUserPath()\u000a */ +p1871 +tp1872 +a(g827 +V\u000a +p1873 +tp1874 +a(g827 +V +p1875 +tp1876 +a(g810 +Vpublic +p1877 +tp1878 +a(g827 +g964 +tp1879 +a(g436 +VString +p1880 +tp1881 +a(g827 +g964 +tp1882 +a(g574 +VgetUserDataDirectory +p1883 +tp1884 +a(g413 +g1194 +tp1885 +a(g413 +g1198 +tp1886 +a(g827 +g964 +tp1887 +a(g810 +Vthrows +p1888 +tp1889 +a(g827 +g964 +tp1890 +a(g436 +VPlatformManagerException +p1891 +tp1892 +a(g827 +V\u000a +p1893 +tp1894 +a(g827 +V +p1895 +tp1896 +a(g413 +g1122 +tp1897 +a(g827 +V\u000a +p1898 +tp1899 +a(g827 +V +p1900 +tp1901 +a(g745 +Vreturn +p1902 +tp1903 +a(g827 +g964 +tp1904 +a(g436 +VUSERDATA_PATH +p1905 +tp1906 +a(g413 +g968 +tp1907 +a(g827 +V\u000a +p1908 +tp1909 +a(g827 +V +p1910 +tp1911 +a(g413 +g1328 +tp1912 +a(g827 +V\u000a +p1913 +tp1914 +a(g827 +V\u000a +p1915 +tp1916 +a(g827 +V +p1917 +tp1918 +a(g810 +Vpublic +p1919 +tp1920 +a(g827 +g964 +tp1921 +a(g436 +VFile +p1922 +tp1923 +a(g827 +V\u000a +p1924 +tp1925 +a(g827 +g1917 +tp1926 +a(g574 +VgetLocation +p1927 +tp1928 +a(g413 +g1194 +tp1929 +a(g827 +V\u000a +p1930 +tp1931 +a(g827 +V +p1932 +tp1933 +a(g749 +Vlong +p1934 +tp1935 +a(g827 +g1917 +tp1936 +a(g436 +Vlocation_id +p1937 +tp1938 +a(g827 +g964 +tp1939 +a(g413 +g1198 +tp1940 +a(g827 +V\u000a +p1941 +tp1942 +a(g827 +g1917 +tp1943 +a(g827 +V\u000a +p1944 +tp1945 +a(g827 +V +p1946 +tp1947 +a(g810 +Vthrows +p1948 +tp1949 +a(g827 +g964 +tp1950 +a(g436 +VPlatformManagerException +p1951 +tp1952 +a(g827 +V\u000a +p1953 +tp1954 +a(g827 +g1917 +tp1955 +a(g413 +g1122 +tp1956 +a(g827 +V\u000a +p1957 +tp1958 +a(g827 +V +p1959 +tp1960 +a(g745 +Vif +p1961 +tp1962 +a(g827 +g964 +tp1963 +a(g413 +g1194 +tp1964 +a(g827 +g964 +tp1965 +a(g436 +Vlocation_id +p1966 +tp1967 +a(g827 +g964 +tp1968 +a(g413 +g1143 +tp1969 +a(g413 +g1143 +tp1970 +a(g827 +g964 +tp1971 +a(g436 +VLOC_USER_DATA +p1972 +tp1973 +a(g827 +g964 +tp1974 +a(g413 +g1198 +tp1975 +a(g413 +g1122 +tp1976 +a(g827 +V\u000a +p1977 +tp1978 +a(g827 +V +p1979 +tp1980 +a(g827 +V\u000a +p1981 +tp1982 +a(g827 +V +p1983 +tp1984 +a(g745 +Vreturn +p1985 +tp1986 +a(g413 +g1194 +tp1987 +a(g827 +g964 +tp1988 +a(g745 +Vnew +p1989 +tp1990 +a(g827 +g964 +tp1991 +a(g436 +VFile +p1992 +tp1993 +a(g413 +g1194 +tp1994 +a(g827 +g964 +tp1995 +a(g436 +VUSERDATA_PATH +p1996 +tp1997 +a(g827 +g964 +tp1998 +a(g413 +g1198 +tp1999 +a(g413 +g1198 +tp2000 +a(g413 +g968 +tp2001 +a(g827 +V\u000a +p2002 +tp2003 +a(g827 +V +p2004 +tp2005 +a(g413 +g1328 +tp2006 +a(g827 +V\u000a +p2007 +tp2008 +a(g827 +V +p2009 +tp2010 +a(g827 +V\u000a +p2011 +tp2012 +a(g827 +V +p2013 +tp2014 +a(g745 +Vreturn +p2015 +tp2016 +a(g413 +g1194 +tp2017 +a(g827 +g964 +tp2018 +a(g764 +Vnull +p2019 +tp2020 +a(g827 +g964 +tp2021 +a(g413 +g1198 +tp2022 +a(g413 +g968 +tp2023 +a(g827 +V\u000a +p2024 +tp2025 +a(g827 +g1917 +tp2026 +a(g413 +g1328 +tp2027 +a(g827 +V\u000a +p2028 +tp2029 +a(g827 +V +p2030 +tp2031 +a(g8 +V/**\u000a * Not implemented; returns True\u000a */ +p2032 +tp2033 +a(g827 +V\u000a +p2034 +tp2035 +a(g827 +V +p2036 +tp2037 +a(g810 +Vpublic +p2038 +tp2039 +a(g827 +g964 +tp2040 +a(g749 +Vboolean +p2041 +tp2042 +a(g827 +g964 +tp2043 +a(g574 +VisApplicationRegistered +p2044 +tp2045 +a(g413 +g1194 +tp2046 +a(g413 +g1198 +tp2047 +a(g827 +g964 +tp2048 +a(g810 +Vthrows +p2049 +tp2050 +a(g827 +g964 +tp2051 +a(g436 +VPlatformManagerException +p2052 +tp2053 +a(g827 +V\u000a +p2054 +tp2055 +a(g827 +V +p2056 +tp2057 +a(g413 +g1122 +tp2058 +a(g827 +V\u000a +p2059 +tp2060 +a(g827 +V +p2061 +tp2062 +a(g745 +Vreturn +p2063 +tp2064 +a(g827 +g964 +tp2065 +a(g764 +Vtrue +p2066 +tp2067 +a(g413 +g968 +tp2068 +a(g827 +V\u000a +p2069 +tp2070 +a(g827 +V +p2071 +tp2072 +a(g413 +g1328 +tp2073 +a(g827 +V\u000a +p2074 +tp2075 +a(g827 +V\u000a +p2076 +tp2077 +a(g827 +V +p2078 +tp2079 +a(g827 +V\u000a +p2080 +tp2081 +a(g827 +g1917 +tp2082 +a(g810 +Vpublic +p2083 +tp2084 +a(g827 +g964 +tp2085 +a(g436 +VString +p2086 +tp2087 +a(g827 +V\u000a +p2088 +tp2089 +a(g827 +g1917 +tp2090 +a(g574 +VgetApplicationCommandLine +p2091 +tp2092 +a(g413 +g1194 +tp2093 +a(g413 +g1198 +tp2094 +a(g827 +V\u000a +p2095 +tp2096 +a(g827 +V +p2097 +tp2098 +a(g810 +Vthrows +p2099 +tp2100 +a(g827 +g964 +tp2101 +a(g436 +VPlatformManagerException +p2102 +tp2103 +a(g827 +V\u000a +p2104 +tp2105 +a(g827 +g1917 +tp2106 +a(g413 +g1122 +tp2107 +a(g827 +V\u000a +p2108 +tp2109 +a(g827 +V +p2110 +tp2111 +a(g745 +Vtry +p2112 +tp2113 +a(g413 +g1122 +tp2114 +a(g827 +V +p2115 +tp2116 +a(g827 +V\u000a +p2117 +tp2118 +a(g827 +V +p2119 +tp2120 +a(g436 +VString +p2121 +tp2122 +a(g827 +g1917 +tp2123 +a(g436 +Vbundle_path +p2124 +tp2125 +a(g827 +g964 +tp2126 +a(g413 +g1143 +tp2127 +a(g827 +g964 +tp2128 +a(g436 +VSystem +p2129 +tp2130 +a(g413 +g1148 +tp2131 +a(g667 +VgetProperty +p2132 +tp2133 +a(g413 +g1194 +tp2134 +a(g89 +V"user.dir" +p2135 +tp2136 +a(g413 +g1198 +tp2137 +a(g827 +g964 +tp2138 +a(g413 +g1240 +tp2139 +a(g436 +VSystemProperties +p2140 +tp2141 +a(g413 +g1148 +tp2142 +a(g667 +VSEP +p2143 +tp2144 +a(g413 +g1240 +tp2145 +a(g827 +g964 +tp2146 +a(g436 +VSystemProperties +p2147 +tp2148 +a(g413 +g1148 +tp2149 +a(g667 +VgetApplicationName +p2150 +tp2151 +a(g413 +g1194 +tp2152 +a(g413 +g1198 +tp2153 +a(g827 +g964 +tp2154 +a(g413 +g1240 +tp2155 +a(g827 +g964 +tp2156 +a(g89 +V".app" +p2157 +tp2158 +a(g413 +g968 +tp2159 +a(g827 +V\u000a +p2160 +tp2161 +a(g827 +V\u000a +p2162 +tp2163 +a(g827 +V +p2164 +tp2165 +a(g436 +VFile +p2166 +tp2167 +a(g827 +g964 +tp2168 +a(g436 +Vosx_app_bundle +p2169 +tp2170 +a(g827 +g964 +tp2171 +a(g413 +g1143 +tp2172 +a(g827 +g964 +tp2173 +a(g745 +Vnew +p2174 +tp2175 +a(g827 +g964 +tp2176 +a(g436 +VFile +p2177 +tp2178 +a(g413 +g1194 +tp2179 +a(g827 +g964 +tp2180 +a(g436 +Vbundle_path +p2181 +tp2182 +a(g827 +g964 +tp2183 +a(g413 +g1198 +tp2184 +a(g413 +g1148 +tp2185 +a(g667 +VgetAbsoluteFile +p2186 +tp2187 +a(g413 +g1194 +tp2188 +a(g413 +g1198 +tp2189 +a(g413 +g968 +tp2190 +a(g827 +V\u000a +p2191 +tp2192 +a(g827 +V +p2193 +tp2194 +a(g827 +V\u000a +p2195 +tp2196 +a(g827 +V +p2197 +tp2198 +a(g745 +Vif +p2199 +tp2200 +a(g413 +g1194 +tp2201 +a(g827 +g964 +tp2202 +a(g413 +g1807 +tp2203 +a(g436 +Vosx_app_bundle +p2204 +tp2205 +a(g413 +g1148 +tp2206 +a(g667 +Vexists +p2207 +tp2208 +a(g413 +g1194 +tp2209 +a(g413 +g1198 +tp2210 +a(g827 +g964 +tp2211 +a(g413 +g1198 +tp2212 +a(g827 +g964 +tp2213 +a(g413 +g1122 +tp2214 +a(g827 +V\u000a +p2215 +tp2216 +a(g827 +V +p2217 +tp2218 +a(g436 +VString +p2219 +tp2220 +a(g827 +g964 +tp2221 +a(g436 +Vmsg +p2222 +tp2223 +a(g827 +g964 +tp2224 +a(g413 +g1143 +tp2225 +a(g827 +g964 +tp2226 +a(g89 +V"OSX app bundle not found: [" +p2227 +tp2228 +a(g827 +g964 +tp2229 +a(g413 +g1240 +tp2230 +a(g436 +Vosx_app_bundle +p2231 +tp2232 +a(g413 +g1148 +tp2233 +a(g667 +VtoString +p2234 +tp2235 +a(g413 +g1194 +tp2236 +a(g413 +g1198 +tp2237 +a(g413 +g1240 +tp2238 +a(g827 +g964 +tp2239 +a(g89 +V"]" +p2240 +tp2241 +a(g413 +g968 +tp2242 +a(g827 +V\u000a +p2243 +tp2244 +a(g827 +V +p2245 +tp2246 +a(g436 +VSystem +p2247 +tp2248 +a(g413 +g1148 +tp2249 +a(g667 +Vout +p2250 +tp2251 +a(g413 +g1148 +tp2252 +a(g667 +Vprintln +p2253 +tp2254 +a(g413 +g1194 +tp2255 +a(g827 +g964 +tp2256 +a(g436 +Vmsg +p2257 +tp2258 +a(g827 +g964 +tp2259 +a(g413 +g1198 +tp2260 +a(g413 +g968 +tp2261 +a(g827 +V\u000a +p2262 +tp2263 +a(g827 +V +p2264 +tp2265 +a(g745 +Vif +p2266 +tp2267 +a(g827 +g964 +tp2268 +a(g413 +g1194 +tp2269 +a(g436 +VLogger +p2270 +tp2271 +a(g413 +g1148 +tp2272 +a(g667 +VisEnabled +p2273 +tp2274 +a(g413 +g1194 +tp2275 +a(g413 +g1198 +tp2276 +a(g413 +g1198 +tp2277 +a(g827 +V\u000a +p2278 +tp2279 +a(g827 +V +p2280 +tp2281 +a(g436 +VLogger +p2282 +tp2283 +a(g413 +g1148 +tp2284 +a(g667 +Vlog +p2285 +tp2286 +a(g413 +g1194 +tp2287 +a(g745 +Vnew +p2288 +tp2289 +a(g827 +g964 +tp2290 +a(g436 +VLogEvent +p2291 +tp2292 +a(g413 +g1194 +tp2293 +a(g436 +VLOGID +p2294 +tp2295 +a(g413 +g1475 +tp2296 +a(g827 +g964 +tp2297 +a(g436 +Vmsg +p2298 +tp2299 +a(g413 +g1198 +tp2300 +a(g413 +g1198 +tp2301 +a(g413 +g968 +tp2302 +a(g827 +V +p2303 +tp2304 +a(g827 +V\u000a +p2305 +tp2306 +a(g827 +V +p2307 +tp2308 +a(g745 +Vthrow +p2309 +tp2310 +a(g827 +g964 +tp2311 +a(g745 +Vnew +p2312 +tp2313 +a(g827 +g964 +tp2314 +a(g436 +VPlatformManagerException +p2315 +tp2316 +a(g413 +g1194 +tp2317 +a(g827 +g964 +tp2318 +a(g436 +Vmsg +p2319 +tp2320 +a(g827 +g964 +tp2321 +a(g413 +g1198 +tp2322 +a(g413 +g968 +tp2323 +a(g827 +V\u000a +p2324 +tp2325 +a(g827 +V +p2326 +tp2327 +a(g413 +g1328 +tp2328 +a(g827 +V\u000a +p2329 +tp2330 +a(g827 +V +p2331 +tp2332 +a(g827 +V\u000a +p2333 +tp2334 +a(g827 +V +p2335 +tp2336 +a(g745 +Vreturn +p2337 +tp2338 +a(g827 +g964 +tp2339 +a(g89 +V"open -a \u005c"" +p2340 +tp2341 +a(g827 +g964 +tp2342 +a(g413 +g1240 +tp2343 +a(g436 +Vosx_app_bundle +p2344 +tp2345 +a(g413 +g1148 +tp2346 +a(g667 +VtoString +p2347 +tp2348 +a(g413 +g1194 +tp2349 +a(g413 +g1198 +tp2350 +a(g413 +g1240 +tp2351 +a(g827 +g964 +tp2352 +a(g89 +V"\u005c"" +p2353 +tp2354 +a(g413 +g968 +tp2355 +a(g827 +V\u000a +p2356 +tp2357 +a(g827 +V +p2358 +tp2359 +a(g906 +V//return osx_app_bundle.toString() +"/Contents/MacOS/JavaApplicationStub";\u000a +p2360 +tp2361 +a(g827 +V +p2362 +tp2363 +a(g827 +V\u000a +p2364 +tp2365 +a(g827 +V +p2366 +tp2367 +a(g413 +g1328 +tp2368 +a(g827 +V\u000a +p2369 +tp2370 +a(g827 +V +p2371 +tp2372 +a(g745 +Vcatch +p2373 +tp2374 +a(g413 +g1194 +tp2375 +a(g827 +g964 +tp2376 +a(g436 +VThrowable +p2377 +tp2378 +a(g827 +g964 +tp2379 +a(g436 +Vt +p2380 +tp2381 +a(g827 +g964 +tp2382 +a(g413 +g1198 +tp2383 +a(g413 +g1122 +tp2384 +a(g827 +g1917 +tp2385 +a(g827 +V\u000a +p2386 +tp2387 +a(g827 +V +p2388 +tp2389 +a(g436 +g2380 +tp2390 +a(g413 +g1148 +tp2391 +a(g667 +VprintStackTrace +p2392 +tp2393 +a(g413 +g1194 +tp2394 +a(g413 +g1198 +tp2395 +a(g413 +g968 +tp2396 +a(g827 +V\u000a +p2397 +tp2398 +a(g827 +V +p2399 +tp2400 +a(g745 +Vreturn +p2401 +tp2402 +a(g827 +g964 +tp2403 +a(g764 +Vnull +p2404 +tp2405 +a(g413 +g968 +tp2406 +a(g827 +V\u000a +p2407 +tp2408 +a(g827 +V +p2409 +tp2410 +a(g413 +g1328 +tp2411 +a(g827 +V\u000a +p2412 +tp2413 +a(g827 +g1917 +tp2414 +a(g413 +g1328 +tp2415 +a(g827 +V\u000a +p2416 +tp2417 +a(g827 +g1917 +tp2418 +a(g827 +V\u000a +p2419 +tp2420 +a(g827 +g1917 +tp2421 +a(g827 +V\u000a +p2422 +tp2423 +a(g827 +g1917 +tp2424 +a(g810 +Vpublic +p2425 +tp2426 +a(g827 +g964 +tp2427 +a(g749 +Vboolean +p2428 +tp2429 +a(g827 +V\u000a +p2430 +tp2431 +a(g827 +g1917 +tp2432 +a(g574 +VisAdditionalFileTypeRegistered +p2433 +tp2434 +a(g413 +g1194 +tp2435 +a(g827 +V\u000a +p2436 +tp2437 +a(g827 +V +p2438 +tp2439 +a(g436 +VString +p2440 +tp2441 +a(g827 +V +p2442 +tp2443 +a(g436 +Vname +p2444 +tp2445 +a(g413 +g1475 +tp2446 +a(g827 +V +p2447 +tp2448 +a(g906 +V// e.g. "BitTorrent"\u000a +p2449 +tp2450 +a(g827 +V +p2451 +tp2452 +a(g436 +VString +p2453 +tp2454 +a(g827 +V +p2455 +tp2456 +a(g436 +Vtype +p2457 +tp2458 +a(g827 +g964 +tp2459 +a(g413 +g1198 +tp2460 +a(g827 +V +p2461 +tp2462 +a(g906 +V// e.g. ".torrent"\u000a +p2463 +tp2464 +a(g827 +g1917 +tp2465 +a(g827 +V\u000a +p2466 +tp2467 +a(g827 +V +p2468 +tp2469 +a(g810 +Vthrows +p2470 +tp2471 +a(g827 +g964 +tp2472 +a(g436 +VPlatformManagerException +p2473 +tp2474 +a(g827 +V\u000a +p2475 +tp2476 +a(g827 +g1917 +tp2477 +a(g413 +g1122 +tp2478 +a(g827 +V\u000a +p2479 +tp2480 +a(g827 +V +p2481 +tp2482 +a(g745 +Vthrow +p2483 +tp2484 +a(g827 +g964 +tp2485 +a(g745 +Vnew +p2486 +tp2487 +a(g827 +g964 +tp2488 +a(g436 +VPlatformManagerException +p2489 +tp2490 +a(g413 +g1194 +tp2491 +a(g89 +V"Unsupported capability called on platform manager" +p2492 +tp2493 +a(g413 +g1198 +tp2494 +a(g413 +g968 +tp2495 +a(g827 +V\u000a +p2496 +tp2497 +a(g827 +g1917 +tp2498 +a(g413 +g1328 +tp2499 +a(g827 +V\u000a +p2500 +tp2501 +a(g827 +g1917 +tp2502 +a(g827 +V\u000a +p2503 +tp2504 +a(g827 +g1917 +tp2505 +a(g810 +Vpublic +p2506 +tp2507 +a(g827 +g964 +tp2508 +a(g749 +Vvoid +p2509 +tp2510 +a(g827 +V\u000a +p2511 +tp2512 +a(g827 +g1917 +tp2513 +a(g574 +VunregisterAdditionalFileType +p2514 +tp2515 +a(g413 +g1194 +tp2516 +a(g827 +V\u000a +p2517 +tp2518 +a(g827 +V +p2519 +tp2520 +a(g436 +VString +p2521 +tp2522 +a(g827 +V +p2523 +tp2524 +a(g436 +Vname +p2525 +tp2526 +a(g413 +g1475 +tp2527 +a(g827 +V +p2528 +tp2529 +a(g906 +V// e.g. "BitTorrent"\u000a +p2530 +tp2531 +a(g827 +V +p2532 +tp2533 +a(g436 +VString +p2534 +tp2535 +a(g827 +V +p2536 +tp2537 +a(g436 +Vtype +p2538 +tp2539 +a(g827 +g964 +tp2540 +a(g413 +g1198 +tp2541 +a(g827 +V +p2542 +tp2543 +a(g906 +V// e.g. ".torrent"\u000a +p2544 +tp2545 +a(g827 +V +p2546 +tp2547 +a(g827 +V\u000a +p2548 +tp2549 +a(g827 +V +p2550 +tp2551 +a(g810 +Vthrows +p2552 +tp2553 +a(g827 +g964 +tp2554 +a(g436 +VPlatformManagerException +p2555 +tp2556 +a(g827 +V\u000a +p2557 +tp2558 +a(g827 +g1917 +tp2559 +a(g413 +g1122 +tp2560 +a(g827 +V\u000a +p2561 +tp2562 +a(g827 +V +p2563 +tp2564 +a(g745 +Vthrow +p2565 +tp2566 +a(g827 +g964 +tp2567 +a(g745 +Vnew +p2568 +tp2569 +a(g827 +g964 +tp2570 +a(g436 +VPlatformManagerException +p2571 +tp2572 +a(g413 +g1194 +tp2573 +a(g89 +V"Unsupported capability called on platform manager" +p2574 +tp2575 +a(g413 +g1198 +tp2576 +a(g413 +g968 +tp2577 +a(g827 +V\u000a +p2578 +tp2579 +a(g827 +g1917 +tp2580 +a(g413 +g1328 +tp2581 +a(g827 +V\u000a +p2582 +tp2583 +a(g827 +g1917 +tp2584 +a(g827 +V\u000a +p2585 +tp2586 +a(g827 +g1917 +tp2587 +a(g810 +Vpublic +p2588 +tp2589 +a(g827 +g964 +tp2590 +a(g749 +Vvoid +p2591 +tp2592 +a(g827 +V\u000a +p2593 +tp2594 +a(g827 +g1917 +tp2595 +a(g574 +VregisterAdditionalFileType +p2596 +tp2597 +a(g413 +g1194 +tp2598 +a(g827 +V\u000a +p2599 +tp2600 +a(g827 +V +p2601 +tp2602 +a(g436 +VString +p2603 +tp2604 +a(g827 +V +p2605 +tp2606 +a(g436 +Vname +p2607 +tp2608 +a(g413 +g1475 +tp2609 +a(g827 +V +p2610 +tp2611 +a(g906 +V// e.g. "BitTorrent"\u000a +p2612 +tp2613 +a(g827 +V +p2614 +tp2615 +a(g436 +VString +p2616 +tp2617 +a(g827 +V +p2618 +tp2619 +a(g436 +Vdescription +p2620 +tp2621 +a(g413 +g1475 +tp2622 +a(g827 +V +p2623 +tp2624 +a(g906 +V// e.g. "BitTorrent File"\u000a +p2625 +tp2626 +a(g827 +V +p2627 +tp2628 +a(g436 +VString +p2629 +tp2630 +a(g827 +V +p2631 +tp2632 +a(g436 +Vtype +p2633 +tp2634 +a(g413 +g1475 +tp2635 +a(g827 +V +p2636 +tp2637 +a(g906 +V// e.g. ".torrent"\u000a +p2638 +tp2639 +a(g827 +V +p2640 +tp2641 +a(g436 +VString +p2642 +tp2643 +a(g827 +V +p2644 +tp2645 +a(g436 +Vcontent_type +p2646 +tp2647 +a(g827 +g964 +tp2648 +a(g413 +g1198 +tp2649 +a(g827 +V +p2650 +tp2651 +a(g906 +V// e.g. "application/x-bittorrent"\u000a +p2652 +tp2653 +a(g827 +g1917 +tp2654 +a(g827 +V\u000a +p2655 +tp2656 +a(g827 +V +p2657 +tp2658 +a(g810 +Vthrows +p2659 +tp2660 +a(g827 +g964 +tp2661 +a(g436 +VPlatformManagerException +p2662 +tp2663 +a(g827 +V\u000a +p2664 +tp2665 +a(g827 +g1917 +tp2666 +a(g413 +g1122 +tp2667 +a(g827 +V\u000a +p2668 +tp2669 +a(g827 +V +p2670 +tp2671 +a(g745 +Vthrow +p2672 +tp2673 +a(g827 +g964 +tp2674 +a(g745 +Vnew +p2675 +tp2676 +a(g827 +g964 +tp2677 +a(g436 +VPlatformManagerException +p2678 +tp2679 +a(g413 +g1194 +tp2680 +a(g89 +V"Unsupported capability called on platform manager" +p2681 +tp2682 +a(g413 +g1198 +tp2683 +a(g413 +g968 +tp2684 +a(g827 +V\u000a +p2685 +tp2686 +a(g827 +g1917 +tp2687 +a(g413 +g1328 +tp2688 +a(g827 +V\u000a +p2689 +tp2690 +a(g827 +g1917 +tp2691 +a(g827 +V\u000a +p2692 +tp2693 +a(g827 +V +p2694 +tp2695 +a(g8 +V/**\u000a * Not implemented; does nothing\u000a */ +p2696 +tp2697 +a(g827 +V\u000a +p2698 +tp2699 +a(g827 +V +p2700 +tp2701 +a(g810 +Vpublic +p2702 +tp2703 +a(g827 +g964 +tp2704 +a(g749 +Vvoid +p2705 +tp2706 +a(g827 +g964 +tp2707 +a(g574 +VregisterApplication +p2708 +tp2709 +a(g413 +g1194 +tp2710 +a(g413 +g1198 +tp2711 +a(g827 +g964 +tp2712 +a(g810 +Vthrows +p2713 +tp2714 +a(g827 +g964 +tp2715 +a(g436 +VPlatformManagerException +p2716 +tp2717 +a(g827 +V\u000a +p2718 +tp2719 +a(g827 +V +p2720 +tp2721 +a(g413 +g1122 +tp2722 +a(g827 +V\u000a +p2723 +tp2724 +a(g827 +V +p2725 +tp2726 +a(g906 +V// handled by LaunchServices and/0r user interaction\u000a +p2727 +tp2728 +a(g827 +V +p2729 +tp2730 +a(g413 +g1328 +tp2731 +a(g827 +V\u000a +p2732 +tp2733 +a(g827 +V\u000a +p2734 +tp2735 +a(g827 +V +p2736 +tp2737 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p2738 +tp2739 +a(g827 +V\u000a +p2740 +tp2741 +a(g827 +V +p2742 +tp2743 +a(g810 +Vpublic +p2744 +tp2745 +a(g827 +g964 +tp2746 +a(g749 +Vvoid +p2747 +tp2748 +a(g827 +g964 +tp2749 +a(g574 +VcreateProcess +p2750 +tp2751 +a(g413 +g1194 +tp2752 +a(g436 +VString +p2753 +tp2754 +a(g827 +g964 +tp2755 +a(g436 +Vcmd +p2756 +tp2757 +a(g413 +g1475 +tp2758 +a(g827 +g964 +tp2759 +a(g749 +Vboolean +p2760 +tp2761 +a(g827 +g964 +tp2762 +a(g436 +VinheritsHandles +p2763 +tp2764 +a(g413 +g1198 +tp2765 +a(g827 +g964 +tp2766 +a(g810 +Vthrows +p2767 +tp2768 +a(g827 +g964 +tp2769 +a(g436 +VPlatformManagerException +p2770 +tp2771 +a(g827 +V\u000a +p2772 +tp2773 +a(g827 +V +p2774 +tp2775 +a(g413 +g1122 +tp2776 +a(g827 +V\u000a +p2777 +tp2778 +a(g827 +V +p2779 +tp2780 +a(g745 +Vtry +p2781 +tp2782 +a(g827 +V\u000a +p2783 +tp2784 +a(g827 +V +p2785 +tp2786 +a(g413 +g1122 +tp2787 +a(g827 +V\u000a +p2788 +tp2789 +a(g827 +V +p2790 +tp2791 +a(g436 +VperformRuntimeExec +p2792 +tp2793 +a(g413 +g1194 +tp2794 +a(g436 +Vcmd +p2795 +tp2796 +a(g413 +g1148 +tp2797 +a(g667 +Vsplit +p2798 +tp2799 +a(g413 +g1194 +tp2800 +a(g89 +V" " +p2801 +tp2802 +a(g413 +g1198 +tp2803 +a(g413 +g1198 +tp2804 +a(g413 +g968 +tp2805 +a(g827 +V\u000a +p2806 +tp2807 +a(g827 +V +p2808 +tp2809 +a(g413 +g1328 +tp2810 +a(g827 +V\u000a +p2811 +tp2812 +a(g827 +V +p2813 +tp2814 +a(g745 +Vcatch +p2815 +tp2816 +a(g827 +g964 +tp2817 +a(g413 +g1194 +tp2818 +a(g436 +VThrowable +p2819 +tp2820 +a(g827 +g964 +tp2821 +a(g436 +g1449 +tp2822 +a(g413 +g1198 +tp2823 +a(g827 +V\u000a +p2824 +tp2825 +a(g827 +V +p2826 +tp2827 +a(g413 +g1122 +tp2828 +a(g827 +V\u000a +p2829 +tp2830 +a(g827 +V +p2831 +tp2832 +a(g745 +Vthrow +p2833 +tp2834 +a(g827 +g964 +tp2835 +a(g745 +Vnew +p2836 +tp2837 +a(g827 +g964 +tp2838 +a(g436 +VPlatformManagerException +p2839 +tp2840 +a(g413 +g1194 +tp2841 +a(g89 +V"Failed to create process" +p2842 +tp2843 +a(g413 +g1475 +tp2844 +a(g827 +g964 +tp2845 +a(g436 +g1449 +tp2846 +a(g413 +g1198 +tp2847 +a(g413 +g968 +tp2848 +a(g827 +V\u000a +p2849 +tp2850 +a(g827 +V +p2851 +tp2852 +a(g413 +g1328 +tp2853 +a(g827 +V\u000a +p2854 +tp2855 +a(g827 +V +p2856 +tp2857 +a(g413 +g1328 +tp2858 +a(g827 +V\u000a +p2859 +tp2860 +a(g827 +V\u000a +p2861 +tp2862 +a(g827 +V +p2863 +tp2864 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p2865 +tp2866 +a(g827 +V\u000a +p2867 +tp2868 +a(g827 +V +p2869 +tp2870 +a(g810 +Vpublic +p2871 +tp2872 +a(g827 +g964 +tp2873 +a(g749 +Vvoid +p2874 +tp2875 +a(g827 +g964 +tp2876 +a(g574 +VperformRecoverableFileDelete +p2877 +tp2878 +a(g413 +g1194 +tp2879 +a(g436 +VString +p2880 +tp2881 +a(g827 +g964 +tp2882 +a(g436 +Vpath +p2883 +tp2884 +a(g413 +g1198 +tp2885 +a(g827 +g964 +tp2886 +a(g810 +Vthrows +p2887 +tp2888 +a(g827 +g964 +tp2889 +a(g436 +VPlatformManagerException +p2890 +tp2891 +a(g827 +V\u000a +p2892 +tp2893 +a(g827 +V +p2894 +tp2895 +a(g413 +g1122 +tp2896 +a(g827 +V\u000a +p2897 +tp2898 +a(g827 +V +p2899 +tp2900 +a(g436 +VFile +p2901 +tp2902 +a(g827 +g964 +tp2903 +a(g436 +Vfile +p2904 +tp2905 +a(g827 +g964 +tp2906 +a(g413 +g1143 +tp2907 +a(g827 +g964 +tp2908 +a(g745 +Vnew +p2909 +tp2910 +a(g827 +g964 +tp2911 +a(g436 +VFile +p2912 +tp2913 +a(g413 +g1194 +tp2914 +a(g436 +Vpath +p2915 +tp2916 +a(g413 +g1198 +tp2917 +a(g413 +g968 +tp2918 +a(g827 +V\u000a +p2919 +tp2920 +a(g827 +V +p2921 +tp2922 +a(g745 +Vif +p2923 +tp2924 +a(g413 +g1194 +tp2925 +a(g413 +g1807 +tp2926 +a(g436 +Vfile +p2927 +tp2928 +a(g413 +g1148 +tp2929 +a(g667 +Vexists +p2930 +tp2931 +a(g413 +g1194 +tp2932 +a(g413 +g1198 +tp2933 +a(g413 +g1198 +tp2934 +a(g827 +V\u000a +p2935 +tp2936 +a(g827 +V +p2937 +tp2938 +a(g413 +g1122 +tp2939 +a(g827 +V\u000a +p2940 +tp2941 +a(g827 +V +p2942 +tp2943 +a(g745 +Vif +p2944 +tp2945 +a(g827 +g964 +tp2946 +a(g413 +g1194 +tp2947 +a(g436 +VLogger +p2948 +tp2949 +a(g413 +g1148 +tp2950 +a(g667 +VisEnabled +p2951 +tp2952 +a(g413 +g1194 +tp2953 +a(g413 +g1198 +tp2954 +a(g413 +g1198 +tp2955 +a(g827 +V\u000a +p2956 +tp2957 +a(g827 +V +p2958 +tp2959 +a(g436 +VLogger +p2960 +tp2961 +a(g413 +g1148 +tp2962 +a(g667 +Vlog +p2963 +tp2964 +a(g413 +g1194 +tp2965 +a(g745 +Vnew +p2966 +tp2967 +a(g827 +g964 +tp2968 +a(g436 +VLogEvent +p2969 +tp2970 +a(g413 +g1194 +tp2971 +a(g436 +VLOGID +p2972 +tp2973 +a(g413 +g1475 +tp2974 +a(g827 +g964 +tp2975 +a(g436 +VLogEvent +p2976 +tp2977 +a(g413 +g1148 +tp2978 +a(g667 +VLT_WARNING +p2979 +tp2980 +a(g413 +g1475 +tp2981 +a(g827 +g964 +tp2982 +a(g89 +V"Cannot find " +p2983 +tp2984 +a(g827 +V\u000a +p2985 +tp2986 +a(g827 +V +p2987 +tp2988 +a(g413 +g1240 +tp2989 +a(g827 +g964 +tp2990 +a(g436 +Vfile +p2991 +tp2992 +a(g413 +g1148 +tp2993 +a(g667 +VgetName +p2994 +tp2995 +a(g413 +g1194 +tp2996 +a(g413 +g1198 +tp2997 +a(g413 +g1198 +tp2998 +a(g413 +g1198 +tp2999 +a(g413 +g968 +tp3000 +a(g827 +V\u000a +p3001 +tp3002 +a(g827 +V +p3003 +tp3004 +a(g745 +Vreturn +p3005 +tp3006 +a(g413 +g968 +tp3007 +a(g827 +V\u000a +p3008 +tp3009 +a(g827 +V +p3010 +tp3011 +a(g413 +g1328 +tp3012 +a(g827 +V\u000a +p3013 +tp3014 +a(g827 +V\u000a +p3015 +tp3016 +a(g827 +V +p3017 +tp3018 +a(g749 +Vboolean +p3019 +tp3020 +a(g827 +g964 +tp3021 +a(g436 +VuseOSA +p3022 +tp3023 +a(g827 +g964 +tp3024 +a(g413 +g1143 +tp3025 +a(g827 +g964 +tp3026 +a(g413 +g1807 +tp3027 +a(g436 +VNativeInvocationBridge +p3028 +tp3029 +a(g413 +g1148 +tp3030 +a(g667 +VsharedInstance +p3031 +tp3032 +a(g413 +g1194 +tp3033 +a(g413 +g1198 +tp3034 +a(g413 +g1148 +tp3035 +a(g667 +VisEnabled +p3036 +tp3037 +a(g413 +g1194 +tp3038 +a(g413 +g1198 +tp3039 +a(g827 +g964 +tp3040 +a(g413 +V| +p3041 +tp3042 +a(g413 +g3041 +tp3043 +a(g827 +g964 +tp3044 +a(g413 +g1807 +tp3045 +a(g436 +VNativeInvocationBridge +p3046 +tp3047 +a(g413 +g1148 +tp3048 +a(g667 +VsharedInstance +p3049 +tp3050 +a(g413 +g1194 +tp3051 +a(g413 +g1198 +tp3052 +a(g413 +g1148 +tp3053 +a(g667 +VperformRecoverableFileDelete +p3054 +tp3055 +a(g413 +g1194 +tp3056 +a(g436 +Vfile +p3057 +tp3058 +a(g413 +g1198 +tp3059 +a(g413 +g968 +tp3060 +a(g827 +V\u000a +p3061 +tp3062 +a(g827 +V\u000a +p3063 +tp3064 +a(g827 +V +p3065 +tp3066 +a(g745 +Vif +p3067 +tp3068 +a(g413 +g1194 +tp3069 +a(g436 +VuseOSA +p3070 +tp3071 +a(g413 +g1198 +tp3072 +a(g827 +V\u000a +p3073 +tp3074 +a(g827 +V +p3075 +tp3076 +a(g413 +g1122 +tp3077 +a(g827 +V\u000a +p3078 +tp3079 +a(g827 +V +p3080 +tp3081 +a(g745 +Vtry +p3082 +tp3083 +a(g827 +V\u000a +p3084 +tp3085 +a(g827 +V +p3086 +tp3087 +a(g413 +g1122 +tp3088 +a(g827 +V\u000a +p3089 +tp3090 +a(g827 +V +p3091 +tp3092 +a(g436 +VStringBuffer +p3093 +tp3094 +a(g827 +g964 +tp3095 +a(g436 +Vsb +p3096 +tp3097 +a(g827 +g964 +tp3098 +a(g413 +g1143 +tp3099 +a(g827 +g964 +tp3100 +a(g745 +Vnew +p3101 +tp3102 +a(g827 +g964 +tp3103 +a(g436 +VStringBuffer +p3104 +tp3105 +a(g413 +g1194 +tp3106 +a(g413 +g1198 +tp3107 +a(g413 +g968 +tp3108 +a(g827 +V\u000a +p3109 +tp3110 +a(g827 +V +p3111 +tp3112 +a(g436 +Vsb +p3113 +tp3114 +a(g413 +g1148 +tp3115 +a(g667 +Vappend +p3116 +tp3117 +a(g413 +g1194 +tp3118 +a(g89 +V"tell application \u005c"" +p3119 +tp3120 +a(g413 +g1198 +tp3121 +a(g413 +g968 +tp3122 +a(g827 +V\u000a +p3123 +tp3124 +a(g827 +V +p3125 +tp3126 +a(g436 +Vsb +p3127 +tp3128 +a(g413 +g1148 +tp3129 +a(g667 +Vappend +p3130 +tp3131 +a(g413 +g1194 +tp3132 +a(g89 +V"Finder" +p3133 +tp3134 +a(g413 +g1198 +tp3135 +a(g413 +g968 +tp3136 +a(g827 +V\u000a +p3137 +tp3138 +a(g827 +V +p3139 +tp3140 +a(g436 +Vsb +p3141 +tp3142 +a(g413 +g1148 +tp3143 +a(g667 +Vappend +p3144 +tp3145 +a(g413 +g1194 +tp3146 +a(g89 +V"\u005c" to move (posix file \u005c"" +p3147 +tp3148 +a(g413 +g1198 +tp3149 +a(g413 +g968 +tp3150 +a(g827 +V\u000a +p3151 +tp3152 +a(g827 +V +p3153 +tp3154 +a(g436 +Vsb +p3155 +tp3156 +a(g413 +g1148 +tp3157 +a(g667 +Vappend +p3158 +tp3159 +a(g413 +g1194 +tp3160 +a(g436 +Vpath +p3161 +tp3162 +a(g413 +g1198 +tp3163 +a(g413 +g968 +tp3164 +a(g827 +V\u000a +p3165 +tp3166 +a(g827 +V +p3167 +tp3168 +a(g436 +Vsb +p3169 +tp3170 +a(g413 +g1148 +tp3171 +a(g667 +Vappend +p3172 +tp3173 +a(g413 +g1194 +tp3174 +a(g89 +V"\u005c" as alias) to the trash" +p3175 +tp3176 +a(g413 +g1198 +tp3177 +a(g413 +g968 +tp3178 +a(g827 +V\u000a +p3179 +tp3180 +a(g827 +V\u000a +p3181 +tp3182 +a(g827 +V +p3183 +tp3184 +a(g436 +VperformOSAScript +p3185 +tp3186 +a(g413 +g1194 +tp3187 +a(g436 +Vsb +p3188 +tp3189 +a(g413 +g1198 +tp3190 +a(g413 +g968 +tp3191 +a(g827 +V\u000a +p3192 +tp3193 +a(g827 +V +p3194 +tp3195 +a(g413 +g1328 +tp3196 +a(g827 +V\u000a +p3197 +tp3198 +a(g827 +V +p3199 +tp3200 +a(g745 +Vcatch +p3201 +tp3202 +a(g827 +g964 +tp3203 +a(g413 +g1194 +tp3204 +a(g436 +VThrowable +p3205 +tp3206 +a(g827 +g964 +tp3207 +a(g436 +g1449 +tp3208 +a(g413 +g1198 +tp3209 +a(g827 +V\u000a +p3210 +tp3211 +a(g827 +V +p3212 +tp3213 +a(g413 +g1122 +tp3214 +a(g827 +V\u000a +p3215 +tp3216 +a(g827 +V +p3217 +tp3218 +a(g745 +Vthrow +p3219 +tp3220 +a(g827 +g964 +tp3221 +a(g745 +Vnew +p3222 +tp3223 +a(g827 +g964 +tp3224 +a(g436 +VPlatformManagerException +p3225 +tp3226 +a(g413 +g1194 +tp3227 +a(g89 +V"Failed to move file" +p3228 +tp3229 +a(g413 +g1475 +tp3230 +a(g827 +g964 +tp3231 +a(g436 +g1449 +tp3232 +a(g413 +g1198 +tp3233 +a(g413 +g968 +tp3234 +a(g827 +V\u000a +p3235 +tp3236 +a(g827 +V +p3237 +tp3238 +a(g413 +g1328 +tp3239 +a(g827 +V\u000a +p3240 +tp3241 +a(g827 +V +p3242 +tp3243 +a(g413 +g1328 +tp3244 +a(g827 +V\u000a +p3245 +tp3246 +a(g827 +V +p3247 +tp3248 +a(g413 +g1328 +tp3249 +a(g827 +V\u000a +p3250 +tp3251 +a(g827 +V\u000a +p3252 +tp3253 +a(g827 +V +p3254 +tp3255 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p3256 +tp3257 +a(g827 +V\u000a +p3258 +tp3259 +a(g827 +V +p3260 +tp3261 +a(g810 +Vpublic +p3262 +tp3263 +a(g827 +g964 +tp3264 +a(g749 +Vboolean +p3265 +tp3266 +a(g827 +g964 +tp3267 +a(g574 +VhasCapability +p3268 +tp3269 +a(g413 +g1194 +tp3270 +a(g436 +VPlatformManagerCapabilities +p3271 +tp3272 +a(g827 +g964 +tp3273 +a(g436 +Vcapability +p3274 +tp3275 +a(g413 +g1198 +tp3276 +a(g827 +V\u000a +p3277 +tp3278 +a(g827 +V +p3279 +tp3280 +a(g413 +g1122 +tp3281 +a(g827 +V\u000a +p3282 +tp3283 +a(g827 +V +p3284 +tp3285 +a(g745 +Vreturn +p3286 +tp3287 +a(g827 +g964 +tp3288 +a(g436 +VcapabilitySet +p3289 +tp3290 +a(g413 +g1148 +tp3291 +a(g667 +Vcontains +p3292 +tp3293 +a(g413 +g1194 +tp3294 +a(g436 +Vcapability +p3295 +tp3296 +a(g413 +g1198 +tp3297 +a(g413 +g968 +tp3298 +a(g827 +V\u000a +p3299 +tp3300 +a(g827 +V +p3301 +tp3302 +a(g413 +g1328 +tp3303 +a(g827 +V\u000a +p3304 +tp3305 +a(g827 +V\u000a +p3306 +tp3307 +a(g827 +V +p3308 +tp3309 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p3310 +tp3311 +a(g827 +V\u000a +p3312 +tp3313 +a(g827 +V +p3314 +tp3315 +a(g810 +Vpublic +p3316 +tp3317 +a(g827 +g964 +tp3318 +a(g749 +Vvoid +p3319 +tp3320 +a(g827 +g964 +tp3321 +a(g574 +Vdispose +p3322 +tp3323 +a(g413 +g1194 +tp3324 +a(g413 +g1198 +tp3325 +a(g827 +V\u000a +p3326 +tp3327 +a(g827 +V +p3328 +tp3329 +a(g413 +g1122 +tp3330 +a(g827 +V\u000a +p3331 +tp3332 +a(g827 +V +p3333 +tp3334 +a(g436 +VNativeInvocationBridge +p3335 +tp3336 +a(g413 +g1148 +tp3337 +a(g667 +VsharedInstance +p3338 +tp3339 +a(g413 +g1194 +tp3340 +a(g413 +g1198 +tp3341 +a(g413 +g1148 +tp3342 +a(g667 +Vdispose +p3343 +tp3344 +a(g413 +g1194 +tp3345 +a(g413 +g1198 +tp3346 +a(g413 +g968 +tp3347 +a(g827 +V\u000a +p3348 +tp3349 +a(g827 +V +p3350 +tp3351 +a(g413 +g1328 +tp3352 +a(g827 +V\u000a +p3353 +tp3354 +a(g827 +V\u000a +p3355 +tp3356 +a(g827 +V +p3357 +tp3358 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p3359 +tp3360 +a(g827 +V\u000a +p3361 +tp3362 +a(g827 +V +p3363 +tp3364 +a(g810 +Vpublic +p3365 +tp3366 +a(g827 +g964 +tp3367 +a(g749 +Vvoid +p3368 +tp3369 +a(g827 +g964 +tp3370 +a(g574 +VsetTCPTOSEnabled +p3371 +tp3372 +a(g413 +g1194 +tp3373 +a(g749 +Vboolean +p3374 +tp3375 +a(g827 +g964 +tp3376 +a(g436 +Venabled +p3377 +tp3378 +a(g413 +g1198 +tp3379 +a(g827 +g964 +tp3380 +a(g810 +Vthrows +p3381 +tp3382 +a(g827 +g964 +tp3383 +a(g436 +VPlatformManagerException +p3384 +tp3385 +a(g827 +V\u000a +p3386 +tp3387 +a(g827 +V +p3388 +tp3389 +a(g413 +g1122 +tp3390 +a(g827 +V\u000a +p3391 +tp3392 +a(g827 +V +p3393 +tp3394 +a(g745 +Vthrow +p3395 +tp3396 +a(g827 +g964 +tp3397 +a(g745 +Vnew +p3398 +tp3399 +a(g827 +g964 +tp3400 +a(g436 +VPlatformManagerException +p3401 +tp3402 +a(g413 +g1194 +tp3403 +a(g89 +V"Unsupported capability called on platform manager" +p3404 +tp3405 +a(g413 +g1198 +tp3406 +a(g413 +g968 +tp3407 +a(g827 +V\u000a +p3408 +tp3409 +a(g827 +V +p3410 +tp3411 +a(g413 +g1328 +tp3412 +a(g827 +V\u000a +p3413 +tp3414 +a(g827 +V\u000a +p3415 +tp3416 +a(g827 +g1917 +tp3417 +a(g810 +Vpublic +p3418 +tp3419 +a(g827 +g964 +tp3420 +a(g749 +Vvoid +p3421 +tp3422 +a(g827 +V\u000a +p3423 +tp3424 +a(g827 +V +p3425 +tp3426 +a(g574 +VcopyFilePermissions +p3427 +tp3428 +a(g413 +g1194 +tp3429 +a(g827 +V\u000a +p3430 +tp3431 +a(g827 +V +p3432 +tp3433 +a(g436 +VString +p3434 +tp3435 +a(g827 +g1917 +tp3436 +a(g436 +Vfrom_file_name +p3437 +tp3438 +a(g413 +g1475 +tp3439 +a(g827 +V\u000a +p3440 +tp3441 +a(g827 +V +p3442 +tp3443 +a(g436 +VString +p3444 +tp3445 +a(g827 +g1917 +tp3446 +a(g436 +Vto_file_name +p3447 +tp3448 +a(g827 +g964 +tp3449 +a(g413 +g1198 +tp3450 +a(g827 +V\u000a +p3451 +tp3452 +a(g827 +g1917 +tp3453 +a(g827 +V\u000a +p3454 +tp3455 +a(g827 +V +p3456 +tp3457 +a(g810 +Vthrows +p3458 +tp3459 +a(g827 +g964 +tp3460 +a(g436 +VPlatformManagerException +p3461 +tp3462 +a(g827 +V\u000a +p3463 +tp3464 +a(g827 +g1917 +tp3465 +a(g413 +g1122 +tp3466 +a(g827 +V\u000a +p3467 +tp3468 +a(g827 +V +p3469 +tp3470 +a(g745 +Vthrow +p3471 +tp3472 +a(g827 +g964 +tp3473 +a(g745 +Vnew +p3474 +tp3475 +a(g827 +g964 +tp3476 +a(g436 +VPlatformManagerException +p3477 +tp3478 +a(g413 +g1194 +tp3479 +a(g89 +V"Unsupported capability called on platform manager" +p3480 +tp3481 +a(g413 +g1198 +tp3482 +a(g413 +g968 +tp3483 +a(g827 +V +p3484 +tp3485 +a(g827 +V\u000a +p3486 +tp3487 +a(g827 +g1917 +tp3488 +a(g413 +g1328 +tp3489 +a(g827 +V\u000a +p3490 +tp3491 +a(g827 +g1917 +tp3492 +a(g827 +V\u000a +p3493 +tp3494 +a(g827 +V +p3495 +tp3496 +a(g8 +V/**\u000a * {@inheritDoc}\u000a */ +p3497 +tp3498 +a(g827 +V\u000a +p3499 +tp3500 +a(g827 +V +p3501 +tp3502 +a(g810 +Vpublic +p3503 +tp3504 +a(g827 +g964 +tp3505 +a(g749 +Vvoid +p3506 +tp3507 +a(g827 +g964 +tp3508 +a(g574 +VshowFile +p3509 +tp3510 +a(g413 +g1194 +tp3511 +a(g436 +VString +p3512 +tp3513 +a(g827 +g964 +tp3514 +a(g436 +Vpath +p3515 +tp3516 +a(g413 +g1198 +tp3517 +a(g827 +g964 +tp3518 +a(g810 +Vthrows +p3519 +tp3520 +a(g827 +g964 +tp3521 +a(g436 +VPlatformManagerException +p3522 +tp3523 +a(g827 +V\u000a +p3524 +tp3525 +a(g827 +V +p3526 +tp3527 +a(g413 +g1122 +tp3528 +a(g827 +V\u000a +p3529 +tp3530 +a(g827 +V +p3531 +tp3532 +a(g436 +VFile +p3533 +tp3534 +a(g827 +g964 +tp3535 +a(g436 +Vfile +p3536 +tp3537 +a(g827 +g964 +tp3538 +a(g413 +g1143 +tp3539 +a(g827 +g964 +tp3540 +a(g745 +Vnew +p3541 +tp3542 +a(g827 +g964 +tp3543 +a(g436 +VFile +p3544 +tp3545 +a(g413 +g1194 +tp3546 +a(g436 +Vpath +p3547 +tp3548 +a(g413 +g1198 +tp3549 +a(g413 +g968 +tp3550 +a(g827 +V\u000a +p3551 +tp3552 +a(g827 +V +p3553 +tp3554 +a(g745 +Vif +p3555 +tp3556 +a(g413 +g1194 +tp3557 +a(g413 +g1807 +tp3558 +a(g436 +Vfile +p3559 +tp3560 +a(g413 +g1148 +tp3561 +a(g667 +Vexists +p3562 +tp3563 +a(g413 +g1194 +tp3564 +a(g413 +g1198 +tp3565 +a(g413 +g1198 +tp3566 +a(g827 +V\u000a +p3567 +tp3568 +a(g827 +V +p3569 +tp3570 +a(g413 +g1122 +tp3571 +a(g827 +V\u000a +p3572 +tp3573 +a(g827 +V +p3574 +tp3575 +a(g745 +Vif +p3576 +tp3577 +a(g827 +g964 +tp3578 +a(g413 +g1194 +tp3579 +a(g436 +VLogger +p3580 +tp3581 +a(g413 +g1148 +tp3582 +a(g667 +VisEnabled +p3583 +tp3584 +a(g413 +g1194 +tp3585 +a(g413 +g1198 +tp3586 +a(g413 +g1198 +tp3587 +a(g827 +V\u000a +p3588 +tp3589 +a(g827 +V +p3590 +tp3591 +a(g436 +VLogger +p3592 +tp3593 +a(g413 +g1148 +tp3594 +a(g667 +Vlog +p3595 +tp3596 +a(g413 +g1194 +tp3597 +a(g745 +Vnew +p3598 +tp3599 +a(g827 +g964 +tp3600 +a(g436 +VLogEvent +p3601 +tp3602 +a(g413 +g1194 +tp3603 +a(g436 +VLOGID +p3604 +tp3605 +a(g413 +g1475 +tp3606 +a(g827 +g964 +tp3607 +a(g436 +VLogEvent +p3608 +tp3609 +a(g413 +g1148 +tp3610 +a(g667 +VLT_WARNING +p3611 +tp3612 +a(g413 +g1475 +tp3613 +a(g827 +g964 +tp3614 +a(g89 +V"Cannot find " +p3615 +tp3616 +a(g827 +V\u000a +p3617 +tp3618 +a(g827 +V +p3619 +tp3620 +a(g413 +g1240 +tp3621 +a(g827 +g964 +tp3622 +a(g436 +Vfile +p3623 +tp3624 +a(g413 +g1148 +tp3625 +a(g667 +VgetName +p3626 +tp3627 +a(g413 +g1194 +tp3628 +a(g413 +g1198 +tp3629 +a(g413 +g1198 +tp3630 +a(g413 +g1198 +tp3631 +a(g413 +g968 +tp3632 +a(g827 +V\u000a +p3633 +tp3634 +a(g827 +V +p3635 +tp3636 +a(g745 +Vthrow +p3637 +tp3638 +a(g827 +g964 +tp3639 +a(g745 +Vnew +p3640 +tp3641 +a(g827 +g964 +tp3642 +a(g436 +VPlatformManagerException +p3643 +tp3644 +a(g413 +g1194 +tp3645 +a(g89 +V"File not found" +p3646 +tp3647 +a(g413 +g1198 +tp3648 +a(g413 +g968 +tp3649 +a(g827 +V\u000a +p3650 +tp3651 +a(g827 +V +p3652 +tp3653 +a(g413 +g1328 +tp3654 +a(g827 +V\u000a +p3655 +tp3656 +a(g827 +V\u000a +p3657 +tp3658 +a(g827 +V +p3659 +tp3660 +a(g436 +VshowInFinder +p3661 +tp3662 +a(g413 +g1194 +tp3663 +a(g436 +Vfile +p3664 +tp3665 +a(g413 +g1198 +tp3666 +a(g413 +g968 +tp3667 +a(g827 +V\u000a +p3668 +tp3669 +a(g827 +V +p3670 +tp3671 +a(g413 +g1328 +tp3672 +a(g827 +V\u000a +p3673 +tp3674 +a(g827 +V\u000a +p3675 +tp3676 +a(g827 +V +p3677 +tp3678 +a(g906 +V// Public utility methods not shared across the interface\u000a +p3679 +tp3680 +a(g827 +V\u000a +p3681 +tp3682 +a(g827 +V +p3683 +tp3684 +a(g8 +V/**\u000a * Plays the system alert (the jingle is specified by the user in System Preferences)\u000a */ +p3685 +tp3686 +a(g827 +V\u000a +p3687 +tp3688 +a(g827 +V +p3689 +tp3690 +a(g810 +Vpublic +p3691 +tp3692 +a(g827 +g964 +tp3693 +a(g749 +Vvoid +p3694 +tp3695 +a(g827 +g964 +tp3696 +a(g574 +VplaySystemAlert +p3697 +tp3698 +a(g413 +g1194 +tp3699 +a(g413 +g1198 +tp3700 +a(g827 +V\u000a +p3701 +tp3702 +a(g827 +V +p3703 +tp3704 +a(g413 +g1122 +tp3705 +a(g827 +V\u000a +p3706 +tp3707 +a(g827 +V +p3708 +tp3709 +a(g745 +Vtry +p3710 +tp3711 +a(g827 +V\u000a +p3712 +tp3713 +a(g827 +V +p3714 +tp3715 +a(g413 +g1122 +tp3716 +a(g827 +V\u000a +p3717 +tp3718 +a(g827 +V +p3719 +tp3720 +a(g436 +VperformRuntimeExec +p3721 +tp3722 +a(g413 +g1194 +tp3723 +a(g745 +Vnew +p3724 +tp3725 +a(g827 +g964 +tp3726 +a(g436 +VString +p3727 +tp3728 +a(g413 +V[ +p3729 +tp3730 +a(g413 +V] +p3731 +tp3732 +a(g413 +g1122 +tp3733 +a(g89 +V"beep" +p3734 +tp3735 +a(g413 +g1328 +tp3736 +a(g413 +g1198 +tp3737 +a(g413 +g968 +tp3738 +a(g827 +V\u000a +p3739 +tp3740 +a(g827 +V +p3741 +tp3742 +a(g413 +g1328 +tp3743 +a(g827 +V\u000a +p3744 +tp3745 +a(g827 +V +p3746 +tp3747 +a(g745 +Vcatch +p3748 +tp3749 +a(g827 +g964 +tp3750 +a(g413 +g1194 +tp3751 +a(g436 +VIOException +p3752 +tp3753 +a(g827 +g964 +tp3754 +a(g436 +g1449 +tp3755 +a(g413 +g1198 +tp3756 +a(g827 +V\u000a +p3757 +tp3758 +a(g827 +V +p3759 +tp3760 +a(g413 +g1122 +tp3761 +a(g827 +V\u000a +p3762 +tp3763 +a(g827 +V +p3764 +tp3765 +a(g745 +Vif +p3766 +tp3767 +a(g827 +g964 +tp3768 +a(g413 +g1194 +tp3769 +a(g436 +VLogger +p3770 +tp3771 +a(g413 +g1148 +tp3772 +a(g667 +VisEnabled +p3773 +tp3774 +a(g413 +g1194 +tp3775 +a(g413 +g1198 +tp3776 +a(g413 +g1198 +tp3777 +a(g827 +V\u000a +p3778 +tp3779 +a(g827 +V +p3780 +tp3781 +a(g436 +VLogger +p3782 +tp3783 +a(g413 +g1148 +tp3784 +a(g667 +Vlog +p3785 +tp3786 +a(g413 +g1194 +tp3787 +a(g745 +Vnew +p3788 +tp3789 +a(g827 +g964 +tp3790 +a(g436 +VLogEvent +p3791 +tp3792 +a(g413 +g1194 +tp3793 +a(g436 +VLOGID +p3794 +tp3795 +a(g413 +g1475 +tp3796 +a(g827 +g964 +tp3797 +a(g436 +VLogEvent +p3798 +tp3799 +a(g413 +g1148 +tp3800 +a(g667 +VLT_WARNING +p3801 +tp3802 +a(g413 +g1475 +tp3803 +a(g827 +V\u000a +p3804 +tp3805 +a(g827 +V +p3806 +tp3807 +a(g89 +V"Cannot play system alert" +p3808 +tp3809 +a(g413 +g1198 +tp3810 +a(g413 +g1198 +tp3811 +a(g413 +g968 +tp3812 +a(g827 +V\u000a +p3813 +tp3814 +a(g827 +V +p3815 +tp3816 +a(g436 +VLogger +p3817 +tp3818 +a(g413 +g1148 +tp3819 +a(g667 +Vlog +p3820 +tp3821 +a(g413 +g1194 +tp3822 +a(g745 +Vnew +p3823 +tp3824 +a(g827 +g964 +tp3825 +a(g436 +VLogEvent +p3826 +tp3827 +a(g413 +g1194 +tp3828 +a(g436 +VLOGID +p3829 +tp3830 +a(g413 +g1475 +tp3831 +a(g827 +g964 +tp3832 +a(g89 +V"" +p3833 +tp3834 +a(g413 +g1475 +tp3835 +a(g827 +g964 +tp3836 +a(g436 +g1449 +tp3837 +a(g413 +g1198 +tp3838 +a(g413 +g1198 +tp3839 +a(g413 +g968 +tp3840 +a(g827 +V\u000a +p3841 +tp3842 +a(g827 +V +p3843 +tp3844 +a(g413 +g1328 +tp3845 +a(g827 +V\u000a +p3846 +tp3847 +a(g827 +V +p3848 +tp3849 +a(g413 +g1328 +tp3850 +a(g827 +V\u000a +p3851 +tp3852 +a(g827 +V\u000a +p3853 +tp3854 +a(g827 +V +p3855 +tp3856 +a(g8 +V/**\u000a *

    Shows the given file or directory in Finder

    \u000a * @param path Absolute path to the file or directory\u000a */ +p3857 +tp3858 +a(g827 +V\u000a +p3859 +tp3860 +a(g827 +V +p3861 +tp3862 +a(g810 +Vpublic +p3863 +tp3864 +a(g827 +g964 +tp3865 +a(g749 +Vvoid +p3866 +tp3867 +a(g827 +g964 +tp3868 +a(g574 +VshowInFinder +p3869 +tp3870 +a(g413 +g1194 +tp3871 +a(g436 +VFile +p3872 +tp3873 +a(g827 +g964 +tp3874 +a(g436 +Vpath +p3875 +tp3876 +a(g413 +g1198 +tp3877 +a(g827 +V\u000a +p3878 +tp3879 +a(g827 +V +p3880 +tp3881 +a(g413 +g1122 +tp3882 +a(g827 +V\u000a +p3883 +tp3884 +a(g827 +V +p3885 +tp3886 +a(g749 +Vboolean +p3887 +tp3888 +a(g827 +g964 +tp3889 +a(g436 +VuseOSA +p3890 +tp3891 +a(g827 +g964 +tp3892 +a(g413 +g1143 +tp3893 +a(g827 +g964 +tp3894 +a(g413 +g1807 +tp3895 +a(g436 +VNativeInvocationBridge +p3896 +tp3897 +a(g413 +g1148 +tp3898 +a(g667 +VsharedInstance +p3899 +tp3900 +a(g413 +g1194 +tp3901 +a(g413 +g1198 +tp3902 +a(g413 +g1148 +tp3903 +a(g667 +VisEnabled +p3904 +tp3905 +a(g413 +g1194 +tp3906 +a(g413 +g1198 +tp3907 +a(g827 +g964 +tp3908 +a(g413 +g3041 +tp3909 +a(g413 +g3041 +tp3910 +a(g827 +g964 +tp3911 +a(g413 +g1807 +tp3912 +a(g436 +VNativeInvocationBridge +p3913 +tp3914 +a(g413 +g1148 +tp3915 +a(g667 +VsharedInstance +p3916 +tp3917 +a(g413 +g1194 +tp3918 +a(g413 +g1198 +tp3919 +a(g413 +g1148 +tp3920 +a(g667 +VshowInFinder +p3921 +tp3922 +a(g413 +g1194 +tp3923 +a(g436 +Vpath +p3924 +tp3925 +a(g413 +g1198 +tp3926 +a(g413 +g968 +tp3927 +a(g827 +V\u000a +p3928 +tp3929 +a(g827 +V\u000a +p3930 +tp3931 +a(g827 +V +p3932 +tp3933 +a(g745 +Vif +p3934 +tp3935 +a(g413 +g1194 +tp3936 +a(g436 +VuseOSA +p3937 +tp3938 +a(g413 +g1198 +tp3939 +a(g827 +V\u000a +p3940 +tp3941 +a(g827 +V +p3942 +tp3943 +a(g413 +g1122 +tp3944 +a(g827 +V\u000a +p3945 +tp3946 +a(g827 +V +p3947 +tp3948 +a(g436 +VStringBuffer +p3949 +tp3950 +a(g827 +g964 +tp3951 +a(g436 +Vsb +p3952 +tp3953 +a(g827 +g964 +tp3954 +a(g413 +g1143 +tp3955 +a(g827 +g964 +tp3956 +a(g745 +Vnew +p3957 +tp3958 +a(g827 +g964 +tp3959 +a(g436 +VStringBuffer +p3960 +tp3961 +a(g413 +g1194 +tp3962 +a(g413 +g1198 +tp3963 +a(g413 +g968 +tp3964 +a(g827 +V\u000a +p3965 +tp3966 +a(g827 +V +p3967 +tp3968 +a(g436 +Vsb +p3969 +tp3970 +a(g413 +g1148 +tp3971 +a(g667 +Vappend +p3972 +tp3973 +a(g413 +g1194 +tp3974 +a(g89 +V"tell application \u005c"" +p3975 +tp3976 +a(g413 +g1198 +tp3977 +a(g413 +g968 +tp3978 +a(g827 +V\u000a +p3979 +tp3980 +a(g827 +V +p3981 +tp3982 +a(g436 +Vsb +p3983 +tp3984 +a(g413 +g1148 +tp3985 +a(g667 +Vappend +p3986 +tp3987 +a(g413 +g1194 +tp3988 +a(g436 +VgetFileBrowserName +p3989 +tp3990 +a(g413 +g1194 +tp3991 +a(g413 +g1198 +tp3992 +a(g413 +g1198 +tp3993 +a(g413 +g968 +tp3994 +a(g827 +V\u000a +p3995 +tp3996 +a(g827 +V +p3997 +tp3998 +a(g436 +Vsb +p3999 +tp4000 +a(g413 +g1148 +tp4001 +a(g667 +Vappend +p4002 +tp4003 +a(g413 +g1194 +tp4004 +a(g89 +V"\u005c" to reveal (posix file \u005c"" +p4005 +tp4006 +a(g413 +g1198 +tp4007 +a(g413 +g968 +tp4008 +a(g827 +V\u000a +p4009 +tp4010 +a(g827 +V +p4011 +tp4012 +a(g436 +Vsb +p4013 +tp4014 +a(g413 +g1148 +tp4015 +a(g667 +Vappend +p4016 +tp4017 +a(g413 +g1194 +tp4018 +a(g436 +Vpath +p4019 +tp4020 +a(g413 +g1198 +tp4021 +a(g413 +g968 +tp4022 +a(g827 +V\u000a +p4023 +tp4024 +a(g827 +V +p4025 +tp4026 +a(g436 +Vsb +p4027 +tp4028 +a(g413 +g1148 +tp4029 +a(g667 +Vappend +p4030 +tp4031 +a(g413 +g1194 +tp4032 +a(g89 +V"\u005c" as alias)" +p4033 +tp4034 +a(g413 +g1198 +tp4035 +a(g413 +g968 +tp4036 +a(g827 +V\u000a +p4037 +tp4038 +a(g827 +V\u000a +p4039 +tp4040 +a(g827 +V +p4041 +tp4042 +a(g745 +Vtry +p4043 +tp4044 +a(g827 +V\u000a +p4045 +tp4046 +a(g827 +V +p4047 +tp4048 +a(g413 +g1122 +tp4049 +a(g827 +V\u000a +p4050 +tp4051 +a(g827 +V +p4052 +tp4053 +a(g436 +VperformOSAScript +p4054 +tp4055 +a(g413 +g1194 +tp4056 +a(g436 +Vsb +p4057 +tp4058 +a(g413 +g1198 +tp4059 +a(g413 +g968 +tp4060 +a(g827 +V\u000a +p4061 +tp4062 +a(g827 +V +p4063 +tp4064 +a(g413 +g1328 +tp4065 +a(g827 +V\u000a +p4066 +tp4067 +a(g827 +V +p4068 +tp4069 +a(g745 +Vcatch +p4070 +tp4071 +a(g827 +g964 +tp4072 +a(g413 +g1194 +tp4073 +a(g436 +VIOException +p4074 +tp4075 +a(g827 +g964 +tp4076 +a(g436 +g1449 +tp4077 +a(g413 +g1198 +tp4078 +a(g827 +V\u000a +p4079 +tp4080 +a(g827 +V +p4081 +tp4082 +a(g413 +g1122 +tp4083 +a(g827 +V\u000a +p4084 +tp4085 +a(g827 +V +p4086 +tp4087 +a(g436 +VLogger +p4088 +tp4089 +a(g413 +g1148 +tp4090 +a(g667 +Vlog +p4091 +tp4092 +a(g413 +g1194 +tp4093 +a(g745 +Vnew +p4094 +tp4095 +a(g827 +g964 +tp4096 +a(g436 +VLogAlert +p4097 +tp4098 +a(g413 +g1194 +tp4099 +a(g436 +VLogAlert +p4100 +tp4101 +a(g413 +g1148 +tp4102 +a(g667 +VUNREPEATABLE +p4103 +tp4104 +a(g413 +g1475 +tp4105 +a(g827 +g964 +tp4106 +a(g436 +VLogAlert +p4107 +tp4108 +a(g413 +g1148 +tp4109 +a(g667 +VAT_ERROR +p4110 +tp4111 +a(g413 +g1475 +tp4112 +a(g827 +g964 +tp4113 +a(g436 +g1449 +tp4114 +a(g827 +V\u000a +p4115 +tp4116 +a(g827 +V +p4117 +tp4118 +a(g413 +g1148 +tp4119 +a(g667 +VgetMessage +p4120 +tp4121 +a(g413 +g1194 +tp4122 +a(g413 +g1198 +tp4123 +a(g413 +g1198 +tp4124 +a(g413 +g1198 +tp4125 +a(g413 +g968 +tp4126 +a(g827 +V\u000a +p4127 +tp4128 +a(g827 +V +p4129 +tp4130 +a(g413 +g1328 +tp4131 +a(g827 +V\u000a +p4132 +tp4133 +a(g827 +V +p4134 +tp4135 +a(g413 +g1328 +tp4136 +a(g827 +V\u000a +p4137 +tp4138 +a(g827 +V +p4139 +tp4140 +a(g413 +g1328 +tp4141 +a(g827 +V\u000a +p4142 +tp4143 +a(g827 +V\u000a +p4144 +tp4145 +a(g827 +V +p4146 +tp4147 +a(g8 +V/**\u000a *

    Shows the given file or directory in Terminal by executing cd /absolute/path/to

    \u000a * @param path Absolute path to the file or directory\u000a */ +p4148 +tp4149 +a(g827 +V\u000a +p4150 +tp4151 +a(g827 +V +p4152 +tp4153 +a(g810 +Vpublic +p4154 +tp4155 +a(g827 +g964 +tp4156 +a(g749 +Vvoid +p4157 +tp4158 +a(g827 +g964 +tp4159 +a(g574 +VshowInTerminal +p4160 +tp4161 +a(g413 +g1194 +tp4162 +a(g436 +VString +p4163 +tp4164 +a(g827 +g964 +tp4165 +a(g436 +Vpath +p4166 +tp4167 +a(g413 +g1198 +tp4168 +a(g827 +V\u000a +p4169 +tp4170 +a(g827 +V +p4171 +tp4172 +a(g413 +g1122 +tp4173 +a(g827 +V\u000a +p4174 +tp4175 +a(g827 +V +p4176 +tp4177 +a(g436 +VshowInTerminal +p4178 +tp4179 +a(g413 +g1194 +tp4180 +a(g745 +Vnew +p4181 +tp4182 +a(g827 +g964 +tp4183 +a(g436 +VFile +p4184 +tp4185 +a(g413 +g1194 +tp4186 +a(g436 +Vpath +p4187 +tp4188 +a(g413 +g1198 +tp4189 +a(g413 +g1198 +tp4190 +a(g413 +g968 +tp4191 +a(g827 +V\u000a +p4192 +tp4193 +a(g827 +V +p4194 +tp4195 +a(g413 +g1328 +tp4196 +a(g827 +V\u000a +p4197 +tp4198 +a(g827 +V\u000a +p4199 +tp4200 +a(g827 +V +p4201 +tp4202 +a(g8 +V/**\u000a *

    Shows the given file or directory in Terminal by executing cd /absolute/path/to

    \u000a * @param path Absolute path to the file or directory\u000a */ +p4203 +tp4204 +a(g827 +V\u000a +p4205 +tp4206 +a(g827 +V +p4207 +tp4208 +a(g810 +Vpublic +p4209 +tp4210 +a(g827 +g964 +tp4211 +a(g749 +Vvoid +p4212 +tp4213 +a(g827 +g964 +tp4214 +a(g574 +VshowInTerminal +p4215 +tp4216 +a(g413 +g1194 +tp4217 +a(g436 +VFile +p4218 +tp4219 +a(g827 +g964 +tp4220 +a(g436 +Vpath +p4221 +tp4222 +a(g413 +g1198 +tp4223 +a(g827 +V\u000a +p4224 +tp4225 +a(g827 +V +p4226 +tp4227 +a(g413 +g1122 +tp4228 +a(g827 +V\u000a +p4229 +tp4230 +a(g827 +V +p4231 +tp4232 +a(g745 +Vif +p4233 +tp4234 +a(g827 +g964 +tp4235 +a(g413 +g1194 +tp4236 +a(g436 +Vpath +p4237 +tp4238 +a(g413 +g1148 +tp4239 +a(g667 +VisFile +p4240 +tp4241 +a(g413 +g1194 +tp4242 +a(g413 +g1198 +tp4243 +a(g413 +g1198 +tp4244 +a(g827 +V\u000a +p4245 +tp4246 +a(g827 +V +p4247 +tp4248 +a(g413 +g1122 +tp4249 +a(g827 +V\u000a +p4250 +tp4251 +a(g827 +V +p4252 +tp4253 +a(g436 +Vpath +p4254 +tp4255 +a(g827 +g964 +tp4256 +a(g413 +g1143 +tp4257 +a(g827 +g964 +tp4258 +a(g436 +Vpath +p4259 +tp4260 +a(g413 +g1148 +tp4261 +a(g667 +VgetParentFile +p4262 +tp4263 +a(g413 +g1194 +tp4264 +a(g413 +g1198 +tp4265 +a(g413 +g968 +tp4266 +a(g827 +V\u000a +p4267 +tp4268 +a(g827 +V +p4269 +tp4270 +a(g413 +g1328 +tp4271 +a(g827 +V\u000a +p4272 +tp4273 +a(g827 +V\u000a +p4274 +tp4275 +a(g827 +V +p4276 +tp4277 +a(g745 +Vif +p4278 +tp4279 +a(g827 +g964 +tp4280 +a(g413 +g1194 +tp4281 +a(g436 +Vpath +p4282 +tp4283 +a(g827 +g964 +tp4284 +a(g413 +g1807 +tp4285 +a(g413 +g1143 +tp4286 +a(g827 +g964 +tp4287 +a(g764 +Vnull +p4288 +tp4289 +a(g827 +g964 +tp4290 +a(g413 +V& +p4291 +tp4292 +a(g413 +g4291 +tp4293 +a(g827 +g964 +tp4294 +a(g436 +Vpath +p4295 +tp4296 +a(g413 +g1148 +tp4297 +a(g667 +VisDirectory +p4298 +tp4299 +a(g413 +g1194 +tp4300 +a(g413 +g1198 +tp4301 +a(g413 +g1198 +tp4302 +a(g827 +V\u000a +p4303 +tp4304 +a(g827 +V +p4305 +tp4306 +a(g413 +g1122 +tp4307 +a(g827 +V\u000a +p4308 +tp4309 +a(g827 +V +p4310 +tp4311 +a(g436 +VStringBuffer +p4312 +tp4313 +a(g827 +g964 +tp4314 +a(g436 +Vsb +p4315 +tp4316 +a(g827 +g964 +tp4317 +a(g413 +g1143 +tp4318 +a(g827 +g964 +tp4319 +a(g745 +Vnew +p4320 +tp4321 +a(g827 +g964 +tp4322 +a(g436 +VStringBuffer +p4323 +tp4324 +a(g413 +g1194 +tp4325 +a(g413 +g1198 +tp4326 +a(g413 +g968 +tp4327 +a(g827 +V\u000a +p4328 +tp4329 +a(g827 +V +p4330 +tp4331 +a(g436 +Vsb +p4332 +tp4333 +a(g413 +g1148 +tp4334 +a(g667 +Vappend +p4335 +tp4336 +a(g413 +g1194 +tp4337 +a(g89 +V"tell application \u005c"" +p4338 +tp4339 +a(g413 +g1198 +tp4340 +a(g413 +g968 +tp4341 +a(g827 +V\u000a +p4342 +tp4343 +a(g827 +V +p4344 +tp4345 +a(g436 +Vsb +p4346 +tp4347 +a(g413 +g1148 +tp4348 +a(g667 +Vappend +p4349 +tp4350 +a(g413 +g1194 +tp4351 +a(g89 +V"Terminal" +p4352 +tp4353 +a(g413 +g1198 +tp4354 +a(g413 +g968 +tp4355 +a(g827 +V\u000a +p4356 +tp4357 +a(g827 +V +p4358 +tp4359 +a(g436 +Vsb +p4360 +tp4361 +a(g413 +g1148 +tp4362 +a(g667 +Vappend +p4363 +tp4364 +a(g413 +g1194 +tp4365 +a(g89 +V"\u005c" to do script \u005c"cd " +p4366 +tp4367 +a(g413 +g1198 +tp4368 +a(g413 +g968 +tp4369 +a(g827 +V\u000a +p4370 +tp4371 +a(g827 +V +p4372 +tp4373 +a(g436 +Vsb +p4374 +tp4375 +a(g413 +g1148 +tp4376 +a(g667 +Vappend +p4377 +tp4378 +a(g413 +g1194 +tp4379 +a(g436 +Vpath +p4380 +tp4381 +a(g413 +g1148 +tp4382 +a(g667 +VgetAbsolutePath +p4383 +tp4384 +a(g413 +g1194 +tp4385 +a(g413 +g1198 +tp4386 +a(g413 +g1148 +tp4387 +a(g667 +VreplaceAll +p4388 +tp4389 +a(g413 +g1194 +tp4390 +a(g89 +V" " +p4391 +tp4392 +a(g413 +g1475 +tp4393 +a(g827 +g964 +tp4394 +a(g89 +V"\u005c\u005c " +p4395 +tp4396 +a(g413 +g1198 +tp4397 +a(g413 +g1198 +tp4398 +a(g413 +g968 +tp4399 +a(g827 +V\u000a +p4400 +tp4401 +a(g827 +V +p4402 +tp4403 +a(g436 +Vsb +p4404 +tp4405 +a(g413 +g1148 +tp4406 +a(g667 +Vappend +p4407 +tp4408 +a(g413 +g1194 +tp4409 +a(g89 +V"\u005c"" +p4410 +tp4411 +a(g413 +g1198 +tp4412 +a(g413 +g968 +tp4413 +a(g827 +V\u000a +p4414 +tp4415 +a(g827 +V\u000a +p4416 +tp4417 +a(g827 +V +p4418 +tp4419 +a(g745 +Vtry +p4420 +tp4421 +a(g827 +V\u000a +p4422 +tp4423 +a(g827 +V +p4424 +tp4425 +a(g413 +g1122 +tp4426 +a(g827 +V\u000a +p4427 +tp4428 +a(g827 +V +p4429 +tp4430 +a(g436 +VperformOSAScript +p4431 +tp4432 +a(g413 +g1194 +tp4433 +a(g436 +Vsb +p4434 +tp4435 +a(g413 +g1198 +tp4436 +a(g413 +g968 +tp4437 +a(g827 +V\u000a +p4438 +tp4439 +a(g827 +V +p4440 +tp4441 +a(g413 +g1328 +tp4442 +a(g827 +V\u000a +p4443 +tp4444 +a(g827 +V +p4445 +tp4446 +a(g745 +Vcatch +p4447 +tp4448 +a(g827 +g964 +tp4449 +a(g413 +g1194 +tp4450 +a(g436 +VIOException +p4451 +tp4452 +a(g827 +g964 +tp4453 +a(g436 +g1449 +tp4454 +a(g413 +g1198 +tp4455 +a(g827 +V\u000a +p4456 +tp4457 +a(g827 +V +p4458 +tp4459 +a(g413 +g1122 +tp4460 +a(g827 +V\u000a +p4461 +tp4462 +a(g827 +V +p4463 +tp4464 +a(g436 +VLogger +p4465 +tp4466 +a(g413 +g1148 +tp4467 +a(g667 +Vlog +p4468 +tp4469 +a(g413 +g1194 +tp4470 +a(g745 +Vnew +p4471 +tp4472 +a(g827 +g964 +tp4473 +a(g436 +VLogAlert +p4474 +tp4475 +a(g413 +g1194 +tp4476 +a(g436 +VLogAlert +p4477 +tp4478 +a(g413 +g1148 +tp4479 +a(g667 +VUNREPEATABLE +p4480 +tp4481 +a(g413 +g1475 +tp4482 +a(g827 +g964 +tp4483 +a(g436 +VLogAlert +p4484 +tp4485 +a(g413 +g1148 +tp4486 +a(g667 +VAT_ERROR +p4487 +tp4488 +a(g413 +g1475 +tp4489 +a(g827 +g964 +tp4490 +a(g436 +g1449 +tp4491 +a(g827 +V\u000a +p4492 +tp4493 +a(g827 +V +p4494 +tp4495 +a(g413 +g1148 +tp4496 +a(g667 +VgetMessage +p4497 +tp4498 +a(g413 +g1194 +tp4499 +a(g413 +g1198 +tp4500 +a(g413 +g1198 +tp4501 +a(g413 +g1198 +tp4502 +a(g413 +g968 +tp4503 +a(g827 +V\u000a +p4504 +tp4505 +a(g827 +V +p4506 +tp4507 +a(g413 +g1328 +tp4508 +a(g827 +V\u000a +p4509 +tp4510 +a(g827 +V +p4511 +tp4512 +a(g413 +g1328 +tp4513 +a(g827 +V\u000a +p4514 +tp4515 +a(g827 +V +p4516 +tp4517 +a(g745 +Velse +p4518 +tp4519 +a(g827 +V\u000a +p4520 +tp4521 +a(g827 +V +p4522 +tp4523 +a(g413 +g1122 +tp4524 +a(g827 +V\u000a +p4525 +tp4526 +a(g827 +V +p4527 +tp4528 +a(g745 +Vif +p4529 +tp4530 +a(g827 +g964 +tp4531 +a(g413 +g1194 +tp4532 +a(g436 +VLogger +p4533 +tp4534 +a(g413 +g1148 +tp4535 +a(g667 +VisEnabled +p4536 +tp4537 +a(g413 +g1194 +tp4538 +a(g413 +g1198 +tp4539 +a(g413 +g1198 +tp4540 +a(g827 +V\u000a +p4541 +tp4542 +a(g827 +V +p4543 +tp4544 +a(g436 +VLogger +p4545 +tp4546 +a(g413 +g1148 +tp4547 +a(g667 +Vlog +p4548 +tp4549 +a(g413 +g1194 +tp4550 +a(g745 +Vnew +p4551 +tp4552 +a(g827 +g964 +tp4553 +a(g436 +VLogEvent +p4554 +tp4555 +a(g413 +g1194 +tp4556 +a(g436 +VLOGID +p4557 +tp4558 +a(g413 +g1475 +tp4559 +a(g827 +g964 +tp4560 +a(g436 +VLogEvent +p4561 +tp4562 +a(g413 +g1148 +tp4563 +a(g667 +VLT_WARNING +p4564 +tp4565 +a(g413 +g1475 +tp4566 +a(g827 +g964 +tp4567 +a(g89 +V"Cannot find " +p4568 +tp4569 +a(g827 +V\u000a +p4570 +tp4571 +a(g827 +V +p4572 +tp4573 +a(g413 +g1240 +tp4574 +a(g827 +g964 +tp4575 +a(g436 +Vpath +p4576 +tp4577 +a(g413 +g1148 +tp4578 +a(g667 +VgetName +p4579 +tp4580 +a(g413 +g1194 +tp4581 +a(g413 +g1198 +tp4582 +a(g413 +g1198 +tp4583 +a(g413 +g1198 +tp4584 +a(g413 +g968 +tp4585 +a(g827 +V\u000a +p4586 +tp4587 +a(g827 +V +p4588 +tp4589 +a(g413 +g1328 +tp4590 +a(g827 +V\u000a +p4591 +tp4592 +a(g827 +V +p4593 +tp4594 +a(g413 +g1328 +tp4595 +a(g827 +V\u000a +p4596 +tp4597 +a(g827 +V\u000a +p4598 +tp4599 +a(g827 +V +p4600 +tp4601 +a(g906 +V// Internal utility methods\u000a +p4602 +tp4603 +a(g827 +V\u000a +p4604 +tp4605 +a(g827 +V +p4606 +tp4607 +a(g8 +V/**\u000a * Compiles a new AppleScript instance and runs it\u000a * @param cmd AppleScript command to execute; do not surround command with extra quotation marks\u000a * @return Output of the script\u000a * @throws IOException If the script failed to execute\u000a */ +p4608 +tp4609 +a(g827 +V\u000a +p4610 +tp4611 +a(g827 +V +p4612 +tp4613 +a(g810 +Vprotected +p4614 +tp4615 +a(g827 +g964 +tp4616 +a(g810 +Vstatic +p4617 +tp4618 +a(g827 +g964 +tp4619 +a(g436 +VString +p4620 +tp4621 +a(g827 +g964 +tp4622 +a(g574 +VperformOSAScript +p4623 +tp4624 +a(g413 +g1194 +tp4625 +a(g436 +VCharSequence +p4626 +tp4627 +a(g827 +g964 +tp4628 +a(g436 +Vcmd +p4629 +tp4630 +a(g413 +g1198 +tp4631 +a(g827 +g964 +tp4632 +a(g810 +Vthrows +p4633 +tp4634 +a(g827 +g964 +tp4635 +a(g436 +VIOException +p4636 +tp4637 +a(g827 +V\u000a +p4638 +tp4639 +a(g827 +V +p4640 +tp4641 +a(g413 +g1122 +tp4642 +a(g827 +V\u000a +p4643 +tp4644 +a(g827 +V +p4645 +tp4646 +a(g745 +Vreturn +p4647 +tp4648 +a(g827 +g964 +tp4649 +a(g436 +VperformOSAScript +p4650 +tp4651 +a(g413 +g1194 +tp4652 +a(g745 +Vnew +p4653 +tp4654 +a(g827 +g964 +tp4655 +a(g436 +VCharSequence +p4656 +tp4657 +a(g413 +g3729 +tp4658 +a(g413 +g3731 +tp4659 +a(g413 +g1122 +tp4660 +a(g436 +Vcmd +p4661 +tp4662 +a(g413 +g1328 +tp4663 +a(g413 +g1198 +tp4664 +a(g413 +g968 +tp4665 +a(g827 +V\u000a +p4666 +tp4667 +a(g827 +V +p4668 +tp4669 +a(g413 +g1328 +tp4670 +a(g827 +V\u000a +p4671 +tp4672 +a(g827 +V\u000a +p4673 +tp4674 +a(g827 +V +p4675 +tp4676 +a(g8 +V/**\u000a * Compiles a new AppleScript instance and runs it\u000a * @param cmds AppleScript Sequence of commands to execute; do not surround command with extra quotation marks\u000a * @return Output of the script\u000a * @throws IOException If the script failed to execute\u000a */ +p4677 +tp4678 +a(g827 +V\u000a +p4679 +tp4680 +a(g827 +V +p4681 +tp4682 +a(g810 +Vprotected +p4683 +tp4684 +a(g827 +g964 +tp4685 +a(g810 +Vstatic +p4686 +tp4687 +a(g827 +g964 +tp4688 +a(g436 +VString +p4689 +tp4690 +a(g827 +g964 +tp4691 +a(g574 +VperformOSAScript +p4692 +tp4693 +a(g413 +g1194 +tp4694 +a(g436 +VCharSequence +p4695 +tp4696 +a(g413 +g3729 +tp4697 +a(g413 +g3731 +tp4698 +a(g827 +g964 +tp4699 +a(g436 +Vcmds +p4700 +tp4701 +a(g413 +g1198 +tp4702 +a(g827 +g964 +tp4703 +a(g810 +Vthrows +p4704 +tp4705 +a(g827 +g964 +tp4706 +a(g436 +VIOException +p4707 +tp4708 +a(g827 +V\u000a +p4709 +tp4710 +a(g827 +V +p4711 +tp4712 +a(g413 +g1122 +tp4713 +a(g827 +V\u000a +p4714 +tp4715 +a(g827 +V +p4716 +tp4717 +a(g749 +Vlong +p4718 +tp4719 +a(g827 +g964 +tp4720 +a(g436 +Vstart +p4721 +tp4722 +a(g827 +g964 +tp4723 +a(g413 +g1143 +tp4724 +a(g827 +g964 +tp4725 +a(g436 +VSystem +p4726 +tp4727 +a(g413 +g1148 +tp4728 +a(g667 +VcurrentTimeMillis +p4729 +tp4730 +a(g413 +g1194 +tp4731 +a(g413 +g1198 +tp4732 +a(g413 +g968 +tp4733 +a(g827 +V\u000a +p4734 +tp4735 +a(g827 +V +p4736 +tp4737 +a(g436 +VDebug +p4738 +tp4739 +a(g413 +g1148 +tp4740 +a(g667 +VoutNoStack +p4741 +tp4742 +a(g413 +g1194 +tp4743 +a(g89 +V"Executing OSAScript: " +p4744 +tp4745 +a(g413 +g1198 +tp4746 +a(g413 +g968 +tp4747 +a(g827 +V\u000a +p4748 +tp4749 +a(g827 +V +p4750 +tp4751 +a(g745 +Vfor +p4752 +tp4753 +a(g827 +g964 +tp4754 +a(g413 +g1194 +tp4755 +a(g749 +Vint +p4756 +tp4757 +a(g827 +g964 +tp4758 +a(g436 +Vi +p4759 +tp4760 +a(g827 +g964 +tp4761 +a(g413 +g1143 +tp4762 +a(g827 +g964 +tp4763 +a(g37 +V0 +p4764 +tp4765 +a(g413 +g968 +tp4766 +a(g827 +g964 +tp4767 +a(g436 +g4759 +tp4768 +a(g827 +g964 +tp4769 +a(g413 +V< +p4770 +tp4771 +a(g827 +g964 +tp4772 +a(g436 +Vcmds +p4773 +tp4774 +a(g413 +g1148 +tp4775 +a(g667 +Vlength +p4776 +tp4777 +a(g413 +g968 +tp4778 +a(g827 +g964 +tp4779 +a(g436 +g4759 +tp4780 +a(g413 +g1240 +tp4781 +a(g413 +g1240 +tp4782 +a(g413 +g1198 +tp4783 +a(g827 +V\u000a +p4784 +tp4785 +a(g827 +V +p4786 +tp4787 +a(g413 +g1122 +tp4788 +a(g827 +V\u000a +p4789 +tp4790 +a(g827 +V +p4791 +tp4792 +a(g436 +VDebug +p4793 +tp4794 +a(g413 +g1148 +tp4795 +a(g667 +VoutNoStack +p4796 +tp4797 +a(g413 +g1194 +tp4798 +a(g89 +V"\u005ct" +p4799 +tp4800 +a(g827 +g964 +tp4801 +a(g413 +g1240 +tp4802 +a(g827 +g964 +tp4803 +a(g436 +Vcmds +p4804 +tp4805 +a(g413 +g3729 +tp4806 +a(g436 +g4759 +tp4807 +a(g413 +g3731 +tp4808 +a(g413 +g1198 +tp4809 +a(g413 +g968 +tp4810 +a(g827 +V\u000a +p4811 +tp4812 +a(g827 +V +p4813 +tp4814 +a(g413 +g1328 +tp4815 +a(g827 +V\u000a +p4816 +tp4817 +a(g827 +V\u000a +p4818 +tp4819 +a(g827 +V +p4820 +tp4821 +a(g436 +VString +p4822 +tp4823 +a(g413 +g3729 +tp4824 +a(g413 +g3731 +tp4825 +a(g827 +g964 +tp4826 +a(g436 +Vcmdargs +p4827 +tp4828 +a(g827 +g964 +tp4829 +a(g413 +g1143 +tp4830 +a(g827 +g964 +tp4831 +a(g745 +Vnew +p4832 +tp4833 +a(g827 +g964 +tp4834 +a(g436 +VString +p4835 +tp4836 +a(g413 +g3729 +tp4837 +a(g37 +V2 +p4838 +tp4839 +a(g827 +g964 +tp4840 +a(g413 +V* +p4841 +tp4842 +a(g827 +g964 +tp4843 +a(g436 +Vcmds +p4844 +tp4845 +a(g413 +g1148 +tp4846 +a(g667 +Vlength +p4847 +tp4848 +a(g827 +g964 +tp4849 +a(g413 +g1240 +tp4850 +a(g827 +g964 +tp4851 +a(g37 +V1 +p4852 +tp4853 +a(g413 +g3731 +tp4854 +a(g413 +g968 +tp4855 +a(g827 +V\u000a +p4856 +tp4857 +a(g827 +V +p4858 +tp4859 +a(g436 +Vcmdargs +p4860 +tp4861 +a(g413 +g3729 +tp4862 +a(g37 +g4764 +tp4863 +a(g413 +g3731 +tp4864 +a(g827 +g964 +tp4865 +a(g413 +g1143 +tp4866 +a(g827 +g964 +tp4867 +a(g89 +V"osascript" +p4868 +tp4869 +a(g413 +g968 +tp4870 +a(g827 +V\u000a +p4871 +tp4872 +a(g827 +V +p4873 +tp4874 +a(g745 +Vfor +p4875 +tp4876 +a(g827 +g964 +tp4877 +a(g413 +g1194 +tp4878 +a(g749 +Vint +p4879 +tp4880 +a(g827 +g964 +tp4881 +a(g436 +g4759 +tp4882 +a(g827 +g964 +tp4883 +a(g413 +g1143 +tp4884 +a(g827 +g964 +tp4885 +a(g37 +g4764 +tp4886 +a(g413 +g968 +tp4887 +a(g827 +g964 +tp4888 +a(g436 +g4759 +tp4889 +a(g827 +g964 +tp4890 +a(g413 +g4770 +tp4891 +a(g827 +g964 +tp4892 +a(g436 +Vcmds +p4893 +tp4894 +a(g413 +g1148 +tp4895 +a(g667 +Vlength +p4896 +tp4897 +a(g413 +g968 +tp4898 +a(g827 +g964 +tp4899 +a(g436 +g4759 +tp4900 +a(g413 +g1240 +tp4901 +a(g413 +g1240 +tp4902 +a(g413 +g1198 +tp4903 +a(g827 +V\u000a +p4904 +tp4905 +a(g827 +V +p4906 +tp4907 +a(g413 +g1122 +tp4908 +a(g827 +V\u000a +p4909 +tp4910 +a(g827 +V +p4911 +tp4912 +a(g436 +Vcmdargs +p4913 +tp4914 +a(g413 +g3729 +tp4915 +a(g436 +g4759 +tp4916 +a(g827 +g964 +tp4917 +a(g413 +g4841 +tp4918 +a(g827 +g964 +tp4919 +a(g37 +g4838 +tp4920 +a(g827 +g964 +tp4921 +a(g413 +g1240 +tp4922 +a(g827 +g964 +tp4923 +a(g37 +g4852 +tp4924 +a(g413 +g3731 +tp4925 +a(g827 +g964 +tp4926 +a(g413 +g1143 +tp4927 +a(g827 +g964 +tp4928 +a(g89 +V"-e" +p4929 +tp4930 +a(g413 +g968 +tp4931 +a(g827 +V\u000a +p4932 +tp4933 +a(g827 +V +p4934 +tp4935 +a(g436 +Vcmdargs +p4936 +tp4937 +a(g413 +g3729 +tp4938 +a(g436 +g4759 +tp4939 +a(g827 +g964 +tp4940 +a(g413 +g4841 +tp4941 +a(g827 +g964 +tp4942 +a(g37 +g4838 +tp4943 +a(g827 +g964 +tp4944 +a(g413 +g1240 +tp4945 +a(g827 +g964 +tp4946 +a(g37 +g4838 +tp4947 +a(g413 +g3731 +tp4948 +a(g827 +g964 +tp4949 +a(g413 +g1143 +tp4950 +a(g827 +g964 +tp4951 +a(g436 +VString +p4952 +tp4953 +a(g413 +g1148 +tp4954 +a(g667 +VvalueOf +p4955 +tp4956 +a(g413 +g1194 +tp4957 +a(g436 +Vcmds +p4958 +tp4959 +a(g413 +g3729 +tp4960 +a(g436 +g4759 +tp4961 +a(g413 +g3731 +tp4962 +a(g413 +g1198 +tp4963 +a(g413 +g968 +tp4964 +a(g827 +V\u000a +p4965 +tp4966 +a(g827 +V +p4967 +tp4968 +a(g413 +g1328 +tp4969 +a(g827 +V\u000a +p4970 +tp4971 +a(g827 +V\u000a +p4972 +tp4973 +a(g827 +V +p4974 +tp4975 +a(g436 +VProcess +p4976 +tp4977 +a(g827 +g964 +tp4978 +a(g436 +VosaProcess +p4979 +tp4980 +a(g827 +g964 +tp4981 +a(g413 +g1143 +tp4982 +a(g827 +g964 +tp4983 +a(g436 +VperformRuntimeExec +p4984 +tp4985 +a(g413 +g1194 +tp4986 +a(g436 +Vcmdargs +p4987 +tp4988 +a(g413 +g1198 +tp4989 +a(g413 +g968 +tp4990 +a(g827 +V\u000a +p4991 +tp4992 +a(g827 +V +p4993 +tp4994 +a(g436 +VBufferedReader +p4995 +tp4996 +a(g827 +g964 +tp4997 +a(g436 +Vreader +p4998 +tp4999 +a(g827 +g964 +tp5000 +a(g413 +g1143 +tp5001 +a(g827 +g964 +tp5002 +a(g745 +Vnew +p5003 +tp5004 +a(g827 +g964 +tp5005 +a(g436 +VBufferedReader +p5006 +tp5007 +a(g413 +g1194 +tp5008 +a(g745 +Vnew +p5009 +tp5010 +a(g827 +g964 +tp5011 +a(g436 +VInputStreamReader +p5012 +tp5013 +a(g413 +g1194 +tp5014 +a(g436 +VosaProcess +p5015 +tp5016 +a(g413 +g1148 +tp5017 +a(g667 +VgetInputStream +p5018 +tp5019 +a(g413 +g1194 +tp5020 +a(g413 +g1198 +tp5021 +a(g413 +g1198 +tp5022 +a(g413 +g1198 +tp5023 +a(g413 +g968 +tp5024 +a(g827 +V\u000a +p5025 +tp5026 +a(g827 +V +p5027 +tp5028 +a(g436 +VString +p5029 +tp5030 +a(g827 +g964 +tp5031 +a(g436 +Vline +p5032 +tp5033 +a(g827 +g964 +tp5034 +a(g413 +g1143 +tp5035 +a(g827 +g964 +tp5036 +a(g436 +Vreader +p5037 +tp5038 +a(g413 +g1148 +tp5039 +a(g667 +VreadLine +p5040 +tp5041 +a(g413 +g1194 +tp5042 +a(g413 +g1198 +tp5043 +a(g413 +g968 +tp5044 +a(g827 +V\u000a +p5045 +tp5046 +a(g827 +V +p5047 +tp5048 +a(g436 +Vreader +p5049 +tp5050 +a(g413 +g1148 +tp5051 +a(g667 +Vclose +p5052 +tp5053 +a(g413 +g1194 +tp5054 +a(g413 +g1198 +tp5055 +a(g413 +g968 +tp5056 +a(g827 +V\u000a +p5057 +tp5058 +a(g827 +V +p5059 +tp5060 +a(g436 +VDebug +p5061 +tp5062 +a(g413 +g1148 +tp5063 +a(g667 +VoutNoStack +p5064 +tp5065 +a(g413 +g1194 +tp5066 +a(g89 +V"OSAScript Output: " +p5067 +tp5068 +a(g827 +g964 +tp5069 +a(g413 +g1240 +tp5070 +a(g827 +g964 +tp5071 +a(g436 +Vline +p5072 +tp5073 +a(g413 +g1198 +tp5074 +a(g413 +g968 +tp5075 +a(g827 +V\u000a +p5076 +tp5077 +a(g827 +V\u000a +p5078 +tp5079 +a(g827 +V +p5080 +tp5081 +a(g436 +Vreader +p5082 +tp5083 +a(g827 +g964 +tp5084 +a(g413 +g1143 +tp5085 +a(g827 +g964 +tp5086 +a(g745 +Vnew +p5087 +tp5088 +a(g827 +g964 +tp5089 +a(g436 +VBufferedReader +p5090 +tp5091 +a(g413 +g1194 +tp5092 +a(g745 +Vnew +p5093 +tp5094 +a(g827 +g964 +tp5095 +a(g436 +VInputStreamReader +p5096 +tp5097 +a(g413 +g1194 +tp5098 +a(g436 +VosaProcess +p5099 +tp5100 +a(g413 +g1148 +tp5101 +a(g667 +VgetErrorStream +p5102 +tp5103 +a(g413 +g1194 +tp5104 +a(g413 +g1198 +tp5105 +a(g413 +g1198 +tp5106 +a(g413 +g1198 +tp5107 +a(g413 +g968 +tp5108 +a(g827 +V\u000a +p5109 +tp5110 +a(g827 +V +p5111 +tp5112 +a(g436 +VString +p5113 +tp5114 +a(g827 +g964 +tp5115 +a(g436 +VerrorMsg +p5116 +tp5117 +a(g827 +g964 +tp5118 +a(g413 +g1143 +tp5119 +a(g827 +g964 +tp5120 +a(g436 +Vreader +p5121 +tp5122 +a(g413 +g1148 +tp5123 +a(g667 +VreadLine +p5124 +tp5125 +a(g413 +g1194 +tp5126 +a(g413 +g1198 +tp5127 +a(g413 +g968 +tp5128 +a(g827 +V\u000a +p5129 +tp5130 +a(g827 +V +p5131 +tp5132 +a(g436 +Vreader +p5133 +tp5134 +a(g413 +g1148 +tp5135 +a(g667 +Vclose +p5136 +tp5137 +a(g413 +g1194 +tp5138 +a(g413 +g1198 +tp5139 +a(g413 +g968 +tp5140 +a(g827 +V\u000a +p5141 +tp5142 +a(g827 +V\u000a +p5143 +tp5144 +a(g827 +V +p5145 +tp5146 +a(g436 +VDebug +p5147 +tp5148 +a(g413 +g1148 +tp5149 +a(g667 +VoutNoStack +p5150 +tp5151 +a(g413 +g1194 +tp5152 +a(g89 +V"OSAScript Error (if any): " +p5153 +tp5154 +a(g827 +g964 +tp5155 +a(g413 +g1240 +tp5156 +a(g827 +g964 +tp5157 +a(g436 +VerrorMsg +p5158 +tp5159 +a(g413 +g1198 +tp5160 +a(g413 +g968 +tp5161 +a(g827 +V\u000a +p5162 +tp5163 +a(g827 +V\u000a +p5164 +tp5165 +a(g827 +V +p5166 +tp5167 +a(g436 +VDebug +p5168 +tp5169 +a(g413 +g1148 +tp5170 +a(g667 +VoutNoStack +p5171 +tp5172 +a(g413 +g1194 +tp5173 +a(g436 +VMessageFormat +p5174 +tp5175 +a(g413 +g1148 +tp5176 +a(g667 +Vformat +p5177 +tp5178 +a(g413 +g1194 +tp5179 +a(g89 +V"OSAScript execution ended ({0}ms)" +p5180 +tp5181 +a(g413 +g1475 +tp5182 +a(g827 +g964 +tp5183 +a(g745 +Vnew +p5184 +tp5185 +a(g827 +g964 +tp5186 +a(g436 +VObject +p5187 +tp5188 +a(g413 +g3729 +tp5189 +a(g413 +g3731 +tp5190 +a(g413 +g1122 +tp5191 +a(g436 +VString +p5192 +tp5193 +a(g413 +g1148 +tp5194 +a(g667 +VvalueOf +p5195 +tp5196 +a(g413 +g1194 +tp5197 +a(g436 +VSystem +p5198 +tp5199 +a(g413 +g1148 +tp5200 +a(g667 +VcurrentTimeMillis +p5201 +tp5202 +a(g413 +g1194 +tp5203 +a(g413 +g1198 +tp5204 +a(g827 +g964 +tp5205 +a(g413 +V- +p5206 +tp5207 +a(g827 +g964 +tp5208 +a(g436 +Vstart +p5209 +tp5210 +a(g413 +g1198 +tp5211 +a(g413 +g1328 +tp5212 +a(g413 +g1198 +tp5213 +a(g413 +g1198 +tp5214 +a(g413 +g968 +tp5215 +a(g827 +V\u000a +p5216 +tp5217 +a(g827 +V\u000a +p5218 +tp5219 +a(g827 +V +p5220 +tp5221 +a(g745 +Vif +p5222 +tp5223 +a(g827 +g964 +tp5224 +a(g413 +g1194 +tp5225 +a(g436 +VerrorMsg +p5226 +tp5227 +a(g827 +g964 +tp5228 +a(g413 +g1807 +tp5229 +a(g413 +g1143 +tp5230 +a(g827 +g964 +tp5231 +a(g764 +Vnull +p5232 +tp5233 +a(g413 +g1198 +tp5234 +a(g827 +V\u000a +p5235 +tp5236 +a(g827 +V +p5237 +tp5238 +a(g413 +g1122 +tp5239 +a(g827 +V\u000a +p5240 +tp5241 +a(g827 +V +p5242 +tp5243 +a(g745 +Vthrow +p5244 +tp5245 +a(g827 +g964 +tp5246 +a(g745 +Vnew +p5247 +tp5248 +a(g827 +g964 +tp5249 +a(g436 +VIOException +p5250 +tp5251 +a(g413 +g1194 +tp5252 +a(g436 +VerrorMsg +p5253 +tp5254 +a(g413 +g1198 +tp5255 +a(g413 +g968 +tp5256 +a(g827 +V\u000a +p5257 +tp5258 +a(g827 +V +p5259 +tp5260 +a(g413 +g1328 +tp5261 +a(g827 +V\u000a +p5262 +tp5263 +a(g827 +V\u000a +p5264 +tp5265 +a(g827 +V +p5266 +tp5267 +a(g745 +Vreturn +p5268 +tp5269 +a(g827 +g964 +tp5270 +a(g436 +Vline +p5271 +tp5272 +a(g413 +g968 +tp5273 +a(g827 +V\u000a +p5274 +tp5275 +a(g827 +V +p5276 +tp5277 +a(g413 +g1328 +tp5278 +a(g827 +V\u000a +p5279 +tp5280 +a(g827 +V\u000a +p5281 +tp5282 +a(g827 +V +p5283 +tp5284 +a(g8 +V/**\u000a * Compiles a new AppleScript instance and runs it\u000a * @param script AppleScript file (.scpt) to execute\u000a * @return Output of the script\u000a * @throws IOException If the script failed to execute\u000a */ +p5285 +tp5286 +a(g827 +V\u000a +p5287 +tp5288 +a(g827 +V +p5289 +tp5290 +a(g810 +Vprotected +p5291 +tp5292 +a(g827 +g964 +tp5293 +a(g810 +Vstatic +p5294 +tp5295 +a(g827 +g964 +tp5296 +a(g436 +VString +p5297 +tp5298 +a(g827 +g964 +tp5299 +a(g574 +VperformOSAScript +p5300 +tp5301 +a(g413 +g1194 +tp5302 +a(g436 +VFile +p5303 +tp5304 +a(g827 +g964 +tp5305 +a(g436 +Vscript +p5306 +tp5307 +a(g413 +g1198 +tp5308 +a(g827 +g964 +tp5309 +a(g810 +Vthrows +p5310 +tp5311 +a(g827 +g964 +tp5312 +a(g436 +VIOException +p5313 +tp5314 +a(g827 +V\u000a +p5315 +tp5316 +a(g827 +V +p5317 +tp5318 +a(g413 +g1122 +tp5319 +a(g827 +V\u000a +p5320 +tp5321 +a(g827 +V +p5322 +tp5323 +a(g749 +Vlong +p5324 +tp5325 +a(g827 +g964 +tp5326 +a(g436 +Vstart +p5327 +tp5328 +a(g827 +g964 +tp5329 +a(g413 +g1143 +tp5330 +a(g827 +g964 +tp5331 +a(g436 +VSystem +p5332 +tp5333 +a(g413 +g1148 +tp5334 +a(g667 +VcurrentTimeMillis +p5335 +tp5336 +a(g413 +g1194 +tp5337 +a(g413 +g1198 +tp5338 +a(g413 +g968 +tp5339 +a(g827 +V\u000a +p5340 +tp5341 +a(g827 +V +p5342 +tp5343 +a(g436 +VDebug +p5344 +tp5345 +a(g413 +g1148 +tp5346 +a(g667 +VoutNoStack +p5347 +tp5348 +a(g413 +g1194 +tp5349 +a(g89 +V"Executing OSAScript from file: " +p5350 +tp5351 +a(g827 +g964 +tp5352 +a(g413 +g1240 +tp5353 +a(g827 +g964 +tp5354 +a(g436 +Vscript +p5355 +tp5356 +a(g413 +g1148 +tp5357 +a(g667 +VgetPath +p5358 +tp5359 +a(g413 +g1194 +tp5360 +a(g413 +g1198 +tp5361 +a(g413 +g1198 +tp5362 +a(g413 +g968 +tp5363 +a(g827 +V\u000a +p5364 +tp5365 +a(g827 +V\u000a +p5366 +tp5367 +a(g827 +V +p5368 +tp5369 +a(g436 +VProcess +p5370 +tp5371 +a(g827 +g964 +tp5372 +a(g436 +VosaProcess +p5373 +tp5374 +a(g827 +g964 +tp5375 +a(g413 +g1143 +tp5376 +a(g827 +g964 +tp5377 +a(g436 +VperformRuntimeExec +p5378 +tp5379 +a(g413 +g1194 +tp5380 +a(g745 +Vnew +p5381 +tp5382 +a(g827 +g964 +tp5383 +a(g436 +VString +p5384 +tp5385 +a(g413 +g3729 +tp5386 +a(g413 +g3731 +tp5387 +a(g413 +g1122 +tp5388 +a(g89 +V"osascript" +p5389 +tp5390 +a(g413 +g1475 +tp5391 +a(g827 +g964 +tp5392 +a(g436 +Vscript +p5393 +tp5394 +a(g413 +g1148 +tp5395 +a(g667 +VgetPath +p5396 +tp5397 +a(g413 +g1194 +tp5398 +a(g413 +g1198 +tp5399 +a(g413 +g1328 +tp5400 +a(g413 +g1198 +tp5401 +a(g413 +g968 +tp5402 +a(g827 +V\u000a +p5403 +tp5404 +a(g827 +V +p5405 +tp5406 +a(g436 +VBufferedReader +p5407 +tp5408 +a(g827 +g964 +tp5409 +a(g436 +Vreader +p5410 +tp5411 +a(g827 +g964 +tp5412 +a(g413 +g1143 +tp5413 +a(g827 +g964 +tp5414 +a(g745 +Vnew +p5415 +tp5416 +a(g827 +g964 +tp5417 +a(g436 +VBufferedReader +p5418 +tp5419 +a(g413 +g1194 +tp5420 +a(g745 +Vnew +p5421 +tp5422 +a(g827 +g964 +tp5423 +a(g436 +VInputStreamReader +p5424 +tp5425 +a(g413 +g1194 +tp5426 +a(g436 +VosaProcess +p5427 +tp5428 +a(g413 +g1148 +tp5429 +a(g667 +VgetInputStream +p5430 +tp5431 +a(g413 +g1194 +tp5432 +a(g413 +g1198 +tp5433 +a(g413 +g1198 +tp5434 +a(g413 +g1198 +tp5435 +a(g413 +g968 +tp5436 +a(g827 +V\u000a +p5437 +tp5438 +a(g827 +V +p5439 +tp5440 +a(g436 +VString +p5441 +tp5442 +a(g827 +g964 +tp5443 +a(g436 +Vline +p5444 +tp5445 +a(g827 +g964 +tp5446 +a(g413 +g1143 +tp5447 +a(g827 +g964 +tp5448 +a(g436 +Vreader +p5449 +tp5450 +a(g413 +g1148 +tp5451 +a(g667 +VreadLine +p5452 +tp5453 +a(g413 +g1194 +tp5454 +a(g413 +g1198 +tp5455 +a(g413 +g968 +tp5456 +a(g827 +V\u000a +p5457 +tp5458 +a(g827 +V +p5459 +tp5460 +a(g436 +Vreader +p5461 +tp5462 +a(g413 +g1148 +tp5463 +a(g667 +Vclose +p5464 +tp5465 +a(g413 +g1194 +tp5466 +a(g413 +g1198 +tp5467 +a(g413 +g968 +tp5468 +a(g827 +V\u000a +p5469 +tp5470 +a(g827 +V +p5471 +tp5472 +a(g436 +VDebug +p5473 +tp5474 +a(g413 +g1148 +tp5475 +a(g667 +VoutNoStack +p5476 +tp5477 +a(g413 +g1194 +tp5478 +a(g89 +V"OSAScript Output: " +p5479 +tp5480 +a(g827 +g964 +tp5481 +a(g413 +g1240 +tp5482 +a(g827 +g964 +tp5483 +a(g436 +Vline +p5484 +tp5485 +a(g413 +g1198 +tp5486 +a(g413 +g968 +tp5487 +a(g827 +V\u000a +p5488 +tp5489 +a(g827 +V\u000a +p5490 +tp5491 +a(g827 +V +p5492 +tp5493 +a(g436 +Vreader +p5494 +tp5495 +a(g827 +g964 +tp5496 +a(g413 +g1143 +tp5497 +a(g827 +g964 +tp5498 +a(g745 +Vnew +p5499 +tp5500 +a(g827 +g964 +tp5501 +a(g436 +VBufferedReader +p5502 +tp5503 +a(g413 +g1194 +tp5504 +a(g745 +Vnew +p5505 +tp5506 +a(g827 +g964 +tp5507 +a(g436 +VInputStreamReader +p5508 +tp5509 +a(g413 +g1194 +tp5510 +a(g436 +VosaProcess +p5511 +tp5512 +a(g413 +g1148 +tp5513 +a(g667 +VgetErrorStream +p5514 +tp5515 +a(g413 +g1194 +tp5516 +a(g413 +g1198 +tp5517 +a(g413 +g1198 +tp5518 +a(g413 +g1198 +tp5519 +a(g413 +g968 +tp5520 +a(g827 +V\u000a +p5521 +tp5522 +a(g827 +V +p5523 +tp5524 +a(g436 +VString +p5525 +tp5526 +a(g827 +g964 +tp5527 +a(g436 +VerrorMsg +p5528 +tp5529 +a(g827 +g964 +tp5530 +a(g413 +g1143 +tp5531 +a(g827 +g964 +tp5532 +a(g436 +Vreader +p5533 +tp5534 +a(g413 +g1148 +tp5535 +a(g667 +VreadLine +p5536 +tp5537 +a(g413 +g1194 +tp5538 +a(g413 +g1198 +tp5539 +a(g413 +g968 +tp5540 +a(g827 +V\u000a +p5541 +tp5542 +a(g827 +V +p5543 +tp5544 +a(g436 +Vreader +p5545 +tp5546 +a(g413 +g1148 +tp5547 +a(g667 +Vclose +p5548 +tp5549 +a(g413 +g1194 +tp5550 +a(g413 +g1198 +tp5551 +a(g413 +g968 +tp5552 +a(g827 +V\u000a +p5553 +tp5554 +a(g827 +V\u000a +p5555 +tp5556 +a(g827 +V +p5557 +tp5558 +a(g436 +VDebug +p5559 +tp5560 +a(g413 +g1148 +tp5561 +a(g667 +VoutNoStack +p5562 +tp5563 +a(g413 +g1194 +tp5564 +a(g89 +V"OSAScript Error (if any): " +p5565 +tp5566 +a(g827 +g964 +tp5567 +a(g413 +g1240 +tp5568 +a(g827 +g964 +tp5569 +a(g436 +VerrorMsg +p5570 +tp5571 +a(g413 +g1198 +tp5572 +a(g413 +g968 +tp5573 +a(g827 +V\u000a +p5574 +tp5575 +a(g827 +V\u000a +p5576 +tp5577 +a(g827 +V +p5578 +tp5579 +a(g436 +VDebug +p5580 +tp5581 +a(g413 +g1148 +tp5582 +a(g667 +VoutNoStack +p5583 +tp5584 +a(g413 +g1194 +tp5585 +a(g436 +VMessageFormat +p5586 +tp5587 +a(g413 +g1148 +tp5588 +a(g667 +Vformat +p5589 +tp5590 +a(g413 +g1194 +tp5591 +a(g89 +V"OSAScript execution ended ({0}ms)" +p5592 +tp5593 +a(g413 +g1475 +tp5594 +a(g827 +g964 +tp5595 +a(g745 +Vnew +p5596 +tp5597 +a(g827 +g964 +tp5598 +a(g436 +VObject +p5599 +tp5600 +a(g413 +g3729 +tp5601 +a(g413 +g3731 +tp5602 +a(g413 +g1122 +tp5603 +a(g436 +VString +p5604 +tp5605 +a(g413 +g1148 +tp5606 +a(g667 +VvalueOf +p5607 +tp5608 +a(g413 +g1194 +tp5609 +a(g436 +VSystem +p5610 +tp5611 +a(g413 +g1148 +tp5612 +a(g667 +VcurrentTimeMillis +p5613 +tp5614 +a(g413 +g1194 +tp5615 +a(g413 +g1198 +tp5616 +a(g827 +g964 +tp5617 +a(g413 +g5206 +tp5618 +a(g827 +g964 +tp5619 +a(g436 +Vstart +p5620 +tp5621 +a(g413 +g1198 +tp5622 +a(g413 +g1328 +tp5623 +a(g413 +g1198 +tp5624 +a(g413 +g1198 +tp5625 +a(g413 +g968 +tp5626 +a(g827 +V\u000a +p5627 +tp5628 +a(g827 +V\u000a +p5629 +tp5630 +a(g827 +V +p5631 +tp5632 +a(g745 +Vif +p5633 +tp5634 +a(g827 +g964 +tp5635 +a(g413 +g1194 +tp5636 +a(g436 +VerrorMsg +p5637 +tp5638 +a(g827 +g964 +tp5639 +a(g413 +g1807 +tp5640 +a(g413 +g1143 +tp5641 +a(g827 +g964 +tp5642 +a(g764 +Vnull +p5643 +tp5644 +a(g413 +g1198 +tp5645 +a(g827 +V\u000a +p5646 +tp5647 +a(g827 +V +p5648 +tp5649 +a(g413 +g1122 +tp5650 +a(g827 +V\u000a +p5651 +tp5652 +a(g827 +V +p5653 +tp5654 +a(g745 +Vthrow +p5655 +tp5656 +a(g827 +g964 +tp5657 +a(g745 +Vnew +p5658 +tp5659 +a(g827 +g964 +tp5660 +a(g436 +VIOException +p5661 +tp5662 +a(g413 +g1194 +tp5663 +a(g436 +VerrorMsg +p5664 +tp5665 +a(g413 +g1198 +tp5666 +a(g413 +g968 +tp5667 +a(g827 +V\u000a +p5668 +tp5669 +a(g827 +V +p5670 +tp5671 +a(g413 +g1328 +tp5672 +a(g827 +V\u000a +p5673 +tp5674 +a(g827 +V\u000a +p5675 +tp5676 +a(g827 +V +p5677 +tp5678 +a(g745 +Vreturn +p5679 +tp5680 +a(g827 +g964 +tp5681 +a(g436 +Vline +p5682 +tp5683 +a(g413 +g968 +tp5684 +a(g827 +V\u000a +p5685 +tp5686 +a(g827 +V +p5687 +tp5688 +a(g413 +g1328 +tp5689 +a(g827 +V\u000a +p5690 +tp5691 +a(g827 +V\u000a +p5692 +tp5693 +a(g827 +V +p5694 +tp5695 +a(g8 +V/**\u000a * Compiles a new AppleScript instance to the specified location\u000a * @param cmd Command to compile; do not surround command with extra quotation marks\u000a * @param destination Destination location of the AppleScript file\u000a * @return True if compiled successfully\u000a */ +p5696 +tp5697 +a(g827 +V\u000a +p5698 +tp5699 +a(g827 +V +p5700 +tp5701 +a(g810 +Vprotected +p5702 +tp5703 +a(g827 +g964 +tp5704 +a(g810 +Vstatic +p5705 +tp5706 +a(g827 +g964 +tp5707 +a(g749 +Vboolean +p5708 +tp5709 +a(g827 +g964 +tp5710 +a(g574 +VcompileOSAScript +p5711 +tp5712 +a(g413 +g1194 +tp5713 +a(g436 +VCharSequence +p5714 +tp5715 +a(g827 +g964 +tp5716 +a(g436 +Vcmd +p5717 +tp5718 +a(g413 +g1475 +tp5719 +a(g827 +g964 +tp5720 +a(g436 +VFile +p5721 +tp5722 +a(g827 +g964 +tp5723 +a(g436 +Vdestination +p5724 +tp5725 +a(g413 +g1198 +tp5726 +a(g827 +V\u000a +p5727 +tp5728 +a(g827 +V +p5729 +tp5730 +a(g413 +g1122 +tp5731 +a(g827 +V\u000a +p5732 +tp5733 +a(g827 +V +p5734 +tp5735 +a(g745 +Vreturn +p5736 +tp5737 +a(g827 +g964 +tp5738 +a(g436 +VcompileOSAScript +p5739 +tp5740 +a(g413 +g1194 +tp5741 +a(g745 +Vnew +p5742 +tp5743 +a(g827 +g964 +tp5744 +a(g436 +VCharSequence +p5745 +tp5746 +a(g413 +g3729 +tp5747 +a(g413 +g3731 +tp5748 +a(g413 +g1122 +tp5749 +a(g436 +Vcmd +p5750 +tp5751 +a(g413 +g1328 +tp5752 +a(g413 +g1475 +tp5753 +a(g827 +g964 +tp5754 +a(g436 +Vdestination +p5755 +tp5756 +a(g413 +g1198 +tp5757 +a(g413 +g968 +tp5758 +a(g827 +V\u000a +p5759 +tp5760 +a(g827 +V +p5761 +tp5762 +a(g413 +g1328 +tp5763 +a(g827 +V\u000a +p5764 +tp5765 +a(g827 +V\u000a +p5766 +tp5767 +a(g827 +V +p5768 +tp5769 +a(g8 +V/**\u000a * Compiles a new AppleScript instance to the specified location\u000a * @param cmds Sequence of commands to compile; do not surround command with extra quotation marks\u000a * @param destination Destination location of the AppleScript file\u000a * @return True if compiled successfully\u000a */ +p5770 +tp5771 +a(g827 +V\u000a +p5772 +tp5773 +a(g827 +V +p5774 +tp5775 +a(g810 +Vprotected +p5776 +tp5777 +a(g827 +g964 +tp5778 +a(g810 +Vstatic +p5779 +tp5780 +a(g827 +g964 +tp5781 +a(g749 +Vboolean +p5782 +tp5783 +a(g827 +g964 +tp5784 +a(g574 +VcompileOSAScript +p5785 +tp5786 +a(g413 +g1194 +tp5787 +a(g436 +VCharSequence +p5788 +tp5789 +a(g413 +g3729 +tp5790 +a(g413 +g3731 +tp5791 +a(g827 +g964 +tp5792 +a(g436 +Vcmds +p5793 +tp5794 +a(g413 +g1475 +tp5795 +a(g827 +g964 +tp5796 +a(g436 +VFile +p5797 +tp5798 +a(g827 +g964 +tp5799 +a(g436 +Vdestination +p5800 +tp5801 +a(g413 +g1198 +tp5802 +a(g827 +V\u000a +p5803 +tp5804 +a(g827 +V +p5805 +tp5806 +a(g413 +g1122 +tp5807 +a(g827 +V\u000a +p5808 +tp5809 +a(g827 +V +p5810 +tp5811 +a(g749 +Vlong +p5812 +tp5813 +a(g827 +g964 +tp5814 +a(g436 +Vstart +p5815 +tp5816 +a(g827 +g964 +tp5817 +a(g413 +g1143 +tp5818 +a(g827 +g964 +tp5819 +a(g436 +VSystem +p5820 +tp5821 +a(g413 +g1148 +tp5822 +a(g667 +VcurrentTimeMillis +p5823 +tp5824 +a(g413 +g1194 +tp5825 +a(g413 +g1198 +tp5826 +a(g413 +g968 +tp5827 +a(g827 +V\u000a +p5828 +tp5829 +a(g827 +V +p5830 +tp5831 +a(g436 +VDebug +p5832 +tp5833 +a(g413 +g1148 +tp5834 +a(g667 +VoutNoStack +p5835 +tp5836 +a(g413 +g1194 +tp5837 +a(g89 +V"Compiling OSAScript: " +p5838 +tp5839 +a(g827 +g964 +tp5840 +a(g413 +g1240 +tp5841 +a(g827 +g964 +tp5842 +a(g436 +Vdestination +p5843 +tp5844 +a(g413 +g1148 +tp5845 +a(g667 +VgetPath +p5846 +tp5847 +a(g413 +g1194 +tp5848 +a(g413 +g1198 +tp5849 +a(g413 +g1198 +tp5850 +a(g413 +g968 +tp5851 +a(g827 +V\u000a +p5852 +tp5853 +a(g827 +V +p5854 +tp5855 +a(g745 +Vfor +p5856 +tp5857 +a(g827 +g964 +tp5858 +a(g413 +g1194 +tp5859 +a(g749 +Vint +p5860 +tp5861 +a(g827 +g964 +tp5862 +a(g436 +g4759 +tp5863 +a(g827 +g964 +tp5864 +a(g413 +g1143 +tp5865 +a(g827 +g964 +tp5866 +a(g37 +g4764 +tp5867 +a(g413 +g968 +tp5868 +a(g827 +g964 +tp5869 +a(g436 +g4759 +tp5870 +a(g827 +g964 +tp5871 +a(g413 +g4770 +tp5872 +a(g827 +g964 +tp5873 +a(g436 +Vcmds +p5874 +tp5875 +a(g413 +g1148 +tp5876 +a(g667 +Vlength +p5877 +tp5878 +a(g413 +g968 +tp5879 +a(g827 +g964 +tp5880 +a(g436 +g4759 +tp5881 +a(g413 +g1240 +tp5882 +a(g413 +g1240 +tp5883 +a(g413 +g1198 +tp5884 +a(g827 +V\u000a +p5885 +tp5886 +a(g827 +V +p5887 +tp5888 +a(g413 +g1122 +tp5889 +a(g827 +V\u000a +p5890 +tp5891 +a(g827 +V +p5892 +tp5893 +a(g436 +VDebug +p5894 +tp5895 +a(g413 +g1148 +tp5896 +a(g667 +VoutNoStack +p5897 +tp5898 +a(g413 +g1194 +tp5899 +a(g89 +V"\u005ct" +p5900 +tp5901 +a(g827 +g964 +tp5902 +a(g413 +g1240 +tp5903 +a(g827 +g964 +tp5904 +a(g436 +Vcmds +p5905 +tp5906 +a(g413 +g3729 +tp5907 +a(g436 +g4759 +tp5908 +a(g413 +g3731 +tp5909 +a(g413 +g1198 +tp5910 +a(g413 +g968 +tp5911 +a(g827 +V\u000a +p5912 +tp5913 +a(g827 +V +p5914 +tp5915 +a(g413 +g1328 +tp5916 +a(g827 +V\u000a +p5917 +tp5918 +a(g827 +V\u000a +p5919 +tp5920 +a(g827 +V +p5921 +tp5922 +a(g436 +VString +p5923 +tp5924 +a(g413 +g3729 +tp5925 +a(g413 +g3731 +tp5926 +a(g827 +g964 +tp5927 +a(g436 +Vcmdargs +p5928 +tp5929 +a(g827 +g964 +tp5930 +a(g413 +g1143 +tp5931 +a(g827 +g964 +tp5932 +a(g745 +Vnew +p5933 +tp5934 +a(g827 +g964 +tp5935 +a(g436 +VString +p5936 +tp5937 +a(g413 +g3729 +tp5938 +a(g37 +g4838 +tp5939 +a(g827 +g964 +tp5940 +a(g413 +g4841 +tp5941 +a(g827 +g964 +tp5942 +a(g436 +Vcmds +p5943 +tp5944 +a(g413 +g1148 +tp5945 +a(g667 +Vlength +p5946 +tp5947 +a(g827 +g964 +tp5948 +a(g413 +g1240 +tp5949 +a(g827 +g964 +tp5950 +a(g37 +V3 +p5951 +tp5952 +a(g413 +g3731 +tp5953 +a(g413 +g968 +tp5954 +a(g827 +V\u000a +p5955 +tp5956 +a(g827 +V +p5957 +tp5958 +a(g436 +Vcmdargs +p5959 +tp5960 +a(g413 +g3729 +tp5961 +a(g37 +g4764 +tp5962 +a(g413 +g3731 +tp5963 +a(g827 +g964 +tp5964 +a(g413 +g1143 +tp5965 +a(g827 +g964 +tp5966 +a(g89 +V"osacompile" +p5967 +tp5968 +a(g413 +g968 +tp5969 +a(g827 +V\u000a +p5970 +tp5971 +a(g827 +V +p5972 +tp5973 +a(g745 +Vfor +p5974 +tp5975 +a(g827 +g964 +tp5976 +a(g413 +g1194 +tp5977 +a(g749 +Vint +p5978 +tp5979 +a(g827 +g964 +tp5980 +a(g436 +g4759 +tp5981 +a(g827 +g964 +tp5982 +a(g413 +g1143 +tp5983 +a(g827 +g964 +tp5984 +a(g37 +g4764 +tp5985 +a(g413 +g968 +tp5986 +a(g827 +g964 +tp5987 +a(g436 +g4759 +tp5988 +a(g827 +g964 +tp5989 +a(g413 +g4770 +tp5990 +a(g827 +g964 +tp5991 +a(g436 +Vcmds +p5992 +tp5993 +a(g413 +g1148 +tp5994 +a(g667 +Vlength +p5995 +tp5996 +a(g413 +g968 +tp5997 +a(g827 +g964 +tp5998 +a(g436 +g4759 +tp5999 +a(g413 +g1240 +tp6000 +a(g413 +g1240 +tp6001 +a(g413 +g1198 +tp6002 +a(g827 +V\u000a +p6003 +tp6004 +a(g827 +V +p6005 +tp6006 +a(g413 +g1122 +tp6007 +a(g827 +V\u000a +p6008 +tp6009 +a(g827 +V +p6010 +tp6011 +a(g436 +Vcmdargs +p6012 +tp6013 +a(g413 +g3729 +tp6014 +a(g436 +g4759 +tp6015 +a(g827 +g964 +tp6016 +a(g413 +g4841 +tp6017 +a(g827 +g964 +tp6018 +a(g37 +g4838 +tp6019 +a(g827 +g964 +tp6020 +a(g413 +g1240 +tp6021 +a(g827 +g964 +tp6022 +a(g37 +g4852 +tp6023 +a(g413 +g3731 +tp6024 +a(g827 +g964 +tp6025 +a(g413 +g1143 +tp6026 +a(g827 +g964 +tp6027 +a(g89 +V"-e" +p6028 +tp6029 +a(g413 +g968 +tp6030 +a(g827 +V\u000a +p6031 +tp6032 +a(g827 +V +p6033 +tp6034 +a(g436 +Vcmdargs +p6035 +tp6036 +a(g413 +g3729 +tp6037 +a(g436 +g4759 +tp6038 +a(g827 +g964 +tp6039 +a(g413 +g4841 +tp6040 +a(g827 +g964 +tp6041 +a(g37 +g4838 +tp6042 +a(g827 +g964 +tp6043 +a(g413 +g1240 +tp6044 +a(g827 +g964 +tp6045 +a(g37 +g4838 +tp6046 +a(g413 +g3731 +tp6047 +a(g827 +g964 +tp6048 +a(g413 +g1143 +tp6049 +a(g827 +g964 +tp6050 +a(g436 +VString +p6051 +tp6052 +a(g413 +g1148 +tp6053 +a(g667 +VvalueOf +p6054 +tp6055 +a(g413 +g1194 +tp6056 +a(g436 +Vcmds +p6057 +tp6058 +a(g413 +g3729 +tp6059 +a(g436 +g4759 +tp6060 +a(g413 +g3731 +tp6061 +a(g413 +g1198 +tp6062 +a(g413 +g968 +tp6063 +a(g827 +V\u000a +p6064 +tp6065 +a(g827 +V +p6066 +tp6067 +a(g413 +g1328 +tp6068 +a(g827 +V\u000a +p6069 +tp6070 +a(g827 +V\u000a +p6071 +tp6072 +a(g827 +V +p6073 +tp6074 +a(g436 +Vcmdargs +p6075 +tp6076 +a(g413 +g3729 +tp6077 +a(g436 +Vcmdargs +p6078 +tp6079 +a(g413 +g1148 +tp6080 +a(g667 +Vlength +p6081 +tp6082 +a(g827 +g964 +tp6083 +a(g413 +g5206 +tp6084 +a(g827 +g964 +tp6085 +a(g37 +g4838 +tp6086 +a(g413 +g3731 +tp6087 +a(g827 +g964 +tp6088 +a(g413 +g1143 +tp6089 +a(g827 +g964 +tp6090 +a(g89 +V"-o" +p6091 +tp6092 +a(g413 +g968 +tp6093 +a(g827 +V\u000a +p6094 +tp6095 +a(g827 +V +p6096 +tp6097 +a(g436 +Vcmdargs +p6098 +tp6099 +a(g413 +g3729 +tp6100 +a(g436 +Vcmdargs +p6101 +tp6102 +a(g413 +g1148 +tp6103 +a(g667 +Vlength +p6104 +tp6105 +a(g827 +g964 +tp6106 +a(g413 +g5206 +tp6107 +a(g827 +g964 +tp6108 +a(g37 +g4852 +tp6109 +a(g413 +g3731 +tp6110 +a(g827 +g964 +tp6111 +a(g413 +g1143 +tp6112 +a(g827 +g964 +tp6113 +a(g436 +Vdestination +p6114 +tp6115 +a(g413 +g1148 +tp6116 +a(g667 +VgetPath +p6117 +tp6118 +a(g413 +g1194 +tp6119 +a(g413 +g1198 +tp6120 +a(g413 +g968 +tp6121 +a(g827 +V\u000a +p6122 +tp6123 +a(g827 +V\u000a +p6124 +tp6125 +a(g827 +V +p6126 +tp6127 +a(g436 +VString +p6128 +tp6129 +a(g827 +g964 +tp6130 +a(g436 +VerrorMsg +p6131 +tp6132 +a(g413 +g968 +tp6133 +a(g827 +V\u000a +p6134 +tp6135 +a(g827 +V +p6136 +tp6137 +a(g745 +Vtry +p6138 +tp6139 +a(g827 +V\u000a +p6140 +tp6141 +a(g827 +V +p6142 +tp6143 +a(g413 +g1122 +tp6144 +a(g827 +V\u000a +p6145 +tp6146 +a(g827 +V +p6147 +tp6148 +a(g436 +VProcess +p6149 +tp6150 +a(g827 +g964 +tp6151 +a(g436 +VosaProcess +p6152 +tp6153 +a(g827 +g964 +tp6154 +a(g413 +g1143 +tp6155 +a(g827 +g964 +tp6156 +a(g436 +VperformRuntimeExec +p6157 +tp6158 +a(g413 +g1194 +tp6159 +a(g436 +Vcmdargs +p6160 +tp6161 +a(g413 +g1198 +tp6162 +a(g413 +g968 +tp6163 +a(g827 +V\u000a +p6164 +tp6165 +a(g827 +V\u000a +p6166 +tp6167 +a(g827 +V +p6168 +tp6169 +a(g436 +VBufferedReader +p6170 +tp6171 +a(g827 +g964 +tp6172 +a(g436 +Vreader +p6173 +tp6174 +a(g827 +g964 +tp6175 +a(g413 +g1143 +tp6176 +a(g827 +g964 +tp6177 +a(g745 +Vnew +p6178 +tp6179 +a(g827 +g964 +tp6180 +a(g436 +VBufferedReader +p6181 +tp6182 +a(g413 +g1194 +tp6183 +a(g745 +Vnew +p6184 +tp6185 +a(g827 +g964 +tp6186 +a(g436 +VInputStreamReader +p6187 +tp6188 +a(g413 +g1194 +tp6189 +a(g436 +VosaProcess +p6190 +tp6191 +a(g413 +g1148 +tp6192 +a(g667 +VgetErrorStream +p6193 +tp6194 +a(g413 +g1194 +tp6195 +a(g413 +g1198 +tp6196 +a(g413 +g1198 +tp6197 +a(g413 +g1198 +tp6198 +a(g413 +g968 +tp6199 +a(g827 +V\u000a +p6200 +tp6201 +a(g827 +V +p6202 +tp6203 +a(g436 +VerrorMsg +p6204 +tp6205 +a(g827 +g964 +tp6206 +a(g413 +g1143 +tp6207 +a(g827 +g964 +tp6208 +a(g436 +Vreader +p6209 +tp6210 +a(g413 +g1148 +tp6211 +a(g667 +VreadLine +p6212 +tp6213 +a(g413 +g1194 +tp6214 +a(g413 +g1198 +tp6215 +a(g413 +g968 +tp6216 +a(g827 +V\u000a +p6217 +tp6218 +a(g827 +V +p6219 +tp6220 +a(g436 +Vreader +p6221 +tp6222 +a(g413 +g1148 +tp6223 +a(g667 +Vclose +p6224 +tp6225 +a(g413 +g1194 +tp6226 +a(g413 +g1198 +tp6227 +a(g413 +g968 +tp6228 +a(g827 +V\u000a +p6229 +tp6230 +a(g827 +V +p6231 +tp6232 +a(g413 +g1328 +tp6233 +a(g827 +V\u000a +p6234 +tp6235 +a(g827 +V +p6236 +tp6237 +a(g745 +Vcatch +p6238 +tp6239 +a(g827 +g964 +tp6240 +a(g413 +g1194 +tp6241 +a(g436 +VIOException +p6242 +tp6243 +a(g827 +g964 +tp6244 +a(g436 +g1449 +tp6245 +a(g413 +g1198 +tp6246 +a(g827 +V\u000a +p6247 +tp6248 +a(g827 +V +p6249 +tp6250 +a(g413 +g1122 +tp6251 +a(g827 +V\u000a +p6252 +tp6253 +a(g827 +V +p6254 +tp6255 +a(g436 +VDebug +p6256 +tp6257 +a(g413 +g1148 +tp6258 +a(g667 +VoutNoStack +p6259 +tp6260 +a(g413 +g1194 +tp6261 +a(g89 +V"OSACompile Execution Failed: " +p6262 +tp6263 +a(g827 +g964 +tp6264 +a(g413 +g1240 +tp6265 +a(g827 +g964 +tp6266 +a(g436 +g1449 +tp6267 +a(g413 +g1148 +tp6268 +a(g667 +VgetMessage +p6269 +tp6270 +a(g413 +g1194 +tp6271 +a(g413 +g1198 +tp6272 +a(g413 +g1198 +tp6273 +a(g413 +g968 +tp6274 +a(g827 +V\u000a +p6275 +tp6276 +a(g827 +V +p6277 +tp6278 +a(g436 +VDebug +p6279 +tp6280 +a(g413 +g1148 +tp6281 +a(g667 +VprintStackTrace +p6282 +tp6283 +a(g413 +g1194 +tp6284 +a(g436 +g1449 +tp6285 +a(g413 +g1198 +tp6286 +a(g413 +g968 +tp6287 +a(g827 +V\u000a +p6288 +tp6289 +a(g827 +V +p6290 +tp6291 +a(g745 +Vreturn +p6292 +tp6293 +a(g827 +g964 +tp6294 +a(g764 +Vfalse +p6295 +tp6296 +a(g413 +g968 +tp6297 +a(g827 +V\u000a +p6298 +tp6299 +a(g827 +V +p6300 +tp6301 +a(g413 +g1328 +tp6302 +a(g827 +V\u000a +p6303 +tp6304 +a(g827 +V\u000a +p6305 +tp6306 +a(g827 +V +p6307 +tp6308 +a(g436 +VDebug +p6309 +tp6310 +a(g413 +g1148 +tp6311 +a(g667 +VoutNoStack +p6312 +tp6313 +a(g413 +g1194 +tp6314 +a(g89 +V"OSACompile Error (if any): " +p6315 +tp6316 +a(g827 +g964 +tp6317 +a(g413 +g1240 +tp6318 +a(g827 +g964 +tp6319 +a(g436 +VerrorMsg +p6320 +tp6321 +a(g413 +g1198 +tp6322 +a(g413 +g968 +tp6323 +a(g827 +V\u000a +p6324 +tp6325 +a(g827 +V\u000a +p6326 +tp6327 +a(g827 +V +p6328 +tp6329 +a(g436 +VDebug +p6330 +tp6331 +a(g413 +g1148 +tp6332 +a(g667 +VoutNoStack +p6333 +tp6334 +a(g413 +g1194 +tp6335 +a(g436 +VMessageFormat +p6336 +tp6337 +a(g413 +g1148 +tp6338 +a(g667 +Vformat +p6339 +tp6340 +a(g413 +g1194 +tp6341 +a(g89 +V"OSACompile execution ended ({0}ms)" +p6342 +tp6343 +a(g413 +g1475 +tp6344 +a(g827 +g964 +tp6345 +a(g745 +Vnew +p6346 +tp6347 +a(g827 +g964 +tp6348 +a(g436 +VObject +p6349 +tp6350 +a(g413 +g3729 +tp6351 +a(g413 +g3731 +tp6352 +a(g413 +g1122 +tp6353 +a(g436 +VString +p6354 +tp6355 +a(g413 +g1148 +tp6356 +a(g667 +VvalueOf +p6357 +tp6358 +a(g413 +g1194 +tp6359 +a(g436 +VSystem +p6360 +tp6361 +a(g413 +g1148 +tp6362 +a(g667 +VcurrentTimeMillis +p6363 +tp6364 +a(g413 +g1194 +tp6365 +a(g413 +g1198 +tp6366 +a(g827 +g964 +tp6367 +a(g413 +g5206 +tp6368 +a(g827 +g964 +tp6369 +a(g436 +Vstart +p6370 +tp6371 +a(g413 +g1198 +tp6372 +a(g413 +g1328 +tp6373 +a(g413 +g1198 +tp6374 +a(g413 +g1198 +tp6375 +a(g413 +g968 +tp6376 +a(g827 +V\u000a +p6377 +tp6378 +a(g827 +V\u000a +p6379 +tp6380 +a(g827 +V +p6381 +tp6382 +a(g745 +Vreturn +p6383 +tp6384 +a(g827 +g964 +tp6385 +a(g413 +g1194 +tp6386 +a(g436 +VerrorMsg +p6387 +tp6388 +a(g827 +g964 +tp6389 +a(g413 +g1143 +tp6390 +a(g413 +g1143 +tp6391 +a(g827 +g964 +tp6392 +a(g764 +Vnull +p6393 +tp6394 +a(g413 +g1198 +tp6395 +a(g413 +g968 +tp6396 +a(g827 +V\u000a +p6397 +tp6398 +a(g827 +V +p6399 +tp6400 +a(g413 +g1328 +tp6401 +a(g827 +V\u000a +p6402 +tp6403 +a(g827 +V\u000a +p6404 +tp6405 +a(g827 +V +p6406 +tp6407 +a(g8 +V/**\u000a * @see Runtime#exec(String[])\u000a */ +p6408 +tp6409 +a(g827 +V\u000a +p6410 +tp6411 +a(g827 +V +p6412 +tp6413 +a(g810 +Vprotected +p6414 +tp6415 +a(g827 +g964 +tp6416 +a(g810 +Vstatic +p6417 +tp6418 +a(g827 +g964 +tp6419 +a(g436 +VProcess +p6420 +tp6421 +a(g827 +g964 +tp6422 +a(g574 +VperformRuntimeExec +p6423 +tp6424 +a(g413 +g1194 +tp6425 +a(g436 +VString +p6426 +tp6427 +a(g413 +g3729 +tp6428 +a(g413 +g3731 +tp6429 +a(g827 +g964 +tp6430 +a(g436 +Vcmdargs +p6431 +tp6432 +a(g413 +g1198 +tp6433 +a(g827 +g964 +tp6434 +a(g810 +Vthrows +p6435 +tp6436 +a(g827 +g964 +tp6437 +a(g436 +VIOException +p6438 +tp6439 +a(g827 +V\u000a +p6440 +tp6441 +a(g827 +V +p6442 +tp6443 +a(g413 +g1122 +tp6444 +a(g827 +V\u000a +p6445 +tp6446 +a(g827 +V +p6447 +tp6448 +a(g745 +Vtry +p6449 +tp6450 +a(g827 +V\u000a +p6451 +tp6452 +a(g827 +V +p6453 +tp6454 +a(g413 +g1122 +tp6455 +a(g827 +V\u000a +p6456 +tp6457 +a(g827 +V +p6458 +tp6459 +a(g745 +Vreturn +p6460 +tp6461 +a(g827 +g964 +tp6462 +a(g436 +VRuntime +p6463 +tp6464 +a(g413 +g1148 +tp6465 +a(g667 +VgetRuntime +p6466 +tp6467 +a(g413 +g1194 +tp6468 +a(g413 +g1198 +tp6469 +a(g413 +g1148 +tp6470 +a(g667 +Vexec +p6471 +tp6472 +a(g413 +g1194 +tp6473 +a(g436 +Vcmdargs +p6474 +tp6475 +a(g413 +g1198 +tp6476 +a(g413 +g968 +tp6477 +a(g827 +V\u000a +p6478 +tp6479 +a(g827 +V +p6480 +tp6481 +a(g413 +g1328 +tp6482 +a(g827 +V\u000a +p6483 +tp6484 +a(g827 +V +p6485 +tp6486 +a(g745 +Vcatch +p6487 +tp6488 +a(g827 +g964 +tp6489 +a(g413 +g1194 +tp6490 +a(g436 +VIOException +p6491 +tp6492 +a(g827 +g964 +tp6493 +a(g436 +g1449 +tp6494 +a(g413 +g1198 +tp6495 +a(g827 +V\u000a +p6496 +tp6497 +a(g827 +V +p6498 +tp6499 +a(g413 +g1122 +tp6500 +a(g827 +V\u000a +p6501 +tp6502 +a(g827 +V +p6503 +tp6504 +a(g436 +VLogger +p6505 +tp6506 +a(g413 +g1148 +tp6507 +a(g667 +Vlog +p6508 +tp6509 +a(g413 +g1194 +tp6510 +a(g745 +Vnew +p6511 +tp6512 +a(g827 +g964 +tp6513 +a(g436 +VLogAlert +p6514 +tp6515 +a(g413 +g1194 +tp6516 +a(g436 +VLogAlert +p6517 +tp6518 +a(g413 +g1148 +tp6519 +a(g667 +VUNREPEATABLE +p6520 +tp6521 +a(g413 +g1475 +tp6522 +a(g827 +g964 +tp6523 +a(g436 +g1449 +tp6524 +a(g413 +g1148 +tp6525 +a(g667 +VgetMessage +p6526 +tp6527 +a(g413 +g1194 +tp6528 +a(g413 +g1198 +tp6529 +a(g413 +g1475 +tp6530 +a(g827 +g964 +tp6531 +a(g436 +g1449 +tp6532 +a(g413 +g1198 +tp6533 +a(g413 +g1198 +tp6534 +a(g413 +g968 +tp6535 +a(g827 +V\u000a +p6536 +tp6537 +a(g827 +V +p6538 +tp6539 +a(g745 +Vthrow +p6540 +tp6541 +a(g827 +g964 +tp6542 +a(g436 +g1449 +tp6543 +a(g413 +g968 +tp6544 +a(g827 +V\u000a +p6545 +tp6546 +a(g827 +V +p6547 +tp6548 +a(g413 +g1328 +tp6549 +a(g827 +V\u000a +p6550 +tp6551 +a(g827 +V +p6552 +tp6553 +a(g413 +g1328 +tp6554 +a(g827 +V\u000a +p6555 +tp6556 +a(g827 +V\u000a +p6557 +tp6558 +a(g827 +V +p6559 +tp6560 +a(g8 +V/**\u000a *

    Gets the preferred file browser name

    \u000a *

    Currently supported browsers are Path Finder and Finder. If Path Finder is currently running\u000a * (not just installed), then "Path Finder is returned; else, "Finder" is returned.

    \u000a * @return "Path Finder" if it is currently running; else "Finder"\u000a */ +p6561 +tp6562 +a(g827 +V\u000a +p6563 +tp6564 +a(g827 +V +p6565 +tp6566 +a(g810 +Vprivate +p6567 +tp6568 +a(g827 +g964 +tp6569 +a(g810 +Vstatic +p6570 +tp6571 +a(g827 +g964 +tp6572 +a(g436 +VString +p6573 +tp6574 +a(g827 +g964 +tp6575 +a(g574 +VgetFileBrowserName +p6576 +tp6577 +a(g413 +g1194 +tp6578 +a(g413 +g1198 +tp6579 +a(g827 +V\u000a +p6580 +tp6581 +a(g827 +V +p6582 +tp6583 +a(g413 +g1122 +tp6584 +a(g827 +V\u000a +p6585 +tp6586 +a(g827 +V +p6587 +tp6588 +a(g745 +Vtry +p6589 +tp6590 +a(g827 +V\u000a +p6591 +tp6592 +a(g827 +V +p6593 +tp6594 +a(g413 +g1122 +tp6595 +a(g827 +V\u000a +p6596 +tp6597 +a(g827 +V +p6598 +tp6599 +a(g906 +V// slowwwwwwww\u000a +p6600 +tp6601 +a(g827 +V +p6602 +tp6603 +a(g745 +Vif +p6604 +tp6605 +a(g827 +g964 +tp6606 +a(g413 +g1194 +tp6607 +a(g89 +V"true" +p6608 +tp6609 +a(g413 +g1148 +tp6610 +a(g667 +VequalsIgnoreCase +p6611 +tp6612 +a(g413 +g1194 +tp6613 +a(g436 +VperformOSAScript +p6614 +tp6615 +a(g413 +g1194 +tp6616 +a(g89 +V"tell application \u005c"System Events\u005c" to exists process \u005c"Path Finder\u005c"" +p6617 +tp6618 +a(g413 +g1198 +tp6619 +a(g413 +g1198 +tp6620 +a(g413 +g1198 +tp6621 +a(g827 +V\u000a +p6622 +tp6623 +a(g827 +V +p6624 +tp6625 +a(g413 +g1122 +tp6626 +a(g827 +V\u000a +p6627 +tp6628 +a(g827 +V +p6629 +tp6630 +a(g436 +VDebug +p6631 +tp6632 +a(g413 +g1148 +tp6633 +a(g667 +VoutNoStack +p6634 +tp6635 +a(g413 +g1194 +tp6636 +a(g89 +V"Path Finder is running" +p6637 +tp6638 +a(g413 +g1198 +tp6639 +a(g413 +g968 +tp6640 +a(g827 +V\u000a +p6641 +tp6642 +a(g827 +V\u000a +p6643 +tp6644 +a(g827 +V +p6645 +tp6646 +a(g745 +Vreturn +p6647 +tp6648 +a(g827 +g964 +tp6649 +a(g89 +V"Path Finder" +p6650 +tp6651 +a(g413 +g968 +tp6652 +a(g827 +V\u000a +p6653 +tp6654 +a(g827 +V +p6655 +tp6656 +a(g413 +g1328 +tp6657 +a(g827 +V\u000a +p6658 +tp6659 +a(g827 +V +p6660 +tp6661 +a(g745 +Velse +p6662 +tp6663 +a(g827 +V\u000a +p6664 +tp6665 +a(g827 +V +p6666 +tp6667 +a(g413 +g1122 +tp6668 +a(g827 +V\u000a +p6669 +tp6670 +a(g827 +V +p6671 +tp6672 +a(g745 +Vreturn +p6673 +tp6674 +a(g827 +g964 +tp6675 +a(g89 +V"Finder" +p6676 +tp6677 +a(g413 +g968 +tp6678 +a(g827 +V\u000a +p6679 +tp6680 +a(g827 +V +p6681 +tp6682 +a(g413 +g1328 +tp6683 +a(g827 +V\u000a +p6684 +tp6685 +a(g827 +V +p6686 +tp6687 +a(g413 +g1328 +tp6688 +a(g827 +V\u000a +p6689 +tp6690 +a(g827 +V +p6691 +tp6692 +a(g745 +Vcatch +p6693 +tp6694 +a(g827 +g964 +tp6695 +a(g413 +g1194 +tp6696 +a(g436 +VIOException +p6697 +tp6698 +a(g827 +g964 +tp6699 +a(g436 +g1449 +tp6700 +a(g413 +g1198 +tp6701 +a(g827 +V\u000a +p6702 +tp6703 +a(g827 +V +p6704 +tp6705 +a(g413 +g1122 +tp6706 +a(g827 +V\u000a +p6707 +tp6708 +a(g827 +V +p6709 +tp6710 +a(g436 +VDebug +p6711 +tp6712 +a(g413 +g1148 +tp6713 +a(g667 +VprintStackTrace +p6714 +tp6715 +a(g413 +g1194 +tp6716 +a(g436 +g1449 +tp6717 +a(g413 +g1198 +tp6718 +a(g413 +g968 +tp6719 +a(g827 +V\u000a +p6720 +tp6721 +a(g827 +V +p6722 +tp6723 +a(g436 +VLogger +p6724 +tp6725 +a(g413 +g1148 +tp6726 +a(g667 +Vlog +p6727 +tp6728 +a(g413 +g1194 +tp6729 +a(g745 +Vnew +p6730 +tp6731 +a(g827 +g964 +tp6732 +a(g436 +VLogEvent +p6733 +tp6734 +a(g413 +g1194 +tp6735 +a(g436 +VLOGID +p6736 +tp6737 +a(g413 +g1475 +tp6738 +a(g827 +g964 +tp6739 +a(g436 +g1449 +tp6740 +a(g413 +g1148 +tp6741 +a(g667 +VgetMessage +p6742 +tp6743 +a(g413 +g1194 +tp6744 +a(g413 +g1198 +tp6745 +a(g413 +g1475 +tp6746 +a(g827 +g964 +tp6747 +a(g436 +g1449 +tp6748 +a(g413 +g1198 +tp6749 +a(g413 +g1198 +tp6750 +a(g413 +g968 +tp6751 +a(g827 +V\u000a +p6752 +tp6753 +a(g827 +V\u000a +p6754 +tp6755 +a(g827 +V +p6756 +tp6757 +a(g745 +Vreturn +p6758 +tp6759 +a(g827 +g964 +tp6760 +a(g89 +V"Finder" +p6761 +tp6762 +a(g413 +g968 +tp6763 +a(g827 +V\u000a +p6764 +tp6765 +a(g827 +V +p6766 +tp6767 +a(g413 +g1328 +tp6768 +a(g827 +V\u000a +p6769 +tp6770 +a(g827 +V +p6771 +tp6772 +a(g413 +g1328 +tp6773 +a(g827 +V\u000a +p6774 +tp6775 +a(g827 +V +p6776 +tp6777 +a(g827 +V\u000a +p6778 +tp6779 +a(g827 +g1917 +tp6780 +a(g810 +Vpublic +p6781 +tp6782 +a(g827 +g964 +tp6783 +a(g749 +Vboolean +p6784 +tp6785 +a(g827 +V\u000a +p6786 +tp6787 +a(g827 +g1917 +tp6788 +a(g574 +VtestNativeAvailability +p6789 +tp6790 +a(g413 +g1194 +tp6791 +a(g827 +V\u000a +p6792 +tp6793 +a(g827 +V +p6794 +tp6795 +a(g436 +VString +p6796 +tp6797 +a(g827 +g1917 +tp6798 +a(g436 +Vname +p6799 +tp6800 +a(g827 +g964 +tp6801 +a(g413 +g1198 +tp6802 +a(g827 +V\u000a +p6803 +tp6804 +a(g827 +g1917 +tp6805 +a(g827 +V\u000a +p6806 +tp6807 +a(g827 +V +p6808 +tp6809 +a(g810 +Vthrows +p6810 +tp6811 +a(g827 +g964 +tp6812 +a(g436 +VPlatformManagerException +p6813 +tp6814 +a(g827 +V\u000a +p6815 +tp6816 +a(g827 +g1917 +tp6817 +a(g413 +g1122 +tp6818 +a(g827 +V\u000a +p6819 +tp6820 +a(g827 +V +p6821 +tp6822 +a(g745 +Vthrow +p6823 +tp6824 +a(g827 +g964 +tp6825 +a(g745 +Vnew +p6826 +tp6827 +a(g827 +g964 +tp6828 +a(g436 +VPlatformManagerException +p6829 +tp6830 +a(g413 +g1194 +tp6831 +a(g89 +V"Unsupported capability called on platform manager" +p6832 +tp6833 +a(g413 +g1198 +tp6834 +a(g413 +g968 +tp6835 +a(g827 +V +p6836 +tp6837 +a(g827 +V\u000a +p6838 +tp6839 +a(g827 +g1917 +tp6840 +a(g413 +g1328 +tp6841 +a(g827 +V\u000a +p6842 +tp6843 +a(g827 +V +p6844 +tp6845 +a(g827 +V\u000a +p6846 +tp6847 +a(g827 +V +p6848 +tp6849 +a(g810 +Vpublic +p6850 +tp6851 +a(g827 +g964 +tp6852 +a(g749 +Vvoid +p6853 +tp6854 +a(g827 +V\u000a +p6855 +tp6856 +a(g827 +V +p6857 +tp6858 +a(g574 +VaddListener +p6859 +tp6860 +a(g413 +g1194 +tp6861 +a(g827 +V\u000a +p6862 +tp6863 +a(g827 +V +p6864 +tp6865 +a(g436 +VPlatformManagerListener +p6866 +tp6867 +a(g827 +V +p6868 +tp6869 +a(g436 +Vlistener +p6870 +tp6871 +a(g827 +g964 +tp6872 +a(g413 +g1198 +tp6873 +a(g827 +V\u000a +p6874 +tp6875 +a(g827 +V +p6876 +tp6877 +a(g413 +g1122 +tp6878 +a(g827 +V\u000a +p6879 +tp6880 +a(g827 +V +p6881 +tp6882 +a(g413 +g1328 +tp6883 +a(g827 +V\u000a +p6884 +tp6885 +a(g827 +V +p6886 +tp6887 +a(g827 +V\u000a +p6888 +tp6889 +a(g827 +V +p6890 +tp6891 +a(g810 +Vpublic +p6892 +tp6893 +a(g827 +g964 +tp6894 +a(g749 +Vvoid +p6895 +tp6896 +a(g827 +V\u000a +p6897 +tp6898 +a(g827 +V +p6899 +tp6900 +a(g574 +VremoveListener +p6901 +tp6902 +a(g413 +g1194 +tp6903 +a(g827 +V\u000a +p6904 +tp6905 +a(g827 +V +p6906 +tp6907 +a(g436 +VPlatformManagerListener +p6908 +tp6909 +a(g827 +V +p6910 +tp6911 +a(g436 +Vlistener +p6912 +tp6913 +a(g827 +g964 +tp6914 +a(g413 +g1198 +tp6915 +a(g827 +V\u000a +p6916 +tp6917 +a(g827 +V +p6918 +tp6919 +a(g413 +g1122 +tp6920 +a(g827 +V\u000a +p6921 +tp6922 +a(g827 +V +p6923 +tp6924 +a(g413 +g1328 +tp6925 +a(g827 +V\u000a +p6926 +tp6927 +a(g413 +g1328 +tp6928 +a(g827 +V\u000a +p6929 +tp6930 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.jsp b/tests/examplefiles/output/test.jsp new file mode 100644 index 0000000..7591b24 --- /dev/null +++ b/tests/examplefiles/output/test.jsp @@ -0,0 +1,3044 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV +p991 +tp992 +a(g822 +V\u000a +p993 +tp994 +a(g740 +V<%= +p995 +tp996 +a(g822 +g962 +tp997 +a(g431 +Vvar +p998 +tp999 +a(g822 +g962 +tp1000 +a(g431 +Vx +p1001 +tp1002 +a(g822 +g962 +tp1003 +a(g408 +V= +p1004 +tp1005 +a(g822 +g962 +tp1006 +a(g32 +V1 +p1007 +tp1008 +a(g408 +V; +p1009 +tp1010 +a(g822 +V\u000a +p1011 +tp1012 +a(g740 +V%> +p1013 +tp1014 +a(g822 +V\u000a +p1015 +tp1016 +a(g740 +V<%! +p1017 +tp1018 +a(g822 +g962 +tp1019 +a(g744 +Vint +p1020 +tp1021 +a(g822 +g962 +tp1022 +a(g431 +Vi +p1023 +tp1024 +a(g822 +g962 +tp1025 +a(g408 +g1004 +tp1026 +a(g822 +g962 +tp1027 +a(g32 +V0 +p1028 +tp1029 +a(g408 +g1009 +tp1030 +a(g822 +g962 +tp1031 +a(g740 +V%> +p1032 +tp1033 +a(g822 +V\u000a +p1034 +tp1035 +a(g740 +V<%! +p1036 +tp1037 +a(g822 +g962 +tp1038 +a(g744 +Vint +p1039 +tp1040 +a(g822 +g962 +tp1041 +a(g431 +Va +p1042 +tp1043 +a(g408 +V, +p1044 +tp1045 +a(g822 +g962 +tp1046 +a(g431 +Vb +p1047 +tp1048 +a(g408 +g1044 +tp1049 +a(g822 +g962 +tp1050 +a(g431 +Vc +p1051 +tp1052 +a(g408 +g1009 +tp1053 +a(g822 +g962 +tp1054 +a(g740 +V%> +p1055 +tp1056 +a(g822 +V\u000a +p1057 +tp1058 +a(g740 +V<%! +p1059 +tp1060 +a(g822 +g962 +tp1061 +a(g431 +VCircle +p1062 +tp1063 +a(g822 +g962 +tp1064 +a(g431 +g1042 +tp1065 +a(g822 +g962 +tp1066 +a(g408 +g1004 +tp1067 +a(g822 +g962 +tp1068 +a(g740 +Vnew +p1069 +tp1070 +a(g822 +g962 +tp1071 +a(g431 +VCircle +p1072 +tp1073 +a(g408 +g964 +tp1074 +a(g310 +V2.0 +p1075 +tp1076 +a(g408 +g979 +tp1077 +a(g408 +g1009 +tp1078 +a(g822 +g962 +tp1079 +a(g740 +V%> +p1080 +tp1081 +a(g822 +V\u000a\u000a +p1082 +tp1083 +a(g740 +V<% +p1084 +tp1085 +a(g822 +V\u000a +p1086 +tp1087 +a(g822 +V +p1088 +tp1089 +a(g431 +VString +p1090 +tp1091 +a(g822 +g962 +tp1092 +a(g431 +Vname +p1093 +tp1094 +a(g822 +g962 +tp1095 +a(g408 +g1004 +tp1096 +a(g822 +g962 +tp1097 +a(g759 +Vnull +p1098 +tp1099 +a(g408 +g1009 +tp1100 +a(g822 +V\u000a +p1101 +tp1102 +a(g822 +V +p1103 +tp1104 +a(g740 +Vif +p1105 +tp1106 +a(g822 +g962 +tp1107 +a(g408 +g964 +tp1108 +a(g431 +Vrequest +p1109 +tp1110 +a(g408 +g971 +tp1111 +a(g662 +VgetParameter +p1112 +tp1113 +a(g408 +g964 +tp1114 +a(g84 +V"name" +p1115 +tp1116 +a(g408 +g979 +tp1117 +a(g822 +g962 +tp1118 +a(g408 +g1004 +tp1119 +a(g408 +g1004 +tp1120 +a(g822 +g962 +tp1121 +a(g759 +Vnull +p1122 +tp1123 +a(g408 +g979 +tp1124 +a(g822 +g962 +tp1125 +a(g408 +V{ +p1126 +tp1127 +a(g822 +V\u000a +p1128 +tp1129 +a(g740 +V%> +p1130 +tp1131 +a(g822 +V\u000a +p1132 +tp1133 +a(g740 +V<%@ +p1134 +tp1135 +a(g822 +g962 +tp1136 +a(g431 +Vinclude +p1137 +tp1138 +a(g822 +g962 +tp1139 +a(g431 +Vfile +p1140 +tp1141 +a(g408 +g1004 +tp1142 +a(g84 +V"error.html" +p1143 +tp1144 +a(g822 +g962 +tp1145 +a(g740 +V%> +p1146 +tp1147 +a(g822 +V\u000a +p1148 +tp1149 +a(g740 +V<% +p1150 +tp1151 +a(g822 +V\u000a +p1152 +tp1153 +a(g822 +V +p1154 +tp1155 +a(g408 +V} +p1156 +tp1157 +a(g822 +g962 +tp1158 +a(g740 +Velse +p1159 +tp1160 +a(g822 +g962 +tp1161 +a(g408 +g1126 +tp1162 +a(g822 +V\u000a +p1163 +tp1164 +a(g822 +V +p1165 +tp1166 +a(g431 +Vfoo +p1167 +tp1168 +a(g408 +g971 +tp1169 +a(g662 +VsetName +p1170 +tp1171 +a(g408 +g964 +tp1172 +a(g431 +Vrequest +p1173 +tp1174 +a(g408 +g971 +tp1175 +a(g662 +VgetParameter +p1176 +tp1177 +a(g408 +g964 +tp1178 +a(g84 +V"name" +p1179 +tp1180 +a(g408 +g979 +tp1181 +a(g408 +g979 +tp1182 +a(g408 +g1009 +tp1183 +a(g822 +V\u000a +p1184 +tp1185 +a(g822 +V +p1186 +tp1187 +a(g740 +Vif +p1188 +tp1189 +a(g822 +g962 +tp1190 +a(g408 +g964 +tp1191 +a(g431 +Vfoo +p1192 +tp1193 +a(g408 +g971 +tp1194 +a(g662 +VgetName +p1195 +tp1196 +a(g408 +g964 +tp1197 +a(g408 +g979 +tp1198 +a(g408 +g971 +tp1199 +a(g662 +VequalsIgnoreCase +p1200 +tp1201 +a(g408 +g964 +tp1202 +a(g84 +V"integra" +p1203 +tp1204 +a(g408 +g979 +tp1205 +a(g408 +g979 +tp1206 +a(g822 +V\u000a +p1207 +tp1208 +a(g822 +V +p1209 +tp1210 +a(g431 +Vname +p1211 +tp1212 +a(g822 +g962 +tp1213 +a(g408 +g1004 +tp1214 +a(g822 +g962 +tp1215 +a(g84 +V"acura" +p1216 +tp1217 +a(g408 +g1009 +tp1218 +a(g822 +V\u000a +p1219 +tp1220 +a(g822 +V +p1221 +tp1222 +a(g740 +Vif +p1223 +tp1224 +a(g822 +g962 +tp1225 +a(g408 +g964 +tp1226 +a(g431 +Vname +p1227 +tp1228 +a(g408 +g971 +tp1229 +a(g662 +VequalsIgnoreCase +p1230 +tp1231 +a(g408 +g964 +tp1232 +a(g822 +g962 +tp1233 +a(g84 +V"acura" +p1234 +tp1235 +a(g822 +g962 +tp1236 +a(g408 +g979 +tp1237 +a(g408 +g979 +tp1238 +a(g822 +g962 +tp1239 +a(g408 +g1126 +tp1240 +a(g822 +V\u000a +p1241 +tp1242 +a(g740 +V%> +p1243 +tp1244 +a(g822 +V\u000a\u000a +p1245 +tp1246 +a(g740 +V +p1247 +tp1248 +a(g822 +V\u000a +p1249 +tp1250 +a(g553 +V

    +p1253 +tp1254 +a(g822 +V\u000aCalendar of +p1255 +tp1256 +a(g740 +V +p1257 +tp1258 +a(g822 +V\u000a +p1259 +tp1260 +a(g553 +V

    +p1261 +tp1262 +a(g822 +V\u000a +p1263 +tp1264 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.lean b/tests/examplefiles/output/test.lean new file mode 100644 index 0000000..ed92339 --- /dev/null +++ b/tests/examplefiles/output/test.lean @@ -0,0 +1,14745 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV/- +p956 +tp957 +a(g932 +V\u000a +p958 +tp959 +a(g932 +VT +p960 +tp961 +a(g932 +Vh +p962 +tp963 +a(g932 +Ve +p964 +tp965 +a(g932 +Vo +p966 +tp967 +a(g932 +Vr +p968 +tp969 +a(g932 +g964 +tp970 +a(g932 +Vm +p971 +tp972 +a(g932 +Vs +p973 +tp974 +a(g932 +V/ +p975 +tp976 +a(g932 +VE +p977 +tp978 +a(g932 +Vx +p979 +tp980 +a(g932 +g964 +tp981 +a(g932 +g968 +tp982 +a(g932 +Vc +p983 +tp984 +a(g932 +Vi +p985 +tp986 +a(g932 +g973 +tp987 +a(g932 +g964 +tp988 +a(g932 +g973 +tp989 +a(g932 +V +p990 +tp991 +a(g932 +Vf +p992 +tp993 +a(g932 +g968 +tp994 +a(g932 +g966 +tp995 +a(g932 +g971 +tp996 +a(g932 +g990 +tp997 +a(g932 +V" +p998 +tp999 +a(g932 +VL +p1000 +tp1001 +a(g932 +g966 +tp1002 +a(g932 +Vg +p1003 +tp1004 +a(g932 +g985 +tp1005 +a(g932 +g983 +tp1006 +a(g932 +Va +p1007 +tp1008 +a(g932 +Vl +p1009 +tp1010 +a(g932 +g990 +tp1011 +a(g932 +VI +p1012 +tp1013 +a(g932 +Vn +p1014 +tp1015 +a(g932 +Vv +p1016 +tp1017 +a(g932 +g964 +tp1018 +a(g932 +g973 +tp1019 +a(g932 +Vt +p1020 +tp1021 +a(g932 +g985 +tp1022 +a(g932 +g1003 +tp1023 +a(g932 +g1007 +tp1024 +a(g932 +g1020 +tp1025 +a(g932 +g985 +tp1026 +a(g932 +g966 +tp1027 +a(g932 +g1014 +tp1028 +a(g932 +g973 +tp1029 +a(g932 +V, +p1030 +tp1031 +a(g932 +g990 +tp1032 +a(g932 +Vw +p1033 +tp1034 +a(g932 +g985 +tp1035 +a(g932 +g1020 +tp1036 +a(g932 +g962 +tp1037 +a(g932 +g990 +tp1038 +a(g932 +g1020 +tp1039 +a(g932 +g962 +tp1040 +a(g932 +g964 +tp1041 +a(g932 +g990 +tp1042 +a(g932 +VN +p1043 +tp1044 +a(g932 +Vu +p1045 +tp1046 +a(g932 +Vp +p1047 +tp1048 +a(g932 +g968 +tp1049 +a(g932 +g1009 +tp1050 +a(g932 +g990 +tp1051 +a(g932 +VP +p1052 +tp1053 +a(g932 +g968 +tp1054 +a(g932 +g966 +tp1055 +a(g932 +g966 +tp1056 +a(g932 +g992 +tp1057 +a(g932 +g990 +tp1058 +a(g932 +VA +p1059 +tp1060 +a(g932 +g973 +tp1061 +a(g932 +g973 +tp1062 +a(g932 +g985 +tp1063 +a(g932 +g973 +tp1064 +a(g932 +g1020 +tp1065 +a(g932 +g1007 +tp1066 +a(g932 +g1014 +tp1067 +a(g932 +g1020 +tp1068 +a(g932 +g998 +tp1069 +a(g932 +V\u000a +p1070 +tp1071 +a(g932 +Vb +p1072 +tp1073 +a(g932 +Vy +p1074 +tp1075 +a(g932 +g990 +tp1076 +a(g932 +VR +p1077 +tp1078 +a(g932 +g966 +tp1079 +a(g932 +g1072 +tp1080 +a(g932 +g964 +tp1081 +a(g932 +g968 +tp1082 +a(g932 +g1020 +tp1083 +a(g932 +g990 +tp1084 +a(g932 +g1000 +tp1085 +a(g932 +V. +p1086 +tp1087 +a(g932 +g990 +tp1088 +a(g932 +VC +p1089 +tp1090 +a(g932 +g966 +tp1091 +a(g932 +g1014 +tp1092 +a(g932 +g973 +tp1093 +a(g932 +g1020 +tp1094 +a(g932 +g1007 +tp1095 +a(g932 +g1072 +tp1096 +a(g932 +g1009 +tp1097 +a(g932 +g964 +tp1098 +a(g932 +g990 +tp1099 +a(g932 +g1007 +tp1100 +a(g932 +g1014 +tp1101 +a(g932 +Vd +p1102 +tp1103 +a(g932 +g990 +tp1104 +a(g932 +g1059 +tp1105 +a(g932 +g1014 +tp1106 +a(g932 +g1014 +tp1107 +a(g932 +g964 +tp1108 +a(g932 +g990 +tp1109 +a(g932 +g960 +tp1110 +a(g932 +g968 +tp1111 +a(g932 +g966 +tp1112 +a(g932 +g973 +tp1113 +a(g932 +g1020 +tp1114 +a(g932 +g1009 +tp1115 +a(g932 +g964 +tp1116 +a(g932 +V\u000a +p1117 +tp1118 +a(g932 +g962 +tp1119 +a(g932 +g1020 +tp1120 +a(g932 +g1020 +tp1121 +a(g932 +g1047 +tp1122 +a(g932 +V: +p1123 +tp1124 +a(g932 +g975 +tp1125 +a(g932 +g975 +tp1126 +a(g932 +g1033 +tp1127 +a(g932 +g1033 +tp1128 +a(g932 +g1033 +tp1129 +a(g932 +g1086 +tp1130 +a(g932 +g1014 +tp1131 +a(g932 +g1045 +tp1132 +a(g932 +g1047 +tp1133 +a(g932 +g968 +tp1134 +a(g932 +g1009 +tp1135 +a(g932 +g1086 +tp1136 +a(g932 +g966 +tp1137 +a(g932 +g968 +tp1138 +a(g932 +g1003 +tp1139 +a(g932 +g975 +tp1140 +a(g932 +VM +p1141 +tp1142 +a(g932 +g1007 +tp1143 +a(g932 +g1020 +tp1144 +a(g932 +g962 +tp1145 +a(g932 +g1000 +tp1146 +a(g932 +g985 +tp1147 +a(g932 +g1072 +tp1148 +a(g932 +g968 +tp1149 +a(g932 +g1007 +tp1150 +a(g932 +g968 +tp1151 +a(g932 +g1074 +tp1152 +a(g932 +g975 +tp1153 +a(g932 +g1000 +tp1154 +a(g932 +g966 +tp1155 +a(g932 +g1003 +tp1156 +a(g932 +g985 +tp1157 +a(g932 +g983 +tp1158 +a(g932 +g1007 +tp1159 +a(g932 +g1009 +tp1160 +a(g932 +g1012 +tp1161 +a(g932 +g1014 +tp1162 +a(g932 +g1016 +tp1163 +a(g932 +g964 +tp1164 +a(g932 +g973 +tp1165 +a(g932 +g1020 +tp1166 +a(g932 +g985 +tp1167 +a(g932 +g1003 +tp1168 +a(g932 +g1007 +tp1169 +a(g932 +g1020 +tp1170 +a(g932 +g985 +tp1171 +a(g932 +g966 +tp1172 +a(g932 +g1014 +tp1173 +a(g932 +g973 +tp1174 +a(g932 +g975 +tp1175 +a(g932 +V\u000a +p1176 +tp1177 +a(g932 +V-/ +p1178 +tp1179 +a(g822 +V\u000a +p1180 +tp1181 +a(g766 +Vimport +p1182 +tp1183 +a(g822 +g990 +tp1184 +a(g431 +Vlogic +p1185 +tp1186 +a(g822 +V\u000a\u000a +p1187 +tp1188 +a(g901 +V-- 2. The Minimal Implicational Calculus +p1189 +tp1190 +a(g822 +V\u000a +p1191 +tp1192 +a(g766 +Vtheorem +p1193 +tp1194 +a(g822 +g990 +tp1195 +a(g431 +Vthm1 +p1196 +tp1197 +a(g822 +g990 +tp1198 +a(g408 +V{ +p1199 +tp1200 +a(g431 +g1059 +tp1201 +a(g822 +g990 +tp1202 +a(g431 +VB +p1203 +tp1204 +a(g822 +g990 +tp1205 +a(g408 +g1123 +tp1206 +a(g822 +g990 +tp1207 +a(g744 +VProp +p1208 +tp1209 +a(g408 +V} +p1210 +tp1211 +a(g822 +g990 +tp1212 +a(g408 +g1123 +tp1213 +a(g822 +g990 +tp1214 +a(g431 +g1059 +tp1215 +a(g822 +g990 +tp1216 +a(g689 +V\u2192 +p1217 +tp1218 +a(g822 +g990 +tp1219 +a(g431 +g1203 +tp1220 +a(g822 +g990 +tp1221 +a(g689 +V\u2192 +p1222 +tp1223 +a(g822 +g990 +tp1224 +a(g431 +g1059 +tp1225 +a(g822 +g990 +tp1226 +a(g408 +V:= +p1227 +tp1228 +a(g822 +V\u000a +p1229 +tp1230 +a(g740 +Vassume +p1231 +tp1232 +a(g822 +g990 +tp1233 +a(g431 +VHa +p1234 +tp1235 +a(g822 +g990 +tp1236 +a(g431 +VHb +p1237 +tp1238 +a(g408 +g1030 +tp1239 +a(g822 +g990 +tp1240 +a(g431 +VHa +p1241 +tp1242 +a(g822 +V\u000a\u000a +p1243 +tp1244 +a(g766 +Vtheorem +p1245 +tp1246 +a(g822 +g990 +tp1247 +a(g431 +Vthm2 +p1248 +tp1249 +a(g822 +g990 +tp1250 +a(g408 +g1199 +tp1251 +a(g431 +g1059 +tp1252 +a(g822 +g990 +tp1253 +a(g431 +g1203 +tp1254 +a(g822 +g990 +tp1255 +a(g431 +g1089 +tp1256 +a(g822 +g990 +tp1257 +a(g408 +g1123 +tp1258 +a(g822 +g990 +tp1259 +a(g744 +VProp +p1260 +tp1261 +a(g408 +g1210 +tp1262 +a(g822 +g990 +tp1263 +a(g408 +g1123 +tp1264 +a(g822 +g990 +tp1265 +a(g408 +V( +p1266 +tp1267 +a(g431 +g1059 +tp1268 +a(g822 +g990 +tp1269 +a(g689 +V\u2192 +p1270 +tp1271 +a(g822 +g990 +tp1272 +a(g431 +g1203 +tp1273 +a(g408 +V) +p1274 +tp1275 +a(g822 +g990 +tp1276 +a(g689 +V\u2192 +p1277 +tp1278 +a(g822 +g990 +tp1279 +a(g408 +g1266 +tp1280 +a(g431 +g1059 +tp1281 +a(g822 +g990 +tp1282 +a(g689 +V\u2192 +p1283 +tp1284 +a(g822 +g990 +tp1285 +a(g431 +g1203 +tp1286 +a(g822 +g990 +tp1287 +a(g689 +V\u2192 +p1288 +tp1289 +a(g822 +g990 +tp1290 +a(g431 +g1089 +tp1291 +a(g408 +g1274 +tp1292 +a(g822 +g990 +tp1293 +a(g689 +V\u2192 +p1294 +tp1295 +a(g822 +g990 +tp1296 +a(g408 +g1266 +tp1297 +a(g431 +g1059 +tp1298 +a(g822 +g990 +tp1299 +a(g689 +V\u2192 +p1300 +tp1301 +a(g822 +g990 +tp1302 +a(g431 +g1089 +tp1303 +a(g408 +g1274 +tp1304 +a(g822 +g990 +tp1305 +a(g408 +V:= +p1306 +tp1307 +a(g822 +V\u000a +p1308 +tp1309 +a(g740 +Vassume +p1310 +tp1311 +a(g822 +g990 +tp1312 +a(g431 +VHab +p1313 +tp1314 +a(g822 +g990 +tp1315 +a(g431 +VHabc +p1316 +tp1317 +a(g822 +g990 +tp1318 +a(g431 +VHa +p1319 +tp1320 +a(g408 +g1030 +tp1321 +a(g822 +V\u000a +p1322 +tp1323 +a(g431 +VHabc +p1324 +tp1325 +a(g822 +g990 +tp1326 +a(g431 +VHa +p1327 +tp1328 +a(g822 +g990 +tp1329 +a(g408 +g1266 +tp1330 +a(g431 +VHab +p1331 +tp1332 +a(g822 +g990 +tp1333 +a(g431 +VHa +p1334 +tp1335 +a(g408 +g1274 +tp1336 +a(g822 +V\u000a\u000a +p1337 +tp1338 +a(g766 +Vtheorem +p1339 +tp1340 +a(g822 +g990 +tp1341 +a(g431 +Vthm3 +p1342 +tp1343 +a(g822 +g990 +tp1344 +a(g408 +g1199 +tp1345 +a(g431 +g1059 +tp1346 +a(g822 +g990 +tp1347 +a(g431 +g1203 +tp1348 +a(g822 +g990 +tp1349 +a(g431 +g1089 +tp1350 +a(g822 +g990 +tp1351 +a(g408 +g1123 +tp1352 +a(g822 +g990 +tp1353 +a(g744 +VProp +p1354 +tp1355 +a(g408 +g1210 +tp1356 +a(g822 +g990 +tp1357 +a(g408 +g1123 +tp1358 +a(g822 +g990 +tp1359 +a(g408 +g1266 +tp1360 +a(g431 +g1059 +tp1361 +a(g822 +g990 +tp1362 +a(g689 +V\u2192 +p1363 +tp1364 +a(g822 +g990 +tp1365 +a(g431 +g1203 +tp1366 +a(g408 +g1274 +tp1367 +a(g822 +g990 +tp1368 +a(g689 +V\u2192 +p1369 +tp1370 +a(g822 +g990 +tp1371 +a(g408 +g1266 +tp1372 +a(g431 +g1203 +tp1373 +a(g822 +g990 +tp1374 +a(g689 +V\u2192 +p1375 +tp1376 +a(g822 +g990 +tp1377 +a(g431 +g1089 +tp1378 +a(g408 +g1274 +tp1379 +a(g822 +g990 +tp1380 +a(g689 +V\u2192 +p1381 +tp1382 +a(g822 +g990 +tp1383 +a(g408 +g1266 +tp1384 +a(g431 +g1059 +tp1385 +a(g822 +g990 +tp1386 +a(g689 +V\u2192 +p1387 +tp1388 +a(g822 +g990 +tp1389 +a(g431 +g1089 +tp1390 +a(g408 +g1274 +tp1391 +a(g822 +g990 +tp1392 +a(g408 +V:= +p1393 +tp1394 +a(g822 +V\u000a +p1395 +tp1396 +a(g740 +Vassume +p1397 +tp1398 +a(g822 +g990 +tp1399 +a(g431 +VHab +p1400 +tp1401 +a(g822 +g990 +tp1402 +a(g431 +VHbc +p1403 +tp1404 +a(g822 +g990 +tp1405 +a(g431 +VHa +p1406 +tp1407 +a(g408 +g1030 +tp1408 +a(g822 +V\u000a +p1409 +tp1410 +a(g431 +VHbc +p1411 +tp1412 +a(g822 +g990 +tp1413 +a(g408 +g1266 +tp1414 +a(g431 +VHab +p1415 +tp1416 +a(g822 +g990 +tp1417 +a(g431 +VHa +p1418 +tp1419 +a(g408 +g1274 +tp1420 +a(g822 +V\u000a\u000a +p1421 +tp1422 +a(g901 +V-- 3. False Propositions and Negation +p1423 +tp1424 +a(g822 +V\u000a +p1425 +tp1426 +a(g766 +Vtheorem +p1427 +tp1428 +a(g822 +g990 +tp1429 +a(g431 +Vthm4 +p1430 +tp1431 +a(g822 +g990 +tp1432 +a(g408 +g1199 +tp1433 +a(g431 +g1052 +tp1434 +a(g822 +g990 +tp1435 +a(g431 +VQ +p1436 +tp1437 +a(g822 +g990 +tp1438 +a(g408 +g1123 +tp1439 +a(g822 +g990 +tp1440 +a(g744 +VProp +p1441 +tp1442 +a(g408 +g1210 +tp1443 +a(g822 +g990 +tp1444 +a(g408 +g1123 +tp1445 +a(g822 +g990 +tp1446 +a(g689 +V¬ +p1447 +tp1448 +a(g431 +g1052 +tp1449 +a(g822 +g990 +tp1450 +a(g689 +V\u2192 +p1451 +tp1452 +a(g822 +g990 +tp1453 +a(g431 +g1052 +tp1454 +a(g822 +g990 +tp1455 +a(g689 +V\u2192 +p1456 +tp1457 +a(g822 +g990 +tp1458 +a(g431 +g1436 +tp1459 +a(g822 +g990 +tp1460 +a(g408 +V:= +p1461 +tp1462 +a(g822 +V\u000a +p1463 +tp1464 +a(g740 +Vassume +p1465 +tp1466 +a(g822 +g990 +tp1467 +a(g431 +VHnp +p1468 +tp1469 +a(g822 +g990 +tp1470 +a(g431 +VHp +p1471 +tp1472 +a(g408 +g1030 +tp1473 +a(g822 +V\u000a +p1474 +tp1475 +a(g431 +Vabsurd +p1476 +tp1477 +a(g822 +g990 +tp1478 +a(g431 +VHp +p1479 +tp1480 +a(g822 +g990 +tp1481 +a(g431 +VHnp +p1482 +tp1483 +a(g822 +V\u000a\u000a +p1484 +tp1485 +a(g766 +Vtheorem +p1486 +tp1487 +a(g822 +g990 +tp1488 +a(g431 +Vthm5 +p1489 +tp1490 +a(g822 +g990 +tp1491 +a(g408 +g1199 +tp1492 +a(g431 +g1052 +tp1493 +a(g822 +g990 +tp1494 +a(g408 +g1123 +tp1495 +a(g822 +g990 +tp1496 +a(g744 +VProp +p1497 +tp1498 +a(g408 +g1210 +tp1499 +a(g822 +g990 +tp1500 +a(g408 +g1123 +tp1501 +a(g822 +g990 +tp1502 +a(g431 +g1052 +tp1503 +a(g822 +g990 +tp1504 +a(g689 +V\u2192 +p1505 +tp1506 +a(g822 +g990 +tp1507 +a(g689 +g1447 +tp1508 +a(g689 +g1447 +tp1509 +a(g431 +g1052 +tp1510 +a(g822 +g990 +tp1511 +a(g408 +V:= +p1512 +tp1513 +a(g822 +V\u000a +p1514 +tp1515 +a(g740 +Vassume +p1516 +tp1517 +a(g822 +g990 +tp1518 +a(g408 +g1266 +tp1519 +a(g431 +VHp +p1520 +tp1521 +a(g822 +g990 +tp1522 +a(g408 +g1123 +tp1523 +a(g822 +g990 +tp1524 +a(g431 +g1052 +tp1525 +a(g408 +g1274 +tp1526 +a(g822 +g990 +tp1527 +a(g408 +g1266 +tp1528 +a(g431 +VHnP +p1529 +tp1530 +a(g822 +g990 +tp1531 +a(g408 +g1123 +tp1532 +a(g822 +g990 +tp1533 +a(g689 +g1447 +tp1534 +a(g431 +g1052 +tp1535 +a(g408 +g1274 +tp1536 +a(g408 +g1030 +tp1537 +a(g822 +V\u000a +p1538 +tp1539 +a(g431 +Vabsurd +p1540 +tp1541 +a(g822 +g990 +tp1542 +a(g431 +VHp +p1543 +tp1544 +a(g822 +g990 +tp1545 +a(g431 +VHnP +p1546 +tp1547 +a(g822 +V\u000a\u000a +p1548 +tp1549 +a(g766 +Vtheorem +p1550 +tp1551 +a(g822 +g990 +tp1552 +a(g431 +Vthm6 +p1553 +tp1554 +a(g822 +g990 +tp1555 +a(g408 +g1199 +tp1556 +a(g431 +g1052 +tp1557 +a(g822 +g990 +tp1558 +a(g431 +g1436 +tp1559 +a(g822 +g990 +tp1560 +a(g408 +g1123 +tp1561 +a(g822 +g990 +tp1562 +a(g744 +VProp +p1563 +tp1564 +a(g408 +g1210 +tp1565 +a(g822 +g990 +tp1566 +a(g408 +g1123 +tp1567 +a(g822 +g990 +tp1568 +a(g408 +g1266 +tp1569 +a(g431 +g1052 +tp1570 +a(g822 +g990 +tp1571 +a(g689 +V\u2192 +p1572 +tp1573 +a(g822 +g990 +tp1574 +a(g431 +g1436 +tp1575 +a(g408 +g1274 +tp1576 +a(g822 +g990 +tp1577 +a(g689 +V\u2192 +p1578 +tp1579 +a(g822 +g990 +tp1580 +a(g408 +g1266 +tp1581 +a(g689 +g1447 +tp1582 +a(g431 +g1436 +tp1583 +a(g822 +g990 +tp1584 +a(g689 +V\u2192 +p1585 +tp1586 +a(g822 +g990 +tp1587 +a(g689 +g1447 +tp1588 +a(g431 +g1052 +tp1589 +a(g408 +g1274 +tp1590 +a(g822 +g990 +tp1591 +a(g408 +V:= +p1592 +tp1593 +a(g822 +V\u000a +p1594 +tp1595 +a(g740 +Vassume +p1596 +tp1597 +a(g822 +g990 +tp1598 +a(g408 +g1266 +tp1599 +a(g431 +VHpq +p1600 +tp1601 +a(g822 +g990 +tp1602 +a(g408 +g1123 +tp1603 +a(g822 +g990 +tp1604 +a(g431 +g1052 +tp1605 +a(g822 +g990 +tp1606 +a(g689 +V\u2192 +p1607 +tp1608 +a(g822 +g990 +tp1609 +a(g431 +g1436 +tp1610 +a(g408 +g1274 +tp1611 +a(g822 +g990 +tp1612 +a(g408 +g1266 +tp1613 +a(g431 +VHnq +p1614 +tp1615 +a(g822 +g990 +tp1616 +a(g408 +g1123 +tp1617 +a(g822 +g990 +tp1618 +a(g689 +g1447 +tp1619 +a(g431 +g1436 +tp1620 +a(g408 +g1274 +tp1621 +a(g822 +g990 +tp1622 +a(g408 +g1266 +tp1623 +a(g431 +VHp +p1624 +tp1625 +a(g822 +g990 +tp1626 +a(g408 +g1123 +tp1627 +a(g822 +g990 +tp1628 +a(g431 +g1052 +tp1629 +a(g408 +g1274 +tp1630 +a(g408 +g1030 +tp1631 +a(g822 +V\u000a +p1632 +tp1633 +a(g740 +Vhave +p1634 +tp1635 +a(g822 +g990 +tp1636 +a(g431 +VHq +p1637 +tp1638 +a(g822 +g990 +tp1639 +a(g408 +g1123 +tp1640 +a(g822 +g990 +tp1641 +a(g431 +g1436 +tp1642 +a(g408 +g1030 +tp1643 +a(g822 +g990 +tp1644 +a(g740 +Vfrom +p1645 +tp1646 +a(g822 +g990 +tp1647 +a(g431 +VHpq +p1648 +tp1649 +a(g822 +g990 +tp1650 +a(g431 +VHp +p1651 +tp1652 +a(g408 +g1030 +tp1653 +a(g822 +V\u000a +p1654 +tp1655 +a(g740 +Vshow +p1656 +tp1657 +a(g822 +g990 +tp1658 +a(g431 +Vfalse +p1659 +tp1660 +a(g408 +g1030 +tp1661 +a(g822 +g990 +tp1662 +a(g740 +Vfrom +p1663 +tp1664 +a(g822 +g990 +tp1665 +a(g431 +Vabsurd +p1666 +tp1667 +a(g822 +g990 +tp1668 +a(g431 +VHq +p1669 +tp1670 +a(g822 +g990 +tp1671 +a(g431 +VHnq +p1672 +tp1673 +a(g822 +V\u000a\u000a +p1674 +tp1675 +a(g766 +Vtheorem +p1676 +tp1677 +a(g822 +g990 +tp1678 +a(g431 +Vthm7 +p1679 +tp1680 +a(g822 +g990 +tp1681 +a(g408 +g1199 +tp1682 +a(g431 +g1052 +tp1683 +a(g822 +g990 +tp1684 +a(g431 +g1436 +tp1685 +a(g822 +g990 +tp1686 +a(g408 +g1123 +tp1687 +a(g822 +g990 +tp1688 +a(g744 +VProp +p1689 +tp1690 +a(g408 +g1210 +tp1691 +a(g822 +g990 +tp1692 +a(g408 +g1123 +tp1693 +a(g822 +g990 +tp1694 +a(g408 +g1266 +tp1695 +a(g431 +g1052 +tp1696 +a(g822 +g990 +tp1697 +a(g689 +V\u2192 +p1698 +tp1699 +a(g822 +g990 +tp1700 +a(g689 +g1447 +tp1701 +a(g431 +g1052 +tp1702 +a(g408 +g1274 +tp1703 +a(g822 +g990 +tp1704 +a(g689 +V\u2192 +p1705 +tp1706 +a(g822 +g990 +tp1707 +a(g408 +g1266 +tp1708 +a(g431 +g1052 +tp1709 +a(g822 +g990 +tp1710 +a(g689 +V\u2192 +p1711 +tp1712 +a(g822 +g990 +tp1713 +a(g431 +g1436 +tp1714 +a(g408 +g1274 +tp1715 +a(g822 +g990 +tp1716 +a(g408 +V:= +p1717 +tp1718 +a(g822 +V\u000a +p1719 +tp1720 +a(g740 +Vassume +p1721 +tp1722 +a(g822 +g990 +tp1723 +a(g431 +VHpnp +p1724 +tp1725 +a(g822 +g990 +tp1726 +a(g431 +VHp +p1727 +tp1728 +a(g408 +g1030 +tp1729 +a(g822 +V\u000a +p1730 +tp1731 +a(g431 +Vabsurd +p1732 +tp1733 +a(g822 +g990 +tp1734 +a(g431 +VHp +p1735 +tp1736 +a(g822 +g990 +tp1737 +a(g408 +g1266 +tp1738 +a(g431 +VHpnp +p1739 +tp1740 +a(g822 +g990 +tp1741 +a(g431 +VHp +p1742 +tp1743 +a(g408 +g1274 +tp1744 +a(g822 +V\u000a\u000a +p1745 +tp1746 +a(g766 +Vtheorem +p1747 +tp1748 +a(g822 +g990 +tp1749 +a(g431 +Vthm8 +p1750 +tp1751 +a(g822 +g990 +tp1752 +a(g408 +g1199 +tp1753 +a(g431 +g1052 +tp1754 +a(g822 +g990 +tp1755 +a(g431 +g1436 +tp1756 +a(g822 +g990 +tp1757 +a(g408 +g1123 +tp1758 +a(g822 +g990 +tp1759 +a(g744 +VProp +p1760 +tp1761 +a(g408 +g1210 +tp1762 +a(g822 +g990 +tp1763 +a(g408 +g1123 +tp1764 +a(g822 +g990 +tp1765 +a(g689 +g1447 +tp1766 +a(g408 +g1266 +tp1767 +a(g431 +g1052 +tp1768 +a(g822 +g990 +tp1769 +a(g689 +V\u2192 +p1770 +tp1771 +a(g822 +g990 +tp1772 +a(g431 +g1436 +tp1773 +a(g408 +g1274 +tp1774 +a(g822 +g990 +tp1775 +a(g689 +V\u2192 +p1776 +tp1777 +a(g822 +g990 +tp1778 +a(g408 +g1266 +tp1779 +a(g431 +g1052 +tp1780 +a(g822 +g990 +tp1781 +a(g689 +V\u2192 +p1782 +tp1783 +a(g822 +g990 +tp1784 +a(g689 +g1447 +tp1785 +a(g431 +g1436 +tp1786 +a(g408 +g1274 +tp1787 +a(g822 +g990 +tp1788 +a(g408 +V:= +p1789 +tp1790 +a(g822 +V\u000a +p1791 +tp1792 +a(g740 +Vassume +p1793 +tp1794 +a(g822 +g990 +tp1795 +a(g408 +g1266 +tp1796 +a(g431 +VHn +p1797 +tp1798 +a(g822 +g990 +tp1799 +a(g408 +g1123 +tp1800 +a(g822 +g990 +tp1801 +a(g689 +g1447 +tp1802 +a(g408 +g1266 +tp1803 +a(g431 +g1052 +tp1804 +a(g822 +g990 +tp1805 +a(g689 +V\u2192 +p1806 +tp1807 +a(g822 +g990 +tp1808 +a(g431 +g1436 +tp1809 +a(g408 +g1274 +tp1810 +a(g408 +g1274 +tp1811 +a(g822 +g990 +tp1812 +a(g408 +g1266 +tp1813 +a(g431 +VHp +p1814 +tp1815 +a(g822 +g990 +tp1816 +a(g408 +g1123 +tp1817 +a(g822 +g990 +tp1818 +a(g431 +g1052 +tp1819 +a(g408 +g1274 +tp1820 +a(g822 +g990 +tp1821 +a(g408 +g1266 +tp1822 +a(g431 +VHq +p1823 +tp1824 +a(g822 +g990 +tp1825 +a(g408 +g1123 +tp1826 +a(g822 +g990 +tp1827 +a(g431 +g1436 +tp1828 +a(g408 +g1274 +tp1829 +a(g408 +g1030 +tp1830 +a(g822 +V\u000a +p1831 +tp1832 +a(g901 +V-- Rermak we don't even need the hypothesis Hp +p1833 +tp1834 +a(g822 +V\u000a +p1835 +tp1836 +a(g740 +Vhave +p1837 +tp1838 +a(g822 +g990 +tp1839 +a(g431 +VH +p1840 +tp1841 +a(g822 +g990 +tp1842 +a(g408 +g1123 +tp1843 +a(g822 +g990 +tp1844 +a(g431 +g1052 +tp1845 +a(g822 +g990 +tp1846 +a(g689 +V\u2192 +p1847 +tp1848 +a(g822 +g990 +tp1849 +a(g431 +g1436 +tp1850 +a(g408 +g1030 +tp1851 +a(g822 +g990 +tp1852 +a(g740 +Vfrom +p1853 +tp1854 +a(g822 +g990 +tp1855 +a(g740 +Vassume +p1856 +tp1857 +a(g822 +g990 +tp1858 +a(g431 +VH' +p1859 +tp1860 +a(g408 +g1030 +tp1861 +a(g822 +g990 +tp1862 +a(g431 +VHq +p1863 +tp1864 +a(g408 +g1030 +tp1865 +a(g822 +V\u000a +p1866 +tp1867 +a(g431 +Vabsurd +p1868 +tp1869 +a(g822 +g990 +tp1870 +a(g431 +g1840 +tp1871 +a(g822 +g990 +tp1872 +a(g431 +VHn +p1873 +tp1874 +a(g822 +V\u000a\u000a +p1875 +tp1876 +a(g901 +V-- 4. Conjunction and Disjunction +p1877 +tp1878 +a(g822 +V\u000a +p1879 +tp1880 +a(g766 +Vtheorem +p1881 +tp1882 +a(g822 +g990 +tp1883 +a(g431 +Vthm9 +p1884 +tp1885 +a(g822 +g990 +tp1886 +a(g408 +g1199 +tp1887 +a(g431 +g1052 +tp1888 +a(g822 +g990 +tp1889 +a(g408 +g1123 +tp1890 +a(g822 +g990 +tp1891 +a(g744 +VProp +p1892 +tp1893 +a(g408 +g1210 +tp1894 +a(g822 +g990 +tp1895 +a(g408 +g1123 +tp1896 +a(g822 +g990 +tp1897 +a(g408 +g1266 +tp1898 +a(g431 +g1052 +tp1899 +a(g822 +g990 +tp1900 +a(g689 +V\u2228 +p1901 +tp1902 +a(g822 +g990 +tp1903 +a(g689 +g1447 +tp1904 +a(g431 +g1052 +tp1905 +a(g408 +g1274 +tp1906 +a(g822 +g990 +tp1907 +a(g689 +V\u2192 +p1908 +tp1909 +a(g822 +g990 +tp1910 +a(g408 +g1266 +tp1911 +a(g689 +g1447 +tp1912 +a(g689 +g1447 +tp1913 +a(g431 +g1052 +tp1914 +a(g822 +g990 +tp1915 +a(g689 +V\u2192 +p1916 +tp1917 +a(g822 +g990 +tp1918 +a(g431 +g1052 +tp1919 +a(g408 +g1274 +tp1920 +a(g822 +g990 +tp1921 +a(g408 +V:= +p1922 +tp1923 +a(g822 +V\u000a +p1924 +tp1925 +a(g740 +Vassume +p1926 +tp1927 +a(g822 +g990 +tp1928 +a(g408 +g1266 +tp1929 +a(g431 +Vem +p1930 +tp1931 +a(g822 +g990 +tp1932 +a(g408 +g1123 +tp1933 +a(g822 +g990 +tp1934 +a(g431 +g1052 +tp1935 +a(g822 +g990 +tp1936 +a(g689 +V\u2228 +p1937 +tp1938 +a(g822 +g990 +tp1939 +a(g689 +g1447 +tp1940 +a(g431 +g1052 +tp1941 +a(g408 +g1274 +tp1942 +a(g822 +g990 +tp1943 +a(g408 +g1266 +tp1944 +a(g431 +VHnn +p1945 +tp1946 +a(g822 +g990 +tp1947 +a(g408 +g1123 +tp1948 +a(g822 +g990 +tp1949 +a(g689 +g1447 +tp1950 +a(g689 +g1447 +tp1951 +a(g431 +g1052 +tp1952 +a(g408 +g1274 +tp1953 +a(g408 +g1030 +tp1954 +a(g822 +V\u000a +p1955 +tp1956 +a(g431 +Vor_elim +p1957 +tp1958 +a(g822 +g990 +tp1959 +a(g431 +Vem +p1960 +tp1961 +a(g822 +V\u000a +p1962 +tp1963 +a(g408 +g1266 +tp1964 +a(g740 +Vassume +p1965 +tp1966 +a(g822 +g990 +tp1967 +a(g431 +VHp +p1968 +tp1969 +a(g408 +g1030 +tp1970 +a(g822 +g990 +tp1971 +a(g431 +VHp +p1972 +tp1973 +a(g408 +g1274 +tp1974 +a(g822 +V\u000a +p1975 +tp1976 +a(g408 +g1266 +tp1977 +a(g740 +Vassume +p1978 +tp1979 +a(g822 +g990 +tp1980 +a(g431 +VHn +p1981 +tp1982 +a(g408 +g1030 +tp1983 +a(g822 +g990 +tp1984 +a(g431 +Vabsurd +p1985 +tp1986 +a(g822 +g990 +tp1987 +a(g431 +VHn +p1988 +tp1989 +a(g822 +g990 +tp1990 +a(g431 +VHnn +p1991 +tp1992 +a(g408 +g1274 +tp1993 +a(g822 +V\u000a\u000a +p1994 +tp1995 +a(g766 +Vtheorem +p1996 +tp1997 +a(g822 +g990 +tp1998 +a(g431 +Vthm10 +p1999 +tp2000 +a(g822 +g990 +tp2001 +a(g408 +g1199 +tp2002 +a(g431 +g1052 +tp2003 +a(g822 +g990 +tp2004 +a(g408 +g1123 +tp2005 +a(g822 +g990 +tp2006 +a(g744 +VProp +p2007 +tp2008 +a(g408 +g1210 +tp2009 +a(g822 +g990 +tp2010 +a(g408 +g1123 +tp2011 +a(g822 +g990 +tp2012 +a(g689 +g1447 +tp2013 +a(g689 +g1447 +tp2014 +a(g408 +g1266 +tp2015 +a(g431 +g1052 +tp2016 +a(g822 +g990 +tp2017 +a(g689 +V\u2228 +p2018 +tp2019 +a(g822 +g990 +tp2020 +a(g689 +g1447 +tp2021 +a(g431 +g1052 +tp2022 +a(g408 +g1274 +tp2023 +a(g822 +g990 +tp2024 +a(g408 +V:= +p2025 +tp2026 +a(g822 +V\u000a +p2027 +tp2028 +a(g740 +Vassume +p2029 +tp2030 +a(g822 +g990 +tp2031 +a(g431 +VHnem +p2032 +tp2033 +a(g822 +g990 +tp2034 +a(g408 +g1123 +tp2035 +a(g822 +g990 +tp2036 +a(g689 +g1447 +tp2037 +a(g408 +g1266 +tp2038 +a(g431 +g1052 +tp2039 +a(g822 +g990 +tp2040 +a(g689 +V\u2228 +p2041 +tp2042 +a(g822 +g990 +tp2043 +a(g689 +g1447 +tp2044 +a(g431 +g1052 +tp2045 +a(g408 +g1274 +tp2046 +a(g408 +g1030 +tp2047 +a(g822 +V\u000a +p2048 +tp2049 +a(g740 +Vhave +p2050 +tp2051 +a(g822 +g990 +tp2052 +a(g431 +VHnp +p2053 +tp2054 +a(g822 +g990 +tp2055 +a(g408 +g1123 +tp2056 +a(g822 +g990 +tp2057 +a(g689 +g1447 +tp2058 +a(g431 +g1052 +tp2059 +a(g408 +g1030 +tp2060 +a(g822 +g990 +tp2061 +a(g740 +Vfrom +p2062 +tp2063 +a(g822 +V\u000a +p2064 +tp2065 +a(g740 +Vassume +p2066 +tp2067 +a(g822 +g990 +tp2068 +a(g431 +VHp +p2069 +tp2070 +a(g822 +g990 +tp2071 +a(g408 +g1123 +tp2072 +a(g822 +g990 +tp2073 +a(g431 +g1052 +tp2074 +a(g408 +g1030 +tp2075 +a(g822 +V\u000a +p2076 +tp2077 +a(g740 +Vhave +p2078 +tp2079 +a(g822 +g990 +tp2080 +a(g431 +VHem +p2081 +tp2082 +a(g822 +g990 +tp2083 +a(g408 +g1123 +tp2084 +a(g822 +g990 +tp2085 +a(g431 +g1052 +tp2086 +a(g822 +g990 +tp2087 +a(g689 +V\u2228 +p2088 +tp2089 +a(g822 +g990 +tp2090 +a(g689 +g1447 +tp2091 +a(g431 +g1052 +tp2092 +a(g408 +g1030 +tp2093 +a(g822 +g990 +tp2094 +a(g740 +Vfrom +p2095 +tp2096 +a(g822 +g990 +tp2097 +a(g431 +Vor_inl +p2098 +tp2099 +a(g822 +g990 +tp2100 +a(g431 +VHp +p2101 +tp2102 +a(g408 +g1030 +tp2103 +a(g822 +V\u000a +p2104 +tp2105 +a(g431 +Vabsurd +p2106 +tp2107 +a(g822 +g990 +tp2108 +a(g431 +VHem +p2109 +tp2110 +a(g822 +g990 +tp2111 +a(g431 +VHnem +p2112 +tp2113 +a(g408 +g1030 +tp2114 +a(g822 +V\u000a +p2115 +tp2116 +a(g740 +Vhave +p2117 +tp2118 +a(g822 +g990 +tp2119 +a(g431 +VHem +p2120 +tp2121 +a(g822 +g990 +tp2122 +a(g408 +g1123 +tp2123 +a(g822 +g990 +tp2124 +a(g431 +g1052 +tp2125 +a(g822 +g990 +tp2126 +a(g689 +V\u2228 +p2127 +tp2128 +a(g822 +g990 +tp2129 +a(g689 +g1447 +tp2130 +a(g431 +g1052 +tp2131 +a(g408 +g1030 +tp2132 +a(g822 +g990 +tp2133 +a(g740 +Vfrom +p2134 +tp2135 +a(g822 +g990 +tp2136 +a(g431 +Vor_inr +p2137 +tp2138 +a(g822 +g990 +tp2139 +a(g431 +VHnp +p2140 +tp2141 +a(g408 +g1030 +tp2142 +a(g822 +V\u000a +p2143 +tp2144 +a(g431 +Vabsurd +p2145 +tp2146 +a(g822 +g990 +tp2147 +a(g431 +VHem +p2148 +tp2149 +a(g822 +g990 +tp2150 +a(g431 +VHnem +p2151 +tp2152 +a(g822 +V\u000a\u000a +p2153 +tp2154 +a(g766 +Vtheorem +p2155 +tp2156 +a(g822 +g990 +tp2157 +a(g431 +Vthm11 +p2158 +tp2159 +a(g822 +g990 +tp2160 +a(g408 +g1199 +tp2161 +a(g431 +g1052 +tp2162 +a(g822 +g990 +tp2163 +a(g431 +g1436 +tp2164 +a(g822 +g990 +tp2165 +a(g408 +g1123 +tp2166 +a(g822 +g990 +tp2167 +a(g744 +VProp +p2168 +tp2169 +a(g408 +g1210 +tp2170 +a(g822 +g990 +tp2171 +a(g408 +g1123 +tp2172 +a(g822 +g990 +tp2173 +a(g689 +g1447 +tp2174 +a(g431 +g1052 +tp2175 +a(g822 +g990 +tp2176 +a(g689 +V\u2228 +p2177 +tp2178 +a(g822 +g990 +tp2179 +a(g689 +g1447 +tp2180 +a(g431 +g1436 +tp2181 +a(g822 +g990 +tp2182 +a(g689 +V\u2192 +p2183 +tp2184 +a(g822 +g990 +tp2185 +a(g689 +g1447 +tp2186 +a(g408 +g1266 +tp2187 +a(g431 +g1052 +tp2188 +a(g822 +g990 +tp2189 +a(g689 +V\u2227 +p2190 +tp2191 +a(g822 +g990 +tp2192 +a(g431 +g1436 +tp2193 +a(g408 +g1274 +tp2194 +a(g822 +g990 +tp2195 +a(g408 +V:= +p2196 +tp2197 +a(g822 +V\u000a +p2198 +tp2199 +a(g740 +Vassume +p2200 +tp2201 +a(g822 +g990 +tp2202 +a(g408 +g1266 +tp2203 +a(g431 +g1840 +tp2204 +a(g822 +g990 +tp2205 +a(g408 +g1123 +tp2206 +a(g822 +g990 +tp2207 +a(g689 +g1447 +tp2208 +a(g431 +g1052 +tp2209 +a(g822 +g990 +tp2210 +a(g689 +V\u2228 +p2211 +tp2212 +a(g822 +g990 +tp2213 +a(g689 +g1447 +tp2214 +a(g431 +g1436 +tp2215 +a(g408 +g1274 +tp2216 +a(g822 +g990 +tp2217 +a(g408 +g1266 +tp2218 +a(g431 +VHn +p2219 +tp2220 +a(g822 +g990 +tp2221 +a(g408 +g1123 +tp2222 +a(g822 +g990 +tp2223 +a(g431 +g1052 +tp2224 +a(g822 +g990 +tp2225 +a(g689 +V\u2227 +p2226 +tp2227 +a(g822 +g990 +tp2228 +a(g431 +g1436 +tp2229 +a(g408 +g1274 +tp2230 +a(g408 +g1030 +tp2231 +a(g822 +V\u000a +p2232 +tp2233 +a(g431 +Vor_elim +p2234 +tp2235 +a(g822 +g990 +tp2236 +a(g431 +g1840 +tp2237 +a(g822 +V\u000a +p2238 +tp2239 +a(g408 +g1266 +tp2240 +a(g740 +Vassume +p2241 +tp2242 +a(g822 +g990 +tp2243 +a(g431 +VHnp +p2244 +tp2245 +a(g822 +g990 +tp2246 +a(g408 +g1123 +tp2247 +a(g822 +g990 +tp2248 +a(g689 +g1447 +tp2249 +a(g431 +g1052 +tp2250 +a(g408 +g1030 +tp2251 +a(g822 +g990 +tp2252 +a(g431 +Vabsurd +p2253 +tp2254 +a(g822 +g990 +tp2255 +a(g408 +g1266 +tp2256 +a(g431 +Vand_elim_left +p2257 +tp2258 +a(g822 +g990 +tp2259 +a(g431 +VHn +p2260 +tp2261 +a(g408 +g1274 +tp2262 +a(g822 +g990 +tp2263 +a(g431 +VHnp +p2264 +tp2265 +a(g408 +g1274 +tp2266 +a(g822 +V\u000a +p2267 +tp2268 +a(g408 +g1266 +tp2269 +a(g740 +Vassume +p2270 +tp2271 +a(g822 +g990 +tp2272 +a(g431 +VHnq +p2273 +tp2274 +a(g822 +g990 +tp2275 +a(g408 +g1123 +tp2276 +a(g822 +g990 +tp2277 +a(g689 +g1447 +tp2278 +a(g431 +g1436 +tp2279 +a(g408 +g1030 +tp2280 +a(g822 +g990 +tp2281 +a(g431 +Vabsurd +p2282 +tp2283 +a(g822 +g990 +tp2284 +a(g408 +g1266 +tp2285 +a(g431 +Vand_elim_right +p2286 +tp2287 +a(g822 +g990 +tp2288 +a(g431 +VHn +p2289 +tp2290 +a(g408 +g1274 +tp2291 +a(g822 +g990 +tp2292 +a(g431 +VHnq +p2293 +tp2294 +a(g408 +g1274 +tp2295 +a(g822 +V\u000a\u000a +p2296 +tp2297 +a(g766 +Vtheorem +p2298 +tp2299 +a(g822 +g990 +tp2300 +a(g431 +Vthm12 +p2301 +tp2302 +a(g822 +g990 +tp2303 +a(g408 +g1199 +tp2304 +a(g431 +g1052 +tp2305 +a(g822 +g990 +tp2306 +a(g431 +g1436 +tp2307 +a(g822 +g990 +tp2308 +a(g408 +g1123 +tp2309 +a(g822 +g990 +tp2310 +a(g744 +VProp +p2311 +tp2312 +a(g408 +g1210 +tp2313 +a(g822 +g990 +tp2314 +a(g408 +g1123 +tp2315 +a(g822 +g990 +tp2316 +a(g689 +g1447 +tp2317 +a(g408 +g1266 +tp2318 +a(g431 +g1052 +tp2319 +a(g822 +g990 +tp2320 +a(g689 +V\u2228 +p2321 +tp2322 +a(g822 +g990 +tp2323 +a(g431 +g1436 +tp2324 +a(g408 +g1274 +tp2325 +a(g822 +g990 +tp2326 +a(g689 +V\u2192 +p2327 +tp2328 +a(g822 +g990 +tp2329 +a(g689 +g1447 +tp2330 +a(g431 +g1052 +tp2331 +a(g822 +g990 +tp2332 +a(g689 +V\u2227 +p2333 +tp2334 +a(g822 +g990 +tp2335 +a(g689 +g1447 +tp2336 +a(g431 +g1436 +tp2337 +a(g822 +g990 +tp2338 +a(g408 +V:= +p2339 +tp2340 +a(g822 +V\u000a +p2341 +tp2342 +a(g740 +Vassume +p2343 +tp2344 +a(g822 +g990 +tp2345 +a(g431 +g1840 +tp2346 +a(g822 +g990 +tp2347 +a(g408 +g1123 +tp2348 +a(g822 +g990 +tp2349 +a(g689 +g1447 +tp2350 +a(g408 +g1266 +tp2351 +a(g431 +g1052 +tp2352 +a(g822 +g990 +tp2353 +a(g689 +V\u2228 +p2354 +tp2355 +a(g822 +g990 +tp2356 +a(g431 +g1436 +tp2357 +a(g408 +g1274 +tp2358 +a(g408 +g1030 +tp2359 +a(g822 +V\u000a +p2360 +tp2361 +a(g740 +Vhave +p2362 +tp2363 +a(g822 +g990 +tp2364 +a(g431 +VHnp +p2365 +tp2366 +a(g822 +g990 +tp2367 +a(g408 +g1123 +tp2368 +a(g822 +g990 +tp2369 +a(g689 +g1447 +tp2370 +a(g431 +g1052 +tp2371 +a(g408 +g1030 +tp2372 +a(g822 +g990 +tp2373 +a(g740 +Vfrom +p2374 +tp2375 +a(g822 +g990 +tp2376 +a(g740 +Vassume +p2377 +tp2378 +a(g822 +g990 +tp2379 +a(g431 +VHp +p2380 +tp2381 +a(g822 +g990 +tp2382 +a(g408 +g1123 +tp2383 +a(g822 +g990 +tp2384 +a(g431 +g1052 +tp2385 +a(g408 +g1030 +tp2386 +a(g822 +g990 +tp2387 +a(g431 +Vabsurd +p2388 +tp2389 +a(g822 +g990 +tp2390 +a(g408 +g1266 +tp2391 +a(g431 +Vor_inl +p2392 +tp2393 +a(g822 +g990 +tp2394 +a(g431 +VHp +p2395 +tp2396 +a(g408 +g1274 +tp2397 +a(g822 +g990 +tp2398 +a(g431 +g1840 +tp2399 +a(g408 +g1030 +tp2400 +a(g822 +V\u000a +p2401 +tp2402 +a(g740 +Vhave +p2403 +tp2404 +a(g822 +g990 +tp2405 +a(g431 +VHnq +p2406 +tp2407 +a(g822 +g990 +tp2408 +a(g408 +g1123 +tp2409 +a(g822 +g990 +tp2410 +a(g689 +g1447 +tp2411 +a(g431 +g1436 +tp2412 +a(g408 +g1030 +tp2413 +a(g822 +g990 +tp2414 +a(g740 +Vfrom +p2415 +tp2416 +a(g822 +g990 +tp2417 +a(g740 +Vassume +p2418 +tp2419 +a(g822 +g990 +tp2420 +a(g431 +VHq +p2421 +tp2422 +a(g822 +g990 +tp2423 +a(g408 +g1123 +tp2424 +a(g822 +g990 +tp2425 +a(g431 +g1436 +tp2426 +a(g408 +g1030 +tp2427 +a(g822 +g990 +tp2428 +a(g431 +Vabsurd +p2429 +tp2430 +a(g822 +g990 +tp2431 +a(g408 +g1266 +tp2432 +a(g431 +Vor_inr +p2433 +tp2434 +a(g822 +g990 +tp2435 +a(g431 +VHq +p2436 +tp2437 +a(g408 +g1274 +tp2438 +a(g822 +g990 +tp2439 +a(g431 +g1840 +tp2440 +a(g408 +g1030 +tp2441 +a(g822 +V\u000a +p2442 +tp2443 +a(g431 +Vand_intro +p2444 +tp2445 +a(g822 +g990 +tp2446 +a(g431 +VHnp +p2447 +tp2448 +a(g822 +g990 +tp2449 +a(g431 +VHnq +p2450 +tp2451 +a(g822 +V\u000a\u000a +p2452 +tp2453 +a(g766 +Vtheorem +p2454 +tp2455 +a(g822 +g990 +tp2456 +a(g431 +Vthm13 +p2457 +tp2458 +a(g822 +g990 +tp2459 +a(g408 +g1199 +tp2460 +a(g431 +g1052 +tp2461 +a(g822 +g990 +tp2462 +a(g431 +g1436 +tp2463 +a(g822 +g990 +tp2464 +a(g408 +g1123 +tp2465 +a(g822 +g990 +tp2466 +a(g744 +VProp +p2467 +tp2468 +a(g408 +g1210 +tp2469 +a(g822 +g990 +tp2470 +a(g408 +g1123 +tp2471 +a(g822 +g990 +tp2472 +a(g689 +g1447 +tp2473 +a(g431 +g1052 +tp2474 +a(g822 +g990 +tp2475 +a(g689 +V\u2227 +p2476 +tp2477 +a(g822 +g990 +tp2478 +a(g689 +g1447 +tp2479 +a(g431 +g1436 +tp2480 +a(g822 +g990 +tp2481 +a(g689 +V\u2192 +p2482 +tp2483 +a(g822 +g990 +tp2484 +a(g689 +g1447 +tp2485 +a(g408 +g1266 +tp2486 +a(g431 +g1052 +tp2487 +a(g822 +g990 +tp2488 +a(g689 +V\u2228 +p2489 +tp2490 +a(g822 +g990 +tp2491 +a(g431 +g1436 +tp2492 +a(g408 +g1274 +tp2493 +a(g822 +g990 +tp2494 +a(g408 +V:= +p2495 +tp2496 +a(g822 +V\u000a +p2497 +tp2498 +a(g740 +Vassume +p2499 +tp2500 +a(g822 +g990 +tp2501 +a(g408 +g1266 +tp2502 +a(g431 +g1840 +tp2503 +a(g822 +g990 +tp2504 +a(g408 +g1123 +tp2505 +a(g822 +g990 +tp2506 +a(g689 +g1447 +tp2507 +a(g431 +g1052 +tp2508 +a(g822 +g990 +tp2509 +a(g689 +V\u2227 +p2510 +tp2511 +a(g822 +g990 +tp2512 +a(g689 +g1447 +tp2513 +a(g431 +g1436 +tp2514 +a(g408 +g1274 +tp2515 +a(g822 +g990 +tp2516 +a(g408 +g1266 +tp2517 +a(g431 +VHn +p2518 +tp2519 +a(g822 +g990 +tp2520 +a(g408 +g1123 +tp2521 +a(g822 +g990 +tp2522 +a(g431 +g1052 +tp2523 +a(g822 +g990 +tp2524 +a(g689 +V\u2228 +p2525 +tp2526 +a(g822 +g990 +tp2527 +a(g431 +g1436 +tp2528 +a(g408 +g1274 +tp2529 +a(g408 +g1030 +tp2530 +a(g822 +V\u000a +p2531 +tp2532 +a(g431 +Vor_elim +p2533 +tp2534 +a(g822 +g990 +tp2535 +a(g431 +VHn +p2536 +tp2537 +a(g822 +V\u000a +p2538 +tp2539 +a(g408 +g1266 +tp2540 +a(g740 +Vassume +p2541 +tp2542 +a(g822 +g990 +tp2543 +a(g431 +VHp +p2544 +tp2545 +a(g822 +g990 +tp2546 +a(g408 +g1123 +tp2547 +a(g822 +g990 +tp2548 +a(g431 +g1052 +tp2549 +a(g408 +g1030 +tp2550 +a(g822 +g990 +tp2551 +a(g431 +Vabsurd +p2552 +tp2553 +a(g822 +g990 +tp2554 +a(g431 +VHp +p2555 +tp2556 +a(g822 +g990 +tp2557 +a(g408 +g1266 +tp2558 +a(g431 +Vand_elim_left +p2559 +tp2560 +a(g822 +g990 +tp2561 +a(g431 +g1840 +tp2562 +a(g408 +g1274 +tp2563 +a(g408 +g1274 +tp2564 +a(g822 +V\u000a +p2565 +tp2566 +a(g408 +g1266 +tp2567 +a(g740 +Vassume +p2568 +tp2569 +a(g822 +g990 +tp2570 +a(g431 +VHq +p2571 +tp2572 +a(g822 +g990 +tp2573 +a(g408 +g1123 +tp2574 +a(g822 +g990 +tp2575 +a(g431 +g1436 +tp2576 +a(g408 +g1030 +tp2577 +a(g822 +g990 +tp2578 +a(g431 +Vabsurd +p2579 +tp2580 +a(g822 +g990 +tp2581 +a(g431 +VHq +p2582 +tp2583 +a(g822 +g990 +tp2584 +a(g408 +g1266 +tp2585 +a(g431 +Vand_elim_right +p2586 +tp2587 +a(g822 +g990 +tp2588 +a(g431 +g1840 +tp2589 +a(g408 +g1274 +tp2590 +a(g408 +g1274 +tp2591 +a(g822 +V\u000a\u000a +p2592 +tp2593 +a(g766 +Vtheorem +p2594 +tp2595 +a(g822 +g990 +tp2596 +a(g431 +Vthm14 +p2597 +tp2598 +a(g822 +g990 +tp2599 +a(g408 +g1199 +tp2600 +a(g431 +g1052 +tp2601 +a(g822 +g990 +tp2602 +a(g431 +g1436 +tp2603 +a(g822 +g990 +tp2604 +a(g408 +g1123 +tp2605 +a(g822 +g990 +tp2606 +a(g744 +VProp +p2607 +tp2608 +a(g408 +g1210 +tp2609 +a(g822 +g990 +tp2610 +a(g408 +g1123 +tp2611 +a(g822 +g990 +tp2612 +a(g689 +g1447 +tp2613 +a(g431 +g1052 +tp2614 +a(g822 +g990 +tp2615 +a(g689 +V\u2228 +p2616 +tp2617 +a(g822 +g990 +tp2618 +a(g431 +g1436 +tp2619 +a(g822 +g990 +tp2620 +a(g689 +V\u2192 +p2621 +tp2622 +a(g822 +g990 +tp2623 +a(g431 +g1052 +tp2624 +a(g822 +g990 +tp2625 +a(g689 +V\u2192 +p2626 +tp2627 +a(g822 +g990 +tp2628 +a(g431 +g1436 +tp2629 +a(g822 +g990 +tp2630 +a(g408 +V:= +p2631 +tp2632 +a(g822 +V\u000a +p2633 +tp2634 +a(g740 +Vassume +p2635 +tp2636 +a(g822 +g990 +tp2637 +a(g408 +g1266 +tp2638 +a(g431 +VHor +p2639 +tp2640 +a(g822 +g990 +tp2641 +a(g408 +g1123 +tp2642 +a(g822 +g990 +tp2643 +a(g689 +g1447 +tp2644 +a(g431 +g1052 +tp2645 +a(g822 +g990 +tp2646 +a(g689 +V\u2228 +p2647 +tp2648 +a(g822 +g990 +tp2649 +a(g431 +g1436 +tp2650 +a(g408 +g1274 +tp2651 +a(g822 +g990 +tp2652 +a(g408 +g1266 +tp2653 +a(g431 +VHp +p2654 +tp2655 +a(g822 +g990 +tp2656 +a(g408 +g1123 +tp2657 +a(g822 +g990 +tp2658 +a(g431 +g1052 +tp2659 +a(g408 +g1274 +tp2660 +a(g408 +g1030 +tp2661 +a(g822 +V\u000a +p2662 +tp2663 +a(g431 +Vor_elim +p2664 +tp2665 +a(g822 +g990 +tp2666 +a(g431 +VHor +p2667 +tp2668 +a(g822 +V\u000a +p2669 +tp2670 +a(g408 +g1266 +tp2671 +a(g740 +Vassume +p2672 +tp2673 +a(g822 +g990 +tp2674 +a(g431 +VHnp +p2675 +tp2676 +a(g822 +g990 +tp2677 +a(g408 +g1123 +tp2678 +a(g822 +g990 +tp2679 +a(g689 +g1447 +tp2680 +a(g431 +g1052 +tp2681 +a(g408 +g1030 +tp2682 +a(g822 +g990 +tp2683 +a(g431 +Vabsurd +p2684 +tp2685 +a(g822 +g990 +tp2686 +a(g431 +VHp +p2687 +tp2688 +a(g822 +g990 +tp2689 +a(g431 +VHnp +p2690 +tp2691 +a(g408 +g1274 +tp2692 +a(g822 +V\u000a +p2693 +tp2694 +a(g408 +g1266 +tp2695 +a(g740 +Vassume +p2696 +tp2697 +a(g822 +g990 +tp2698 +a(g431 +VHq +p2699 +tp2700 +a(g822 +g990 +tp2701 +a(g408 +g1123 +tp2702 +a(g822 +g990 +tp2703 +a(g431 +g1436 +tp2704 +a(g408 +g1030 +tp2705 +a(g822 +g990 +tp2706 +a(g431 +VHq +p2707 +tp2708 +a(g408 +g1274 +tp2709 +a(g822 +V\u000a\u000a +p2710 +tp2711 +a(g766 +Vtheorem +p2712 +tp2713 +a(g822 +g990 +tp2714 +a(g431 +Vthm15 +p2715 +tp2716 +a(g822 +g990 +tp2717 +a(g408 +g1199 +tp2718 +a(g431 +g1052 +tp2719 +a(g822 +g990 +tp2720 +a(g431 +g1436 +tp2721 +a(g822 +g990 +tp2722 +a(g408 +g1123 +tp2723 +a(g822 +g990 +tp2724 +a(g744 +VProp +p2725 +tp2726 +a(g408 +g1210 +tp2727 +a(g822 +g990 +tp2728 +a(g408 +g1123 +tp2729 +a(g822 +g990 +tp2730 +a(g408 +g1266 +tp2731 +a(g431 +g1052 +tp2732 +a(g822 +g990 +tp2733 +a(g689 +V\u2192 +p2734 +tp2735 +a(g822 +g990 +tp2736 +a(g431 +g1436 +tp2737 +a(g408 +g1274 +tp2738 +a(g822 +g990 +tp2739 +a(g689 +V\u2192 +p2740 +tp2741 +a(g822 +g990 +tp2742 +a(g689 +g1447 +tp2743 +a(g689 +g1447 +tp2744 +a(g408 +g1266 +tp2745 +a(g689 +g1447 +tp2746 +a(g431 +g1052 +tp2747 +a(g822 +g990 +tp2748 +a(g689 +V\u2228 +p2749 +tp2750 +a(g822 +g990 +tp2751 +a(g431 +g1436 +tp2752 +a(g408 +g1274 +tp2753 +a(g822 +g990 +tp2754 +a(g408 +V:= +p2755 +tp2756 +a(g822 +V\u000a +p2757 +tp2758 +a(g740 +Vassume +p2759 +tp2760 +a(g822 +g990 +tp2761 +a(g408 +g1266 +tp2762 +a(g431 +VHpq +p2763 +tp2764 +a(g822 +g990 +tp2765 +a(g408 +g1123 +tp2766 +a(g822 +g990 +tp2767 +a(g431 +g1052 +tp2768 +a(g822 +g990 +tp2769 +a(g689 +V\u2192 +p2770 +tp2771 +a(g822 +g990 +tp2772 +a(g431 +g1436 +tp2773 +a(g408 +g1274 +tp2774 +a(g822 +g990 +tp2775 +a(g408 +g1266 +tp2776 +a(g431 +VHn +p2777 +tp2778 +a(g822 +g990 +tp2779 +a(g408 +g1123 +tp2780 +a(g822 +g990 +tp2781 +a(g689 +g1447 +tp2782 +a(g408 +g1266 +tp2783 +a(g689 +g1447 +tp2784 +a(g431 +g1052 +tp2785 +a(g822 +g990 +tp2786 +a(g689 +V\u2228 +p2787 +tp2788 +a(g822 +g990 +tp2789 +a(g431 +g1436 +tp2790 +a(g408 +g1274 +tp2791 +a(g408 +g1274 +tp2792 +a(g408 +g1030 +tp2793 +a(g822 +V\u000a +p2794 +tp2795 +a(g740 +Vhave +p2796 +tp2797 +a(g822 +g990 +tp2798 +a(g431 +VH1 +p2799 +tp2800 +a(g822 +g990 +tp2801 +a(g408 +g1123 +tp2802 +a(g822 +g990 +tp2803 +a(g689 +g1447 +tp2804 +a(g689 +g1447 +tp2805 +a(g431 +g1052 +tp2806 +a(g822 +g990 +tp2807 +a(g689 +V\u2227 +p2808 +tp2809 +a(g822 +g990 +tp2810 +a(g689 +g1447 +tp2811 +a(g431 +g1436 +tp2812 +a(g408 +g1030 +tp2813 +a(g822 +g990 +tp2814 +a(g740 +Vfrom +p2815 +tp2816 +a(g822 +g990 +tp2817 +a(g431 +Vthm12 +p2818 +tp2819 +a(g822 +g990 +tp2820 +a(g431 +VHn +p2821 +tp2822 +a(g408 +g1030 +tp2823 +a(g822 +V\u000a +p2824 +tp2825 +a(g740 +Vhave +p2826 +tp2827 +a(g822 +g990 +tp2828 +a(g431 +VHnp +p2829 +tp2830 +a(g822 +g990 +tp2831 +a(g408 +g1123 +tp2832 +a(g822 +g990 +tp2833 +a(g689 +g1447 +tp2834 +a(g431 +g1052 +tp2835 +a(g408 +g1030 +tp2836 +a(g822 +g990 +tp2837 +a(g740 +Vfrom +p2838 +tp2839 +a(g822 +g990 +tp2840 +a(g431 +Vmt +p2841 +tp2842 +a(g822 +g990 +tp2843 +a(g431 +VHpq +p2844 +tp2845 +a(g822 +g990 +tp2846 +a(g408 +g1266 +tp2847 +a(g431 +Vand_elim_right +p2848 +tp2849 +a(g822 +g990 +tp2850 +a(g431 +VH1 +p2851 +tp2852 +a(g408 +g1274 +tp2853 +a(g408 +g1030 +tp2854 +a(g822 +V\u000a +p2855 +tp2856 +a(g431 +Vabsurd +p2857 +tp2858 +a(g822 +g990 +tp2859 +a(g431 +VHnp +p2860 +tp2861 +a(g822 +g990 +tp2862 +a(g408 +g1266 +tp2863 +a(g431 +Vand_elim_left +p2864 +tp2865 +a(g822 +g990 +tp2866 +a(g431 +VH1 +p2867 +tp2868 +a(g408 +g1274 +tp2869 +a(g822 +V\u000a\u000a +p2870 +tp2871 +a(g766 +Vtheorem +p2872 +tp2873 +a(g822 +g990 +tp2874 +a(g431 +Vthm16 +p2875 +tp2876 +a(g822 +g990 +tp2877 +a(g408 +g1199 +tp2878 +a(g431 +g1052 +tp2879 +a(g822 +g990 +tp2880 +a(g431 +g1436 +tp2881 +a(g822 +g990 +tp2882 +a(g408 +g1123 +tp2883 +a(g822 +g990 +tp2884 +a(g744 +VProp +p2885 +tp2886 +a(g408 +g1210 +tp2887 +a(g822 +g990 +tp2888 +a(g408 +g1123 +tp2889 +a(g822 +g990 +tp2890 +a(g408 +g1266 +tp2891 +a(g431 +g1052 +tp2892 +a(g822 +g990 +tp2893 +a(g689 +V\u2192 +p2894 +tp2895 +a(g822 +g990 +tp2896 +a(g431 +g1436 +tp2897 +a(g408 +g1274 +tp2898 +a(g822 +g990 +tp2899 +a(g689 +V\u2227 +p2900 +tp2901 +a(g822 +g990 +tp2902 +a(g408 +g1266 +tp2903 +a(g408 +g1266 +tp2904 +a(g431 +g1052 +tp2905 +a(g822 +g990 +tp2906 +a(g689 +V\u2228 +p2907 +tp2908 +a(g822 +g990 +tp2909 +a(g689 +g1447 +tp2910 +a(g431 +g1052 +tp2911 +a(g408 +g1274 +tp2912 +a(g822 +g990 +tp2913 +a(g689 +V\u2228 +p2914 +tp2915 +a(g822 +g990 +tp2916 +a(g408 +g1266 +tp2917 +a(g431 +g1436 +tp2918 +a(g822 +g990 +tp2919 +a(g689 +V\u2228 +p2920 +tp2921 +a(g822 +g990 +tp2922 +a(g689 +g1447 +tp2923 +a(g431 +g1436 +tp2924 +a(g408 +g1274 +tp2925 +a(g408 +g1274 +tp2926 +a(g822 +g990 +tp2927 +a(g689 +V\u2192 +p2928 +tp2929 +a(g822 +g990 +tp2930 +a(g689 +g1447 +tp2931 +a(g431 +g1052 +tp2932 +a(g822 +g990 +tp2933 +a(g689 +V\u2228 +p2934 +tp2935 +a(g822 +g990 +tp2936 +a(g431 +g1436 +tp2937 +a(g822 +g990 +tp2938 +a(g408 +V:= +p2939 +tp2940 +a(g822 +V\u000a +p2941 +tp2942 +a(g740 +Vassume +p2943 +tp2944 +a(g822 +g990 +tp2945 +a(g431 +g1840 +tp2946 +a(g822 +g990 +tp2947 +a(g408 +g1123 +tp2948 +a(g822 +g990 +tp2949 +a(g408 +g1266 +tp2950 +a(g431 +g1052 +tp2951 +a(g822 +g990 +tp2952 +a(g689 +V\u2192 +p2953 +tp2954 +a(g822 +g990 +tp2955 +a(g431 +g1436 +tp2956 +a(g408 +g1274 +tp2957 +a(g822 +g990 +tp2958 +a(g689 +V\u2227 +p2959 +tp2960 +a(g822 +g990 +tp2961 +a(g408 +g1266 +tp2962 +a(g408 +g1266 +tp2963 +a(g431 +g1052 +tp2964 +a(g822 +g990 +tp2965 +a(g689 +V\u2228 +p2966 +tp2967 +a(g822 +g990 +tp2968 +a(g689 +g1447 +tp2969 +a(g431 +g1052 +tp2970 +a(g408 +g1274 +tp2971 +a(g822 +g990 +tp2972 +a(g689 +V\u2228 +p2973 +tp2974 +a(g822 +g990 +tp2975 +a(g408 +g1266 +tp2976 +a(g431 +g1436 +tp2977 +a(g822 +g990 +tp2978 +a(g689 +V\u2228 +p2979 +tp2980 +a(g822 +g990 +tp2981 +a(g689 +g1447 +tp2982 +a(g431 +g1436 +tp2983 +a(g408 +g1274 +tp2984 +a(g408 +g1274 +tp2985 +a(g408 +g1030 +tp2986 +a(g822 +V\u000a +p2987 +tp2988 +a(g740 +Vhave +p2989 +tp2990 +a(g822 +g990 +tp2991 +a(g431 +VHpq +p2992 +tp2993 +a(g822 +g990 +tp2994 +a(g408 +g1123 +tp2995 +a(g822 +g990 +tp2996 +a(g431 +g1052 +tp2997 +a(g822 +g990 +tp2998 +a(g689 +V\u2192 +p2999 +tp3000 +a(g822 +g990 +tp3001 +a(g431 +g1436 +tp3002 +a(g408 +g1030 +tp3003 +a(g822 +g990 +tp3004 +a(g740 +Vfrom +p3005 +tp3006 +a(g822 +g990 +tp3007 +a(g431 +Vand_elim_left +p3008 +tp3009 +a(g822 +g990 +tp3010 +a(g431 +g1840 +tp3011 +a(g408 +g1030 +tp3012 +a(g822 +V\u000a +p3013 +tp3014 +a(g431 +Vor_elim +p3015 +tp3016 +a(g822 +g990 +tp3017 +a(g408 +g1266 +tp3018 +a(g431 +Vand_elim_right +p3019 +tp3020 +a(g822 +g990 +tp3021 +a(g431 +g1840 +tp3022 +a(g408 +g1274 +tp3023 +a(g822 +V\u000a +p3024 +tp3025 +a(g408 +g1266 +tp3026 +a(g740 +Vassume +p3027 +tp3028 +a(g822 +g990 +tp3029 +a(g431 +VHem1 +p3030 +tp3031 +a(g822 +g990 +tp3032 +a(g408 +g1123 +tp3033 +a(g822 +g990 +tp3034 +a(g431 +g1052 +tp3035 +a(g822 +g990 +tp3036 +a(g689 +V\u2228 +p3037 +tp3038 +a(g822 +g990 +tp3039 +a(g689 +g1447 +tp3040 +a(g431 +g1052 +tp3041 +a(g408 +g1030 +tp3042 +a(g822 +g990 +tp3043 +a(g431 +Vor_elim +p3044 +tp3045 +a(g822 +g990 +tp3046 +a(g431 +VHem1 +p3047 +tp3048 +a(g822 +V\u000a +p3049 +tp3050 +a(g408 +g1266 +tp3051 +a(g740 +Vassume +p3052 +tp3053 +a(g822 +g990 +tp3054 +a(g431 +VHp +p3055 +tp3056 +a(g822 +g990 +tp3057 +a(g408 +g1123 +tp3058 +a(g822 +g990 +tp3059 +a(g431 +g1052 +tp3060 +a(g408 +g1030 +tp3061 +a(g822 +g990 +tp3062 +a(g431 +Vor_inr +p3063 +tp3064 +a(g822 +g990 +tp3065 +a(g408 +g1266 +tp3066 +a(g431 +VHpq +p3067 +tp3068 +a(g822 +g990 +tp3069 +a(g431 +VHp +p3070 +tp3071 +a(g408 +g1274 +tp3072 +a(g408 +g1274 +tp3073 +a(g822 +V\u000a +p3074 +tp3075 +a(g408 +g1266 +tp3076 +a(g740 +Vassume +p3077 +tp3078 +a(g822 +g990 +tp3079 +a(g431 +VHnp +p3080 +tp3081 +a(g822 +g990 +tp3082 +a(g408 +g1123 +tp3083 +a(g822 +g990 +tp3084 +a(g689 +g1447 +tp3085 +a(g431 +g1052 +tp3086 +a(g408 +g1030 +tp3087 +a(g822 +g990 +tp3088 +a(g431 +Vor_inl +p3089 +tp3090 +a(g822 +g990 +tp3091 +a(g431 +VHnp +p3092 +tp3093 +a(g408 +g1274 +tp3094 +a(g408 +g1274 +tp3095 +a(g822 +V\u000a +p3096 +tp3097 +a(g408 +g1266 +tp3098 +a(g740 +Vassume +p3099 +tp3100 +a(g822 +g990 +tp3101 +a(g431 +VHem2 +p3102 +tp3103 +a(g822 +g990 +tp3104 +a(g408 +g1123 +tp3105 +a(g822 +g990 +tp3106 +a(g431 +g1436 +tp3107 +a(g822 +g990 +tp3108 +a(g689 +V\u2228 +p3109 +tp3110 +a(g822 +g990 +tp3111 +a(g689 +g1447 +tp3112 +a(g431 +g1436 +tp3113 +a(g408 +g1030 +tp3114 +a(g822 +g990 +tp3115 +a(g431 +Vor_elim +p3116 +tp3117 +a(g822 +g990 +tp3118 +a(g431 +VHem2 +p3119 +tp3120 +a(g822 +V\u000a +p3121 +tp3122 +a(g408 +g1266 +tp3123 +a(g740 +Vassume +p3124 +tp3125 +a(g822 +g990 +tp3126 +a(g431 +VHq +p3127 +tp3128 +a(g822 +g990 +tp3129 +a(g408 +g1123 +tp3130 +a(g822 +g990 +tp3131 +a(g431 +g1436 +tp3132 +a(g408 +g1030 +tp3133 +a(g822 +g990 +tp3134 +a(g431 +Vor_inr +p3135 +tp3136 +a(g822 +g990 +tp3137 +a(g431 +VHq +p3138 +tp3139 +a(g408 +g1274 +tp3140 +a(g822 +V\u000a +p3141 +tp3142 +a(g408 +g1266 +tp3143 +a(g740 +Vassume +p3144 +tp3145 +a(g822 +g990 +tp3146 +a(g431 +VHnq +p3147 +tp3148 +a(g822 +g990 +tp3149 +a(g408 +g1123 +tp3150 +a(g822 +g990 +tp3151 +a(g689 +g1447 +tp3152 +a(g431 +g1436 +tp3153 +a(g408 +g1030 +tp3154 +a(g822 +g990 +tp3155 +a(g431 +Vor_inl +p3156 +tp3157 +a(g822 +g990 +tp3158 +a(g408 +g1266 +tp3159 +a(g431 +Vmt +p3160 +tp3161 +a(g822 +g990 +tp3162 +a(g431 +VHpq +p3163 +tp3164 +a(g822 +g990 +tp3165 +a(g431 +VHnq +p3166 +tp3167 +a(g408 +g1274 +tp3168 +a(g408 +g1274 +tp3169 +a(g408 +g1274 +tp3170 +a(g822 +V\u000a\u000a +p3171 +tp3172 +a(g901 +V-- 5. First-Order Logic: All and Exists +p3173 +tp3174 +a(g822 +V\u000a +p3175 +tp3176 +a(g766 +Vsection +p3177 +tp3178 +a(g822 +V\u000a +p3179 +tp3180 +a(g766 +Vparameters +p3181 +tp3182 +a(g822 +g990 +tp3183 +a(g408 +g1199 +tp3184 +a(g431 +g960 +tp3185 +a(g822 +g990 +tp3186 +a(g408 +g1123 +tp3187 +a(g822 +g990 +tp3188 +a(g744 +VType +p3189 +tp3190 +a(g408 +g1210 +tp3191 +a(g822 +g990 +tp3192 +a(g408 +g1199 +tp3193 +a(g431 +g1089 +tp3194 +a(g822 +g990 +tp3195 +a(g408 +g1123 +tp3196 +a(g822 +g990 +tp3197 +a(g744 +VProp +p3198 +tp3199 +a(g408 +g1210 +tp3200 +a(g822 +g990 +tp3201 +a(g408 +g1199 +tp3202 +a(g431 +g1052 +tp3203 +a(g822 +g990 +tp3204 +a(g408 +g1123 +tp3205 +a(g822 +g990 +tp3206 +a(g431 +g960 +tp3207 +a(g822 +g990 +tp3208 +a(g689 +V\u2192 +p3209 +tp3210 +a(g822 +g990 +tp3211 +a(g744 +VProp +p3212 +tp3213 +a(g408 +g1210 +tp3214 +a(g822 +V\u000a +p3215 +tp3216 +a(g766 +Vtheorem +p3217 +tp3218 +a(g822 +g990 +tp3219 +a(g431 +Vthm17a +p3220 +tp3221 +a(g822 +g990 +tp3222 +a(g408 +g1123 +tp3223 +a(g822 +g990 +tp3224 +a(g408 +g1266 +tp3225 +a(g431 +g1089 +tp3226 +a(g822 +g990 +tp3227 +a(g689 +V\u2192 +p3228 +tp3229 +a(g822 +g990 +tp3230 +a(g689 +V\u2200 +p3231 +tp3232 +a(g431 +g979 +tp3233 +a(g408 +g1030 +tp3234 +a(g822 +g990 +tp3235 +a(g431 +g1052 +tp3236 +a(g822 +g990 +tp3237 +a(g431 +g979 +tp3238 +a(g408 +g1274 +tp3239 +a(g822 +g990 +tp3240 +a(g689 +V\u2192 +p3241 +tp3242 +a(g822 +g990 +tp3243 +a(g408 +g1266 +tp3244 +a(g689 +V\u2200 +p3245 +tp3246 +a(g431 +g979 +tp3247 +a(g408 +g1030 +tp3248 +a(g822 +g990 +tp3249 +a(g431 +g1089 +tp3250 +a(g822 +g990 +tp3251 +a(g689 +V\u2192 +p3252 +tp3253 +a(g822 +g990 +tp3254 +a(g431 +g1052 +tp3255 +a(g822 +g990 +tp3256 +a(g431 +g979 +tp3257 +a(g408 +g1274 +tp3258 +a(g822 +g990 +tp3259 +a(g408 +V:= +p3260 +tp3261 +a(g822 +V\u000a +p3262 +tp3263 +a(g740 +Vassume +p3264 +tp3265 +a(g822 +g990 +tp3266 +a(g431 +g1840 +tp3267 +a(g822 +g990 +tp3268 +a(g408 +g1123 +tp3269 +a(g822 +g990 +tp3270 +a(g431 +g1089 +tp3271 +a(g822 +g990 +tp3272 +a(g689 +V\u2192 +p3273 +tp3274 +a(g822 +g990 +tp3275 +a(g689 +V\u2200 +p3276 +tp3277 +a(g431 +g979 +tp3278 +a(g408 +g1030 +tp3279 +a(g822 +g990 +tp3280 +a(g431 +g1052 +tp3281 +a(g822 +g990 +tp3282 +a(g431 +g979 +tp3283 +a(g408 +g1030 +tp3284 +a(g822 +V\u000a +p3285 +tp3286 +a(g740 +Vtake +p3287 +tp3288 +a(g822 +g990 +tp3289 +a(g431 +g979 +tp3290 +a(g822 +g990 +tp3291 +a(g408 +g1123 +tp3292 +a(g822 +g990 +tp3293 +a(g431 +g960 +tp3294 +a(g408 +g1030 +tp3295 +a(g822 +g990 +tp3296 +a(g740 +Vassume +p3297 +tp3298 +a(g822 +g990 +tp3299 +a(g431 +VHc +p3300 +tp3301 +a(g822 +g990 +tp3302 +a(g408 +g1123 +tp3303 +a(g822 +g990 +tp3304 +a(g431 +g1089 +tp3305 +a(g408 +g1030 +tp3306 +a(g822 +V\u000a +p3307 +tp3308 +a(g431 +g1840 +tp3309 +a(g822 +g990 +tp3310 +a(g431 +VHc +p3311 +tp3312 +a(g822 +g990 +tp3313 +a(g431 +g979 +tp3314 +a(g822 +V\u000a\u000a +p3315 +tp3316 +a(g766 +Vtheorem +p3317 +tp3318 +a(g822 +g990 +tp3319 +a(g431 +Vthm17b +p3320 +tp3321 +a(g822 +g990 +tp3322 +a(g408 +g1123 +tp3323 +a(g822 +g990 +tp3324 +a(g408 +g1266 +tp3325 +a(g689 +V\u2200 +p3326 +tp3327 +a(g431 +g979 +tp3328 +a(g408 +g1030 +tp3329 +a(g822 +g990 +tp3330 +a(g431 +g1089 +tp3331 +a(g822 +g990 +tp3332 +a(g689 +V\u2192 +p3333 +tp3334 +a(g822 +g990 +tp3335 +a(g431 +g1052 +tp3336 +a(g822 +g990 +tp3337 +a(g431 +g979 +tp3338 +a(g408 +g1274 +tp3339 +a(g822 +g990 +tp3340 +a(g689 +V\u2192 +p3341 +tp3342 +a(g822 +g990 +tp3343 +a(g408 +g1266 +tp3344 +a(g431 +g1089 +tp3345 +a(g822 +g990 +tp3346 +a(g689 +V\u2192 +p3347 +tp3348 +a(g822 +g990 +tp3349 +a(g689 +V\u2200 +p3350 +tp3351 +a(g431 +g979 +tp3352 +a(g408 +g1030 +tp3353 +a(g822 +g990 +tp3354 +a(g431 +g1052 +tp3355 +a(g822 +g990 +tp3356 +a(g431 +g979 +tp3357 +a(g408 +g1274 +tp3358 +a(g822 +g990 +tp3359 +a(g408 +V:= +p3360 +tp3361 +a(g822 +V\u000a +p3362 +tp3363 +a(g740 +Vassume +p3364 +tp3365 +a(g822 +g990 +tp3366 +a(g408 +g1266 +tp3367 +a(g431 +g1840 +tp3368 +a(g822 +g990 +tp3369 +a(g408 +g1123 +tp3370 +a(g822 +g990 +tp3371 +a(g689 +V\u2200 +p3372 +tp3373 +a(g431 +g979 +tp3374 +a(g408 +g1030 +tp3375 +a(g822 +g990 +tp3376 +a(g431 +g1089 +tp3377 +a(g822 +g990 +tp3378 +a(g689 +V\u2192 +p3379 +tp3380 +a(g822 +g990 +tp3381 +a(g431 +g1052 +tp3382 +a(g822 +g990 +tp3383 +a(g431 +g979 +tp3384 +a(g408 +g1274 +tp3385 +a(g822 +g990 +tp3386 +a(g408 +g1266 +tp3387 +a(g431 +VHc +p3388 +tp3389 +a(g822 +g990 +tp3390 +a(g408 +g1123 +tp3391 +a(g822 +g990 +tp3392 +a(g431 +g1089 +tp3393 +a(g408 +g1274 +tp3394 +a(g408 +g1030 +tp3395 +a(g822 +V\u000a +p3396 +tp3397 +a(g740 +Vtake +p3398 +tp3399 +a(g822 +g990 +tp3400 +a(g431 +g979 +tp3401 +a(g822 +g990 +tp3402 +a(g408 +g1123 +tp3403 +a(g822 +g990 +tp3404 +a(g431 +g960 +tp3405 +a(g408 +g1030 +tp3406 +a(g822 +V\u000a +p3407 +tp3408 +a(g431 +g1840 +tp3409 +a(g822 +g990 +tp3410 +a(g431 +g979 +tp3411 +a(g822 +g990 +tp3412 +a(g431 +VHc +p3413 +tp3414 +a(g822 +V\u000a\u000a +p3415 +tp3416 +a(g766 +Vtheorem +p3417 +tp3418 +a(g822 +g990 +tp3419 +a(g431 +Vthm18a +p3420 +tp3421 +a(g822 +g990 +tp3422 +a(g408 +g1123 +tp3423 +a(g822 +g990 +tp3424 +a(g408 +g1266 +tp3425 +a(g408 +g1266 +tp3426 +a(g689 +V\u2203 +p3427 +tp3428 +a(g431 +g979 +tp3429 +a(g408 +g1030 +tp3430 +a(g822 +g990 +tp3431 +a(g431 +g1052 +tp3432 +a(g822 +g990 +tp3433 +a(g431 +g979 +tp3434 +a(g408 +g1274 +tp3435 +a(g822 +g990 +tp3436 +a(g689 +V\u2192 +p3437 +tp3438 +a(g822 +g990 +tp3439 +a(g431 +g1089 +tp3440 +a(g408 +g1274 +tp3441 +a(g822 +g990 +tp3442 +a(g689 +V\u2192 +p3443 +tp3444 +a(g822 +g990 +tp3445 +a(g408 +g1266 +tp3446 +a(g689 +V\u2200 +p3447 +tp3448 +a(g431 +g979 +tp3449 +a(g408 +g1030 +tp3450 +a(g822 +g990 +tp3451 +a(g431 +g1052 +tp3452 +a(g822 +g990 +tp3453 +a(g431 +g979 +tp3454 +a(g822 +g990 +tp3455 +a(g689 +V\u2192 +p3456 +tp3457 +a(g822 +g990 +tp3458 +a(g431 +g1089 +tp3459 +a(g408 +g1274 +tp3460 +a(g822 +g990 +tp3461 +a(g408 +V:= +p3462 +tp3463 +a(g822 +V\u000a +p3464 +tp3465 +a(g740 +Vassume +p3466 +tp3467 +a(g822 +g990 +tp3468 +a(g431 +g1840 +tp3469 +a(g822 +g990 +tp3470 +a(g408 +g1123 +tp3471 +a(g822 +g990 +tp3472 +a(g408 +g1266 +tp3473 +a(g689 +V\u2203 +p3474 +tp3475 +a(g431 +g979 +tp3476 +a(g408 +g1030 +tp3477 +a(g822 +g990 +tp3478 +a(g431 +g1052 +tp3479 +a(g822 +g990 +tp3480 +a(g431 +g979 +tp3481 +a(g408 +g1274 +tp3482 +a(g822 +g990 +tp3483 +a(g689 +V\u2192 +p3484 +tp3485 +a(g822 +g990 +tp3486 +a(g431 +g1089 +tp3487 +a(g408 +g1030 +tp3488 +a(g822 +V\u000a +p3489 +tp3490 +a(g740 +Vtake +p3491 +tp3492 +a(g822 +g990 +tp3493 +a(g431 +g979 +tp3494 +a(g408 +g1030 +tp3495 +a(g822 +g990 +tp3496 +a(g740 +Vassume +p3497 +tp3498 +a(g822 +g990 +tp3499 +a(g431 +VHp +p3500 +tp3501 +a(g822 +g990 +tp3502 +a(g408 +g1123 +tp3503 +a(g822 +g990 +tp3504 +a(g431 +g1052 +tp3505 +a(g822 +g990 +tp3506 +a(g431 +g979 +tp3507 +a(g408 +g1030 +tp3508 +a(g822 +V\u000a +p3509 +tp3510 +a(g740 +Vhave +p3511 +tp3512 +a(g822 +g990 +tp3513 +a(g431 +VHex +p3514 +tp3515 +a(g822 +g990 +tp3516 +a(g408 +g1123 +tp3517 +a(g822 +g990 +tp3518 +a(g689 +V\u2203 +p3519 +tp3520 +a(g431 +g979 +tp3521 +a(g408 +g1030 +tp3522 +a(g822 +g990 +tp3523 +a(g431 +g1052 +tp3524 +a(g822 +g990 +tp3525 +a(g431 +g979 +tp3526 +a(g408 +g1030 +tp3527 +a(g822 +g990 +tp3528 +a(g740 +Vfrom +p3529 +tp3530 +a(g822 +g990 +tp3531 +a(g431 +Vexists_intro +p3532 +tp3533 +a(g822 +g990 +tp3534 +a(g431 +g979 +tp3535 +a(g822 +g990 +tp3536 +a(g431 +VHp +p3537 +tp3538 +a(g408 +g1030 +tp3539 +a(g822 +V\u000a +p3540 +tp3541 +a(g431 +g1840 +tp3542 +a(g822 +g990 +tp3543 +a(g431 +VHex +p3544 +tp3545 +a(g822 +V\u000a\u000a +p3546 +tp3547 +a(g766 +Vtheorem +p3548 +tp3549 +a(g822 +g990 +tp3550 +a(g431 +Vthm18b +p3551 +tp3552 +a(g822 +g990 +tp3553 +a(g408 +g1123 +tp3554 +a(g822 +g990 +tp3555 +a(g408 +g1266 +tp3556 +a(g689 +V\u2200 +p3557 +tp3558 +a(g431 +g979 +tp3559 +a(g408 +g1030 +tp3560 +a(g822 +g990 +tp3561 +a(g431 +g1052 +tp3562 +a(g822 +g990 +tp3563 +a(g431 +g979 +tp3564 +a(g822 +g990 +tp3565 +a(g689 +V\u2192 +p3566 +tp3567 +a(g822 +g990 +tp3568 +a(g431 +g1089 +tp3569 +a(g408 +g1274 +tp3570 +a(g822 +g990 +tp3571 +a(g689 +V\u2192 +p3572 +tp3573 +a(g822 +g990 +tp3574 +a(g408 +g1266 +tp3575 +a(g689 +V\u2203 +p3576 +tp3577 +a(g431 +g979 +tp3578 +a(g408 +g1030 +tp3579 +a(g822 +g990 +tp3580 +a(g431 +g1052 +tp3581 +a(g822 +g990 +tp3582 +a(g431 +g979 +tp3583 +a(g408 +g1274 +tp3584 +a(g822 +g990 +tp3585 +a(g689 +V\u2192 +p3586 +tp3587 +a(g822 +g990 +tp3588 +a(g431 +g1089 +tp3589 +a(g822 +g990 +tp3590 +a(g408 +V:= +p3591 +tp3592 +a(g822 +V\u000a +p3593 +tp3594 +a(g740 +Vassume +p3595 +tp3596 +a(g822 +g990 +tp3597 +a(g408 +g1266 +tp3598 +a(g431 +VH1 +p3599 +tp3600 +a(g822 +g990 +tp3601 +a(g408 +g1123 +tp3602 +a(g822 +g990 +tp3603 +a(g689 +V\u2200 +p3604 +tp3605 +a(g431 +g979 +tp3606 +a(g408 +g1030 +tp3607 +a(g822 +g990 +tp3608 +a(g431 +g1052 +tp3609 +a(g822 +g990 +tp3610 +a(g431 +g979 +tp3611 +a(g822 +g990 +tp3612 +a(g689 +V\u2192 +p3613 +tp3614 +a(g822 +g990 +tp3615 +a(g431 +g1089 +tp3616 +a(g408 +g1274 +tp3617 +a(g822 +g990 +tp3618 +a(g408 +g1266 +tp3619 +a(g431 +VH2 +p3620 +tp3621 +a(g822 +g990 +tp3622 +a(g408 +g1123 +tp3623 +a(g822 +g990 +tp3624 +a(g689 +V\u2203 +p3625 +tp3626 +a(g431 +g979 +tp3627 +a(g408 +g1030 +tp3628 +a(g822 +g990 +tp3629 +a(g431 +g1052 +tp3630 +a(g822 +g990 +tp3631 +a(g431 +g979 +tp3632 +a(g408 +g1274 +tp3633 +a(g408 +g1030 +tp3634 +a(g822 +V\u000a +p3635 +tp3636 +a(g740 +Vobtain +p3637 +tp3638 +a(g822 +g990 +tp3639 +a(g408 +g1266 +tp3640 +a(g431 +g1033 +tp3641 +a(g822 +g990 +tp3642 +a(g408 +g1123 +tp3643 +a(g822 +g990 +tp3644 +a(g431 +g960 +tp3645 +a(g408 +g1274 +tp3646 +a(g822 +g990 +tp3647 +a(g408 +g1266 +tp3648 +a(g431 +VHw +p3649 +tp3650 +a(g822 +g990 +tp3651 +a(g408 +g1123 +tp3652 +a(g822 +g990 +tp3653 +a(g431 +g1052 +tp3654 +a(g822 +g990 +tp3655 +a(g431 +g1033 +tp3656 +a(g408 +g1274 +tp3657 +a(g408 +g1030 +tp3658 +a(g822 +g990 +tp3659 +a(g740 +Vfrom +p3660 +tp3661 +a(g822 +g990 +tp3662 +a(g431 +VH2 +p3663 +tp3664 +a(g408 +g1030 +tp3665 +a(g822 +V\u000a +p3666 +tp3667 +a(g431 +VH1 +p3668 +tp3669 +a(g822 +g990 +tp3670 +a(g431 +g1033 +tp3671 +a(g822 +g990 +tp3672 +a(g431 +VHw +p3673 +tp3674 +a(g822 +V\u000a\u000a +p3675 +tp3676 +a(g766 +Vtheorem +p3677 +tp3678 +a(g822 +g990 +tp3679 +a(g431 +Vthm19a +p3680 +tp3681 +a(g822 +g990 +tp3682 +a(g408 +g1123 +tp3683 +a(g822 +g990 +tp3684 +a(g408 +g1266 +tp3685 +a(g431 +g1089 +tp3686 +a(g822 +g990 +tp3687 +a(g689 +V\u2228 +p3688 +tp3689 +a(g822 +g990 +tp3690 +a(g689 +g1447 +tp3691 +a(g431 +g1089 +tp3692 +a(g408 +g1274 +tp3693 +a(g822 +g990 +tp3694 +a(g689 +V\u2192 +p3695 +tp3696 +a(g822 +g990 +tp3697 +a(g408 +g1266 +tp3698 +a(g689 +V\u2203 +p3699 +tp3700 +a(g431 +g979 +tp3701 +a(g822 +g990 +tp3702 +a(g408 +g1123 +tp3703 +a(g822 +g990 +tp3704 +a(g431 +g960 +tp3705 +a(g408 +g1030 +tp3706 +a(g822 +g990 +tp3707 +a(g431 +Vtrue +p3708 +tp3709 +a(g408 +g1274 +tp3710 +a(g822 +g990 +tp3711 +a(g689 +V\u2192 +p3712 +tp3713 +a(g822 +g990 +tp3714 +a(g408 +g1266 +tp3715 +a(g431 +g1089 +tp3716 +a(g822 +g990 +tp3717 +a(g689 +V\u2192 +p3718 +tp3719 +a(g822 +g990 +tp3720 +a(g408 +g1266 +tp3721 +a(g689 +V\u2203 +p3722 +tp3723 +a(g431 +g979 +tp3724 +a(g408 +g1030 +tp3725 +a(g822 +g990 +tp3726 +a(g431 +g1052 +tp3727 +a(g822 +g990 +tp3728 +a(g431 +g979 +tp3729 +a(g408 +g1274 +tp3730 +a(g408 +g1274 +tp3731 +a(g822 +g990 +tp3732 +a(g689 +V\u2192 +p3733 +tp3734 +a(g822 +g990 +tp3735 +a(g408 +g1266 +tp3736 +a(g689 +V\u2203 +p3737 +tp3738 +a(g431 +g979 +tp3739 +a(g408 +g1030 +tp3740 +a(g822 +g990 +tp3741 +a(g431 +g1089 +tp3742 +a(g822 +g990 +tp3743 +a(g689 +V\u2192 +p3744 +tp3745 +a(g822 +g990 +tp3746 +a(g431 +g1052 +tp3747 +a(g822 +g990 +tp3748 +a(g431 +g979 +tp3749 +a(g408 +g1274 +tp3750 +a(g822 +g990 +tp3751 +a(g408 +V:= +p3752 +tp3753 +a(g822 +V\u000a +p3754 +tp3755 +a(g740 +Vassume +p3756 +tp3757 +a(g822 +g990 +tp3758 +a(g408 +g1266 +tp3759 +a(g431 +VHem +p3760 +tp3761 +a(g822 +g990 +tp3762 +a(g408 +g1123 +tp3763 +a(g822 +g990 +tp3764 +a(g431 +g1089 +tp3765 +a(g822 +g990 +tp3766 +a(g689 +V\u2228 +p3767 +tp3768 +a(g822 +g990 +tp3769 +a(g689 +g1447 +tp3770 +a(g431 +g1089 +tp3771 +a(g408 +g1274 +tp3772 +a(g822 +g990 +tp3773 +a(g408 +g1266 +tp3774 +a(g431 +VHin +p3775 +tp3776 +a(g822 +g990 +tp3777 +a(g408 +g1123 +tp3778 +a(g822 +g990 +tp3779 +a(g689 +V\u2203 +p3780 +tp3781 +a(g431 +g979 +tp3782 +a(g822 +g990 +tp3783 +a(g408 +g1123 +tp3784 +a(g822 +g990 +tp3785 +a(g431 +g960 +tp3786 +a(g408 +g1030 +tp3787 +a(g822 +g990 +tp3788 +a(g431 +Vtrue +p3789 +tp3790 +a(g408 +g1274 +tp3791 +a(g822 +g990 +tp3792 +a(g408 +g1266 +tp3793 +a(g431 +VH1 +p3794 +tp3795 +a(g822 +g990 +tp3796 +a(g408 +g1123 +tp3797 +a(g822 +g990 +tp3798 +a(g431 +g1089 +tp3799 +a(g822 +g990 +tp3800 +a(g689 +V\u2192 +p3801 +tp3802 +a(g822 +g990 +tp3803 +a(g689 +V\u2203 +p3804 +tp3805 +a(g431 +g979 +tp3806 +a(g408 +g1030 +tp3807 +a(g822 +g990 +tp3808 +a(g431 +g1052 +tp3809 +a(g822 +g990 +tp3810 +a(g431 +g979 +tp3811 +a(g408 +g1274 +tp3812 +a(g408 +g1030 +tp3813 +a(g822 +V\u000a +p3814 +tp3815 +a(g431 +Vor_elim +p3816 +tp3817 +a(g822 +g990 +tp3818 +a(g431 +VHem +p3819 +tp3820 +a(g822 +V\u000a +p3821 +tp3822 +a(g408 +g1266 +tp3823 +a(g740 +Vassume +p3824 +tp3825 +a(g822 +g990 +tp3826 +a(g431 +VHc +p3827 +tp3828 +a(g822 +g990 +tp3829 +a(g408 +g1123 +tp3830 +a(g822 +g990 +tp3831 +a(g431 +g1089 +tp3832 +a(g408 +g1030 +tp3833 +a(g822 +V\u000a +p3834 +tp3835 +a(g740 +Vobtain +p3836 +tp3837 +a(g822 +g990 +tp3838 +a(g408 +g1266 +tp3839 +a(g431 +g1033 +tp3840 +a(g822 +g990 +tp3841 +a(g408 +g1123 +tp3842 +a(g822 +g990 +tp3843 +a(g431 +g960 +tp3844 +a(g408 +g1274 +tp3845 +a(g822 +g990 +tp3846 +a(g408 +g1266 +tp3847 +a(g431 +VHw +p3848 +tp3849 +a(g822 +g990 +tp3850 +a(g408 +g1123 +tp3851 +a(g822 +g990 +tp3852 +a(g431 +g1052 +tp3853 +a(g822 +g990 +tp3854 +a(g431 +g1033 +tp3855 +a(g408 +g1274 +tp3856 +a(g408 +g1030 +tp3857 +a(g822 +g990 +tp3858 +a(g740 +Vfrom +p3859 +tp3860 +a(g822 +g990 +tp3861 +a(g431 +VH1 +p3862 +tp3863 +a(g822 +g990 +tp3864 +a(g431 +VHc +p3865 +tp3866 +a(g408 +g1030 +tp3867 +a(g822 +V\u000a +p3868 +tp3869 +a(g740 +Vhave +p3870 +tp3871 +a(g822 +g990 +tp3872 +a(g431 +VHr +p3873 +tp3874 +a(g822 +g990 +tp3875 +a(g408 +g1123 +tp3876 +a(g822 +g990 +tp3877 +a(g431 +g1089 +tp3878 +a(g822 +g990 +tp3879 +a(g689 +V\u2192 +p3880 +tp3881 +a(g822 +g990 +tp3882 +a(g431 +g1052 +tp3883 +a(g822 +g990 +tp3884 +a(g431 +g1033 +tp3885 +a(g408 +g1030 +tp3886 +a(g822 +g990 +tp3887 +a(g740 +Vfrom +p3888 +tp3889 +a(g822 +g990 +tp3890 +a(g740 +Vassume +p3891 +tp3892 +a(g822 +g990 +tp3893 +a(g431 +VHc +p3894 +tp3895 +a(g408 +g1030 +tp3896 +a(g822 +g990 +tp3897 +a(g431 +VHw +p3898 +tp3899 +a(g408 +g1030 +tp3900 +a(g822 +V\u000a +p3901 +tp3902 +a(g431 +Vexists_intro +p3903 +tp3904 +a(g822 +g990 +tp3905 +a(g431 +g1033 +tp3906 +a(g822 +g990 +tp3907 +a(g431 +VHr +p3908 +tp3909 +a(g408 +g1274 +tp3910 +a(g822 +V\u000a +p3911 +tp3912 +a(g408 +g1266 +tp3913 +a(g740 +Vassume +p3914 +tp3915 +a(g822 +g990 +tp3916 +a(g431 +VHnc +p3917 +tp3918 +a(g822 +g990 +tp3919 +a(g408 +g1123 +tp3920 +a(g822 +g990 +tp3921 +a(g689 +g1447 +tp3922 +a(g431 +g1089 +tp3923 +a(g408 +g1030 +tp3924 +a(g822 +V\u000a +p3925 +tp3926 +a(g740 +Vobtain +p3927 +tp3928 +a(g822 +g990 +tp3929 +a(g408 +g1266 +tp3930 +a(g431 +g1033 +tp3931 +a(g822 +g990 +tp3932 +a(g408 +g1123 +tp3933 +a(g822 +g990 +tp3934 +a(g431 +g960 +tp3935 +a(g408 +g1274 +tp3936 +a(g822 +g990 +tp3937 +a(g408 +g1266 +tp3938 +a(g431 +VHw +p3939 +tp3940 +a(g822 +g990 +tp3941 +a(g408 +g1123 +tp3942 +a(g822 +g990 +tp3943 +a(g431 +Vtrue +p3944 +tp3945 +a(g408 +g1274 +tp3946 +a(g408 +g1030 +tp3947 +a(g822 +g990 +tp3948 +a(g740 +Vfrom +p3949 +tp3950 +a(g822 +g990 +tp3951 +a(g431 +VHin +p3952 +tp3953 +a(g408 +g1030 +tp3954 +a(g822 +V\u000a +p3955 +tp3956 +a(g740 +Vhave +p3957 +tp3958 +a(g822 +g990 +tp3959 +a(g431 +VHr +p3960 +tp3961 +a(g822 +g990 +tp3962 +a(g408 +g1123 +tp3963 +a(g822 +g990 +tp3964 +a(g431 +g1089 +tp3965 +a(g822 +g990 +tp3966 +a(g689 +V\u2192 +p3967 +tp3968 +a(g822 +g990 +tp3969 +a(g431 +g1052 +tp3970 +a(g822 +g990 +tp3971 +a(g431 +g1033 +tp3972 +a(g408 +g1030 +tp3973 +a(g822 +g990 +tp3974 +a(g740 +Vfrom +p3975 +tp3976 +a(g822 +g990 +tp3977 +a(g740 +Vassume +p3978 +tp3979 +a(g822 +g990 +tp3980 +a(g431 +VHc +p3981 +tp3982 +a(g408 +g1030 +tp3983 +a(g822 +g990 +tp3984 +a(g431 +Vabsurd +p3985 +tp3986 +a(g822 +g990 +tp3987 +a(g431 +VHc +p3988 +tp3989 +a(g822 +g990 +tp3990 +a(g431 +VHnc +p3991 +tp3992 +a(g408 +g1030 +tp3993 +a(g822 +V\u000a +p3994 +tp3995 +a(g431 +Vexists_intro +p3996 +tp3997 +a(g822 +g990 +tp3998 +a(g431 +g1033 +tp3999 +a(g822 +g990 +tp4000 +a(g431 +VHr +p4001 +tp4002 +a(g408 +g1274 +tp4003 +a(g822 +V\u000a\u000a +p4004 +tp4005 +a(g766 +Vtheorem +p4006 +tp4007 +a(g822 +g990 +tp4008 +a(g431 +Vthm19b +p4009 +tp4010 +a(g822 +g990 +tp4011 +a(g408 +g1123 +tp4012 +a(g822 +g990 +tp4013 +a(g408 +g1266 +tp4014 +a(g689 +V\u2203 +p4015 +tp4016 +a(g431 +g979 +tp4017 +a(g408 +g1030 +tp4018 +a(g822 +g990 +tp4019 +a(g431 +g1089 +tp4020 +a(g822 +g990 +tp4021 +a(g689 +V\u2192 +p4022 +tp4023 +a(g822 +g990 +tp4024 +a(g431 +g1052 +tp4025 +a(g822 +g990 +tp4026 +a(g431 +g979 +tp4027 +a(g408 +g1274 +tp4028 +a(g822 +g990 +tp4029 +a(g689 +V\u2192 +p4030 +tp4031 +a(g822 +g990 +tp4032 +a(g431 +g1089 +tp4033 +a(g822 +g990 +tp4034 +a(g689 +V\u2192 +p4035 +tp4036 +a(g822 +g990 +tp4037 +a(g408 +g1266 +tp4038 +a(g689 +V\u2203 +p4039 +tp4040 +a(g431 +g979 +tp4041 +a(g408 +g1030 +tp4042 +a(g822 +g990 +tp4043 +a(g431 +g1052 +tp4044 +a(g822 +g990 +tp4045 +a(g431 +g979 +tp4046 +a(g408 +g1274 +tp4047 +a(g822 +g990 +tp4048 +a(g408 +V:= +p4049 +tp4050 +a(g822 +V\u000a +p4051 +tp4052 +a(g740 +Vassume +p4053 +tp4054 +a(g822 +g990 +tp4055 +a(g408 +g1266 +tp4056 +a(g431 +g1840 +tp4057 +a(g822 +g990 +tp4058 +a(g408 +g1123 +tp4059 +a(g822 +g990 +tp4060 +a(g689 +V\u2203 +p4061 +tp4062 +a(g431 +g979 +tp4063 +a(g408 +g1030 +tp4064 +a(g822 +g990 +tp4065 +a(g431 +g1089 +tp4066 +a(g822 +g990 +tp4067 +a(g689 +V\u2192 +p4068 +tp4069 +a(g822 +g990 +tp4070 +a(g431 +g1052 +tp4071 +a(g822 +g990 +tp4072 +a(g431 +g979 +tp4073 +a(g408 +g1274 +tp4074 +a(g822 +g990 +tp4075 +a(g408 +g1266 +tp4076 +a(g431 +VHc +p4077 +tp4078 +a(g822 +g990 +tp4079 +a(g408 +g1123 +tp4080 +a(g822 +g990 +tp4081 +a(g431 +g1089 +tp4082 +a(g408 +g1274 +tp4083 +a(g408 +g1030 +tp4084 +a(g822 +V\u000a +p4085 +tp4086 +a(g740 +Vobtain +p4087 +tp4088 +a(g822 +g990 +tp4089 +a(g408 +g1266 +tp4090 +a(g431 +g1033 +tp4091 +a(g822 +g990 +tp4092 +a(g408 +g1123 +tp4093 +a(g822 +g990 +tp4094 +a(g431 +g960 +tp4095 +a(g408 +g1274 +tp4096 +a(g822 +g990 +tp4097 +a(g408 +g1266 +tp4098 +a(g431 +VHw +p4099 +tp4100 +a(g822 +g990 +tp4101 +a(g408 +g1123 +tp4102 +a(g822 +g990 +tp4103 +a(g431 +g1089 +tp4104 +a(g822 +g990 +tp4105 +a(g689 +V\u2192 +p4106 +tp4107 +a(g822 +g990 +tp4108 +a(g431 +g1052 +tp4109 +a(g822 +g990 +tp4110 +a(g431 +g1033 +tp4111 +a(g408 +g1274 +tp4112 +a(g408 +g1030 +tp4113 +a(g822 +g990 +tp4114 +a(g740 +Vfrom +p4115 +tp4116 +a(g822 +g990 +tp4117 +a(g431 +g1840 +tp4118 +a(g408 +g1030 +tp4119 +a(g822 +V\u000a +p4120 +tp4121 +a(g431 +Vexists_intro +p4122 +tp4123 +a(g822 +g990 +tp4124 +a(g431 +g1033 +tp4125 +a(g822 +g990 +tp4126 +a(g408 +g1266 +tp4127 +a(g431 +VHw +p4128 +tp4129 +a(g822 +g990 +tp4130 +a(g431 +VHc +p4131 +tp4132 +a(g408 +g1274 +tp4133 +a(g822 +V\u000a\u000a +p4134 +tp4135 +a(g766 +Vtheorem +p4136 +tp4137 +a(g822 +g990 +tp4138 +a(g431 +Vthm20a +p4139 +tp4140 +a(g822 +g990 +tp4141 +a(g408 +g1123 +tp4142 +a(g822 +g990 +tp4143 +a(g408 +g1266 +tp4144 +a(g431 +g1089 +tp4145 +a(g822 +g990 +tp4146 +a(g689 +V\u2228 +p4147 +tp4148 +a(g822 +g990 +tp4149 +a(g689 +g1447 +tp4150 +a(g431 +g1089 +tp4151 +a(g408 +g1274 +tp4152 +a(g822 +g990 +tp4153 +a(g689 +V\u2192 +p4154 +tp4155 +a(g822 +g990 +tp4156 +a(g408 +g1266 +tp4157 +a(g689 +V\u2203 +p4158 +tp4159 +a(g431 +g979 +tp4160 +a(g822 +g990 +tp4161 +a(g408 +g1123 +tp4162 +a(g822 +g990 +tp4163 +a(g431 +g960 +tp4164 +a(g408 +g1030 +tp4165 +a(g822 +g990 +tp4166 +a(g431 +Vtrue +p4167 +tp4168 +a(g408 +g1274 +tp4169 +a(g822 +g990 +tp4170 +a(g689 +V\u2192 +p4171 +tp4172 +a(g822 +g990 +tp4173 +a(g408 +g1266 +tp4174 +a(g408 +g1266 +tp4175 +a(g689 +g1447 +tp4176 +a(g689 +V\u2200 +p4177 +tp4178 +a(g431 +g979 +tp4179 +a(g408 +g1030 +tp4180 +a(g822 +g990 +tp4181 +a(g431 +g1052 +tp4182 +a(g822 +g990 +tp4183 +a(g431 +g979 +tp4184 +a(g408 +g1274 +tp4185 +a(g822 +g990 +tp4186 +a(g689 +V\u2192 +p4187 +tp4188 +a(g822 +g990 +tp4189 +a(g689 +V\u2203 +p4190 +tp4191 +a(g431 +g979 +tp4192 +a(g408 +g1030 +tp4193 +a(g822 +g990 +tp4194 +a(g689 +g1447 +tp4195 +a(g431 +g1052 +tp4196 +a(g822 +g990 +tp4197 +a(g431 +g979 +tp4198 +a(g408 +g1274 +tp4199 +a(g822 +g990 +tp4200 +a(g689 +V\u2192 +p4201 +tp4202 +a(g822 +g990 +tp4203 +a(g408 +g1266 +tp4204 +a(g408 +g1266 +tp4205 +a(g689 +V\u2200 +p4206 +tp4207 +a(g431 +g979 +tp4208 +a(g408 +g1030 +tp4209 +a(g822 +g990 +tp4210 +a(g431 +g1052 +tp4211 +a(g822 +g990 +tp4212 +a(g431 +g979 +tp4213 +a(g408 +g1274 +tp4214 +a(g822 +g990 +tp4215 +a(g689 +V\u2192 +p4216 +tp4217 +a(g822 +g990 +tp4218 +a(g431 +g1089 +tp4219 +a(g408 +g1274 +tp4220 +a(g822 +g990 +tp4221 +a(g689 +V\u2192 +p4222 +tp4223 +a(g822 +g990 +tp4224 +a(g408 +g1266 +tp4225 +a(g689 +V\u2203 +p4226 +tp4227 +a(g431 +g979 +tp4228 +a(g408 +g1030 +tp4229 +a(g822 +g990 +tp4230 +a(g431 +g1052 +tp4231 +a(g822 +g990 +tp4232 +a(g431 +g979 +tp4233 +a(g822 +g990 +tp4234 +a(g689 +V\u2192 +p4235 +tp4236 +a(g822 +g990 +tp4237 +a(g431 +g1089 +tp4238 +a(g408 +g1274 +tp4239 +a(g822 +g990 +tp4240 +a(g408 +V:= +p4241 +tp4242 +a(g822 +V\u000a +p4243 +tp4244 +a(g740 +Vassume +p4245 +tp4246 +a(g822 +g990 +tp4247 +a(g431 +VHem +p4248 +tp4249 +a(g822 +g990 +tp4250 +a(g431 +VHin +p4251 +tp4252 +a(g822 +g990 +tp4253 +a(g431 +VHnf +p4254 +tp4255 +a(g822 +g990 +tp4256 +a(g431 +g1840 +tp4257 +a(g408 +g1030 +tp4258 +a(g822 +V\u000a +p4259 +tp4260 +a(g431 +Vor_elim +p4261 +tp4262 +a(g822 +g990 +tp4263 +a(g431 +VHem +p4264 +tp4265 +a(g822 +V\u000a +p4266 +tp4267 +a(g408 +g1266 +tp4268 +a(g740 +Vassume +p4269 +tp4270 +a(g822 +g990 +tp4271 +a(g431 +VHc +p4272 +tp4273 +a(g822 +g990 +tp4274 +a(g408 +g1123 +tp4275 +a(g822 +g990 +tp4276 +a(g431 +g1089 +tp4277 +a(g408 +g1030 +tp4278 +a(g822 +V\u000a +p4279 +tp4280 +a(g740 +Vobtain +p4281 +tp4282 +a(g822 +g990 +tp4283 +a(g408 +g1266 +tp4284 +a(g431 +g1033 +tp4285 +a(g822 +g990 +tp4286 +a(g408 +g1123 +tp4287 +a(g822 +g990 +tp4288 +a(g431 +g960 +tp4289 +a(g408 +g1274 +tp4290 +a(g822 +g990 +tp4291 +a(g408 +g1266 +tp4292 +a(g431 +VHw +p4293 +tp4294 +a(g822 +g990 +tp4295 +a(g408 +g1123 +tp4296 +a(g822 +g990 +tp4297 +a(g431 +Vtrue +p4298 +tp4299 +a(g408 +g1274 +tp4300 +a(g408 +g1030 +tp4301 +a(g822 +g990 +tp4302 +a(g740 +Vfrom +p4303 +tp4304 +a(g822 +g990 +tp4305 +a(g431 +VHin +p4306 +tp4307 +a(g408 +g1030 +tp4308 +a(g822 +V\u000a +p4309 +tp4310 +a(g431 +Vexists_intro +p4311 +tp4312 +a(g822 +g990 +tp4313 +a(g431 +g1033 +tp4314 +a(g822 +g990 +tp4315 +a(g408 +g1266 +tp4316 +a(g740 +Vassume +p4317 +tp4318 +a(g822 +g990 +tp4319 +a(g431 +g1840 +tp4320 +a(g822 +g990 +tp4321 +a(g408 +g1123 +tp4322 +a(g822 +g990 +tp4323 +a(g431 +g1052 +tp4324 +a(g822 +g990 +tp4325 +a(g431 +g1033 +tp4326 +a(g408 +g1030 +tp4327 +a(g822 +g990 +tp4328 +a(g431 +VHc +p4329 +tp4330 +a(g408 +g1274 +tp4331 +a(g408 +g1274 +tp4332 +a(g822 +V\u000a +p4333 +tp4334 +a(g408 +g1266 +tp4335 +a(g740 +Vassume +p4336 +tp4337 +a(g822 +g990 +tp4338 +a(g431 +VHnc +p4339 +tp4340 +a(g822 +g990 +tp4341 +a(g408 +g1123 +tp4342 +a(g822 +g990 +tp4343 +a(g689 +g1447 +tp4344 +a(g431 +g1089 +tp4345 +a(g408 +g1030 +tp4346 +a(g822 +V\u000a +p4347 +tp4348 +a(g740 +Vhave +p4349 +tp4350 +a(g822 +g990 +tp4351 +a(g431 +VH1 +p4352 +tp4353 +a(g822 +g990 +tp4354 +a(g408 +g1123 +tp4355 +a(g822 +g990 +tp4356 +a(g689 +g1447 +tp4357 +a(g408 +g1266 +tp4358 +a(g689 +V\u2200 +p4359 +tp4360 +a(g431 +g979 +tp4361 +a(g408 +g1030 +tp4362 +a(g822 +g990 +tp4363 +a(g431 +g1052 +tp4364 +a(g822 +g990 +tp4365 +a(g431 +g979 +tp4366 +a(g408 +g1274 +tp4367 +a(g408 +g1030 +tp4368 +a(g822 +g990 +tp4369 +a(g740 +Vfrom +p4370 +tp4371 +a(g822 +g990 +tp4372 +a(g431 +Vmt +p4373 +tp4374 +a(g822 +g990 +tp4375 +a(g431 +g1840 +tp4376 +a(g822 +g990 +tp4377 +a(g431 +VHnc +p4378 +tp4379 +a(g408 +g1030 +tp4380 +a(g822 +V\u000a +p4381 +tp4382 +a(g740 +Vhave +p4383 +tp4384 +a(g822 +g990 +tp4385 +a(g431 +VH2 +p4386 +tp4387 +a(g822 +g990 +tp4388 +a(g408 +g1123 +tp4389 +a(g822 +g990 +tp4390 +a(g689 +V\u2203 +p4391 +tp4392 +a(g431 +g979 +tp4393 +a(g408 +g1030 +tp4394 +a(g822 +g990 +tp4395 +a(g689 +g1447 +tp4396 +a(g431 +g1052 +tp4397 +a(g822 +g990 +tp4398 +a(g431 +g979 +tp4399 +a(g408 +g1030 +tp4400 +a(g822 +g990 +tp4401 +a(g740 +Vfrom +p4402 +tp4403 +a(g822 +g990 +tp4404 +a(g431 +VHnf +p4405 +tp4406 +a(g822 +g990 +tp4407 +a(g431 +VH1 +p4408 +tp4409 +a(g408 +g1030 +tp4410 +a(g822 +V\u000a +p4411 +tp4412 +a(g740 +Vobtain +p4413 +tp4414 +a(g822 +g990 +tp4415 +a(g408 +g1266 +tp4416 +a(g431 +g1033 +tp4417 +a(g822 +g990 +tp4418 +a(g408 +g1123 +tp4419 +a(g822 +g990 +tp4420 +a(g431 +g960 +tp4421 +a(g408 +g1274 +tp4422 +a(g822 +g990 +tp4423 +a(g408 +g1266 +tp4424 +a(g431 +VHw +p4425 +tp4426 +a(g822 +g990 +tp4427 +a(g408 +g1123 +tp4428 +a(g822 +g990 +tp4429 +a(g689 +g1447 +tp4430 +a(g431 +g1052 +tp4431 +a(g822 +g990 +tp4432 +a(g431 +g1033 +tp4433 +a(g408 +g1274 +tp4434 +a(g408 +g1030 +tp4435 +a(g822 +g990 +tp4436 +a(g740 +Vfrom +p4437 +tp4438 +a(g822 +g990 +tp4439 +a(g431 +VH2 +p4440 +tp4441 +a(g408 +g1030 +tp4442 +a(g822 +V\u000a +p4443 +tp4444 +a(g431 +Vexists_intro +p4445 +tp4446 +a(g822 +g990 +tp4447 +a(g431 +g1033 +tp4448 +a(g822 +g990 +tp4449 +a(g408 +g1266 +tp4450 +a(g740 +Vassume +p4451 +tp4452 +a(g822 +g990 +tp4453 +a(g431 +g1840 +tp4454 +a(g822 +g990 +tp4455 +a(g408 +g1123 +tp4456 +a(g822 +g990 +tp4457 +a(g431 +g1052 +tp4458 +a(g822 +g990 +tp4459 +a(g431 +g1033 +tp4460 +a(g408 +g1030 +tp4461 +a(g822 +g990 +tp4462 +a(g431 +Vabsurd +p4463 +tp4464 +a(g822 +g990 +tp4465 +a(g431 +g1840 +tp4466 +a(g822 +g990 +tp4467 +a(g431 +VHw +p4468 +tp4469 +a(g408 +g1274 +tp4470 +a(g408 +g1274 +tp4471 +a(g822 +V\u000a\u000a +p4472 +tp4473 +a(g766 +Vtheorem +p4474 +tp4475 +a(g822 +g990 +tp4476 +a(g431 +Vthm20b +p4477 +tp4478 +a(g822 +g990 +tp4479 +a(g408 +g1123 +tp4480 +a(g822 +g990 +tp4481 +a(g408 +g1266 +tp4482 +a(g689 +V\u2203 +p4483 +tp4484 +a(g431 +g979 +tp4485 +a(g408 +g1030 +tp4486 +a(g822 +g990 +tp4487 +a(g431 +g1052 +tp4488 +a(g822 +g990 +tp4489 +a(g431 +g979 +tp4490 +a(g822 +g990 +tp4491 +a(g689 +V\u2192 +p4492 +tp4493 +a(g822 +g990 +tp4494 +a(g431 +g1089 +tp4495 +a(g408 +g1274 +tp4496 +a(g822 +g990 +tp4497 +a(g689 +V\u2192 +p4498 +tp4499 +a(g822 +g990 +tp4500 +a(g408 +g1266 +tp4501 +a(g689 +V\u2200 +p4502 +tp4503 +a(g822 +g990 +tp4504 +a(g431 +g979 +tp4505 +a(g408 +g1030 +tp4506 +a(g822 +g990 +tp4507 +a(g431 +g1052 +tp4508 +a(g822 +g990 +tp4509 +a(g431 +g979 +tp4510 +a(g408 +g1274 +tp4511 +a(g822 +g990 +tp4512 +a(g689 +V\u2192 +p4513 +tp4514 +a(g822 +g990 +tp4515 +a(g431 +g1089 +tp4516 +a(g822 +g990 +tp4517 +a(g408 +V:= +p4518 +tp4519 +a(g822 +V\u000a +p4520 +tp4521 +a(g740 +Vassume +p4522 +tp4523 +a(g822 +g990 +tp4524 +a(g431 +VHex +p4525 +tp4526 +a(g822 +g990 +tp4527 +a(g431 +VHall +p4528 +tp4529 +a(g408 +g1030 +tp4530 +a(g822 +V\u000a +p4531 +tp4532 +a(g740 +Vobtain +p4533 +tp4534 +a(g822 +g990 +tp4535 +a(g408 +g1266 +tp4536 +a(g431 +g1033 +tp4537 +a(g822 +g990 +tp4538 +a(g408 +g1123 +tp4539 +a(g822 +g990 +tp4540 +a(g431 +g960 +tp4541 +a(g408 +g1274 +tp4542 +a(g822 +g990 +tp4543 +a(g408 +g1266 +tp4544 +a(g431 +VHw +p4545 +tp4546 +a(g822 +g990 +tp4547 +a(g408 +g1123 +tp4548 +a(g822 +g990 +tp4549 +a(g431 +g1052 +tp4550 +a(g822 +g990 +tp4551 +a(g431 +g1033 +tp4552 +a(g822 +g990 +tp4553 +a(g689 +V\u2192 +p4554 +tp4555 +a(g822 +g990 +tp4556 +a(g431 +g1089 +tp4557 +a(g408 +g1274 +tp4558 +a(g408 +g1030 +tp4559 +a(g822 +g990 +tp4560 +a(g740 +Vfrom +p4561 +tp4562 +a(g822 +g990 +tp4563 +a(g431 +VHex +p4564 +tp4565 +a(g408 +g1030 +tp4566 +a(g822 +V\u000a +p4567 +tp4568 +a(g431 +VHw +p4569 +tp4570 +a(g822 +g990 +tp4571 +a(g408 +g1266 +tp4572 +a(g431 +VHall +p4573 +tp4574 +a(g822 +g990 +tp4575 +a(g431 +g1033 +tp4576 +a(g408 +g1274 +tp4577 +a(g822 +V\u000a\u000a +p4578 +tp4579 +a(g766 +Vtheorem +p4580 +tp4581 +a(g822 +g990 +tp4582 +a(g431 +Vthm21a +p4583 +tp4584 +a(g822 +g990 +tp4585 +a(g408 +g1123 +tp4586 +a(g822 +g990 +tp4587 +a(g408 +g1266 +tp4588 +a(g689 +V\u2203 +p4589 +tp4590 +a(g431 +g979 +tp4591 +a(g822 +g990 +tp4592 +a(g408 +g1123 +tp4593 +a(g822 +g990 +tp4594 +a(g431 +g960 +tp4595 +a(g408 +g1030 +tp4596 +a(g822 +g990 +tp4597 +a(g431 +Vtrue +p4598 +tp4599 +a(g408 +g1274 +tp4600 +a(g822 +g990 +tp4601 +a(g689 +V\u2192 +p4602 +tp4603 +a(g822 +g990 +tp4604 +a(g408 +g1266 +tp4605 +a(g408 +g1266 +tp4606 +a(g689 +V\u2203 +p4607 +tp4608 +a(g431 +g979 +tp4609 +a(g408 +g1030 +tp4610 +a(g822 +g990 +tp4611 +a(g431 +g1052 +tp4612 +a(g822 +g990 +tp4613 +a(g431 +g979 +tp4614 +a(g408 +g1274 +tp4615 +a(g822 +g990 +tp4616 +a(g689 +V\u2228 +p4617 +tp4618 +a(g822 +g990 +tp4619 +a(g431 +g1089 +tp4620 +a(g408 +g1274 +tp4621 +a(g822 +g990 +tp4622 +a(g689 +V\u2192 +p4623 +tp4624 +a(g822 +g990 +tp4625 +a(g408 +g1266 +tp4626 +a(g689 +V\u2203 +p4627 +tp4628 +a(g431 +g979 +tp4629 +a(g408 +g1030 +tp4630 +a(g822 +g990 +tp4631 +a(g431 +g1052 +tp4632 +a(g822 +g990 +tp4633 +a(g431 +g979 +tp4634 +a(g822 +g990 +tp4635 +a(g689 +V\u2228 +p4636 +tp4637 +a(g822 +g990 +tp4638 +a(g431 +g1089 +tp4639 +a(g408 +g1274 +tp4640 +a(g822 +g990 +tp4641 +a(g408 +V:= +p4642 +tp4643 +a(g822 +V\u000a +p4644 +tp4645 +a(g740 +Vassume +p4646 +tp4647 +a(g822 +g990 +tp4648 +a(g431 +VHin +p4649 +tp4650 +a(g822 +g990 +tp4651 +a(g431 +g1840 +tp4652 +a(g408 +g1030 +tp4653 +a(g822 +V\u000a +p4654 +tp4655 +a(g431 +Vor_elim +p4656 +tp4657 +a(g822 +g990 +tp4658 +a(g431 +g1840 +tp4659 +a(g822 +V\u000a +p4660 +tp4661 +a(g408 +g1266 +tp4662 +a(g740 +Vassume +p4663 +tp4664 +a(g822 +g990 +tp4665 +a(g431 +VHex +p4666 +tp4667 +a(g822 +g990 +tp4668 +a(g408 +g1123 +tp4669 +a(g822 +g990 +tp4670 +a(g689 +V\u2203 +p4671 +tp4672 +a(g431 +g979 +tp4673 +a(g408 +g1030 +tp4674 +a(g822 +g990 +tp4675 +a(g431 +g1052 +tp4676 +a(g822 +g990 +tp4677 +a(g431 +g979 +tp4678 +a(g408 +g1030 +tp4679 +a(g822 +V\u000a +p4680 +tp4681 +a(g740 +Vobtain +p4682 +tp4683 +a(g822 +g990 +tp4684 +a(g408 +g1266 +tp4685 +a(g431 +g1033 +tp4686 +a(g822 +g990 +tp4687 +a(g408 +g1123 +tp4688 +a(g822 +g990 +tp4689 +a(g431 +g960 +tp4690 +a(g408 +g1274 +tp4691 +a(g822 +g990 +tp4692 +a(g408 +g1266 +tp4693 +a(g431 +VHw +p4694 +tp4695 +a(g822 +g990 +tp4696 +a(g408 +g1123 +tp4697 +a(g822 +g990 +tp4698 +a(g431 +g1052 +tp4699 +a(g822 +g990 +tp4700 +a(g431 +g1033 +tp4701 +a(g408 +g1274 +tp4702 +a(g408 +g1030 +tp4703 +a(g822 +g990 +tp4704 +a(g740 +Vfrom +p4705 +tp4706 +a(g822 +g990 +tp4707 +a(g431 +VHex +p4708 +tp4709 +a(g408 +g1030 +tp4710 +a(g822 +V\u000a +p4711 +tp4712 +a(g431 +Vexists_intro +p4713 +tp4714 +a(g822 +g990 +tp4715 +a(g431 +g1033 +tp4716 +a(g822 +g990 +tp4717 +a(g408 +g1266 +tp4718 +a(g431 +Vor_inl +p4719 +tp4720 +a(g822 +g990 +tp4721 +a(g431 +VHw +p4722 +tp4723 +a(g408 +g1274 +tp4724 +a(g408 +g1274 +tp4725 +a(g822 +V\u000a +p4726 +tp4727 +a(g408 +g1266 +tp4728 +a(g740 +Vassume +p4729 +tp4730 +a(g822 +g990 +tp4731 +a(g431 +VHc +p4732 +tp4733 +a(g822 +V +p4734 +tp4735 +a(g408 +g1123 +tp4736 +a(g822 +g990 +tp4737 +a(g431 +g1089 +tp4738 +a(g408 +g1030 +tp4739 +a(g822 +V\u000a +p4740 +tp4741 +a(g740 +Vobtain +p4742 +tp4743 +a(g822 +g990 +tp4744 +a(g408 +g1266 +tp4745 +a(g431 +g1033 +tp4746 +a(g822 +g990 +tp4747 +a(g408 +g1123 +tp4748 +a(g822 +g990 +tp4749 +a(g431 +g960 +tp4750 +a(g408 +g1274 +tp4751 +a(g822 +g990 +tp4752 +a(g408 +g1266 +tp4753 +a(g431 +VHw +p4754 +tp4755 +a(g822 +g990 +tp4756 +a(g408 +g1123 +tp4757 +a(g822 +g990 +tp4758 +a(g431 +Vtrue +p4759 +tp4760 +a(g408 +g1274 +tp4761 +a(g408 +g1030 +tp4762 +a(g822 +g990 +tp4763 +a(g740 +Vfrom +p4764 +tp4765 +a(g822 +g990 +tp4766 +a(g431 +VHin +p4767 +tp4768 +a(g408 +g1030 +tp4769 +a(g822 +V\u000a +p4770 +tp4771 +a(g431 +Vexists_intro +p4772 +tp4773 +a(g822 +g990 +tp4774 +a(g431 +g1033 +tp4775 +a(g822 +g990 +tp4776 +a(g408 +g1266 +tp4777 +a(g431 +Vor_inr +p4778 +tp4779 +a(g822 +g990 +tp4780 +a(g431 +VHc +p4781 +tp4782 +a(g408 +g1274 +tp4783 +a(g408 +g1274 +tp4784 +a(g822 +V\u000a\u000a +p4785 +tp4786 +a(g766 +Vtheorem +p4787 +tp4788 +a(g822 +g990 +tp4789 +a(g431 +Vthm21b +p4790 +tp4791 +a(g822 +g990 +tp4792 +a(g408 +g1123 +tp4793 +a(g822 +g990 +tp4794 +a(g408 +g1266 +tp4795 +a(g689 +V\u2203 +p4796 +tp4797 +a(g431 +g979 +tp4798 +a(g408 +g1030 +tp4799 +a(g822 +g990 +tp4800 +a(g431 +g1052 +tp4801 +a(g822 +g990 +tp4802 +a(g431 +g979 +tp4803 +a(g822 +g990 +tp4804 +a(g689 +V\u2228 +p4805 +tp4806 +a(g822 +g990 +tp4807 +a(g431 +g1089 +tp4808 +a(g408 +g1274 +tp4809 +a(g822 +g990 +tp4810 +a(g689 +V\u2192 +p4811 +tp4812 +a(g822 +g990 +tp4813 +a(g408 +g1266 +tp4814 +a(g408 +g1266 +tp4815 +a(g689 +V\u2203 +p4816 +tp4817 +a(g431 +g979 +tp4818 +a(g408 +g1030 +tp4819 +a(g822 +g990 +tp4820 +a(g431 +g1052 +tp4821 +a(g822 +g990 +tp4822 +a(g431 +g979 +tp4823 +a(g408 +g1274 +tp4824 +a(g822 +g990 +tp4825 +a(g689 +V\u2228 +p4826 +tp4827 +a(g822 +g990 +tp4828 +a(g431 +g1089 +tp4829 +a(g408 +g1274 +tp4830 +a(g822 +g990 +tp4831 +a(g408 +V:= +p4832 +tp4833 +a(g822 +V\u000a +p4834 +tp4835 +a(g740 +Vassume +p4836 +tp4837 +a(g822 +g990 +tp4838 +a(g431 +g1840 +tp4839 +a(g408 +g1030 +tp4840 +a(g822 +V\u000a +p4841 +tp4842 +a(g740 +Vobtain +p4843 +tp4844 +a(g822 +g990 +tp4845 +a(g408 +g1266 +tp4846 +a(g431 +g1033 +tp4847 +a(g822 +g990 +tp4848 +a(g408 +g1123 +tp4849 +a(g822 +g990 +tp4850 +a(g431 +g960 +tp4851 +a(g408 +g1274 +tp4852 +a(g822 +g990 +tp4853 +a(g408 +g1266 +tp4854 +a(g431 +VHw +p4855 +tp4856 +a(g822 +g990 +tp4857 +a(g408 +g1123 +tp4858 +a(g822 +g990 +tp4859 +a(g431 +g1052 +tp4860 +a(g822 +g990 +tp4861 +a(g431 +g1033 +tp4862 +a(g822 +g990 +tp4863 +a(g689 +V\u2228 +p4864 +tp4865 +a(g822 +g990 +tp4866 +a(g431 +g1089 +tp4867 +a(g408 +g1274 +tp4868 +a(g408 +g1030 +tp4869 +a(g822 +g990 +tp4870 +a(g740 +Vfrom +p4871 +tp4872 +a(g822 +g990 +tp4873 +a(g431 +g1840 +tp4874 +a(g408 +g1030 +tp4875 +a(g822 +V\u000a +p4876 +tp4877 +a(g431 +Vor_elim +p4878 +tp4879 +a(g822 +g990 +tp4880 +a(g431 +VHw +p4881 +tp4882 +a(g822 +V\u000a +p4883 +tp4884 +a(g408 +g1266 +tp4885 +a(g740 +Vassume +p4886 +tp4887 +a(g822 +g990 +tp4888 +a(g431 +g1840 +tp4889 +a(g822 +g990 +tp4890 +a(g408 +g1123 +tp4891 +a(g822 +g990 +tp4892 +a(g431 +g1052 +tp4893 +a(g822 +g990 +tp4894 +a(g431 +g1033 +tp4895 +a(g408 +g1030 +tp4896 +a(g822 +g990 +tp4897 +a(g431 +Vor_inl +p4898 +tp4899 +a(g822 +g990 +tp4900 +a(g408 +g1266 +tp4901 +a(g431 +Vexists_intro +p4902 +tp4903 +a(g822 +g990 +tp4904 +a(g431 +g1033 +tp4905 +a(g822 +g990 +tp4906 +a(g431 +g1840 +tp4907 +a(g408 +g1274 +tp4908 +a(g408 +g1274 +tp4909 +a(g822 +V\u000a +p4910 +tp4911 +a(g408 +g1266 +tp4912 +a(g740 +Vassume +p4913 +tp4914 +a(g822 +g990 +tp4915 +a(g431 +VHc +p4916 +tp4917 +a(g822 +g990 +tp4918 +a(g408 +g1123 +tp4919 +a(g822 +g990 +tp4920 +a(g431 +g1089 +tp4921 +a(g408 +g1030 +tp4922 +a(g822 +g990 +tp4923 +a(g431 +Vor_inr +p4924 +tp4925 +a(g822 +g990 +tp4926 +a(g431 +VHc +p4927 +tp4928 +a(g408 +g1274 +tp4929 +a(g822 +V\u000a\u000a +p4930 +tp4931 +a(g766 +Vtheorem +p4932 +tp4933 +a(g822 +g990 +tp4934 +a(g431 +Vthm22a +p4935 +tp4936 +a(g822 +g990 +tp4937 +a(g408 +g1123 +tp4938 +a(g822 +g990 +tp4939 +a(g408 +g1266 +tp4940 +a(g689 +V\u2200 +p4941 +tp4942 +a(g431 +g979 +tp4943 +a(g408 +g1030 +tp4944 +a(g822 +g990 +tp4945 +a(g431 +g1052 +tp4946 +a(g822 +g990 +tp4947 +a(g431 +g979 +tp4948 +a(g408 +g1274 +tp4949 +a(g822 +g990 +tp4950 +a(g689 +V\u2228 +p4951 +tp4952 +a(g822 +g990 +tp4953 +a(g431 +g1089 +tp4954 +a(g822 +g990 +tp4955 +a(g689 +V\u2192 +p4956 +tp4957 +a(g822 +g990 +tp4958 +a(g689 +V\u2200 +p4959 +tp4960 +a(g431 +g979 +tp4961 +a(g408 +g1030 +tp4962 +a(g822 +g990 +tp4963 +a(g431 +g1052 +tp4964 +a(g822 +g990 +tp4965 +a(g431 +g979 +tp4966 +a(g822 +g990 +tp4967 +a(g689 +V\u2228 +p4968 +tp4969 +a(g822 +g990 +tp4970 +a(g431 +g1089 +tp4971 +a(g822 +g990 +tp4972 +a(g408 +V:= +p4973 +tp4974 +a(g822 +V\u000a +p4975 +tp4976 +a(g740 +Vassume +p4977 +tp4978 +a(g822 +g990 +tp4979 +a(g431 +g1840 +tp4980 +a(g408 +g1030 +tp4981 +a(g822 +g990 +tp4982 +a(g740 +Vtake +p4983 +tp4984 +a(g822 +g990 +tp4985 +a(g431 +g979 +tp4986 +a(g408 +g1030 +tp4987 +a(g822 +V\u000a +p4988 +tp4989 +a(g431 +Vor_elim +p4990 +tp4991 +a(g822 +g990 +tp4992 +a(g431 +g1840 +tp4993 +a(g822 +V\u000a +p4994 +tp4995 +a(g408 +g1266 +tp4996 +a(g740 +Vassume +p4997 +tp4998 +a(g822 +g990 +tp4999 +a(g431 +VHl +p5000 +tp5001 +a(g408 +g1030 +tp5002 +a(g822 +g990 +tp5003 +a(g431 +Vor_inl +p5004 +tp5005 +a(g822 +g990 +tp5006 +a(g408 +g1266 +tp5007 +a(g431 +VHl +p5008 +tp5009 +a(g822 +g990 +tp5010 +a(g431 +g979 +tp5011 +a(g408 +g1274 +tp5012 +a(g408 +g1274 +tp5013 +a(g822 +V\u000a +p5014 +tp5015 +a(g408 +g1266 +tp5016 +a(g740 +Vassume +p5017 +tp5018 +a(g822 +g990 +tp5019 +a(g431 +VHr +p5020 +tp5021 +a(g408 +g1030 +tp5022 +a(g822 +g990 +tp5023 +a(g431 +Vor_inr +p5024 +tp5025 +a(g822 +g990 +tp5026 +a(g431 +VHr +p5027 +tp5028 +a(g408 +g1274 +tp5029 +a(g822 +V\u000a\u000a +p5030 +tp5031 +a(g766 +Vtheorem +p5032 +tp5033 +a(g822 +g990 +tp5034 +a(g431 +Vthm22b +p5035 +tp5036 +a(g822 +g990 +tp5037 +a(g408 +g1123 +tp5038 +a(g822 +g990 +tp5039 +a(g408 +g1266 +tp5040 +a(g431 +g1089 +tp5041 +a(g822 +g990 +tp5042 +a(g689 +V\u2228 +p5043 +tp5044 +a(g822 +g990 +tp5045 +a(g689 +g1447 +tp5046 +a(g431 +g1089 +tp5047 +a(g408 +g1274 +tp5048 +a(g822 +g990 +tp5049 +a(g689 +V\u2192 +p5050 +tp5051 +a(g822 +g990 +tp5052 +a(g408 +g1266 +tp5053 +a(g689 +V\u2200 +p5054 +tp5055 +a(g431 +g979 +tp5056 +a(g408 +g1030 +tp5057 +a(g822 +g990 +tp5058 +a(g431 +g1052 +tp5059 +a(g822 +g990 +tp5060 +a(g431 +g979 +tp5061 +a(g822 +g990 +tp5062 +a(g689 +V\u2228 +p5063 +tp5064 +a(g822 +g990 +tp5065 +a(g431 +g1089 +tp5066 +a(g408 +g1274 +tp5067 +a(g822 +g990 +tp5068 +a(g689 +V\u2192 +p5069 +tp5070 +a(g822 +g990 +tp5071 +a(g408 +g1266 +tp5072 +a(g408 +g1266 +tp5073 +a(g689 +V\u2200 +p5074 +tp5075 +a(g431 +g979 +tp5076 +a(g408 +g1030 +tp5077 +a(g822 +g990 +tp5078 +a(g431 +g1052 +tp5079 +a(g822 +g990 +tp5080 +a(g431 +g979 +tp5081 +a(g408 +g1274 +tp5082 +a(g822 +g990 +tp5083 +a(g689 +V\u2228 +p5084 +tp5085 +a(g822 +g990 +tp5086 +a(g431 +g1089 +tp5087 +a(g408 +g1274 +tp5088 +a(g822 +g990 +tp5089 +a(g408 +V:= +p5090 +tp5091 +a(g822 +V\u000a +p5092 +tp5093 +a(g740 +Vassume +p5094 +tp5095 +a(g822 +g990 +tp5096 +a(g431 +VHem +p5097 +tp5098 +a(g822 +g990 +tp5099 +a(g431 +VH1 +p5100 +tp5101 +a(g408 +g1030 +tp5102 +a(g822 +V\u000a +p5103 +tp5104 +a(g431 +Vor_elim +p5105 +tp5106 +a(g822 +g990 +tp5107 +a(g431 +VHem +p5108 +tp5109 +a(g822 +V\u000a +p5110 +tp5111 +a(g408 +g1266 +tp5112 +a(g740 +Vassume +p5113 +tp5114 +a(g822 +g990 +tp5115 +a(g431 +VHc +p5116 +tp5117 +a(g822 +g990 +tp5118 +a(g408 +g1123 +tp5119 +a(g822 +g990 +tp5120 +a(g431 +g1089 +tp5121 +a(g408 +g1030 +tp5122 +a(g822 +V +p5123 +tp5124 +a(g431 +Vor_inr +p5125 +tp5126 +a(g822 +g990 +tp5127 +a(g431 +VHc +p5128 +tp5129 +a(g408 +g1274 +tp5130 +a(g822 +V\u000a +p5131 +tp5132 +a(g408 +g1266 +tp5133 +a(g740 +Vassume +p5134 +tp5135 +a(g822 +g990 +tp5136 +a(g431 +VHnc +p5137 +tp5138 +a(g822 +g990 +tp5139 +a(g408 +g1123 +tp5140 +a(g822 +g990 +tp5141 +a(g689 +g1447 +tp5142 +a(g431 +g1089 +tp5143 +a(g408 +g1030 +tp5144 +a(g822 +V\u000a +p5145 +tp5146 +a(g740 +Vhave +p5147 +tp5148 +a(g822 +g990 +tp5149 +a(g431 +VHx +p5150 +tp5151 +a(g822 +g990 +tp5152 +a(g408 +g1123 +tp5153 +a(g822 +g990 +tp5154 +a(g689 +V\u2200 +p5155 +tp5156 +a(g431 +g979 +tp5157 +a(g408 +g1030 +tp5158 +a(g822 +g990 +tp5159 +a(g431 +g1052 +tp5160 +a(g822 +g990 +tp5161 +a(g431 +g979 +tp5162 +a(g408 +g1030 +tp5163 +a(g822 +g990 +tp5164 +a(g740 +Vfrom +p5165 +tp5166 +a(g822 +V\u000a +p5167 +tp5168 +a(g740 +Vtake +p5169 +tp5170 +a(g822 +g990 +tp5171 +a(g431 +g979 +tp5172 +a(g408 +g1030 +tp5173 +a(g822 +V\u000a +p5174 +tp5175 +a(g740 +Vhave +p5176 +tp5177 +a(g822 +g990 +tp5178 +a(g431 +VH1 +p5179 +tp5180 +a(g822 +g990 +tp5181 +a(g408 +g1123 +tp5182 +a(g822 +g990 +tp5183 +a(g431 +g1052 +tp5184 +a(g822 +g990 +tp5185 +a(g431 +g979 +tp5186 +a(g822 +g990 +tp5187 +a(g689 +V\u2228 +p5188 +tp5189 +a(g822 +g990 +tp5190 +a(g431 +g1089 +tp5191 +a(g408 +g1030 +tp5192 +a(g822 +g990 +tp5193 +a(g740 +Vfrom +p5194 +tp5195 +a(g822 +g990 +tp5196 +a(g431 +VH1 +p5197 +tp5198 +a(g822 +g990 +tp5199 +a(g431 +g979 +tp5200 +a(g408 +g1030 +tp5201 +a(g822 +V\u000a +p5202 +tp5203 +a(g431 +Vresolve_left +p5204 +tp5205 +a(g822 +g990 +tp5206 +a(g431 +VH1 +p5207 +tp5208 +a(g822 +g990 +tp5209 +a(g431 +VHnc +p5210 +tp5211 +a(g408 +g1030 +tp5212 +a(g822 +V\u000a +p5213 +tp5214 +a(g431 +Vor_inl +p5215 +tp5216 +a(g822 +g990 +tp5217 +a(g431 +VHx +p5218 +tp5219 +a(g408 +g1274 +tp5220 +a(g822 +V\u000a\u000a +p5221 +tp5222 +a(g766 +Vtheorem +p5223 +tp5224 +a(g822 +g990 +tp5225 +a(g431 +Vthm23a +p5226 +tp5227 +a(g822 +g990 +tp5228 +a(g408 +g1123 +tp5229 +a(g822 +g990 +tp5230 +a(g408 +g1266 +tp5231 +a(g689 +V\u2203 +p5232 +tp5233 +a(g431 +g979 +tp5234 +a(g408 +g1030 +tp5235 +a(g822 +g990 +tp5236 +a(g431 +g1052 +tp5237 +a(g822 +g990 +tp5238 +a(g431 +g979 +tp5239 +a(g408 +g1274 +tp5240 +a(g822 +g990 +tp5241 +a(g689 +V\u2227 +p5242 +tp5243 +a(g822 +g990 +tp5244 +a(g431 +g1089 +tp5245 +a(g822 +g990 +tp5246 +a(g689 +V\u2192 +p5247 +tp5248 +a(g822 +g990 +tp5249 +a(g408 +g1266 +tp5250 +a(g689 +V\u2203 +p5251 +tp5252 +a(g431 +g979 +tp5253 +a(g408 +g1030 +tp5254 +a(g822 +g990 +tp5255 +a(g431 +g1052 +tp5256 +a(g822 +g990 +tp5257 +a(g431 +g979 +tp5258 +a(g822 +g990 +tp5259 +a(g689 +V\u2227 +p5260 +tp5261 +a(g822 +g990 +tp5262 +a(g431 +g1089 +tp5263 +a(g408 +g1274 +tp5264 +a(g822 +g990 +tp5265 +a(g408 +V:= +p5266 +tp5267 +a(g822 +V\u000a +p5268 +tp5269 +a(g740 +Vassume +p5270 +tp5271 +a(g822 +g990 +tp5272 +a(g431 +g1840 +tp5273 +a(g408 +g1030 +tp5274 +a(g822 +V\u000a +p5275 +tp5276 +a(g740 +Vhave +p5277 +tp5278 +a(g822 +g990 +tp5279 +a(g431 +VHex +p5280 +tp5281 +a(g822 +g990 +tp5282 +a(g408 +g1123 +tp5283 +a(g822 +g990 +tp5284 +a(g689 +V\u2203 +p5285 +tp5286 +a(g431 +g979 +tp5287 +a(g408 +g1030 +tp5288 +a(g822 +g990 +tp5289 +a(g431 +g1052 +tp5290 +a(g822 +g990 +tp5291 +a(g431 +g979 +tp5292 +a(g408 +g1030 +tp5293 +a(g822 +g990 +tp5294 +a(g740 +Vfrom +p5295 +tp5296 +a(g822 +g990 +tp5297 +a(g431 +Vand_elim_left +p5298 +tp5299 +a(g822 +g990 +tp5300 +a(g431 +g1840 +tp5301 +a(g408 +g1030 +tp5302 +a(g822 +V\u000a +p5303 +tp5304 +a(g740 +Vhave +p5305 +tp5306 +a(g822 +g990 +tp5307 +a(g431 +VHc +p5308 +tp5309 +a(g822 +g990 +tp5310 +a(g408 +g1123 +tp5311 +a(g822 +g990 +tp5312 +a(g431 +g1089 +tp5313 +a(g408 +g1030 +tp5314 +a(g822 +g990 +tp5315 +a(g740 +Vfrom +p5316 +tp5317 +a(g822 +g990 +tp5318 +a(g431 +Vand_elim_right +p5319 +tp5320 +a(g822 +g990 +tp5321 +a(g431 +g1840 +tp5322 +a(g408 +g1030 +tp5323 +a(g822 +V\u000a +p5324 +tp5325 +a(g740 +Vobtain +p5326 +tp5327 +a(g822 +g990 +tp5328 +a(g408 +g1266 +tp5329 +a(g431 +g1033 +tp5330 +a(g822 +g990 +tp5331 +a(g408 +g1123 +tp5332 +a(g822 +g990 +tp5333 +a(g431 +g960 +tp5334 +a(g408 +g1274 +tp5335 +a(g822 +g990 +tp5336 +a(g408 +g1266 +tp5337 +a(g431 +VHw +p5338 +tp5339 +a(g822 +g990 +tp5340 +a(g408 +g1123 +tp5341 +a(g822 +g990 +tp5342 +a(g431 +g1052 +tp5343 +a(g822 +g990 +tp5344 +a(g431 +g1033 +tp5345 +a(g408 +g1274 +tp5346 +a(g408 +g1030 +tp5347 +a(g822 +g990 +tp5348 +a(g740 +Vfrom +p5349 +tp5350 +a(g822 +g990 +tp5351 +a(g431 +VHex +p5352 +tp5353 +a(g408 +g1030 +tp5354 +a(g822 +V\u000a +p5355 +tp5356 +a(g431 +Vexists_intro +p5357 +tp5358 +a(g822 +g990 +tp5359 +a(g431 +g1033 +tp5360 +a(g822 +g990 +tp5361 +a(g408 +g1266 +tp5362 +a(g431 +Vand_intro +p5363 +tp5364 +a(g822 +g990 +tp5365 +a(g431 +VHw +p5366 +tp5367 +a(g822 +g990 +tp5368 +a(g431 +VHc +p5369 +tp5370 +a(g408 +g1274 +tp5371 +a(g822 +V\u000a\u000a +p5372 +tp5373 +a(g766 +Vtheorem +p5374 +tp5375 +a(g822 +g990 +tp5376 +a(g431 +Vthm23b +p5377 +tp5378 +a(g822 +g990 +tp5379 +a(g408 +g1123 +tp5380 +a(g822 +g990 +tp5381 +a(g408 +g1266 +tp5382 +a(g689 +V\u2203 +p5383 +tp5384 +a(g431 +g979 +tp5385 +a(g408 +g1030 +tp5386 +a(g822 +g990 +tp5387 +a(g431 +g1052 +tp5388 +a(g822 +g990 +tp5389 +a(g431 +g979 +tp5390 +a(g822 +g990 +tp5391 +a(g689 +V\u2227 +p5392 +tp5393 +a(g822 +g990 +tp5394 +a(g431 +g1089 +tp5395 +a(g408 +g1274 +tp5396 +a(g822 +g990 +tp5397 +a(g689 +V\u2192 +p5398 +tp5399 +a(g822 +g990 +tp5400 +a(g408 +g1266 +tp5401 +a(g689 +V\u2203 +p5402 +tp5403 +a(g431 +g979 +tp5404 +a(g408 +g1030 +tp5405 +a(g822 +g990 +tp5406 +a(g431 +g1052 +tp5407 +a(g822 +g990 +tp5408 +a(g431 +g979 +tp5409 +a(g408 +g1274 +tp5410 +a(g822 +g990 +tp5411 +a(g689 +V\u2227 +p5412 +tp5413 +a(g822 +g990 +tp5414 +a(g431 +g1089 +tp5415 +a(g822 +g990 +tp5416 +a(g408 +V:= +p5417 +tp5418 +a(g822 +V\u000a +p5419 +tp5420 +a(g740 +Vassume +p5421 +tp5422 +a(g822 +g990 +tp5423 +a(g431 +g1840 +tp5424 +a(g408 +g1030 +tp5425 +a(g822 +V\u000a +p5426 +tp5427 +a(g740 +Vobtain +p5428 +tp5429 +a(g822 +g990 +tp5430 +a(g408 +g1266 +tp5431 +a(g431 +g1033 +tp5432 +a(g822 +g990 +tp5433 +a(g408 +g1123 +tp5434 +a(g822 +g990 +tp5435 +a(g431 +g960 +tp5436 +a(g408 +g1274 +tp5437 +a(g822 +g990 +tp5438 +a(g408 +g1266 +tp5439 +a(g431 +VHw +p5440 +tp5441 +a(g822 +g990 +tp5442 +a(g408 +g1123 +tp5443 +a(g822 +g990 +tp5444 +a(g431 +g1052 +tp5445 +a(g822 +g990 +tp5446 +a(g431 +g1033 +tp5447 +a(g822 +g990 +tp5448 +a(g689 +V\u2227 +p5449 +tp5450 +a(g822 +g990 +tp5451 +a(g431 +g1089 +tp5452 +a(g408 +g1274 +tp5453 +a(g408 +g1030 +tp5454 +a(g822 +g990 +tp5455 +a(g740 +Vfrom +p5456 +tp5457 +a(g822 +g990 +tp5458 +a(g431 +g1840 +tp5459 +a(g408 +g1030 +tp5460 +a(g822 +V\u000a +p5461 +tp5462 +a(g740 +Vhave +p5463 +tp5464 +a(g822 +g990 +tp5465 +a(g431 +VHex +p5466 +tp5467 +a(g822 +g990 +tp5468 +a(g408 +g1123 +tp5469 +a(g822 +g990 +tp5470 +a(g689 +V\u2203 +p5471 +tp5472 +a(g431 +g979 +tp5473 +a(g408 +g1030 +tp5474 +a(g822 +g990 +tp5475 +a(g431 +g1052 +tp5476 +a(g822 +g990 +tp5477 +a(g431 +g979 +tp5478 +a(g408 +g1030 +tp5479 +a(g822 +g990 +tp5480 +a(g740 +Vfrom +p5481 +tp5482 +a(g822 +g990 +tp5483 +a(g431 +Vexists_intro +p5484 +tp5485 +a(g822 +g990 +tp5486 +a(g431 +g1033 +tp5487 +a(g822 +g990 +tp5488 +a(g408 +g1266 +tp5489 +a(g431 +Vand_elim_left +p5490 +tp5491 +a(g822 +g990 +tp5492 +a(g431 +VHw +p5493 +tp5494 +a(g408 +g1274 +tp5495 +a(g408 +g1030 +tp5496 +a(g822 +V\u000a +p5497 +tp5498 +a(g431 +Vand_intro +p5499 +tp5500 +a(g822 +g990 +tp5501 +a(g431 +VHex +p5502 +tp5503 +a(g822 +g990 +tp5504 +a(g408 +g1266 +tp5505 +a(g431 +Vand_elim_right +p5506 +tp5507 +a(g822 +g990 +tp5508 +a(g431 +VHw +p5509 +tp5510 +a(g408 +g1274 +tp5511 +a(g822 +V\u000a\u000a +p5512 +tp5513 +a(g766 +Vtheorem +p5514 +tp5515 +a(g822 +g990 +tp5516 +a(g431 +Vthm24a +p5517 +tp5518 +a(g822 +g990 +tp5519 +a(g408 +g1123 +tp5520 +a(g822 +g990 +tp5521 +a(g408 +g1266 +tp5522 +a(g689 +V\u2200 +p5523 +tp5524 +a(g431 +g979 +tp5525 +a(g408 +g1030 +tp5526 +a(g822 +g990 +tp5527 +a(g431 +g1052 +tp5528 +a(g822 +g990 +tp5529 +a(g431 +g979 +tp5530 +a(g408 +g1274 +tp5531 +a(g822 +g990 +tp5532 +a(g689 +V\u2227 +p5533 +tp5534 +a(g822 +g990 +tp5535 +a(g431 +g1089 +tp5536 +a(g822 +g990 +tp5537 +a(g689 +V\u2192 +p5538 +tp5539 +a(g822 +g990 +tp5540 +a(g408 +g1266 +tp5541 +a(g689 +V\u2200 +p5542 +tp5543 +a(g431 +g979 +tp5544 +a(g408 +g1030 +tp5545 +a(g822 +g990 +tp5546 +a(g431 +g1052 +tp5547 +a(g822 +g990 +tp5548 +a(g431 +g979 +tp5549 +a(g822 +g990 +tp5550 +a(g689 +V\u2227 +p5551 +tp5552 +a(g822 +g990 +tp5553 +a(g431 +g1089 +tp5554 +a(g408 +g1274 +tp5555 +a(g822 +g990 +tp5556 +a(g408 +V:= +p5557 +tp5558 +a(g822 +V\u000a +p5559 +tp5560 +a(g740 +Vassume +p5561 +tp5562 +a(g822 +g990 +tp5563 +a(g431 +g1840 +tp5564 +a(g408 +g1030 +tp5565 +a(g822 +g990 +tp5566 +a(g740 +Vtake +p5567 +tp5568 +a(g822 +g990 +tp5569 +a(g431 +g979 +tp5570 +a(g408 +g1030 +tp5571 +a(g822 +V\u000a +p5572 +tp5573 +a(g431 +Vand_intro +p5574 +tp5575 +a(g822 +g990 +tp5576 +a(g408 +g1266 +tp5577 +a(g431 +Vand_elim_left +p5578 +tp5579 +a(g822 +g990 +tp5580 +a(g431 +g1840 +tp5581 +a(g822 +g990 +tp5582 +a(g431 +g979 +tp5583 +a(g408 +g1274 +tp5584 +a(g822 +g990 +tp5585 +a(g408 +g1266 +tp5586 +a(g431 +Vand_elim_right +p5587 +tp5588 +a(g822 +g990 +tp5589 +a(g431 +g1840 +tp5590 +a(g408 +g1274 +tp5591 +a(g822 +V\u000a\u000a +p5592 +tp5593 +a(g766 +Vtheorem +p5594 +tp5595 +a(g822 +g990 +tp5596 +a(g431 +Vthm24b +p5597 +tp5598 +a(g822 +g990 +tp5599 +a(g408 +g1123 +tp5600 +a(g822 +g990 +tp5601 +a(g408 +g1266 +tp5602 +a(g689 +V\u2203 +p5603 +tp5604 +a(g431 +g979 +tp5605 +a(g822 +g990 +tp5606 +a(g408 +g1123 +tp5607 +a(g822 +g990 +tp5608 +a(g431 +g960 +tp5609 +a(g408 +g1030 +tp5610 +a(g822 +g990 +tp5611 +a(g431 +Vtrue +p5612 +tp5613 +a(g408 +g1274 +tp5614 +a(g822 +g990 +tp5615 +a(g689 +V\u2192 +p5616 +tp5617 +a(g822 +g990 +tp5618 +a(g408 +g1266 +tp5619 +a(g689 +V\u2200 +p5620 +tp5621 +a(g431 +g979 +tp5622 +a(g408 +g1030 +tp5623 +a(g822 +g990 +tp5624 +a(g431 +g1052 +tp5625 +a(g822 +g990 +tp5626 +a(g431 +g979 +tp5627 +a(g822 +g990 +tp5628 +a(g689 +V\u2227 +p5629 +tp5630 +a(g822 +g990 +tp5631 +a(g431 +g1089 +tp5632 +a(g408 +g1274 +tp5633 +a(g822 +g990 +tp5634 +a(g689 +V\u2192 +p5635 +tp5636 +a(g822 +g990 +tp5637 +a(g408 +g1266 +tp5638 +a(g689 +V\u2200 +p5639 +tp5640 +a(g431 +g979 +tp5641 +a(g408 +g1030 +tp5642 +a(g822 +g990 +tp5643 +a(g431 +g1052 +tp5644 +a(g822 +g990 +tp5645 +a(g431 +g979 +tp5646 +a(g408 +g1274 +tp5647 +a(g822 +g990 +tp5648 +a(g689 +V\u2227 +p5649 +tp5650 +a(g822 +g990 +tp5651 +a(g431 +g1089 +tp5652 +a(g822 +g990 +tp5653 +a(g408 +V:= +p5654 +tp5655 +a(g822 +V\u000a +p5656 +tp5657 +a(g740 +Vassume +p5658 +tp5659 +a(g822 +g990 +tp5660 +a(g431 +VHin +p5661 +tp5662 +a(g822 +g990 +tp5663 +a(g431 +g1840 +tp5664 +a(g408 +g1030 +tp5665 +a(g822 +V\u000a +p5666 +tp5667 +a(g740 +Vobtain +p5668 +tp5669 +a(g822 +g990 +tp5670 +a(g408 +g1266 +tp5671 +a(g431 +g1033 +tp5672 +a(g822 +g990 +tp5673 +a(g408 +g1123 +tp5674 +a(g822 +g990 +tp5675 +a(g431 +g960 +tp5676 +a(g408 +g1274 +tp5677 +a(g822 +g990 +tp5678 +a(g408 +g1266 +tp5679 +a(g431 +VHw +p5680 +tp5681 +a(g822 +g990 +tp5682 +a(g408 +g1123 +tp5683 +a(g822 +g990 +tp5684 +a(g431 +Vtrue +p5685 +tp5686 +a(g408 +g1274 +tp5687 +a(g408 +g1030 +tp5688 +a(g822 +g990 +tp5689 +a(g740 +Vfrom +p5690 +tp5691 +a(g822 +g990 +tp5692 +a(g431 +VHin +p5693 +tp5694 +a(g408 +g1030 +tp5695 +a(g822 +V\u000a +p5696 +tp5697 +a(g740 +Vhave +p5698 +tp5699 +a(g822 +g990 +tp5700 +a(g431 +VHc +p5701 +tp5702 +a(g822 +g990 +tp5703 +a(g408 +g1123 +tp5704 +a(g822 +g990 +tp5705 +a(g431 +g1089 +tp5706 +a(g408 +g1030 +tp5707 +a(g822 +g990 +tp5708 +a(g740 +Vfrom +p5709 +tp5710 +a(g822 +g990 +tp5711 +a(g431 +Vand_elim_right +p5712 +tp5713 +a(g822 +g990 +tp5714 +a(g408 +g1266 +tp5715 +a(g431 +g1840 +tp5716 +a(g822 +g990 +tp5717 +a(g431 +g1033 +tp5718 +a(g408 +g1274 +tp5719 +a(g408 +g1030 +tp5720 +a(g822 +V\u000a +p5721 +tp5722 +a(g740 +Vhave +p5723 +tp5724 +a(g822 +g990 +tp5725 +a(g431 +VHx +p5726 +tp5727 +a(g822 +g990 +tp5728 +a(g408 +g1123 +tp5729 +a(g822 +g990 +tp5730 +a(g689 +V\u2200 +p5731 +tp5732 +a(g431 +g979 +tp5733 +a(g408 +g1030 +tp5734 +a(g822 +g990 +tp5735 +a(g431 +g1052 +tp5736 +a(g822 +g990 +tp5737 +a(g431 +g979 +tp5738 +a(g408 +g1030 +tp5739 +a(g822 +g990 +tp5740 +a(g740 +Vfrom +p5741 +tp5742 +a(g822 +g990 +tp5743 +a(g740 +Vtake +p5744 +tp5745 +a(g822 +g990 +tp5746 +a(g431 +g979 +tp5747 +a(g408 +g1030 +tp5748 +a(g822 +g990 +tp5749 +a(g431 +Vand_elim_left +p5750 +tp5751 +a(g822 +g990 +tp5752 +a(g408 +g1266 +tp5753 +a(g431 +g1840 +tp5754 +a(g822 +g990 +tp5755 +a(g431 +g979 +tp5756 +a(g408 +g1274 +tp5757 +a(g408 +g1030 +tp5758 +a(g822 +V\u000a +p5759 +tp5760 +a(g431 +Vand_intro +p5761 +tp5762 +a(g822 +g990 +tp5763 +a(g431 +VHx +p5764 +tp5765 +a(g822 +g990 +tp5766 +a(g431 +VHc +p5767 +tp5768 +a(g822 +V\u000a\u000a +p5769 +tp5770 +a(g766 +Vend +p5771 +tp5772 +a(g822 +g990 +tp5773 +a(g901 +V-- of section +p5774 +tp5775 +a(g822 +V\u000a +p5776 +tp5777 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.maql b/tests/examplefiles/output/test.maql new file mode 100644 index 0000000..02d0782 --- /dev/null +++ b/tests/examplefiles/output/test.maql @@ -0,0 +1,3427 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV# MAQL script +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g744 +VCREATE +p960 +tp961 +a(g826 +V +p962 +tp963 +a(g744 +VDATASET +p964 +tp965 +a(g826 +g962 +tp966 +a(g440 +V{dataset.quotes} +p967 +tp968 +a(g826 +g962 +tp969 +a(g744 +VVISUAL +p970 +tp971 +a(g705 +V( +p972 +tp973 +a(g744 +VTITLE +p974 +tp975 +a(g826 +g962 +tp976 +a(g89 +V" +p977 +tp978 +a(g89 +VSt +p979 +tp980 +a(g213 +V\u005c\u005c +p981 +tp982 +a(g89 +Vtock Qu +p983 +tp984 +a(g213 +V\u005ct +p985 +tp986 +a(g89 +Votes Data +p987 +tp988 +a(g89 +g977 +tp989 +a(g705 +V) +p990 +tp991 +a(g705 +V; +p992 +tp993 +a(g826 +V\u000a\u000a +p994 +tp995 +a(g8 +V# A comment +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g744 +VCREATE +p1000 +tp1001 +a(g826 +g962 +tp1002 +a(g744 +VDATASET +p1003 +tp1004 +a(g826 +g962 +tp1005 +a(g440 +V{dataset.quotes} +p1006 +tp1007 +a(g826 +g962 +tp1008 +a(g744 +VVISUAL +p1009 +tp1010 +a(g705 +g972 +tp1011 +a(g744 +VTITLE +p1012 +tp1013 +a(g826 +g962 +tp1014 +a(g89 +g977 +tp1015 +a(g89 +VStock Qu +p1016 +tp1017 +a(g213 +V\u005c" +p1018 +tp1019 +a(g89 +Votes Data +p1020 +tp1021 +a(g89 +g977 +tp1022 +a(g705 +g990 +tp1023 +a(g705 +g992 +tp1024 +a(g826 +V\u000a\u000a +p1025 +tp1026 +a(g744 +VCREATE +p1027 +tp1028 +a(g826 +g962 +tp1029 +a(g744 +VDATASET +p1030 +tp1031 +a(g826 +g962 +tp1032 +a(g440 +V{dataset.quotes} +p1033 +tp1034 +a(g826 +g962 +tp1035 +a(g744 +VVISUAL +p1036 +tp1037 +a(g705 +g972 +tp1038 +a(g744 +VTITLE +p1039 +tp1040 +a(g826 +g962 +tp1041 +a(g89 +g977 +tp1042 +a(g89 +VStock Quotes Data +p1043 +tp1044 +a(g89 +g977 +tp1045 +a(g705 +g990 +tp1046 +a(g705 +g992 +tp1047 +a(g826 +V\u000a\u000a +p1048 +tp1049 +a(g744 +VALTER +p1050 +tp1051 +a(g826 +g962 +tp1052 +a(g744 +VDATASET +p1053 +tp1054 +a(g826 +g962 +tp1055 +a(g440 +V{dataset.quotes} +p1056 +tp1057 +a(g826 +g962 +tp1058 +a(g744 +VADD +p1059 +tp1060 +a(g826 +g962 +tp1061 +a(g440 +V{attribute.sector} +p1062 +tp1063 +a(g705 +g992 +tp1064 +a(g826 +V\u000a\u000a +p1065 +tp1066 +a(g744 +VALTER +p1067 +tp1068 +a(g826 +g962 +tp1069 +a(g744 +VDATASET +p1070 +tp1071 +a(g826 +g962 +tp1072 +a(g440 +V{dataset.quotes} +p1073 +tp1074 +a(g826 +g962 +tp1075 +a(g744 +VDROP +p1076 +tp1077 +a(g826 +g962 +tp1078 +a(g440 +V{attribute.symbol} +p1079 +tp1080 +a(g705 +g992 +tp1081 +a(g826 +V\u000a\u000a +p1082 +tp1083 +a(g744 +VALTER +p1084 +tp1085 +a(g826 +g962 +tp1086 +a(g744 +VDATASET +p1087 +tp1088 +a(g826 +g962 +tp1089 +a(g440 +V{dataset.quotes} +p1090 +tp1091 +a(g826 +g962 +tp1092 +a(g744 +VVISUAL +p1093 +tp1094 +a(g705 +g972 +tp1095 +a(g744 +VTITLE +p1096 +tp1097 +a(g826 +g962 +tp1098 +a(g89 +g977 +tp1099 +a(g89 +VInternal Quotes Data +p1100 +tp1101 +a(g89 +g977 +tp1102 +a(g705 +g990 +tp1103 +a(g705 +g992 +tp1104 +a(g826 +V\u000a\u000a +p1105 +tp1106 +a(g744 +VCREATE +p1107 +tp1108 +a(g826 +g962 +tp1109 +a(g744 +VATTRIBUTE +p1110 +tp1111 +a(g826 +g962 +tp1112 +a(g440 +V{attr.quotes.symbol} +p1113 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g744 +VVISUAL +p1117 +tp1118 +a(g705 +g972 +tp1119 +a(g744 +VTITLE +p1120 +tp1121 +a(g826 +g962 +tp1122 +a(g89 +g977 +tp1123 +a(g89 +VSymbol +p1124 +tp1125 +a(g89 +g977 +tp1126 +a(g705 +V, +p1127 +tp1128 +a(g826 +g962 +tp1129 +a(g744 +VFOLDER +p1130 +tp1131 +a(g826 +g962 +tp1132 +a(g440 +V{folder.quotes.attr} +p1133 +tp1134 +a(g705 +g990 +tp1135 +a(g826 +V\u000a +p1136 +tp1137 +a(g744 +VAS +p1138 +tp1139 +a(g826 +g962 +tp1140 +a(g440 +V{d_quotes_symbol.nm_symbol} +p1141 +tp1142 +a(g705 +g992 +tp1143 +a(g826 +V\u000a\u000a +p1144 +tp1145 +a(g744 +VALTER +p1146 +tp1147 +a(g826 +g962 +tp1148 +a(g744 +VATTRIBUTE +p1149 +tp1150 +a(g826 +g962 +tp1151 +a(g440 +V{attr.quotes.symbol} +p1152 +tp1153 +a(g826 +V\u000a +p1154 +tp1155 +a(g744 +VADD +p1156 +tp1157 +a(g826 +g962 +tp1158 +a(g744 +VLABELS +p1159 +tp1160 +a(g826 +g962 +tp1161 +a(g440 +V{attr.quotes.company} +p1162 +tp1163 +a(g826 +g962 +tp1164 +a(g744 +VVISUAL +p1165 +tp1166 +a(g705 +g972 +tp1167 +a(g744 +VTITLE +p1168 +tp1169 +a(g826 +g962 +tp1170 +a(g89 +g977 +tp1171 +a(g89 +VCompany +p1172 +tp1173 +a(g89 +g977 +tp1174 +a(g705 +g990 +tp1175 +a(g826 +V\u000a +p1176 +tp1177 +a(g744 +VAS +p1178 +tp1179 +a(g826 +g962 +tp1180 +a(g440 +V{d_quotes_symbol.nm_company} +p1181 +tp1182 +a(g705 +g992 +tp1183 +a(g826 +V\u000a\u000a +p1184 +tp1185 +a(g744 +VCREATE +p1186 +tp1187 +a(g826 +g962 +tp1188 +a(g744 +VFACT +p1189 +tp1190 +a(g826 +g962 +tp1191 +a(g440 +V{fact.quotes.open_price} +p1192 +tp1193 +a(g826 +V\u000a +p1194 +tp1195 +a(g744 +VVISUAL +p1196 +tp1197 +a(g705 +g972 +tp1198 +a(g826 +g962 +tp1199 +a(g744 +VTITLE +p1200 +tp1201 +a(g826 +g962 +tp1202 +a(g89 +g977 +tp1203 +a(g89 +VOpen Price +p1204 +tp1205 +a(g89 +g977 +tp1206 +a(g705 +g1127 +tp1207 +a(g826 +g962 +tp1208 +a(g744 +VFOLDER +p1209 +tp1210 +a(g826 +g962 +tp1211 +a(g440 +V{folder.quotes.fact} +p1212 +tp1213 +a(g705 +g990 +tp1214 +a(g826 +g962 +tp1215 +a(g744 +VAS +p1216 +tp1217 +a(g826 +g962 +tp1218 +a(g440 +V{f_quotes.f_open_price} +p1219 +tp1220 +a(g705 +g992 +tp1221 +a(g826 +V\u000a\u000a +p1222 +tp1223 +a(g744 +VALTER +p1224 +tp1225 +a(g826 +g962 +tp1226 +a(g744 +VFACT +p1227 +tp1228 +a(g826 +g962 +tp1229 +a(g440 +V{fact.quotes.open_price} +p1230 +tp1231 +a(g826 +g962 +tp1232 +a(g744 +VADD +p1233 +tp1234 +a(g826 +g962 +tp1235 +a(g440 +V{f_quotes2.f_open_price} +p1236 +tp1237 +a(g705 +g992 +tp1238 +a(g826 +V\u000a\u000a +p1239 +tp1240 +a(g744 +VCREATE +p1241 +tp1242 +a(g826 +g962 +tp1243 +a(g744 +VFOLDER +p1244 +tp1245 +a(g826 +g962 +tp1246 +a(g440 +V{folder.quotes.attr} +p1247 +tp1248 +a(g826 +V\u000a +p1249 +tp1250 +a(g744 +VVISUAL +p1251 +tp1252 +a(g826 +g962 +tp1253 +a(g705 +g972 +tp1254 +a(g826 +g962 +tp1255 +a(g744 +VTITLE +p1256 +tp1257 +a(g826 +g962 +tp1258 +a(g89 +g977 +tp1259 +a(g89 +VStock Quotes Data +p1260 +tp1261 +a(g89 +g977 +tp1262 +a(g705 +g1127 +tp1263 +a(g826 +V\u000a +p1264 +tp1265 +a(g744 +VDESCRIPTION +p1266 +tp1267 +a(g826 +g962 +tp1268 +a(g89 +g977 +tp1269 +a(g89 +VStock quotes data obtained from John Doe etc. +p1270 +tp1271 +a(g89 +g977 +tp1272 +a(g826 +g962 +tp1273 +a(g705 +g990 +tp1274 +a(g826 +V\u000a +p1275 +tp1276 +a(g744 +VTYPE +p1277 +tp1278 +a(g826 +g962 +tp1279 +a(g744 +VATTRIBUTE +p1280 +tp1281 +a(g705 +g992 +tp1282 +a(g826 +V\u000a\u000a +p1283 +tp1284 +a(g744 +VALTER +p1285 +tp1286 +a(g826 +g962 +tp1287 +a(g744 +VDATATYPE +p1288 +tp1289 +a(g826 +g962 +tp1290 +a(g440 +V{d_quotes_symbol.nm_symbol} +p1291 +tp1292 +a(g826 +g962 +tp1293 +a(g744 +VVARCHAR +p1294 +tp1295 +a(g705 +g972 +tp1296 +a(g32 +V4 +p1297 +tp1298 +a(g705 +g990 +tp1299 +a(g705 +g1127 +tp1300 +a(g826 +V\u000a +p1301 +tp1302 +a(g440 +V{d_quotes_symbol.nm_symbol} +p1303 +tp1304 +a(g826 +g962 +tp1305 +a(g744 +VVARCHAR +p1306 +tp1307 +a(g705 +g972 +tp1308 +a(g32 +V80 +p1309 +tp1310 +a(g705 +g990 +tp1311 +a(g705 +g1127 +tp1312 +a(g826 +V\u000a +p1313 +tp1314 +a(g440 +V{f_quotes.f_open_price} +p1315 +tp1316 +a(g826 +g962 +tp1317 +a(g744 +VDECIMAL +p1318 +tp1319 +a(g705 +g972 +tp1320 +a(g32 +V10 +p1321 +tp1322 +a(g705 +g1127 +tp1323 +a(g32 +V2 +p1324 +tp1325 +a(g705 +g990 +tp1326 +a(g705 +g992 +tp1327 +a(g826 +V\u000a\u000a +p1328 +tp1329 +a(g744 +VINCLUDE +p1330 +tp1331 +a(g826 +g962 +tp1332 +a(g744 +VTEMPLATE +p1333 +tp1334 +a(g826 +g962 +tp1335 +a(g89 +g977 +tp1336 +a(g89 +VURN:GOODDATA:DATE +p1337 +tp1338 +a(g89 +g977 +tp1339 +a(g826 +g962 +tp1340 +a(g744 +VMODIFY +p1341 +tp1342 +a(g826 +g962 +tp1343 +a(g705 +g972 +tp1344 +a(g681 +VIDENTIFIER +p1345 +tp1346 +a(g826 +g962 +tp1347 +a(g89 +g977 +tp1348 +a(g89 +Vmy-date +p1349 +tp1350 +a(g89 +g977 +tp1351 +a(g705 +g1127 +tp1352 +a(g826 +g962 +tp1353 +a(g744 +VTITLE +p1354 +tp1355 +a(g826 +g962 +tp1356 +a(g89 +g977 +tp1357 +a(g89 +Vquote +p1358 +tp1359 +a(g89 +g977 +tp1360 +a(g705 +g990 +tp1361 +a(g826 +V\u000a\u000a +p1362 +tp1363 +a(g744 +VALTER +p1364 +tp1365 +a(g826 +g962 +tp1366 +a(g744 +VATTRIBUTE +p1367 +tp1368 +a(g826 +g962 +tp1369 +a(g440 +V{attr.quotes.symbol} +p1370 +tp1371 +a(g826 +g962 +tp1372 +a(g744 +VADD +p1373 +tp1374 +a(g826 +g962 +tp1375 +a(g744 +VKEYS +p1376 +tp1377 +a(g826 +g962 +tp1378 +a(g440 +V{d_quotes_symbol.nm_symbol} +p1379 +tp1380 +a(g826 +g962 +tp1381 +a(g744 +VPRIMARY +p1382 +tp1383 +a(g705 +g992 +tp1384 +a(g826 +V\u000a\u000a +p1385 +tp1386 +a(g744 +VALTER +p1387 +tp1388 +a(g826 +g962 +tp1389 +a(g744 +VATTRIBUTE +p1390 +tp1391 +a(g826 +g962 +tp1392 +a(g440 +V{attr.quotes.symbol} +p1393 +tp1394 +a(g826 +g962 +tp1395 +a(g744 +VDROP +p1396 +tp1397 +a(g826 +g962 +tp1398 +a(g744 +VKEYS +p1399 +tp1400 +a(g826 +g962 +tp1401 +a(g440 +V{d_quotes_symbol.nm_symbol} +p1402 +tp1403 +a(g705 +g992 +tp1404 +a(g826 +V\u000a\u000a +p1405 +tp1406 +a(g744 +VALTER +p1407 +tp1408 +a(g826 +g962 +tp1409 +a(g744 +VFACT +p1410 +tp1411 +a(g826 +g962 +tp1412 +a(g440 +V{fact.quotes.open_price} +p1413 +tp1414 +a(g826 +g962 +tp1415 +a(g744 +VADD +p1416 +tp1417 +a(g826 +g962 +tp1418 +a(g440 +V{f_quotes2.f_open_price} +p1419 +tp1420 +a(g705 +g992 +tp1421 +a(g826 +V\u000a\u000a +p1422 +tp1423 +a(g8 +V# Another comment +p1424 +tp1425 +a(g826 +V\u000a +p1426 +tp1427 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.mask b/tests/examplefiles/output/test.mask new file mode 100644 index 0000000..2d01e3a --- /dev/null +++ b/tests/examplefiles/output/test.mask @@ -0,0 +1,3369 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// comment\u000a +p956 +tp957 +a(g557 +Vh4 +p958 +tp959 +a(g445 +V. +p960 +tp961 +a(g445 +Vclass-1 +p962 +tp963 +a(g573 +V# +p964 +tp965 +a(g573 +Vid +p966 +tp967 +a(g445 +g960 +tp968 +a(g445 +Vclass-2 +p969 +tp970 +a(g445 +g960 +tp971 +a(g445 +Vother +p972 +tp973 +a(g826 +V +p974 +tp975 +a(g666 +Vchecked= +p976 +tp977 +a(g89 +V' +p978 +tp979 +a(g229 +Vt +p980 +tp981 +a(g229 +Vr +p982 +tp983 +a(g229 +Vu +p984 +tp985 +a(g229 +Ve +p986 +tp987 +a(g229 +g978 +tp988 +a(g826 +g974 +tp989 +a(g666 +Vdisabled +p990 +tp991 +a(g826 +g974 +tp992 +a(g666 +Vname = +p993 +tp994 +a(g826 +g974 +tp995 +a(g440 +Vx +p996 +tp997 +a(g826 +g974 +tp998 +a(g666 +Vparam +p999 +tp1000 +a(g826 +g974 +tp1001 +a(g705 +V> +p1002 +tp1003 +a(g826 +g974 +tp1004 +a(g89 +g978 +tp1005 +a(g229 +VE +p1006 +tp1007 +a(g229 +Vn +p1008 +tp1009 +a(g229 +g980 +tp1010 +a(g229 +g986 +tp1011 +a(g229 +g982 +tp1012 +a(g229 +g974 +tp1013 +a(g229 +g974 +tp1014 +a(g229 +g960 +tp1015 +a(g229 +g960 +tp1016 +a(g229 +g978 +tp1017 +a(g826 +V\u000a +p1018 +tp1019 +a(g557 +Vinput +p1020 +tp1021 +a(g826 +g974 +tp1022 +a(g666 +Vplaceholder= +p1023 +tp1024 +a(g440 +VPassword +p1025 +tp1026 +a(g826 +g974 +tp1027 +a(g666 +Vtype= +p1028 +tp1029 +a(g440 +Vpassword +p1030 +tp1031 +a(g826 +g974 +tp1032 +a(g705 +g1002 +tp1033 +a(g826 +V\u000a +p1034 +tp1035 +a(g628 +V:dualbind +p1036 +tp1037 +a(g826 +g974 +tp1038 +a(g666 +Vx-signal= +p1039 +tp1040 +a(g89 +g978 +tp1041 +a(g229 +Vd +p1042 +tp1043 +a(g229 +Vo +p1044 +tp1045 +a(g229 +Vm +p1046 +tp1047 +a(g229 +V: +p1048 +tp1049 +a(g229 +Vc +p1050 +tp1051 +a(g229 +g982 +tp1052 +a(g229 +g986 +tp1053 +a(g229 +Va +p1054 +tp1055 +a(g229 +g980 +tp1056 +a(g229 +g986 +tp1057 +a(g229 +g978 +tp1058 +a(g826 +g974 +tp1059 +a(g666 +Vvalue= +p1060 +tp1061 +a(g440 +Vuser +p1062 +tp1063 +a(g445 +g960 +tp1064 +a(g445 +Vpassord +p1065 +tp1066 +a(g705 +V; +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g628 +V% +p1071 +tp1072 +a(g826 +g974 +tp1073 +a(g666 +Veach= +p1074 +tp1075 +a(g89 +g978 +tp1076 +a(g229 +Vf +p1077 +tp1078 +a(g229 +Vl +p1079 +tp1080 +a(g229 +g1044 +tp1081 +a(g229 +Vw +p1082 +tp1083 +a(g229 +g986 +tp1084 +a(g229 +g982 +tp1085 +a(g229 +Vs +p1086 +tp1087 +a(g229 +g978 +tp1088 +a(g826 +g974 +tp1089 +a(g705 +g1002 +tp1090 +a(g826 +V \u000a +p1091 +tp1092 +a(g557 +Vdiv +p1093 +tp1094 +a(g826 +g974 +tp1095 +a(g666 +Vstyle= +p1096 +tp1097 +a(g229 +g978 +tp1098 +a(g826 +V\u000a +p1099 +tp1100 +a(g681 +Vposition: +p1101 +tp1102 +a(g826 +g974 +tp1103 +a(g503 +Vabsolute +p1104 +tp1105 +a(g705 +g1067 +tp1106 +a(g826 +V\u000a +p1107 +tp1108 +a(g681 +Vdisplay: +p1109 +tp1110 +a(g826 +g974 +tp1111 +a(g503 +Vinline-block +p1112 +tp1113 +a(g705 +g1067 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g681 +Vbackground: +p1117 +tp1118 +a(g826 +g974 +tp1119 +a(g503 +Vurl("image.png") center center no-repeat +p1120 +tp1121 +a(g705 +g1067 +tp1122 +a(g826 +V\u000a +p1123 +tp1124 +a(g229 +g978 +tp1125 +a(g705 +g1067 +tp1126 +a(g826 +V\u000a +p1127 +tp1128 +a(g573 +V#skippedDiv +p1129 +tp1130 +a(g445 +g960 +tp1131 +a(g445 +Vother +p1132 +tp1133 +a(g826 +g974 +tp1134 +a(g705 +V{ +p1135 +tp1136 +a(g826 +V\u000a +p1137 +tp1138 +a(g557 +Vimg +p1139 +tp1140 +a(g826 +g974 +tp1141 +a(g666 +Vsrc= +p1142 +tp1143 +a(g89 +g978 +tp1144 +a(g125 +V~[ +p1145 +tp1146 +a(g125 +Vurl +p1147 +tp1148 +a(g125 +V] +p1149 +tp1150 +a(g229 +g978 +tp1151 +a(g705 +g1067 +tp1152 +a(g826 +V\u000a +p1153 +tp1154 +a(g557 +Vdiv +p1155 +tp1156 +a(g826 +g974 +tp1157 +a(g666 +Vstyle= +p1158 +tp1159 +a(g229 +V" +p1160 +tp1161 +a(g681 +Vtext-align: +p1162 +tp1163 +a(g503 +Vcenter +p1164 +tp1165 +a(g705 +g1067 +tp1166 +a(g229 +g1160 +tp1167 +a(g826 +g974 +tp1168 +a(g705 +g1135 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g89 +g978 +tp1172 +a(g125 +V~[ +p1173 +tp1174 +a(g125 +g1048 +tp1175 +a(g826 +V +p1176 +tp1177 +a(g826 +g974 +tp1178 +a(g650 +V$obj +p1179 +tp1180 +a(g705 +g960 +tp1181 +a(g650 +Vfoo +p1182 +tp1183 +a(g705 +V( +p1184 +tp1185 +a(g157 +V"username" +p1186 +tp1187 +a(g705 +V, +p1188 +tp1189 +a(g826 +g974 +tp1190 +a(g650 +Vname +p1191 +tp1192 +a(g705 +V) +p1193 +tp1194 +a(g826 +g974 +tp1195 +a(g412 +V+ +p1196 +tp1197 +a(g826 +g974 +tp1198 +a(g37 +V2 +p1199 +tp1200 +a(g125 +g1149 +tp1201 +a(g229 +g978 +tp1202 +a(g826 +V\u000a +p1203 +tp1204 +a(g89 +g1160 +tp1205 +a(g125 +V~[ +p1206 +tp1207 +a(g650 +VLocalize: +p1208 +tp1209 +a(g125 +V stringId +p1210 +tp1211 +a(g125 +g1149 +tp1212 +a(g229 +g1160 +tp1213 +a(g826 +V\u000a +p1214 +tp1215 +a(g705 +V} +p1216 +tp1217 +a(g826 +V\u000a\u000a +p1218 +tp1219 +a(g557 +Vp +p1220 +tp1221 +a(g826 +g974 +tp1222 +a(g705 +g1002 +tp1223 +a(g826 +g974 +tp1224 +a(g89 +V""" +p1225 +tp1226 +a(g229 +V\u000a +p1227 +tp1228 +a(g229 +V\u000a +p1229 +tp1230 +a(g229 +g974 +tp1231 +a(g229 +g974 +tp1232 +a(g229 +g974 +tp1233 +a(g229 +g974 +tp1234 +a(g229 +g974 +tp1235 +a(g229 +g974 +tp1236 +a(g229 +g974 +tp1237 +a(g229 +g974 +tp1238 +a(g229 +VH +p1239 +tp1240 +a(g229 +g986 +tp1241 +a(g229 +g1079 +tp1242 +a(g229 +g1079 +tp1243 +a(g229 +g1044 +tp1244 +a(g229 +g974 +tp1245 +a(g229 +g1160 +tp1246 +a(g229 +g1082 +tp1247 +a(g229 +g1044 +tp1248 +a(g229 +g982 +tp1249 +a(g229 +g1079 +tp1250 +a(g229 +g1042 +tp1251 +a(g229 +g1160 +tp1252 +a(g229 +V\u000a +p1253 +tp1254 +a(g229 +g974 +tp1255 +a(g229 +g974 +tp1256 +a(g229 +g974 +tp1257 +a(g229 +g974 +tp1258 +a(g229 +V""" +p1259 +tp1260 +a(g826 +V\u000a\u000a +p1261 +tp1262 +a(g557 +g1220 +tp1263 +a(g826 +g974 +tp1264 +a(g705 +g1002 +tp1265 +a(g826 +g974 +tp1266 +a(g89 +g978 +tp1267 +a(g229 +V\u000a +p1268 +tp1269 +a(g229 +g974 +tp1270 +a(g229 +g974 +tp1271 +a(g229 +g974 +tp1272 +a(g229 +g974 +tp1273 +a(g229 +g974 +tp1274 +a(g229 +g974 +tp1275 +a(g229 +g974 +tp1276 +a(g229 +g974 +tp1277 +a(g229 +g1239 +tp1278 +a(g229 +g986 +tp1279 +a(g229 +g1079 +tp1280 +a(g229 +g1079 +tp1281 +a(g229 +g1044 +tp1282 +a(g229 +g974 +tp1283 +a(g229 +g1160 +tp1284 +a(g229 +g1082 +tp1285 +a(g229 +g1044 +tp1286 +a(g229 +g982 +tp1287 +a(g229 +g1079 +tp1288 +a(g229 +g1042 +tp1289 +a(g229 +g1160 +tp1290 +a(g229 +V\u000a +p1291 +tp1292 +a(g229 +g974 +tp1293 +a(g229 +g974 +tp1294 +a(g229 +g974 +tp1295 +a(g229 +g974 +tp1296 +a(g229 +g978 +tp1297 +a(g826 +V\u000a\u000a +p1298 +tp1299 +a(g557 +g1220 +tp1300 +a(g826 +g974 +tp1301 +a(g705 +g1002 +tp1302 +a(g826 +g974 +tp1303 +a(g89 +g1160 +tp1304 +a(g229 +g1239 +tp1305 +a(g229 +g986 +tp1306 +a(g229 +g1079 +tp1307 +a(g229 +g1079 +tp1308 +a(g229 +g1044 +tp1309 +a(g229 +g974 +tp1310 +a(g229 +g978 +tp1311 +a(g229 +g1082 +tp1312 +a(g229 +g1044 +tp1313 +a(g229 +g982 +tp1314 +a(g229 +g1079 +tp1315 +a(g229 +g1042 +tp1316 +a(g229 +g978 +tp1317 +a(g229 +g1160 +tp1318 +a(g826 +V\u000a\u000a +p1319 +tp1320 +a(g628 +V:customComponent +p1321 +tp1322 +a(g826 +g974 +tp1323 +a(g666 +Vx-value= +p1324 +tp1325 +a(g89 +g978 +tp1326 +a(g229 +g980 +tp1327 +a(g229 +g980 +tp1328 +a(g229 +g978 +tp1329 +a(g705 +g1067 +tp1330 +a(g826 +V\u000a +p1331 +tp1332 +a(g929 +V/* footer > '(c) 2014' */ +p1333 +tp1334 +a(g826 +V\u000a +p1335 +tp1336 +a(g705 +g1216 +tp1337 +a(g826 +V\u000a\u000a +p1338 +tp1339 +a(g445 +V.skippedDiv +p1340 +tp1341 +a(g826 +g974 +tp1342 +a(g705 +g1002 +tp1343 +a(g826 +V\u000a +p1344 +tp1345 +a(g557 +Vspan +p1346 +tp1347 +a(g826 +g974 +tp1348 +a(g705 +g1002 +tp1349 +a(g826 +V\u000a +p1350 +tp1351 +a(g573 +V#skipped +p1352 +tp1353 +a(g826 +g974 +tp1354 +a(g705 +g1002 +tp1355 +a(g826 +V\u000a +p1356 +tp1357 +a(g557 +Vtable +p1358 +tp1359 +a(g826 +g974 +tp1360 +a(g705 +g1002 +tp1361 +a(g826 +V\u000a +p1362 +tp1363 +a(g557 +Vtd +p1364 +tp1365 +a(g826 +g974 +tp1366 +a(g705 +g1002 +tp1367 +a(g826 +V\u000a +p1368 +tp1369 +a(g557 +Vtr +p1370 +tp1371 +a(g826 +g974 +tp1372 +a(g705 +g1002 +tp1373 +a(g826 +g974 +tp1374 +a(g89 +g978 +tp1375 +a(g229 +g1067 +tp1376 +a(g229 +g1193 +tp1377 +a(g229 +g978 +tp1378 +a(g826 +V\u000a\u000a +p1379 +tp1380 +a(g557 +Vbr +p1381 +tp1382 +a(g705 +g1067 +tp1383 +a(g826 +V\u000a +p1384 +tp1385 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.mod b/tests/examplefiles/output/test.mod new file mode 100644 index 0000000..88abc6e --- /dev/null +++ b/tests/examplefiles/output/test.mod @@ -0,0 +1,7198 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV(* LIFO Storage Library\u000a *\u000a * @file LIFO.mod\u000a * LIFO implementation\u000a *\u000a * Universal Dynamic Stack\u000a *\u000a * Author: Benjamin Kowarsch\u000a *\u000a * Copyright (C) 2009 Benjamin Kowarsch. All rights reserved.\u000a *\u000a * License:\u000a *\u000a * Redistribution and use in source and binary forms, with or without\u000a * modification, are permitted provided that the following conditions are met\u000a *\u000a * 1) NO FEES may be charged for the provision of the software. The software\u000a * may NOT be published on websites that contain advertising, unless\u000a * specific prior written permission has been obtained.\u000a *\u000a * 2) Redistributions of source code must retain the above copyright notice,\u000a * this list of conditions and the following disclaimer.\u000a *\u000a * 3) Redistributions in binary form must reproduce the above copyright\u000a * notice, this list of conditions and the following disclaimer in the\u000a * documentation and other materials provided with the distribution.\u000a *\u000a * 4) Neither the author's name nor the names of any contributors may be used\u000a * to endorse or promote products derived from this software without\u000a * specific prior written permission.\u000a *\u000a * 5) Where this list of conditions or the following disclaimer, in part or\u000a * as a whole is overruled or nullified by applicable law, no permission\u000a * is granted to use the software.\u000a *\u000a * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"\u000a * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\u000a * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE\u000a * ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE\u000a * LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR\u000a * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF\u000a * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS\u000a * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN\u000a * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)\u000a * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE\u000a * POSSIBILITY OF SUCH DAMAGE.\u000a * \u000a *) +p956 +tp957 +a(g827 +V\u000a\u000a\u000a +p958 +tp959 +a(g794 +VIMPLEMENTATION +p960 +tp961 +a(g827 +V +p962 +tp963 +a(g8 +V(* OF *) +p964 +tp965 +a(g827 +g962 +tp966 +a(g794 +VMODULE +p967 +tp968 +a(g827 +g962 +tp969 +a(g436 +VLIFO +p970 +tp971 +a(g706 +V; +p972 +tp973 +a(g827 +V\u000a\u000a +p974 +tp975 +a(g794 +VFROM +p976 +tp977 +a(g827 +g962 +tp978 +a(g694 +VSYSTEM +p979 +tp980 +a(g827 +g962 +tp981 +a(g794 +VIMPORT +p982 +tp983 +a(g827 +g962 +tp984 +a(g694 +VADDRESS +p985 +tp986 +a(g706 +V, +p987 +tp988 +a(g827 +g962 +tp989 +a(g694 +VADR +p990 +tp991 +a(g706 +g987 +tp992 +a(g827 +g962 +tp993 +a(g682 +VTSIZE +p994 +tp995 +a(g706 +g972 +tp996 +a(g827 +V\u000a +p997 +tp998 +a(g794 +VFROM +p999 +tp1000 +a(g827 +g962 +tp1001 +a(g436 +VStorage +p1002 +tp1003 +a(g827 +g962 +tp1004 +a(g794 +VIMPORT +p1005 +tp1006 +a(g827 +g962 +tp1007 +a(g436 +VALLOCATE +p1008 +tp1009 +a(g706 +g987 +tp1010 +a(g827 +g962 +tp1011 +a(g436 +VDEALLOCATE +p1012 +tp1013 +a(g706 +g972 +tp1014 +a(g827 +V\u000a\u000a\u000a +p1015 +tp1016 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// Private type : ListEntry\u000a// ---------------------------------------------------------------------------\u000a*) +p1017 +tp1018 +a(g827 +V\u000a +p1019 +tp1020 +a(g794 +VTYPE +p1021 +tp1022 +a(g827 +g962 +tp1023 +a(g436 +VListPtr +p1024 +tp1025 +a(g827 +g962 +tp1026 +a(g413 +V= +p1027 +tp1028 +a(g827 +g962 +tp1029 +a(g794 +VPOINTER +p1030 +tp1031 +a(g827 +g962 +tp1032 +a(g794 +VTO +p1033 +tp1034 +a(g827 +g962 +tp1035 +a(g436 +VListEntry +p1036 +tp1037 +a(g706 +g972 +tp1038 +a(g827 +V\u000a\u000a +p1039 +tp1040 +a(g794 +VTYPE +p1041 +tp1042 +a(g827 +g962 +tp1043 +a(g436 +VListEntry +p1044 +tp1045 +a(g827 +g962 +tp1046 +a(g413 +g1027 +tp1047 +a(g827 +g962 +tp1048 +a(g794 +VRECORD +p1049 +tp1050 +a(g827 +V\u000a +p1051 +tp1052 +a(g827 +V +p1053 +tp1054 +a(g436 +Vvalue +p1055 +tp1056 +a(g827 +g962 +tp1057 +a(g706 +V: +p1058 +tp1059 +a(g827 +g962 +tp1060 +a(g436 +VDataPtr +p1061 +tp1062 +a(g706 +g972 +tp1063 +a(g827 +V\u000a +p1064 +tp1065 +a(g827 +V +p1066 +tp1067 +a(g436 +Vnext +p1068 +tp1069 +a(g827 +V +p1070 +tp1071 +a(g706 +g1058 +tp1072 +a(g827 +g962 +tp1073 +a(g436 +VListPtr +p1074 +tp1075 +a(g827 +V\u000a +p1076 +tp1077 +a(g794 +VEND +p1078 +tp1079 +a(g706 +g972 +tp1080 +a(g827 +g962 +tp1081 +a(g8 +V(* ListEntry *) +p1082 +tp1083 +a(g827 +V\u000a\u000a\u000a +p1084 +tp1085 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// Opaque type : LIFO.Stack\u000a// ---------------------------------------------------------------------------\u000a// CAUTION: Modula-2 does not support the use of variable length array fields\u000a// in records. VLAs can only be implemented using pointer arithmetic which\u000a// means there is no type checking and no boundary checking on the array.\u000a// It also means that array notation cannot be used on the array which makes\u000a// the code difficult to read and maintain. As a result, Modula-2 is less\u000a// safe and less readable than C when it comes to using VLAs. Great care must\u000a// be taken to make sure that the code accessing VLA fields is safe. Boundary\u000a// checks must be inserted manually. Size checks must be inserted manually to\u000a// compensate for the absence of type checks. *) +p1086 +tp1087 +a(g827 +V\u000a\u000a +p1088 +tp1089 +a(g794 +VTYPE +p1090 +tp1091 +a(g827 +g962 +tp1092 +a(g436 +VStack +p1093 +tp1094 +a(g827 +g962 +tp1095 +a(g413 +g1027 +tp1096 +a(g827 +g962 +tp1097 +a(g794 +VPOINTER +p1098 +tp1099 +a(g827 +g962 +tp1100 +a(g794 +VTO +p1101 +tp1102 +a(g827 +g962 +tp1103 +a(g436 +VStackDescriptor +p1104 +tp1105 +a(g706 +g972 +tp1106 +a(g827 +V\u000a\u000a +p1107 +tp1108 +a(g794 +VTYPE +p1109 +tp1110 +a(g827 +g962 +tp1111 +a(g436 +VStackDescriptor +p1112 +tp1113 +a(g827 +g962 +tp1114 +a(g413 +g1027 +tp1115 +a(g827 +g962 +tp1116 +a(g794 +VRECORD +p1117 +tp1118 +a(g827 +V\u000a +p1119 +tp1120 +a(g827 +V +p1121 +tp1122 +a(g436 +Voverflow +p1123 +tp1124 +a(g827 +V +p1125 +tp1126 +a(g706 +g1058 +tp1127 +a(g827 +g962 +tp1128 +a(g436 +VListPtr +p1129 +tp1130 +a(g706 +g972 +tp1131 +a(g827 +V\u000a +p1132 +tp1133 +a(g827 +V +p1134 +tp1135 +a(g436 +VentryCount +p1136 +tp1137 +a(g827 +g962 +tp1138 +a(g706 +g1058 +tp1139 +a(g827 +g962 +tp1140 +a(g436 +VStackSize +p1141 +tp1142 +a(g706 +g972 +tp1143 +a(g827 +V\u000a +p1144 +tp1145 +a(g827 +V +p1146 +tp1147 +a(g436 +VarraySize +p1148 +tp1149 +a(g827 +V +p1150 +tp1151 +a(g706 +g1058 +tp1152 +a(g827 +g962 +tp1153 +a(g436 +VStackSize +p1154 +tp1155 +a(g706 +g972 +tp1156 +a(g827 +V\u000a +p1157 +tp1158 +a(g827 +V +p1159 +tp1160 +a(g436 +Varray +p1161 +tp1162 +a(g827 +V +p1163 +tp1164 +a(g706 +g1058 +tp1165 +a(g827 +g962 +tp1166 +a(g694 +VADDRESS +p1167 +tp1168 +a(g827 +g962 +tp1169 +a(g8 +V(* ARRAY OF DataPtr *) +p1170 +tp1171 +a(g827 +V\u000a +p1172 +tp1173 +a(g794 +VEND +p1174 +tp1175 +a(g706 +g972 +tp1176 +a(g827 +g962 +tp1177 +a(g8 +V(* StackDescriptor *) +p1178 +tp1179 +a(g827 +V\u000a\u000a\u000a +p1180 +tp1181 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.new( initial_size, status )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Creates and returns a new LIFO stack object with an initial capacity of\u000a// . If zero is passed in for , then the stack\u000a// will be created with an initial capacity of LIFO.defaultStackSize. The\u000a// function fails if a value greater than LIFO.maximumStackSize is passed\u000a// in for or if memory could not be allocated.\u000a//\u000a// The initial capacity of a stack is the number of entries that can be stored\u000a// in the stack without enlargement.\u000a//\u000a// The status of the operation is passed back in . *) +p1182 +tp1183 +a(g827 +V\u000a\u000a +p1184 +tp1185 +a(g794 +VPROCEDURE +p1186 +tp1187 +a(g827 +g962 +tp1188 +a(g436 +Vnew +p1189 +tp1190 +a(g827 +g962 +tp1191 +a(g706 +V( +p1192 +tp1193 +a(g827 +g962 +tp1194 +a(g436 +VinitialSize +p1195 +tp1196 +a(g827 +g962 +tp1197 +a(g706 +g1058 +tp1198 +a(g827 +g962 +tp1199 +a(g436 +VStackSize +p1200 +tp1201 +a(g706 +g972 +tp1202 +a(g827 +g962 +tp1203 +a(g794 +VVAR +p1204 +tp1205 +a(g827 +g962 +tp1206 +a(g436 +Vstatus +p1207 +tp1208 +a(g827 +g962 +tp1209 +a(g706 +g1058 +tp1210 +a(g827 +g962 +tp1211 +a(g436 +VStatus +p1212 +tp1213 +a(g827 +g962 +tp1214 +a(g706 +V) +p1215 +tp1216 +a(g827 +g962 +tp1217 +a(g706 +g1058 +tp1218 +a(g827 +g962 +tp1219 +a(g436 +VStack +p1220 +tp1221 +a(g706 +g972 +tp1222 +a(g827 +V\u000a\u000a +p1223 +tp1224 +a(g794 +VVAR +p1225 +tp1226 +a(g827 +V\u000a +p1227 +tp1228 +a(g827 +V +p1229 +tp1230 +a(g436 +VnewStack +p1231 +tp1232 +a(g827 +g962 +tp1233 +a(g706 +g1058 +tp1234 +a(g827 +g962 +tp1235 +a(g436 +VStack +p1236 +tp1237 +a(g706 +g972 +tp1238 +a(g827 +V\u000a +p1239 +tp1240 +a(g827 +V \u000a +p1241 +tp1242 +a(g794 +VBEGIN +p1243 +tp1244 +a(g827 +V\u000a\u000a +p1245 +tp1246 +a(g827 +V +p1247 +tp1248 +a(g8 +V(* zero size means default *) +p1249 +tp1250 +a(g827 +V\u000a +p1251 +tp1252 +a(g827 +V +p1253 +tp1254 +a(g794 +VIF +p1255 +tp1256 +a(g827 +g962 +tp1257 +a(g436 +VinitialSize +p1258 +tp1259 +a(g827 +g962 +tp1260 +a(g413 +g1027 +tp1261 +a(g827 +g962 +tp1262 +a(g37 +V0 +p1263 +tp1264 +a(g827 +g962 +tp1265 +a(g794 +VTHEN +p1266 +tp1267 +a(g827 +V\u000a +p1268 +tp1269 +a(g827 +V +p1270 +tp1271 +a(g436 +VinitialSize +p1272 +tp1273 +a(g827 +g962 +tp1274 +a(g413 +V:= +p1275 +tp1276 +a(g827 +g962 +tp1277 +a(g436 +VdefaultStackSize +p1278 +tp1279 +a(g706 +g972 +tp1280 +a(g827 +V\u000a +p1281 +tp1282 +a(g827 +V +p1283 +tp1284 +a(g794 +VEND +p1285 +tp1286 +a(g706 +g972 +tp1287 +a(g827 +g962 +tp1288 +a(g8 +V(* IF *) +p1289 +tp1290 +a(g827 +V\u000a +p1291 +tp1292 +a(g827 +V \u000a +p1293 +tp1294 +a(g8 +V(* bail out if initial size is too high *) +p1295 +tp1296 +a(g827 +V\u000a +p1297 +tp1298 +a(g827 +V +p1299 +tp1300 +a(g794 +VIF +p1301 +tp1302 +a(g827 +g962 +tp1303 +a(g436 +VinitialSize +p1304 +tp1305 +a(g827 +g962 +tp1306 +a(g413 +V> +p1307 +tp1308 +a(g827 +g962 +tp1309 +a(g436 +VmaximumStackSize +p1310 +tp1311 +a(g827 +g962 +tp1312 +a(g794 +VTHEN +p1313 +tp1314 +a(g827 +V\u000a +p1315 +tp1316 +a(g827 +V +p1317 +tp1318 +a(g436 +Vstatus +p1319 +tp1320 +a(g827 +g962 +tp1321 +a(g413 +V:= +p1322 +tp1323 +a(g827 +g962 +tp1324 +a(g436 +VinvalidSize +p1325 +tp1326 +a(g706 +g972 +tp1327 +a(g827 +V\u000a +p1328 +tp1329 +a(g827 +V +p1330 +tp1331 +a(g794 +VRETURN +p1332 +tp1333 +a(g827 +g962 +tp1334 +a(g682 +VNIL +p1335 +tp1336 +a(g706 +g972 +tp1337 +a(g827 +V\u000a +p1338 +tp1339 +a(g827 +V +p1340 +tp1341 +a(g794 +VEND +p1342 +tp1343 +a(g706 +g972 +tp1344 +a(g827 +g962 +tp1345 +a(g8 +V(* IF *) +p1346 +tp1347 +a(g827 +V\u000a +p1348 +tp1349 +a(g827 +V \u000a +p1350 +tp1351 +a(g8 +V(* allocate new stack object *) +p1352 +tp1353 +a(g827 +V\u000a +p1354 +tp1355 +a(g827 +V +p1356 +tp1357 +a(g436 +VALLOCATE +p1358 +tp1359 +a(g706 +g1192 +tp1360 +a(g436 +VnewStack +p1361 +tp1362 +a(g706 +g987 +tp1363 +a(g827 +g962 +tp1364 +a(g682 +VTSIZE +p1365 +tp1366 +a(g706 +g1192 +tp1367 +a(g436 +VStack +p1368 +tp1369 +a(g706 +g1215 +tp1370 +a(g827 +g962 +tp1371 +a(g413 +V+ +p1372 +tp1373 +a(g827 +g962 +tp1374 +a(g682 +VTSIZE +p1375 +tp1376 +a(g706 +g1192 +tp1377 +a(g436 +VDataPtr +p1378 +tp1379 +a(g706 +g1215 +tp1380 +a(g827 +g962 +tp1381 +a(g413 +V* +p1382 +tp1383 +a(g827 +g962 +tp1384 +a(g706 +g1192 +tp1385 +a(g436 +VinitialSize +p1386 +tp1387 +a(g827 +g962 +tp1388 +a(g413 +V- +p1389 +tp1390 +a(g827 +g962 +tp1391 +a(g37 +V1 +p1392 +tp1393 +a(g706 +g1215 +tp1394 +a(g706 +g1215 +tp1395 +a(g706 +g972 +tp1396 +a(g827 +V\u000a +p1397 +tp1398 +a(g827 +V \u000a +p1399 +tp1400 +a(g8 +V(* bail out if allocation failed *) +p1401 +tp1402 +a(g827 +V\u000a +p1403 +tp1404 +a(g827 +V +p1405 +tp1406 +a(g794 +VIF +p1407 +tp1408 +a(g827 +g962 +tp1409 +a(g436 +VnewStack +p1410 +tp1411 +a(g827 +g962 +tp1412 +a(g413 +g1027 +tp1413 +a(g827 +g962 +tp1414 +a(g682 +VNIL +p1415 +tp1416 +a(g827 +g962 +tp1417 +a(g794 +VTHEN +p1418 +tp1419 +a(g827 +V\u000a +p1420 +tp1421 +a(g827 +V +p1422 +tp1423 +a(g436 +Vstatus +p1424 +tp1425 +a(g827 +g962 +tp1426 +a(g413 +V:= +p1427 +tp1428 +a(g827 +g962 +tp1429 +a(g436 +VallocationFailed +p1430 +tp1431 +a(g706 +g972 +tp1432 +a(g827 +V\u000a +p1433 +tp1434 +a(g827 +V +p1435 +tp1436 +a(g794 +VRETURN +p1437 +tp1438 +a(g827 +g962 +tp1439 +a(g682 +VNIL +p1440 +tp1441 +a(g706 +g972 +tp1442 +a(g827 +V\u000a +p1443 +tp1444 +a(g827 +V +p1445 +tp1446 +a(g794 +VEND +p1447 +tp1448 +a(g706 +g972 +tp1449 +a(g827 +g962 +tp1450 +a(g8 +V(* IF *) +p1451 +tp1452 +a(g827 +V\u000a +p1453 +tp1454 +a(g827 +V \u000a +p1455 +tp1456 +a(g8 +V(* initialise meta data *) +p1457 +tp1458 +a(g827 +V\u000a +p1459 +tp1460 +a(g827 +V +p1461 +tp1462 +a(g436 +VnewStack +p1463 +tp1464 +a(g413 +V^ +p1465 +tp1466 +a(g706 +V. +p1467 +tp1468 +a(g436 +VarraySize +p1469 +tp1470 +a(g827 +g962 +tp1471 +a(g413 +V:= +p1472 +tp1473 +a(g827 +g962 +tp1474 +a(g436 +VinitialSize +p1475 +tp1476 +a(g706 +g972 +tp1477 +a(g827 +V\u000a +p1478 +tp1479 +a(g827 +V +p1480 +tp1481 +a(g436 +VnewStack +p1482 +tp1483 +a(g413 +g1465 +tp1484 +a(g706 +g1467 +tp1485 +a(g436 +VentryCount +p1486 +tp1487 +a(g827 +g962 +tp1488 +a(g413 +V:= +p1489 +tp1490 +a(g827 +g962 +tp1491 +a(g37 +g1263 +tp1492 +a(g706 +g972 +tp1493 +a(g827 +V\u000a +p1494 +tp1495 +a(g827 +V +p1496 +tp1497 +a(g436 +VnewStack +p1498 +tp1499 +a(g413 +g1465 +tp1500 +a(g706 +g1467 +tp1501 +a(g436 +Voverflow +p1502 +tp1503 +a(g827 +g962 +tp1504 +a(g413 +V:= +p1505 +tp1506 +a(g827 +g962 +tp1507 +a(g682 +VNIL +p1508 +tp1509 +a(g706 +g972 +tp1510 +a(g827 +V\u000a +p1511 +tp1512 +a(g827 +V \u000a +p1513 +tp1514 +a(g8 +V(* pass status and new stack to caller *) +p1515 +tp1516 +a(g827 +V\u000a +p1517 +tp1518 +a(g827 +V +p1519 +tp1520 +a(g436 +Vstatus +p1521 +tp1522 +a(g827 +g962 +tp1523 +a(g413 +V:= +p1524 +tp1525 +a(g827 +g962 +tp1526 +a(g436 +Vsuccess +p1527 +tp1528 +a(g706 +g972 +tp1529 +a(g827 +V\u000a +p1530 +tp1531 +a(g827 +V +p1532 +tp1533 +a(g794 +VRETURN +p1534 +tp1535 +a(g827 +g962 +tp1536 +a(g436 +VnewStack +p1537 +tp1538 +a(g827 +V\u000a +p1539 +tp1540 +a(g827 +V \u000a +p1541 +tp1542 +a(g794 +VEND +p1543 +tp1544 +a(g827 +g962 +tp1545 +a(g436 +Vnew +p1546 +tp1547 +a(g706 +g972 +tp1548 +a(g827 +V\u000a\u000a\u000a +p1549 +tp1550 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.push( stack, value, status )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Adds a new entry to the top of stack . The new entry is\u000a// added by reference, no data is copied. However, no entry is added if the\u000a// the stack is full, that is when the number of entries stored in the stack\u000a// has reached LIFO.maximumStackSize. The function fails if NIL is passed in\u000a// for or , or if memory could not be allocated.\u000a//\u000a// New entries are allocated dynamically if the number of entries exceeds the\u000a// initial capacity of the stack.\u000a//\u000a// The status of the operation is passed back in . *) +p1551 +tp1552 +a(g827 +V\u000a\u000a +p1553 +tp1554 +a(g794 +VPROCEDURE +p1555 +tp1556 +a(g827 +g962 +tp1557 +a(g436 +Vpush +p1558 +tp1559 +a(g827 +g962 +tp1560 +a(g706 +g1192 +tp1561 +a(g827 +g962 +tp1562 +a(g794 +VVAR +p1563 +tp1564 +a(g827 +g962 +tp1565 +a(g436 +Vstack +p1566 +tp1567 +a(g827 +g962 +tp1568 +a(g706 +g1058 +tp1569 +a(g827 +g962 +tp1570 +a(g436 +VStack +p1571 +tp1572 +a(g706 +g972 +tp1573 +a(g827 +g962 +tp1574 +a(g436 +Vvalue +p1575 +tp1576 +a(g827 +g962 +tp1577 +a(g706 +g1058 +tp1578 +a(g827 +g962 +tp1579 +a(g436 +VDataPtr +p1580 +tp1581 +a(g706 +g972 +tp1582 +a(g827 +g962 +tp1583 +a(g794 +VVAR +p1584 +tp1585 +a(g827 +g962 +tp1586 +a(g436 +Vstatus +p1587 +tp1588 +a(g827 +g962 +tp1589 +a(g706 +g1058 +tp1590 +a(g827 +g962 +tp1591 +a(g436 +VStatus +p1592 +tp1593 +a(g827 +g962 +tp1594 +a(g706 +g1215 +tp1595 +a(g706 +g972 +tp1596 +a(g827 +V\u000a +p1597 +tp1598 +a(g794 +VVAR +p1599 +tp1600 +a(g827 +V\u000a +p1601 +tp1602 +a(g827 +V +p1603 +tp1604 +a(g436 +VnewEntry +p1605 +tp1606 +a(g827 +g962 +tp1607 +a(g706 +g1058 +tp1608 +a(g827 +g962 +tp1609 +a(g436 +VListPtr +p1610 +tp1611 +a(g706 +g972 +tp1612 +a(g827 +V\u000a +p1613 +tp1614 +a(g827 +V +p1615 +tp1616 +a(g436 +VvaluePtr +p1617 +tp1618 +a(g827 +g962 +tp1619 +a(g706 +g1058 +tp1620 +a(g827 +g962 +tp1621 +a(g794 +VPOINTER +p1622 +tp1623 +a(g827 +g962 +tp1624 +a(g794 +VTO +p1625 +tp1626 +a(g827 +g962 +tp1627 +a(g436 +VDataPtr +p1628 +tp1629 +a(g706 +g972 +tp1630 +a(g827 +V\u000a\u000a +p1631 +tp1632 +a(g794 +VBEGIN +p1633 +tp1634 +a(g827 +V\u000a\u000a +p1635 +tp1636 +a(g827 +V +p1637 +tp1638 +a(g8 +V(* bail out if stack is NIL *) +p1639 +tp1640 +a(g827 +V\u000a +p1641 +tp1642 +a(g827 +V +p1643 +tp1644 +a(g794 +VIF +p1645 +tp1646 +a(g827 +g962 +tp1647 +a(g436 +Vstack +p1648 +tp1649 +a(g827 +g962 +tp1650 +a(g413 +g1027 +tp1651 +a(g827 +g962 +tp1652 +a(g682 +VNIL +p1653 +tp1654 +a(g827 +g962 +tp1655 +a(g794 +VTHEN +p1656 +tp1657 +a(g827 +V\u000a +p1658 +tp1659 +a(g827 +V +p1660 +tp1661 +a(g436 +Vstatus +p1662 +tp1663 +a(g827 +g962 +tp1664 +a(g413 +V:= +p1665 +tp1666 +a(g827 +g962 +tp1667 +a(g436 +VinvalidStack +p1668 +tp1669 +a(g706 +g972 +tp1670 +a(g827 +V\u000a +p1671 +tp1672 +a(g827 +V +p1673 +tp1674 +a(g794 +VRETURN +p1675 +tp1676 +a(g706 +g972 +tp1677 +a(g827 +V\u000a +p1678 +tp1679 +a(g827 +V +p1680 +tp1681 +a(g794 +VEND +p1682 +tp1683 +a(g706 +g972 +tp1684 +a(g827 +g962 +tp1685 +a(g8 +V(* IF *) +p1686 +tp1687 +a(g827 +V\u000a +p1688 +tp1689 +a(g827 +V \u000a +p1690 +tp1691 +a(g8 +V(* bail out if value is NIL *) +p1692 +tp1693 +a(g827 +V\u000a +p1694 +tp1695 +a(g827 +V +p1696 +tp1697 +a(g794 +VIF +p1698 +tp1699 +a(g827 +g962 +tp1700 +a(g436 +Vvalue +p1701 +tp1702 +a(g827 +g962 +tp1703 +a(g413 +g1027 +tp1704 +a(g827 +g962 +tp1705 +a(g682 +VNIL +p1706 +tp1707 +a(g827 +g962 +tp1708 +a(g794 +VTHEN +p1709 +tp1710 +a(g827 +V\u000a +p1711 +tp1712 +a(g827 +V +p1713 +tp1714 +a(g436 +Vstatus +p1715 +tp1716 +a(g827 +g962 +tp1717 +a(g413 +V:= +p1718 +tp1719 +a(g827 +g962 +tp1720 +a(g436 +VinvalidData +p1721 +tp1722 +a(g706 +g972 +tp1723 +a(g827 +V\u000a +p1724 +tp1725 +a(g827 +V +p1726 +tp1727 +a(g794 +VRETURN +p1728 +tp1729 +a(g706 +g972 +tp1730 +a(g827 +V\u000a +p1731 +tp1732 +a(g827 +V +p1733 +tp1734 +a(g794 +VEND +p1735 +tp1736 +a(g706 +g972 +tp1737 +a(g827 +g962 +tp1738 +a(g8 +V(* IF *) +p1739 +tp1740 +a(g827 +V\u000a\u000a +p1741 +tp1742 +a(g827 +V +p1743 +tp1744 +a(g8 +V(* bail out if stack is full *) +p1745 +tp1746 +a(g827 +V\u000a +p1747 +tp1748 +a(g827 +V +p1749 +tp1750 +a(g794 +VIF +p1751 +tp1752 +a(g827 +g962 +tp1753 +a(g436 +Vstack +p1754 +tp1755 +a(g413 +g1465 +tp1756 +a(g706 +g1467 +tp1757 +a(g436 +VentryCount +p1758 +tp1759 +a(g827 +g962 +tp1760 +a(g413 +V>= +p1761 +tp1762 +a(g827 +g962 +tp1763 +a(g436 +VmaximumStackSize +p1764 +tp1765 +a(g827 +g962 +tp1766 +a(g794 +VTHEN +p1767 +tp1768 +a(g827 +V\u000a +p1769 +tp1770 +a(g827 +V +p1771 +tp1772 +a(g436 +Vstatus +p1773 +tp1774 +a(g827 +g962 +tp1775 +a(g413 +V:= +p1776 +tp1777 +a(g827 +g962 +tp1778 +a(g436 +VstackFull +p1779 +tp1780 +a(g706 +g972 +tp1781 +a(g827 +V\u000a +p1782 +tp1783 +a(g827 +V +p1784 +tp1785 +a(g794 +VRETURN +p1786 +tp1787 +a(g706 +g972 +tp1788 +a(g827 +V\u000a +p1789 +tp1790 +a(g827 +V +p1791 +tp1792 +a(g794 +VEND +p1793 +tp1794 +a(g706 +g972 +tp1795 +a(g827 +g962 +tp1796 +a(g8 +V(* IF *) +p1797 +tp1798 +a(g827 +V\u000a\u000a +p1799 +tp1800 +a(g827 +V +p1801 +tp1802 +a(g8 +V(* check if index falls within array segment *) +p1803 +tp1804 +a(g827 +V\u000a +p1805 +tp1806 +a(g827 +V +p1807 +tp1808 +a(g794 +VIF +p1809 +tp1810 +a(g827 +g962 +tp1811 +a(g436 +Vstack +p1812 +tp1813 +a(g413 +g1465 +tp1814 +a(g706 +g1467 +tp1815 +a(g436 +VentryCount +p1816 +tp1817 +a(g827 +g962 +tp1818 +a(g413 +V< +p1819 +tp1820 +a(g827 +g962 +tp1821 +a(g436 +Vstack +p1822 +tp1823 +a(g413 +g1465 +tp1824 +a(g706 +g1467 +tp1825 +a(g436 +VarraySize +p1826 +tp1827 +a(g827 +g962 +tp1828 +a(g794 +VTHEN +p1829 +tp1830 +a(g827 +V\u000a +p1831 +tp1832 +a(g827 +V \u000a +p1833 +tp1834 +a(g8 +V(* store value in array segment *) +p1835 +tp1836 +a(g827 +V\u000a +p1837 +tp1838 +a(g827 +V \u000a +p1839 +tp1840 +a(g8 +V(* stack^.array^[stack^.entryCount] := value; *) +p1841 +tp1842 +a(g827 +V\u000a +p1843 +tp1844 +a(g827 +V +p1845 +tp1846 +a(g436 +VvaluePtr +p1847 +tp1848 +a(g827 +g962 +tp1849 +a(g413 +V:= +p1850 +tp1851 +a(g827 +g962 +tp1852 +a(g694 +VADR +p1853 +tp1854 +a(g706 +g1192 +tp1855 +a(g436 +Vstack +p1856 +tp1857 +a(g413 +g1465 +tp1858 +a(g706 +g1467 +tp1859 +a(g436 +Varray +p1860 +tp1861 +a(g706 +g1215 +tp1862 +a(g827 +g962 +tp1863 +a(g413 +g1372 +tp1864 +a(g827 +g962 +tp1865 +a(g682 +VTSIZE +p1866 +tp1867 +a(g706 +g1192 +tp1868 +a(g436 +VDataPtr +p1869 +tp1870 +a(g706 +g1215 +tp1871 +a(g827 +g962 +tp1872 +a(g413 +g1382 +tp1873 +a(g827 +g962 +tp1874 +a(g436 +Vstack +p1875 +tp1876 +a(g413 +g1465 +tp1877 +a(g706 +g1467 +tp1878 +a(g436 +VentryCount +p1879 +tp1880 +a(g706 +g972 +tp1881 +a(g827 +V\u000a +p1882 +tp1883 +a(g827 +V +p1884 +tp1885 +a(g436 +VvaluePtr +p1886 +tp1887 +a(g413 +g1465 +tp1888 +a(g827 +g962 +tp1889 +a(g413 +V:= +p1890 +tp1891 +a(g827 +g962 +tp1892 +a(g436 +Vvalue +p1893 +tp1894 +a(g706 +g972 +tp1895 +a(g827 +V\u000a +p1896 +tp1897 +a(g827 +V \u000a +p1898 +tp1899 +a(g794 +VELSE +p1900 +tp1901 +a(g827 +g962 +tp1902 +a(g8 +V(* index falls within overflow segment *) +p1903 +tp1904 +a(g827 +V\u000a +p1905 +tp1906 +a(g827 +V \u000a +p1907 +tp1908 +a(g8 +V(* allocate new entry slot *) +p1909 +tp1910 +a(g827 +V\u000a +p1911 +tp1912 +a(g827 +V +p1913 +tp1914 +a(g794 +VNEW +p1915 +tp1916 +a(g706 +g1192 +tp1917 +a(g436 +VnewEntry +p1918 +tp1919 +a(g706 +g1215 +tp1920 +a(g706 +g972 +tp1921 +a(g827 +V\u000a +p1922 +tp1923 +a(g827 +V \u000a +p1924 +tp1925 +a(g8 +V(* bail out if allocation failed *) +p1926 +tp1927 +a(g827 +V\u000a +p1928 +tp1929 +a(g827 +V +p1930 +tp1931 +a(g794 +VIF +p1932 +tp1933 +a(g827 +g962 +tp1934 +a(g436 +VnewEntry +p1935 +tp1936 +a(g827 +g962 +tp1937 +a(g413 +g1027 +tp1938 +a(g827 +g962 +tp1939 +a(g682 +VNIL +p1940 +tp1941 +a(g827 +g962 +tp1942 +a(g794 +VTHEN +p1943 +tp1944 +a(g827 +V\u000a +p1945 +tp1946 +a(g827 +V +p1947 +tp1948 +a(g436 +Vstatus +p1949 +tp1950 +a(g827 +g962 +tp1951 +a(g413 +V:= +p1952 +tp1953 +a(g827 +g962 +tp1954 +a(g436 +VallocationFailed +p1955 +tp1956 +a(g706 +g972 +tp1957 +a(g827 +V\u000a +p1958 +tp1959 +a(g827 +V +p1960 +tp1961 +a(g794 +VRETURN +p1962 +tp1963 +a(g706 +g972 +tp1964 +a(g827 +V\u000a +p1965 +tp1966 +a(g827 +V +p1967 +tp1968 +a(g794 +VEND +p1969 +tp1970 +a(g706 +g972 +tp1971 +a(g827 +g962 +tp1972 +a(g8 +V(* IF *) +p1973 +tp1974 +a(g827 +V\u000a +p1975 +tp1976 +a(g827 +V \u000a +p1977 +tp1978 +a(g8 +V(* initialise new entry *) +p1979 +tp1980 +a(g827 +V\u000a +p1981 +tp1982 +a(g827 +V +p1983 +tp1984 +a(g436 +VnewEntry +p1985 +tp1986 +a(g413 +g1465 +tp1987 +a(g706 +g1467 +tp1988 +a(g436 +Vvalue +p1989 +tp1990 +a(g827 +g962 +tp1991 +a(g413 +V:= +p1992 +tp1993 +a(g827 +g962 +tp1994 +a(g436 +Vvalue +p1995 +tp1996 +a(g706 +g972 +tp1997 +a(g827 +V\u000a +p1998 +tp1999 +a(g827 +V \u000a +p2000 +tp2001 +a(g8 +V(* link new entry into overflow list *) +p2002 +tp2003 +a(g827 +V\u000a +p2004 +tp2005 +a(g827 +V +p2006 +tp2007 +a(g436 +VnewEntry +p2008 +tp2009 +a(g413 +g1465 +tp2010 +a(g706 +g1467 +tp2011 +a(g436 +Vnext +p2012 +tp2013 +a(g827 +g962 +tp2014 +a(g413 +V:= +p2015 +tp2016 +a(g827 +g962 +tp2017 +a(g436 +Vstack +p2018 +tp2019 +a(g413 +g1465 +tp2020 +a(g706 +g1467 +tp2021 +a(g436 +Voverflow +p2022 +tp2023 +a(g706 +g972 +tp2024 +a(g827 +V\u000a +p2025 +tp2026 +a(g827 +V +p2027 +tp2028 +a(g436 +Vstack +p2029 +tp2030 +a(g413 +g1465 +tp2031 +a(g706 +g1467 +tp2032 +a(g436 +Voverflow +p2033 +tp2034 +a(g827 +g962 +tp2035 +a(g413 +V:= +p2036 +tp2037 +a(g827 +g962 +tp2038 +a(g436 +VnewEntry +p2039 +tp2040 +a(g706 +g972 +tp2041 +a(g827 +V\u000a +p2042 +tp2043 +a(g827 +V \u000a +p2044 +tp2045 +a(g794 +VEND +p2046 +tp2047 +a(g706 +g972 +tp2048 +a(g827 +g962 +tp2049 +a(g8 +V(* IF *) +p2050 +tp2051 +a(g827 +V\u000a +p2052 +tp2053 +a(g827 +V \u000a +p2054 +tp2055 +a(g8 +V(* update entry counter *) +p2056 +tp2057 +a(g827 +V\u000a +p2058 +tp2059 +a(g827 +V +p2060 +tp2061 +a(g682 +VINC +p2062 +tp2063 +a(g706 +g1192 +tp2064 +a(g436 +Vstack +p2065 +tp2066 +a(g413 +g1465 +tp2067 +a(g706 +g1467 +tp2068 +a(g436 +VentryCount +p2069 +tp2070 +a(g706 +g1215 +tp2071 +a(g706 +g972 +tp2072 +a(g827 +V\u000a +p2073 +tp2074 +a(g827 +V \u000a +p2075 +tp2076 +a(g8 +V(* pass status to caller *) +p2077 +tp2078 +a(g827 +V\u000a +p2079 +tp2080 +a(g827 +V +p2081 +tp2082 +a(g436 +Vstatus +p2083 +tp2084 +a(g827 +g962 +tp2085 +a(g413 +V:= +p2086 +tp2087 +a(g827 +g962 +tp2088 +a(g436 +Vsuccess +p2089 +tp2090 +a(g706 +g972 +tp2091 +a(g827 +V\u000a +p2092 +tp2093 +a(g827 +V +p2094 +tp2095 +a(g794 +VRETURN +p2096 +tp2097 +a(g827 +V\u000a\u000a +p2098 +tp2099 +a(g794 +VEND +p2100 +tp2101 +a(g827 +g962 +tp2102 +a(g436 +Vpush +p2103 +tp2104 +a(g706 +g972 +tp2105 +a(g827 +V\u000a\u000a\u000a +p2106 +tp2107 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.pop( stack, status )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Removes the top most value from stack and returns it. If the stack\u000a// is empty, that is when the number of entries stored in the stack has\u000a// reached zero, then NIL is returned.\u000a//\u000a// Entries which were allocated dynamically (above the initial capacity) are\u000a// deallocated when their values are popped.\u000a//\u000a// The status of the operation is passed back in . *) +p2108 +tp2109 +a(g827 +V\u000a\u000a +p2110 +tp2111 +a(g794 +VPROCEDURE +p2112 +tp2113 +a(g827 +g962 +tp2114 +a(g436 +Vpop +p2115 +tp2116 +a(g827 +g962 +tp2117 +a(g706 +g1192 +tp2118 +a(g827 +g962 +tp2119 +a(g794 +VVAR +p2120 +tp2121 +a(g827 +g962 +tp2122 +a(g436 +Vstack +p2123 +tp2124 +a(g827 +g962 +tp2125 +a(g706 +g1058 +tp2126 +a(g827 +g962 +tp2127 +a(g436 +VStack +p2128 +tp2129 +a(g706 +g972 +tp2130 +a(g827 +g962 +tp2131 +a(g794 +VVAR +p2132 +tp2133 +a(g827 +g962 +tp2134 +a(g436 +Vstatus +p2135 +tp2136 +a(g827 +g962 +tp2137 +a(g706 +g1058 +tp2138 +a(g827 +g962 +tp2139 +a(g436 +VStatus +p2140 +tp2141 +a(g827 +g962 +tp2142 +a(g706 +g1215 +tp2143 +a(g827 +g962 +tp2144 +a(g706 +g1058 +tp2145 +a(g827 +g962 +tp2146 +a(g436 +VDataPtr +p2147 +tp2148 +a(g706 +g972 +tp2149 +a(g827 +V\u000a\u000a +p2150 +tp2151 +a(g794 +VVAR +p2152 +tp2153 +a(g827 +V\u000a +p2154 +tp2155 +a(g827 +V +p2156 +tp2157 +a(g436 +VthisValue +p2158 +tp2159 +a(g827 +g962 +tp2160 +a(g706 +g1058 +tp2161 +a(g827 +g962 +tp2162 +a(g436 +VDataPtr +p2163 +tp2164 +a(g706 +g972 +tp2165 +a(g827 +V\u000a +p2166 +tp2167 +a(g827 +V +p2168 +tp2169 +a(g436 +VthisEntry +p2170 +tp2171 +a(g827 +g962 +tp2172 +a(g706 +g1058 +tp2173 +a(g827 +g962 +tp2174 +a(g436 +VListPtr +p2175 +tp2176 +a(g706 +g972 +tp2177 +a(g827 +V\u000a +p2178 +tp2179 +a(g827 +V +p2180 +tp2181 +a(g436 +VvaluePtr +p2182 +tp2183 +a(g827 +g962 +tp2184 +a(g706 +g1058 +tp2185 +a(g827 +g962 +tp2186 +a(g794 +VPOINTER +p2187 +tp2188 +a(g827 +g962 +tp2189 +a(g794 +VTO +p2190 +tp2191 +a(g827 +g962 +tp2192 +a(g436 +VDataPtr +p2193 +tp2194 +a(g706 +g972 +tp2195 +a(g827 +V\u000a\u000a +p2196 +tp2197 +a(g794 +VBEGIN +p2198 +tp2199 +a(g827 +V\u000a\u000a +p2200 +tp2201 +a(g827 +V +p2202 +tp2203 +a(g8 +V(* bail out if stack is NIL *) +p2204 +tp2205 +a(g827 +V\u000a +p2206 +tp2207 +a(g827 +V +p2208 +tp2209 +a(g794 +VIF +p2210 +tp2211 +a(g827 +g962 +tp2212 +a(g436 +Vstack +p2213 +tp2214 +a(g827 +g962 +tp2215 +a(g413 +g1027 +tp2216 +a(g827 +g962 +tp2217 +a(g682 +VNIL +p2218 +tp2219 +a(g827 +g962 +tp2220 +a(g794 +VTHEN +p2221 +tp2222 +a(g827 +V\u000a +p2223 +tp2224 +a(g827 +V +p2225 +tp2226 +a(g436 +Vstatus +p2227 +tp2228 +a(g827 +g962 +tp2229 +a(g413 +V:= +p2230 +tp2231 +a(g827 +g962 +tp2232 +a(g436 +VinvalidStack +p2233 +tp2234 +a(g706 +g972 +tp2235 +a(g827 +V\u000a +p2236 +tp2237 +a(g827 +V +p2238 +tp2239 +a(g794 +VRETURN +p2240 +tp2241 +a(g827 +g962 +tp2242 +a(g682 +VNIL +p2243 +tp2244 +a(g706 +g972 +tp2245 +a(g827 +V\u000a +p2246 +tp2247 +a(g827 +V +p2248 +tp2249 +a(g794 +VEND +p2250 +tp2251 +a(g706 +g972 +tp2252 +a(g827 +g962 +tp2253 +a(g8 +V(* IF *) +p2254 +tp2255 +a(g827 +V\u000a +p2256 +tp2257 +a(g827 +V \u000a +p2258 +tp2259 +a(g8 +V(* bail out if stack is empty *) +p2260 +tp2261 +a(g827 +V\u000a +p2262 +tp2263 +a(g827 +V +p2264 +tp2265 +a(g794 +VIF +p2266 +tp2267 +a(g827 +g962 +tp2268 +a(g436 +Vstack +p2269 +tp2270 +a(g413 +g1465 +tp2271 +a(g706 +g1467 +tp2272 +a(g436 +VentryCount +p2273 +tp2274 +a(g827 +g962 +tp2275 +a(g413 +g1027 +tp2276 +a(g827 +g962 +tp2277 +a(g37 +g1263 +tp2278 +a(g827 +g962 +tp2279 +a(g794 +VTHEN +p2280 +tp2281 +a(g827 +V\u000a +p2282 +tp2283 +a(g827 +V +p2284 +tp2285 +a(g436 +Vstatus +p2286 +tp2287 +a(g827 +g962 +tp2288 +a(g413 +V:= +p2289 +tp2290 +a(g827 +g962 +tp2291 +a(g436 +VstackEmpty +p2292 +tp2293 +a(g706 +g972 +tp2294 +a(g827 +V\u000a +p2295 +tp2296 +a(g827 +V +p2297 +tp2298 +a(g794 +VRETURN +p2299 +tp2300 +a(g827 +g962 +tp2301 +a(g682 +VNIL +p2302 +tp2303 +a(g706 +g972 +tp2304 +a(g827 +V\u000a +p2305 +tp2306 +a(g827 +V +p2307 +tp2308 +a(g794 +VEND +p2309 +tp2310 +a(g706 +g972 +tp2311 +a(g827 +g962 +tp2312 +a(g8 +V(* IF *) +p2313 +tp2314 +a(g827 +V\u000a\u000a +p2315 +tp2316 +a(g827 +V +p2317 +tp2318 +a(g682 +VDEC +p2319 +tp2320 +a(g706 +g1192 +tp2321 +a(g436 +Vstack +p2322 +tp2323 +a(g413 +g1465 +tp2324 +a(g706 +g1467 +tp2325 +a(g436 +VentryCount +p2326 +tp2327 +a(g706 +g1215 +tp2328 +a(g706 +g972 +tp2329 +a(g827 +V\u000a +p2330 +tp2331 +a(g827 +V \u000a +p2332 +tp2333 +a(g8 +V(* check if index falls within array segment *) +p2334 +tp2335 +a(g827 +V\u000a +p2336 +tp2337 +a(g827 +V +p2338 +tp2339 +a(g794 +VIF +p2340 +tp2341 +a(g827 +g962 +tp2342 +a(g436 +Vstack +p2343 +tp2344 +a(g413 +g1465 +tp2345 +a(g706 +g1467 +tp2346 +a(g436 +VentryCount +p2347 +tp2348 +a(g827 +g962 +tp2349 +a(g413 +g1819 +tp2350 +a(g827 +g962 +tp2351 +a(g436 +Vstack +p2352 +tp2353 +a(g413 +g1465 +tp2354 +a(g706 +g1467 +tp2355 +a(g436 +VarraySize +p2356 +tp2357 +a(g827 +g962 +tp2358 +a(g794 +VTHEN +p2359 +tp2360 +a(g827 +V\u000a +p2361 +tp2362 +a(g827 +V \u000a +p2363 +tp2364 +a(g8 +V(* obtain value at index entryCount in array segment *) +p2365 +tp2366 +a(g827 +V\u000a +p2367 +tp2368 +a(g827 +V \u000a +p2369 +tp2370 +a(g8 +V(* thisValue := stack^.array^[stack^.entryCount]; *) +p2371 +tp2372 +a(g827 +V\u000a +p2373 +tp2374 +a(g827 +V +p2375 +tp2376 +a(g436 +VvaluePtr +p2377 +tp2378 +a(g827 +g962 +tp2379 +a(g413 +V:= +p2380 +tp2381 +a(g827 +g962 +tp2382 +a(g694 +VADR +p2383 +tp2384 +a(g706 +g1192 +tp2385 +a(g436 +Vstack +p2386 +tp2387 +a(g413 +g1465 +tp2388 +a(g706 +g1467 +tp2389 +a(g436 +Varray +p2390 +tp2391 +a(g706 +g1215 +tp2392 +a(g827 +g962 +tp2393 +a(g413 +g1372 +tp2394 +a(g827 +g962 +tp2395 +a(g682 +VTSIZE +p2396 +tp2397 +a(g706 +g1192 +tp2398 +a(g436 +VDataPtr +p2399 +tp2400 +a(g706 +g1215 +tp2401 +a(g827 +g962 +tp2402 +a(g413 +g1382 +tp2403 +a(g827 +g962 +tp2404 +a(g436 +Vstack +p2405 +tp2406 +a(g413 +g1465 +tp2407 +a(g706 +g1467 +tp2408 +a(g436 +VentryCount +p2409 +tp2410 +a(g706 +g972 +tp2411 +a(g827 +V\u000a +p2412 +tp2413 +a(g827 +V +p2414 +tp2415 +a(g436 +VthisValue +p2416 +tp2417 +a(g827 +g962 +tp2418 +a(g413 +V:= +p2419 +tp2420 +a(g827 +g962 +tp2421 +a(g436 +VvaluePtr +p2422 +tp2423 +a(g413 +g1465 +tp2424 +a(g706 +g972 +tp2425 +a(g827 +V\u000a +p2426 +tp2427 +a(g827 +V \u000a +p2428 +tp2429 +a(g794 +VELSE +p2430 +tp2431 +a(g827 +g962 +tp2432 +a(g8 +V(* index falls within overflow segment *) +p2433 +tp2434 +a(g827 +V\u000a +p2435 +tp2436 +a(g827 +V \u000a +p2437 +tp2438 +a(g8 +V(* obtain value of first entry in overflow list *) +p2439 +tp2440 +a(g827 +V\u000a +p2441 +tp2442 +a(g827 +V +p2443 +tp2444 +a(g436 +VthisValue +p2445 +tp2446 +a(g827 +g962 +tp2447 +a(g413 +V:= +p2448 +tp2449 +a(g827 +g962 +tp2450 +a(g436 +Vstack +p2451 +tp2452 +a(g413 +g1465 +tp2453 +a(g706 +g1467 +tp2454 +a(g436 +Voverflow +p2455 +tp2456 +a(g413 +g1465 +tp2457 +a(g706 +g1467 +tp2458 +a(g436 +Vvalue +p2459 +tp2460 +a(g706 +g972 +tp2461 +a(g827 +V\u000a +p2462 +tp2463 +a(g827 +V \u000a +p2464 +tp2465 +a(g8 +V(* isolate first entry in overflow list *) +p2466 +tp2467 +a(g827 +V\u000a +p2468 +tp2469 +a(g827 +V +p2470 +tp2471 +a(g436 +VthisEntry +p2472 +tp2473 +a(g827 +g962 +tp2474 +a(g413 +V:= +p2475 +tp2476 +a(g827 +g962 +tp2477 +a(g436 +Vstack +p2478 +tp2479 +a(g413 +g1465 +tp2480 +a(g706 +g1467 +tp2481 +a(g436 +Voverflow +p2482 +tp2483 +a(g706 +g972 +tp2484 +a(g827 +V\u000a +p2485 +tp2486 +a(g827 +V +p2487 +tp2488 +a(g436 +Vstack +p2489 +tp2490 +a(g413 +g1465 +tp2491 +a(g706 +g1467 +tp2492 +a(g436 +Voverflow +p2493 +tp2494 +a(g827 +g962 +tp2495 +a(g413 +V:= +p2496 +tp2497 +a(g827 +g962 +tp2498 +a(g436 +Vstack +p2499 +tp2500 +a(g413 +g1465 +tp2501 +a(g706 +g1467 +tp2502 +a(g436 +Voverflow +p2503 +tp2504 +a(g413 +g1465 +tp2505 +a(g706 +g1467 +tp2506 +a(g436 +Vnext +p2507 +tp2508 +a(g706 +g972 +tp2509 +a(g827 +V\u000a +p2510 +tp2511 +a(g827 +V \u000a +p2512 +tp2513 +a(g8 +V(* remove the entry from overflow list *) +p2514 +tp2515 +a(g827 +V\u000a +p2516 +tp2517 +a(g827 +V +p2518 +tp2519 +a(g682 +VDISPOSE +p2520 +tp2521 +a(g706 +g1192 +tp2522 +a(g436 +VthisEntry +p2523 +tp2524 +a(g706 +g1215 +tp2525 +a(g706 +g972 +tp2526 +a(g827 +V\u000a +p2527 +tp2528 +a(g827 +V \u000a +p2529 +tp2530 +a(g794 +VEND +p2531 +tp2532 +a(g706 +g972 +tp2533 +a(g827 +g962 +tp2534 +a(g8 +V(* IF *) +p2535 +tp2536 +a(g827 +V\u000a\u000a +p2537 +tp2538 +a(g827 +V +p2539 +tp2540 +a(g8 +V(* return value and status to caller *) +p2541 +tp2542 +a(g827 +V\u000a +p2543 +tp2544 +a(g827 +V +p2545 +tp2546 +a(g436 +Vstatus +p2547 +tp2548 +a(g827 +g962 +tp2549 +a(g413 +V:= +p2550 +tp2551 +a(g827 +g962 +tp2552 +a(g436 +Vsuccess +p2553 +tp2554 +a(g706 +g972 +tp2555 +a(g827 +V\u000a +p2556 +tp2557 +a(g827 +V +p2558 +tp2559 +a(g794 +VRETURN +p2560 +tp2561 +a(g827 +g962 +tp2562 +a(g436 +VthisValue +p2563 +tp2564 +a(g827 +V\u000a\u000a +p2565 +tp2566 +a(g794 +VEND +p2567 +tp2568 +a(g827 +g962 +tp2569 +a(g436 +Vpop +p2570 +tp2571 +a(g706 +g972 +tp2572 +a(g827 +V\u000a\u000a\u000a +p2573 +tp2574 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.stackSize( stack )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Returns the current capacity of . The current capacity is the total\u000a// number of allocated entries. Returns zero if NIL is passed in for .\u000a*) +p2575 +tp2576 +a(g827 +V\u000a +p2577 +tp2578 +a(g794 +VPROCEDURE +p2579 +tp2580 +a(g827 +g962 +tp2581 +a(g436 +VstackSize +p2582 +tp2583 +a(g706 +g1192 +tp2584 +a(g827 +g962 +tp2585 +a(g794 +VVAR +p2586 +tp2587 +a(g827 +g962 +tp2588 +a(g436 +Vstack +p2589 +tp2590 +a(g827 +g962 +tp2591 +a(g706 +g1058 +tp2592 +a(g827 +g962 +tp2593 +a(g436 +VStack +p2594 +tp2595 +a(g827 +g962 +tp2596 +a(g706 +g1215 +tp2597 +a(g827 +g962 +tp2598 +a(g706 +g1058 +tp2599 +a(g827 +g962 +tp2600 +a(g436 +VStackSize +p2601 +tp2602 +a(g706 +g972 +tp2603 +a(g827 +V\u000a\u000a +p2604 +tp2605 +a(g794 +VBEGIN +p2606 +tp2607 +a(g827 +V\u000a\u000a +p2608 +tp2609 +a(g827 +V +p2610 +tp2611 +a(g8 +V(* bail out if stack is NIL *) +p2612 +tp2613 +a(g827 +V\u000a +p2614 +tp2615 +a(g827 +V +p2616 +tp2617 +a(g794 +VIF +p2618 +tp2619 +a(g827 +g962 +tp2620 +a(g436 +Vstack +p2621 +tp2622 +a(g827 +g962 +tp2623 +a(g413 +g1027 +tp2624 +a(g827 +g962 +tp2625 +a(g682 +VNIL +p2626 +tp2627 +a(g827 +g962 +tp2628 +a(g794 +VTHEN +p2629 +tp2630 +a(g827 +V\u000a +p2631 +tp2632 +a(g827 +V +p2633 +tp2634 +a(g794 +VRETURN +p2635 +tp2636 +a(g827 +g962 +tp2637 +a(g37 +g1263 +tp2638 +a(g706 +g972 +tp2639 +a(g827 +V\u000a +p2640 +tp2641 +a(g827 +V +p2642 +tp2643 +a(g794 +VEND +p2644 +tp2645 +a(g706 +g972 +tp2646 +a(g827 +g962 +tp2647 +a(g8 +V(* IF *) +p2648 +tp2649 +a(g827 +V\u000a\u000a +p2650 +tp2651 +a(g827 +V +p2652 +tp2653 +a(g794 +VIF +p2654 +tp2655 +a(g827 +g962 +tp2656 +a(g436 +Vstack +p2657 +tp2658 +a(g413 +g1465 +tp2659 +a(g706 +g1467 +tp2660 +a(g436 +VentryCount +p2661 +tp2662 +a(g827 +g962 +tp2663 +a(g413 +g1819 +tp2664 +a(g827 +g962 +tp2665 +a(g436 +Vstack +p2666 +tp2667 +a(g413 +g1465 +tp2668 +a(g706 +g1467 +tp2669 +a(g436 +VarraySize +p2670 +tp2671 +a(g827 +g962 +tp2672 +a(g794 +VTHEN +p2673 +tp2674 +a(g827 +V\u000a +p2675 +tp2676 +a(g827 +V +p2677 +tp2678 +a(g794 +VRETURN +p2679 +tp2680 +a(g827 +g962 +tp2681 +a(g436 +Vstack +p2682 +tp2683 +a(g413 +g1465 +tp2684 +a(g706 +g1467 +tp2685 +a(g436 +VarraySize +p2686 +tp2687 +a(g706 +g972 +tp2688 +a(g827 +V\u000a +p2689 +tp2690 +a(g827 +V +p2691 +tp2692 +a(g794 +VELSE +p2693 +tp2694 +a(g827 +V\u000a +p2695 +tp2696 +a(g827 +V +p2697 +tp2698 +a(g794 +VRETURN +p2699 +tp2700 +a(g827 +g962 +tp2701 +a(g436 +Vstack +p2702 +tp2703 +a(g413 +g1465 +tp2704 +a(g706 +g1467 +tp2705 +a(g436 +VentryCount +p2706 +tp2707 +a(g706 +g972 +tp2708 +a(g827 +V\u000a +p2709 +tp2710 +a(g827 +V +p2711 +tp2712 +a(g794 +VEND +p2713 +tp2714 +a(g706 +g972 +tp2715 +a(g827 +g962 +tp2716 +a(g8 +V(* IF *) +p2717 +tp2718 +a(g827 +V\u000a +p2719 +tp2720 +a(g827 +V \u000a +p2721 +tp2722 +a(g794 +VEND +p2723 +tp2724 +a(g827 +g962 +tp2725 +a(g436 +VstackSize +p2726 +tp2727 +a(g706 +g972 +tp2728 +a(g827 +V\u000a\u000a\u000a +p2729 +tp2730 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.stackEntries( stack )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Returns the number of entries stored in stack , returns zero if\u000a// NIL is passed in for . *) +p2731 +tp2732 +a(g827 +V\u000a\u000a +p2733 +tp2734 +a(g794 +VPROCEDURE +p2735 +tp2736 +a(g827 +g962 +tp2737 +a(g436 +VstackEntries +p2738 +tp2739 +a(g706 +g1192 +tp2740 +a(g827 +g962 +tp2741 +a(g794 +VVAR +p2742 +tp2743 +a(g827 +g962 +tp2744 +a(g436 +Vstack +p2745 +tp2746 +a(g827 +g962 +tp2747 +a(g706 +g1058 +tp2748 +a(g827 +g962 +tp2749 +a(g436 +VStack +p2750 +tp2751 +a(g827 +g962 +tp2752 +a(g706 +g1215 +tp2753 +a(g827 +g962 +tp2754 +a(g706 +g1058 +tp2755 +a(g827 +g962 +tp2756 +a(g436 +VStackSize +p2757 +tp2758 +a(g706 +g972 +tp2759 +a(g827 +V\u000a\u000a +p2760 +tp2761 +a(g794 +VBEGIN +p2762 +tp2763 +a(g827 +V\u000a\u000a +p2764 +tp2765 +a(g827 +V +p2766 +tp2767 +a(g8 +V(* bail out if stack is NIL *) +p2768 +tp2769 +a(g827 +V\u000a +p2770 +tp2771 +a(g827 +V +p2772 +tp2773 +a(g794 +VIF +p2774 +tp2775 +a(g827 +g962 +tp2776 +a(g436 +Vstack +p2777 +tp2778 +a(g827 +g962 +tp2779 +a(g413 +g1027 +tp2780 +a(g827 +g962 +tp2781 +a(g682 +VNIL +p2782 +tp2783 +a(g827 +g962 +tp2784 +a(g794 +VTHEN +p2785 +tp2786 +a(g827 +V\u000a +p2787 +tp2788 +a(g827 +V +p2789 +tp2790 +a(g794 +VRETURN +p2791 +tp2792 +a(g827 +g962 +tp2793 +a(g37 +g1263 +tp2794 +a(g706 +g972 +tp2795 +a(g827 +V\u000a +p2796 +tp2797 +a(g827 +V +p2798 +tp2799 +a(g794 +VEND +p2800 +tp2801 +a(g706 +g972 +tp2802 +a(g827 +g962 +tp2803 +a(g8 +V(* IF *) +p2804 +tp2805 +a(g827 +V\u000a\u000a +p2806 +tp2807 +a(g827 +V +p2808 +tp2809 +a(g794 +VRETURN +p2810 +tp2811 +a(g827 +g962 +tp2812 +a(g436 +Vstack +p2813 +tp2814 +a(g413 +g1465 +tp2815 +a(g706 +g1467 +tp2816 +a(g436 +VentryCount +p2817 +tp2818 +a(g827 +V\u000a +p2819 +tp2820 +a(g827 +V \u000a +p2821 +tp2822 +a(g794 +VEND +p2823 +tp2824 +a(g827 +g962 +tp2825 +a(g436 +VstackEntries +p2826 +tp2827 +a(g706 +g972 +tp2828 +a(g827 +V\u000a\u000a\u000a +p2829 +tp2830 +a(g8 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.dispose( stack )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Disposes of LIFO stack object . Returns NIL. *) +p2831 +tp2832 +a(g827 +V\u000a\u000a +p2833 +tp2834 +a(g794 +VPROCEDURE +p2835 +tp2836 +a(g827 +g962 +tp2837 +a(g436 +Vdispose +p2838 +tp2839 +a(g827 +g962 +tp2840 +a(g706 +g1192 +tp2841 +a(g827 +g962 +tp2842 +a(g794 +VVAR +p2843 +tp2844 +a(g827 +g962 +tp2845 +a(g436 +Vstack +p2846 +tp2847 +a(g827 +g962 +tp2848 +a(g706 +g1058 +tp2849 +a(g827 +g962 +tp2850 +a(g436 +VStack +p2851 +tp2852 +a(g827 +g962 +tp2853 +a(g706 +g1215 +tp2854 +a(g827 +g962 +tp2855 +a(g706 +g1058 +tp2856 +a(g827 +g962 +tp2857 +a(g436 +VStack +p2858 +tp2859 +a(g706 +g972 +tp2860 +a(g827 +V\u000a\u000a +p2861 +tp2862 +a(g794 +VVAR +p2863 +tp2864 +a(g827 +V\u000a +p2865 +tp2866 +a(g827 +V +p2867 +tp2868 +a(g436 +VthisEntry +p2869 +tp2870 +a(g827 +g962 +tp2871 +a(g706 +g1058 +tp2872 +a(g827 +g962 +tp2873 +a(g436 +VListPtr +p2874 +tp2875 +a(g706 +g972 +tp2876 +a(g827 +V\u000a\u000a +p2877 +tp2878 +a(g794 +VBEGIN +p2879 +tp2880 +a(g827 +V\u000a\u000a +p2881 +tp2882 +a(g827 +V +p2883 +tp2884 +a(g8 +V(* bail out if stack is NIL *) +p2885 +tp2886 +a(g827 +V\u000a +p2887 +tp2888 +a(g827 +V +p2889 +tp2890 +a(g794 +VIF +p2891 +tp2892 +a(g827 +g962 +tp2893 +a(g436 +Vstack +p2894 +tp2895 +a(g827 +g962 +tp2896 +a(g413 +g1027 +tp2897 +a(g827 +g962 +tp2898 +a(g682 +VNIL +p2899 +tp2900 +a(g827 +g962 +tp2901 +a(g794 +VTHEN +p2902 +tp2903 +a(g827 +V\u000a +p2904 +tp2905 +a(g827 +V +p2906 +tp2907 +a(g794 +VRETURN +p2908 +tp2909 +a(g827 +g962 +tp2910 +a(g682 +VNIL +p2911 +tp2912 +a(g706 +g972 +tp2913 +a(g827 +V\u000a +p2914 +tp2915 +a(g827 +V +p2916 +tp2917 +a(g794 +VEND +p2918 +tp2919 +a(g706 +g972 +tp2920 +a(g827 +g962 +tp2921 +a(g8 +V(* IF *) +p2922 +tp2923 +a(g827 +V\u000a +p2924 +tp2925 +a(g827 +V \u000a +p2926 +tp2927 +a(g8 +V(* deallocate any entries in stack's overflow list *) +p2928 +tp2929 +a(g827 +V\u000a +p2930 +tp2931 +a(g827 +V +p2932 +tp2933 +a(g794 +VWHILE +p2934 +tp2935 +a(g827 +g962 +tp2936 +a(g436 +Vstack +p2937 +tp2938 +a(g413 +g1465 +tp2939 +a(g706 +g1467 +tp2940 +a(g436 +Voverflow +p2941 +tp2942 +a(g827 +g962 +tp2943 +a(g413 +V# +p2944 +tp2945 +a(g827 +g962 +tp2946 +a(g682 +VNIL +p2947 +tp2948 +a(g827 +g962 +tp2949 +a(g794 +VDO +p2950 +tp2951 +a(g827 +V\u000a +p2952 +tp2953 +a(g827 +V \u000a +p2954 +tp2955 +a(g8 +V(* isolate first entry in overflow list *) +p2956 +tp2957 +a(g827 +V\u000a +p2958 +tp2959 +a(g827 +V +p2960 +tp2961 +a(g436 +VthisEntry +p2962 +tp2963 +a(g827 +g962 +tp2964 +a(g413 +V:= +p2965 +tp2966 +a(g827 +g962 +tp2967 +a(g436 +Vstack +p2968 +tp2969 +a(g413 +g1465 +tp2970 +a(g706 +g1467 +tp2971 +a(g436 +Voverflow +p2972 +tp2973 +a(g706 +g972 +tp2974 +a(g827 +V\u000a +p2975 +tp2976 +a(g827 +V +p2977 +tp2978 +a(g436 +Vstack +p2979 +tp2980 +a(g413 +g1465 +tp2981 +a(g706 +g1467 +tp2982 +a(g436 +Voverflow +p2983 +tp2984 +a(g827 +g962 +tp2985 +a(g413 +V:= +p2986 +tp2987 +a(g827 +g962 +tp2988 +a(g436 +Vstack +p2989 +tp2990 +a(g413 +g1465 +tp2991 +a(g706 +g1467 +tp2992 +a(g436 +Voverflow +p2993 +tp2994 +a(g413 +g1465 +tp2995 +a(g706 +g1467 +tp2996 +a(g436 +Vnext +p2997 +tp2998 +a(g706 +g972 +tp2999 +a(g827 +V\u000a +p3000 +tp3001 +a(g827 +V \u000a +p3002 +tp3003 +a(g8 +V(* deallocate the entry *) +p3004 +tp3005 +a(g827 +V\u000a +p3006 +tp3007 +a(g827 +V +p3008 +tp3009 +a(g682 +VDISPOSE +p3010 +tp3011 +a(g706 +g1192 +tp3012 +a(g436 +VthisEntry +p3013 +tp3014 +a(g706 +g1215 +tp3015 +a(g706 +g972 +tp3016 +a(g827 +V\u000a +p3017 +tp3018 +a(g827 +V \u000a +p3019 +tp3020 +a(g794 +VEND +p3021 +tp3022 +a(g706 +g972 +tp3023 +a(g827 +g962 +tp3024 +a(g8 +V(* WHILE *) +p3025 +tp3026 +a(g827 +V\u000a +p3027 +tp3028 +a(g827 +V \u000a +p3029 +tp3030 +a(g8 +V(* deallocate stack object and pass NIL to caller *) +p3031 +tp3032 +a(g827 +V\u000a +p3033 +tp3034 +a(g827 +V +p3035 +tp3036 +a(g436 +VDEALLOCATE +p3037 +tp3038 +a(g706 +g1192 +tp3039 +a(g436 +Vstack +p3040 +tp3041 +a(g706 +g987 +tp3042 +a(g827 +g962 +tp3043 +a(g682 +VTSIZE +p3044 +tp3045 +a(g706 +g1192 +tp3046 +a(g436 +VStack +p3047 +tp3048 +a(g706 +g1215 +tp3049 +a(g827 +g962 +tp3050 +a(g413 +g1372 +tp3051 +a(g827 +g962 +tp3052 +a(g682 +VTSIZE +p3053 +tp3054 +a(g706 +g1192 +tp3055 +a(g436 +VDataPtr +p3056 +tp3057 +a(g706 +g1215 +tp3058 +a(g827 +g962 +tp3059 +a(g413 +g1382 +tp3060 +a(g827 +g962 +tp3061 +a(g706 +g1192 +tp3062 +a(g436 +Vstack +p3063 +tp3064 +a(g413 +g1465 +tp3065 +a(g706 +g1467 +tp3066 +a(g436 +VarraySize +p3067 +tp3068 +a(g827 +g962 +tp3069 +a(g413 +g1389 +tp3070 +a(g827 +g962 +tp3071 +a(g37 +g1392 +tp3072 +a(g706 +g1215 +tp3073 +a(g706 +g1215 +tp3074 +a(g706 +g972 +tp3075 +a(g827 +V\u000a +p3076 +tp3077 +a(g827 +V +p3078 +tp3079 +a(g794 +VRETURN +p3080 +tp3081 +a(g827 +g962 +tp3082 +a(g682 +VNIL +p3083 +tp3084 +a(g827 +V\u000a\u000a +p3085 +tp3086 +a(g794 +VEND +p3087 +tp3088 +a(g827 +g962 +tp3089 +a(g436 +Vdispose +p3090 +tp3091 +a(g706 +g972 +tp3092 +a(g827 +V\u000a\u000a\u000a +p3093 +tp3094 +a(g794 +VEND +p3095 +tp3096 +a(g827 +g962 +tp3097 +a(g436 +VLIFO +p3098 +tp3099 +a(g706 +g1467 +tp3100 +a(g827 +V\u000a +p3101 +tp3102 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.moo b/tests/examplefiles/output/test.moo new file mode 100644 index 0000000..db439a6 --- /dev/null +++ b/tests/examplefiles/output/test.moo @@ -0,0 +1,4466 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbVyou_lose_msg +p956 +tp957 +a(g7 +V +p958 +tp959 +a(g416 +V= +p960 +tp961 +a(g7 +g958 +tp962 +a(g92 +V"Either that person does not exist, or has a different password." +p963 +tp964 +a(g416 +V; +p965 +tp966 +a(g7 +V\u000a +p967 +tp968 +a(g842 +Vif +p969 +tp970 +a(g7 +g958 +tp971 +a(g416 +V(!( +p972 +tp973 +a(g465 +Vcaller +p974 +tp975 +a(g7 +g958 +tp976 +a(g842 +Vin +p977 +tp978 +a(g7 +g958 +tp979 +a(g416 +V{ +p980 +tp981 +a(g507 +V#0 +p982 +tp983 +a(g416 +V, +p984 +tp985 +a(g7 +g958 +tp986 +a(g465 +Vthis +p987 +tp988 +a(g416 +V})) +p989 +tp990 +a(g7 +V\u000a +p991 +tp992 +a(g842 +Vreturn +p993 +tp994 +a(g7 +g958 +tp995 +a(g616 +VE_PERM +p996 +tp997 +a(g416 +g965 +tp998 +a(g7 +V\u000a +p999 +tp1000 +a(g92 +V"...caller isn't :do_login_command..." +p1001 +tp1002 +a(g416 +g965 +tp1003 +a(g7 +V\u000a +p1004 +tp1005 +a(g842 +Velseif +p1006 +tp1007 +a(g7 +g958 +tp1008 +a(g416 +V( +p1009 +tp1010 +a(g465 +Vargs +p1011 +tp1012 +a(g7 +g958 +tp1013 +a(g416 +V&& +p1014 +tp1015 +a(g7 +g958 +tp1016 +a(g416 +g1009 +tp1017 +a(g465 +Vargs +p1018 +tp1019 +a(g416 +V[ +p1020 +tp1021 +a(g40 +V1 +p1022 +tp1023 +a(g416 +V] +p1024 +tp1025 +a(g7 +g958 +tp1026 +a(g416 +V== +p1027 +tp1028 +a(g7 +g958 +tp1029 +a(g92 +V"test" +p1030 +tp1031 +a(g416 +V)) +p1032 +tp1033 +a(g7 +V\u000a +p1034 +tp1035 +a(g842 +Vreturn +p1036 +tp1037 +a(g7 +g958 +tp1038 +a(g465 +Vthis +p1039 +tp1040 +a(g416 +V: +p1041 +tp1042 +a(g577 +Vtest +p1043 +tp1044 +a(g416 +g1009 +tp1045 +a(g416 +V@ +p1046 +tp1047 +a(g577 +Vlistdelete +p1048 +tp1049 +a(g416 +g1009 +tp1050 +a(g465 +Vargs +p1051 +tp1052 +a(g416 +g984 +tp1053 +a(g7 +g958 +tp1054 +a(g40 +g1022 +tp1055 +a(g416 +V)); +p1056 +tp1057 +a(g7 +V\u000a +p1058 +tp1059 +a(g842 +Velseif +p1060 +tp1061 +a(g7 +g958 +tp1062 +a(g416 +V(!( +p1063 +tp1064 +a(g685 +Vlength +p1065 +tp1066 +a(g416 +g1009 +tp1067 +a(g465 +Vargs +p1068 +tp1069 +a(g416 +V) +p1070 +tp1071 +a(g7 +g958 +tp1072 +a(g842 +Vin +p1073 +tp1074 +a(g7 +g958 +tp1075 +a(g416 +g980 +tp1076 +a(g40 +g1022 +tp1077 +a(g416 +g984 +tp1078 +a(g7 +g958 +tp1079 +a(g40 +V2 +p1080 +tp1081 +a(g416 +V})) +p1082 +tp1083 +a(g7 +V\u000a +p1084 +tp1085 +a(g577 +Vnotify +p1086 +tp1087 +a(g416 +g1009 +tp1088 +a(g465 +Vplayer +p1089 +tp1090 +a(g416 +g984 +tp1091 +a(g7 +g958 +tp1092 +a(g577 +Vtostr +p1093 +tp1094 +a(g416 +g1009 +tp1095 +a(g92 +V"Usage: " +p1096 +tp1097 +a(g416 +g984 +tp1098 +a(g7 +g958 +tp1099 +a(g7 +Vverb +p1100 +tp1101 +a(g416 +g984 +tp1102 +a(g7 +g958 +tp1103 +a(g92 +V" " +p1104 +tp1105 +a(g416 +V)); +p1106 +tp1107 +a(g7 +V\u000a +p1108 +tp1109 +a(g842 +Velseif +p1110 +tp1111 +a(g7 +g958 +tp1112 +a(g416 +V(! +p1113 +tp1114 +a(g577 +Vvalid +p1115 +tp1116 +a(g416 +g1009 +tp1117 +a(g7 +Vcandidate +p1118 +tp1119 +a(g7 +g958 +tp1120 +a(g416 +g960 +tp1121 +a(g7 +g958 +tp1122 +a(g465 +Vthis +p1123 +tp1124 +a(g416 +g1041 +tp1125 +a(g577 +V_match_player +p1126 +tp1127 +a(g416 +g1009 +tp1128 +a(g7 +Vname +p1129 +tp1130 +a(g7 +g958 +tp1131 +a(g416 +g960 +tp1132 +a(g7 +g958 +tp1133 +a(g577 +Vstrsub +p1134 +tp1135 +a(g416 +g1009 +tp1136 +a(g465 +Vargs +p1137 +tp1138 +a(g416 +g1020 +tp1139 +a(g40 +g1022 +tp1140 +a(g416 +V], +p1141 +tp1142 +a(g7 +g958 +tp1143 +a(g92 +V" " +p1144 +tp1145 +a(g416 +g984 +tp1146 +a(g7 +g958 +tp1147 +a(g92 +V"_" +p1148 +tp1149 +a(g416 +V)))) +p1150 +tp1151 +a(g7 +V\u000a +p1152 +tp1153 +a(g842 +Vif +p1154 +tp1155 +a(g7 +g958 +tp1156 +a(g416 +g1009 +tp1157 +a(g7 +Vname +p1158 +tp1159 +a(g7 +g958 +tp1160 +a(g416 +V== +p1161 +tp1162 +a(g7 +g958 +tp1163 +a(g92 +V"guest" +p1164 +tp1165 +a(g416 +g1070 +tp1166 +a(g7 +V\u000a +p1167 +tp1168 +a(g92 +V"must be no guests" +p1169 +tp1170 +a(g416 +g965 +tp1171 +a(g7 +V\u000a +p1172 +tp1173 +a(g465 +Vthis +p1174 +tp1175 +a(g416 +g1041 +tp1176 +a(g577 +Vnotify_lines +p1177 +tp1178 +a(g416 +g1009 +tp1179 +a(g465 +Vthis +p1180 +tp1181 +a(g416 +g1041 +tp1182 +a(g577 +Vregistration_text +p1183 +tp1184 +a(g416 +g1009 +tp1185 +a(g92 +V"guest" +p1186 +tp1187 +a(g416 +V)); +p1188 +tp1189 +a(g7 +V\u000a +p1190 +tp1191 +a(g842 +Velse +p1192 +tp1193 +a(g7 +V\u000a +p1194 +tp1195 +a(g577 +Vnotify +p1196 +tp1197 +a(g416 +g1009 +tp1198 +a(g465 +Vplayer +p1199 +tp1200 +a(g416 +g984 +tp1201 +a(g7 +g958 +tp1202 +a(g7 +Vyou_lose_msg +p1203 +tp1204 +a(g416 +V); +p1205 +tp1206 +a(g7 +V\u000a +p1207 +tp1208 +a(g842 +Vendif +p1209 +tp1210 +a(g7 +V\u000a +p1211 +tp1212 +a(g92 +V"...unknown player..." +p1213 +tp1214 +a(g416 +g965 +tp1215 +a(g7 +V\u000a +p1216 +tp1217 +a(g842 +Velseif +p1218 +tp1219 +a(g7 +g958 +tp1220 +a(g416 +g1009 +tp1221 +a(g577 +Vis_clear_property +p1222 +tp1223 +a(g416 +g1009 +tp1224 +a(g7 +Vcandidate +p1225 +tp1226 +a(g416 +g984 +tp1227 +a(g7 +g958 +tp1228 +a(g92 +V"password" +p1229 +tp1230 +a(g416 +g1070 +tp1231 +a(g7 +g958 +tp1232 +a(g416 +V|| +p1233 +tp1234 +a(g7 +g958 +tp1235 +a(g416 +V(( +p1236 +tp1237 +a(g577 +Vtypeof +p1238 +tp1239 +a(g416 +g1009 +tp1240 +a(g7 +Vcandidate +p1241 +tp1242 +a(g416 +V. +p1243 +tp1244 +a(g7 +Vpassword +p1245 +tp1246 +a(g416 +g1070 +tp1247 +a(g7 +g958 +tp1248 +a(g416 +V== +p1249 +tp1250 +a(g7 +g958 +tp1251 +a(g7 +VSTR +p1252 +tp1253 +a(g416 +g1070 +tp1254 +a(g7 +g958 +tp1255 +a(g416 +V&& +p1256 +tp1257 +a(g7 +g958 +tp1258 +a(g416 +V(( +p1259 +tp1260 +a(g685 +Vlength +p1261 +tp1262 +a(g416 +g1009 +tp1263 +a(g7 +Vcandidate +p1264 +tp1265 +a(g416 +g1243 +tp1266 +a(g7 +Vpassword +p1267 +tp1268 +a(g416 +g1070 +tp1269 +a(g7 +g958 +tp1270 +a(g416 +V< +p1271 +tp1272 +a(g7 +g958 +tp1273 +a(g40 +g1080 +tp1274 +a(g416 +g1070 +tp1275 +a(g7 +g958 +tp1276 +a(g416 +V|| +p1277 +tp1278 +a(g7 +g958 +tp1279 +a(g416 +g1009 +tp1280 +a(g577 +Vcrypt +p1281 +tp1282 +a(g416 +g1009 +tp1283 +a(g416 +V{@ +p1284 +tp1285 +a(g465 +Vargs +p1286 +tp1287 +a(g416 +g984 +tp1288 +a(g7 +g958 +tp1289 +a(g92 +V"" +p1290 +tp1291 +a(g416 +V}[ +p1292 +tp1293 +a(g40 +g1080 +tp1294 +a(g416 +V], +p1295 +tp1296 +a(g7 +g958 +tp1297 +a(g7 +Vcandidate +p1298 +tp1299 +a(g416 +g1243 +tp1300 +a(g7 +Vpassword +p1301 +tp1302 +a(g416 +g1070 +tp1303 +a(g7 +g958 +tp1304 +a(g416 +V!= +p1305 +tp1306 +a(g7 +g958 +tp1307 +a(g7 +Vcandidate +p1308 +tp1309 +a(g416 +g1243 +tp1310 +a(g7 +Vpassword +p1311 +tp1312 +a(g416 +V)))) +p1313 +tp1314 +a(g7 +V\u000a +p1315 +tp1316 +a(g577 +Vnotify +p1317 +tp1318 +a(g416 +g1009 +tp1319 +a(g465 +Vplayer +p1320 +tp1321 +a(g416 +g984 +tp1322 +a(g7 +g958 +tp1323 +a(g7 +Vyou_lose_msg +p1324 +tp1325 +a(g416 +V); +p1326 +tp1327 +a(g7 +V\u000a +p1328 +tp1329 +a(g92 +V"...bad password..." +p1330 +tp1331 +a(g416 +g965 +tp1332 +a(g7 +V\u000a +p1333 +tp1334 +a(g577 +Vserver_log +p1335 +tp1336 +a(g416 +g1009 +tp1337 +a(g577 +Vtostr +p1338 +tp1339 +a(g416 +g1009 +tp1340 +a(g92 +V"FAILED CONNECT: " +p1341 +tp1342 +a(g416 +g984 +tp1343 +a(g7 +g958 +tp1344 +a(g465 +Vargs +p1345 +tp1346 +a(g416 +g1020 +tp1347 +a(g40 +g1022 +tp1348 +a(g416 +V], +p1349 +tp1350 +a(g7 +g958 +tp1351 +a(g92 +V" (" +p1352 +tp1353 +a(g416 +g984 +tp1354 +a(g7 +g958 +tp1355 +a(g7 +Vcandidate +p1356 +tp1357 +a(g416 +g984 +tp1358 +a(g7 +g958 +tp1359 +a(g92 +V") on " +p1360 +tp1361 +a(g416 +g984 +tp1362 +a(g7 +g958 +tp1363 +a(g577 +Vconnection_name +p1364 +tp1365 +a(g416 +g1009 +tp1366 +a(g465 +Vplayer +p1367 +tp1368 +a(g416 +V), +p1369 +tp1370 +a(g7 +g958 +tp1371 +a(g416 +g1009 +tp1372 +a(g507 +V$string_utils +p1373 +tp1374 +a(g416 +g1041 +tp1375 +a(g577 +Vconnection_hostname +p1376 +tp1377 +a(g416 +g1009 +tp1378 +a(g577 +Vconnection_name +p1379 +tp1380 +a(g416 +g1009 +tp1381 +a(g465 +Vplayer +p1382 +tp1383 +a(g416 +V)) +p1384 +tp1385 +a(g7 +g958 +tp1386 +a(g842 +Vin +p1387 +tp1388 +a(g7 +g958 +tp1389 +a(g7 +Vcandidate +p1390 +tp1391 +a(g416 +g1243 +tp1392 +a(g7 +Vall_connect_places +p1393 +tp1394 +a(g416 +g1070 +tp1395 +a(g7 +g958 +tp1396 +a(g416 +V? +p1397 +tp1398 +a(g7 +g958 +tp1399 +a(g92 +V"" +p1400 +tp1401 +a(g7 +g958 +tp1402 +a(g416 +V| +p1403 +tp1404 +a(g7 +g958 +tp1405 +a(g92 +V"******" +p1406 +tp1407 +a(g416 +V)); +p1408 +tp1409 +a(g7 +V\u000a +p1410 +tp1411 +a(g842 +Velseif +p1412 +tp1413 +a(g7 +g958 +tp1414 +a(g416 +V((( +p1415 +tp1416 +a(g7 +Vcandidate +p1417 +tp1418 +a(g416 +g1243 +tp1419 +a(g7 +Vname +p1420 +tp1421 +a(g7 +g958 +tp1422 +a(g416 +V== +p1423 +tp1424 +a(g7 +g958 +tp1425 +a(g92 +V"guest" +p1426 +tp1427 +a(g416 +g1070 +tp1428 +a(g7 +g958 +tp1429 +a(g416 +V&& +p1430 +tp1431 +a(g7 +g958 +tp1432 +a(g465 +Vthis +p1433 +tp1434 +a(g416 +g1243 +tp1435 +a(g7 +Vsitematch_guests +p1436 +tp1437 +a(g416 +g1070 +tp1438 +a(g7 +g958 +tp1439 +a(g416 +V&& +p1440 +tp1441 +a(g7 +g958 +tp1442 +a(g577 +Vvalid +p1443 +tp1444 +a(g416 +g1009 +tp1445 +a(g7 +Vforeigner +p1446 +tp1447 +a(g7 +g958 +tp1448 +a(g416 +g960 +tp1449 +a(g7 +g958 +tp1450 +a(g507 +V$country_db +p1451 +tp1452 +a(g416 +g1041 +tp1453 +a(g577 +Vget_guest +p1454 +tp1455 +a(g416 +g1009 +tp1456 +a(g416 +V))) +p1457 +tp1458 +a(g7 +V\u000a +p1459 +tp1460 +a(g577 +Vnotify +p1461 +tp1462 +a(g416 +g1009 +tp1463 +a(g465 +Vplayer +p1464 +tp1465 +a(g416 +g984 +tp1466 +a(g7 +g958 +tp1467 +a(g577 +Vtostr +p1468 +tp1469 +a(g416 +g1009 +tp1470 +a(g92 +V"Okay,... Logging you in as `" +p1471 +tp1472 +a(g416 +g984 +tp1473 +a(g7 +g958 +tp1474 +a(g7 +Vforeigner +p1475 +tp1476 +a(g416 +g1041 +tp1477 +a(g577 +Vname +p1478 +tp1479 +a(g416 +g1009 +tp1480 +a(g416 +V), +p1481 +tp1482 +a(g7 +g958 +tp1483 +a(g92 +V"'" +p1484 +tp1485 +a(g416 +V)); +p1486 +tp1487 +a(g7 +V\u000a +p1488 +tp1489 +a(g465 +Vthis +p1490 +tp1491 +a(g416 +g1041 +tp1492 +a(g577 +Vrecord_connection +p1493 +tp1494 +a(g416 +g1009 +tp1495 +a(g7 +Vforeigner +p1496 +tp1497 +a(g416 +V); +p1498 +tp1499 +a(g7 +V\u000a +p1500 +tp1501 +a(g842 +Vreturn +p1502 +tp1503 +a(g7 +g958 +tp1504 +a(g7 +Vforeigner +p1505 +tp1506 +a(g416 +g965 +tp1507 +a(g7 +V\u000a +p1508 +tp1509 +a(g842 +Velseif +p1510 +tp1511 +a(g7 +g958 +tp1512 +a(g416 +V(( +p1513 +tp1514 +a(g577 +Vparent +p1515 +tp1516 +a(g416 +g1009 +tp1517 +a(g7 +Vcandidate +p1518 +tp1519 +a(g416 +g1070 +tp1520 +a(g7 +g958 +tp1521 +a(g416 +V== +p1522 +tp1523 +a(g7 +g958 +tp1524 +a(g507 +V$guest +p1525 +tp1526 +a(g416 +g1070 +tp1527 +a(g7 +g958 +tp1528 +a(g416 +V&& +p1529 +tp1530 +a(g7 +g958 +tp1531 +a(g416 +V(! +p1532 +tp1533 +a(g577 +Vvalid +p1534 +tp1535 +a(g416 +g1009 +tp1536 +a(g7 +Vcandidate +p1537 +tp1538 +a(g7 +g958 +tp1539 +a(g416 +g960 +tp1540 +a(g7 +g958 +tp1541 +a(g7 +Vcandidate +p1542 +tp1543 +a(g416 +g1041 +tp1544 +a(g577 +Vdefer +p1545 +tp1546 +a(g416 +g1009 +tp1547 +a(g416 +V)))) +p1548 +tp1549 +a(g7 +V\u000a +p1550 +tp1551 +a(g842 +Vif +p1552 +tp1553 +a(g7 +g958 +tp1554 +a(g416 +g1009 +tp1555 +a(g7 +Vcandidate +p1556 +tp1557 +a(g7 +g958 +tp1558 +a(g416 +V== +p1559 +tp1560 +a(g7 +g958 +tp1561 +a(g507 +V#-3 +p1562 +tp1563 +a(g416 +g1070 +tp1564 +a(g7 +V\u000a +p1565 +tp1566 +a(g577 +Vnotify +p1567 +tp1568 +a(g416 +g1009 +tp1569 +a(g465 +Vplayer +p1570 +tp1571 +a(g416 +g984 +tp1572 +a(g7 +g958 +tp1573 +a(g92 +V"Sorry, guest characters are not allowed from your site right now." +p1574 +tp1575 +a(g416 +V); +p1576 +tp1577 +a(g7 +V\u000a +p1578 +tp1579 +a(g842 +Velseif +p1580 +tp1581 +a(g7 +g958 +tp1582 +a(g416 +g1009 +tp1583 +a(g7 +Vcandidate +p1584 +tp1585 +a(g7 +g958 +tp1586 +a(g416 +V== +p1587 +tp1588 +a(g7 +g958 +tp1589 +a(g507 +V#-2 +p1590 +tp1591 +a(g416 +g1070 +tp1592 +a(g7 +V\u000a +p1593 +tp1594 +a(g465 +Vthis +p1595 +tp1596 +a(g416 +g1041 +tp1597 +a(g577 +Vnotify_lines +p1598 +tp1599 +a(g416 +g1009 +tp1600 +a(g465 +Vthis +p1601 +tp1602 +a(g416 +g1041 +tp1603 +a(g577 +Vregistration_text +p1604 +tp1605 +a(g416 +g1009 +tp1606 +a(g92 +V"blacklisted" +p1607 +tp1608 +a(g416 +g984 +tp1609 +a(g7 +g958 +tp1610 +a(g92 +V"Sorry, guest characters are not allowed from your site." +p1611 +tp1612 +a(g416 +V)); +p1613 +tp1614 +a(g7 +V\u000a +p1615 +tp1616 +a(g842 +Velseif +p1617 +tp1618 +a(g7 +g958 +tp1619 +a(g416 +g1009 +tp1620 +a(g7 +Vcandidate +p1621 +tp1622 +a(g7 +g958 +tp1623 +a(g416 +V== +p1624 +tp1625 +a(g7 +g958 +tp1626 +a(g507 +V#-4 +p1627 +tp1628 +a(g416 +g1070 +tp1629 +a(g7 +V\u000a +p1630 +tp1631 +a(g465 +Vthis +p1632 +tp1633 +a(g416 +g1041 +tp1634 +a(g577 +Vnotify_lines +p1635 +tp1636 +a(g416 +g1009 +tp1637 +a(g465 +Vthis +p1638 +tp1639 +a(g416 +g1041 +tp1640 +a(g577 +Vregistration_text +p1641 +tp1642 +a(g416 +g1009 +tp1643 +a(g92 +V"guest" +p1644 +tp1645 +a(g416 +V)); +p1646 +tp1647 +a(g7 +V\u000a +p1648 +tp1649 +a(g842 +Velse +p1650 +tp1651 +a(g7 +V\u000a +p1652 +tp1653 +a(g577 +Vnotify +p1654 +tp1655 +a(g416 +g1009 +tp1656 +a(g465 +Vplayer +p1657 +tp1658 +a(g416 +g984 +tp1659 +a(g7 +g958 +tp1660 +a(g92 +V"Sorry, all of our guest characters are in use right now." +p1661 +tp1662 +a(g416 +V); +p1663 +tp1664 +a(g7 +V\u000a +p1665 +tp1666 +a(g842 +Vendif +p1667 +tp1668 +a(g7 +V\u000a +p1669 +tp1670 +a(g842 +Velse +p1671 +tp1672 +a(g7 +V\u000a +p1673 +tp1674 +a(g842 +Vif +p1675 +tp1676 +a(g7 +g958 +tp1677 +a(g416 +V((!( +p1678 +tp1679 +a(g7 +Vname +p1680 +tp1681 +a(g7 +g958 +tp1682 +a(g842 +Vin +p1683 +tp1684 +a(g7 +g958 +tp1685 +a(g7 +Vcandidate +p1686 +tp1687 +a(g416 +g1243 +tp1688 +a(g7 +Valiases +p1689 +tp1690 +a(g416 +V)) +p1691 +tp1692 +a(g7 +g958 +tp1693 +a(g416 +V&& +p1694 +tp1695 +a(g7 +g958 +tp1696 +a(g416 +g1009 +tp1697 +a(g7 +Vname +p1698 +tp1699 +a(g7 +g958 +tp1700 +a(g416 +V!= +p1701 +tp1702 +a(g7 +g958 +tp1703 +a(g577 +Vtostr +p1704 +tp1705 +a(g416 +g1009 +tp1706 +a(g7 +Vcandidate +p1707 +tp1708 +a(g416 +V))) +p1709 +tp1710 +a(g7 +V\u000a +p1711 +tp1712 +a(g577 +Vnotify +p1713 +tp1714 +a(g416 +g1009 +tp1715 +a(g465 +Vplayer +p1716 +tp1717 +a(g416 +g984 +tp1718 +a(g7 +g958 +tp1719 +a(g577 +Vtostr +p1720 +tp1721 +a(g416 +g1009 +tp1722 +a(g92 +V"Okay,... " +p1723 +tp1724 +a(g416 +g984 +tp1725 +a(g7 +g958 +tp1726 +a(g7 +Vname +p1727 +tp1728 +a(g416 +g984 +tp1729 +a(g7 +g958 +tp1730 +a(g92 +V" is in use. Logging you in as `" +p1731 +tp1732 +a(g416 +g984 +tp1733 +a(g7 +g958 +tp1734 +a(g7 +Vcandidate +p1735 +tp1736 +a(g416 +g1041 +tp1737 +a(g577 +Vname +p1738 +tp1739 +a(g416 +g1009 +tp1740 +a(g416 +V), +p1741 +tp1742 +a(g7 +g958 +tp1743 +a(g92 +V"'" +p1744 +tp1745 +a(g416 +V)); +p1746 +tp1747 +a(g7 +V\u000a +p1748 +tp1749 +a(g842 +Vendif +p1750 +tp1751 +a(g7 +V\u000a +p1752 +tp1753 +a(g842 +Vif +p1754 +tp1755 +a(g7 +g958 +tp1756 +a(g416 +g1009 +tp1757 +a(g465 +Vthis +p1758 +tp1759 +a(g416 +g1041 +tp1760 +a(g577 +Vis_newted +p1761 +tp1762 +a(g416 +g1009 +tp1763 +a(g7 +Vcandidate +p1764 +tp1765 +a(g416 +V)) +p1766 +tp1767 +a(g7 +V\u000a +p1768 +tp1769 +a(g577 +Vnotify +p1770 +tp1771 +a(g416 +g1009 +tp1772 +a(g465 +Vplayer +p1773 +tp1774 +a(g416 +g984 +tp1775 +a(g7 +g958 +tp1776 +a(g92 +V"" +p1777 +tp1778 +a(g416 +V); +p1779 +tp1780 +a(g7 +V\u000a +p1781 +tp1782 +a(g577 +Vnotify +p1783 +tp1784 +a(g416 +g1009 +tp1785 +a(g465 +Vplayer +p1786 +tp1787 +a(g416 +g984 +tp1788 +a(g7 +g958 +tp1789 +a(g465 +Vthis +p1790 +tp1791 +a(g416 +g1041 +tp1792 +a(g577 +Vnewt_message_for +p1793 +tp1794 +a(g416 +g1009 +tp1795 +a(g7 +Vcandidate +p1796 +tp1797 +a(g416 +V)); +p1798 +tp1799 +a(g7 +V\u000a +p1800 +tp1801 +a(g577 +Vnotify +p1802 +tp1803 +a(g416 +g1009 +tp1804 +a(g465 +Vplayer +p1805 +tp1806 +a(g416 +g984 +tp1807 +a(g7 +g958 +tp1808 +a(g92 +V"" +p1809 +tp1810 +a(g416 +V); +p1811 +tp1812 +a(g7 +V\u000a +p1813 +tp1814 +a(g842 +Velse +p1815 +tp1816 +a(g7 +V\u000a +p1817 +tp1818 +a(g465 +Vthis +p1819 +tp1820 +a(g416 +g1041 +tp1821 +a(g577 +Vrecord_connection +p1822 +tp1823 +a(g416 +g1009 +tp1824 +a(g7 +Vcandidate +p1825 +tp1826 +a(g416 +V); +p1827 +tp1828 +a(g7 +V\u000a +p1829 +tp1830 +a(g842 +Vif +p1831 +tp1832 +a(g7 +g958 +tp1833 +a(g416 +g1009 +tp1834 +a(g7 +Vverb +p1835 +tp1836 +a(g416 +g1020 +tp1837 +a(g40 +g1022 +tp1838 +a(g416 +g1024 +tp1839 +a(g7 +g958 +tp1840 +a(g416 +V== +p1841 +tp1842 +a(g7 +g958 +tp1843 +a(g92 +V"s" +p1844 +tp1845 +a(g416 +g1070 +tp1846 +a(g7 +V\u000a +p1847 +tp1848 +a(g7 +Vcandidate +p1849 +tp1850 +a(g416 +g1243 +tp1851 +a(g7 +Vuse_do_command +p1852 +tp1853 +a(g7 +g958 +tp1854 +a(g416 +g960 +tp1855 +a(g7 +g958 +tp1856 +a(g40 +V0 +p1857 +tp1858 +a(g416 +g965 +tp1859 +a(g7 +V\u000a +p1860 +tp1861 +a(g842 +Vendif +p1862 +tp1863 +a(g7 +V\u000a +p1864 +tp1865 +a(g842 +Vreturn +p1866 +tp1867 +a(g7 +g958 +tp1868 +a(g7 +Vcandidate +p1869 +tp1870 +a(g416 +g965 +tp1871 +a(g7 +V\u000a +p1872 +tp1873 +a(g842 +Vendif +p1874 +tp1875 +a(g7 +V\u000a +p1876 +tp1877 +a(g842 +Vendif +p1878 +tp1879 +a(g7 +V\u000a +p1880 +tp1881 +a(g842 +Vreturn +p1882 +tp1883 +a(g7 +g958 +tp1884 +a(g40 +g1857 +tp1885 +a(g416 +g965 +tp1886 +a(g7 +V\u000a +p1887 +tp1888 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.mt b/tests/examplefiles/output/test.mt new file mode 100644 index 0000000..fef50b0 --- /dev/null +++ b/tests/examplefiles/output/test.mt @@ -0,0 +1,2598 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVexports +p956 +tp957 +a(g839 +V +p958 +tp959 +a(g693 +V( +p960 +tp961 +a(g423 +Vmain +p962 +tp963 +a(g693 +V) +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g942 +Vdef +p970 +tp971 +a(g839 +g958 +tp972 +a(g423 +Vmain +p973 +tp974 +a(g693 +g960 +tp975 +a(g400 +V=> +p976 +tp977 +a(g839 +g958 +tp978 +a(g423 +VcurrentProcess +p979 +tp980 +a(g693 +g964 +tp981 +a(g839 +g958 +tp982 +a(g693 +V: +p983 +tp984 +a(g881 +VInt +p985 +tp986 +a(g839 +g958 +tp987 +a(g7 +Vas +p988 +tp989 +a(g839 +g958 +tp990 +a(g881 +VDeepFrozen +p991 +tp992 +a(g693 +g983 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g839 +V +p996 +tp997 +a(g918 +Vtraceln +p998 +tp999 +a(g693 +g960 +tp1000 +a(g88 +V` +p1001 +tp1002 +a(g88 +VC +p1003 +tp1004 +a(g88 +Vu +p1005 +tp1006 +a(g88 +Vr +p1007 +tp1008 +a(g88 +g1007 +tp1009 +a(g88 +Ve +p1010 +tp1011 +a(g88 +Vn +p1012 +tp1013 +a(g88 +Vt +p1014 +tp1015 +a(g88 +g958 +tp1016 +a(g88 +Vp +p1017 +tp1018 +a(g88 +g1007 +tp1019 +a(g88 +Vo +p1020 +tp1021 +a(g88 +Vc +p1022 +tp1023 +a(g88 +g1010 +tp1024 +a(g88 +Vs +p1025 +tp1026 +a(g88 +g1025 +tp1027 +a(g88 +g983 +tp1028 +a(g88 +g958 +tp1029 +a(g423 +V$currentProcess +p1030 +tp1031 +a(g88 +g1001 +tp1032 +a(g693 +g964 +tp1033 +a(g826 +V\u000a +p1034 +tp1035 +a(g839 +V +p1036 +tp1037 +a(g144 +V" +p1038 +tp1039 +a(g144 +VA +p1040 +tp1041 +a(g144 +g958 +tp1042 +a(g200 +V\u005cr +p1043 +tp1044 +a(g144 +g958 +tp1045 +a(g200 +V\u005cn +p1046 +tp1047 +a(g144 +g958 +tp1048 +a(g200 +V\u005cx00 +p1049 +tp1050 +a(g144 +g958 +tp1051 +a(g200 +V\u005cu1234 +p1052 +tp1053 +a(g144 +g1038 +tp1054 +a(g826 +V\u000a +p1055 +tp1056 +a(g839 +V +p1057 +tp1058 +a(g144 +V' +p1059 +tp1060 +a(g200 +V\u005cu1234 +p1061 +tp1062 +a(g80 +g1059 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g839 +V +p1066 +tp1067 +a(g7 +Vreturn +p1068 +tp1069 +a(g839 +g958 +tp1070 +a(g22 +V0 +p1071 +tp1072 +a(g826 +V\u000a +p1073 +tp1074 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.myt b/tests/examplefiles/output/test.myt new file mode 100644 index 0000000..41a10bc --- /dev/null +++ b/tests/examplefiles/output/test.myt @@ -0,0 +1,7456 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Tag' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsg5 +g8 +sS'Constant' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Function' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +g1 +(g2 +g3 +(g4 +g145 +g55 +tp151 +tp152 +Rp153 +(dp154 +g10 +g148 +sg25 +g26 +((lp155 +tp156 +Rp157 +sbatp158 +Rp159 +sg55 +g153 +sbsS'Blubb' +p160 +g1 +(g2 +g3 +(g4 +g160 +tp161 +tp162 +Rp163 +(dp164 +g10 +g13 +sg25 +g26 +((lp165 +tp166 +Rp167 +sbsS'Label' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag163 +ag116 +ag84 +ag18 +ag187 +ag108 +ag8 +ag148 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag171 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag140 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg137 +g1 +(g2 +g3 +(g815 +g137 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbV<% +p956 +tp957 +a(g13 +Vdoc +p958 +tp959 +a(g662 +V> +p960 +tp961 +a(g13 +Vformatting +p962 +tp963 +a(g662 +V. +p964 +tp965 +a(g13 +Vmyt +p966 +tp967 +a(g900 +V +p968 +tp969 +a(g662 +V- +p970 +tp971 +a(g900 +g968 +tp972 +a(g13 +VProvides +p973 +tp974 +a(g900 +g968 +tp975 +a(g13 +Vsection +p976 +tp977 +a(g900 +g968 +tp978 +a(g13 +Vformatting +p979 +tp980 +a(g900 +g968 +tp981 +a(g13 +Velements +p982 +tp983 +a(g685 +V, +p984 +tp985 +a(g900 +g968 +tp986 +a(g13 +Vsyntax +p987 +tp988 +a(g662 +g970 +tp989 +a(g13 +Vhighlighted +p990 +tp991 +a(g900 +g968 +tp992 +a(g13 +Vcode +p993 +tp994 +a(g900 +g968 +tp995 +a(g13 +Vblocks +p996 +tp997 +a(g685 +g984 +tp998 +a(g900 +g968 +tp999 +a(g675 +Vand +p1000 +tp1001 +a(g900 +g968 +tp1002 +a(g13 +Vother +p1003 +tp1004 +a(g900 +g968 +tp1005 +a(g13 +Vspecial +p1006 +tp1007 +a(g900 +g968 +tp1008 +a(g13 +Vfilters +p1009 +tp1010 +a(g662 +g964 +tp1011 +a(g662 +V< +p1012 +tp1013 +a(g662 +V/ +p1014 +tp1015 +a(g662 +V% +p1016 +tp1017 +a(g13 +Vdoc +p1018 +tp1019 +a(g662 +g960 +tp1020 +a(g900 +V\u000a +p1021 +tp1022 +a(g900 +V\u000a +p1023 +tp1024 +a(g662 +g1012 +tp1025 +a(g662 +g1016 +tp1026 +a(g818 +Vglobal +p1027 +tp1028 +a(g662 +g960 +tp1029 +a(g900 +V\u000a +p1030 +tp1031 +a(g900 +V +p1032 +tp1033 +a(g844 +Vimport +p1034 +tp1035 +a(g900 +g968 +tp1036 +a(g195 +Vstring +p1037 +tp1038 +a(g662 +g984 +tp1039 +a(g900 +g968 +tp1040 +a(g195 +Vre +p1041 +tp1042 +a(g900 +V\u000a +p1043 +tp1044 +a(g900 +V +p1045 +tp1046 +a(g844 +Vimport +p1047 +tp1048 +a(g900 +g968 +tp1049 +a(g195 +Vhighlight +p1050 +tp1051 +a(g900 +V\u000a +p1052 +tp1053 +a(g662 +g1012 +tp1054 +a(g662 +g1014 +tp1055 +a(g662 +g1016 +tp1056 +a(g818 +Vglobal +p1057 +tp1058 +a(g662 +g960 +tp1059 +a(g900 +V\u000a +p1060 +tp1061 +a(g900 +V\u000a +p1062 +tp1063 +a(g662 +g1012 +tp1064 +a(g662 +g1016 +tp1065 +a(g13 +Vmethod +p1066 +tp1067 +a(g900 +g968 +tp1068 +a(g13 +Vsection +p1069 +tp1070 +a(g662 +g960 +tp1071 +a(g900 +V\u000a +p1072 +tp1073 +a(g662 +g1012 +tp1074 +a(g662 +g1016 +tp1075 +a(g13 +Vdoc +p1076 +tp1077 +a(g662 +g960 +tp1078 +a(g13 +VMain +p1079 +tp1080 +a(g900 +g968 +tp1081 +a(g13 +Vsection +p1082 +tp1083 +a(g900 +g968 +tp1084 +a(g13 +Vformatting +p1085 +tp1086 +a(g900 +g968 +tp1087 +a(g13 +Velement +p1088 +tp1089 +a(g662 +g964 +tp1090 +a(g662 +g1012 +tp1091 +a(g662 +g1014 +tp1092 +a(g662 +g1016 +tp1093 +a(g13 +Vdoc +p1094 +tp1095 +a(g662 +g960 +tp1096 +a(g900 +V\u000a +p1097 +tp1098 +a(g662 +g1012 +tp1099 +a(g662 +g1016 +tp1100 +a(g13 +Vargs +p1101 +tp1102 +a(g662 +g960 +tp1103 +a(g900 +V\u000a +p1104 +tp1105 +a(g900 +V +p1106 +tp1107 +a(g13 +Vtoc +p1108 +tp1109 +a(g900 +V\u000a +p1110 +tp1111 +a(g900 +V +p1112 +tp1113 +a(g13 +Vpath +p1114 +tp1115 +a(g900 +V\u000a +p1116 +tp1117 +a(g900 +V +p1118 +tp1119 +a(g13 +Vdescription +p1120 +tp1121 +a(g662 +V= +p1122 +tp1123 +a(g269 +VNone +p1124 +tp1125 +a(g900 +V\u000a +p1126 +tp1127 +a(g900 +V +p1128 +tp1129 +a(g13 +Vonepage +p1130 +tp1131 +a(g662 +g1122 +tp1132 +a(g269 +VFalse +p1133 +tp1134 +a(g900 +V\u000a +p1135 +tp1136 +a(g662 +g1012 +tp1137 +a(g662 +g1014 +tp1138 +a(g662 +g1016 +tp1139 +a(g13 +Vargs +p1140 +tp1141 +a(g662 +g960 +tp1142 +a(g900 +V\u000a +p1143 +tp1144 +a(g662 +g1012 +tp1145 +a(g662 +g1016 +tp1146 +a(g13 +Vinit +p1147 +tp1148 +a(g662 +g960 +tp1149 +a(g900 +V\u000a +p1150 +tp1151 +a(g900 +V +p1152 +tp1153 +a(g13 +Vitem +p1154 +tp1155 +a(g900 +g968 +tp1156 +a(g662 +g1122 +tp1157 +a(g900 +g968 +tp1158 +a(g13 +Vtoc +p1159 +tp1160 +a(g662 +g964 +tp1161 +a(g13 +Vget_by_path +p1162 +tp1163 +a(g685 +V( +p1164 +tp1165 +a(g13 +Vpath +p1166 +tp1167 +a(g685 +V) +p1168 +tp1169 +a(g900 +V\u000a +p1170 +tp1171 +a(g900 +V +p1172 +tp1173 +a(g818 +Vif +p1174 +tp1175 +a(g900 +g968 +tp1176 +a(g13 +Vitem +p1177 +tp1178 +a(g900 +g968 +tp1179 +a(g675 +Vis +p1180 +tp1181 +a(g900 +g968 +tp1182 +a(g269 +VNone +p1183 +tp1184 +a(g685 +V: +p1185 +tp1186 +a(g900 +V\u000a +p1187 +tp1188 +a(g900 +V +p1189 +tp1190 +a(g818 +Vraise +p1191 +tp1192 +a(g900 +g968 +tp1193 +a(g408 +V" +p1194 +tp1195 +a(g408 +Vpath: +p1196 +tp1197 +a(g408 +g1194 +tp1198 +a(g900 +g968 +tp1199 +a(g662 +V+ +p1200 +tp1201 +a(g900 +g968 +tp1202 +a(g13 +Vpath +p1203 +tp1204 +a(g900 +V\u000a +p1205 +tp1206 +a(g662 +g1012 +tp1207 +a(g662 +g1014 +tp1208 +a(g662 +g1016 +tp1209 +a(g13 +Vinit +p1210 +tp1211 +a(g662 +g960 +tp1212 +a(g900 +V\u000a +p1213 +tp1214 +a(g900 +V\u000a +p1215 +tp1216 +a(g662 +g1012 +tp1217 +a(g13 +VA +p1218 +tp1219 +a(g900 +g968 +tp1220 +a(g13 +Vname +p1221 +tp1222 +a(g662 +g1122 +tp1223 +a(g408 +g1194 +tp1224 +a(g408 +g1012 +tp1225 +a(g376 +V% i +p1226 +tp1227 +a(g408 +Vtem.path +p1228 +tp1229 +a(g8 +V%> +p1230 +tp1231 +a(g794 +V">\u000a\u000a
    \u000a\u000a +p1255 +tp1256 +a(g8 +V<% +p1257 +tp1258 +a(g13 +Vpython +p1259 +tp1260 +a(g662 +g960 +tp1261 +a(g900 +V\u000a +p1262 +tp1263 +a(g900 +V +p1264 +tp1265 +a(g13 +Vcontent +p1266 +tp1267 +a(g900 +g968 +tp1268 +a(g662 +g1122 +tp1269 +a(g900 +g968 +tp1270 +a(g13 +Vm +p1271 +tp1272 +a(g662 +g964 +tp1273 +a(g13 +Vcontent +p1274 +tp1275 +a(g685 +g1164 +tp1276 +a(g685 +g1168 +tp1277 +a(g900 +V\u000a +p1278 +tp1279 +a(g900 +V +p1280 +tp1281 +a(g13 +Vre2 +p1282 +tp1283 +a(g900 +g968 +tp1284 +a(g662 +g1122 +tp1285 +a(g900 +g968 +tp1286 +a(g13 +Vre +p1287 +tp1288 +a(g662 +g964 +tp1289 +a(g13 +Vcompile +p1290 +tp1291 +a(g685 +g1164 +tp1292 +a(g432 +Vr +p1293 +tp1294 +a(g408 +g1194 +tp1295 +a(g408 +V' +p1296 +tp1297 +a(g408 +g1296 +tp1298 +a(g408 +g1296 +tp1299 +a(g408 +VPYESC(.+?)PYESC +p1300 +tp1301 +a(g408 +g1296 +tp1302 +a(g408 +g1296 +tp1303 +a(g408 +g1296 +tp1304 +a(g408 +g1194 +tp1305 +a(g685 +g984 +tp1306 +a(g900 +g968 +tp1307 +a(g13 +Vre +p1308 +tp1309 +a(g662 +g964 +tp1310 +a(g13 +VS +p1311 +tp1312 +a(g685 +g1168 +tp1313 +a(g900 +V\u000a +p1314 +tp1315 +a(g900 +V +p1316 +tp1317 +a(g13 +Vcontent +p1318 +tp1319 +a(g900 +g968 +tp1320 +a(g662 +g1122 +tp1321 +a(g900 +g968 +tp1322 +a(g13 +Vre2 +p1323 +tp1324 +a(g662 +g964 +tp1325 +a(g13 +Vsub +p1326 +tp1327 +a(g685 +g1164 +tp1328 +a(g818 +Vlambda +p1329 +tp1330 +a(g900 +g968 +tp1331 +a(g13 +g1271 +tp1332 +a(g685 +g1185 +tp1333 +a(g900 +g968 +tp1334 +a(g13 +g1271 +tp1335 +a(g662 +g964 +tp1336 +a(g13 +Vgroup +p1337 +tp1338 +a(g685 +g1164 +tp1339 +a(g290 +V1 +p1340 +tp1341 +a(g685 +g1168 +tp1342 +a(g685 +g984 +tp1343 +a(g900 +g968 +tp1344 +a(g13 +Vcontent +p1345 +tp1346 +a(g685 +g1168 +tp1347 +a(g900 +V\u000a +p1348 +tp1349 +a(g662 +g1012 +tp1350 +a(g662 +g1014 +tp1351 +a(g662 +g1016 +tp1352 +a(g13 +Vpython +p1353 +tp1354 +a(g662 +g960 +tp1355 +a(g900 +V\u000a +p1356 +tp1357 +a(g900 +V\u000a +p1358 +tp1359 +a(g662 +g1016 +tp1360 +a(g900 +g968 +tp1361 +a(g818 +Vif +p1362 +tp1363 +a(g900 +g968 +tp1364 +a(g13 +Vitem +p1365 +tp1366 +a(g662 +g964 +tp1367 +a(g13 +Vdepth +p1368 +tp1369 +a(g900 +g968 +tp1370 +a(g662 +g960 +tp1371 +a(g900 +g968 +tp1372 +a(g290 +g1340 +tp1373 +a(g685 +g1185 +tp1374 +a(g900 +V\u000a +p1375 +tp1376 +a(g662 +g1012 +tp1377 +a(g13 +Vh3 +p1378 +tp1379 +a(g662 +g960 +tp1380 +a(g662 +g1012 +tp1381 +a(g662 +g1016 +tp1382 +a(g900 +g968 +tp1383 +a(g13 +Vdescription +p1384 +tp1385 +a(g900 +g968 +tp1386 +a(g675 +Vor +p1387 +tp1388 +a(g900 +g968 +tp1389 +a(g13 +Vitem +p1390 +tp1391 +a(g662 +g964 +tp1392 +a(g13 +Vdescription +p1393 +tp1394 +a(g900 +g968 +tp1395 +a(g8 +V%> +p1396 +tp1397 +a(g794 +V\u000a +p1398 +tp1399 +a(g8 +g1016 +tp1400 +a(g794 +V\u000a +p1401 +tp1402 +a(g900 +V\u000a +p1403 +tp1404 +a(g794 +V
    \u000a +p1405 +tp1406 +a(g8 +V<% +p1407 +tp1408 +a(g900 +g968 +tp1409 +a(g13 +Vcontent +p1410 +tp1411 +a(g900 +g968 +tp1412 +a(g8 +V%> +p1413 +tp1414 +a(g900 +V\u000a +p1415 +tp1416 +a(g794 +V
    \u000a\u000a +p1417 +tp1418 +a(g8 +g1016 +tp1419 +a(g900 +g968 +tp1420 +a(g818 +Vif +p1421 +tp1422 +a(g900 +g968 +tp1423 +a(g13 +Vonepage +p1424 +tp1425 +a(g900 +g968 +tp1426 +a(g675 +Vor +p1427 +tp1428 +a(g900 +g968 +tp1429 +a(g13 +Vitem +p1430 +tp1431 +a(g662 +g964 +tp1432 +a(g13 +Vdepth +p1433 +tp1434 +a(g900 +g968 +tp1435 +a(g662 +g960 +tp1436 +a(g900 +g968 +tp1437 +a(g290 +g1340 +tp1438 +a(g685 +g1185 +tp1439 +a(g794 +V\u000a +p1440 +tp1441 +a(g8 +g1016 +tp1442 +a(g900 +V +p1443 +tp1444 +a(g818 +Vif +p1445 +tp1446 +a(g900 +g968 +tp1447 +a(g685 +g1164 +tp1448 +a(g13 +Vitem +p1449 +tp1450 +a(g662 +g964 +tp1451 +a(g13 +Vnext +p1452 +tp1453 +a(g900 +g968 +tp1454 +a(g675 +Vand +p1455 +tp1456 +a(g900 +g968 +tp1457 +a(g13 +Vitem +p1458 +tp1459 +a(g662 +g964 +tp1460 +a(g13 +Vnext +p1461 +tp1462 +a(g662 +g964 +tp1463 +a(g13 +Vdepth +p1464 +tp1465 +a(g900 +g968 +tp1466 +a(g662 +g960 +tp1467 +a(g662 +g1122 +tp1468 +a(g900 +g968 +tp1469 +a(g13 +Vitem +p1470 +tp1471 +a(g662 +g964 +tp1472 +a(g13 +Vdepth +p1473 +tp1474 +a(g685 +g1168 +tp1475 +a(g685 +g1185 +tp1476 +a(g794 +V\u000a +p1477 +tp1478 +a(g900 +V +p1479 +tp1480 +a(g794 +Vback to section top\u000a +p1499 +tp1500 +a(g8 +g1016 +tp1501 +a(g794 +V\u000a +p1502 +tp1503 +a(g8 +g1016 +tp1504 +a(g900 +g968 +tp1505 +a(g818 +Velse +p1506 +tp1507 +a(g685 +g1185 +tp1508 +a(g794 +V\u000a +p1509 +tp1510 +a(g900 +V +p1511 +tp1512 +a(g794 +Vback to section top\u000a +p1531 +tp1532 +a(g8 +V<& +p1533 +tp1534 +a(g148 +Vnav.myt:pagenav +p1535 +tp1536 +a(g685 +g984 +tp1537 +a(g900 +g968 +tp1538 +a(g13 +Vitem +p1539 +tp1540 +a(g662 +g1122 +tp1541 +a(g13 +Vitem +p1542 +tp1543 +a(g685 +g984 +tp1544 +a(g900 +g968 +tp1545 +a(g13 +Vonepage +p1546 +tp1547 +a(g662 +g1122 +tp1548 +a(g13 +Vonepage +p1549 +tp1550 +a(g900 +g968 +tp1551 +a(g8 +V&> +p1552 +tp1553 +a(g900 +V\u000a +p1554 +tp1555 +a(g8 +g1016 +tp1556 +a(g900 +g968 +tp1557 +a(g794 +V\u000a +p1558 +tp1559 +a(g794 +V
    \u000a\u000a +p1560 +tp1561 +a(g794 +V\u000a\u000a\u000a +p1562 +tp1563 +a(g8 +V<% +p1564 +tp1565 +a(g13 +Vmethod +p1566 +tp1567 +a(g900 +g968 +tp1568 +a(g13 +Vformatplain +p1569 +tp1570 +a(g662 +g960 +tp1571 +a(g900 +V\u000a +p1572 +tp1573 +a(g900 +V +p1574 +tp1575 +a(g662 +g1012 +tp1576 +a(g662 +g1016 +tp1577 +a(g257 +Vfilter +p1578 +tp1579 +a(g662 +g960 +tp1580 +a(g900 +V\u000a +p1581 +tp1582 +a(g900 +V +p1583 +tp1584 +a(g844 +Vimport +p1585 +tp1586 +a(g900 +g968 +tp1587 +a(g195 +Vre +p1588 +tp1589 +a(g900 +V\u000a +p1590 +tp1591 +a(g900 +V +p1592 +tp1593 +a(g13 +Vf +p1594 +tp1595 +a(g900 +g968 +tp1596 +a(g662 +g1122 +tp1597 +a(g900 +g968 +tp1598 +a(g13 +Vre +p1599 +tp1600 +a(g662 +g964 +tp1601 +a(g13 +Vsub +p1602 +tp1603 +a(g685 +g1164 +tp1604 +a(g432 +g1293 +tp1605 +a(g480 +g1296 +tp1606 +a(g480 +V\u005c +p1607 +tp1608 +a(g480 +Vn[ +p1609 +tp1610 +a(g480 +V\u005c +p1611 +tp1612 +a(g480 +Vs +p1613 +tp1614 +a(g480 +V\u005c +p1615 +tp1616 +a(g480 +Vt]* +p1617 +tp1618 +a(g480 +V\u005c +p1619 +tp1620 +a(g480 +Vn[ +p1621 +tp1622 +a(g480 +V\u005c +p1623 +tp1624 +a(g480 +g1613 +tp1625 +a(g480 +V\u005c +p1626 +tp1627 +a(g480 +Vt]* +p1628 +tp1629 +a(g480 +g1296 +tp1630 +a(g685 +g984 +tp1631 +a(g900 +g968 +tp1632 +a(g480 +g1296 +tp1633 +a(g480 +V

    +p1634 +tp1635 +a(g463 +V\u005cn +p1636 +tp1637 +a(g480 +V

    +p1638 +tp1639 +a(g480 +g1296 +tp1640 +a(g685 +g984 +tp1641 +a(g900 +g968 +tp1642 +a(g13 +g1594 +tp1643 +a(g685 +g1168 +tp1644 +a(g900 +V\u000a +p1645 +tp1646 +a(g900 +V +p1647 +tp1648 +a(g13 +g1594 +tp1649 +a(g900 +g968 +tp1650 +a(g662 +g1122 +tp1651 +a(g900 +g968 +tp1652 +a(g408 +g1194 +tp1653 +a(g408 +V

    +p1654 +tp1655 +a(g408 +g1194 +tp1656 +a(g900 +g968 +tp1657 +a(g662 +g1200 +tp1658 +a(g900 +g968 +tp1659 +a(g13 +g1594 +tp1660 +a(g900 +g968 +tp1661 +a(g662 +g1200 +tp1662 +a(g900 +g968 +tp1663 +a(g408 +g1194 +tp1664 +a(g408 +V

    +p1665 +tp1666 +a(g408 +g1194 +tp1667 +a(g900 +V\u000a +p1668 +tp1669 +a(g900 +V +p1670 +tp1671 +a(g818 +Vreturn +p1672 +tp1673 +a(g900 +g968 +tp1674 +a(g13 +g1594 +tp1675 +a(g900 +V\u000a +p1676 +tp1677 +a(g900 +V +p1678 +tp1679 +a(g662 +g1012 +tp1680 +a(g662 +g1014 +tp1681 +a(g662 +g1016 +tp1682 +a(g257 +Vfilter +p1683 +tp1684 +a(g662 +g960 +tp1685 +a(g900 +V\u000a +p1686 +tp1687 +a(g662 +g1012 +tp1688 +a(g662 +g1016 +tp1689 +a(g900 +g968 +tp1690 +a(g13 +g1271 +tp1691 +a(g662 +g964 +tp1692 +a(g13 +Vcontent +p1693 +tp1694 +a(g685 +g1164 +tp1695 +a(g685 +g1168 +tp1696 +a(g900 +g968 +tp1697 +a(g662 +V| +p1698 +tp1699 +a(g900 +g968 +tp1700 +a(g13 +Vh +p1701 +tp1702 +a(g8 +V%> +p1703 +tp1704 +a(g900 +V\u000a +p1705 +tp1706 +a(g794 +V\u000a\u000a\u000a\u000a\u000a +p1707 +tp1708 +a(g8 +V<% +p1709 +tp1710 +a(g13 +Vmethod +p1711 +tp1712 +a(g900 +g968 +tp1713 +a(g13 +Vcodeline +p1714 +tp1715 +a(g900 +g968 +tp1716 +a(g13 +Vtrim +p1717 +tp1718 +a(g662 +g1122 +tp1719 +a(g408 +g1194 +tp1720 +a(g408 +Vboth +p1721 +tp1722 +a(g408 +g1194 +tp1723 +a(g662 +g960 +tp1724 +a(g900 +V\u000a +p1725 +tp1726 +a(g662 +g1012 +tp1727 +a(g13 +Vspan +p1728 +tp1729 +a(g900 +g968 +tp1730 +a(g13 +Vclass +p1731 +tp1732 +a(g662 +g1122 +tp1733 +a(g408 +g1194 +tp1734 +a(g408 +Vcodeline +p1735 +tp1736 +a(g408 +g1194 +tp1737 +a(g662 +g960 +tp1738 +a(g662 +g1012 +tp1739 +a(g662 +g1016 +tp1740 +a(g900 +g968 +tp1741 +a(g13 +g1271 +tp1742 +a(g662 +g964 +tp1743 +a(g13 +Vcontent +p1744 +tp1745 +a(g685 +g1164 +tp1746 +a(g685 +g1168 +tp1747 +a(g900 +g968 +tp1748 +a(g8 +V%> +p1749 +tp1750 +a(g794 +V\u000a +p1751 +tp1752 +a(g794 +V\u000a\u000a +p1753 +tp1754 +a(g8 +V<% +p1755 +tp1756 +a(g13 +Vmethod +p1757 +tp1758 +a(g900 +g968 +tp1759 +a(g13 +Vcode +p1760 +tp1761 +a(g900 +g968 +tp1762 +a(g13 +Vautoflush +p1763 +tp1764 +a(g662 +g1122 +tp1765 +a(g269 +VFalse +p1766 +tp1767 +a(g662 +g960 +tp1768 +a(g900 +V\u000a +p1769 +tp1770 +a(g662 +g1012 +tp1771 +a(g662 +g1016 +tp1772 +a(g13 +Vargs +p1773 +tp1774 +a(g662 +g960 +tp1775 +a(g900 +V\u000a +p1776 +tp1777 +a(g900 +V +p1778 +tp1779 +a(g13 +Vtitle +p1780 +tp1781 +a(g900 +g968 +tp1782 +a(g662 +g1122 +tp1783 +a(g900 +g968 +tp1784 +a(g269 +VNone +p1785 +tp1786 +a(g900 +V\u000a +p1787 +tp1788 +a(g900 +V +p1789 +tp1790 +a(g13 +Vsyntaxtype +p1791 +tp1792 +a(g900 +g968 +tp1793 +a(g662 +g1122 +tp1794 +a(g900 +g968 +tp1795 +a(g480 +g1296 +tp1796 +a(g480 +Vpython +p1797 +tp1798 +a(g480 +g1296 +tp1799 +a(g900 +V\u000a +p1800 +tp1801 +a(g900 +V +p1802 +tp1803 +a(g13 +Vhtml_escape +p1804 +tp1805 +a(g900 +g968 +tp1806 +a(g662 +g1122 +tp1807 +a(g900 +g968 +tp1808 +a(g269 +VFalse +p1809 +tp1810 +a(g900 +V\u000a +p1811 +tp1812 +a(g900 +V +p1813 +tp1814 +a(g13 +Vuse_sliders +p1815 +tp1816 +a(g900 +g968 +tp1817 +a(g662 +g1122 +tp1818 +a(g900 +g968 +tp1819 +a(g269 +VFalse +p1820 +tp1821 +a(g900 +V\u000a +p1822 +tp1823 +a(g662 +g1012 +tp1824 +a(g662 +g1014 +tp1825 +a(g662 +g1016 +tp1826 +a(g13 +Vargs +p1827 +tp1828 +a(g662 +g960 +tp1829 +a(g900 +V\u000a +p1830 +tp1831 +a(g900 +V\u000a +p1832 +tp1833 +a(g662 +g1012 +tp1834 +a(g662 +g1016 +tp1835 +a(g13 +Vinit +p1836 +tp1837 +a(g662 +g960 +tp1838 +a(g900 +V\u000a +p1839 +tp1840 +a(g900 +V +p1841 +tp1842 +a(g818 +Vdef +p1843 +tp1844 +a(g900 +g968 +tp1845 +a(g148 +Vfix_indent +p1846 +tp1847 +a(g685 +g1164 +tp1848 +a(g13 +g1594 +tp1849 +a(g685 +g1168 +tp1850 +a(g685 +g1185 +tp1851 +a(g900 +V\u000a +p1852 +tp1853 +a(g900 +V +p1854 +tp1855 +a(g13 +g1594 +tp1856 +a(g900 +g968 +tp1857 +a(g662 +g1122 +tp1858 +a(g13 +Vstring +p1859 +tp1860 +a(g662 +g964 +tp1861 +a(g13 +Vexpandtabs +p1862 +tp1863 +a(g685 +g1164 +tp1864 +a(g13 +g1594 +tp1865 +a(g685 +g984 +tp1866 +a(g900 +g968 +tp1867 +a(g290 +V4 +p1868 +tp1869 +a(g685 +g1168 +tp1870 +a(g900 +V\u000a +p1871 +tp1872 +a(g900 +V +p1873 +tp1874 +a(g13 +Vg +p1875 +tp1876 +a(g900 +g968 +tp1877 +a(g662 +g1122 +tp1878 +a(g900 +g968 +tp1879 +a(g480 +g1296 +tp1880 +a(g480 +g1296 +tp1881 +a(g900 +V\u000a +p1882 +tp1883 +a(g900 +V +p1884 +tp1885 +a(g13 +Vlines +p1886 +tp1887 +a(g900 +g968 +tp1888 +a(g662 +g1122 +tp1889 +a(g900 +g968 +tp1890 +a(g13 +Vstring +p1891 +tp1892 +a(g662 +g964 +tp1893 +a(g13 +Vsplit +p1894 +tp1895 +a(g685 +g1164 +tp1896 +a(g13 +g1594 +tp1897 +a(g685 +g984 +tp1898 +a(g900 +g968 +tp1899 +a(g408 +g1194 +tp1900 +a(g463 +V\u005cn +p1901 +tp1902 +a(g408 +g1194 +tp1903 +a(g685 +g1168 +tp1904 +a(g900 +V\u000a +p1905 +tp1906 +a(g900 +V +p1907 +tp1908 +a(g13 +Vwhitespace +p1909 +tp1910 +a(g900 +g968 +tp1911 +a(g662 +g1122 +tp1912 +a(g900 +g968 +tp1913 +a(g269 +VNone +p1914 +tp1915 +a(g900 +V\u000a +p1916 +tp1917 +a(g900 +V +p1918 +tp1919 +a(g818 +Vfor +p1920 +tp1921 +a(g900 +g968 +tp1922 +a(g13 +Vline +p1923 +tp1924 +a(g900 +g968 +tp1925 +a(g675 +Vin +p1926 +tp1927 +a(g900 +g968 +tp1928 +a(g13 +Vlines +p1929 +tp1930 +a(g685 +g1185 +tp1931 +a(g900 +V\u000a +p1932 +tp1933 +a(g900 +V +p1934 +tp1935 +a(g818 +Vif +p1936 +tp1937 +a(g900 +g968 +tp1938 +a(g13 +Vwhitespace +p1939 +tp1940 +a(g900 +g968 +tp1941 +a(g675 +Vis +p1942 +tp1943 +a(g900 +g968 +tp1944 +a(g269 +VNone +p1945 +tp1946 +a(g685 +g1185 +tp1947 +a(g900 +V\u000a +p1948 +tp1949 +a(g900 +V +p1950 +tp1951 +a(g13 +Vmatch +p1952 +tp1953 +a(g900 +g968 +tp1954 +a(g662 +g1122 +tp1955 +a(g900 +g968 +tp1956 +a(g13 +Vre +p1957 +tp1958 +a(g662 +g964 +tp1959 +a(g13 +Vmatch +p1960 +tp1961 +a(g685 +g1164 +tp1962 +a(g432 +g1293 +tp1963 +a(g408 +g1194 +tp1964 +a(g408 +V^([ ]*).+ +p1965 +tp1966 +a(g408 +g1194 +tp1967 +a(g685 +g984 +tp1968 +a(g900 +g968 +tp1969 +a(g13 +Vline +p1970 +tp1971 +a(g685 +g1168 +tp1972 +a(g900 +V\u000a +p1973 +tp1974 +a(g900 +V +p1975 +tp1976 +a(g818 +Vif +p1977 +tp1978 +a(g900 +g968 +tp1979 +a(g13 +Vmatch +p1980 +tp1981 +a(g900 +g968 +tp1982 +a(g675 +Vis +p1983 +tp1984 +a(g900 +g968 +tp1985 +a(g675 +Vnot +p1986 +tp1987 +a(g900 +g968 +tp1988 +a(g269 +VNone +p1989 +tp1990 +a(g685 +g1185 +tp1991 +a(g900 +V\u000a +p1992 +tp1993 +a(g900 +V +p1994 +tp1995 +a(g13 +Vwhitespace +p1996 +tp1997 +a(g900 +g968 +tp1998 +a(g662 +g1122 +tp1999 +a(g900 +g968 +tp2000 +a(g13 +Vmatch +p2001 +tp2002 +a(g662 +g964 +tp2003 +a(g13 +Vgroup +p2004 +tp2005 +a(g685 +g1164 +tp2006 +a(g290 +g1340 +tp2007 +a(g685 +g1168 +tp2008 +a(g900 +V\u000a +p2009 +tp2010 +a(g900 +V\u000a +p2011 +tp2012 +a(g900 +V +p2013 +tp2014 +a(g818 +Vif +p2015 +tp2016 +a(g900 +g968 +tp2017 +a(g13 +Vwhitespace +p2018 +tp2019 +a(g900 +g968 +tp2020 +a(g675 +Vis +p2021 +tp2022 +a(g900 +g968 +tp2023 +a(g675 +Vnot +p2024 +tp2025 +a(g900 +g968 +tp2026 +a(g269 +VNone +p2027 +tp2028 +a(g685 +g1185 +tp2029 +a(g900 +V\u000a +p2030 +tp2031 +a(g900 +V +p2032 +tp2033 +a(g13 +Vline +p2034 +tp2035 +a(g900 +g968 +tp2036 +a(g662 +g1122 +tp2037 +a(g900 +g968 +tp2038 +a(g13 +Vre +p2039 +tp2040 +a(g662 +g964 +tp2041 +a(g13 +Vsub +p2042 +tp2043 +a(g685 +g1164 +tp2044 +a(g432 +g1293 +tp2045 +a(g408 +g1194 +tp2046 +a(g408 +V^ +p2047 +tp2048 +a(g376 +V%s +p2049 +tp2050 +a(g408 +g1194 +tp2051 +a(g900 +g968 +tp2052 +a(g662 +g1016 +tp2053 +a(g900 +g968 +tp2054 +a(g13 +Vwhitespace +p2055 +tp2056 +a(g685 +g984 +tp2057 +a(g900 +g968 +tp2058 +a(g408 +g1194 +tp2059 +a(g408 +g1194 +tp2060 +a(g685 +g984 +tp2061 +a(g900 +g968 +tp2062 +a(g13 +Vline +p2063 +tp2064 +a(g685 +g1168 +tp2065 +a(g900 +V\u000a +p2066 +tp2067 +a(g900 +V\u000a +p2068 +tp2069 +a(g900 +V +p2070 +tp2071 +a(g818 +Vif +p2072 +tp2073 +a(g900 +g968 +tp2074 +a(g13 +Vwhitespace +p2075 +tp2076 +a(g900 +g968 +tp2077 +a(g675 +Vis +p2078 +tp2079 +a(g900 +g968 +tp2080 +a(g675 +Vnot +p2081 +tp2082 +a(g900 +g968 +tp2083 +a(g269 +VNone +p2084 +tp2085 +a(g900 +g968 +tp2086 +a(g675 +Vor +p2087 +tp2088 +a(g900 +g968 +tp2089 +a(g13 +Vre +p2090 +tp2091 +a(g662 +g964 +tp2092 +a(g13 +Vsearch +p2093 +tp2094 +a(g685 +g1164 +tp2095 +a(g432 +g1293 +tp2096 +a(g408 +g1194 +tp2097 +a(g408 +V\u005c +p2098 +tp2099 +a(g408 +Vw +p2100 +tp2101 +a(g408 +g1194 +tp2102 +a(g685 +g984 +tp2103 +a(g900 +g968 +tp2104 +a(g13 +Vline +p2105 +tp2106 +a(g685 +g1168 +tp2107 +a(g900 +g968 +tp2108 +a(g675 +Vis +p2109 +tp2110 +a(g900 +g968 +tp2111 +a(g675 +Vnot +p2112 +tp2113 +a(g900 +g968 +tp2114 +a(g269 +VNone +p2115 +tp2116 +a(g685 +g1185 +tp2117 +a(g900 +V\u000a +p2118 +tp2119 +a(g900 +V +p2120 +tp2121 +a(g13 +g1875 +tp2122 +a(g900 +g968 +tp2123 +a(g662 +g1200 +tp2124 +a(g662 +g1122 +tp2125 +a(g900 +g968 +tp2126 +a(g685 +g1164 +tp2127 +a(g13 +Vline +p2128 +tp2129 +a(g900 +g968 +tp2130 +a(g662 +g1200 +tp2131 +a(g900 +g968 +tp2132 +a(g408 +g1194 +tp2133 +a(g463 +V\u005cn +p2134 +tp2135 +a(g408 +g1194 +tp2136 +a(g685 +g1168 +tp2137 +a(g900 +V\u000a +p2138 +tp2139 +a(g900 +V\u000a +p2140 +tp2141 +a(g900 +V\u000a +p2142 +tp2143 +a(g900 +V +p2144 +tp2145 +a(g818 +Vreturn +p2146 +tp2147 +a(g900 +g968 +tp2148 +a(g13 +g1875 +tp2149 +a(g662 +g964 +tp2150 +a(g13 +Vrstrip +p2151 +tp2152 +a(g685 +g1164 +tp2153 +a(g685 +g1168 +tp2154 +a(g900 +V\u000a +p2155 +tp2156 +a(g900 +V\u000a +p2157 +tp2158 +a(g900 +V +p2159 +tp2160 +a(g13 +Vp +p2161 +tp2162 +a(g900 +g968 +tp2163 +a(g662 +g1122 +tp2164 +a(g900 +g968 +tp2165 +a(g13 +Vre +p2166 +tp2167 +a(g662 +g964 +tp2168 +a(g13 +Vcompile +p2169 +tp2170 +a(g685 +g1164 +tp2171 +a(g432 +g1293 +tp2172 +a(g480 +g1296 +tp2173 +a(g480 +V
    (.*?)
    +p2174 +tp2175 +a(g480 +g1296 +tp2176 +a(g685 +g984 +tp2177 +a(g900 +g968 +tp2178 +a(g13 +Vre +p2179 +tp2180 +a(g662 +g964 +tp2181 +a(g13 +g1311 +tp2182 +a(g685 +g1168 +tp2183 +a(g900 +V\u000a +p2184 +tp2185 +a(g900 +V +p2186 +tp2187 +a(g818 +Vdef +p2188 +tp2189 +a(g900 +g968 +tp2190 +a(g148 +Vhlight +p2191 +tp2192 +a(g685 +g1164 +tp2193 +a(g13 +Vmatch +p2194 +tp2195 +a(g685 +g1168 +tp2196 +a(g685 +g1185 +tp2197 +a(g900 +V\u000a +p2198 +tp2199 +a(g900 +V +p2200 +tp2201 +a(g818 +Vreturn +p2202 +tp2203 +a(g900 +g968 +tp2204 +a(g408 +g1194 +tp2205 +a(g408 +V
    +p2206
    +tp2207
    +a(g408
    +g1194
    +tp2208
    +a(g900
    +g968
    +tp2209
    +a(g662
    +g1200
    +tp2210
    +a(g900
    +g968
    +tp2211
    +a(g13
    +Vhighlight
    +p2212
    +tp2213
    +a(g662
    +g964
    +tp2214
    +a(g13
    +Vhighlight
    +p2215
    +tp2216
    +a(g685
    +g1164
    +tp2217
    +a(g13
    +Vfix_indent
    +p2218
    +tp2219
    +a(g685
    +g1164
    +tp2220
    +a(g13
    +Vmatch
    +p2221
    +tp2222
    +a(g662
    +g964
    +tp2223
    +a(g13
    +Vgroup
    +p2224
    +tp2225
    +a(g685
    +g1164
    +tp2226
    +a(g290
    +g1340
    +tp2227
    +a(g685
    +g1168
    +tp2228
    +a(g685
    +g1168
    +tp2229
    +a(g685
    +g984
    +tp2230
    +a(g900
    +g968
    +tp2231
    +a(g13
    +Vhtml_escape
    +p2232
    +tp2233
    +a(g900
    +g968
    +tp2234
    +a(g662
    +g1122
    +tp2235
    +a(g900
    +g968
    +tp2236
    +a(g13
    +Vhtml_escape
    +p2237
    +tp2238
    +a(g685
    +g984
    +tp2239
    +a(g900
    +g968
    +tp2240
    +a(g13
    +Vsyntaxtype
    +p2241
    +tp2242
    +a(g900
    +g968
    +tp2243
    +a(g662
    +g1122
    +tp2244
    +a(g900
    +g968
    +tp2245
    +a(g13
    +Vsyntaxtype
    +p2246
    +tp2247
    +a(g685
    +g1168
    +tp2248
    +a(g900
    +g968
    +tp2249
    +a(g662
    +g1200
    +tp2250
    +a(g900
    +g968
    +tp2251
    +a(g408
    +g1194
    +tp2252
    +a(g408
    +V
    +p2253 +tp2254 +a(g408 +g1194 +tp2255 +a(g900 +V\u000a +p2256 +tp2257 +a(g900 +V +p2258 +tp2259 +a(g13 +Vcontent +p2260 +tp2261 +a(g900 +g968 +tp2262 +a(g662 +g1122 +tp2263 +a(g900 +g968 +tp2264 +a(g13 +g2161 +tp2265 +a(g662 +g964 +tp2266 +a(g13 +Vsub +p2267 +tp2268 +a(g685 +g1164 +tp2269 +a(g13 +Vhlight +p2270 +tp2271 +a(g685 +g984 +tp2272 +a(g900 +g968 +tp2273 +a(g408 +g1194 +tp2274 +a(g408 +V
    +p2275
    +tp2276
    +a(g408
    +g1194
    +tp2277
    +a(g900
    +g968
    +tp2278
    +a(g662
    +g1200
    +tp2279
    +a(g900
    +g968
    +tp2280
    +a(g13
    +g1271
    +tp2281
    +a(g662
    +g964
    +tp2282
    +a(g13
    +Vcontent
    +p2283
    +tp2284
    +a(g685
    +g1164
    +tp2285
    +a(g685
    +g1168
    +tp2286
    +a(g900
    +g968
    +tp2287
    +a(g662
    +g1200
    +tp2288
    +a(g900
    +g968
    +tp2289
    +a(g408
    +g1194
    +tp2290
    +a(g408
    +V
    +p2291 +tp2292 +a(g408 +g1194 +tp2293 +a(g685 +g1168 +tp2294 +a(g900 +V\u000a +p2295 +tp2296 +a(g662 +g1012 +tp2297 +a(g662 +g1014 +tp2298 +a(g662 +g1016 +tp2299 +a(g13 +Vinit +p2300 +tp2301 +a(g662 +g960 +tp2302 +a(g900 +V\u000a +p2303 +tp2304 +a(g662 +g1012 +tp2305 +a(g13 +Vdiv +p2306 +tp2307 +a(g900 +g968 +tp2308 +a(g13 +Vclass +p2309 +tp2310 +a(g662 +g1122 +tp2311 +a(g408 +g1194 +tp2312 +a(g408 +g1012 +tp2313 +a(g376 +V% u +p2314 +tp2315 +a(g408 +Vse_sliders and +p2316 +tp2317 +a(g408 +g1194 +tp2318 +a(g13 +Vsliding_code +p2319 +tp2320 +a(g408 +g1194 +tp2321 +a(g408 +V or +p2322 +tp2323 +a(g408 +g1194 +tp2324 +a(g13 +Vcode +p2325 +tp2326 +a(g408 +g1194 +tp2327 +a(g408 +g968 +tp2328 +a(g8 +V%> +p2329 +tp2330 +a(g794 +V">\u000a +p2331 +tp2332 +a(g8 +g1016 +tp2333 +a(g900 +g968 +tp2334 +a(g818 +Vif +p2335 +tp2336 +a(g900 +g968 +tp2337 +a(g13 +Vtitle +p2338 +tp2339 +a(g900 +g968 +tp2340 +a(g675 +Vis +p2341 +tp2342 +a(g900 +g968 +tp2343 +a(g675 +Vnot +p2344 +tp2345 +a(g900 +g968 +tp2346 +a(g269 +VNone +p2347 +tp2348 +a(g685 +g1185 +tp2349 +a(g794 +V\u000a +p2350 +tp2351 +a(g900 +V +p2352 +tp2353 +a(g794 +V
    +p2354 +tp2355 +a(g8 +V<% +p2356 +tp2357 +a(g900 +g968 +tp2358 +a(g13 +Vtitle +p2359 +tp2360 +a(g900 +g968 +tp2361 +a(g8 +V%> +p2362 +tp2363 +a(g794 +V
    \u000a +p2364 +tp2365 +a(g8 +g1016 +tp2366 +a(g794 +V\u000a +p2367 +tp2368 +a(g8 +V<% +p2369 +tp2370 +a(g900 +g968 +tp2371 +a(g13 +Vcontent +p2372 +tp2373 +a(g900 +g968 +tp2374 +a(g8 +V%> +p2375 +tp2376 +a(g794 +V\u000a +p2377 +tp2378 +a(g794 +V\u000a\u000a\u000a\u000a\u000a +p2379 +tp2380 +a(g8 +V<% +p2381 +tp2382 +a(g13 +Vmethod +p2383 +tp2384 +a(g900 +g968 +tp2385 +a(g13 +Vpopboxlink +p2386 +tp2387 +a(g900 +g968 +tp2388 +a(g13 +Vtrim +p2389 +tp2390 +a(g662 +g1122 +tp2391 +a(g408 +g1194 +tp2392 +a(g408 +Vboth +p2393 +tp2394 +a(g408 +g1194 +tp2395 +a(g662 +g960 +tp2396 +a(g900 +g968 +tp2397 +a(g900 +V\u000a +p2398 +tp2399 +a(g900 +V +p2400 +tp2401 +a(g662 +g1012 +tp2402 +a(g662 +g1016 +tp2403 +a(g13 +Vargs +p2404 +tp2405 +a(g662 +g960 +tp2406 +a(g900 +V\u000a +p2407 +tp2408 +a(g900 +V +p2409 +tp2410 +a(g13 +Vname +p2411 +tp2412 +a(g662 +g1122 +tp2413 +a(g269 +VNone +p2414 +tp2415 +a(g900 +V\u000a +p2416 +tp2417 +a(g900 +V +p2418 +tp2419 +a(g13 +Vshow +p2420 +tp2421 +a(g662 +g1122 +tp2422 +a(g480 +g1296 +tp2423 +a(g480 +Vshow +p2424 +tp2425 +a(g480 +g1296 +tp2426 +a(g900 +V\u000a +p2427 +tp2428 +a(g900 +V +p2429 +tp2430 +a(g13 +Vhide +p2431 +tp2432 +a(g662 +g1122 +tp2433 +a(g480 +g1296 +tp2434 +a(g480 +Vhide +p2435 +tp2436 +a(g480 +g1296 +tp2437 +a(g900 +V\u000a +p2438 +tp2439 +a(g900 +V +p2440 +tp2441 +a(g662 +g1012 +tp2442 +a(g662 +g1014 +tp2443 +a(g662 +g1016 +tp2444 +a(g13 +Vargs +p2445 +tp2446 +a(g662 +g960 +tp2447 +a(g900 +V\u000a +p2448 +tp2449 +a(g900 +V +p2450 +tp2451 +a(g662 +g1012 +tp2452 +a(g662 +g1016 +tp2453 +a(g13 +Vinit +p2454 +tp2455 +a(g662 +g960 +tp2456 +a(g900 +V\u000a +p2457 +tp2458 +a(g900 +V +p2459 +tp2460 +a(g818 +Vif +p2461 +tp2462 +a(g900 +g968 +tp2463 +a(g13 +Vname +p2464 +tp2465 +a(g900 +g968 +tp2466 +a(g675 +Vis +p2467 +tp2468 +a(g900 +g968 +tp2469 +a(g269 +VNone +p2470 +tp2471 +a(g685 +g1185 +tp2472 +a(g900 +V\u000a +p2473 +tp2474 +a(g900 +V +p2475 +tp2476 +a(g13 +Vname +p2477 +tp2478 +a(g900 +g968 +tp2479 +a(g662 +g1122 +tp2480 +a(g900 +g968 +tp2481 +a(g13 +g1271 +tp2482 +a(g662 +g964 +tp2483 +a(g13 +Vattributes +p2484 +tp2485 +a(g662 +g964 +tp2486 +a(g13 +Vsetdefault +p2487 +tp2488 +a(g685 +g1164 +tp2489 +a(g480 +g1296 +tp2490 +a(g480 +Vpopbox_name +p2491 +tp2492 +a(g480 +g1296 +tp2493 +a(g685 +g984 +tp2494 +a(g900 +g968 +tp2495 +a(g290 +V0 +p2496 +tp2497 +a(g685 +g1168 +tp2498 +a(g900 +V\u000a +p2499 +tp2500 +a(g900 +V +p2501 +tp2502 +a(g13 +Vname +p2503 +tp2504 +a(g900 +g968 +tp2505 +a(g662 +g1200 +tp2506 +a(g662 +g1122 +tp2507 +a(g900 +g968 +tp2508 +a(g290 +g1340 +tp2509 +a(g900 +V\u000a +p2510 +tp2511 +a(g900 +V +p2512 +tp2513 +a(g13 +g1271 +tp2514 +a(g662 +g964 +tp2515 +a(g13 +Vattributes +p2516 +tp2517 +a(g685 +V[ +p2518 +tp2519 +a(g480 +g1296 +tp2520 +a(g480 +Vpopbox_name +p2521 +tp2522 +a(g480 +g1296 +tp2523 +a(g685 +V] +p2524 +tp2525 +a(g900 +g968 +tp2526 +a(g662 +g1122 +tp2527 +a(g900 +g968 +tp2528 +a(g13 +Vname +p2529 +tp2530 +a(g900 +V\u000a +p2531 +tp2532 +a(g900 +V +p2533 +tp2534 +a(g13 +Vname +p2535 +tp2536 +a(g900 +g968 +tp2537 +a(g662 +g1122 +tp2538 +a(g900 +g968 +tp2539 +a(g408 +g1194 +tp2540 +a(g408 +Vpopbox_ +p2541 +tp2542 +a(g408 +g1194 +tp2543 +a(g900 +g968 +tp2544 +a(g662 +g1200 +tp2545 +a(g900 +g968 +tp2546 +a(g257 +Vrepr +p2547 +tp2548 +a(g685 +g1164 +tp2549 +a(g13 +Vname +p2550 +tp2551 +a(g685 +g1168 +tp2552 +a(g900 +V\u000a +p2553 +tp2554 +a(g900 +V +p2555 +tp2556 +a(g662 +g1012 +tp2557 +a(g662 +g1014 +tp2558 +a(g662 +g1016 +tp2559 +a(g13 +Vinit +p2560 +tp2561 +a(g662 +g960 +tp2562 +a(g900 +V\u000a +p2563 +tp2564 +a(g13 +Vjavascript +p2565 +tp2566 +a(g685 +g1185 +tp2567 +a(g13 +VtogglePopbox +p2568 +tp2569 +a(g685 +g1164 +tp2570 +a(g480 +g1296 +tp2571 +a(g480 +g1012 +tp2572 +a(g480 +g1016 +tp2573 +a(g480 +V name +p2574 +tp2575 +a(g8 +V%> +p2576 +tp2577 +a(g794 +V', ' +p2578 +tp2579 +a(g8 +V<% +p2580 +tp2581 +a(g900 +g968 +tp2582 +a(g13 +Vshow +p2583 +tp2584 +a(g900 +g968 +tp2585 +a(g8 +V%> +p2586 +tp2587 +a(g794 +V', ' +p2588 +tp2589 +a(g8 +V<% +p2590 +tp2591 +a(g900 +g968 +tp2592 +a(g13 +Vhide +p2593 +tp2594 +a(g900 +g968 +tp2595 +a(g8 +V%> +p2596 +tp2597 +a(g794 +V')\u000a +p2598 +tp2599 +a(g794 +V\u000a\u000a +p2600 +tp2601 +a(g8 +V<% +p2602 +tp2603 +a(g13 +Vmethod +p2604 +tp2605 +a(g900 +g968 +tp2606 +a(g13 +Vpopbox +p2607 +tp2608 +a(g900 +g968 +tp2609 +a(g13 +Vtrim +p2610 +tp2611 +a(g662 +g1122 +tp2612 +a(g408 +g1194 +tp2613 +a(g408 +Vboth +p2614 +tp2615 +a(g408 +g1194 +tp2616 +a(g662 +g960 +tp2617 +a(g900 +V\u000a +p2618 +tp2619 +a(g662 +g1012 +tp2620 +a(g662 +g1016 +tp2621 +a(g13 +Vargs +p2622 +tp2623 +a(g662 +g960 +tp2624 +a(g900 +V\u000a +p2625 +tp2626 +a(g900 +V +p2627 +tp2628 +a(g13 +Vname +p2629 +tp2630 +a(g900 +g968 +tp2631 +a(g662 +g1122 +tp2632 +a(g900 +g968 +tp2633 +a(g269 +VNone +p2634 +tp2635 +a(g900 +V\u000a +p2636 +tp2637 +a(g900 +V +p2638 +tp2639 +a(g13 +Vclass_ +p2640 +tp2641 +a(g900 +g968 +tp2642 +a(g662 +g1122 +tp2643 +a(g900 +g968 +tp2644 +a(g269 +VNone +p2645 +tp2646 +a(g900 +V\u000a +p2647 +tp2648 +a(g662 +g1012 +tp2649 +a(g662 +g1014 +tp2650 +a(g662 +g1016 +tp2651 +a(g13 +Vargs +p2652 +tp2653 +a(g662 +g960 +tp2654 +a(g900 +V\u000a +p2655 +tp2656 +a(g662 +g1012 +tp2657 +a(g662 +g1016 +tp2658 +a(g13 +Vinit +p2659 +tp2660 +a(g662 +g960 +tp2661 +a(g900 +V\u000a +p2662 +tp2663 +a(g900 +V +p2664 +tp2665 +a(g818 +Vif +p2666 +tp2667 +a(g900 +g968 +tp2668 +a(g13 +Vname +p2669 +tp2670 +a(g900 +g968 +tp2671 +a(g675 +Vis +p2672 +tp2673 +a(g900 +g968 +tp2674 +a(g269 +VNone +p2675 +tp2676 +a(g685 +g1185 +tp2677 +a(g900 +V\u000a +p2678 +tp2679 +a(g900 +V +p2680 +tp2681 +a(g13 +Vname +p2682 +tp2683 +a(g900 +g968 +tp2684 +a(g662 +g1122 +tp2685 +a(g900 +g968 +tp2686 +a(g480 +g1296 +tp2687 +a(g480 +Vpopbox_ +p2688 +tp2689 +a(g480 +g1296 +tp2690 +a(g900 +g968 +tp2691 +a(g662 +g1200 +tp2692 +a(g900 +g968 +tp2693 +a(g257 +Vrepr +p2694 +tp2695 +a(g685 +g1164 +tp2696 +a(g13 +g1271 +tp2697 +a(g662 +g964 +tp2698 +a(g13 +Vattributes +p2699 +tp2700 +a(g685 +g2518 +tp2701 +a(g480 +g1296 +tp2702 +a(g480 +Vpopbox_name +p2703 +tp2704 +a(g480 +g1296 +tp2705 +a(g685 +g2524 +tp2706 +a(g685 +g1168 +tp2707 +a(g900 +V\u000a +p2708 +tp2709 +a(g662 +g1012 +tp2710 +a(g662 +g1014 +tp2711 +a(g662 +g1016 +tp2712 +a(g13 +Vinit +p2713 +tp2714 +a(g662 +g960 +tp2715 +a(g900 +V\u000a +p2716 +tp2717 +a(g662 +g1012 +tp2718 +a(g13 +Vdiv +p2719 +tp2720 +a(g900 +g968 +tp2721 +a(g257 +Vid +p2722 +tp2723 +a(g662 +g1122 +tp2724 +a(g408 +g1194 +tp2725 +a(g408 +g1012 +tp2726 +a(g408 +g1016 +tp2727 +a(g408 +V name +p2728 +tp2729 +a(g8 +V%> +p2730 +tp2731 +a(g794 +V_div" class=" +p2732 +tp2733 +a(g8 +V<% +p2734 +tp2735 +a(g900 +g968 +tp2736 +a(g13 +Vclass_ +p2737 +tp2738 +a(g900 +g968 +tp2739 +a(g8 +V%> +p2740 +tp2741 +a(g794 +V" style="display:none;"> +p2742 +tp2743 +a(g8 +V<% +p2744 +tp2745 +a(g900 +g968 +tp2746 +a(g13 +g1271 +tp2747 +a(g662 +g964 +tp2748 +a(g13 +Vcontent +p2749 +tp2750 +a(g685 +g1164 +tp2751 +a(g685 +g1168 +tp2752 +a(g662 +g964 +tp2753 +a(g13 +Vstrip +p2754 +tp2755 +a(g685 +g1164 +tp2756 +a(g685 +g1168 +tp2757 +a(g900 +g968 +tp2758 +a(g8 +V%> +p2759 +tp2760 +a(g794 +V\u000a +p2761 +tp2762 +a(g794 +V\u000a\u000a +p2763 +tp2764 +a(g8 +V<% +p2765 +tp2766 +a(g13 +Vmethod +p2767 +tp2768 +a(g900 +g968 +tp2769 +a(g13 +Vpoplink +p2770 +tp2771 +a(g900 +g968 +tp2772 +a(g13 +Vtrim +p2773 +tp2774 +a(g662 +g1122 +tp2775 +a(g408 +g1194 +tp2776 +a(g408 +Vboth +p2777 +tp2778 +a(g408 +g1194 +tp2779 +a(g662 +g960 +tp2780 +a(g900 +V\u000a +p2781 +tp2782 +a(g900 +V +p2783 +tp2784 +a(g662 +g1012 +tp2785 +a(g662 +g1016 +tp2786 +a(g13 +Vargs +p2787 +tp2788 +a(g662 +g960 +tp2789 +a(g900 +V\u000a +p2790 +tp2791 +a(g900 +V +p2792 +tp2793 +a(g13 +Vlink +p2794 +tp2795 +a(g662 +g1122 +tp2796 +a(g480 +g1296 +tp2797 +a(g480 +Vsql +p2798 +tp2799 +a(g480 +g1296 +tp2800 +a(g900 +V\u000a +p2801 +tp2802 +a(g900 +V +p2803 +tp2804 +a(g662 +g1012 +tp2805 +a(g662 +g1014 +tp2806 +a(g662 +g1016 +tp2807 +a(g13 +Vargs +p2808 +tp2809 +a(g662 +g960 +tp2810 +a(g900 +V\u000a +p2811 +tp2812 +a(g900 +V +p2813 +tp2814 +a(g662 +g1012 +tp2815 +a(g662 +g1016 +tp2816 +a(g13 +Vinit +p2817 +tp2818 +a(g662 +g960 +tp2819 +a(g900 +V\u000a +p2820 +tp2821 +a(g900 +V +p2822 +tp2823 +a(g13 +Vhref +p2824 +tp2825 +a(g900 +g968 +tp2826 +a(g662 +g1122 +tp2827 +a(g900 +g968 +tp2828 +a(g13 +g1271 +tp2829 +a(g662 +g964 +tp2830 +a(g13 +Vscomp +p2831 +tp2832 +a(g685 +g1164 +tp2833 +a(g480 +g1296 +tp2834 +a(g480 +VSELF:popboxlink +p2835 +tp2836 +a(g480 +g1296 +tp2837 +a(g685 +g1168 +tp2838 +a(g900 +V\u000a +p2839 +tp2840 +a(g900 +V +p2841 +tp2842 +a(g662 +g1012 +tp2843 +a(g662 +g1014 +tp2844 +a(g662 +g1016 +tp2845 +a(g13 +Vinit +p2846 +tp2847 +a(g662 +g960 +tp2848 +a(g900 +V\u000a +p2849 +tp2850 +a(g900 +V +p2851 +tp2852 +a(g472 +V'''PYESC<& nav.myt:link, href=href, text=link, class_="codepoplink" &>PYESC''' +p2853 +tp2854 +a(g900 +V\u000a +p2855 +tp2856 +a(g662 +g1012 +tp2857 +a(g662 +g1014 +tp2858 +a(g662 +g1016 +tp2859 +a(g13 +Vmethod +p2860 +tp2861 +a(g662 +g960 +tp2862 +a(g900 +V\u000a +p2863 +tp2864 +a(g900 +V\u000a +p2865 +tp2866 +a(g662 +g1012 +tp2867 +a(g662 +g1016 +tp2868 +a(g13 +Vmethod +p2869 +tp2870 +a(g900 +g968 +tp2871 +a(g13 +Vcodepopper +p2872 +tp2873 +a(g900 +g968 +tp2874 +a(g13 +Vtrim +p2875 +tp2876 +a(g662 +g1122 +tp2877 +a(g408 +g1194 +tp2878 +a(g408 +Vboth +p2879 +tp2880 +a(g408 +g1194 +tp2881 +a(g662 +g960 +tp2882 +a(g900 +V\u000a +p2883 +tp2884 +a(g900 +V +p2885 +tp2886 +a(g662 +g1012 +tp2887 +a(g662 +g1016 +tp2888 +a(g13 +Vinit +p2889 +tp2890 +a(g662 +g960 +tp2891 +a(g900 +V\u000a +p2892 +tp2893 +a(g900 +V +p2894 +tp2895 +a(g13 +Vc +p2896 +tp2897 +a(g900 +g968 +tp2898 +a(g662 +g1122 +tp2899 +a(g900 +g968 +tp2900 +a(g13 +g1271 +tp2901 +a(g662 +g964 +tp2902 +a(g13 +Vcontent +p2903 +tp2904 +a(g685 +g1164 +tp2905 +a(g685 +g1168 +tp2906 +a(g900 +V\u000a +p2907 +tp2908 +a(g900 +V +p2909 +tp2910 +a(g13 +g2896 +tp2911 +a(g900 +g968 +tp2912 +a(g662 +g1122 +tp2913 +a(g900 +g968 +tp2914 +a(g13 +Vre +p2915 +tp2916 +a(g662 +g964 +tp2917 +a(g13 +Vsub +p2918 +tp2919 +a(g685 +g1164 +tp2920 +a(g432 +g1293 +tp2921 +a(g480 +g1296 +tp2922 +a(g480 +V\u005c +p2923 +tp2924 +a(g480 +Vn +p2925 +tp2926 +a(g480 +g1296 +tp2927 +a(g685 +g984 +tp2928 +a(g900 +g968 +tp2929 +a(g480 +g1296 +tp2930 +a(g480 +V
    +p2931 +tp2932 +a(g463 +V\u005cn +p2933 +tp2934 +a(g480 +g1296 +tp2935 +a(g685 +g984 +tp2936 +a(g900 +g968 +tp2937 +a(g13 +g2896 +tp2938 +a(g662 +g964 +tp2939 +a(g13 +Vstrip +p2940 +tp2941 +a(g685 +g1164 +tp2942 +a(g685 +g1168 +tp2943 +a(g685 +g1168 +tp2944 +a(g900 +V\u000a +p2945 +tp2946 +a(g900 +g2885 +tp2947 +a(g662 +g1012 +tp2948 +a(g662 +g1014 +tp2949 +a(g662 +g1016 +tp2950 +a(g13 +Vinit +p2951 +tp2952 +a(g662 +g960 +tp2953 +a(g900 +V\u000a +p2954 +tp2955 +a(g900 +V +p2956 +tp2957 +a(g662 +g1012 +tp2958 +a(g662 +g1014 +tp2959 +a(g13 +Vpre +p2960 +tp2961 +a(g662 +g960 +tp2962 +a(g662 +g1012 +tp2963 +a(g662 +V& +p2964 +tp2965 +a(g662 +g1698 +tp2966 +a(g13 +VSELF +p2967 +tp2968 +a(g685 +g1185 +tp2969 +a(g13 +Vpopbox +p2970 +tp2971 +a(g685 +g984 +tp2972 +a(g900 +g968 +tp2973 +a(g13 +Vclass_ +p2974 +tp2975 +a(g662 +g1122 +tp2976 +a(g408 +g1194 +tp2977 +a(g408 +Vcodepop +p2978 +tp2979 +a(g408 +g1194 +tp2980 +a(g900 +g968 +tp2981 +a(g662 +g2964 +tp2982 +a(g662 +g960 +tp2983 +a(g662 +g1012 +tp2984 +a(g662 +g1016 +tp2985 +a(g900 +g968 +tp2986 +a(g13 +g2896 +tp2987 +a(g900 +g968 +tp2988 +a(g8 +V%> +p2989 +tp2990 +a(g8 +V +p2991 +tp2992 +a(g794 +V
    \u000a
    +p2993
    +tp2994
    +a(g794
    +V\u000a\u000a
    +p2995
    +tp2996
    +a(g8
    +V<%
    +p2997
    +tp2998
    +a(g13
    +Vmethod
    +p2999
    +tp3000
    +a(g900
    +g968
    +tp3001
    +a(g13
    +Vpoppedcode
    +p3002
    +tp3003
    +a(g900
    +g968
    +tp3004
    +a(g13
    +Vtrim
    +p3005
    +tp3006
    +a(g662
    +g1122
    +tp3007
    +a(g408
    +g1194
    +tp3008
    +a(g408
    +Vboth
    +p3009
    +tp3010
    +a(g408
    +g1194
    +tp3011
    +a(g662
    +g960
    +tp3012
    +a(g900
    +V\u000a
    +p3013
    +tp3014
    +a(g900
    +g2885
    +tp3015
    +a(g662
    +g1012
    +tp3016
    +a(g662
    +g1016
    +tp3017
    +a(g13
    +Vinit
    +p3018
    +tp3019
    +a(g662
    +g960
    +tp3020
    +a(g900
    +V\u000a
    +p3021
    +tp3022
    +a(g900
    +V		
    +p3023
    +tp3024
    +a(g13
    +g2896
    +tp3025
    +a(g900
    +g968
    +tp3026
    +a(g662
    +g1122
    +tp3027
    +a(g900
    +g968
    +tp3028
    +a(g13
    +g1271
    +tp3029
    +a(g662
    +g964
    +tp3030
    +a(g13
    +Vcontent
    +p3031
    +tp3032
    +a(g685
    +g1164
    +tp3033
    +a(g685
    +g1168
    +tp3034
    +a(g900
    +V\u000a
    +p3035
    +tp3036
    +a(g900
    +V		
    +p3037
    +tp3038
    +a(g13
    +g2896
    +tp3039
    +a(g900
    +g968
    +tp3040
    +a(g662
    +g1122
    +tp3041
    +a(g900
    +g968
    +tp3042
    +a(g13
    +Vre
    +p3043
    +tp3044
    +a(g662
    +g964
    +tp3045
    +a(g13
    +Vsub
    +p3046
    +tp3047
    +a(g685
    +g1164
    +tp3048
    +a(g432
    +g1293
    +tp3049
    +a(g480
    +g1296
    +tp3050
    +a(g480
    +V\u005c
    +p3051
    +tp3052
    +a(g480
    +g2925
    +tp3053
    +a(g480
    +g1296
    +tp3054
    +a(g685
    +g984
    +tp3055
    +a(g900
    +g968
    +tp3056
    +a(g480
    +g1296
    +tp3057
    +a(g480
    +V
    +p3058 +tp3059 +a(g463 +V\u005cn +p3060 +tp3061 +a(g480 +g1296 +tp3062 +a(g685 +g984 +tp3063 +a(g900 +g968 +tp3064 +a(g13 +g2896 +tp3065 +a(g662 +g964 +tp3066 +a(g13 +Vstrip +p3067 +tp3068 +a(g685 +g1164 +tp3069 +a(g685 +g1168 +tp3070 +a(g685 +g1168 +tp3071 +a(g900 +V\u000a +p3072 +tp3073 +a(g900 +g2885 +tp3074 +a(g662 +g1012 +tp3075 +a(g662 +g1014 +tp3076 +a(g662 +g1016 +tp3077 +a(g13 +Vinit +p3078 +tp3079 +a(g662 +g960 +tp3080 +a(g900 +V\u000a +p3081 +tp3082 +a(g900 +V +p3083 +tp3084 +a(g662 +g1012 +tp3085 +a(g662 +g1014 +tp3086 +a(g13 +Vpre +p3087 +tp3088 +a(g662 +g960 +tp3089 +a(g662 +g1012 +tp3090 +a(g13 +Vdiv +p3091 +tp3092 +a(g900 +g968 +tp3093 +a(g13 +Vclass +p3094 +tp3095 +a(g662 +g1122 +tp3096 +a(g408 +g1194 +tp3097 +a(g408 +Vcodepop +p3098 +tp3099 +a(g408 +g1194 +tp3100 +a(g662 +g960 +tp3101 +a(g662 +g1012 +tp3102 +a(g662 +g1016 +tp3103 +a(g900 +g968 +tp3104 +a(g13 +g2896 +tp3105 +a(g900 +g968 +tp3106 +a(g8 +V%> +p3107 +tp3108 +a(g794 +V
    \u000a
    +p3109
    +tp3110
    +a(g794
    +V\u000a
    +p3111
    +tp3112
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.ncl b/tests/examplefiles/output/test.ncl
    new file mode 100644
    index 0000000..13ac2f0
    --- /dev/null
    +++ b/tests/examplefiles/output/test.ncl
    @@ -0,0 +1,2756 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Keyword'
    +p4
    +tp5
    +tp6
    +Rp7
    +(dp8
    +S'parent'
    +p9
    +g1
    +(g2
    +g3
    +(ttp10
    +Rp11
    +(dp12
    +S'Number'
    +p13
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p14
    +g13
    +tp15
    +tp16
    +Rp17
    +(dp18
    +S'Integer'
    +p19
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +tp20
    +tp21
    +Rp22
    +(dp23
    +g9
    +g17
    +sS'Long'
    +p24
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +g24
    +tp25
    +tp26
    +Rp27
    +(dp28
    +g9
    +g22
    +sS'subtypes'
    +p29
    +c__builtin__
    +set
    +p30
    +((lp31
    +tp32
    +Rp33
    +sbsg29
    +g30
    +((lp34
    +g27
    +atp35
    +Rp36
    +sbsg9
    +g1
    +(g2
    +g3
    +(g14
    +tp37
    +tp38
    +Rp39
    +(dp40
    +S'Scalar'
    +p41
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +tp42
    +tp43
    +Rp44
    +(dp45
    +g9
    +g39
    +sg29
    +g30
    +((lp46
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +S'Plain'
    +p47
    +tp48
    +tp49
    +Rp50
    +(dp51
    +g9
    +g44
    +sg29
    +g30
    +((lp52
    +tp53
    +Rp54
    +sbatp55
    +Rp56
    +sg47
    +g50
    +sbsg13
    +g17
    +sg9
    +g11
    +sS'Other'
    +p57
    +g1
    +(g2
    +g3
    +(g14
    +g57
    +tp58
    +tp59
    +Rp60
    +(dp61
    +g9
    +g39
    +sg29
    +g30
    +((lp62
    +tp63
    +Rp64
    +sbsS'Char'
    +p65
    +g1
    +(g2
    +g3
    +(g14
    +g65
    +tp66
    +tp67
    +Rp68
    +(dp69
    +g9
    +g39
    +sg29
    +g30
    +((lp70
    +tp71
    +Rp72
    +sbsS'String'
    +p73
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +tp74
    +tp75
    +Rp76
    +(dp77
    +g65
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g65
    +tp78
    +tp79
    +Rp80
    +(dp81
    +g9
    +g76
    +sg29
    +g30
    +((lp82
    +tp83
    +Rp84
    +sbsS'Backtick'
    +p85
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g85
    +tp86
    +tp87
    +Rp88
    +(dp89
    +g9
    +g76
    +sg29
    +g30
    +((lp90
    +tp91
    +Rp92
    +sbsS'Heredoc'
    +p93
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g93
    +tp94
    +tp95
    +Rp96
    +(dp97
    +g9
    +g76
    +sg29
    +g30
    +((lp98
    +tp99
    +Rp100
    +sbsS'Symbol'
    +p101
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g101
    +tp102
    +tp103
    +Rp104
    +(dp105
    +g9
    +g76
    +sg29
    +g30
    +((lp106
    +tp107
    +Rp108
    +sbsS'Interpol'
    +p109
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g109
    +tp110
    +tp111
    +Rp112
    +(dp113
    +g9
    +g76
    +sg29
    +g30
    +((lp114
    +tp115
    +Rp116
    +sbsS'Delimiter'
    +p117
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g117
    +tp118
    +tp119
    +Rp120
    +(dp121
    +g9
    +g76
    +sg29
    +g30
    +((lp122
    +tp123
    +Rp124
    +sbsS'Boolean'
    +p125
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g125
    +tp126
    +tp127
    +Rp128
    +(dp129
    +g9
    +g76
    +sg29
    +g30
    +((lp130
    +tp131
    +Rp132
    +sbsS'Character'
    +p133
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g133
    +tp134
    +tp135
    +Rp136
    +(dp137
    +g9
    +g76
    +sg29
    +g30
    +((lp138
    +tp139
    +Rp140
    +sbsS'Double'
    +p141
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g141
    +tp142
    +tp143
    +Rp144
    +(dp145
    +g9
    +g76
    +sg29
    +g30
    +((lp146
    +tp147
    +Rp148
    +sbsS'Delimeter'
    +p149
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g149
    +tp150
    +tp151
    +Rp152
    +(dp153
    +g9
    +g76
    +sg29
    +g30
    +((lp154
    +tp155
    +Rp156
    +sbsS'Atom'
    +p157
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g157
    +tp158
    +tp159
    +Rp160
    +(dp161
    +g9
    +g76
    +sg29
    +g30
    +((lp162
    +tp163
    +Rp164
    +sbsS'Affix'
    +p165
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g165
    +tp166
    +tp167
    +Rp168
    +(dp169
    +g9
    +g76
    +sg29
    +g30
    +((lp170
    +tp171
    +Rp172
    +sbsS'Name'
    +p173
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g173
    +tp174
    +tp175
    +Rp176
    +(dp177
    +g9
    +g76
    +sg29
    +g30
    +((lp178
    +tp179
    +Rp180
    +sbsS'Regex'
    +p181
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g181
    +tp182
    +tp183
    +Rp184
    +(dp185
    +g9
    +g76
    +sg29
    +g30
    +((lp186
    +tp187
    +Rp188
    +sbsS'Interp'
    +p189
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g189
    +tp190
    +tp191
    +Rp192
    +(dp193
    +g9
    +g76
    +sg29
    +g30
    +((lp194
    +tp195
    +Rp196
    +sbsS'Escape'
    +p197
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g197
    +tp198
    +tp199
    +Rp200
    +(dp201
    +g9
    +g76
    +sg29
    +g30
    +((lp202
    +tp203
    +Rp204
    +sbsg29
    +g30
    +((lp205
    +g120
    +ag104
    +ag184
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Doc'
    +p206
    +tp207
    +tp208
    +Rp209
    +(dp210
    +g9
    +g76
    +sg29
    +g30
    +((lp211
    +tp212
    +Rp213
    +sbag136
    +ag128
    +ag144
    +ag112
    +ag160
    +ag152
    +ag176
    +ag200
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Single'
    +p214
    +tp215
    +tp216
    +Rp217
    +(dp218
    +g9
    +g76
    +sg29
    +g30
    +((lp219
    +tp220
    +Rp221
    +sbag1
    +(g2
    +g3
    +(g14
    +g73
    +g57
    +tp222
    +tp223
    +Rp224
    +(dp225
    +g9
    +g76
    +sg29
    +g30
    +((lp226
    +tp227
    +Rp228
    +sbag192
    +ag88
    +ag168
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Moment'
    +p229
    +tp230
    +tp231
    +Rp232
    +(dp233
    +g9
    +g76
    +sg29
    +g30
    +((lp234
    +tp235
    +Rp236
    +sbag80
    +ag96
    +atp237
    +Rp238
    +sg214
    +g217
    +sg229
    +g232
    +sg9
    +g39
    +sg57
    +g224
    +sg206
    +g209
    +sbsg29
    +g30
    +((lp239
    +g76
    +ag68
    +ag60
    +ag1
    +(g2
    +g3
    +(g14
    +S'Date'
    +p240
    +tp241
    +tp242
    +Rp243
    +(dp244
    +g9
    +g39
    +sg29
    +g30
    +((lp245
    +tp246
    +Rp247
    +sbag44
    +ag17
    +atp248
    +Rp249
    +sg240
    +g243
    +sbsS'Bin'
    +p250
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g250
    +tp251
    +tp252
    +Rp253
    +(dp254
    +g9
    +g17
    +sg29
    +g30
    +((lp255
    +tp256
    +Rp257
    +sbsS'Radix'
    +p258
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g258
    +tp259
    +tp260
    +Rp261
    +(dp262
    +g9
    +g17
    +sg29
    +g30
    +((lp263
    +tp264
    +Rp265
    +sbsS'Oct'
    +p266
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g266
    +tp267
    +tp268
    +Rp269
    +(dp270
    +g9
    +g17
    +sg29
    +g30
    +((lp271
    +tp272
    +Rp273
    +sbsS'Dec'
    +p274
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g274
    +tp275
    +tp276
    +Rp277
    +(dp278
    +g9
    +g17
    +sg29
    +g30
    +((lp279
    +tp280
    +Rp281
    +sbsS'Hex'
    +p282
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g282
    +tp283
    +tp284
    +Rp285
    +(dp286
    +g9
    +g17
    +sg29
    +g30
    +((lp287
    +tp288
    +Rp289
    +sbsg29
    +g30
    +((lp290
    +g22
    +ag261
    +ag277
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Decimal'
    +p291
    +tp292
    +tp293
    +Rp294
    +(dp295
    +g9
    +g17
    +sg29
    +g30
    +((lp296
    +tp297
    +Rp298
    +sbag253
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Float'
    +p299
    +tp300
    +tp301
    +Rp302
    +(dp303
    +g9
    +g17
    +sg29
    +g30
    +((lp304
    +tp305
    +Rp306
    +sbag269
    +ag285
    +atp307
    +Rp308
    +sg291
    +g294
    +sg299
    +g302
    +sbsS'Generic'
    +p309
    +g1
    +(g2
    +g3
    +(g309
    +tp310
    +tp311
    +Rp312
    +(dp313
    +g9
    +g11
    +sS'Deleted'
    +p314
    +g1
    +(g2
    +g3
    +(g309
    +g314
    +tp315
    +tp316
    +Rp317
    +(dp318
    +g9
    +g312
    +sg29
    +g30
    +((lp319
    +tp320
    +Rp321
    +sbsS'Subheading'
    +p322
    +g1
    +(g2
    +g3
    +(g309
    +g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g9
    +g312
    +sg29
    +g30
    +((lp327
    +tp328
    +Rp329
    +sbsS'Heading'
    +p330
    +g1
    +(g2
    +g3
    +(g309
    +g330
    +tp331
    +tp332
    +Rp333
    +(dp334
    +g9
    +g312
    +sg29
    +g30
    +((lp335
    +tp336
    +Rp337
    +sbsS'Emph'
    +p338
    +g1
    +(g2
    +g3
    +(g309
    +g338
    +tp339
    +tp340
    +Rp341
    +(dp342
    +g9
    +g312
    +sg29
    +g30
    +((lp343
    +tp344
    +Rp345
    +sbsS'Prompt'
    +p346
    +g1
    +(g2
    +g3
    +(g309
    +g346
    +tp347
    +tp348
    +Rp349
    +(dp350
    +g9
    +g312
    +sg29
    +g30
    +((lp351
    +tp352
    +Rp353
    +sbsS'Inserted'
    +p354
    +g1
    +(g2
    +g3
    +(g309
    +g354
    +tp355
    +tp356
    +Rp357
    +(dp358
    +g9
    +g312
    +sg29
    +g30
    +((lp359
    +tp360
    +Rp361
    +sbsS'Strong'
    +p362
    +g1
    +(g2
    +g3
    +(g309
    +g362
    +tp363
    +tp364
    +Rp365
    +(dp366
    +g9
    +g312
    +sg29
    +g30
    +((lp367
    +tp368
    +Rp369
    +sbsS'Error'
    +p370
    +g1
    +(g2
    +g3
    +(g309
    +g370
    +tp371
    +tp372
    +Rp373
    +(dp374
    +g9
    +g312
    +sg29
    +g30
    +((lp375
    +tp376
    +Rp377
    +sbsS'Traceback'
    +p378
    +g1
    +(g2
    +g3
    +(g309
    +g378
    +tp379
    +tp380
    +Rp381
    +(dp382
    +g9
    +g312
    +sg29
    +g30
    +((lp383
    +tp384
    +Rp385
    +sbsg29
    +g30
    +((lp386
    +g333
    +ag325
    +ag1
    +(g2
    +g3
    +(g309
    +S'Output'
    +p387
    +tp388
    +tp389
    +Rp390
    +(dp391
    +g9
    +g312
    +sg29
    +g30
    +((lp392
    +tp393
    +Rp394
    +sbag365
    +ag341
    +ag373
    +ag381
    +ag357
    +ag349
    +ag317
    +atp395
    +Rp396
    +sg387
    +g390
    +sbsS'Operator'
    +p397
    +g1
    +(g2
    +g3
    +(g397
    +tp398
    +tp399
    +Rp400
    +(dp401
    +g9
    +g11
    +sS'DBS'
    +p402
    +g1
    +(g2
    +g3
    +(g397
    +g402
    +tp403
    +tp404
    +Rp405
    +(dp406
    +g9
    +g400
    +sg29
    +g30
    +((lp407
    +tp408
    +Rp409
    +sbsg29
    +g30
    +((lp410
    +g405
    +ag1
    +(g2
    +g3
    +(g397
    +S'Word'
    +p411
    +tp412
    +tp413
    +Rp414
    +(dp415
    +g9
    +g400
    +sg29
    +g30
    +((lp416
    +tp417
    +Rp418
    +sbatp419
    +Rp420
    +sg411
    +g414
    +sbsg73
    +g76
    +sg173
    +g1
    +(g2
    +g3
    +(g173
    +tp421
    +tp422
    +Rp423
    +(dp424
    +S'Variable'
    +p425
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +tp426
    +tp427
    +Rp428
    +(dp429
    +g9
    +g423
    +sS'Class'
    +p430
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g430
    +tp431
    +tp432
    +Rp433
    +(dp434
    +g9
    +g428
    +sg29
    +g30
    +((lp435
    +tp436
    +Rp437
    +sbsS'Anonymous'
    +p438
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g9
    +g428
    +sg29
    +g30
    +((lp443
    +tp444
    +Rp445
    +sbsS'Instance'
    +p446
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g446
    +tp447
    +tp448
    +Rp449
    +(dp450
    +g9
    +g428
    +sg29
    +g30
    +((lp451
    +tp452
    +Rp453
    +sbsS'Global'
    +p454
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g454
    +tp455
    +tp456
    +Rp457
    +(dp458
    +g9
    +g428
    +sg29
    +g30
    +((lp459
    +tp460
    +Rp461
    +sbsg29
    +g30
    +((lp462
    +g441
    +ag449
    +ag1
    +(g2
    +g3
    +(g173
    +g425
    +S'Magic'
    +p463
    +tp464
    +tp465
    +Rp466
    +(dp467
    +g9
    +g428
    +sg29
    +g30
    +((lp468
    +tp469
    +Rp470
    +sbag457
    +ag433
    +atp471
    +Rp472
    +sg463
    +g466
    +sbsg397
    +g1
    +(g2
    +g3
    +(g173
    +g397
    +tp473
    +tp474
    +Rp475
    +(dp476
    +g9
    +g423
    +sg29
    +g30
    +((lp477
    +tp478
    +Rp479
    +sbsS'Decorator'
    +p480
    +g1
    +(g2
    +g3
    +(g173
    +g480
    +tp481
    +tp482
    +Rp483
    +(dp484
    +g9
    +g423
    +sg29
    +g30
    +((lp485
    +tp486
    +Rp487
    +sbsS'Entity'
    +p488
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +tp489
    +tp490
    +Rp491
    +(dp492
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +g402
    +tp493
    +tp494
    +Rp495
    +(dp496
    +g9
    +g491
    +sg29
    +g30
    +((lp497
    +tp498
    +Rp499
    +sbsg29
    +g30
    +((lp500
    +g495
    +atp501
    +Rp502
    +sbsg101
    +g1
    +(g2
    +g3
    +(g173
    +g101
    +tp503
    +tp504
    +Rp505
    +(dp506
    +g9
    +g423
    +sg29
    +g30
    +((lp507
    +tp508
    +Rp509
    +sbsS'Property'
    +p510
    +g1
    +(g2
    +g3
    +(g173
    +g510
    +tp511
    +tp512
    +Rp513
    +(dp514
    +g9
    +g423
    +sg29
    +g30
    +((lp515
    +tp516
    +Rp517
    +sbsS'Pseudo'
    +p518
    +g1
    +(g2
    +g3
    +(g173
    +g518
    +tp519
    +tp520
    +Rp521
    +(dp522
    +g9
    +g423
    +sg29
    +g30
    +((lp523
    +tp524
    +Rp525
    +sbsS'Type'
    +p526
    +g1
    +(g2
    +g3
    +(g173
    +g526
    +tp527
    +tp528
    +Rp529
    +(dp530
    +g9
    +g423
    +sg29
    +g30
    +((lp531
    +tp532
    +Rp533
    +sbsS'Classes'
    +p534
    +g1
    +(g2
    +g3
    +(g173
    +g534
    +tp535
    +tp536
    +Rp537
    +(dp538
    +g9
    +g423
    +sg29
    +g30
    +((lp539
    +tp540
    +Rp541
    +sbsS'Tag'
    +p542
    +g1
    +(g2
    +g3
    +(g173
    +g542
    +tp543
    +tp544
    +Rp545
    +(dp546
    +g9
    +g423
    +sg29
    +g30
    +((lp547
    +tp548
    +Rp549
    +sbsS'Constant'
    +p550
    +g1
    +(g2
    +g3
    +(g173
    +g550
    +tp551
    +tp552
    +Rp553
    +(dp554
    +g9
    +g423
    +sg29
    +g30
    +((lp555
    +tp556
    +Rp557
    +sbsS'Function'
    +p558
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +tp559
    +tp560
    +Rp561
    +(dp562
    +g9
    +g423
    +sg29
    +g30
    +((lp563
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +g463
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g9
    +g561
    +sg29
    +g30
    +((lp568
    +tp569
    +Rp570
    +sbatp571
    +Rp572
    +sg463
    +g566
    +sbsS'Blubb'
    +p573
    +g1
    +(g2
    +g3
    +(g173
    +g573
    +tp574
    +tp575
    +Rp576
    +(dp577
    +g9
    +g423
    +sg29
    +g30
    +((lp578
    +tp579
    +Rp580
    +sbsS'Label'
    +p581
    +g1
    +(g2
    +g3
    +(g173
    +g581
    +tp582
    +tp583
    +Rp584
    +(dp585
    +g9
    +g423
    +sg29
    +g30
    +((lp586
    +tp587
    +Rp588
    +sbsS'Field'
    +p589
    +g1
    +(g2
    +g3
    +(g173
    +g589
    +tp590
    +tp591
    +Rp592
    +(dp593
    +g9
    +g423
    +sg29
    +g30
    +((lp594
    +tp595
    +Rp596
    +sbsS'Exception'
    +p597
    +g1
    +(g2
    +g3
    +(g173
    +g597
    +tp598
    +tp599
    +Rp600
    +(dp601
    +g9
    +g423
    +sg29
    +g30
    +((lp602
    +tp603
    +Rp604
    +sbsS'Namespace'
    +p605
    +g1
    +(g2
    +g3
    +(g173
    +g605
    +tp606
    +tp607
    +Rp608
    +(dp609
    +g9
    +g423
    +sg29
    +g30
    +((lp610
    +tp611
    +Rp612
    +sbsg29
    +g30
    +((lp613
    +g483
    +ag576
    +ag521
    +ag491
    +ag428
    +ag600
    +ag513
    +ag545
    +ag561
    +ag537
    +ag1
    +(g2
    +g3
    +(g173
    +g430
    +tp614
    +tp615
    +Rp616
    +(dp617
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +g402
    +tp618
    +tp619
    +Rp620
    +(dp621
    +g9
    +g616
    +sg29
    +g30
    +((lp622
    +tp623
    +Rp624
    +sbsg29
    +g30
    +((lp625
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +S'Start'
    +p626
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g9
    +g616
    +sg29
    +g30
    +((lp631
    +tp632
    +Rp633
    +sbag620
    +atp634
    +Rp635
    +sg626
    +g629
    +sbag1
    +(g2
    +g3
    +(g173
    +g57
    +tp636
    +tp637
    +Rp638
    +(dp639
    +g9
    +g423
    +sS'Member'
    +p640
    +g1
    +(g2
    +g3
    +(g173
    +g57
    +g640
    +tp641
    +tp642
    +Rp643
    +(dp644
    +g9
    +g638
    +sg29
    +g30
    +((lp645
    +tp646
    +Rp647
    +sbsg29
    +g30
    +((lp648
    +g643
    +atp649
    +Rp650
    +sbag584
    +ag475
    +ag608
    +ag1
    +(g2
    +g3
    +(g173
    +S'Attribute'
    +p651
    +tp652
    +tp653
    +Rp654
    +(dp655
    +g9
    +g423
    +sg425
    +g1
    +(g2
    +g3
    +(g173
    +g651
    +g425
    +tp656
    +tp657
    +Rp658
    +(dp659
    +g9
    +g654
    +sg29
    +g30
    +((lp660
    +tp661
    +Rp662
    +sbsg29
    +g30
    +((lp663
    +g658
    +atp664
    +Rp665
    +sbag553
    +ag1
    +(g2
    +g3
    +(g173
    +S'Builtin'
    +p666
    +tp667
    +tp668
    +Rp669
    +(dp670
    +g9
    +g423
    +sg526
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g526
    +tp671
    +tp672
    +Rp673
    +(dp674
    +g9
    +g669
    +sg29
    +g30
    +((lp675
    +tp676
    +Rp677
    +sbsg29
    +g30
    +((lp678
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g518
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g9
    +g669
    +sg29
    +g30
    +((lp683
    +tp684
    +Rp685
    +sbag673
    +atp686
    +Rp687
    +sg518
    +g681
    +sbag592
    +ag529
    +ag505
    +atp688
    +Rp689
    +sg9
    +g11
    +sg430
    +g616
    +sg666
    +g669
    +sg651
    +g654
    +sg57
    +g638
    +sbsS'Punctuation'
    +p690
    +g1
    +(g2
    +g3
    +(g690
    +tp691
    +tp692
    +Rp693
    +(dp694
    +g9
    +g11
    +sg29
    +g30
    +((lp695
    +g1
    +(g2
    +g3
    +(g690
    +S'Indicator'
    +p696
    +tp697
    +tp698
    +Rp699
    +(dp700
    +g9
    +g693
    +sg29
    +g30
    +((lp701
    +tp702
    +Rp703
    +sbatp704
    +Rp705
    +sg696
    +g699
    +sbsS'Comment'
    +p706
    +g1
    +(g2
    +g3
    +(g706
    +tp707
    +tp708
    +Rp709
    +(dp710
    +S'Multi'
    +p711
    +g1
    +(g2
    +g3
    +(g706
    +g711
    +tp712
    +tp713
    +Rp714
    +(dp715
    +g9
    +g709
    +sg29
    +g30
    +((lp716
    +tp717
    +Rp718
    +sbsg9
    +g11
    +sS'Special'
    +p719
    +g1
    +(g2
    +g3
    +(g706
    +g719
    +tp720
    +tp721
    +Rp722
    +(dp723
    +g9
    +g709
    +sg29
    +g30
    +((lp724
    +tp725
    +Rp726
    +sbsS'Hashbang'
    +p727
    +g1
    +(g2
    +g3
    +(g706
    +g727
    +tp728
    +tp729
    +Rp730
    +(dp731
    +g9
    +g709
    +sg29
    +g30
    +((lp732
    +tp733
    +Rp734
    +sbsS'Preproc'
    +p735
    +g1
    +(g2
    +g3
    +(g706
    +g735
    +tp736
    +tp737
    +Rp738
    +(dp739
    +g9
    +g709
    +sg29
    +g30
    +((lp740
    +tp741
    +Rp742
    +sbsg214
    +g1
    +(g2
    +g3
    +(g706
    +g214
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g9
    +g709
    +sg29
    +g30
    +((lp747
    +tp748
    +Rp749
    +sbsS'Directive'
    +p750
    +g1
    +(g2
    +g3
    +(g706
    +g750
    +tp751
    +tp752
    +Rp753
    +(dp754
    +g9
    +g709
    +sg29
    +g30
    +((lp755
    +tp756
    +Rp757
    +sbsg206
    +g1
    +(g2
    +g3
    +(g706
    +g206
    +tp758
    +tp759
    +Rp760
    +(dp761
    +g9
    +g709
    +sg29
    +g30
    +((lp762
    +tp763
    +Rp764
    +sbsS'Singleline'
    +p765
    +g1
    +(g2
    +g3
    +(g706
    +g765
    +tp766
    +tp767
    +Rp768
    +(dp769
    +g9
    +g709
    +sg29
    +g30
    +((lp770
    +tp771
    +Rp772
    +sbsS'Multiline'
    +p773
    +g1
    +(g2
    +g3
    +(g706
    +g773
    +tp774
    +tp775
    +Rp776
    +(dp777
    +g9
    +g709
    +sg29
    +g30
    +((lp778
    +tp779
    +Rp780
    +sbsg29
    +g30
    +((lp781
    +g760
    +ag753
    +ag730
    +ag714
    +ag768
    +ag738
    +ag776
    +ag745
    +ag1
    +(g2
    +g3
    +(g706
    +S'PreprocFile'
    +p782
    +tp783
    +tp784
    +Rp785
    +(dp786
    +g9
    +g709
    +sg29
    +g30
    +((lp787
    +tp788
    +Rp789
    +sbag1
    +(g2
    +g3
    +(g706
    +S'SingleLine'
    +p790
    +tp791
    +tp792
    +Rp793
    +(dp794
    +g9
    +g709
    +sg29
    +g30
    +((lp795
    +tp796
    +Rp797
    +sbag722
    +atp798
    +Rp799
    +sg782
    +g785
    +sg790
    +g793
    +sbsg14
    +g39
    +sg57
    +g1
    +(g2
    +g3
    +(g57
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g9
    +g11
    +sg29
    +g30
    +((lp804
    +tp805
    +Rp806
    +sbsg370
    +g1
    +(g2
    +g3
    +(g370
    +tp807
    +tp808
    +Rp809
    +(dp810
    +g9
    +g11
    +sg29
    +g30
    +((lp811
    +tp812
    +Rp813
    +sbsS'Token'
    +p814
    +g11
    +sg197
    +g1
    +(g2
    +g3
    +(g197
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g9
    +g11
    +sg29
    +g30
    +((lp819
    +tp820
    +Rp821
    +sbsg29
    +g30
    +((lp822
    +g423
    +ag802
    +ag7
    +ag312
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p823
    +tp824
    +tp825
    +Rp826
    +(dp827
    +S'Beer'
    +p828
    +g1
    +(g2
    +g3
    +(g823
    +g828
    +tp829
    +tp830
    +Rp831
    +(dp832
    +g9
    +g826
    +sg29
    +g30
    +((lp833
    +tp834
    +Rp835
    +sbsS'Whitespace'
    +p836
    +g1
    +(g2
    +g3
    +(g823
    +g836
    +tp837
    +tp838
    +Rp839
    +(dp840
    +g9
    +g826
    +sg29
    +g30
    +((lp841
    +tp842
    +Rp843
    +sbsg9
    +g11
    +sS'Root'
    +p844
    +g1
    +(g2
    +g3
    +(g823
    +g844
    +tp845
    +tp846
    +Rp847
    +(dp848
    +g9
    +g826
    +sg29
    +g30
    +((lp849
    +tp850
    +Rp851
    +sbsg101
    +g1
    +(g2
    +g3
    +(g823
    +g101
    +tp852
    +tp853
    +Rp854
    +(dp855
    +g9
    +g826
    +sg29
    +g30
    +((lp856
    +tp857
    +Rp858
    +sbsg690
    +g1
    +(g2
    +g3
    +(g823
    +g690
    +tp859
    +tp860
    +Rp861
    +(dp862
    +g9
    +g826
    +sg29
    +g30
    +((lp863
    +tp864
    +Rp865
    +sbsg29
    +g30
    +((lp866
    +g847
    +ag854
    +ag861
    +ag839
    +ag831
    +ag1
    +(g2
    +g3
    +(g823
    +S'Rag'
    +p867
    +tp868
    +tp869
    +Rp870
    +(dp871
    +g9
    +g826
    +sg29
    +g30
    +((lp872
    +tp873
    +Rp874
    +sbatp875
    +Rp876
    +sg867
    +g870
    +sbag400
    +ag817
    +ag693
    +ag709
    +ag809
    +ag39
    +atp877
    +Rp878
    +sg4
    +g7
    +sg823
    +g826
    +sbsg526
    +g1
    +(g2
    +g3
    +(g4
    +g526
    +tp879
    +tp880
    +Rp881
    +(dp882
    +g9
    +g7
    +sg29
    +g30
    +((lp883
    +tp884
    +Rp885
    +sbsS'Control'
    +p886
    +g1
    +(g2
    +g3
    +(g4
    +g886
    +tp887
    +tp888
    +Rp889
    +(dp890
    +g9
    +g7
    +sg29
    +g30
    +((lp891
    +tp892
    +Rp893
    +sbsg550
    +g1
    +(g2
    +g3
    +(g4
    +g550
    +tp894
    +tp895
    +Rp896
    +(dp897
    +g9
    +g7
    +sg29
    +g30
    +((lp898
    +tp899
    +Rp900
    +sbsg605
    +g1
    +(g2
    +g3
    +(g4
    +g605
    +tp901
    +tp902
    +Rp903
    +(dp904
    +g9
    +g7
    +sg29
    +g30
    +((lp905
    +tp906
    +Rp907
    +sbsS'PreProc'
    +p908
    +g1
    +(g2
    +g3
    +(g4
    +g908
    +tp909
    +tp910
    +Rp911
    +(dp912
    +g9
    +g7
    +sg29
    +g30
    +((lp913
    +tp914
    +Rp915
    +sbsg518
    +g1
    +(g2
    +g3
    +(g4
    +g518
    +tp916
    +tp917
    +Rp918
    +(dp919
    +g9
    +g7
    +sg29
    +g30
    +((lp920
    +tp921
    +Rp922
    +sbsS'Reserved'
    +p923
    +g1
    +(g2
    +g3
    +(g4
    +g923
    +tp924
    +tp925
    +Rp926
    +(dp927
    +g9
    +g7
    +sg29
    +g30
    +((lp928
    +tp929
    +Rp930
    +sbsg29
    +g30
    +((lp931
    +g903
    +ag1
    +(g2
    +g3
    +(g4
    +g411
    +tp932
    +tp933
    +Rp934
    +(dp935
    +g9
    +g7
    +sg29
    +g30
    +((lp936
    +tp937
    +Rp938
    +sbag889
    +ag1
    +(g2
    +g3
    +(g4
    +S'Declaration'
    +p939
    +tp940
    +tp941
    +Rp942
    +(dp943
    +g9
    +g7
    +sg29
    +g30
    +((lp944
    +tp945
    +Rp946
    +sbag1
    +(g2
    +g3
    +(g4
    +g4
    +tp947
    +tp948
    +Rp949
    +(dp950
    +g9
    +g7
    +sg29
    +g30
    +((lp951
    +tp952
    +Rp953
    +sbag918
    +ag896
    +ag881
    +ag926
    +ag911
    +atp954
    +Rp955
    +sg4
    +g949
    +sg939
    +g942
    +sg411
    +g934
    +sbVload
    +p956
    +tp957
    +a(g826
    +V 
    +p958
    +tp959
    +a(g144
    +V"$NCARG_ROOT/lib/ncarg/nclscripts/csm/gsn_code.ncl"
    +p960
    +tp961
    +a(g826
    +V\u000a
    +p962
    +tp963
    +a(g7
    +Vload
    +p964
    +tp965
    +a(g826
    +g958
    +tp966
    +a(g144
    +V"$NCARG_ROOT/lib/ncarg/nclscripts/csm/gsn_csm.ncl"
    +p967
    +tp968
    +a(g826
    +V\u000a
    +p969
    +tp970
    +a(g7
    +Vload
    +p971
    +tp972
    +a(g826
    +g958
    +tp973
    +a(g144
    +V"$NCARG_ROOT/lib/ncarg/nclscripts/csm/contributed.ncl"
    +p974
    +tp975
    +a(g826
    +V\u000a
    +p976
    +tp977
    +a(g7
    +Vbegin\u000a    
    +p978
    +tp979
    +a(g423
    +Vint_num
    +p980
    +tp981
    +a(g826
    +g958
    +tp982
    +a(g693
    +V=
    +p983
    +tp984
    +a(g826
    +g958
    +tp985
    +a(g22
    +V1
    +p986
    +tp987
    +a(g826
    +V\u000a    
    +p988
    +tp989
    +a(g423
    +Vfloat_num
    +p990
    +tp991
    +a(g826
    +g958
    +tp992
    +a(g693
    +g983
    +tp993
    +a(g826
    +g958
    +tp994
    +a(g302
    +V0.1
    +p995
    +tp996
    +a(g826
    +V\u000a    
    +p997
    +tp998
    +a(g423
    +Vstr
    +p999
    +tp1000
    +a(g826
    +g958
    +tp1001
    +a(g693
    +g983
    +tp1002
    +a(g826
    +g958
    +tp1003
    +a(g144
    +V"A void map"
    +p1004
    +tp1005
    +a(g826
    +V\u000a    
    +p1006
    +tp1007
    +a(g423
    +Varray
    +p1008
    +tp1009
    +a(g826
    +g958
    +tp1010
    +a(g693
    +g983
    +tp1011
    +a(g826
    +g958
    +tp1012
    +a(g693
    +V(
    +p1013
    +tp1014
    +a(g400
    +V/
    +p1015
    +tp1016
    +a(g22
    +g986
    +tp1017
    +a(g693
    +V,
    +p1018
    +tp1019
    +a(g826
    +g958
    +tp1020
    +a(g22
    +V2
    +p1021
    +tp1022
    +a(g693
    +g1018
    +tp1023
    +a(g826
    +g958
    +tp1024
    +a(g22
    +V3
    +p1025
    +tp1026
    +a(g693
    +g1018
    +tp1027
    +a(g826
    +g958
    +tp1028
    +a(g22
    +V4
    +p1029
    +tp1030
    +a(g693
    +g1018
    +tp1031
    +a(g826
    +g958
    +tp1032
    +a(g22
    +V5
    +p1033
    +tp1034
    +a(g400
    +g1015
    +tp1035
    +a(g693
    +V)
    +p1036
    +tp1037
    +a(g826
    +V\u000a\u000a\u000a    
    +p1038
    +tp1039
    +a(g423
    +Vwks
    +p1040
    +tp1041
    +a(g826
    +g958
    +tp1042
    +a(g693
    +g983
    +tp1043
    +a(g826
    +g958
    +tp1044
    +a(g669
    +Vgsn_open_wks
    +p1045
    +tp1046
    +a(g693
    +g1013
    +tp1047
    +a(g144
    +V"X11"
    +p1048
    +tp1049
    +a(g693
    +g1018
    +tp1050
    +a(g826
    +g958
    +tp1051
    +a(g144
    +V"test_for_pygments"
    +p1052
    +tp1053
    +a(g693
    +g1036
    +tp1054
    +a(g826
    +V\u000a\u000a    
    +p1055
    +tp1056
    +a(g423
    +Vres
    +p1057
    +tp1058
    +a(g826
    +g958
    +tp1059
    +a(g693
    +g983
    +tp1060
    +a(g826
    +g958
    +tp1061
    +a(g7
    +VTrue\u000a    
    +p1062
    +tp1063
    +a(g423
    +Vres
    +p1064
    +tp1065
    +a(g693
    +V@
    +p1066
    +tp1067
    +a(g669
    +VmpMinLonF
    +p1068
    +tp1069
    +a(g826
    +g958
    +tp1070
    +a(g693
    +g983
    +tp1071
    +a(g826
    +g958
    +tp1072
    +a(g22
    +V9
    +p1073
    +tp1074
    +a(g302
    +V0.
    +p1075
    +tp1076
    +a(g826
    +V\u000a    
    +p1077
    +tp1078
    +a(g423
    +Vres
    +p1079
    +tp1080
    +a(g693
    +g1066
    +tp1081
    +a(g669
    +VmpMaxLonF
    +p1082
    +tp1083
    +a(g826
    +g958
    +tp1084
    +a(g693
    +g983
    +tp1085
    +a(g826
    +g958
    +tp1086
    +a(g22
    +V18
    +p1087
    +tp1088
    +a(g302
    +V0.
    +p1089
    +tp1090
    +a(g826
    +V\u000a    
    +p1091
    +tp1092
    +a(g423
    +Vres
    +p1093
    +tp1094
    +a(g693
    +g1066
    +tp1095
    +a(g669
    +VmpMinLatF
    +p1096
    +tp1097
    +a(g826
    +g958
    +tp1098
    +a(g693
    +g983
    +tp1099
    +a(g826
    +g958
    +tp1100
    +a(g302
    +V0.
    +p1101
    +tp1102
    +a(g826
    +V\u000a    
    +p1103
    +tp1104
    +a(g423
    +Vres
    +p1105
    +tp1106
    +a(g693
    +g1066
    +tp1107
    +a(g669
    +VmpMaxLatF
    +p1108
    +tp1109
    +a(g826
    +g958
    +tp1110
    +a(g693
    +g983
    +tp1111
    +a(g826
    +g958
    +tp1112
    +a(g22
    +g1073
    +tp1113
    +a(g302
    +V0.
    +p1114
    +tp1115
    +a(g826
    +V\u000a\u000a    
    +p1116
    +tp1117
    +a(g423
    +Vplot
    +p1118
    +tp1119
    +a(g826
    +g958
    +tp1120
    +a(g693
    +g983
    +tp1121
    +a(g826
    +g958
    +tp1122
    +a(g669
    +Vgsn_csm_map_ce
    +p1123
    +tp1124
    +a(g693
    +g1013
    +tp1125
    +a(g423
    +Vwks
    +p1126
    +tp1127
    +a(g693
    +g1018
    +tp1128
    +a(g826
    +g958
    +tp1129
    +a(g423
    +Vres
    +p1130
    +tp1131
    +a(g693
    +g1036
    +tp1132
    +a(g826
    +V\u000a
    +p1133
    +tp1134
    +a(g7
    +Vend
    +p1135
    +tp1136
    +a(g826
    +V\u000a
    +p1137
    +tp1138
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.nim b/tests/examplefiles/output/test.nim
    new file mode 100644
    index 0000000..0c4fab3
    --- /dev/null
    +++ b/tests/examplefiles/output/test.nim
    @@ -0,0 +1,4797 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Keyword'
    +p4
    +S'Namespace'
    +p5
    +tp6
    +tp7
    +Rp8
    +(dp9
    +S'parent'
    +p10
    +g1
    +(g2
    +g3
    +(g4
    +tp11
    +tp12
    +Rp13
    +(dp14
    +g10
    +g1
    +(g2
    +g3
    +(ttp15
    +Rp16
    +(dp17
    +S'Number'
    +p18
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p19
    +g18
    +tp20
    +tp21
    +Rp22
    +(dp23
    +S'Integer'
    +p24
    +g1
    +(g2
    +g3
    +(g19
    +g18
    +g24
    +tp25
    +tp26
    +Rp27
    +(dp28
    +g10
    +g22
    +sS'Long'
    +p29
    +g1
    +(g2
    +g3
    +(g19
    +g18
    +g24
    +g29
    +tp30
    +tp31
    +Rp32
    +(dp33
    +g10
    +g27
    +sS'subtypes'
    +p34
    +c__builtin__
    +set
    +p35
    +((lp36
    +tp37
    +Rp38
    +sbsg34
    +g35
    +((lp39
    +g32
    +atp40
    +Rp41
    +sbsg10
    +g1
    +(g2
    +g3
    +(g19
    +tp42
    +tp43
    +Rp44
    +(dp45
    +S'Scalar'
    +p46
    +g1
    +(g2
    +g3
    +(g19
    +g46
    +tp47
    +tp48
    +Rp49
    +(dp50
    +g10
    +g44
    +sg34
    +g35
    +((lp51
    +g1
    +(g2
    +g3
    +(g19
    +g46
    +S'Plain'
    +p52
    +tp53
    +tp54
    +Rp55
    +(dp56
    +g10
    +g49
    +sg34
    +g35
    +((lp57
    +tp58
    +Rp59
    +sbatp60
    +Rp61
    +sg52
    +g55
    +sbsg18
    +g22
    +sg10
    +g16
    +sS'Other'
    +p62
    +g1
    +(g2
    +g3
    +(g19
    +g62
    +tp63
    +tp64
    +Rp65
    +(dp66
    +g10
    +g44
    +sg34
    +g35
    +((lp67
    +tp68
    +Rp69
    +sbsS'Char'
    +p70
    +g1
    +(g2
    +g3
    +(g19
    +g70
    +tp71
    +tp72
    +Rp73
    +(dp74
    +g10
    +g44
    +sg34
    +g35
    +((lp75
    +tp76
    +Rp77
    +sbsS'String'
    +p78
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +tp79
    +tp80
    +Rp81
    +(dp82
    +g70
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g70
    +tp83
    +tp84
    +Rp85
    +(dp86
    +g10
    +g81
    +sg34
    +g35
    +((lp87
    +tp88
    +Rp89
    +sbsS'Backtick'
    +p90
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g90
    +tp91
    +tp92
    +Rp93
    +(dp94
    +g10
    +g81
    +sg34
    +g35
    +((lp95
    +tp96
    +Rp97
    +sbsS'Heredoc'
    +p98
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g98
    +tp99
    +tp100
    +Rp101
    +(dp102
    +g10
    +g81
    +sg34
    +g35
    +((lp103
    +tp104
    +Rp105
    +sbsS'Symbol'
    +p106
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g106
    +tp107
    +tp108
    +Rp109
    +(dp110
    +g10
    +g81
    +sg34
    +g35
    +((lp111
    +tp112
    +Rp113
    +sbsS'Interpol'
    +p114
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g114
    +tp115
    +tp116
    +Rp117
    +(dp118
    +g10
    +g81
    +sg34
    +g35
    +((lp119
    +tp120
    +Rp121
    +sbsS'Delimiter'
    +p122
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g122
    +tp123
    +tp124
    +Rp125
    +(dp126
    +g10
    +g81
    +sg34
    +g35
    +((lp127
    +tp128
    +Rp129
    +sbsS'Boolean'
    +p130
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g130
    +tp131
    +tp132
    +Rp133
    +(dp134
    +g10
    +g81
    +sg34
    +g35
    +((lp135
    +tp136
    +Rp137
    +sbsS'Character'
    +p138
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g138
    +tp139
    +tp140
    +Rp141
    +(dp142
    +g10
    +g81
    +sg34
    +g35
    +((lp143
    +tp144
    +Rp145
    +sbsS'Double'
    +p146
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g146
    +tp147
    +tp148
    +Rp149
    +(dp150
    +g10
    +g81
    +sg34
    +g35
    +((lp151
    +tp152
    +Rp153
    +sbsS'Delimeter'
    +p154
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g154
    +tp155
    +tp156
    +Rp157
    +(dp158
    +g10
    +g81
    +sg34
    +g35
    +((lp159
    +tp160
    +Rp161
    +sbsS'Atom'
    +p162
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g162
    +tp163
    +tp164
    +Rp165
    +(dp166
    +g10
    +g81
    +sg34
    +g35
    +((lp167
    +tp168
    +Rp169
    +sbsS'Affix'
    +p170
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g170
    +tp171
    +tp172
    +Rp173
    +(dp174
    +g10
    +g81
    +sg34
    +g35
    +((lp175
    +tp176
    +Rp177
    +sbsS'Name'
    +p178
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g178
    +tp179
    +tp180
    +Rp181
    +(dp182
    +g10
    +g81
    +sg34
    +g35
    +((lp183
    +tp184
    +Rp185
    +sbsS'Regex'
    +p186
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g186
    +tp187
    +tp188
    +Rp189
    +(dp190
    +g10
    +g81
    +sg34
    +g35
    +((lp191
    +tp192
    +Rp193
    +sbsS'Interp'
    +p194
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g194
    +tp195
    +tp196
    +Rp197
    +(dp198
    +g10
    +g81
    +sg34
    +g35
    +((lp199
    +tp200
    +Rp201
    +sbsS'Escape'
    +p202
    +g1
    +(g2
    +g3
    +(g19
    +g78
    +g202
    +tp203
    +tp204
    +Rp205
    +(dp206
    +g10
    +g81
    +sg34
    +g35
    +((lp207
    +tp208
    +Rp209
    +sbsg34
    +g35
    +((lp210
    +g125
    +ag109
    +ag189
    +ag1
    +(g2
    +g3
    +(g19
    +g78
    +S'Doc'
    +p211
    +tp212
    +tp213
    +Rp214
    +(dp215
    +g10
    +g81
    +sg34
    +g35
    +((lp216
    +tp217
    +Rp218
    +sbag141
    +ag133
    +ag149
    +ag117
    +ag165
    +ag157
    +ag181
    +ag205
    +ag1
    +(g2
    +g3
    +(g19
    +g78
    +S'Single'
    +p219
    +tp220
    +tp221
    +Rp222
    +(dp223
    +g10
    +g81
    +sg34
    +g35
    +((lp224
    +tp225
    +Rp226
    +sbag1
    +(g2
    +g3
    +(g19
    +g78
    +g62
    +tp227
    +tp228
    +Rp229
    +(dp230
    +g10
    +g81
    +sg34
    +g35
    +((lp231
    +tp232
    +Rp233
    +sbag197
    +ag93
    +ag173
    +ag1
    +(g2
    +g3
    +(g19
    +g78
    +S'Moment'
    +p234
    +tp235
    +tp236
    +Rp237
    +(dp238
    +g10
    +g81
    +sg34
    +g35
    +((lp239
    +tp240
    +Rp241
    +sbag85
    +ag101
    +atp242
    +Rp243
    +sg219
    +g222
    +sg234
    +g237
    +sg10
    +g44
    +sg62
    +g229
    +sg211
    +g214
    +sbsg34
    +g35
    +((lp244
    +g81
    +ag73
    +ag65
    +ag1
    +(g2
    +g3
    +(g19
    +S'Date'
    +p245
    +tp246
    +tp247
    +Rp248
    +(dp249
    +g10
    +g44
    +sg34
    +g35
    +((lp250
    +tp251
    +Rp252
    +sbag49
    +ag22
    +atp253
    +Rp254
    +sg245
    +g248
    +sbsS'Bin'
    +p255
    +g1
    +(g2
    +g3
    +(g19
    +g18
    +g255
    +tp256
    +tp257
    +Rp258
    +(dp259
    +g10
    +g22
    +sg34
    +g35
    +((lp260
    +tp261
    +Rp262
    +sbsS'Radix'
    +p263
    +g1
    +(g2
    +g3
    +(g19
    +g18
    +g263
    +tp264
    +tp265
    +Rp266
    +(dp267
    +g10
    +g22
    +sg34
    +g35
    +((lp268
    +tp269
    +Rp270
    +sbsS'Oct'
    +p271
    +g1
    +(g2
    +g3
    +(g19
    +g18
    +g271
    +tp272
    +tp273
    +Rp274
    +(dp275
    +g10
    +g22
    +sg34
    +g35
    +((lp276
    +tp277
    +Rp278
    +sbsS'Dec'
    +p279
    +g1
    +(g2
    +g3
    +(g19
    +g18
    +g279
    +tp280
    +tp281
    +Rp282
    +(dp283
    +g10
    +g22
    +sg34
    +g35
    +((lp284
    +tp285
    +Rp286
    +sbsS'Hex'
    +p287
    +g1
    +(g2
    +g3
    +(g19
    +g18
    +g287
    +tp288
    +tp289
    +Rp290
    +(dp291
    +g10
    +g22
    +sg34
    +g35
    +((lp292
    +tp293
    +Rp294
    +sbsg34
    +g35
    +((lp295
    +g27
    +ag266
    +ag282
    +ag1
    +(g2
    +g3
    +(g19
    +g18
    +S'Decimal'
    +p296
    +tp297
    +tp298
    +Rp299
    +(dp300
    +g10
    +g22
    +sg34
    +g35
    +((lp301
    +tp302
    +Rp303
    +sbag258
    +ag1
    +(g2
    +g3
    +(g19
    +g18
    +S'Float'
    +p304
    +tp305
    +tp306
    +Rp307
    +(dp308
    +g10
    +g22
    +sg34
    +g35
    +((lp309
    +tp310
    +Rp311
    +sbag274
    +ag290
    +atp312
    +Rp313
    +sg296
    +g299
    +sg304
    +g307
    +sbsS'Generic'
    +p314
    +g1
    +(g2
    +g3
    +(g314
    +tp315
    +tp316
    +Rp317
    +(dp318
    +g10
    +g16
    +sS'Deleted'
    +p319
    +g1
    +(g2
    +g3
    +(g314
    +g319
    +tp320
    +tp321
    +Rp322
    +(dp323
    +g10
    +g317
    +sg34
    +g35
    +((lp324
    +tp325
    +Rp326
    +sbsS'Subheading'
    +p327
    +g1
    +(g2
    +g3
    +(g314
    +g327
    +tp328
    +tp329
    +Rp330
    +(dp331
    +g10
    +g317
    +sg34
    +g35
    +((lp332
    +tp333
    +Rp334
    +sbsS'Heading'
    +p335
    +g1
    +(g2
    +g3
    +(g314
    +g335
    +tp336
    +tp337
    +Rp338
    +(dp339
    +g10
    +g317
    +sg34
    +g35
    +((lp340
    +tp341
    +Rp342
    +sbsS'Emph'
    +p343
    +g1
    +(g2
    +g3
    +(g314
    +g343
    +tp344
    +tp345
    +Rp346
    +(dp347
    +g10
    +g317
    +sg34
    +g35
    +((lp348
    +tp349
    +Rp350
    +sbsS'Prompt'
    +p351
    +g1
    +(g2
    +g3
    +(g314
    +g351
    +tp352
    +tp353
    +Rp354
    +(dp355
    +g10
    +g317
    +sg34
    +g35
    +((lp356
    +tp357
    +Rp358
    +sbsS'Inserted'
    +p359
    +g1
    +(g2
    +g3
    +(g314
    +g359
    +tp360
    +tp361
    +Rp362
    +(dp363
    +g10
    +g317
    +sg34
    +g35
    +((lp364
    +tp365
    +Rp366
    +sbsS'Strong'
    +p367
    +g1
    +(g2
    +g3
    +(g314
    +g367
    +tp368
    +tp369
    +Rp370
    +(dp371
    +g10
    +g317
    +sg34
    +g35
    +((lp372
    +tp373
    +Rp374
    +sbsS'Error'
    +p375
    +g1
    +(g2
    +g3
    +(g314
    +g375
    +tp376
    +tp377
    +Rp378
    +(dp379
    +g10
    +g317
    +sg34
    +g35
    +((lp380
    +tp381
    +Rp382
    +sbsS'Traceback'
    +p383
    +g1
    +(g2
    +g3
    +(g314
    +g383
    +tp384
    +tp385
    +Rp386
    +(dp387
    +g10
    +g317
    +sg34
    +g35
    +((lp388
    +tp389
    +Rp390
    +sbsg34
    +g35
    +((lp391
    +g338
    +ag330
    +ag1
    +(g2
    +g3
    +(g314
    +S'Output'
    +p392
    +tp393
    +tp394
    +Rp395
    +(dp396
    +g10
    +g317
    +sg34
    +g35
    +((lp397
    +tp398
    +Rp399
    +sbag370
    +ag346
    +ag378
    +ag386
    +ag362
    +ag354
    +ag322
    +atp400
    +Rp401
    +sg392
    +g395
    +sbsS'Operator'
    +p402
    +g1
    +(g2
    +g3
    +(g402
    +tp403
    +tp404
    +Rp405
    +(dp406
    +g10
    +g16
    +sS'DBS'
    +p407
    +g1
    +(g2
    +g3
    +(g402
    +g407
    +tp408
    +tp409
    +Rp410
    +(dp411
    +g10
    +g405
    +sg34
    +g35
    +((lp412
    +tp413
    +Rp414
    +sbsg34
    +g35
    +((lp415
    +g410
    +ag1
    +(g2
    +g3
    +(g402
    +S'Word'
    +p416
    +tp417
    +tp418
    +Rp419
    +(dp420
    +g10
    +g405
    +sg34
    +g35
    +((lp421
    +tp422
    +Rp423
    +sbatp424
    +Rp425
    +sg416
    +g419
    +sbsg78
    +g81
    +sg178
    +g1
    +(g2
    +g3
    +(g178
    +tp426
    +tp427
    +Rp428
    +(dp429
    +S'Variable'
    +p430
    +g1
    +(g2
    +g3
    +(g178
    +g430
    +tp431
    +tp432
    +Rp433
    +(dp434
    +g10
    +g428
    +sS'Class'
    +p435
    +g1
    +(g2
    +g3
    +(g178
    +g430
    +g435
    +tp436
    +tp437
    +Rp438
    +(dp439
    +g10
    +g433
    +sg34
    +g35
    +((lp440
    +tp441
    +Rp442
    +sbsS'Anonymous'
    +p443
    +g1
    +(g2
    +g3
    +(g178
    +g430
    +g443
    +tp444
    +tp445
    +Rp446
    +(dp447
    +g10
    +g433
    +sg34
    +g35
    +((lp448
    +tp449
    +Rp450
    +sbsS'Instance'
    +p451
    +g1
    +(g2
    +g3
    +(g178
    +g430
    +g451
    +tp452
    +tp453
    +Rp454
    +(dp455
    +g10
    +g433
    +sg34
    +g35
    +((lp456
    +tp457
    +Rp458
    +sbsS'Global'
    +p459
    +g1
    +(g2
    +g3
    +(g178
    +g430
    +g459
    +tp460
    +tp461
    +Rp462
    +(dp463
    +g10
    +g433
    +sg34
    +g35
    +((lp464
    +tp465
    +Rp466
    +sbsg34
    +g35
    +((lp467
    +g446
    +ag454
    +ag1
    +(g2
    +g3
    +(g178
    +g430
    +S'Magic'
    +p468
    +tp469
    +tp470
    +Rp471
    +(dp472
    +g10
    +g433
    +sg34
    +g35
    +((lp473
    +tp474
    +Rp475
    +sbag462
    +ag438
    +atp476
    +Rp477
    +sg468
    +g471
    +sbsg402
    +g1
    +(g2
    +g3
    +(g178
    +g402
    +tp478
    +tp479
    +Rp480
    +(dp481
    +g10
    +g428
    +sg34
    +g35
    +((lp482
    +tp483
    +Rp484
    +sbsS'Decorator'
    +p485
    +g1
    +(g2
    +g3
    +(g178
    +g485
    +tp486
    +tp487
    +Rp488
    +(dp489
    +g10
    +g428
    +sg34
    +g35
    +((lp490
    +tp491
    +Rp492
    +sbsS'Entity'
    +p493
    +g1
    +(g2
    +g3
    +(g178
    +g493
    +tp494
    +tp495
    +Rp496
    +(dp497
    +g10
    +g428
    +sg407
    +g1
    +(g2
    +g3
    +(g178
    +g493
    +g407
    +tp498
    +tp499
    +Rp500
    +(dp501
    +g10
    +g496
    +sg34
    +g35
    +((lp502
    +tp503
    +Rp504
    +sbsg34
    +g35
    +((lp505
    +g500
    +atp506
    +Rp507
    +sbsg106
    +g1
    +(g2
    +g3
    +(g178
    +g106
    +tp508
    +tp509
    +Rp510
    +(dp511
    +g10
    +g428
    +sg34
    +g35
    +((lp512
    +tp513
    +Rp514
    +sbsS'Property'
    +p515
    +g1
    +(g2
    +g3
    +(g178
    +g515
    +tp516
    +tp517
    +Rp518
    +(dp519
    +g10
    +g428
    +sg34
    +g35
    +((lp520
    +tp521
    +Rp522
    +sbsS'Pseudo'
    +p523
    +g1
    +(g2
    +g3
    +(g178
    +g523
    +tp524
    +tp525
    +Rp526
    +(dp527
    +g10
    +g428
    +sg34
    +g35
    +((lp528
    +tp529
    +Rp530
    +sbsS'Type'
    +p531
    +g1
    +(g2
    +g3
    +(g178
    +g531
    +tp532
    +tp533
    +Rp534
    +(dp535
    +g10
    +g428
    +sg34
    +g35
    +((lp536
    +tp537
    +Rp538
    +sbsS'Classes'
    +p539
    +g1
    +(g2
    +g3
    +(g178
    +g539
    +tp540
    +tp541
    +Rp542
    +(dp543
    +g10
    +g428
    +sg34
    +g35
    +((lp544
    +tp545
    +Rp546
    +sbsS'Tag'
    +p547
    +g1
    +(g2
    +g3
    +(g178
    +g547
    +tp548
    +tp549
    +Rp550
    +(dp551
    +g10
    +g428
    +sg34
    +g35
    +((lp552
    +tp553
    +Rp554
    +sbsS'Constant'
    +p555
    +g1
    +(g2
    +g3
    +(g178
    +g555
    +tp556
    +tp557
    +Rp558
    +(dp559
    +g10
    +g428
    +sg34
    +g35
    +((lp560
    +tp561
    +Rp562
    +sbsS'Function'
    +p563
    +g1
    +(g2
    +g3
    +(g178
    +g563
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g10
    +g428
    +sg34
    +g35
    +((lp568
    +g1
    +(g2
    +g3
    +(g178
    +g563
    +g468
    +tp569
    +tp570
    +Rp571
    +(dp572
    +g10
    +g566
    +sg34
    +g35
    +((lp573
    +tp574
    +Rp575
    +sbatp576
    +Rp577
    +sg468
    +g571
    +sbsS'Blubb'
    +p578
    +g1
    +(g2
    +g3
    +(g178
    +g578
    +tp579
    +tp580
    +Rp581
    +(dp582
    +g10
    +g428
    +sg34
    +g35
    +((lp583
    +tp584
    +Rp585
    +sbsS'Label'
    +p586
    +g1
    +(g2
    +g3
    +(g178
    +g586
    +tp587
    +tp588
    +Rp589
    +(dp590
    +g10
    +g428
    +sg34
    +g35
    +((lp591
    +tp592
    +Rp593
    +sbsS'Field'
    +p594
    +g1
    +(g2
    +g3
    +(g178
    +g594
    +tp595
    +tp596
    +Rp597
    +(dp598
    +g10
    +g428
    +sg34
    +g35
    +((lp599
    +tp600
    +Rp601
    +sbsS'Exception'
    +p602
    +g1
    +(g2
    +g3
    +(g178
    +g602
    +tp603
    +tp604
    +Rp605
    +(dp606
    +g10
    +g428
    +sg34
    +g35
    +((lp607
    +tp608
    +Rp609
    +sbsg5
    +g1
    +(g2
    +g3
    +(g178
    +g5
    +tp610
    +tp611
    +Rp612
    +(dp613
    +g10
    +g428
    +sg34
    +g35
    +((lp614
    +tp615
    +Rp616
    +sbsg34
    +g35
    +((lp617
    +g488
    +ag581
    +ag526
    +ag496
    +ag433
    +ag605
    +ag518
    +ag550
    +ag566
    +ag542
    +ag1
    +(g2
    +g3
    +(g178
    +g435
    +tp618
    +tp619
    +Rp620
    +(dp621
    +g10
    +g428
    +sg407
    +g1
    +(g2
    +g3
    +(g178
    +g435
    +g407
    +tp622
    +tp623
    +Rp624
    +(dp625
    +g10
    +g620
    +sg34
    +g35
    +((lp626
    +tp627
    +Rp628
    +sbsg34
    +g35
    +((lp629
    +g1
    +(g2
    +g3
    +(g178
    +g435
    +S'Start'
    +p630
    +tp631
    +tp632
    +Rp633
    +(dp634
    +g10
    +g620
    +sg34
    +g35
    +((lp635
    +tp636
    +Rp637
    +sbag624
    +atp638
    +Rp639
    +sg630
    +g633
    +sbag1
    +(g2
    +g3
    +(g178
    +g62
    +tp640
    +tp641
    +Rp642
    +(dp643
    +g10
    +g428
    +sS'Member'
    +p644
    +g1
    +(g2
    +g3
    +(g178
    +g62
    +g644
    +tp645
    +tp646
    +Rp647
    +(dp648
    +g10
    +g642
    +sg34
    +g35
    +((lp649
    +tp650
    +Rp651
    +sbsg34
    +g35
    +((lp652
    +g647
    +atp653
    +Rp654
    +sbag589
    +ag480
    +ag612
    +ag1
    +(g2
    +g3
    +(g178
    +S'Attribute'
    +p655
    +tp656
    +tp657
    +Rp658
    +(dp659
    +g10
    +g428
    +sg430
    +g1
    +(g2
    +g3
    +(g178
    +g655
    +g430
    +tp660
    +tp661
    +Rp662
    +(dp663
    +g10
    +g658
    +sg34
    +g35
    +((lp664
    +tp665
    +Rp666
    +sbsg34
    +g35
    +((lp667
    +g662
    +atp668
    +Rp669
    +sbag558
    +ag1
    +(g2
    +g3
    +(g178
    +S'Builtin'
    +p670
    +tp671
    +tp672
    +Rp673
    +(dp674
    +g10
    +g428
    +sg531
    +g1
    +(g2
    +g3
    +(g178
    +g670
    +g531
    +tp675
    +tp676
    +Rp677
    +(dp678
    +g10
    +g673
    +sg34
    +g35
    +((lp679
    +tp680
    +Rp681
    +sbsg34
    +g35
    +((lp682
    +g1
    +(g2
    +g3
    +(g178
    +g670
    +g523
    +tp683
    +tp684
    +Rp685
    +(dp686
    +g10
    +g673
    +sg34
    +g35
    +((lp687
    +tp688
    +Rp689
    +sbag677
    +atp690
    +Rp691
    +sg523
    +g685
    +sbag597
    +ag534
    +ag510
    +atp692
    +Rp693
    +sg10
    +g16
    +sg435
    +g620
    +sg670
    +g673
    +sg655
    +g658
    +sg62
    +g642
    +sbsS'Punctuation'
    +p694
    +g1
    +(g2
    +g3
    +(g694
    +tp695
    +tp696
    +Rp697
    +(dp698
    +g10
    +g16
    +sg34
    +g35
    +((lp699
    +g1
    +(g2
    +g3
    +(g694
    +S'Indicator'
    +p700
    +tp701
    +tp702
    +Rp703
    +(dp704
    +g10
    +g697
    +sg34
    +g35
    +((lp705
    +tp706
    +Rp707
    +sbatp708
    +Rp709
    +sg700
    +g703
    +sbsS'Comment'
    +p710
    +g1
    +(g2
    +g3
    +(g710
    +tp711
    +tp712
    +Rp713
    +(dp714
    +S'Multi'
    +p715
    +g1
    +(g2
    +g3
    +(g710
    +g715
    +tp716
    +tp717
    +Rp718
    +(dp719
    +g10
    +g713
    +sg34
    +g35
    +((lp720
    +tp721
    +Rp722
    +sbsg10
    +g16
    +sS'Special'
    +p723
    +g1
    +(g2
    +g3
    +(g710
    +g723
    +tp724
    +tp725
    +Rp726
    +(dp727
    +g10
    +g713
    +sg34
    +g35
    +((lp728
    +tp729
    +Rp730
    +sbsS'Hashbang'
    +p731
    +g1
    +(g2
    +g3
    +(g710
    +g731
    +tp732
    +tp733
    +Rp734
    +(dp735
    +g10
    +g713
    +sg34
    +g35
    +((lp736
    +tp737
    +Rp738
    +sbsS'Preproc'
    +p739
    +g1
    +(g2
    +g3
    +(g710
    +g739
    +tp740
    +tp741
    +Rp742
    +(dp743
    +g10
    +g713
    +sg34
    +g35
    +((lp744
    +tp745
    +Rp746
    +sbsg219
    +g1
    +(g2
    +g3
    +(g710
    +g219
    +tp747
    +tp748
    +Rp749
    +(dp750
    +g10
    +g713
    +sg34
    +g35
    +((lp751
    +tp752
    +Rp753
    +sbsS'Directive'
    +p754
    +g1
    +(g2
    +g3
    +(g710
    +g754
    +tp755
    +tp756
    +Rp757
    +(dp758
    +g10
    +g713
    +sg34
    +g35
    +((lp759
    +tp760
    +Rp761
    +sbsg211
    +g1
    +(g2
    +g3
    +(g710
    +g211
    +tp762
    +tp763
    +Rp764
    +(dp765
    +g10
    +g713
    +sg34
    +g35
    +((lp766
    +tp767
    +Rp768
    +sbsS'Singleline'
    +p769
    +g1
    +(g2
    +g3
    +(g710
    +g769
    +tp770
    +tp771
    +Rp772
    +(dp773
    +g10
    +g713
    +sg34
    +g35
    +((lp774
    +tp775
    +Rp776
    +sbsS'Multiline'
    +p777
    +g1
    +(g2
    +g3
    +(g710
    +g777
    +tp778
    +tp779
    +Rp780
    +(dp781
    +g10
    +g713
    +sg34
    +g35
    +((lp782
    +tp783
    +Rp784
    +sbsg34
    +g35
    +((lp785
    +g764
    +ag757
    +ag734
    +ag718
    +ag772
    +ag742
    +ag780
    +ag749
    +ag1
    +(g2
    +g3
    +(g710
    +S'PreprocFile'
    +p786
    +tp787
    +tp788
    +Rp789
    +(dp790
    +g10
    +g713
    +sg34
    +g35
    +((lp791
    +tp792
    +Rp793
    +sbag1
    +(g2
    +g3
    +(g710
    +S'SingleLine'
    +p794
    +tp795
    +tp796
    +Rp797
    +(dp798
    +g10
    +g713
    +sg34
    +g35
    +((lp799
    +tp800
    +Rp801
    +sbag726
    +atp802
    +Rp803
    +sg786
    +g789
    +sg794
    +g797
    +sbsg19
    +g44
    +sg62
    +g1
    +(g2
    +g3
    +(g62
    +tp804
    +tp805
    +Rp806
    +(dp807
    +g10
    +g16
    +sg34
    +g35
    +((lp808
    +tp809
    +Rp810
    +sbsg375
    +g1
    +(g2
    +g3
    +(g375
    +tp811
    +tp812
    +Rp813
    +(dp814
    +g10
    +g16
    +sg34
    +g35
    +((lp815
    +tp816
    +Rp817
    +sbsS'Token'
    +p818
    +g16
    +sg202
    +g1
    +(g2
    +g3
    +(g202
    +tp819
    +tp820
    +Rp821
    +(dp822
    +g10
    +g16
    +sg34
    +g35
    +((lp823
    +tp824
    +Rp825
    +sbsg34
    +g35
    +((lp826
    +g428
    +ag806
    +ag13
    +ag317
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p827
    +tp828
    +tp829
    +Rp830
    +(dp831
    +S'Beer'
    +p832
    +g1
    +(g2
    +g3
    +(g827
    +g832
    +tp833
    +tp834
    +Rp835
    +(dp836
    +g10
    +g830
    +sg34
    +g35
    +((lp837
    +tp838
    +Rp839
    +sbsS'Whitespace'
    +p840
    +g1
    +(g2
    +g3
    +(g827
    +g840
    +tp841
    +tp842
    +Rp843
    +(dp844
    +g10
    +g830
    +sg34
    +g35
    +((lp845
    +tp846
    +Rp847
    +sbsg10
    +g16
    +sS'Root'
    +p848
    +g1
    +(g2
    +g3
    +(g827
    +g848
    +tp849
    +tp850
    +Rp851
    +(dp852
    +g10
    +g830
    +sg34
    +g35
    +((lp853
    +tp854
    +Rp855
    +sbsg106
    +g1
    +(g2
    +g3
    +(g827
    +g106
    +tp856
    +tp857
    +Rp858
    +(dp859
    +g10
    +g830
    +sg34
    +g35
    +((lp860
    +tp861
    +Rp862
    +sbsg694
    +g1
    +(g2
    +g3
    +(g827
    +g694
    +tp863
    +tp864
    +Rp865
    +(dp866
    +g10
    +g830
    +sg34
    +g35
    +((lp867
    +tp868
    +Rp869
    +sbsg34
    +g35
    +((lp870
    +g851
    +ag858
    +ag865
    +ag843
    +ag835
    +ag1
    +(g2
    +g3
    +(g827
    +S'Rag'
    +p871
    +tp872
    +tp873
    +Rp874
    +(dp875
    +g10
    +g830
    +sg34
    +g35
    +((lp876
    +tp877
    +Rp878
    +sbatp879
    +Rp880
    +sg871
    +g874
    +sbag405
    +ag821
    +ag697
    +ag713
    +ag813
    +ag44
    +atp881
    +Rp882
    +sg4
    +g13
    +sg827
    +g830
    +sbsg531
    +g1
    +(g2
    +g3
    +(g4
    +g531
    +tp883
    +tp884
    +Rp885
    +(dp886
    +g10
    +g13
    +sg34
    +g35
    +((lp887
    +tp888
    +Rp889
    +sbsS'Control'
    +p890
    +g1
    +(g2
    +g3
    +(g4
    +g890
    +tp891
    +tp892
    +Rp893
    +(dp894
    +g10
    +g13
    +sg34
    +g35
    +((lp895
    +tp896
    +Rp897
    +sbsg555
    +g1
    +(g2
    +g3
    +(g4
    +g555
    +tp898
    +tp899
    +Rp900
    +(dp901
    +g10
    +g13
    +sg34
    +g35
    +((lp902
    +tp903
    +Rp904
    +sbsg5
    +g8
    +sS'PreProc'
    +p905
    +g1
    +(g2
    +g3
    +(g4
    +g905
    +tp906
    +tp907
    +Rp908
    +(dp909
    +g10
    +g13
    +sg34
    +g35
    +((lp910
    +tp911
    +Rp912
    +sbsg523
    +g1
    +(g2
    +g3
    +(g4
    +g523
    +tp913
    +tp914
    +Rp915
    +(dp916
    +g10
    +g13
    +sg34
    +g35
    +((lp917
    +tp918
    +Rp919
    +sbsS'Reserved'
    +p920
    +g1
    +(g2
    +g3
    +(g4
    +g920
    +tp921
    +tp922
    +Rp923
    +(dp924
    +g10
    +g13
    +sg34
    +g35
    +((lp925
    +tp926
    +Rp927
    +sbsg34
    +g35
    +((lp928
    +g8
    +ag1
    +(g2
    +g3
    +(g4
    +g416
    +tp929
    +tp930
    +Rp931
    +(dp932
    +g10
    +g13
    +sg34
    +g35
    +((lp933
    +tp934
    +Rp935
    +sbag893
    +ag1
    +(g2
    +g3
    +(g4
    +S'Declaration'
    +p936
    +tp937
    +tp938
    +Rp939
    +(dp940
    +g10
    +g13
    +sg34
    +g35
    +((lp941
    +tp942
    +Rp943
    +sbag1
    +(g2
    +g3
    +(g4
    +g4
    +tp944
    +tp945
    +Rp946
    +(dp947
    +g10
    +g13
    +sg34
    +g35
    +((lp948
    +tp949
    +Rp950
    +sbag915
    +ag900
    +ag885
    +ag923
    +ag908
    +atp951
    +Rp952
    +sg4
    +g946
    +sg936
    +g939
    +sg416
    +g931
    +sbsg34
    +g35
    +((lp953
    +tp954
    +Rp955
    +sbVimport
    +p956
    +tp957
    +a(g830
    +V 
    +p958
    +tp959
    +a(g428
    +Vre
    +p960
    +tp961
    +a(g830
    +V\u000a\u000a
    +p962
    +tp963
    +a(g13
    +Vfor
    +p964
    +tp965
    +a(g830
    +g958
    +tp966
    +a(g428
    +Vx
    +p967
    +tp968
    +a(g830
    +g958
    +tp969
    +a(g419
    +Vin
    +p970
    +tp971
    +a(g830
    +g958
    +tp972
    +a(g428
    +Vlines
    +p973
    +tp974
    +a(g697
    +V(
    +p975
    +tp976
    +a(g81
    +V"
    +p977
    +tp978
    +a(g81
    +Vmyfile.txt
    +p979
    +tp980
    +a(g81
    +g977
    +tp981
    +a(g697
    +V)
    +p982
    +tp983
    +a(g697
    +V:
    +p984
    +tp985
    +a(g830
    +V\u000a  
    +p986
    +tp987
    +a(g13
    +Vif
    +p988
    +tp989
    +a(g830
    +g958
    +tp990
    +a(g428
    +g967
    +tp991
    +a(g830
    +g958
    +tp992
    +a(g405
    +V=
    +p993
    +tp994
    +a(g405
    +V~
    +p995
    +tp996
    +a(g830
    +g958
    +tp997
    +a(g81
    +Vre"
    +p998
    +tp999
    +a(g81
    +g975
    +tp1000
    +a(g81
    +V\u005c
    +p1001
    +tp1002
    +a(g81
    +Vw+)=(.*)
    +p1003
    +tp1004
    +a(g81
    +g977
    +tp1005
    +a(g697
    +g984
    +tp1006
    +a(g830
    +V\u000a    
    +p1007
    +tp1008
    +a(g428
    +Vecho
    +p1009
    +tp1010
    +a(g830
    +g958
    +tp1011
    +a(g81
    +g977
    +tp1012
    +a(g81
    +VKey: 
    +p1013
    +tp1014
    +a(g81
    +g977
    +tp1015
    +a(g697
    +V,
    +p1016
    +tp1017
    +a(g830
    +g958
    +tp1018
    +a(g428
    +Vmatches
    +p1019
    +tp1020
    +a(g405
    +V[
    +p1021
    +tp1022
    +a(g27
    +V0
    +p1023
    +tp1024
    +a(g405
    +V]
    +p1025
    +tp1026
    +a(g697
    +g1016
    +tp1027
    +a(g830
    +V\u000a         
    +p1028
    +tp1029
    +a(g81
    +g977
    +tp1030
    +a(g81
    +V Value: 
    +p1031
    +tp1032
    +a(g81
    +g977
    +tp1033
    +a(g697
    +g1016
    +tp1034
    +a(g830
    +g958
    +tp1035
    +a(g428
    +Vmatches
    +p1036
    +tp1037
    +a(g405
    +g1021
    +tp1038
    +a(g27
    +V1
    +p1039
    +tp1040
    +a(g405
    +g1025
    +tp1041
    +a(g830
    +V\u000a\u000a
    +p1042
    +tp1043
    +a(g428
    +VEcho
    +p1044
    +tp1045
    +a(g697
    +g975
    +tp1046
    +a(g81
    +g977
    +tp1047
    +a(g81
    +VWhat
    +p1048
    +tp1049
    +a(g81
    +V'
    +p1050
    +tp1051
    +a(g81
    +Vs your name? 
    +p1052
    +tp1053
    +a(g81
    +g977
    +tp1054
    +a(g697
    +g982
    +tp1055
    +a(g830
    +V\u000a
    +p1056
    +tp1057
    +a(g939
    +Vvar
    +p1058
    +tp1059
    +a(g830
    +g958
    +tp1060
    +a(g428
    +Vname
    +p1061
    +tp1062
    +a(g697
    +g984
    +tp1063
    +a(g830
    +g958
    +tp1064
    +a(g885
    +Vstring
    +p1065
    +tp1066
    +a(g830
    +g958
    +tp1067
    +a(g405
    +g993
    +tp1068
    +a(g830
    +g958
    +tp1069
    +a(g428
    +VreadLine
    +p1070
    +tp1071
    +a(g697
    +g975
    +tp1072
    +a(g428
    +Vstdin
    +p1073
    +tp1074
    +a(g697
    +g982
    +tp1075
    +a(g830
    +V\u000a
    +p1076
    +tp1077
    +a(g13
    +Vif
    +p1078
    +tp1079
    +a(g830
    +g958
    +tp1080
    +a(g428
    +Vname
    +p1081
    +tp1082
    +a(g830
    +g958
    +tp1083
    +a(g405
    +g993
    +tp1084
    +a(g405
    +g993
    +tp1085
    +a(g830
    +g958
    +tp1086
    +a(g81
    +g977
    +tp1087
    +a(g81
    +g977
    +tp1088
    +a(g697
    +g984
    +tp1089
    +a(g830
    +V\u000a  
    +p1090
    +tp1091
    +a(g428
    +Vecho
    +p1092
    +tp1093
    +a(g697
    +g975
    +tp1094
    +a(g81
    +g977
    +tp1095
    +a(g81
    +VPoor soul, you lost your name?
    +p1096
    +tp1097
    +a(g81
    +g977
    +tp1098
    +a(g697
    +g982
    +tp1099
    +a(g830
    +V\u000a
    +p1100
    +tp1101
    +a(g13
    +Velif
    +p1102
    +tp1103
    +a(g830
    +g958
    +tp1104
    +a(g428
    +Vname
    +p1105
    +tp1106
    +a(g830
    +g958
    +tp1107
    +a(g405
    +g993
    +tp1108
    +a(g405
    +g993
    +tp1109
    +a(g830
    +g958
    +tp1110
    +a(g81
    +g977
    +tp1111
    +a(g81
    +Vname
    +p1112
    +tp1113
    +a(g81
    +g977
    +tp1114
    +a(g697
    +g984
    +tp1115
    +a(g830
    +V\u000a  
    +p1116
    +tp1117
    +a(g428
    +Vecho
    +p1118
    +tp1119
    +a(g697
    +g975
    +tp1120
    +a(g81
    +g977
    +tp1121
    +a(g81
    +VVery funny, your name is name.
    +p1122
    +tp1123
    +a(g81
    +g977
    +tp1124
    +a(g697
    +g982
    +tp1125
    +a(g830
    +V\u000a
    +p1126
    +tp1127
    +a(g13
    +Velse
    +p1128
    +tp1129
    +a(g697
    +g984
    +tp1130
    +a(g830
    +V\u000a  
    +p1131
    +tp1132
    +a(g428
    +VEcho
    +p1133
    +tp1134
    +a(g697
    +g975
    +tp1135
    +a(g81
    +g977
    +tp1136
    +a(g81
    +VHi, 
    +p1137
    +tp1138
    +a(g81
    +g977
    +tp1139
    +a(g697
    +g1016
    +tp1140
    +a(g830
    +g958
    +tp1141
    +a(g428
    +Vname
    +p1142
    +tp1143
    +a(g697
    +g1016
    +tp1144
    +a(g830
    +g958
    +tp1145
    +a(g81
    +g977
    +tp1146
    +a(g81
    +V!
    +p1147
    +tp1148
    +a(g81
    +g977
    +tp1149
    +a(g697
    +g982
    +tp1150
    +a(g830
    +V\u000a\u000a
    +p1151
    +tp1152
    +a(g939
    +Vvar
    +p1153
    +tp1154
    +a(g830
    +g958
    +tp1155
    +a(g428
    +Vname
    +p1156
    +tp1157
    +a(g830
    +g958
    +tp1158
    +a(g405
    +g993
    +tp1159
    +a(g830
    +g958
    +tp1160
    +a(g428
    +VreadLine
    +p1161
    +tp1162
    +a(g697
    +g975
    +tp1163
    +a(g428
    +Vstdin
    +p1164
    +tp1165
    +a(g697
    +g982
    +tp1166
    +a(g830
    +V\u000a
    +p1167
    +tp1168
    +a(g13
    +Vcase
    +p1169
    +tp1170
    +a(g830
    +g958
    +tp1171
    +a(g428
    +Vname
    +p1172
    +tp1173
    +a(g830
    +V\u000a
    +p1174
    +tp1175
    +a(g13
    +Vof
    +p1176
    +tp1177
    +a(g830
    +g958
    +tp1178
    +a(g81
    +g977
    +tp1179
    +a(g81
    +g977
    +tp1180
    +a(g697
    +g984
    +tp1181
    +a(g830
    +V\u000a  
    +p1182
    +tp1183
    +a(g428
    +Vecho
    +p1184
    +tp1185
    +a(g697
    +g975
    +tp1186
    +a(g81
    +g977
    +tp1187
    +a(g81
    +VPoor soul, you lost your name?
    +p1188
    +tp1189
    +a(g81
    +g977
    +tp1190
    +a(g697
    +g982
    +tp1191
    +a(g830
    +V\u000a
    +p1192
    +tp1193
    +a(g13
    +Vof
    +p1194
    +tp1195
    +a(g830
    +g958
    +tp1196
    +a(g81
    +g977
    +tp1197
    +a(g81
    +Vname
    +p1198
    +tp1199
    +a(g81
    +g977
    +tp1200
    +a(g697
    +g984
    +tp1201
    +a(g830
    +V\u000a  
    +p1202
    +tp1203
    +a(g428
    +Vecho
    +p1204
    +tp1205
    +a(g697
    +g975
    +tp1206
    +a(g81
    +g977
    +tp1207
    +a(g81
    +VVery funny, your name is name.
    +p1208
    +tp1209
    +a(g81
    +g977
    +tp1210
    +a(g697
    +g982
    +tp1211
    +a(g830
    +V\u000a
    +p1212
    +tp1213
    +a(g13
    +Velse
    +p1214
    +tp1215
    +a(g697
    +g984
    +tp1216
    +a(g830
    +V\u000a  
    +p1217
    +tp1218
    +a(g428
    +VEcho
    +p1219
    +tp1220
    +a(g697
    +g975
    +tp1221
    +a(g81
    +g977
    +tp1222
    +a(g81
    +VHi, 
    +p1223
    +tp1224
    +a(g81
    +g977
    +tp1225
    +a(g697
    +g1016
    +tp1226
    +a(g830
    +g958
    +tp1227
    +a(g428
    +Vname
    +p1228
    +tp1229
    +a(g697
    +g1016
    +tp1230
    +a(g830
    +g958
    +tp1231
    +a(g81
    +g977
    +tp1232
    +a(g81
    +g1147
    +tp1233
    +a(g81
    +g977
    +tp1234
    +a(g697
    +g982
    +tp1235
    +a(g830
    +V\u000a\u000a
    +p1236
    +tp1237
    +a(g8
    +Vfrom
    +p1238
    +tp1239
    +a(g830
    +g958
    +tp1240
    +a(g428
    +Vstrutils
    +p1241
    +tp1242
    +a(g830
    +g958
    +tp1243
    +a(g8
    +Vimport
    +p1244
    +tp1245
    +a(g830
    +g958
    +tp1246
    +a(g428
    +VparseInt
    +p1247
    +tp1248
    +a(g830
    +V\u000a\u000a
    +p1249
    +tp1250
    +a(g428
    +VEcho
    +p1251
    +tp1252
    +a(g697
    +g975
    +tp1253
    +a(g81
    +g977
    +tp1254
    +a(g81
    +VA number please: 
    +p1255
    +tp1256
    +a(g81
    +g977
    +tp1257
    +a(g697
    +g982
    +tp1258
    +a(g830
    +V\u000a
    +p1259
    +tp1260
    +a(g939
    +Vvar
    +p1261
    +tp1262
    +a(g830
    +g958
    +tp1263
    +a(g428
    +Vn
    +p1264
    +tp1265
    +a(g830
    +g958
    +tp1266
    +a(g405
    +g993
    +tp1267
    +a(g830
    +g958
    +tp1268
    +a(g428
    +VparseInt
    +p1269
    +tp1270
    +a(g697
    +g975
    +tp1271
    +a(g428
    +VreadLine
    +p1272
    +tp1273
    +a(g697
    +g975
    +tp1274
    +a(g428
    +Vstdin
    +p1275
    +tp1276
    +a(g697
    +g982
    +tp1277
    +a(g697
    +g982
    +tp1278
    +a(g830
    +V\u000a
    +p1279
    +tp1280
    +a(g13
    +Vcase
    +p1281
    +tp1282
    +a(g830
    +g958
    +tp1283
    +a(g428
    +g1264
    +tp1284
    +a(g830
    +V\u000a
    +p1285
    +tp1286
    +a(g13
    +Vof
    +p1287
    +tp1288
    +a(g830
    +g958
    +tp1289
    +a(g307
    +g1023
    +tp1290
    +a(g697
    +V..
    +p1291
    +tp1292
    +a(g27
    +V2
    +p1293
    +tp1294
    +a(g697
    +g1016
    +tp1295
    +a(g830
    +g958
    +tp1296
    +a(g307
    +V4
    +p1297
    +tp1298
    +a(g697
    +V..
    +p1299
    +tp1300
    +a(g27
    +V7
    +p1301
    +tp1302
    +a(g697
    +g984
    +tp1303
    +a(g830
    +g958
    +tp1304
    +a(g428
    +VEcho
    +p1305
    +tp1306
    +a(g697
    +g975
    +tp1307
    +a(g81
    +g977
    +tp1308
    +a(g81
    +VThe number is in the set: {0, 1, 2, 4, 5, 6, 7}
    +p1309
    +tp1310
    +a(g81
    +g977
    +tp1311
    +a(g697
    +g982
    +tp1312
    +a(g830
    +V\u000a
    +p1313
    +tp1314
    +a(g13
    +Vof
    +p1315
    +tp1316
    +a(g830
    +g958
    +tp1317
    +a(g27
    +V3
    +p1318
    +tp1319
    +a(g697
    +g1016
    +tp1320
    +a(g830
    +g958
    +tp1321
    +a(g27
    +V8
    +p1322
    +tp1323
    +a(g697
    +g984
    +tp1324
    +a(g830
    +g958
    +tp1325
    +a(g428
    +VEcho
    +p1326
    +tp1327
    +a(g697
    +g975
    +tp1328
    +a(g81
    +g977
    +tp1329
    +a(g81
    +VThe number is 3 or 8
    +p1330
    +tp1331
    +a(g81
    +g977
    +tp1332
    +a(g697
    +g982
    +tp1333
    +a(g830
    +V\u000a\u000a
    +p1334
    +tp1335
    +a(g428
    +VEcho
    +p1336
    +tp1337
    +a(g697
    +g975
    +tp1338
    +a(g81
    +g977
    +tp1339
    +a(g81
    +VCounting to 10: 
    +p1340
    +tp1341
    +a(g81
    +g977
    +tp1342
    +a(g697
    +g982
    +tp1343
    +a(g830
    +V\u000a
    +p1344
    +tp1345
    +a(g939
    +Vvar
    +p1346
    +tp1347
    +a(g830
    +g958
    +tp1348
    +a(g428
    +Vi
    +p1349
    +tp1350
    +a(g830
    +g958
    +tp1351
    +a(g405
    +g993
    +tp1352
    +a(g830
    +g958
    +tp1353
    +a(g27
    +g1039
    +tp1354
    +a(g830
    +V\u000a
    +p1355
    +tp1356
    +a(g13
    +Vwhile
    +p1357
    +tp1358
    +a(g830
    +g958
    +tp1359
    +a(g428
    +g1349
    +tp1360
    +a(g830
    +g958
    +tp1361
    +a(g405
    +V<
    +p1362
    +tp1363
    +a(g405
    +g993
    +tp1364
    +a(g830
    +g958
    +tp1365
    +a(g27
    +V10
    +p1366
    +tp1367
    +a(g697
    +g984
    +tp1368
    +a(g830
    +V\u000a  
    +p1369
    +tp1370
    +a(g428
    +VEcho
    +p1371
    +tp1372
    +a(g697
    +g975
    +tp1373
    +a(g405
    +V$
    +p1374
    +tp1375
    +a(g428
    +g1349
    +tp1376
    +a(g697
    +g982
    +tp1377
    +a(g830
    +V\u000a  
    +p1378
    +tp1379
    +a(g428
    +Vinc
    +p1380
    +tp1381
    +a(g697
    +g975
    +tp1382
    +a(g428
    +g1349
    +tp1383
    +a(g697
    +g982
    +tp1384
    +a(g830
    +V\u000a\u000a
    +p1385
    +tp1386
    +a(g13
    +Vproc 
    +p1387
    +tp1388
    +a(g566
    +Vyes
    +p1389
    +tp1390
    +a(g697
    +g975
    +tp1391
    +a(g428
    +Vquestion
    +p1392
    +tp1393
    +a(g697
    +g984
    +tp1394
    +a(g830
    +g958
    +tp1395
    +a(g885
    +Vstring
    +p1396
    +tp1397
    +a(g697
    +g982
    +tp1398
    +a(g697
    +g984
    +tp1399
    +a(g830
    +g958
    +tp1400
    +a(g885
    +Vbool
    +p1401
    +tp1402
    +a(g830
    +g958
    +tp1403
    +a(g405
    +g993
    +tp1404
    +a(g830
    +V\u000a  
    +p1405
    +tp1406
    +a(g428
    +VEcho
    +p1407
    +tp1408
    +a(g697
    +g975
    +tp1409
    +a(g428
    +Vquestion
    +p1410
    +tp1411
    +a(g697
    +g1016
    +tp1412
    +a(g830
    +g958
    +tp1413
    +a(g81
    +g977
    +tp1414
    +a(g81
    +V (y/n)
    +p1415
    +tp1416
    +a(g81
    +g977
    +tp1417
    +a(g697
    +g982
    +tp1418
    +a(g830
    +V\u000a  
    +p1419
    +tp1420
    +a(g13
    +Vwhile
    +p1421
    +tp1422
    +a(g830
    +g958
    +tp1423
    +a(g915
    +Vtrue
    +p1424
    +tp1425
    +a(g697
    +g984
    +tp1426
    +a(g830
    +V\u000a    
    +p1427
    +tp1428
    +a(g13
    +Vcase
    +p1429
    +tp1430
    +a(g830
    +g958
    +tp1431
    +a(g428
    +VreadLine
    +p1432
    +tp1433
    +a(g697
    +g975
    +tp1434
    +a(g428
    +Vstdin
    +p1435
    +tp1436
    +a(g697
    +g982
    +tp1437
    +a(g830
    +V\u000a    
    +p1438
    +tp1439
    +a(g13
    +Vof
    +p1440
    +tp1441
    +a(g830
    +g958
    +tp1442
    +a(g81
    +g977
    +tp1443
    +a(g81
    +Vy
    +p1444
    +tp1445
    +a(g81
    +g977
    +tp1446
    +a(g697
    +g1016
    +tp1447
    +a(g830
    +g958
    +tp1448
    +a(g81
    +g977
    +tp1449
    +a(g81
    +VY
    +p1450
    +tp1451
    +a(g81
    +g977
    +tp1452
    +a(g697
    +g1016
    +tp1453
    +a(g830
    +g958
    +tp1454
    +a(g81
    +g977
    +tp1455
    +a(g81
    +Vyes
    +p1456
    +tp1457
    +a(g81
    +g977
    +tp1458
    +a(g697
    +g1016
    +tp1459
    +a(g830
    +g958
    +tp1460
    +a(g81
    +g977
    +tp1461
    +a(g81
    +VYes
    +p1462
    +tp1463
    +a(g81
    +g977
    +tp1464
    +a(g697
    +g984
    +tp1465
    +a(g830
    +g958
    +tp1466
    +a(g13
    +Vreturn
    +p1467
    +tp1468
    +a(g830
    +g958
    +tp1469
    +a(g915
    +Vtrue
    +p1470
    +tp1471
    +a(g830
    +V\u000a    
    +p1472
    +tp1473
    +a(g13
    +Vof
    +p1474
    +tp1475
    +a(g830
    +g958
    +tp1476
    +a(g81
    +g977
    +tp1477
    +a(g81
    +g1264
    +tp1478
    +a(g81
    +g977
    +tp1479
    +a(g697
    +g1016
    +tp1480
    +a(g830
    +g958
    +tp1481
    +a(g81
    +g977
    +tp1482
    +a(g81
    +VN
    +p1483
    +tp1484
    +a(g81
    +g977
    +tp1485
    +a(g697
    +g1016
    +tp1486
    +a(g830
    +g958
    +tp1487
    +a(g81
    +g977
    +tp1488
    +a(g81
    +Vno
    +p1489
    +tp1490
    +a(g81
    +g977
    +tp1491
    +a(g697
    +g1016
    +tp1492
    +a(g830
    +g958
    +tp1493
    +a(g81
    +g977
    +tp1494
    +a(g81
    +VNo
    +p1495
    +tp1496
    +a(g81
    +g977
    +tp1497
    +a(g697
    +g984
    +tp1498
    +a(g830
    +g958
    +tp1499
    +a(g13
    +Vreturn
    +p1500
    +tp1501
    +a(g830
    +g958
    +tp1502
    +a(g915
    +Vfalse
    +p1503
    +tp1504
    +a(g830
    +V\u000a    
    +p1505
    +tp1506
    +a(g13
    +Velse
    +p1507
    +tp1508
    +a(g697
    +g984
    +tp1509
    +a(g830
    +g958
    +tp1510
    +a(g428
    +VEcho
    +p1511
    +tp1512
    +a(g697
    +g975
    +tp1513
    +a(g81
    +g977
    +tp1514
    +a(g81
    +VPlease be clear: yes or no
    +p1515
    +tp1516
    +a(g81
    +g977
    +tp1517
    +a(g697
    +g982
    +tp1518
    +a(g830
    +V\u000a\u000a
    +p1519
    +tp1520
    +a(g13
    +Vproc 
    +p1521
    +tp1522
    +a(g566
    +Veven
    +p1523
    +tp1524
    +a(g697
    +g975
    +tp1525
    +a(g428
    +g1264
    +tp1526
    +a(g697
    +g984
    +tp1527
    +a(g830
    +g958
    +tp1528
    +a(g885
    +Vint
    +p1529
    +tp1530
    +a(g697
    +g982
    +tp1531
    +a(g697
    +g984
    +tp1532
    +a(g830
    +g958
    +tp1533
    +a(g885
    +Vbool
    +p1534
    +tp1535
    +a(g830
    +V\u000a\u000a
    +p1536
    +tp1537
    +a(g13
    +Vproc 
    +p1538
    +tp1539
    +a(g566
    +Vodd
    +p1540
    +tp1541
    +a(g697
    +g975
    +tp1542
    +a(g428
    +g1264
    +tp1543
    +a(g697
    +g984
    +tp1544
    +a(g830
    +g958
    +tp1545
    +a(g885
    +Vint
    +p1546
    +tp1547
    +a(g697
    +g982
    +tp1548
    +a(g697
    +g984
    +tp1549
    +a(g830
    +g958
    +tp1550
    +a(g885
    +Vbool
    +p1551
    +tp1552
    +a(g830
    +g958
    +tp1553
    +a(g405
    +g993
    +tp1554
    +a(g830
    +V\u000a  
    +p1555
    +tp1556
    +a(g13
    +Vif
    +p1557
    +tp1558
    +a(g830
    +g958
    +tp1559
    +a(g428
    +g1264
    +tp1560
    +a(g830
    +g958
    +tp1561
    +a(g405
    +g993
    +tp1562
    +a(g405
    +g993
    +tp1563
    +a(g830
    +g958
    +tp1564
    +a(g27
    +g1039
    +tp1565
    +a(g697
    +g984
    +tp1566
    +a(g830
    +g958
    +tp1567
    +a(g13
    +Vreturn
    +p1568
    +tp1569
    +a(g830
    +g958
    +tp1570
    +a(g915
    +Vtrue
    +p1571
    +tp1572
    +a(g830
    +V\u000a  
    +p1573
    +tp1574
    +a(g13
    +Velse
    +p1575
    +tp1576
    +a(g697
    +g984
    +tp1577
    +a(g830
    +g958
    +tp1578
    +a(g13
    +Vreturn
    +p1579
    +tp1580
    +a(g830
    +g958
    +tp1581
    +a(g428
    +Veven
    +p1582
    +tp1583
    +a(g697
    +g975
    +tp1584
    +a(g428
    +g1264
    +tp1585
    +a(g405
    +V-
    +p1586
    +tp1587
    +a(g27
    +g1039
    +tp1588
    +a(g697
    +g982
    +tp1589
    +a(g830
    +V\u000a\u000a
    +p1590
    +tp1591
    +a(g13
    +Viterator
    +p1592
    +tp1593
    +a(g830
    +g958
    +tp1594
    +a(g428
    +Vcountup
    +p1595
    +tp1596
    +a(g697
    +g975
    +tp1597
    +a(g428
    +Va
    +p1598
    +tp1599
    +a(g697
    +g1016
    +tp1600
    +a(g830
    +g958
    +tp1601
    +a(g428
    +Vb
    +p1602
    +tp1603
    +a(g697
    +g984
    +tp1604
    +a(g830
    +g958
    +tp1605
    +a(g885
    +Vint
    +p1606
    +tp1607
    +a(g697
    +g982
    +tp1608
    +a(g697
    +g984
    +tp1609
    +a(g830
    +g958
    +tp1610
    +a(g885
    +Vint
    +p1611
    +tp1612
    +a(g830
    +g958
    +tp1613
    +a(g405
    +g993
    +tp1614
    +a(g830
    +V\u000a  
    +p1615
    +tp1616
    +a(g939
    +Vvar
    +p1617
    +tp1618
    +a(g830
    +g958
    +tp1619
    +a(g428
    +Vres
    +p1620
    +tp1621
    +a(g830
    +g958
    +tp1622
    +a(g405
    +g993
    +tp1623
    +a(g830
    +g958
    +tp1624
    +a(g428
    +g1598
    +tp1625
    +a(g830
    +V\u000a  
    +p1626
    +tp1627
    +a(g13
    +Vwhile
    +p1628
    +tp1629
    +a(g830
    +g958
    +tp1630
    +a(g428
    +Vres
    +p1631
    +tp1632
    +a(g830
    +g958
    +tp1633
    +a(g405
    +g1362
    +tp1634
    +a(g405
    +g993
    +tp1635
    +a(g830
    +g958
    +tp1636
    +a(g428
    +g1602
    +tp1637
    +a(g697
    +g984
    +tp1638
    +a(g830
    +V\u000a    
    +p1639
    +tp1640
    +a(g13
    +Vyield
    +p1641
    +tp1642
    +a(g830
    +g958
    +tp1643
    +a(g428
    +Vres
    +p1644
    +tp1645
    +a(g830
    +V\u000a    
    +p1646
    +tp1647
    +a(g428
    +Vinc
    +p1648
    +tp1649
    +a(g697
    +g975
    +tp1650
    +a(g428
    +Vres
    +p1651
    +tp1652
    +a(g697
    +g982
    +tp1653
    +a(g830
    +V\u000a\u000a
    +p1654
    +tp1655
    +a(g13
    +Vtype
    +p1656
    +tp1657
    +a(g830
    +V\u000a  
    +p1658
    +tp1659
    +a(g428
    +VTPerson
    +p1660
    +tp1661
    +a(g830
    +g958
    +tp1662
    +a(g405
    +g993
    +tp1663
    +a(g830
    +g958
    +tp1664
    +a(g13
    +Vobject
    +p1665
    +tp1666
    +a(g830
    +g958
    +tp1667
    +a(g13
    +Vof
    +p1668
    +tp1669
    +a(g830
    +g958
    +tp1670
    +a(g428
    +VTObject
    +p1671
    +tp1672
    +a(g830
    +V\u000a    
    +p1673
    +tp1674
    +a(g428
    +Vname
    +p1675
    +tp1676
    +a(g405
    +V*
    +p1677
    +tp1678
    +a(g697
    +g984
    +tp1679
    +a(g830
    +g958
    +tp1680
    +a(g885
    +Vstring
    +p1681
    +tp1682
    +a(g830
    +V  
    +p1683
    +tp1684
    +a(g713
    +V# the * means that `name` is accessible from other modules
    +p1685
    +tp1686
    +a(g830
    +V\u000a    
    +p1687
    +tp1688
    +a(g428
    +Vage
    +p1689
    +tp1690
    +a(g697
    +g984
    +tp1691
    +a(g830
    +g958
    +tp1692
    +a(g885
    +Vint
    +p1693
    +tp1694
    +a(g830
    +V       
    +p1695
    +tp1696
    +a(g713
    +V# no * means that the field is hidden from other modules
    +p1697
    +tp1698
    +a(g830
    +V\u000a\u000a  
    +p1699
    +tp1700
    +a(g428
    +VTStudent
    +p1701
    +tp1702
    +a(g830
    +g958
    +tp1703
    +a(g405
    +g993
    +tp1704
    +a(g830
    +g958
    +tp1705
    +a(g13
    +Vobject
    +p1706
    +tp1707
    +a(g830
    +g958
    +tp1708
    +a(g13
    +Vof
    +p1709
    +tp1710
    +a(g830
    +g958
    +tp1711
    +a(g428
    +VTPerson
    +p1712
    +tp1713
    +a(g830
    +g958
    +tp1714
    +a(g713
    +V# TStudent inherits from TPerson
    +p1715
    +tp1716
    +a(g830
    +V\u000a    
    +p1717
    +tp1718
    +a(g428
    +Vid
    +p1719
    +tp1720
    +a(g697
    +g984
    +tp1721
    +a(g830
    +g958
    +tp1722
    +a(g885
    +Vint
    +p1723
    +tp1724
    +a(g830
    +V                    
    +p1725
    +tp1726
    +a(g713
    +V# with an id field
    +p1727
    +tp1728
    +a(g830
    +V\u000a\u000a
    +p1729
    +tp1730
    +a(g939
    +Vvar
    +p1731
    +tp1732
    +a(g830
    +V\u000a  
    +p1733
    +tp1734
    +a(g428
    +Vstudent
    +p1735
    +tp1736
    +a(g697
    +g984
    +tp1737
    +a(g830
    +g958
    +tp1738
    +a(g428
    +VTStudent
    +p1739
    +tp1740
    +a(g830
    +V\u000a  
    +p1741
    +tp1742
    +a(g428
    +Vperson
    +p1743
    +tp1744
    +a(g697
    +g984
    +tp1745
    +a(g830
    +g958
    +tp1746
    +a(g428
    +VTPerson
    +p1747
    +tp1748
    +a(g830
    +V\u000a
    +p1749
    +tp1750
    +a(g428
    +Vassert
    +p1751
    +tp1752
    +a(g697
    +g975
    +tp1753
    +a(g428
    +Vstudent
    +p1754
    +tp1755
    +a(g830
    +g958
    +tp1756
    +a(g419
    +Vis
    +p1757
    +tp1758
    +a(g830
    +g958
    +tp1759
    +a(g428
    +VTStudent
    +p1760
    +tp1761
    +a(g697
    +g982
    +tp1762
    +a(g830
    +V\u000a\u000a
    +p1763
    +tp1764
    +a(g428
    +Vecho
    +p1765
    +tp1766
    +a(g697
    +g975
    +tp1767
    +a(g697
    +V{
    +p1768
    +tp1769
    +a(g85
    +g1050
    +tp1770
    +a(g85
    +g1598
    +tp1771
    +a(g85
    +g1050
    +tp1772
    +a(g697
    +g1016
    +tp1773
    +a(g830
    +g958
    +tp1774
    +a(g85
    +g1050
    +tp1775
    +a(g85
    +g1602
    +tp1776
    +a(g85
    +g1050
    +tp1777
    +a(g697
    +g1016
    +tp1778
    +a(g830
    +g958
    +tp1779
    +a(g85
    +g1050
    +tp1780
    +a(g85
    +Vc
    +p1781
    +tp1782
    +a(g85
    +g1050
    +tp1783
    +a(g697
    +V}
    +p1784
    +tp1785
    +a(g697
    +V.
    +p1786
    +tp1787
    +a(g428
    +Vcard
    +p1788
    +tp1789
    +a(g697
    +g982
    +tp1790
    +a(g830
    +V\u000a
    +p1791
    +tp1792
    +a(g428
    +Vstdout
    +p1793
    +tp1794
    +a(g697
    +g1786
    +tp1795
    +a(g428
    +Vwriteln
    +p1796
    +tp1797
    +a(g697
    +g975
    +tp1798
    +a(g81
    +g977
    +tp1799
    +a(g81
    +VHallo
    +p1800
    +tp1801
    +a(g81
    +g977
    +tp1802
    +a(g697
    +g982
    +tp1803
    +a(g830
    +V\u000a
    +p1804
    +tp1805
    +a(g939
    +Vvar
    +p1806
    +tp1807
    +a(g830
    +V\u000a  
    +p1808
    +tp1809
    +a(g428
    +Vf
    +p1810
    +tp1811
    +a(g697
    +g984
    +tp1812
    +a(g830
    +g958
    +tp1813
    +a(g428
    +VTFile
    +p1814
    +tp1815
    +a(g830
    +V\u000a
    +p1816
    +tp1817
    +a(g13
    +Vif
    +p1818
    +tp1819
    +a(g830
    +g958
    +tp1820
    +a(g428
    +Vopen
    +p1821
    +tp1822
    +a(g697
    +g975
    +tp1823
    +a(g428
    +g1810
    +tp1824
    +a(g697
    +g1016
    +tp1825
    +a(g830
    +g958
    +tp1826
    +a(g81
    +g977
    +tp1827
    +a(g81
    +Vnumbers.txt
    +p1828
    +tp1829
    +a(g81
    +g977
    +tp1830
    +a(g697
    +g982
    +tp1831
    +a(g697
    +g984
    +tp1832
    +a(g830
    +V\u000a  
    +p1833
    +tp1834
    +a(g13
    +Vtry
    +p1835
    +tp1836
    +a(g697
    +g984
    +tp1837
    +a(g830
    +V\u000a    
    +p1838
    +tp1839
    +a(g939
    +Vvar
    +p1840
    +tp1841
    +a(g830
    +g958
    +tp1842
    +a(g428
    +g1598
    +tp1843
    +a(g830
    +g958
    +tp1844
    +a(g405
    +g993
    +tp1845
    +a(g830
    +g958
    +tp1846
    +a(g428
    +VreadLine
    +p1847
    +tp1848
    +a(g697
    +g975
    +tp1849
    +a(g428
    +g1810
    +tp1850
    +a(g697
    +g982
    +tp1851
    +a(g830
    +V\u000a    
    +p1852
    +tp1853
    +a(g939
    +Vvar
    +p1854
    +tp1855
    +a(g830
    +g958
    +tp1856
    +a(g428
    +g1602
    +tp1857
    +a(g830
    +g958
    +tp1858
    +a(g405
    +g993
    +tp1859
    +a(g830
    +g958
    +tp1860
    +a(g428
    +VreadLine
    +p1861
    +tp1862
    +a(g697
    +g975
    +tp1863
    +a(g428
    +g1810
    +tp1864
    +a(g697
    +g982
    +tp1865
    +a(g830
    +V\u000a    
    +p1866
    +tp1867
    +a(g428
    +Vecho
    +p1868
    +tp1869
    +a(g697
    +g975
    +tp1870
    +a(g81
    +g977
    +tp1871
    +a(g81
    +Vsum: 
    +p1872
    +tp1873
    +a(g81
    +g977
    +tp1874
    +a(g830
    +g958
    +tp1875
    +a(g405
    +V&
    +p1876
    +tp1877
    +a(g830
    +g958
    +tp1878
    +a(g405
    +g1374
    +tp1879
    +a(g697
    +g975
    +tp1880
    +a(g428
    +VparseInt
    +p1881
    +tp1882
    +a(g697
    +g975
    +tp1883
    +a(g428
    +g1598
    +tp1884
    +a(g697
    +g982
    +tp1885
    +a(g830
    +g958
    +tp1886
    +a(g405
    +V+
    +p1887
    +tp1888
    +a(g830
    +g958
    +tp1889
    +a(g428
    +VparseInt
    +p1890
    +tp1891
    +a(g697
    +g975
    +tp1892
    +a(g428
    +g1602
    +tp1893
    +a(g697
    +g982
    +tp1894
    +a(g697
    +g982
    +tp1895
    +a(g697
    +g982
    +tp1896
    +a(g830
    +V\u000a  
    +p1897
    +tp1898
    +a(g13
    +Vexcept
    +p1899
    +tp1900
    +a(g830
    +g958
    +tp1901
    +a(g428
    +VEOverflow
    +p1902
    +tp1903
    +a(g697
    +g984
    +tp1904
    +a(g830
    +V\u000a    
    +p1905
    +tp1906
    +a(g428
    +Vecho
    +p1907
    +tp1908
    +a(g697
    +g975
    +tp1909
    +a(g81
    +g977
    +tp1910
    +a(g81
    +Voverflow!
    +p1911
    +tp1912
    +a(g81
    +g977
    +tp1913
    +a(g697
    +g982
    +tp1914
    +a(g830
    +V\u000a  
    +p1915
    +tp1916
    +a(g13
    +Vexcept
    +p1917
    +tp1918
    +a(g830
    +g958
    +tp1919
    +a(g428
    +VEInvalidValue
    +p1920
    +tp1921
    +a(g697
    +g984
    +tp1922
    +a(g830
    +V\u000a    
    +p1923
    +tp1924
    +a(g428
    +Vecho
    +p1925
    +tp1926
    +a(g697
    +g975
    +tp1927
    +a(g81
    +g977
    +tp1928
    +a(g81
    +Vcould not convert string to integer
    +p1929
    +tp1930
    +a(g81
    +g977
    +tp1931
    +a(g697
    +g982
    +tp1932
    +a(g830
    +V\u000a  
    +p1933
    +tp1934
    +a(g13
    +Vexcept
    +p1935
    +tp1936
    +a(g830
    +g958
    +tp1937
    +a(g428
    +VEIO
    +p1938
    +tp1939
    +a(g697
    +g984
    +tp1940
    +a(g830
    +V\u000a    
    +p1941
    +tp1942
    +a(g428
    +Vecho
    +p1943
    +tp1944
    +a(g697
    +g975
    +tp1945
    +a(g81
    +g977
    +tp1946
    +a(g81
    +VIO error!
    +p1947
    +tp1948
    +a(g81
    +g977
    +tp1949
    +a(g697
    +g982
    +tp1950
    +a(g830
    +V\u000a  
    +p1951
    +tp1952
    +a(g13
    +Vexcept
    +p1953
    +tp1954
    +a(g697
    +g984
    +tp1955
    +a(g830
    +V\u000a    
    +p1956
    +tp1957
    +a(g428
    +Vecho
    +p1958
    +tp1959
    +a(g697
    +g975
    +tp1960
    +a(g81
    +g977
    +tp1961
    +a(g81
    +VUnknown exception!
    +p1962
    +tp1963
    +a(g81
    +g977
    +tp1964
    +a(g697
    +g982
    +tp1965
    +a(g830
    +V\u000a    
    +p1966
    +tp1967
    +a(g713
    +V# reraise the unknown exception:
    +p1968
    +tp1969
    +a(g830
    +V\u000a    
    +p1970
    +tp1971
    +a(g13
    +Vraise
    +p1972
    +tp1973
    +a(g830
    +V\u000a  
    +p1974
    +tp1975
    +a(g13
    +Vfinally
    +p1976
    +tp1977
    +a(g697
    +g984
    +tp1978
    +a(g830
    +V\u000a    
    +p1979
    +tp1980
    +a(g428
    +Vclose
    +p1981
    +tp1982
    +a(g697
    +g975
    +tp1983
    +a(g428
    +g1810
    +tp1984
    +a(g697
    +g982
    +tp1985
    +a(g830
    +V\u000a
    +p1986
    +tp1987
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.odin b/tests/examplefiles/output/test.odin
    new file mode 100644
    index 0000000..6787aa8
    --- /dev/null
    +++ b/tests/examplefiles/output/test.odin
    @@ -0,0 +1,3369 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +tp5
    +tp6
    +Rp7
    +(dp8
    +S'Multi'
    +p9
    +g1
    +(g2
    +g3
    +(g4
    +g9
    +tp10
    +tp11
    +Rp12
    +(dp13
    +S'parent'
    +p14
    +g7
    +sS'subtypes'
    +p15
    +c__builtin__
    +set
    +p16
    +((lp17
    +tp18
    +Rp19
    +sbsg14
    +g1
    +(g2
    +g3
    +(ttp20
    +Rp21
    +(dp22
    +S'Number'
    +p23
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p24
    +g23
    +tp25
    +tp26
    +Rp27
    +(dp28
    +S'Integer'
    +p29
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g29
    +tp30
    +tp31
    +Rp32
    +(dp33
    +g14
    +g27
    +sS'Long'
    +p34
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g29
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g14
    +g32
    +sg15
    +g16
    +((lp39
    +tp40
    +Rp41
    +sbsg15
    +g16
    +((lp42
    +g37
    +atp43
    +Rp44
    +sbsg14
    +g1
    +(g2
    +g3
    +(g24
    +tp45
    +tp46
    +Rp47
    +(dp48
    +S'Scalar'
    +p49
    +g1
    +(g2
    +g3
    +(g24
    +g49
    +tp50
    +tp51
    +Rp52
    +(dp53
    +g14
    +g47
    +sg15
    +g16
    +((lp54
    +g1
    +(g2
    +g3
    +(g24
    +g49
    +S'Plain'
    +p55
    +tp56
    +tp57
    +Rp58
    +(dp59
    +g14
    +g52
    +sg15
    +g16
    +((lp60
    +tp61
    +Rp62
    +sbatp63
    +Rp64
    +sg55
    +g58
    +sbsg23
    +g27
    +sg14
    +g21
    +sS'Other'
    +p65
    +g1
    +(g2
    +g3
    +(g24
    +g65
    +tp66
    +tp67
    +Rp68
    +(dp69
    +g14
    +g47
    +sg15
    +g16
    +((lp70
    +tp71
    +Rp72
    +sbsS'Char'
    +p73
    +g1
    +(g2
    +g3
    +(g24
    +g73
    +tp74
    +tp75
    +Rp76
    +(dp77
    +g14
    +g47
    +sg15
    +g16
    +((lp78
    +tp79
    +Rp80
    +sbsS'String'
    +p81
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +tp82
    +tp83
    +Rp84
    +(dp85
    +g73
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g73
    +tp86
    +tp87
    +Rp88
    +(dp89
    +g14
    +g84
    +sg15
    +g16
    +((lp90
    +tp91
    +Rp92
    +sbsS'Backtick'
    +p93
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g93
    +tp94
    +tp95
    +Rp96
    +(dp97
    +g14
    +g84
    +sg15
    +g16
    +((lp98
    +tp99
    +Rp100
    +sbsS'Heredoc'
    +p101
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g101
    +tp102
    +tp103
    +Rp104
    +(dp105
    +g14
    +g84
    +sg15
    +g16
    +((lp106
    +tp107
    +Rp108
    +sbsS'Symbol'
    +p109
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g109
    +tp110
    +tp111
    +Rp112
    +(dp113
    +g14
    +g84
    +sg15
    +g16
    +((lp114
    +tp115
    +Rp116
    +sbsS'Interpol'
    +p117
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g117
    +tp118
    +tp119
    +Rp120
    +(dp121
    +g14
    +g84
    +sg15
    +g16
    +((lp122
    +tp123
    +Rp124
    +sbsS'Delimiter'
    +p125
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g125
    +tp126
    +tp127
    +Rp128
    +(dp129
    +g14
    +g84
    +sg15
    +g16
    +((lp130
    +tp131
    +Rp132
    +sbsS'Boolean'
    +p133
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g133
    +tp134
    +tp135
    +Rp136
    +(dp137
    +g14
    +g84
    +sg15
    +g16
    +((lp138
    +tp139
    +Rp140
    +sbsS'Character'
    +p141
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g141
    +tp142
    +tp143
    +Rp144
    +(dp145
    +g14
    +g84
    +sg15
    +g16
    +((lp146
    +tp147
    +Rp148
    +sbsS'Double'
    +p149
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g149
    +tp150
    +tp151
    +Rp152
    +(dp153
    +g14
    +g84
    +sg15
    +g16
    +((lp154
    +tp155
    +Rp156
    +sbsS'Delimeter'
    +p157
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g157
    +tp158
    +tp159
    +Rp160
    +(dp161
    +g14
    +g84
    +sg15
    +g16
    +((lp162
    +tp163
    +Rp164
    +sbsS'Atom'
    +p165
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g165
    +tp166
    +tp167
    +Rp168
    +(dp169
    +g14
    +g84
    +sg15
    +g16
    +((lp170
    +tp171
    +Rp172
    +sbsS'Affix'
    +p173
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g173
    +tp174
    +tp175
    +Rp176
    +(dp177
    +g14
    +g84
    +sg15
    +g16
    +((lp178
    +tp179
    +Rp180
    +sbsS'Name'
    +p181
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g181
    +tp182
    +tp183
    +Rp184
    +(dp185
    +g14
    +g84
    +sg15
    +g16
    +((lp186
    +tp187
    +Rp188
    +sbsS'Regex'
    +p189
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g189
    +tp190
    +tp191
    +Rp192
    +(dp193
    +g14
    +g84
    +sg15
    +g16
    +((lp194
    +tp195
    +Rp196
    +sbsS'Interp'
    +p197
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g197
    +tp198
    +tp199
    +Rp200
    +(dp201
    +g14
    +g84
    +sg15
    +g16
    +((lp202
    +tp203
    +Rp204
    +sbsS'Escape'
    +p205
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g205
    +tp206
    +tp207
    +Rp208
    +(dp209
    +g14
    +g84
    +sg15
    +g16
    +((lp210
    +tp211
    +Rp212
    +sbsg15
    +g16
    +((lp213
    +g128
    +ag112
    +ag192
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Doc'
    +p214
    +tp215
    +tp216
    +Rp217
    +(dp218
    +g14
    +g84
    +sg15
    +g16
    +((lp219
    +tp220
    +Rp221
    +sbag144
    +ag136
    +ag152
    +ag120
    +ag168
    +ag160
    +ag184
    +ag208
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Single'
    +p222
    +tp223
    +tp224
    +Rp225
    +(dp226
    +g14
    +g84
    +sg15
    +g16
    +((lp227
    +tp228
    +Rp229
    +sbag1
    +(g2
    +g3
    +(g24
    +g81
    +g65
    +tp230
    +tp231
    +Rp232
    +(dp233
    +g14
    +g84
    +sg15
    +g16
    +((lp234
    +tp235
    +Rp236
    +sbag200
    +ag96
    +ag176
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Moment'
    +p237
    +tp238
    +tp239
    +Rp240
    +(dp241
    +g14
    +g84
    +sg15
    +g16
    +((lp242
    +tp243
    +Rp244
    +sbag88
    +ag104
    +atp245
    +Rp246
    +sg222
    +g225
    +sg237
    +g240
    +sg14
    +g47
    +sg65
    +g232
    +sg214
    +g217
    +sbsg15
    +g16
    +((lp247
    +g84
    +ag76
    +ag68
    +ag1
    +(g2
    +g3
    +(g24
    +S'Date'
    +p248
    +tp249
    +tp250
    +Rp251
    +(dp252
    +g14
    +g47
    +sg15
    +g16
    +((lp253
    +tp254
    +Rp255
    +sbag52
    +ag27
    +atp256
    +Rp257
    +sg248
    +g251
    +sbsS'Bin'
    +p258
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g258
    +tp259
    +tp260
    +Rp261
    +(dp262
    +g14
    +g27
    +sg15
    +g16
    +((lp263
    +tp264
    +Rp265
    +sbsS'Radix'
    +p266
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g266
    +tp267
    +tp268
    +Rp269
    +(dp270
    +g14
    +g27
    +sg15
    +g16
    +((lp271
    +tp272
    +Rp273
    +sbsS'Oct'
    +p274
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g274
    +tp275
    +tp276
    +Rp277
    +(dp278
    +g14
    +g27
    +sg15
    +g16
    +((lp279
    +tp280
    +Rp281
    +sbsS'Dec'
    +p282
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g282
    +tp283
    +tp284
    +Rp285
    +(dp286
    +g14
    +g27
    +sg15
    +g16
    +((lp287
    +tp288
    +Rp289
    +sbsS'Hex'
    +p290
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g290
    +tp291
    +tp292
    +Rp293
    +(dp294
    +g14
    +g27
    +sg15
    +g16
    +((lp295
    +tp296
    +Rp297
    +sbsg15
    +g16
    +((lp298
    +g32
    +ag269
    +ag285
    +ag1
    +(g2
    +g3
    +(g24
    +g23
    +S'Decimal'
    +p299
    +tp300
    +tp301
    +Rp302
    +(dp303
    +g14
    +g27
    +sg15
    +g16
    +((lp304
    +tp305
    +Rp306
    +sbag261
    +ag1
    +(g2
    +g3
    +(g24
    +g23
    +S'Float'
    +p307
    +tp308
    +tp309
    +Rp310
    +(dp311
    +g14
    +g27
    +sg15
    +g16
    +((lp312
    +tp313
    +Rp314
    +sbag277
    +ag293
    +atp315
    +Rp316
    +sg299
    +g302
    +sg307
    +g310
    +sbsS'Generic'
    +p317
    +g1
    +(g2
    +g3
    +(g317
    +tp318
    +tp319
    +Rp320
    +(dp321
    +g14
    +g21
    +sS'Deleted'
    +p322
    +g1
    +(g2
    +g3
    +(g317
    +g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g14
    +g320
    +sg15
    +g16
    +((lp327
    +tp328
    +Rp329
    +sbsS'Subheading'
    +p330
    +g1
    +(g2
    +g3
    +(g317
    +g330
    +tp331
    +tp332
    +Rp333
    +(dp334
    +g14
    +g320
    +sg15
    +g16
    +((lp335
    +tp336
    +Rp337
    +sbsS'Heading'
    +p338
    +g1
    +(g2
    +g3
    +(g317
    +g338
    +tp339
    +tp340
    +Rp341
    +(dp342
    +g14
    +g320
    +sg15
    +g16
    +((lp343
    +tp344
    +Rp345
    +sbsS'Emph'
    +p346
    +g1
    +(g2
    +g3
    +(g317
    +g346
    +tp347
    +tp348
    +Rp349
    +(dp350
    +g14
    +g320
    +sg15
    +g16
    +((lp351
    +tp352
    +Rp353
    +sbsS'Prompt'
    +p354
    +g1
    +(g2
    +g3
    +(g317
    +g354
    +tp355
    +tp356
    +Rp357
    +(dp358
    +g14
    +g320
    +sg15
    +g16
    +((lp359
    +tp360
    +Rp361
    +sbsS'Inserted'
    +p362
    +g1
    +(g2
    +g3
    +(g317
    +g362
    +tp363
    +tp364
    +Rp365
    +(dp366
    +g14
    +g320
    +sg15
    +g16
    +((lp367
    +tp368
    +Rp369
    +sbsS'Strong'
    +p370
    +g1
    +(g2
    +g3
    +(g317
    +g370
    +tp371
    +tp372
    +Rp373
    +(dp374
    +g14
    +g320
    +sg15
    +g16
    +((lp375
    +tp376
    +Rp377
    +sbsS'Error'
    +p378
    +g1
    +(g2
    +g3
    +(g317
    +g378
    +tp379
    +tp380
    +Rp381
    +(dp382
    +g14
    +g320
    +sg15
    +g16
    +((lp383
    +tp384
    +Rp385
    +sbsS'Traceback'
    +p386
    +g1
    +(g2
    +g3
    +(g317
    +g386
    +tp387
    +tp388
    +Rp389
    +(dp390
    +g14
    +g320
    +sg15
    +g16
    +((lp391
    +tp392
    +Rp393
    +sbsg15
    +g16
    +((lp394
    +g341
    +ag333
    +ag1
    +(g2
    +g3
    +(g317
    +S'Output'
    +p395
    +tp396
    +tp397
    +Rp398
    +(dp399
    +g14
    +g320
    +sg15
    +g16
    +((lp400
    +tp401
    +Rp402
    +sbag373
    +ag349
    +ag381
    +ag389
    +ag365
    +ag357
    +ag325
    +atp403
    +Rp404
    +sg395
    +g398
    +sbsS'Operator'
    +p405
    +g1
    +(g2
    +g3
    +(g405
    +tp406
    +tp407
    +Rp408
    +(dp409
    +g14
    +g21
    +sS'DBS'
    +p410
    +g1
    +(g2
    +g3
    +(g405
    +g410
    +tp411
    +tp412
    +Rp413
    +(dp414
    +g14
    +g408
    +sg15
    +g16
    +((lp415
    +tp416
    +Rp417
    +sbsg15
    +g16
    +((lp418
    +g413
    +ag1
    +(g2
    +g3
    +(g405
    +S'Word'
    +p419
    +tp420
    +tp421
    +Rp422
    +(dp423
    +g14
    +g408
    +sg15
    +g16
    +((lp424
    +tp425
    +Rp426
    +sbatp427
    +Rp428
    +sg419
    +g422
    +sbsg81
    +g84
    +sg181
    +g1
    +(g2
    +g3
    +(g181
    +tp429
    +tp430
    +Rp431
    +(dp432
    +S'Variable'
    +p433
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +tp434
    +tp435
    +Rp436
    +(dp437
    +g14
    +g431
    +sS'Class'
    +p438
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g14
    +g436
    +sg15
    +g16
    +((lp443
    +tp444
    +Rp445
    +sbsS'Anonymous'
    +p446
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g446
    +tp447
    +tp448
    +Rp449
    +(dp450
    +g14
    +g436
    +sg15
    +g16
    +((lp451
    +tp452
    +Rp453
    +sbsS'Instance'
    +p454
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g454
    +tp455
    +tp456
    +Rp457
    +(dp458
    +g14
    +g436
    +sg15
    +g16
    +((lp459
    +tp460
    +Rp461
    +sbsS'Global'
    +p462
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g462
    +tp463
    +tp464
    +Rp465
    +(dp466
    +g14
    +g436
    +sg15
    +g16
    +((lp467
    +tp468
    +Rp469
    +sbsg15
    +g16
    +((lp470
    +g449
    +ag457
    +ag1
    +(g2
    +g3
    +(g181
    +g433
    +S'Magic'
    +p471
    +tp472
    +tp473
    +Rp474
    +(dp475
    +g14
    +g436
    +sg15
    +g16
    +((lp476
    +tp477
    +Rp478
    +sbag465
    +ag441
    +atp479
    +Rp480
    +sg471
    +g474
    +sbsg405
    +g1
    +(g2
    +g3
    +(g181
    +g405
    +tp481
    +tp482
    +Rp483
    +(dp484
    +g14
    +g431
    +sg15
    +g16
    +((lp485
    +tp486
    +Rp487
    +sbsS'Decorator'
    +p488
    +g1
    +(g2
    +g3
    +(g181
    +g488
    +tp489
    +tp490
    +Rp491
    +(dp492
    +g14
    +g431
    +sg15
    +g16
    +((lp493
    +tp494
    +Rp495
    +sbsS'Entity'
    +p496
    +g1
    +(g2
    +g3
    +(g181
    +g496
    +tp497
    +tp498
    +Rp499
    +(dp500
    +g14
    +g431
    +sg410
    +g1
    +(g2
    +g3
    +(g181
    +g496
    +g410
    +tp501
    +tp502
    +Rp503
    +(dp504
    +g14
    +g499
    +sg15
    +g16
    +((lp505
    +tp506
    +Rp507
    +sbsg15
    +g16
    +((lp508
    +g503
    +atp509
    +Rp510
    +sbsg109
    +g1
    +(g2
    +g3
    +(g181
    +g109
    +tp511
    +tp512
    +Rp513
    +(dp514
    +g14
    +g431
    +sg15
    +g16
    +((lp515
    +tp516
    +Rp517
    +sbsS'Property'
    +p518
    +g1
    +(g2
    +g3
    +(g181
    +g518
    +tp519
    +tp520
    +Rp521
    +(dp522
    +g14
    +g431
    +sg15
    +g16
    +((lp523
    +tp524
    +Rp525
    +sbsS'Pseudo'
    +p526
    +g1
    +(g2
    +g3
    +(g181
    +g526
    +tp527
    +tp528
    +Rp529
    +(dp530
    +g14
    +g431
    +sg15
    +g16
    +((lp531
    +tp532
    +Rp533
    +sbsS'Type'
    +p534
    +g1
    +(g2
    +g3
    +(g181
    +g534
    +tp535
    +tp536
    +Rp537
    +(dp538
    +g14
    +g431
    +sg15
    +g16
    +((lp539
    +tp540
    +Rp541
    +sbsS'Classes'
    +p542
    +g1
    +(g2
    +g3
    +(g181
    +g542
    +tp543
    +tp544
    +Rp545
    +(dp546
    +g14
    +g431
    +sg15
    +g16
    +((lp547
    +tp548
    +Rp549
    +sbsS'Tag'
    +p550
    +g1
    +(g2
    +g3
    +(g181
    +g550
    +tp551
    +tp552
    +Rp553
    +(dp554
    +g14
    +g431
    +sg15
    +g16
    +((lp555
    +tp556
    +Rp557
    +sbsS'Constant'
    +p558
    +g1
    +(g2
    +g3
    +(g181
    +g558
    +tp559
    +tp560
    +Rp561
    +(dp562
    +g14
    +g431
    +sg15
    +g16
    +((lp563
    +tp564
    +Rp565
    +sbsS'Function'
    +p566
    +g1
    +(g2
    +g3
    +(g181
    +g566
    +tp567
    +tp568
    +Rp569
    +(dp570
    +g14
    +g431
    +sg15
    +g16
    +((lp571
    +g1
    +(g2
    +g3
    +(g181
    +g566
    +g471
    +tp572
    +tp573
    +Rp574
    +(dp575
    +g14
    +g569
    +sg15
    +g16
    +((lp576
    +tp577
    +Rp578
    +sbatp579
    +Rp580
    +sg471
    +g574
    +sbsS'Blubb'
    +p581
    +g1
    +(g2
    +g3
    +(g181
    +g581
    +tp582
    +tp583
    +Rp584
    +(dp585
    +g14
    +g431
    +sg15
    +g16
    +((lp586
    +tp587
    +Rp588
    +sbsS'Label'
    +p589
    +g1
    +(g2
    +g3
    +(g181
    +g589
    +tp590
    +tp591
    +Rp592
    +(dp593
    +g14
    +g431
    +sg15
    +g16
    +((lp594
    +tp595
    +Rp596
    +sbsS'Field'
    +p597
    +g1
    +(g2
    +g3
    +(g181
    +g597
    +tp598
    +tp599
    +Rp600
    +(dp601
    +g14
    +g431
    +sg15
    +g16
    +((lp602
    +tp603
    +Rp604
    +sbsS'Exception'
    +p605
    +g1
    +(g2
    +g3
    +(g181
    +g605
    +tp606
    +tp607
    +Rp608
    +(dp609
    +g14
    +g431
    +sg15
    +g16
    +((lp610
    +tp611
    +Rp612
    +sbsS'Namespace'
    +p613
    +g1
    +(g2
    +g3
    +(g181
    +g613
    +tp614
    +tp615
    +Rp616
    +(dp617
    +g14
    +g431
    +sg15
    +g16
    +((lp618
    +tp619
    +Rp620
    +sbsg15
    +g16
    +((lp621
    +g491
    +ag584
    +ag529
    +ag499
    +ag436
    +ag608
    +ag521
    +ag553
    +ag569
    +ag545
    +ag1
    +(g2
    +g3
    +(g181
    +g438
    +tp622
    +tp623
    +Rp624
    +(dp625
    +g14
    +g431
    +sg410
    +g1
    +(g2
    +g3
    +(g181
    +g438
    +g410
    +tp626
    +tp627
    +Rp628
    +(dp629
    +g14
    +g624
    +sg15
    +g16
    +((lp630
    +tp631
    +Rp632
    +sbsg15
    +g16
    +((lp633
    +g1
    +(g2
    +g3
    +(g181
    +g438
    +S'Start'
    +p634
    +tp635
    +tp636
    +Rp637
    +(dp638
    +g14
    +g624
    +sg15
    +g16
    +((lp639
    +tp640
    +Rp641
    +sbag628
    +atp642
    +Rp643
    +sg634
    +g637
    +sbag1
    +(g2
    +g3
    +(g181
    +g65
    +tp644
    +tp645
    +Rp646
    +(dp647
    +g14
    +g431
    +sS'Member'
    +p648
    +g1
    +(g2
    +g3
    +(g181
    +g65
    +g648
    +tp649
    +tp650
    +Rp651
    +(dp652
    +g14
    +g646
    +sg15
    +g16
    +((lp653
    +tp654
    +Rp655
    +sbsg15
    +g16
    +((lp656
    +g651
    +atp657
    +Rp658
    +sbag592
    +ag483
    +ag616
    +ag1
    +(g2
    +g3
    +(g181
    +S'Attribute'
    +p659
    +tp660
    +tp661
    +Rp662
    +(dp663
    +g14
    +g431
    +sg433
    +g1
    +(g2
    +g3
    +(g181
    +g659
    +g433
    +tp664
    +tp665
    +Rp666
    +(dp667
    +g14
    +g662
    +sg15
    +g16
    +((lp668
    +tp669
    +Rp670
    +sbsg15
    +g16
    +((lp671
    +g666
    +atp672
    +Rp673
    +sbag561
    +ag1
    +(g2
    +g3
    +(g181
    +S'Builtin'
    +p674
    +tp675
    +tp676
    +Rp677
    +(dp678
    +g14
    +g431
    +sg534
    +g1
    +(g2
    +g3
    +(g181
    +g674
    +g534
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g14
    +g677
    +sg15
    +g16
    +((lp683
    +tp684
    +Rp685
    +sbsg15
    +g16
    +((lp686
    +g1
    +(g2
    +g3
    +(g181
    +g674
    +g526
    +tp687
    +tp688
    +Rp689
    +(dp690
    +g14
    +g677
    +sg15
    +g16
    +((lp691
    +tp692
    +Rp693
    +sbag681
    +atp694
    +Rp695
    +sg526
    +g689
    +sbag600
    +ag537
    +ag513
    +atp696
    +Rp697
    +sg14
    +g21
    +sg438
    +g624
    +sg674
    +g677
    +sg659
    +g662
    +sg65
    +g646
    +sbsS'Punctuation'
    +p698
    +g1
    +(g2
    +g3
    +(g698
    +tp699
    +tp700
    +Rp701
    +(dp702
    +g14
    +g21
    +sg15
    +g16
    +((lp703
    +g1
    +(g2
    +g3
    +(g698
    +S'Indicator'
    +p704
    +tp705
    +tp706
    +Rp707
    +(dp708
    +g14
    +g701
    +sg15
    +g16
    +((lp709
    +tp710
    +Rp711
    +sbatp712
    +Rp713
    +sg704
    +g707
    +sbsg4
    +g7
    +sg24
    +g47
    +sg65
    +g1
    +(g2
    +g3
    +(g65
    +tp714
    +tp715
    +Rp716
    +(dp717
    +g14
    +g21
    +sg15
    +g16
    +((lp718
    +tp719
    +Rp720
    +sbsg378
    +g1
    +(g2
    +g3
    +(g378
    +tp721
    +tp722
    +Rp723
    +(dp724
    +g14
    +g21
    +sg15
    +g16
    +((lp725
    +tp726
    +Rp727
    +sbsS'Token'
    +p728
    +g21
    +sg205
    +g1
    +(g2
    +g3
    +(g205
    +tp729
    +tp730
    +Rp731
    +(dp732
    +g14
    +g21
    +sg15
    +g16
    +((lp733
    +tp734
    +Rp735
    +sbsg15
    +g16
    +((lp736
    +g431
    +ag716
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p737
    +tp738
    +tp739
    +Rp740
    +(dp741
    +g14
    +g21
    +sg534
    +g1
    +(g2
    +g3
    +(g737
    +g534
    +tp742
    +tp743
    +Rp744
    +(dp745
    +g14
    +g740
    +sg15
    +g16
    +((lp746
    +tp747
    +Rp748
    +sbsS'Control'
    +p749
    +g1
    +(g2
    +g3
    +(g737
    +g749
    +tp750
    +tp751
    +Rp752
    +(dp753
    +g14
    +g740
    +sg15
    +g16
    +((lp754
    +tp755
    +Rp756
    +sbsg558
    +g1
    +(g2
    +g3
    +(g737
    +g558
    +tp757
    +tp758
    +Rp759
    +(dp760
    +g14
    +g740
    +sg15
    +g16
    +((lp761
    +tp762
    +Rp763
    +sbsg613
    +g1
    +(g2
    +g3
    +(g737
    +g613
    +tp764
    +tp765
    +Rp766
    +(dp767
    +g14
    +g740
    +sg15
    +g16
    +((lp768
    +tp769
    +Rp770
    +sbsS'PreProc'
    +p771
    +g1
    +(g2
    +g3
    +(g737
    +g771
    +tp772
    +tp773
    +Rp774
    +(dp775
    +g14
    +g740
    +sg15
    +g16
    +((lp776
    +tp777
    +Rp778
    +sbsg526
    +g1
    +(g2
    +g3
    +(g737
    +g526
    +tp779
    +tp780
    +Rp781
    +(dp782
    +g14
    +g740
    +sg15
    +g16
    +((lp783
    +tp784
    +Rp785
    +sbsS'Reserved'
    +p786
    +g1
    +(g2
    +g3
    +(g737
    +g786
    +tp787
    +tp788
    +Rp789
    +(dp790
    +g14
    +g740
    +sg15
    +g16
    +((lp791
    +tp792
    +Rp793
    +sbsg15
    +g16
    +((lp794
    +g766
    +ag1
    +(g2
    +g3
    +(g737
    +g419
    +tp795
    +tp796
    +Rp797
    +(dp798
    +g14
    +g740
    +sg15
    +g16
    +((lp799
    +tp800
    +Rp801
    +sbag752
    +ag1
    +(g2
    +g3
    +(g737
    +S'Declaration'
    +p802
    +tp803
    +tp804
    +Rp805
    +(dp806
    +g14
    +g740
    +sg15
    +g16
    +((lp807
    +tp808
    +Rp809
    +sbag1
    +(g2
    +g3
    +(g737
    +g737
    +tp810
    +tp811
    +Rp812
    +(dp813
    +g14
    +g740
    +sg15
    +g16
    +((lp814
    +tp815
    +Rp816
    +sbag781
    +ag759
    +ag744
    +ag789
    +ag774
    +atp817
    +Rp818
    +sg737
    +g812
    +sg802
    +g805
    +sg419
    +g797
    +sbag320
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p819
    +tp820
    +tp821
    +Rp822
    +(dp823
    +S'Beer'
    +p824
    +g1
    +(g2
    +g3
    +(g819
    +g824
    +tp825
    +tp826
    +Rp827
    +(dp828
    +g14
    +g822
    +sg15
    +g16
    +((lp829
    +tp830
    +Rp831
    +sbsS'Whitespace'
    +p832
    +g1
    +(g2
    +g3
    +(g819
    +g832
    +tp833
    +tp834
    +Rp835
    +(dp836
    +g14
    +g822
    +sg15
    +g16
    +((lp837
    +tp838
    +Rp839
    +sbsg14
    +g21
    +sS'Root'
    +p840
    +g1
    +(g2
    +g3
    +(g819
    +g840
    +tp841
    +tp842
    +Rp843
    +(dp844
    +g14
    +g822
    +sg15
    +g16
    +((lp845
    +tp846
    +Rp847
    +sbsg109
    +g1
    +(g2
    +g3
    +(g819
    +g109
    +tp848
    +tp849
    +Rp850
    +(dp851
    +g14
    +g822
    +sg15
    +g16
    +((lp852
    +tp853
    +Rp854
    +sbsg698
    +g1
    +(g2
    +g3
    +(g819
    +g698
    +tp855
    +tp856
    +Rp857
    +(dp858
    +g14
    +g822
    +sg15
    +g16
    +((lp859
    +tp860
    +Rp861
    +sbsg15
    +g16
    +((lp862
    +g843
    +ag850
    +ag857
    +ag835
    +ag827
    +ag1
    +(g2
    +g3
    +(g819
    +S'Rag'
    +p863
    +tp864
    +tp865
    +Rp866
    +(dp867
    +g14
    +g822
    +sg15
    +g16
    +((lp868
    +tp869
    +Rp870
    +sbatp871
    +Rp872
    +sg863
    +g866
    +sbag408
    +ag731
    +ag701
    +ag7
    +ag723
    +ag47
    +atp873
    +Rp874
    +sg737
    +g740
    +sg819
    +g822
    +sbsS'Special'
    +p875
    +g1
    +(g2
    +g3
    +(g4
    +g875
    +tp876
    +tp877
    +Rp878
    +(dp879
    +g14
    +g7
    +sg15
    +g16
    +((lp880
    +tp881
    +Rp882
    +sbsS'Hashbang'
    +p883
    +g1
    +(g2
    +g3
    +(g4
    +g883
    +tp884
    +tp885
    +Rp886
    +(dp887
    +g14
    +g7
    +sg15
    +g16
    +((lp888
    +tp889
    +Rp890
    +sbsS'Preproc'
    +p891
    +g1
    +(g2
    +g3
    +(g4
    +g891
    +tp892
    +tp893
    +Rp894
    +(dp895
    +g14
    +g7
    +sg15
    +g16
    +((lp896
    +tp897
    +Rp898
    +sbsg222
    +g1
    +(g2
    +g3
    +(g4
    +g222
    +tp899
    +tp900
    +Rp901
    +(dp902
    +g14
    +g7
    +sg15
    +g16
    +((lp903
    +tp904
    +Rp905
    +sbsS'Directive'
    +p906
    +g1
    +(g2
    +g3
    +(g4
    +g906
    +tp907
    +tp908
    +Rp909
    +(dp910
    +g14
    +g7
    +sg15
    +g16
    +((lp911
    +tp912
    +Rp913
    +sbsg214
    +g1
    +(g2
    +g3
    +(g4
    +g214
    +tp914
    +tp915
    +Rp916
    +(dp917
    +g14
    +g7
    +sg15
    +g16
    +((lp918
    +tp919
    +Rp920
    +sbsS'Singleline'
    +p921
    +g1
    +(g2
    +g3
    +(g4
    +g921
    +tp922
    +tp923
    +Rp924
    +(dp925
    +g14
    +g7
    +sg15
    +g16
    +((lp926
    +tp927
    +Rp928
    +sbsS'Multiline'
    +p929
    +g1
    +(g2
    +g3
    +(g4
    +g929
    +tp930
    +tp931
    +Rp932
    +(dp933
    +g14
    +g7
    +sg15
    +g16
    +((lp934
    +tp935
    +Rp936
    +sbsg15
    +g16
    +((lp937
    +g916
    +ag909
    +ag886
    +ag12
    +ag924
    +ag894
    +ag932
    +ag901
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p938
    +tp939
    +tp940
    +Rp941
    +(dp942
    +g14
    +g7
    +sg15
    +g16
    +((lp943
    +tp944
    +Rp945
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p946
    +tp947
    +tp948
    +Rp949
    +(dp950
    +g14
    +g7
    +sg15
    +g16
    +((lp951
    +tp952
    +Rp953
    +sbag878
    +atp954
    +Rp955
    +sg938
    +g941
    +sg946
    +g949
    +sbV--
    +p956
    +tp957
    +a(g822
    +V\u000a
    +p958
    +tp959
    +a(g7
    +V-- Example of a fragment of an openEHR Archetype, written in the Object Data Instance Notation (ODIN)
    +p960
    +tp961
    +a(g822
    +V\u000a
    +p962
    +tp963
    +a(g7
    +V-- Definition available here: https://github.com/openEHR/odin
    +p964
    +tp965
    +a(g822
    +V\u000a
    +p966
    +tp967
    +a(g7
    +V-- Author: Thomas Beale
    +p968
    +tp969
    +a(g822
    +V\u000a
    +p970
    +tp971
    +a(g7
    +V--
    +p972
    +tp973
    +a(g822
    +V\u000a
    +p974
    +tp975
    +a(g822
    +V\u000a
    +p976
    +tp977
    +a(g822
    +V    
    +p978
    +tp979
    +a(g624
    +Voriginal_author
    +p980
    +tp981
    +a(g822
    +V 
    +p982
    +tp983
    +a(g408
    +V=
    +p984
    +tp985
    +a(g822
    +g982
    +tp986
    +a(g701
    +V<
    +p987
    +tp988
    +a(g822
    +V\u000a
    +p989
    +tp990
    +a(g822
    +V        
    +p991
    +tp992
    +a(g701
    +V[
    +p993
    +tp994
    +a(g84
    +V"
    +p995
    +tp996
    +a(g84
    +Vname
    +p997
    +tp998
    +a(g84
    +g995
    +tp999
    +a(g701
    +V]
    +p1000
    +tp1001
    +a(g822
    +g982
    +tp1002
    +a(g408
    +g984
    +tp1003
    +a(g822
    +g982
    +tp1004
    +a(g701
    +g987
    +tp1005
    +a(g84
    +g995
    +tp1006
    +a(g84
    +VDr J Joyce
    +p1007
    +tp1008
    +a(g84
    +g995
    +tp1009
    +a(g701
    +V>
    +p1010
    +tp1011
    +a(g822
    +V\u000a
    +p1012
    +tp1013
    +a(g822
    +V        
    +p1014
    +tp1015
    +a(g701
    +g993
    +tp1016
    +a(g84
    +g995
    +tp1017
    +a(g84
    +Vorganisation
    +p1018
    +tp1019
    +a(g84
    +g995
    +tp1020
    +a(g701
    +g1000
    +tp1021
    +a(g822
    +g982
    +tp1022
    +a(g408
    +g984
    +tp1023
    +a(g822
    +g982
    +tp1024
    +a(g701
    +g987
    +tp1025
    +a(g84
    +g995
    +tp1026
    +a(g84
    +VNT Health Service
    +p1027
    +tp1028
    +a(g84
    +g995
    +tp1029
    +a(g701
    +g1010
    +tp1030
    +a(g822
    +V\u000a
    +p1031
    +tp1032
    +a(g822
    +V        
    +p1033
    +tp1034
    +a(g701
    +g993
    +tp1035
    +a(g84
    +g995
    +tp1036
    +a(g84
    +Vdate
    +p1037
    +tp1038
    +a(g84
    +g995
    +tp1039
    +a(g701
    +g1000
    +tp1040
    +a(g822
    +g982
    +tp1041
    +a(g408
    +g984
    +tp1042
    +a(g822
    +g982
    +tp1043
    +a(g701
    +g987
    +tp1044
    +a(g251
    +V2003-08-03
    +p1045
    +tp1046
    +a(g701
    +g1010
    +tp1047
    +a(g822
    +V\u000a
    +p1048
    +tp1049
    +a(g822
    +V    
    +p1050
    +tp1051
    +a(g701
    +g1010
    +tp1052
    +a(g822
    +V\u000a
    +p1053
    +tp1054
    +a(g822
    +V    
    +p1055
    +tp1056
    +a(g624
    +Vterm_bindings
    +p1057
    +tp1058
    +a(g822
    +g982
    +tp1059
    +a(g408
    +g984
    +tp1060
    +a(g822
    +g982
    +tp1061
    +a(g701
    +g987
    +tp1062
    +a(g822
    +V\u000a
    +p1063
    +tp1064
    +a(g822
    +V        
    +p1065
    +tp1066
    +a(g701
    +g993
    +tp1067
    +a(g84
    +g995
    +tp1068
    +a(g84
    +Vumls
    +p1069
    +tp1070
    +a(g84
    +g995
    +tp1071
    +a(g701
    +g1000
    +tp1072
    +a(g822
    +g982
    +tp1073
    +a(g408
    +g984
    +tp1074
    +a(g822
    +g982
    +tp1075
    +a(g701
    +g987
    +tp1076
    +a(g822
    +V\u000a
    +p1077
    +tp1078
    +a(g822
    +V            
    +p1079
    +tp1080
    +a(g701
    +g993
    +tp1081
    +a(g84
    +g995
    +tp1082
    +a(g84
    +Vid1
    +p1083
    +tp1084
    +a(g84
    +g995
    +tp1085
    +a(g701
    +g1000
    +tp1086
    +a(g822
    +g982
    +tp1087
    +a(g408
    +g984
    +tp1088
    +a(g822
    +g982
    +tp1089
    +a(g701
    +g987
    +tp1090
    +a(g47
    +Vhttp:
    +p1091
    +tp1092
    +a(g47
    +V//umls.nlm.edu/id/C124305
    +p1093
    +tp1094
    +a(g701
    +g1010
    +tp1095
    +a(g822
    +g982
    +tp1096
    +a(g7
    +V-- apgar result
    +p1097
    +tp1098
    +a(g822
    +V\u000a
    +p1099
    +tp1100
    +a(g822
    +V            
    +p1101
    +tp1102
    +a(g701
    +g993
    +tp1103
    +a(g84
    +g995
    +tp1104
    +a(g84
    +Vid2
    +p1105
    +tp1106
    +a(g84
    +g995
    +tp1107
    +a(g701
    +g1000
    +tp1108
    +a(g822
    +g982
    +tp1109
    +a(g408
    +g984
    +tp1110
    +a(g822
    +g982
    +tp1111
    +a(g701
    +g987
    +tp1112
    +a(g47
    +Vhttp:
    +p1113
    +tp1114
    +a(g47
    +V//umls.nlm.edu/id/0000000
    +p1115
    +tp1116
    +a(g701
    +g1010
    +tp1117
    +a(g822
    +g982
    +tp1118
    +a(g7
    +V-- 1-minute event 
    +p1119
    +tp1120
    +a(g822
    +V\u000a
    +p1121
    +tp1122
    +a(g822
    +V        
    +p1123
    +tp1124
    +a(g701
    +g1010
    +tp1125
    +a(g822
    +V\u000a
    +p1126
    +tp1127
    +a(g822
    +V    
    +p1128
    +tp1129
    +a(g701
    +g1010
    +tp1130
    +a(g822
    +V\u000a
    +p1131
    +tp1132
    +a(g822
    +V    
    +p1133
    +tp1134
    +a(g624
    +Vlifecycle_state
    +p1135
    +tp1136
    +a(g822
    +g982
    +tp1137
    +a(g408
    +g984
    +tp1138
    +a(g822
    +V  
    +p1139
    +tp1140
    +a(g701
    +g987
    +tp1141
    +a(g84
    +g995
    +tp1142
    +a(g84
    +Vinitial
    +p1143
    +tp1144
    +a(g84
    +g995
    +tp1145
    +a(g701
    +g1010
    +tp1146
    +a(g822
    +V\u000a
    +p1147
    +tp1148
    +a(g822
    +V    
    +p1149
    +tp1150
    +a(g624
    +Vresource_package_uri
    +p1151
    +tp1152
    +a(g822
    +g982
    +tp1153
    +a(g408
    +g984
    +tp1154
    +a(g822
    +V  
    +p1155
    +tp1156
    +a(g701
    +g987
    +tp1157
    +a(g84
    +g995
    +tp1158
    +a(g84
    +Vhttp://www.aihw.org.au/data_sets/diabetic_archetypes.html
    +p1159
    +tp1160
    +a(g84
    +g995
    +tp1161
    +a(g701
    +g1010
    +tp1162
    +a(g822
    +V\u000a
    +p1163
    +tp1164
    +a(g822
    +V\u000a
    +p1165
    +tp1166
    +a(g822
    +V    
    +p1167
    +tp1168
    +a(g624
    +Vdetails
    +p1169
    +tp1170
    +a(g822
    +g982
    +tp1171
    +a(g408
    +g984
    +tp1172
    +a(g822
    +g982
    +tp1173
    +a(g701
    +g987
    +tp1174
    +a(g822
    +V\u000a
    +p1175
    +tp1176
    +a(g822
    +V        
    +p1177
    +tp1178
    +a(g701
    +g993
    +tp1179
    +a(g84
    +g995
    +tp1180
    +a(g84
    +Ven
    +p1181
    +tp1182
    +a(g84
    +g995
    +tp1183
    +a(g701
    +g1000
    +tp1184
    +a(g822
    +g982
    +tp1185
    +a(g408
    +g984
    +tp1186
    +a(g822
    +g982
    +tp1187
    +a(g701
    +g987
    +tp1188
    +a(g822
    +V\u000a
    +p1189
    +tp1190
    +a(g822
    +V            
    +p1191
    +tp1192
    +a(g624
    +Vlanguage
    +p1193
    +tp1194
    +a(g822
    +g982
    +tp1195
    +a(g408
    +g984
    +tp1196
    +a(g822
    +g982
    +tp1197
    +a(g701
    +g987
    +tp1198
    +a(g701
    +g993
    +tp1199
    +a(g491
    +Viso_639-1
    +p1200
    +tp1201
    +a(g701
    +V::
    +p1202
    +tp1203
    +a(g491
    +Ven
    +p1204
    +tp1205
    +a(g701
    +g1000
    +tp1206
    +a(g701
    +g1010
    +tp1207
    +a(g822
    +V\u000a
    +p1208
    +tp1209
    +a(g822
    +V            
    +p1210
    +tp1211
    +a(g624
    +Vpurpose
    +p1212
    +tp1213
    +a(g822
    +g982
    +tp1214
    +a(g408
    +g984
    +tp1215
    +a(g822
    +V  
    +p1216
    +tp1217
    +a(g701
    +g987
    +tp1218
    +a(g84
    +g995
    +tp1219
    +a(g84
    +Varchetype for diabetic patient review
    +p1220
    +tp1221
    +a(g84
    +g995
    +tp1222
    +a(g701
    +g1010
    +tp1223
    +a(g822
    +V\u000a
    +p1224
    +tp1225
    +a(g822
    +V            
    +p1226
    +tp1227
    +a(g624
    +Vuse
    +p1228
    +tp1229
    +a(g822
    +g982
    +tp1230
    +a(g408
    +g984
    +tp1231
    +a(g822
    +g982
    +tp1232
    +a(g701
    +g987
    +tp1233
    +a(g84
    +g995
    +tp1234
    +a(g84
    +Vused for all hospital or clinic-based diabetic reviews, \u000a                including first time. Optional sections are removed according to the particular review
    +p1235
    +tp1236
    +a(g84
    +g995
    +tp1237
    +a(g822
    +V\u000a
    +p1238
    +tp1239
    +a(g822
    +V            
    +p1240
    +tp1241
    +a(g701
    +g1010
    +tp1242
    +a(g822
    +V\u000a
    +p1243
    +tp1244
    +a(g822
    +V            
    +p1245
    +tp1246
    +a(g624
    +Vmisuse
    +p1247
    +tp1248
    +a(g822
    +g982
    +tp1249
    +a(g408
    +g984
    +tp1250
    +a(g822
    +g982
    +tp1251
    +a(g701
    +g987
    +tp1252
    +a(g84
    +g995
    +tp1253
    +a(g84
    +Vnot appropriate for pre-diagnosis use
    +p1254
    +tp1255
    +a(g84
    +g995
    +tp1256
    +a(g701
    +g1010
    +tp1257
    +a(g822
    +V\u000a
    +p1258
    +tp1259
    +a(g822
    +V            
    +p1260
    +tp1261
    +a(g624
    +Voriginal_resource_uri
    +p1262
    +tp1263
    +a(g822
    +g982
    +tp1264
    +a(g408
    +g984
    +tp1265
    +a(g822
    +g982
    +tp1266
    +a(g701
    +g987
    +tp1267
    +a(g84
    +g995
    +tp1268
    +a(g84
    +Vhttp://www.healthdata.org.au/data_sets/diabetic_review_data_set_1.html
    +p1269
    +tp1270
    +a(g84
    +g995
    +tp1271
    +a(g701
    +g1010
    +tp1272
    +a(g822
    +V\u000a
    +p1273
    +tp1274
    +a(g822
    +V        
    +p1275
    +tp1276
    +a(g701
    +g1010
    +tp1277
    +a(g822
    +V\u000a
    +p1278
    +tp1279
    +a(g822
    +V        
    +p1280
    +tp1281
    +a(g701
    +g993
    +tp1282
    +a(g84
    +g995
    +tp1283
    +a(g84
    +Vde
    +p1284
    +tp1285
    +a(g84
    +g995
    +tp1286
    +a(g701
    +g1000
    +tp1287
    +a(g822
    +g982
    +tp1288
    +a(g408
    +g984
    +tp1289
    +a(g822
    +g982
    +tp1290
    +a(g701
    +g987
    +tp1291
    +a(g822
    +V\u000a
    +p1292
    +tp1293
    +a(g822
    +V            
    +p1294
    +tp1295
    +a(g624
    +Vlanguage
    +p1296
    +tp1297
    +a(g822
    +g982
    +tp1298
    +a(g408
    +g984
    +tp1299
    +a(g822
    +g982
    +tp1300
    +a(g701
    +g987
    +tp1301
    +a(g701
    +g993
    +tp1302
    +a(g491
    +Viso_639-1
    +p1303
    +tp1304
    +a(g701
    +V::
    +p1305
    +tp1306
    +a(g491
    +Vde
    +p1307
    +tp1308
    +a(g701
    +g1000
    +tp1309
    +a(g701
    +g1010
    +tp1310
    +a(g822
    +V\u000a
    +p1311
    +tp1312
    +a(g822
    +V            
    +p1313
    +tp1314
    +a(g624
    +Vpurpose
    +p1315
    +tp1316
    +a(g822
    +g982
    +tp1317
    +a(g408
    +g984
    +tp1318
    +a(g822
    +V  
    +p1319
    +tp1320
    +a(g701
    +g987
    +tp1321
    +a(g84
    +g995
    +tp1322
    +a(g84
    +VArchetyp für die Untersuchung von Patienten mit Diabetes
    +p1323
    +tp1324
    +a(g84
    +g995
    +tp1325
    +a(g701
    +g1010
    +tp1326
    +a(g822
    +V\u000a
    +p1327
    +tp1328
    +a(g822
    +V            
    +p1329
    +tp1330
    +a(g624
    +Vuse
    +p1331
    +tp1332
    +a(g822
    +g982
    +tp1333
    +a(g408
    +g984
    +tp1334
    +a(g822
    +g982
    +tp1335
    +a(g701
    +g987
    +tp1336
    +a(g84
    +g995
    +tp1337
    +a(g84
    +Vwird benutzt für alle Diabetes-Untersuchungen im\u000a                    Krankenhaus, inklusive der ersten Vorstellung. Optionale\u000a                    Abschnitte werden in Abhängigkeit von der speziellen\u000a                    Vorstellung entfernt.
    +p1338
    +tp1339
    +a(g84
    +g995
    +tp1340
    +a(g822
    +V\u000a
    +p1341
    +tp1342
    +a(g822
    +V            
    +p1343
    +tp1344
    +a(g701
    +g1010
    +tp1345
    +a(g822
    +V\u000a
    +p1346
    +tp1347
    +a(g822
    +V            
    +p1348
    +tp1349
    +a(g624
    +Vmisuse
    +p1350
    +tp1351
    +a(g822
    +g982
    +tp1352
    +a(g408
    +g984
    +tp1353
    +a(g822
    +g982
    +tp1354
    +a(g701
    +g987
    +tp1355
    +a(g84
    +g995
    +tp1356
    +a(g84
    +Vnicht geeignet für Benutzung vor Diagnosestellung
    +p1357
    +tp1358
    +a(g84
    +g995
    +tp1359
    +a(g701
    +g1010
    +tp1360
    +a(g822
    +V\u000a
    +p1361
    +tp1362
    +a(g822
    +V            
    +p1363
    +tp1364
    +a(g624
    +Voriginal_resource_uri
    +p1365
    +tp1366
    +a(g822
    +g982
    +tp1367
    +a(g408
    +g984
    +tp1368
    +a(g822
    +g982
    +tp1369
    +a(g701
    +g987
    +tp1370
    +a(g84
    +g995
    +tp1371
    +a(g84
    +Vhttp://www.healthdata.org.au/data_sets/diabetic_review_data_set_1.html
    +p1372
    +tp1373
    +a(g84
    +g995
    +tp1374
    +a(g701
    +g1010
    +tp1375
    +a(g822
    +V\u000a
    +p1376
    +tp1377
    +a(g822
    +V        
    +p1378
    +tp1379
    +a(g701
    +g1010
    +tp1380
    +a(g822
    +V\u000a
    +p1381
    +tp1382
    +a(g822
    +V    
    +p1383
    +tp1384
    +a(g701
    +g1010
    +tp1385
    +a(g822
    +V\u000a
    +p1386
    +tp1387
    +a(g822
    +V	\u000a
    +p1388
    +tp1389
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.opa b/tests/examplefiles/output/test.opa
    new file mode 100644
    index 0000000..25557b0
    --- /dev/null
    +++ b/tests/examplefiles/output/test.opa
    @@ -0,0 +1,2513 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Keyword'
    +p4
    +tp5
    +tp6
    +Rp7
    +(dp8
    +S'parent'
    +p9
    +g1
    +(g2
    +g3
    +(ttp10
    +Rp11
    +(dp12
    +S'Number'
    +p13
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p14
    +g13
    +tp15
    +tp16
    +Rp17
    +(dp18
    +S'Integer'
    +p19
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +tp20
    +tp21
    +Rp22
    +(dp23
    +g9
    +g17
    +sS'Long'
    +p24
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +g24
    +tp25
    +tp26
    +Rp27
    +(dp28
    +g9
    +g22
    +sS'subtypes'
    +p29
    +c__builtin__
    +set
    +p30
    +((lp31
    +tp32
    +Rp33
    +sbsg29
    +g30
    +((lp34
    +g27
    +atp35
    +Rp36
    +sbsg9
    +g1
    +(g2
    +g3
    +(g14
    +tp37
    +tp38
    +Rp39
    +(dp40
    +S'Scalar'
    +p41
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +tp42
    +tp43
    +Rp44
    +(dp45
    +g9
    +g39
    +sg29
    +g30
    +((lp46
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +S'Plain'
    +p47
    +tp48
    +tp49
    +Rp50
    +(dp51
    +g9
    +g44
    +sg29
    +g30
    +((lp52
    +tp53
    +Rp54
    +sbatp55
    +Rp56
    +sg47
    +g50
    +sbsg13
    +g17
    +sg9
    +g11
    +sS'Other'
    +p57
    +g1
    +(g2
    +g3
    +(g14
    +g57
    +tp58
    +tp59
    +Rp60
    +(dp61
    +g9
    +g39
    +sg29
    +g30
    +((lp62
    +tp63
    +Rp64
    +sbsS'Char'
    +p65
    +g1
    +(g2
    +g3
    +(g14
    +g65
    +tp66
    +tp67
    +Rp68
    +(dp69
    +g9
    +g39
    +sg29
    +g30
    +((lp70
    +tp71
    +Rp72
    +sbsS'String'
    +p73
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +tp74
    +tp75
    +Rp76
    +(dp77
    +g65
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g65
    +tp78
    +tp79
    +Rp80
    +(dp81
    +g9
    +g76
    +sg29
    +g30
    +((lp82
    +tp83
    +Rp84
    +sbsS'Backtick'
    +p85
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g85
    +tp86
    +tp87
    +Rp88
    +(dp89
    +g9
    +g76
    +sg29
    +g30
    +((lp90
    +tp91
    +Rp92
    +sbsS'Heredoc'
    +p93
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g93
    +tp94
    +tp95
    +Rp96
    +(dp97
    +g9
    +g76
    +sg29
    +g30
    +((lp98
    +tp99
    +Rp100
    +sbsS'Symbol'
    +p101
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g101
    +tp102
    +tp103
    +Rp104
    +(dp105
    +g9
    +g76
    +sg29
    +g30
    +((lp106
    +tp107
    +Rp108
    +sbsS'Interpol'
    +p109
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g109
    +tp110
    +tp111
    +Rp112
    +(dp113
    +g9
    +g76
    +sg29
    +g30
    +((lp114
    +tp115
    +Rp116
    +sbsS'Delimiter'
    +p117
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g117
    +tp118
    +tp119
    +Rp120
    +(dp121
    +g9
    +g76
    +sg29
    +g30
    +((lp122
    +tp123
    +Rp124
    +sbsS'Boolean'
    +p125
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g125
    +tp126
    +tp127
    +Rp128
    +(dp129
    +g9
    +g76
    +sg29
    +g30
    +((lp130
    +tp131
    +Rp132
    +sbsS'Character'
    +p133
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g133
    +tp134
    +tp135
    +Rp136
    +(dp137
    +g9
    +g76
    +sg29
    +g30
    +((lp138
    +tp139
    +Rp140
    +sbsS'Double'
    +p141
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g141
    +tp142
    +tp143
    +Rp144
    +(dp145
    +g9
    +g76
    +sg29
    +g30
    +((lp146
    +tp147
    +Rp148
    +sbsS'Delimeter'
    +p149
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g149
    +tp150
    +tp151
    +Rp152
    +(dp153
    +g9
    +g76
    +sg29
    +g30
    +((lp154
    +tp155
    +Rp156
    +sbsS'Atom'
    +p157
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g157
    +tp158
    +tp159
    +Rp160
    +(dp161
    +g9
    +g76
    +sg29
    +g30
    +((lp162
    +tp163
    +Rp164
    +sbsS'Affix'
    +p165
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g165
    +tp166
    +tp167
    +Rp168
    +(dp169
    +g9
    +g76
    +sg29
    +g30
    +((lp170
    +tp171
    +Rp172
    +sbsS'Name'
    +p173
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g173
    +tp174
    +tp175
    +Rp176
    +(dp177
    +g9
    +g76
    +sg29
    +g30
    +((lp178
    +tp179
    +Rp180
    +sbsS'Regex'
    +p181
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g181
    +tp182
    +tp183
    +Rp184
    +(dp185
    +g9
    +g76
    +sg29
    +g30
    +((lp186
    +tp187
    +Rp188
    +sbsS'Interp'
    +p189
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g189
    +tp190
    +tp191
    +Rp192
    +(dp193
    +g9
    +g76
    +sg29
    +g30
    +((lp194
    +tp195
    +Rp196
    +sbsS'Escape'
    +p197
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g197
    +tp198
    +tp199
    +Rp200
    +(dp201
    +g9
    +g76
    +sg29
    +g30
    +((lp202
    +tp203
    +Rp204
    +sbsg29
    +g30
    +((lp205
    +g120
    +ag104
    +ag184
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Doc'
    +p206
    +tp207
    +tp208
    +Rp209
    +(dp210
    +g9
    +g76
    +sg29
    +g30
    +((lp211
    +tp212
    +Rp213
    +sbag136
    +ag128
    +ag144
    +ag112
    +ag160
    +ag152
    +ag176
    +ag200
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Single'
    +p214
    +tp215
    +tp216
    +Rp217
    +(dp218
    +g9
    +g76
    +sg29
    +g30
    +((lp219
    +tp220
    +Rp221
    +sbag1
    +(g2
    +g3
    +(g14
    +g73
    +g57
    +tp222
    +tp223
    +Rp224
    +(dp225
    +g9
    +g76
    +sg29
    +g30
    +((lp226
    +tp227
    +Rp228
    +sbag192
    +ag88
    +ag168
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Moment'
    +p229
    +tp230
    +tp231
    +Rp232
    +(dp233
    +g9
    +g76
    +sg29
    +g30
    +((lp234
    +tp235
    +Rp236
    +sbag80
    +ag96
    +atp237
    +Rp238
    +sg214
    +g217
    +sg229
    +g232
    +sg9
    +g39
    +sg57
    +g224
    +sg206
    +g209
    +sbsg29
    +g30
    +((lp239
    +g76
    +ag68
    +ag60
    +ag1
    +(g2
    +g3
    +(g14
    +S'Date'
    +p240
    +tp241
    +tp242
    +Rp243
    +(dp244
    +g9
    +g39
    +sg29
    +g30
    +((lp245
    +tp246
    +Rp247
    +sbag44
    +ag17
    +atp248
    +Rp249
    +sg240
    +g243
    +sbsS'Bin'
    +p250
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g250
    +tp251
    +tp252
    +Rp253
    +(dp254
    +g9
    +g17
    +sg29
    +g30
    +((lp255
    +tp256
    +Rp257
    +sbsS'Radix'
    +p258
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g258
    +tp259
    +tp260
    +Rp261
    +(dp262
    +g9
    +g17
    +sg29
    +g30
    +((lp263
    +tp264
    +Rp265
    +sbsS'Oct'
    +p266
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g266
    +tp267
    +tp268
    +Rp269
    +(dp270
    +g9
    +g17
    +sg29
    +g30
    +((lp271
    +tp272
    +Rp273
    +sbsS'Dec'
    +p274
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g274
    +tp275
    +tp276
    +Rp277
    +(dp278
    +g9
    +g17
    +sg29
    +g30
    +((lp279
    +tp280
    +Rp281
    +sbsS'Hex'
    +p282
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g282
    +tp283
    +tp284
    +Rp285
    +(dp286
    +g9
    +g17
    +sg29
    +g30
    +((lp287
    +tp288
    +Rp289
    +sbsg29
    +g30
    +((lp290
    +g22
    +ag261
    +ag277
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Decimal'
    +p291
    +tp292
    +tp293
    +Rp294
    +(dp295
    +g9
    +g17
    +sg29
    +g30
    +((lp296
    +tp297
    +Rp298
    +sbag253
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Float'
    +p299
    +tp300
    +tp301
    +Rp302
    +(dp303
    +g9
    +g17
    +sg29
    +g30
    +((lp304
    +tp305
    +Rp306
    +sbag269
    +ag285
    +atp307
    +Rp308
    +sg291
    +g294
    +sg299
    +g302
    +sbsS'Generic'
    +p309
    +g1
    +(g2
    +g3
    +(g309
    +tp310
    +tp311
    +Rp312
    +(dp313
    +g9
    +g11
    +sS'Deleted'
    +p314
    +g1
    +(g2
    +g3
    +(g309
    +g314
    +tp315
    +tp316
    +Rp317
    +(dp318
    +g9
    +g312
    +sg29
    +g30
    +((lp319
    +tp320
    +Rp321
    +sbsS'Subheading'
    +p322
    +g1
    +(g2
    +g3
    +(g309
    +g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g9
    +g312
    +sg29
    +g30
    +((lp327
    +tp328
    +Rp329
    +sbsS'Heading'
    +p330
    +g1
    +(g2
    +g3
    +(g309
    +g330
    +tp331
    +tp332
    +Rp333
    +(dp334
    +g9
    +g312
    +sg29
    +g30
    +((lp335
    +tp336
    +Rp337
    +sbsS'Emph'
    +p338
    +g1
    +(g2
    +g3
    +(g309
    +g338
    +tp339
    +tp340
    +Rp341
    +(dp342
    +g9
    +g312
    +sg29
    +g30
    +((lp343
    +tp344
    +Rp345
    +sbsS'Prompt'
    +p346
    +g1
    +(g2
    +g3
    +(g309
    +g346
    +tp347
    +tp348
    +Rp349
    +(dp350
    +g9
    +g312
    +sg29
    +g30
    +((lp351
    +tp352
    +Rp353
    +sbsS'Inserted'
    +p354
    +g1
    +(g2
    +g3
    +(g309
    +g354
    +tp355
    +tp356
    +Rp357
    +(dp358
    +g9
    +g312
    +sg29
    +g30
    +((lp359
    +tp360
    +Rp361
    +sbsS'Strong'
    +p362
    +g1
    +(g2
    +g3
    +(g309
    +g362
    +tp363
    +tp364
    +Rp365
    +(dp366
    +g9
    +g312
    +sg29
    +g30
    +((lp367
    +tp368
    +Rp369
    +sbsS'Error'
    +p370
    +g1
    +(g2
    +g3
    +(g309
    +g370
    +tp371
    +tp372
    +Rp373
    +(dp374
    +g9
    +g312
    +sg29
    +g30
    +((lp375
    +tp376
    +Rp377
    +sbsS'Traceback'
    +p378
    +g1
    +(g2
    +g3
    +(g309
    +g378
    +tp379
    +tp380
    +Rp381
    +(dp382
    +g9
    +g312
    +sg29
    +g30
    +((lp383
    +tp384
    +Rp385
    +sbsg29
    +g30
    +((lp386
    +g333
    +ag325
    +ag1
    +(g2
    +g3
    +(g309
    +S'Output'
    +p387
    +tp388
    +tp389
    +Rp390
    +(dp391
    +g9
    +g312
    +sg29
    +g30
    +((lp392
    +tp393
    +Rp394
    +sbag365
    +ag341
    +ag373
    +ag381
    +ag357
    +ag349
    +ag317
    +atp395
    +Rp396
    +sg387
    +g390
    +sbsS'Operator'
    +p397
    +g1
    +(g2
    +g3
    +(g397
    +tp398
    +tp399
    +Rp400
    +(dp401
    +g9
    +g11
    +sS'DBS'
    +p402
    +g1
    +(g2
    +g3
    +(g397
    +g402
    +tp403
    +tp404
    +Rp405
    +(dp406
    +g9
    +g400
    +sg29
    +g30
    +((lp407
    +tp408
    +Rp409
    +sbsg29
    +g30
    +((lp410
    +g405
    +ag1
    +(g2
    +g3
    +(g397
    +S'Word'
    +p411
    +tp412
    +tp413
    +Rp414
    +(dp415
    +g9
    +g400
    +sg29
    +g30
    +((lp416
    +tp417
    +Rp418
    +sbatp419
    +Rp420
    +sg411
    +g414
    +sbsg73
    +g76
    +sg173
    +g1
    +(g2
    +g3
    +(g173
    +tp421
    +tp422
    +Rp423
    +(dp424
    +S'Variable'
    +p425
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +tp426
    +tp427
    +Rp428
    +(dp429
    +g9
    +g423
    +sS'Class'
    +p430
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g430
    +tp431
    +tp432
    +Rp433
    +(dp434
    +g9
    +g428
    +sg29
    +g30
    +((lp435
    +tp436
    +Rp437
    +sbsS'Anonymous'
    +p438
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g9
    +g428
    +sg29
    +g30
    +((lp443
    +tp444
    +Rp445
    +sbsS'Instance'
    +p446
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g446
    +tp447
    +tp448
    +Rp449
    +(dp450
    +g9
    +g428
    +sg29
    +g30
    +((lp451
    +tp452
    +Rp453
    +sbsS'Global'
    +p454
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g454
    +tp455
    +tp456
    +Rp457
    +(dp458
    +g9
    +g428
    +sg29
    +g30
    +((lp459
    +tp460
    +Rp461
    +sbsg29
    +g30
    +((lp462
    +g441
    +ag449
    +ag1
    +(g2
    +g3
    +(g173
    +g425
    +S'Magic'
    +p463
    +tp464
    +tp465
    +Rp466
    +(dp467
    +g9
    +g428
    +sg29
    +g30
    +((lp468
    +tp469
    +Rp470
    +sbag457
    +ag433
    +atp471
    +Rp472
    +sg463
    +g466
    +sbsg397
    +g1
    +(g2
    +g3
    +(g173
    +g397
    +tp473
    +tp474
    +Rp475
    +(dp476
    +g9
    +g423
    +sg29
    +g30
    +((lp477
    +tp478
    +Rp479
    +sbsS'Decorator'
    +p480
    +g1
    +(g2
    +g3
    +(g173
    +g480
    +tp481
    +tp482
    +Rp483
    +(dp484
    +g9
    +g423
    +sg29
    +g30
    +((lp485
    +tp486
    +Rp487
    +sbsS'Entity'
    +p488
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +tp489
    +tp490
    +Rp491
    +(dp492
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +g402
    +tp493
    +tp494
    +Rp495
    +(dp496
    +g9
    +g491
    +sg29
    +g30
    +((lp497
    +tp498
    +Rp499
    +sbsg29
    +g30
    +((lp500
    +g495
    +atp501
    +Rp502
    +sbsg101
    +g1
    +(g2
    +g3
    +(g173
    +g101
    +tp503
    +tp504
    +Rp505
    +(dp506
    +g9
    +g423
    +sg29
    +g30
    +((lp507
    +tp508
    +Rp509
    +sbsS'Property'
    +p510
    +g1
    +(g2
    +g3
    +(g173
    +g510
    +tp511
    +tp512
    +Rp513
    +(dp514
    +g9
    +g423
    +sg29
    +g30
    +((lp515
    +tp516
    +Rp517
    +sbsS'Pseudo'
    +p518
    +g1
    +(g2
    +g3
    +(g173
    +g518
    +tp519
    +tp520
    +Rp521
    +(dp522
    +g9
    +g423
    +sg29
    +g30
    +((lp523
    +tp524
    +Rp525
    +sbsS'Type'
    +p526
    +g1
    +(g2
    +g3
    +(g173
    +g526
    +tp527
    +tp528
    +Rp529
    +(dp530
    +g9
    +g423
    +sg29
    +g30
    +((lp531
    +tp532
    +Rp533
    +sbsS'Classes'
    +p534
    +g1
    +(g2
    +g3
    +(g173
    +g534
    +tp535
    +tp536
    +Rp537
    +(dp538
    +g9
    +g423
    +sg29
    +g30
    +((lp539
    +tp540
    +Rp541
    +sbsS'Tag'
    +p542
    +g1
    +(g2
    +g3
    +(g173
    +g542
    +tp543
    +tp544
    +Rp545
    +(dp546
    +g9
    +g423
    +sg29
    +g30
    +((lp547
    +tp548
    +Rp549
    +sbsS'Constant'
    +p550
    +g1
    +(g2
    +g3
    +(g173
    +g550
    +tp551
    +tp552
    +Rp553
    +(dp554
    +g9
    +g423
    +sg29
    +g30
    +((lp555
    +tp556
    +Rp557
    +sbsS'Function'
    +p558
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +tp559
    +tp560
    +Rp561
    +(dp562
    +g9
    +g423
    +sg29
    +g30
    +((lp563
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +g463
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g9
    +g561
    +sg29
    +g30
    +((lp568
    +tp569
    +Rp570
    +sbatp571
    +Rp572
    +sg463
    +g566
    +sbsS'Blubb'
    +p573
    +g1
    +(g2
    +g3
    +(g173
    +g573
    +tp574
    +tp575
    +Rp576
    +(dp577
    +g9
    +g423
    +sg29
    +g30
    +((lp578
    +tp579
    +Rp580
    +sbsS'Label'
    +p581
    +g1
    +(g2
    +g3
    +(g173
    +g581
    +tp582
    +tp583
    +Rp584
    +(dp585
    +g9
    +g423
    +sg29
    +g30
    +((lp586
    +tp587
    +Rp588
    +sbsS'Field'
    +p589
    +g1
    +(g2
    +g3
    +(g173
    +g589
    +tp590
    +tp591
    +Rp592
    +(dp593
    +g9
    +g423
    +sg29
    +g30
    +((lp594
    +tp595
    +Rp596
    +sbsS'Exception'
    +p597
    +g1
    +(g2
    +g3
    +(g173
    +g597
    +tp598
    +tp599
    +Rp600
    +(dp601
    +g9
    +g423
    +sg29
    +g30
    +((lp602
    +tp603
    +Rp604
    +sbsS'Namespace'
    +p605
    +g1
    +(g2
    +g3
    +(g173
    +g605
    +tp606
    +tp607
    +Rp608
    +(dp609
    +g9
    +g423
    +sg29
    +g30
    +((lp610
    +tp611
    +Rp612
    +sbsg29
    +g30
    +((lp613
    +g483
    +ag576
    +ag521
    +ag491
    +ag428
    +ag600
    +ag513
    +ag545
    +ag561
    +ag537
    +ag1
    +(g2
    +g3
    +(g173
    +g430
    +tp614
    +tp615
    +Rp616
    +(dp617
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +g402
    +tp618
    +tp619
    +Rp620
    +(dp621
    +g9
    +g616
    +sg29
    +g30
    +((lp622
    +tp623
    +Rp624
    +sbsg29
    +g30
    +((lp625
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +S'Start'
    +p626
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g9
    +g616
    +sg29
    +g30
    +((lp631
    +tp632
    +Rp633
    +sbag620
    +atp634
    +Rp635
    +sg626
    +g629
    +sbag1
    +(g2
    +g3
    +(g173
    +g57
    +tp636
    +tp637
    +Rp638
    +(dp639
    +g9
    +g423
    +sS'Member'
    +p640
    +g1
    +(g2
    +g3
    +(g173
    +g57
    +g640
    +tp641
    +tp642
    +Rp643
    +(dp644
    +g9
    +g638
    +sg29
    +g30
    +((lp645
    +tp646
    +Rp647
    +sbsg29
    +g30
    +((lp648
    +g643
    +atp649
    +Rp650
    +sbag584
    +ag475
    +ag608
    +ag1
    +(g2
    +g3
    +(g173
    +S'Attribute'
    +p651
    +tp652
    +tp653
    +Rp654
    +(dp655
    +g9
    +g423
    +sg425
    +g1
    +(g2
    +g3
    +(g173
    +g651
    +g425
    +tp656
    +tp657
    +Rp658
    +(dp659
    +g9
    +g654
    +sg29
    +g30
    +((lp660
    +tp661
    +Rp662
    +sbsg29
    +g30
    +((lp663
    +g658
    +atp664
    +Rp665
    +sbag553
    +ag1
    +(g2
    +g3
    +(g173
    +S'Builtin'
    +p666
    +tp667
    +tp668
    +Rp669
    +(dp670
    +g9
    +g423
    +sg526
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g526
    +tp671
    +tp672
    +Rp673
    +(dp674
    +g9
    +g669
    +sg29
    +g30
    +((lp675
    +tp676
    +Rp677
    +sbsg29
    +g30
    +((lp678
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g518
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g9
    +g669
    +sg29
    +g30
    +((lp683
    +tp684
    +Rp685
    +sbag673
    +atp686
    +Rp687
    +sg518
    +g681
    +sbag592
    +ag529
    +ag505
    +atp688
    +Rp689
    +sg9
    +g11
    +sg430
    +g616
    +sg666
    +g669
    +sg651
    +g654
    +sg57
    +g638
    +sbsS'Punctuation'
    +p690
    +g1
    +(g2
    +g3
    +(g690
    +tp691
    +tp692
    +Rp693
    +(dp694
    +g9
    +g11
    +sg29
    +g30
    +((lp695
    +g1
    +(g2
    +g3
    +(g690
    +S'Indicator'
    +p696
    +tp697
    +tp698
    +Rp699
    +(dp700
    +g9
    +g693
    +sg29
    +g30
    +((lp701
    +tp702
    +Rp703
    +sbatp704
    +Rp705
    +sg696
    +g699
    +sbsS'Comment'
    +p706
    +g1
    +(g2
    +g3
    +(g706
    +tp707
    +tp708
    +Rp709
    +(dp710
    +S'Multi'
    +p711
    +g1
    +(g2
    +g3
    +(g706
    +g711
    +tp712
    +tp713
    +Rp714
    +(dp715
    +g9
    +g709
    +sg29
    +g30
    +((lp716
    +tp717
    +Rp718
    +sbsg9
    +g11
    +sS'Special'
    +p719
    +g1
    +(g2
    +g3
    +(g706
    +g719
    +tp720
    +tp721
    +Rp722
    +(dp723
    +g9
    +g709
    +sg29
    +g30
    +((lp724
    +tp725
    +Rp726
    +sbsS'Hashbang'
    +p727
    +g1
    +(g2
    +g3
    +(g706
    +g727
    +tp728
    +tp729
    +Rp730
    +(dp731
    +g9
    +g709
    +sg29
    +g30
    +((lp732
    +tp733
    +Rp734
    +sbsS'Preproc'
    +p735
    +g1
    +(g2
    +g3
    +(g706
    +g735
    +tp736
    +tp737
    +Rp738
    +(dp739
    +g9
    +g709
    +sg29
    +g30
    +((lp740
    +tp741
    +Rp742
    +sbsg214
    +g1
    +(g2
    +g3
    +(g706
    +g214
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g9
    +g709
    +sg29
    +g30
    +((lp747
    +tp748
    +Rp749
    +sbsS'Directive'
    +p750
    +g1
    +(g2
    +g3
    +(g706
    +g750
    +tp751
    +tp752
    +Rp753
    +(dp754
    +g9
    +g709
    +sg29
    +g30
    +((lp755
    +tp756
    +Rp757
    +sbsg206
    +g1
    +(g2
    +g3
    +(g706
    +g206
    +tp758
    +tp759
    +Rp760
    +(dp761
    +g9
    +g709
    +sg29
    +g30
    +((lp762
    +tp763
    +Rp764
    +sbsS'Singleline'
    +p765
    +g1
    +(g2
    +g3
    +(g706
    +g765
    +tp766
    +tp767
    +Rp768
    +(dp769
    +g9
    +g709
    +sg29
    +g30
    +((lp770
    +tp771
    +Rp772
    +sbsS'Multiline'
    +p773
    +g1
    +(g2
    +g3
    +(g706
    +g773
    +tp774
    +tp775
    +Rp776
    +(dp777
    +g9
    +g709
    +sg29
    +g30
    +((lp778
    +tp779
    +Rp780
    +sbsg29
    +g30
    +((lp781
    +g760
    +ag753
    +ag730
    +ag714
    +ag768
    +ag738
    +ag776
    +ag745
    +ag1
    +(g2
    +g3
    +(g706
    +S'PreprocFile'
    +p782
    +tp783
    +tp784
    +Rp785
    +(dp786
    +g9
    +g709
    +sg29
    +g30
    +((lp787
    +tp788
    +Rp789
    +sbag1
    +(g2
    +g3
    +(g706
    +S'SingleLine'
    +p790
    +tp791
    +tp792
    +Rp793
    +(dp794
    +g9
    +g709
    +sg29
    +g30
    +((lp795
    +tp796
    +Rp797
    +sbag722
    +atp798
    +Rp799
    +sg782
    +g785
    +sg790
    +g793
    +sbsg14
    +g39
    +sg57
    +g1
    +(g2
    +g3
    +(g57
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g9
    +g11
    +sg29
    +g30
    +((lp804
    +tp805
    +Rp806
    +sbsg370
    +g1
    +(g2
    +g3
    +(g370
    +tp807
    +tp808
    +Rp809
    +(dp810
    +g9
    +g11
    +sg29
    +g30
    +((lp811
    +tp812
    +Rp813
    +sbsS'Token'
    +p814
    +g11
    +sg197
    +g1
    +(g2
    +g3
    +(g197
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g9
    +g11
    +sg29
    +g30
    +((lp819
    +tp820
    +Rp821
    +sbsg29
    +g30
    +((lp822
    +g423
    +ag802
    +ag7
    +ag312
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p823
    +tp824
    +tp825
    +Rp826
    +(dp827
    +S'Beer'
    +p828
    +g1
    +(g2
    +g3
    +(g823
    +g828
    +tp829
    +tp830
    +Rp831
    +(dp832
    +g9
    +g826
    +sg29
    +g30
    +((lp833
    +tp834
    +Rp835
    +sbsS'Whitespace'
    +p836
    +g1
    +(g2
    +g3
    +(g823
    +g836
    +tp837
    +tp838
    +Rp839
    +(dp840
    +g9
    +g826
    +sg29
    +g30
    +((lp841
    +tp842
    +Rp843
    +sbsg9
    +g11
    +sS'Root'
    +p844
    +g1
    +(g2
    +g3
    +(g823
    +g844
    +tp845
    +tp846
    +Rp847
    +(dp848
    +g9
    +g826
    +sg29
    +g30
    +((lp849
    +tp850
    +Rp851
    +sbsg101
    +g1
    +(g2
    +g3
    +(g823
    +g101
    +tp852
    +tp853
    +Rp854
    +(dp855
    +g9
    +g826
    +sg29
    +g30
    +((lp856
    +tp857
    +Rp858
    +sbsg690
    +g1
    +(g2
    +g3
    +(g823
    +g690
    +tp859
    +tp860
    +Rp861
    +(dp862
    +g9
    +g826
    +sg29
    +g30
    +((lp863
    +tp864
    +Rp865
    +sbsg29
    +g30
    +((lp866
    +g847
    +ag854
    +ag861
    +ag839
    +ag831
    +ag1
    +(g2
    +g3
    +(g823
    +S'Rag'
    +p867
    +tp868
    +tp869
    +Rp870
    +(dp871
    +g9
    +g826
    +sg29
    +g30
    +((lp872
    +tp873
    +Rp874
    +sbatp875
    +Rp876
    +sg867
    +g870
    +sbag400
    +ag817
    +ag693
    +ag709
    +ag809
    +ag39
    +atp877
    +Rp878
    +sg4
    +g7
    +sg823
    +g826
    +sbsg526
    +g1
    +(g2
    +g3
    +(g4
    +g526
    +tp879
    +tp880
    +Rp881
    +(dp882
    +g9
    +g7
    +sg29
    +g30
    +((lp883
    +tp884
    +Rp885
    +sbsS'Control'
    +p886
    +g1
    +(g2
    +g3
    +(g4
    +g886
    +tp887
    +tp888
    +Rp889
    +(dp890
    +g9
    +g7
    +sg29
    +g30
    +((lp891
    +tp892
    +Rp893
    +sbsg550
    +g1
    +(g2
    +g3
    +(g4
    +g550
    +tp894
    +tp895
    +Rp896
    +(dp897
    +g9
    +g7
    +sg29
    +g30
    +((lp898
    +tp899
    +Rp900
    +sbsg605
    +g1
    +(g2
    +g3
    +(g4
    +g605
    +tp901
    +tp902
    +Rp903
    +(dp904
    +g9
    +g7
    +sg29
    +g30
    +((lp905
    +tp906
    +Rp907
    +sbsS'PreProc'
    +p908
    +g1
    +(g2
    +g3
    +(g4
    +g908
    +tp909
    +tp910
    +Rp911
    +(dp912
    +g9
    +g7
    +sg29
    +g30
    +((lp913
    +tp914
    +Rp915
    +sbsg518
    +g1
    +(g2
    +g3
    +(g4
    +g518
    +tp916
    +tp917
    +Rp918
    +(dp919
    +g9
    +g7
    +sg29
    +g30
    +((lp920
    +tp921
    +Rp922
    +sbsS'Reserved'
    +p923
    +g1
    +(g2
    +g3
    +(g4
    +g923
    +tp924
    +tp925
    +Rp926
    +(dp927
    +g9
    +g7
    +sg29
    +g30
    +((lp928
    +tp929
    +Rp930
    +sbsg29
    +g30
    +((lp931
    +g903
    +ag1
    +(g2
    +g3
    +(g4
    +g411
    +tp932
    +tp933
    +Rp934
    +(dp935
    +g9
    +g7
    +sg29
    +g30
    +((lp936
    +tp937
    +Rp938
    +sbag889
    +ag1
    +(g2
    +g3
    +(g4
    +S'Declaration'
    +p939
    +tp940
    +tp941
    +Rp942
    +(dp943
    +g9
    +g7
    +sg29
    +g30
    +((lp944
    +tp945
    +Rp946
    +sbag1
    +(g2
    +g3
    +(g4
    +g4
    +tp947
    +tp948
    +Rp949
    +(dp950
    +g9
    +g7
    +sg29
    +g30
    +((lp951
    +tp952
    +Rp953
    +sbag918
    +ag896
    +ag881
    +ag926
    +ag911
    +atp954
    +Rp955
    +sg4
    +g949
    +sg939
    +g942
    +sg411
    +g934
    +sbVfunction
    +p956
    +tp957
    +a(g826
    +V 
    +p958
    +tp959
    +a(g826
    +Vsample_page
    +p960
    +tp961
    +a(g400
    +V()
    +p962
    +tp963
    +a(g826
    +g958
    +tp964
    +a(g400
    +V{
    +p965
    +tp966
    +a(g826
    +V\u000a  
    +p967
    +tp968
    +a(g217
    +V<
    +p969
    +tp970
    +a(g217
    +Vheader
    +p971
    +tp972
    +a(g217
    +V>
    +p973
    +tp974
    +a(g217
    +V\u000a    
    +p975
    +tp976
    +a(g217
    +g969
    +tp977
    +a(g217
    +Vh3
    +p978
    +tp979
    +a(g217
    +g973
    +tp980
    +a(g217
    +VHTML in Opa
    +p981
    +tp982
    +a(g217
    +V
    +p985
    +tp986
    +a(g217
    +V\u000a  
    +p987
    +tp988
    +a(g217
    +V
    +p991
    +tp992
    +a(g826
    +V\u000a  
    +p993
    +tp994
    +a(g217
    +g969
    +tp995
    +a(g217
    +Varticle
    +p996
    +tp997
    +a(g217
    +g973
    +tp998
    +a(g217
    +V\u000a    
    +p999
    +tp1000
    +a(g217
    +g969
    +tp1001
    +a(g217
    +Vdiv
    +p1002
    +tp1003
    +a(g826
    +g958
    +tp1004
    +a(g217
    +Vclass=
    +p1005
    +tp1006
    +a(g217
    +Vcontainer
    +p1007
    +tp1008
    +a(g217
    +g973
    +tp1009
    +a(g217
    +V\u000a      
    +p1010
    +tp1011
    +a(g217
    +g969
    +tp1012
    +a(g217
    +Vp
    +p1013
    +tp1014
    +a(g217
    +g973
    +tp1015
    +a(g217
    +VLearning by examples.
    +p1016
    +tp1017
    +a(g217
    +V
    +p1020
    +tp1021
    +a(g217
    +V\u000a    
    +p1022
    +tp1023
    +a(g217
    +V
    +p1026
    +tp1027
    +a(g217
    +V\u000a  
    +p1028
    +tp1029
    +a(g217
    +V
    +p1032
    +tp1033
    +a(g826
    +V\u000a
    +p1034
    +tp1035
    +a(g400
    +V}
    +p1036
    +tp1037
    +a(g826
    +V\u000a
    +p1038
    +tp1039
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.orc b/tests/examplefiles/output/test.orc
    new file mode 100644
    index 0000000..ad4e973
    --- /dev/null
    +++ b/tests/examplefiles/output/test.orc
    @@ -0,0 +1,3941 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +S'Multiline'
    +p5
    +tp6
    +tp7
    +Rp8
    +(dp9
    +S'parent'
    +p10
    +g1
    +(g2
    +g3
    +(g4
    +tp11
    +tp12
    +Rp13
    +(dp14
    +S'Multi'
    +p15
    +g1
    +(g2
    +g3
    +(g4
    +g15
    +tp16
    +tp17
    +Rp18
    +(dp19
    +g10
    +g13
    +sS'subtypes'
    +p20
    +c__builtin__
    +set
    +p21
    +((lp22
    +tp23
    +Rp24
    +sbsg10
    +g1
    +(g2
    +g3
    +(ttp25
    +Rp26
    +(dp27
    +S'Number'
    +p28
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p29
    +g28
    +tp30
    +tp31
    +Rp32
    +(dp33
    +S'Integer'
    +p34
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g10
    +g32
    +sS'Long'
    +p39
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +g39
    +tp40
    +tp41
    +Rp42
    +(dp43
    +g10
    +g37
    +sg20
    +g21
    +((lp44
    +tp45
    +Rp46
    +sbsg20
    +g21
    +((lp47
    +g42
    +atp48
    +Rp49
    +sbsg10
    +g1
    +(g2
    +g3
    +(g29
    +tp50
    +tp51
    +Rp52
    +(dp53
    +S'Scalar'
    +p54
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +tp55
    +tp56
    +Rp57
    +(dp58
    +g10
    +g52
    +sg20
    +g21
    +((lp59
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +S'Plain'
    +p60
    +tp61
    +tp62
    +Rp63
    +(dp64
    +g10
    +g57
    +sg20
    +g21
    +((lp65
    +tp66
    +Rp67
    +sbatp68
    +Rp69
    +sg60
    +g63
    +sbsg28
    +g32
    +sg10
    +g26
    +sS'Other'
    +p70
    +g1
    +(g2
    +g3
    +(g29
    +g70
    +tp71
    +tp72
    +Rp73
    +(dp74
    +g10
    +g52
    +sg20
    +g21
    +((lp75
    +tp76
    +Rp77
    +sbsS'Char'
    +p78
    +g1
    +(g2
    +g3
    +(g29
    +g78
    +tp79
    +tp80
    +Rp81
    +(dp82
    +g10
    +g52
    +sg20
    +g21
    +((lp83
    +tp84
    +Rp85
    +sbsS'String'
    +p86
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +tp87
    +tp88
    +Rp89
    +(dp90
    +g78
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g78
    +tp91
    +tp92
    +Rp93
    +(dp94
    +g10
    +g89
    +sg20
    +g21
    +((lp95
    +tp96
    +Rp97
    +sbsS'Backtick'
    +p98
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g98
    +tp99
    +tp100
    +Rp101
    +(dp102
    +g10
    +g89
    +sg20
    +g21
    +((lp103
    +tp104
    +Rp105
    +sbsS'Heredoc'
    +p106
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g106
    +tp107
    +tp108
    +Rp109
    +(dp110
    +g10
    +g89
    +sg20
    +g21
    +((lp111
    +tp112
    +Rp113
    +sbsS'Symbol'
    +p114
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g114
    +tp115
    +tp116
    +Rp117
    +(dp118
    +g10
    +g89
    +sg20
    +g21
    +((lp119
    +tp120
    +Rp121
    +sbsS'Interpol'
    +p122
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g122
    +tp123
    +tp124
    +Rp125
    +(dp126
    +g10
    +g89
    +sg20
    +g21
    +((lp127
    +tp128
    +Rp129
    +sbsS'Delimiter'
    +p130
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g130
    +tp131
    +tp132
    +Rp133
    +(dp134
    +g10
    +g89
    +sg20
    +g21
    +((lp135
    +tp136
    +Rp137
    +sbsS'Boolean'
    +p138
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g138
    +tp139
    +tp140
    +Rp141
    +(dp142
    +g10
    +g89
    +sg20
    +g21
    +((lp143
    +tp144
    +Rp145
    +sbsS'Character'
    +p146
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g146
    +tp147
    +tp148
    +Rp149
    +(dp150
    +g10
    +g89
    +sg20
    +g21
    +((lp151
    +tp152
    +Rp153
    +sbsS'Double'
    +p154
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g154
    +tp155
    +tp156
    +Rp157
    +(dp158
    +g10
    +g89
    +sg20
    +g21
    +((lp159
    +tp160
    +Rp161
    +sbsS'Delimeter'
    +p162
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g162
    +tp163
    +tp164
    +Rp165
    +(dp166
    +g10
    +g89
    +sg20
    +g21
    +((lp167
    +tp168
    +Rp169
    +sbsS'Atom'
    +p170
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g170
    +tp171
    +tp172
    +Rp173
    +(dp174
    +g10
    +g89
    +sg20
    +g21
    +((lp175
    +tp176
    +Rp177
    +sbsS'Affix'
    +p178
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g178
    +tp179
    +tp180
    +Rp181
    +(dp182
    +g10
    +g89
    +sg20
    +g21
    +((lp183
    +tp184
    +Rp185
    +sbsS'Name'
    +p186
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g186
    +tp187
    +tp188
    +Rp189
    +(dp190
    +g10
    +g89
    +sg20
    +g21
    +((lp191
    +tp192
    +Rp193
    +sbsS'Regex'
    +p194
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g194
    +tp195
    +tp196
    +Rp197
    +(dp198
    +g10
    +g89
    +sg20
    +g21
    +((lp199
    +tp200
    +Rp201
    +sbsS'Interp'
    +p202
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g202
    +tp203
    +tp204
    +Rp205
    +(dp206
    +g10
    +g89
    +sg20
    +g21
    +((lp207
    +tp208
    +Rp209
    +sbsS'Escape'
    +p210
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g210
    +tp211
    +tp212
    +Rp213
    +(dp214
    +g10
    +g89
    +sg20
    +g21
    +((lp215
    +tp216
    +Rp217
    +sbsg20
    +g21
    +((lp218
    +g133
    +ag117
    +ag197
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Doc'
    +p219
    +tp220
    +tp221
    +Rp222
    +(dp223
    +g10
    +g89
    +sg20
    +g21
    +((lp224
    +tp225
    +Rp226
    +sbag149
    +ag141
    +ag157
    +ag125
    +ag173
    +ag165
    +ag189
    +ag213
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Single'
    +p227
    +tp228
    +tp229
    +Rp230
    +(dp231
    +g10
    +g89
    +sg20
    +g21
    +((lp232
    +tp233
    +Rp234
    +sbag1
    +(g2
    +g3
    +(g29
    +g86
    +g70
    +tp235
    +tp236
    +Rp237
    +(dp238
    +g10
    +g89
    +sg20
    +g21
    +((lp239
    +tp240
    +Rp241
    +sbag205
    +ag101
    +ag181
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Moment'
    +p242
    +tp243
    +tp244
    +Rp245
    +(dp246
    +g10
    +g89
    +sg20
    +g21
    +((lp247
    +tp248
    +Rp249
    +sbag93
    +ag109
    +atp250
    +Rp251
    +sg227
    +g230
    +sg242
    +g245
    +sg10
    +g52
    +sg70
    +g237
    +sg219
    +g222
    +sbsg20
    +g21
    +((lp252
    +g89
    +ag81
    +ag73
    +ag1
    +(g2
    +g3
    +(g29
    +S'Date'
    +p253
    +tp254
    +tp255
    +Rp256
    +(dp257
    +g10
    +g52
    +sg20
    +g21
    +((lp258
    +tp259
    +Rp260
    +sbag57
    +ag32
    +atp261
    +Rp262
    +sg253
    +g256
    +sbsS'Bin'
    +p263
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g263
    +tp264
    +tp265
    +Rp266
    +(dp267
    +g10
    +g32
    +sg20
    +g21
    +((lp268
    +tp269
    +Rp270
    +sbsS'Radix'
    +p271
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g271
    +tp272
    +tp273
    +Rp274
    +(dp275
    +g10
    +g32
    +sg20
    +g21
    +((lp276
    +tp277
    +Rp278
    +sbsS'Oct'
    +p279
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g279
    +tp280
    +tp281
    +Rp282
    +(dp283
    +g10
    +g32
    +sg20
    +g21
    +((lp284
    +tp285
    +Rp286
    +sbsS'Dec'
    +p287
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g287
    +tp288
    +tp289
    +Rp290
    +(dp291
    +g10
    +g32
    +sg20
    +g21
    +((lp292
    +tp293
    +Rp294
    +sbsS'Hex'
    +p295
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g295
    +tp296
    +tp297
    +Rp298
    +(dp299
    +g10
    +g32
    +sg20
    +g21
    +((lp300
    +tp301
    +Rp302
    +sbsg20
    +g21
    +((lp303
    +g37
    +ag274
    +ag290
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Decimal'
    +p304
    +tp305
    +tp306
    +Rp307
    +(dp308
    +g10
    +g32
    +sg20
    +g21
    +((lp309
    +tp310
    +Rp311
    +sbag266
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Float'
    +p312
    +tp313
    +tp314
    +Rp315
    +(dp316
    +g10
    +g32
    +sg20
    +g21
    +((lp317
    +tp318
    +Rp319
    +sbag282
    +ag298
    +atp320
    +Rp321
    +sg304
    +g307
    +sg312
    +g315
    +sbsS'Generic'
    +p322
    +g1
    +(g2
    +g3
    +(g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g10
    +g26
    +sS'Deleted'
    +p327
    +g1
    +(g2
    +g3
    +(g322
    +g327
    +tp328
    +tp329
    +Rp330
    +(dp331
    +g10
    +g325
    +sg20
    +g21
    +((lp332
    +tp333
    +Rp334
    +sbsS'Subheading'
    +p335
    +g1
    +(g2
    +g3
    +(g322
    +g335
    +tp336
    +tp337
    +Rp338
    +(dp339
    +g10
    +g325
    +sg20
    +g21
    +((lp340
    +tp341
    +Rp342
    +sbsS'Heading'
    +p343
    +g1
    +(g2
    +g3
    +(g322
    +g343
    +tp344
    +tp345
    +Rp346
    +(dp347
    +g10
    +g325
    +sg20
    +g21
    +((lp348
    +tp349
    +Rp350
    +sbsS'Emph'
    +p351
    +g1
    +(g2
    +g3
    +(g322
    +g351
    +tp352
    +tp353
    +Rp354
    +(dp355
    +g10
    +g325
    +sg20
    +g21
    +((lp356
    +tp357
    +Rp358
    +sbsS'Prompt'
    +p359
    +g1
    +(g2
    +g3
    +(g322
    +g359
    +tp360
    +tp361
    +Rp362
    +(dp363
    +g10
    +g325
    +sg20
    +g21
    +((lp364
    +tp365
    +Rp366
    +sbsS'Inserted'
    +p367
    +g1
    +(g2
    +g3
    +(g322
    +g367
    +tp368
    +tp369
    +Rp370
    +(dp371
    +g10
    +g325
    +sg20
    +g21
    +((lp372
    +tp373
    +Rp374
    +sbsS'Strong'
    +p375
    +g1
    +(g2
    +g3
    +(g322
    +g375
    +tp376
    +tp377
    +Rp378
    +(dp379
    +g10
    +g325
    +sg20
    +g21
    +((lp380
    +tp381
    +Rp382
    +sbsS'Error'
    +p383
    +g1
    +(g2
    +g3
    +(g322
    +g383
    +tp384
    +tp385
    +Rp386
    +(dp387
    +g10
    +g325
    +sg20
    +g21
    +((lp388
    +tp389
    +Rp390
    +sbsS'Traceback'
    +p391
    +g1
    +(g2
    +g3
    +(g322
    +g391
    +tp392
    +tp393
    +Rp394
    +(dp395
    +g10
    +g325
    +sg20
    +g21
    +((lp396
    +tp397
    +Rp398
    +sbsg20
    +g21
    +((lp399
    +g346
    +ag338
    +ag1
    +(g2
    +g3
    +(g322
    +S'Output'
    +p400
    +tp401
    +tp402
    +Rp403
    +(dp404
    +g10
    +g325
    +sg20
    +g21
    +((lp405
    +tp406
    +Rp407
    +sbag378
    +ag354
    +ag386
    +ag394
    +ag370
    +ag362
    +ag330
    +atp408
    +Rp409
    +sg400
    +g403
    +sbsS'Operator'
    +p410
    +g1
    +(g2
    +g3
    +(g410
    +tp411
    +tp412
    +Rp413
    +(dp414
    +g10
    +g26
    +sS'DBS'
    +p415
    +g1
    +(g2
    +g3
    +(g410
    +g415
    +tp416
    +tp417
    +Rp418
    +(dp419
    +g10
    +g413
    +sg20
    +g21
    +((lp420
    +tp421
    +Rp422
    +sbsg20
    +g21
    +((lp423
    +g418
    +ag1
    +(g2
    +g3
    +(g410
    +S'Word'
    +p424
    +tp425
    +tp426
    +Rp427
    +(dp428
    +g10
    +g413
    +sg20
    +g21
    +((lp429
    +tp430
    +Rp431
    +sbatp432
    +Rp433
    +sg424
    +g427
    +sbsg86
    +g89
    +sg186
    +g1
    +(g2
    +g3
    +(g186
    +tp434
    +tp435
    +Rp436
    +(dp437
    +S'Variable'
    +p438
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g10
    +g436
    +sS'Class'
    +p443
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g443
    +tp444
    +tp445
    +Rp446
    +(dp447
    +g10
    +g441
    +sg20
    +g21
    +((lp448
    +tp449
    +Rp450
    +sbsS'Anonymous'
    +p451
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g451
    +tp452
    +tp453
    +Rp454
    +(dp455
    +g10
    +g441
    +sg20
    +g21
    +((lp456
    +tp457
    +Rp458
    +sbsS'Instance'
    +p459
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g459
    +tp460
    +tp461
    +Rp462
    +(dp463
    +g10
    +g441
    +sg20
    +g21
    +((lp464
    +tp465
    +Rp466
    +sbsS'Global'
    +p467
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g467
    +tp468
    +tp469
    +Rp470
    +(dp471
    +g10
    +g441
    +sg20
    +g21
    +((lp472
    +tp473
    +Rp474
    +sbsg20
    +g21
    +((lp475
    +g454
    +ag462
    +ag1
    +(g2
    +g3
    +(g186
    +g438
    +S'Magic'
    +p476
    +tp477
    +tp478
    +Rp479
    +(dp480
    +g10
    +g441
    +sg20
    +g21
    +((lp481
    +tp482
    +Rp483
    +sbag470
    +ag446
    +atp484
    +Rp485
    +sg476
    +g479
    +sbsg410
    +g1
    +(g2
    +g3
    +(g186
    +g410
    +tp486
    +tp487
    +Rp488
    +(dp489
    +g10
    +g436
    +sg20
    +g21
    +((lp490
    +tp491
    +Rp492
    +sbsS'Decorator'
    +p493
    +g1
    +(g2
    +g3
    +(g186
    +g493
    +tp494
    +tp495
    +Rp496
    +(dp497
    +g10
    +g436
    +sg20
    +g21
    +((lp498
    +tp499
    +Rp500
    +sbsS'Entity'
    +p501
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +tp502
    +tp503
    +Rp504
    +(dp505
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +g415
    +tp506
    +tp507
    +Rp508
    +(dp509
    +g10
    +g504
    +sg20
    +g21
    +((lp510
    +tp511
    +Rp512
    +sbsg20
    +g21
    +((lp513
    +g508
    +atp514
    +Rp515
    +sbsg114
    +g1
    +(g2
    +g3
    +(g186
    +g114
    +tp516
    +tp517
    +Rp518
    +(dp519
    +g10
    +g436
    +sg20
    +g21
    +((lp520
    +tp521
    +Rp522
    +sbsS'Property'
    +p523
    +g1
    +(g2
    +g3
    +(g186
    +g523
    +tp524
    +tp525
    +Rp526
    +(dp527
    +g10
    +g436
    +sg20
    +g21
    +((lp528
    +tp529
    +Rp530
    +sbsS'Pseudo'
    +p531
    +g1
    +(g2
    +g3
    +(g186
    +g531
    +tp532
    +tp533
    +Rp534
    +(dp535
    +g10
    +g436
    +sg20
    +g21
    +((lp536
    +tp537
    +Rp538
    +sbsS'Type'
    +p539
    +g1
    +(g2
    +g3
    +(g186
    +g539
    +tp540
    +tp541
    +Rp542
    +(dp543
    +g10
    +g436
    +sg20
    +g21
    +((lp544
    +tp545
    +Rp546
    +sbsS'Classes'
    +p547
    +g1
    +(g2
    +g3
    +(g186
    +g547
    +tp548
    +tp549
    +Rp550
    +(dp551
    +g10
    +g436
    +sg20
    +g21
    +((lp552
    +tp553
    +Rp554
    +sbsS'Tag'
    +p555
    +g1
    +(g2
    +g3
    +(g186
    +g555
    +tp556
    +tp557
    +Rp558
    +(dp559
    +g10
    +g436
    +sg20
    +g21
    +((lp560
    +tp561
    +Rp562
    +sbsS'Constant'
    +p563
    +g1
    +(g2
    +g3
    +(g186
    +g563
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g10
    +g436
    +sg20
    +g21
    +((lp568
    +tp569
    +Rp570
    +sbsS'Function'
    +p571
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +tp572
    +tp573
    +Rp574
    +(dp575
    +g10
    +g436
    +sg20
    +g21
    +((lp576
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +g476
    +tp577
    +tp578
    +Rp579
    +(dp580
    +g10
    +g574
    +sg20
    +g21
    +((lp581
    +tp582
    +Rp583
    +sbatp584
    +Rp585
    +sg476
    +g579
    +sbsS'Blubb'
    +p586
    +g1
    +(g2
    +g3
    +(g186
    +g586
    +tp587
    +tp588
    +Rp589
    +(dp590
    +g10
    +g436
    +sg20
    +g21
    +((lp591
    +tp592
    +Rp593
    +sbsS'Label'
    +p594
    +g1
    +(g2
    +g3
    +(g186
    +g594
    +tp595
    +tp596
    +Rp597
    +(dp598
    +g10
    +g436
    +sg20
    +g21
    +((lp599
    +tp600
    +Rp601
    +sbsS'Field'
    +p602
    +g1
    +(g2
    +g3
    +(g186
    +g602
    +tp603
    +tp604
    +Rp605
    +(dp606
    +g10
    +g436
    +sg20
    +g21
    +((lp607
    +tp608
    +Rp609
    +sbsS'Exception'
    +p610
    +g1
    +(g2
    +g3
    +(g186
    +g610
    +tp611
    +tp612
    +Rp613
    +(dp614
    +g10
    +g436
    +sg20
    +g21
    +((lp615
    +tp616
    +Rp617
    +sbsS'Namespace'
    +p618
    +g1
    +(g2
    +g3
    +(g186
    +g618
    +tp619
    +tp620
    +Rp621
    +(dp622
    +g10
    +g436
    +sg20
    +g21
    +((lp623
    +tp624
    +Rp625
    +sbsg20
    +g21
    +((lp626
    +g496
    +ag589
    +ag534
    +ag504
    +ag441
    +ag613
    +ag526
    +ag558
    +ag574
    +ag550
    +ag1
    +(g2
    +g3
    +(g186
    +g443
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +g415
    +tp631
    +tp632
    +Rp633
    +(dp634
    +g10
    +g629
    +sg20
    +g21
    +((lp635
    +tp636
    +Rp637
    +sbsg20
    +g21
    +((lp638
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +S'Start'
    +p639
    +tp640
    +tp641
    +Rp642
    +(dp643
    +g10
    +g629
    +sg20
    +g21
    +((lp644
    +tp645
    +Rp646
    +sbag633
    +atp647
    +Rp648
    +sg639
    +g642
    +sbag1
    +(g2
    +g3
    +(g186
    +g70
    +tp649
    +tp650
    +Rp651
    +(dp652
    +g10
    +g436
    +sS'Member'
    +p653
    +g1
    +(g2
    +g3
    +(g186
    +g70
    +g653
    +tp654
    +tp655
    +Rp656
    +(dp657
    +g10
    +g651
    +sg20
    +g21
    +((lp658
    +tp659
    +Rp660
    +sbsg20
    +g21
    +((lp661
    +g656
    +atp662
    +Rp663
    +sbag597
    +ag488
    +ag621
    +ag1
    +(g2
    +g3
    +(g186
    +S'Attribute'
    +p664
    +tp665
    +tp666
    +Rp667
    +(dp668
    +g10
    +g436
    +sg438
    +g1
    +(g2
    +g3
    +(g186
    +g664
    +g438
    +tp669
    +tp670
    +Rp671
    +(dp672
    +g10
    +g667
    +sg20
    +g21
    +((lp673
    +tp674
    +Rp675
    +sbsg20
    +g21
    +((lp676
    +g671
    +atp677
    +Rp678
    +sbag566
    +ag1
    +(g2
    +g3
    +(g186
    +S'Builtin'
    +p679
    +tp680
    +tp681
    +Rp682
    +(dp683
    +g10
    +g436
    +sg539
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g539
    +tp684
    +tp685
    +Rp686
    +(dp687
    +g10
    +g682
    +sg20
    +g21
    +((lp688
    +tp689
    +Rp690
    +sbsg20
    +g21
    +((lp691
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g531
    +tp692
    +tp693
    +Rp694
    +(dp695
    +g10
    +g682
    +sg20
    +g21
    +((lp696
    +tp697
    +Rp698
    +sbag686
    +atp699
    +Rp700
    +sg531
    +g694
    +sbag605
    +ag542
    +ag518
    +atp701
    +Rp702
    +sg10
    +g26
    +sg443
    +g629
    +sg679
    +g682
    +sg664
    +g667
    +sg70
    +g651
    +sbsS'Punctuation'
    +p703
    +g1
    +(g2
    +g3
    +(g703
    +tp704
    +tp705
    +Rp706
    +(dp707
    +g10
    +g26
    +sg20
    +g21
    +((lp708
    +g1
    +(g2
    +g3
    +(g703
    +S'Indicator'
    +p709
    +tp710
    +tp711
    +Rp712
    +(dp713
    +g10
    +g706
    +sg20
    +g21
    +((lp714
    +tp715
    +Rp716
    +sbatp717
    +Rp718
    +sg709
    +g712
    +sbsg4
    +g13
    +sg29
    +g52
    +sg70
    +g1
    +(g2
    +g3
    +(g70
    +tp719
    +tp720
    +Rp721
    +(dp722
    +g10
    +g26
    +sg20
    +g21
    +((lp723
    +tp724
    +Rp725
    +sbsg383
    +g1
    +(g2
    +g3
    +(g383
    +tp726
    +tp727
    +Rp728
    +(dp729
    +g10
    +g26
    +sg20
    +g21
    +((lp730
    +tp731
    +Rp732
    +sbsS'Token'
    +p733
    +g26
    +sg210
    +g1
    +(g2
    +g3
    +(g210
    +tp734
    +tp735
    +Rp736
    +(dp737
    +g10
    +g26
    +sg20
    +g21
    +((lp738
    +tp739
    +Rp740
    +sbsg20
    +g21
    +((lp741
    +g436
    +ag721
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p742
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g10
    +g26
    +sg539
    +g1
    +(g2
    +g3
    +(g742
    +g539
    +tp747
    +tp748
    +Rp749
    +(dp750
    +g10
    +g745
    +sg20
    +g21
    +((lp751
    +tp752
    +Rp753
    +sbsS'Control'
    +p754
    +g1
    +(g2
    +g3
    +(g742
    +g754
    +tp755
    +tp756
    +Rp757
    +(dp758
    +g10
    +g745
    +sg20
    +g21
    +((lp759
    +tp760
    +Rp761
    +sbsg563
    +g1
    +(g2
    +g3
    +(g742
    +g563
    +tp762
    +tp763
    +Rp764
    +(dp765
    +g10
    +g745
    +sg20
    +g21
    +((lp766
    +tp767
    +Rp768
    +sbsg618
    +g1
    +(g2
    +g3
    +(g742
    +g618
    +tp769
    +tp770
    +Rp771
    +(dp772
    +g10
    +g745
    +sg20
    +g21
    +((lp773
    +tp774
    +Rp775
    +sbsS'PreProc'
    +p776
    +g1
    +(g2
    +g3
    +(g742
    +g776
    +tp777
    +tp778
    +Rp779
    +(dp780
    +g10
    +g745
    +sg20
    +g21
    +((lp781
    +tp782
    +Rp783
    +sbsg531
    +g1
    +(g2
    +g3
    +(g742
    +g531
    +tp784
    +tp785
    +Rp786
    +(dp787
    +g10
    +g745
    +sg20
    +g21
    +((lp788
    +tp789
    +Rp790
    +sbsS'Reserved'
    +p791
    +g1
    +(g2
    +g3
    +(g742
    +g791
    +tp792
    +tp793
    +Rp794
    +(dp795
    +g10
    +g745
    +sg20
    +g21
    +((lp796
    +tp797
    +Rp798
    +sbsg20
    +g21
    +((lp799
    +g771
    +ag1
    +(g2
    +g3
    +(g742
    +g424
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g10
    +g745
    +sg20
    +g21
    +((lp804
    +tp805
    +Rp806
    +sbag757
    +ag1
    +(g2
    +g3
    +(g742
    +S'Declaration'
    +p807
    +tp808
    +tp809
    +Rp810
    +(dp811
    +g10
    +g745
    +sg20
    +g21
    +((lp812
    +tp813
    +Rp814
    +sbag1
    +(g2
    +g3
    +(g742
    +g742
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g10
    +g745
    +sg20
    +g21
    +((lp819
    +tp820
    +Rp821
    +sbag786
    +ag764
    +ag749
    +ag794
    +ag779
    +atp822
    +Rp823
    +sg742
    +g817
    +sg807
    +g810
    +sg424
    +g802
    +sbag325
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p824
    +tp825
    +tp826
    +Rp827
    +(dp828
    +S'Beer'
    +p829
    +g1
    +(g2
    +g3
    +(g824
    +g829
    +tp830
    +tp831
    +Rp832
    +(dp833
    +g10
    +g827
    +sg20
    +g21
    +((lp834
    +tp835
    +Rp836
    +sbsS'Whitespace'
    +p837
    +g1
    +(g2
    +g3
    +(g824
    +g837
    +tp838
    +tp839
    +Rp840
    +(dp841
    +g10
    +g827
    +sg20
    +g21
    +((lp842
    +tp843
    +Rp844
    +sbsg10
    +g26
    +sS'Root'
    +p845
    +g1
    +(g2
    +g3
    +(g824
    +g845
    +tp846
    +tp847
    +Rp848
    +(dp849
    +g10
    +g827
    +sg20
    +g21
    +((lp850
    +tp851
    +Rp852
    +sbsg114
    +g1
    +(g2
    +g3
    +(g824
    +g114
    +tp853
    +tp854
    +Rp855
    +(dp856
    +g10
    +g827
    +sg20
    +g21
    +((lp857
    +tp858
    +Rp859
    +sbsg703
    +g1
    +(g2
    +g3
    +(g824
    +g703
    +tp860
    +tp861
    +Rp862
    +(dp863
    +g10
    +g827
    +sg20
    +g21
    +((lp864
    +tp865
    +Rp866
    +sbsg20
    +g21
    +((lp867
    +g848
    +ag855
    +ag862
    +ag840
    +ag832
    +ag1
    +(g2
    +g3
    +(g824
    +S'Rag'
    +p868
    +tp869
    +tp870
    +Rp871
    +(dp872
    +g10
    +g827
    +sg20
    +g21
    +((lp873
    +tp874
    +Rp875
    +sbatp876
    +Rp877
    +sg868
    +g871
    +sbag413
    +ag736
    +ag706
    +ag13
    +ag728
    +ag52
    +atp878
    +Rp879
    +sg742
    +g745
    +sg824
    +g827
    +sbsS'Special'
    +p880
    +g1
    +(g2
    +g3
    +(g4
    +g880
    +tp881
    +tp882
    +Rp883
    +(dp884
    +g10
    +g13
    +sg20
    +g21
    +((lp885
    +tp886
    +Rp887
    +sbsS'Hashbang'
    +p888
    +g1
    +(g2
    +g3
    +(g4
    +g888
    +tp889
    +tp890
    +Rp891
    +(dp892
    +g10
    +g13
    +sg20
    +g21
    +((lp893
    +tp894
    +Rp895
    +sbsS'Preproc'
    +p896
    +g1
    +(g2
    +g3
    +(g4
    +g896
    +tp897
    +tp898
    +Rp899
    +(dp900
    +g10
    +g13
    +sg20
    +g21
    +((lp901
    +tp902
    +Rp903
    +sbsg227
    +g1
    +(g2
    +g3
    +(g4
    +g227
    +tp904
    +tp905
    +Rp906
    +(dp907
    +g10
    +g13
    +sg20
    +g21
    +((lp908
    +tp909
    +Rp910
    +sbsS'Directive'
    +p911
    +g1
    +(g2
    +g3
    +(g4
    +g911
    +tp912
    +tp913
    +Rp914
    +(dp915
    +g10
    +g13
    +sg20
    +g21
    +((lp916
    +tp917
    +Rp918
    +sbsg219
    +g1
    +(g2
    +g3
    +(g4
    +g219
    +tp919
    +tp920
    +Rp921
    +(dp922
    +g10
    +g13
    +sg20
    +g21
    +((lp923
    +tp924
    +Rp925
    +sbsS'Singleline'
    +p926
    +g1
    +(g2
    +g3
    +(g4
    +g926
    +tp927
    +tp928
    +Rp929
    +(dp930
    +g10
    +g13
    +sg20
    +g21
    +((lp931
    +tp932
    +Rp933
    +sbsg5
    +g8
    +sg20
    +g21
    +((lp934
    +g921
    +ag914
    +ag891
    +ag18
    +ag929
    +ag899
    +ag8
    +ag906
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p935
    +tp936
    +tp937
    +Rp938
    +(dp939
    +g10
    +g13
    +sg20
    +g21
    +((lp940
    +tp941
    +Rp942
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p943
    +tp944
    +tp945
    +Rp946
    +(dp947
    +g10
    +g13
    +sg20
    +g21
    +((lp948
    +tp949
    +Rp950
    +sbag883
    +atp951
    +Rp952
    +sg935
    +g938
    +sg943
    +g946
    +sbsg20
    +g21
    +((lp953
    +tp954
    +Rp955
    +sbV/*\u000a * comment\u000a */
    +p956
    +tp957
    +a(g827
    +V\u000a
    +p958
    +tp959
    +a(g906
    +V; comment
    +p960
    +tp961
    +a(g827
    +V\u000a
    +p962
    +tp963
    +a(g906
    +V// comment
    +p964
    +tp965
    +a(g827
    +V\u000a
    +p966
    +tp967
    +a(g827
    +V\u000a
    +p968
    +tp969
    +a(g810
    +Vinstr
    +p970
    +tp971
    +a(g8
    +V/**/
    +p972
    +tp973
    +a(g574
    +V1
    +p974
    +tp975
    +a(g706
    +V,
    +p976
    +tp977
    +a(g8
    +V/**/
    +p978
    +tp979
    +a(g574
    +VN_a_M_e_
    +p980
    +tp981
    +a(g706
    +g976
    +tp982
    +a(g8
    +V/**/
    +p983
    +tp984
    +a(g706
    +V+
    +p985
    +tp986
    +a(g574
    +VName
    +p987
    +tp988
    +a(g8
    +V/**/
    +p989
    +tp990
    +a(g906
    +V//
    +p991
    +tp992
    +a(g827
    +V\u000a
    +p993
    +tp994
    +a(g827
    +V  
    +p995
    +tp996
    +a(g749
    +Vi
    +p997
    +tp998
    +a(g436
    +VDuration
    +p999
    +tp1000
    +a(g827
    +V 
    +p1001
    +tp1002
    +a(g413
    +V=
    +p1003
    +tp1004
    +a(g827
    +g1001
    +tp1005
    +a(g462
    +Vp3
    +p1006
    +tp1007
    +a(g827
    +V\u000a
    +p1008
    +tp1009
    +a(g827
    +V  
    +p1010
    +tp1011
    +a(g682
    +Voutc
    +p1012
    +tp1013
    +a(g706
    +V:
    +p1014
    +tp1015
    +a(g749
    +Va
    +p1016
    +tp1017
    +a(g706
    +V(
    +p1018
    +tp1019
    +a(g749
    +g1016
    +tp1020
    +a(g436
    +VSignal
    +p1021
    +tp1022
    +a(g706
    +V)
    +p1023
    +tp1024
    +a(g827
    +V\u000a
    +p1025
    +tp1026
    +a(g810
    +Vendin
    +p1027
    +tp1028
    +a(g827
    +V\u000a
    +p1029
    +tp1030
    +a(g827
    +V\u000a
    +p1031
    +tp1032
    +a(g810
    +Vopcode
    +p1033
    +tp1034
    +a(g8
    +V/**/
    +p1035
    +tp1036
    +a(g574
    +VaUDO
    +p1037
    +tp1038
    +a(g706
    +g976
    +tp1039
    +a(g8
    +V/**/
    +p1040
    +tp1041
    +a(g749
    +Vi[]
    +p1042
    +tp1043
    +a(g706
    +g976
    +tp1044
    +a(g8
    +V/**/
    +p1045
    +tp1046
    +a(g749
    +Vaik
    +p1047
    +tp1048
    +a(g906
    +V//
    +p1049
    +tp1050
    +a(g827
    +V\u000a
    +p1051
    +tp1052
    +a(g827
    +V  
    +p1053
    +tp1054
    +a(g574
    +VaUDO
    +p1055
    +tp1056
    +a(g827
    +V\u000a
    +p1057
    +tp1058
    +a(g810
    +Vendop
    +p1059
    +tp1060
    +a(g827
    +V\u000a
    +p1061
    +tp1062
    +a(g827
    +V\u000a
    +p1063
    +tp1064
    +a(g37
    +V123
    +p1065
    +tp1066
    +a(g827
    +g1001
    +tp1067
    +a(g37
    +V0123456789
    +p1068
    +tp1069
    +a(g827
    +V\u000a
    +p1070
    +tp1071
    +a(g749
    +V0x
    +p1072
    +tp1073
    +a(g298
    +Vabcdef0123456789
    +p1074
    +tp1075
    +a(g827
    +g1001
    +tp1076
    +a(g749
    +V0X
    +p1077
    +tp1078
    +a(g298
    +VABCDEF
    +p1079
    +tp1080
    +a(g827
    +V\u000a
    +p1081
    +tp1082
    +a(g315
    +V1e2
    +p1083
    +tp1084
    +a(g827
    +g1001
    +tp1085
    +a(g315
    +V3e+4
    +p1086
    +tp1087
    +a(g827
    +g1001
    +tp1088
    +a(g315
    +V5e-6
    +p1089
    +tp1090
    +a(g827
    +g1001
    +tp1091
    +a(g315
    +V7E8
    +p1092
    +tp1093
    +a(g827
    +g1001
    +tp1094
    +a(g315
    +V9E+0
    +p1095
    +tp1096
    +a(g827
    +g1001
    +tp1097
    +a(g315
    +V1E-2
    +p1098
    +tp1099
    +a(g827
    +g1001
    +tp1100
    +a(g315
    +V3.
    +p1101
    +tp1102
    +a(g827
    +g1001
    +tp1103
    +a(g315
    +V4.56
    +p1104
    +tp1105
    +a(g827
    +g1001
    +tp1106
    +a(g315
    +V.789
    +p1107
    +tp1108
    +a(g827
    +V\u000a
    +p1109
    +tp1110
    +a(g827
    +V\u000a
    +p1111
    +tp1112
    +a(g89
    +V"
    +p1113
    +tp1114
    +a(g89
    +Vcharacters
    +p1115
    +tp1116
    +a(g899
    +V$MACRO.
    +p1117
    +tp1118
    +a(g89
    +g1113
    +tp1119
    +a(g827
    +V\u000a
    +p1120
    +tp1121
    +a(g89
    +g1113
    +tp1122
    +a(g213
    +V\u005c\u005c
    +p1123
    +tp1124
    +a(g213
    +V\u005ca
    +p1125
    +tp1126
    +a(g213
    +V\u005cb
    +p1127
    +tp1128
    +a(g213
    +V\u005cn
    +p1129
    +tp1130
    +a(g213
    +V\u005cr
    +p1131
    +tp1132
    +a(g213
    +V\u005ct
    +p1133
    +tp1134
    +a(g213
    +V\u005c012
    +p1135
    +tp1136
    +a(g213
    +V\u005c345
    +p1137
    +tp1138
    +a(g213
    +V\u005c67
    +p1139
    +tp1140
    +a(g213
    +V\u005c"
    +p1141
    +tp1142
    +a(g89
    +g1113
    +tp1143
    +a(g827
    +V\u000a
    +p1144
    +tp1145
    +a(g827
    +V\u000a
    +p1146
    +tp1147
    +a(g89
    +V{{
    +p1148
    +tp1149
    +a(g89
    +V\u000acharacters$MACRO.\u000a
    +p1150
    +tp1151
    +a(g89
    +V}}
    +p1152
    +tp1153
    +a(g827
    +V\u000a
    +p1154
    +tp1155
    +a(g89
    +V{{
    +p1156
    +tp1157
    +a(g213
    +V\u005c\u005c
    +p1158
    +tp1159
    +a(g213
    +V\u005ca
    +p1160
    +tp1161
    +a(g213
    +V\u005cb
    +p1162
    +tp1163
    +a(g213
    +V\u005cn
    +p1164
    +tp1165
    +a(g213
    +V\u005cr
    +p1166
    +tp1167
    +a(g213
    +V\u005ct
    +p1168
    +tp1169
    +a(g213
    +V\u005c"
    +p1170
    +tp1171
    +a(g213
    +V\u005c012
    +p1172
    +tp1173
    +a(g213
    +V\u005c345
    +p1174
    +tp1175
    +a(g213
    +V\u005c67
    +p1176
    +tp1177
    +a(g89
    +V}}
    +p1178
    +tp1179
    +a(g827
    +V\u000a
    +p1180
    +tp1181
    +a(g827
    +V\u000a
    +p1182
    +tp1183
    +a(g413
    +g985
    +tp1184
    +a(g827
    +g1001
    +tp1185
    +a(g413
    +V-
    +p1186
    +tp1187
    +a(g827
    +g1001
    +tp1188
    +a(g413
    +V~
    +p1189
    +tp1190
    +a(g827
    +g1001
    +tp1191
    +a(g413
    +V¬
    +p1192
    +tp1193
    +a(g827
    +g1001
    +tp1194
    +a(g413
    +V!
    +p1195
    +tp1196
    +a(g827
    +g1001
    +tp1197
    +a(g413
    +V*
    +p1198
    +tp1199
    +a(g827
    +g1001
    +tp1200
    +a(g413
    +V/
    +p1201
    +tp1202
    +a(g827
    +g1001
    +tp1203
    +a(g413
    +V^
    +p1204
    +tp1205
    +a(g827
    +g1001
    +tp1206
    +a(g413
    +V%
    +p1207
    +tp1208
    +a(g827
    +g1001
    +tp1209
    +a(g413
    +V<<
    +p1210
    +tp1211
    +a(g827
    +g1001
    +tp1212
    +a(g413
    +V>>
    +p1213
    +tp1214
    +a(g827
    +g1001
    +tp1215
    +a(g413
    +V<
    +p1216
    +tp1217
    +a(g827
    +g1001
    +tp1218
    +a(g413
    +V>
    +p1219
    +tp1220
    +a(g827
    +g1001
    +tp1221
    +a(g413
    +V<=
    +p1222
    +tp1223
    +a(g827
    +g1001
    +tp1224
    +a(g413
    +V>=
    +p1225
    +tp1226
    +a(g827
    +g1001
    +tp1227
    +a(g413
    +V==
    +p1228
    +tp1229
    +a(g827
    +g1001
    +tp1230
    +a(g413
    +V!=
    +p1231
    +tp1232
    +a(g827
    +g1001
    +tp1233
    +a(g413
    +V&
    +p1234
    +tp1235
    +a(g827
    +g1001
    +tp1236
    +a(g413
    +V#
    +p1237
    +tp1238
    +a(g827
    +g1001
    +tp1239
    +a(g413
    +V|
    +p1240
    +tp1241
    +a(g827
    +g1001
    +tp1242
    +a(g413
    +V&&
    +p1243
    +tp1244
    +a(g827
    +g1001
    +tp1245
    +a(g413
    +V||
    +p1246
    +tp1247
    +a(g827
    +g1001
    +tp1248
    +a(g413
    +V?
    +p1249
    +tp1250
    +a(g827
    +g1001
    +tp1251
    +a(g413
    +g1014
    +tp1252
    +a(g827
    +g1001
    +tp1253
    +a(g413
    +V+=
    +p1254
    +tp1255
    +a(g827
    +g1001
    +tp1256
    +a(g413
    +V-=
    +p1257
    +tp1258
    +a(g827
    +g1001
    +tp1259
    +a(g413
    +V*=
    +p1260
    +tp1261
    +a(g827
    +g1001
    +tp1262
    +a(g413
    +V/=
    +p1263
    +tp1264
    +a(g827
    +V\u000a
    +p1265
    +tp1266
    +a(g827
    +V\u000a
    +p1267
    +tp1268
    +a(g470
    +V0dbfs
    +p1269
    +tp1270
    +a(g827
    +g1001
    +tp1271
    +a(g470
    +VA4
    +p1272
    +tp1273
    +a(g827
    +g1001
    +tp1274
    +a(g470
    +Vkr
    +p1275
    +tp1276
    +a(g827
    +g1001
    +tp1277
    +a(g470
    +Vksmps
    +p1278
    +tp1279
    +a(g827
    +g1001
    +tp1280
    +a(g470
    +Vnchnls
    +p1281
    +tp1282
    +a(g827
    +g1001
    +tp1283
    +a(g470
    +Vnchnls_i
    +p1284
    +tp1285
    +a(g827
    +g1001
    +tp1286
    +a(g470
    +Vsr
    +p1287
    +tp1288
    +a(g827
    +V\u000a
    +p1289
    +tp1290
    +a(g827
    +V\u000a
    +p1291
    +tp1292
    +a(g745
    +Vdo
    +p1293
    +tp1294
    +a(g827
    +g1001
    +tp1295
    +a(g745
    +Velse
    +p1296
    +tp1297
    +a(g827
    +g1001
    +tp1298
    +a(g745
    +Velseif
    +p1299
    +tp1300
    +a(g827
    +g1001
    +tp1301
    +a(g745
    +Vendif
    +p1302
    +tp1303
    +a(g827
    +g1001
    +tp1304
    +a(g745
    +Venduntil
    +p1305
    +tp1306
    +a(g827
    +g1001
    +tp1307
    +a(g745
    +Vfi
    +p1308
    +tp1309
    +a(g827
    +g1001
    +tp1310
    +a(g745
    +Vif
    +p1311
    +tp1312
    +a(g827
    +g1001
    +tp1313
    +a(g745
    +Vithen
    +p1314
    +tp1315
    +a(g827
    +g1001
    +tp1316
    +a(g745
    +Vkthen
    +p1317
    +tp1318
    +a(g827
    +g1001
    +tp1319
    +a(g745
    +Vod
    +p1320
    +tp1321
    +a(g827
    +g1001
    +tp1322
    +a(g745
    +Vthen
    +p1323
    +tp1324
    +a(g827
    +g1001
    +tp1325
    +a(g745
    +Vuntil
    +p1326
    +tp1327
    +a(g827
    +g1001
    +tp1328
    +a(g745
    +Vwhile
    +p1329
    +tp1330
    +a(g827
    +V\u000a
    +p1331
    +tp1332
    +a(g786
    +Vreturn
    +p1333
    +tp1334
    +a(g827
    +g1001
    +tp1335
    +a(g786
    +Vrireturn
    +p1336
    +tp1337
    +a(g827
    +V\u000a
    +p1338
    +tp1339
    +a(g827
    +V\u000a
    +p1340
    +tp1341
    +a(g597
    +VaLabel
    +p1342
    +tp1343
    +a(g706
    +g1014
    +tp1344
    +a(g827
    +V\u000a
    +p1345
    +tp1346
    +a(g827
    +g1001
    +tp1347
    +a(g597
    +Vlabel2
    +p1348
    +tp1349
    +a(g706
    +g1014
    +tp1350
    +a(g827
    +V\u000a
    +p1351
    +tp1352
    +a(g827
    +V\u000a
    +p1353
    +tp1354
    +a(g745
    +Vgoto
    +p1355
    +tp1356
    +a(g827
    +g1001
    +tp1357
    +a(g597
    +VaLabel
    +p1358
    +tp1359
    +a(g827
    +V\u000a
    +p1360
    +tp1361
    +a(g786
    +Vreinit
    +p1362
    +tp1363
    +a(g827
    +g1001
    +tp1364
    +a(g597
    +VaLabel
    +p1365
    +tp1366
    +a(g827
    +V\u000a
    +p1367
    +tp1368
    +a(g786
    +Vcggoto
    +p1369
    +tp1370
    +a(g827
    +g1001
    +tp1371
    +a(g37
    +g974
    +tp1372
    +a(g413
    +V==
    +p1373
    +tp1374
    +a(g37
    +V0
    +p1375
    +tp1376
    +a(g706
    +g976
    +tp1377
    +a(g827
    +g1001
    +tp1378
    +a(g597
    +VaLabel
    +p1379
    +tp1380
    +a(g827
    +V\u000a
    +p1381
    +tp1382
    +a(g786
    +Vtimout
    +p1383
    +tp1384
    +a(g827
    +g1001
    +tp1385
    +a(g37
    +g1375
    +tp1386
    +a(g706
    +g976
    +tp1387
    +a(g827
    +g1001
    +tp1388
    +a(g37
    +g1375
    +tp1389
    +a(g706
    +g976
    +tp1390
    +a(g827
    +g1001
    +tp1391
    +a(g597
    +VaLabel
    +p1392
    +tp1393
    +a(g827
    +V\u000a
    +p1394
    +tp1395
    +a(g786
    +Vloop_ge
    +p1396
    +tp1397
    +a(g827
    +g1001
    +tp1398
    +a(g37
    +g1375
    +tp1399
    +a(g706
    +g976
    +tp1400
    +a(g827
    +g1001
    +tp1401
    +a(g37
    +g1375
    +tp1402
    +a(g706
    +g976
    +tp1403
    +a(g827
    +g1001
    +tp1404
    +a(g37
    +g1375
    +tp1405
    +a(g706
    +g976
    +tp1406
    +a(g827
    +g1001
    +tp1407
    +a(g597
    +VaLabel
    +p1408
    +tp1409
    +a(g827
    +V\u000a
    +p1410
    +tp1411
    +a(g827
    +V\u000a
    +p1412
    +tp1413
    +a(g682
    +Vprints
    +p1414
    +tp1415
    +a(g827
    +g1001
    +tp1416
    +a(g89
    +g1113
    +tp1417
    +a(g213
    +V%!
    +p1418
    +tp1419
    +a(g89
    +g1001
    +tp1420
    +a(g213
    +V%%
    +p1421
    +tp1422
    +a(g89
    +g1001
    +tp1423
    +a(g213
    +V%n
    +p1424
    +tp1425
    +a(g213
    +V%N
    +p1426
    +tp1427
    +a(g89
    +g1001
    +tp1428
    +a(g213
    +V%r
    +p1429
    +tp1430
    +a(g213
    +V%R
    +p1431
    +tp1432
    +a(g89
    +g1001
    +tp1433
    +a(g213
    +V%t
    +p1434
    +tp1435
    +a(g213
    +V%T
    +p1436
    +tp1437
    +a(g89
    +g1001
    +tp1438
    +a(g213
    +V\u005c\u005ca
    +p1439
    +tp1440
    +a(g213
    +V\u005c\u005cA
    +p1441
    +tp1442
    +a(g89
    +g1001
    +tp1443
    +a(g213
    +V\u005c\u005cb
    +p1444
    +tp1445
    +a(g213
    +V\u005c\u005cB
    +p1446
    +tp1447
    +a(g89
    +g1001
    +tp1448
    +a(g213
    +V\u005c\u005cn
    +p1449
    +tp1450
    +a(g213
    +V\u005c\u005cN
    +p1451
    +tp1452
    +a(g89
    +g1001
    +tp1453
    +a(g213
    +V\u005c\u005cr
    +p1454
    +tp1455
    +a(g213
    +V\u005c\u005cR
    +p1456
    +tp1457
    +a(g89
    +g1001
    +tp1458
    +a(g213
    +V\u005c\u005ct
    +p1459
    +tp1460
    +a(g213
    +V\u005c\u005cT
    +p1461
    +tp1462
    +a(g89
    +g1113
    +tp1463
    +a(g827
    +V\u000a
    +p1464
    +tp1465
    +a(g682
    +Vprints
    +p1466
    +tp1467
    +a(g827
    +g1001
    +tp1468
    +a(g749
    +VS
    +p1469
    +tp1470
    +a(g436
    +Voutput
    +p1471
    +tp1472
    +a(g827
    +V\u000a
    +p1473
    +tp1474
    +a(g827
    +V\u000a
    +p1475
    +tp1476
    +a(g682
    +Vreadscore
    +p1477
    +tp1478
    +a(g827
    +g1001
    +tp1479
    +a(g89
    +V{{
    +p1480
    +tp1481
    +a(g827
    +V\u000a
    +p1482
    +tp1483
    +a(g745
    +g997
    +tp1484
    +a(g827
    +g1001
    +tp1485
    +a(g37
    +g974
    +tp1486
    +a(g827
    +g1001
    +tp1487
    +a(g37
    +g1375
    +tp1488
    +a(g827
    +g1001
    +tp1489
    +a(g37
    +g1375
    +tp1490
    +a(g827
    +V\u000a
    +p1491
    +tp1492
    +a(g89
    +V}}
    +p1493
    +tp1494
    +a(g827
    +V\u000a
    +p1495
    +tp1496
    +a(g682
    +Vpyrun
    +p1497
    +tp1498
    +a(g827
    +g1001
    +tp1499
    +a(g89
    +V{{
    +p1500
    +tp1501
    +a(g827
    +V\u000a
    +p1502
    +tp1503
    +a(g906
    +V# Python
    +p1504
    +tp1505
    +a(g827
    +V\u000a
    +p1506
    +tp1507
    +a(g89
    +V}}
    +p1508
    +tp1509
    +a(g827
    +V\u000a
    +p1510
    +tp1511
    +a(g682
    +Vlua_exec
    +p1512
    +tp1513
    +a(g827
    +g1001
    +tp1514
    +a(g89
    +V{{
    +p1515
    +tp1516
    +a(g827
    +V\u000a
    +p1517
    +tp1518
    +a(g906
    +V-- Lua
    +p1519
    +tp1520
    +a(g827
    +V\u000a
    +p1521
    +tp1522
    +a(g89
    +V}}
    +p1523
    +tp1524
    +a(g827
    +V\u000a
    +p1525
    +tp1526
    +a(g827
    +V\u000a
    +p1527
    +tp1528
    +a(g899
    +V#include
    +p1529
    +tp1530
    +a(g8
    +V/**/
    +p1531
    +tp1532
    +a(g89
    +V"file.udo"
    +p1533
    +tp1534
    +a(g827
    +V\u000a
    +p1535
    +tp1536
    +a(g899
    +V#include
    +p1537
    +tp1538
    +a(g8
    +V/**/
    +p1539
    +tp1540
    +a(g89
    +V|file.udo|
    +p1541
    +tp1542
    +a(g827
    +V\u000a
    +p1543
    +tp1544
    +a(g827
    +V\u000a
    +p1545
    +tp1546
    +a(g899
    +V#ifdef
    +p1547
    +tp1548
    +a(g827
    +g1001
    +tp1549
    +a(g899
    +VMACRO
    +p1550
    +tp1551
    +a(g827
    +V\u000a
    +p1552
    +tp1553
    +a(g899
    +V#else
    +p1554
    +tp1555
    +a(g827
    +V\u000a
    +p1556
    +tp1557
    +a(g899
    +V#ifndef
    +p1558
    +tp1559
    +a(g827
    +g1001
    +tp1560
    +a(g899
    +VMACRO
    +p1561
    +tp1562
    +a(g827
    +V\u000a
    +p1563
    +tp1564
    +a(g899
    +V#endif
    +p1565
    +tp1566
    +a(g827
    +V\u000a
    +p1567
    +tp1568
    +a(g899
    +V#undef
    +p1569
    +tp1570
    +a(g827
    +g1001
    +tp1571
    +a(g899
    +VMACRO
    +p1572
    +tp1573
    +a(g827
    +V\u000a
    +p1574
    +tp1575
    +a(g827
    +V\u000a
    +p1576
    +tp1577
    +a(g899
    +V#   define
    +p1578
    +tp1579
    +a(g827
    +g1001
    +tp1580
    +a(g899
    +VMACRO
    +p1581
    +tp1582
    +a(g706
    +g1237
    +tp1583
    +a(g899
    +Vmacro_body
    +p1584
    +tp1585
    +a(g706
    +g1237
    +tp1586
    +a(g827
    +V\u000a
    +p1587
    +tp1588
    +a(g899
    +V#define
    +p1589
    +tp1590
    +a(g8
    +V/**/
    +p1591
    +tp1592
    +a(g827
    +V\u000a
    +p1593
    +tp1594
    +a(g899
    +VMACRO
    +p1595
    +tp1596
    +a(g8
    +V/**/
    +p1597
    +tp1598
    +a(g827
    +V\u000a
    +p1599
    +tp1600
    +a(g706
    +g1237
    +tp1601
    +a(g899
    +V\u005c#
    +p1602
    +tp1603
    +a(g899
    +Vmacro\u000abody
    +p1604
    +tp1605
    +a(g899
    +V\u005c#
    +p1606
    +tp1607
    +a(g706
    +g1237
    +tp1608
    +a(g827
    +V\u000a
    +p1609
    +tp1610
    +a(g827
    +V\u000a
    +p1611
    +tp1612
    +a(g899
    +V#define
    +p1613
    +tp1614
    +a(g827
    +g1001
    +tp1615
    +a(g899
    +VMACRO
    +p1616
    +tp1617
    +a(g706
    +g1018
    +tp1618
    +a(g899
    +VARG1
    +p1619
    +tp1620
    +a(g706
    +g1237
    +tp1621
    +a(g899
    +VARG2
    +p1622
    +tp1623
    +a(g706
    +g1023
    +tp1624
    +a(g827
    +g1001
    +tp1625
    +a(g706
    +g1237
    +tp1626
    +a(g899
    +Vmacro_body
    +p1627
    +tp1628
    +a(g706
    +g1237
    +tp1629
    +a(g827
    +V\u000a
    +p1630
    +tp1631
    +a(g899
    +V#define
    +p1632
    +tp1633
    +a(g8
    +V/**/
    +p1634
    +tp1635
    +a(g827
    +V\u000a
    +p1636
    +tp1637
    +a(g899
    +VMACRO
    +p1638
    +tp1639
    +a(g706
    +g1018
    +tp1640
    +a(g899
    +VARG1
    +p1641
    +tp1642
    +a(g706
    +V'
    +p1643
    +tp1644
    +a(g899
    +VARG2
    +p1645
    +tp1646
    +a(g706
    +g1643
    +tp1647
    +a(g899
    +VARG3
    +p1648
    +tp1649
    +a(g706
    +g1023
    +tp1650
    +a(g8
    +V/**/
    +p1651
    +tp1652
    +a(g827
    +V\u000a
    +p1653
    +tp1654
    +a(g706
    +g1237
    +tp1655
    +a(g899
    +V\u005c#
    +p1656
    +tp1657
    +a(g899
    +Vmacro\u000abody
    +p1658
    +tp1659
    +a(g899
    +V\u005c#
    +p1660
    +tp1661
    +a(g706
    +g1237
    +tp1662
    +a(g827
    +V\u000a
    +p1663
    +tp1664
    +a(g827
    +V\u000a
    +p1665
    +tp1666
    +a(g899
    +V$MACRO
    +p1667
    +tp1668
    +a(g827
    +g1001
    +tp1669
    +a(g899
    +V$MACRO.
    +p1670
    +tp1671
    +a(g827
    +V\u000a
    +p1672
    +tp1673
    +a(g899
    +V$MACRO
    +p1674
    +tp1675
    +a(g706
    +g1018
    +tp1676
    +a(g899
    +Vx
    +p1677
    +tp1678
    +a(g706
    +g1023
    +tp1679
    +a(g827
    +V\u000a
    +p1680
    +tp1681
    +a(g899
    +V@0
    +p1682
    +tp1683
    +a(g827
    +V\u000a
    +p1684
    +tp1685
    +a(g899
    +V@@ 1
    +p1686
    +tp1687
    +a(g827
    +V\u000a
    +p1688
    +tp1689
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.p6 b/tests/examplefiles/output/test.p6
    new file mode 100644
    index 0000000..da5b628
    --- /dev/null
    +++ b/tests/examplefiles/output/test.p6
    @@ -0,0 +1,6498 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +S'Single'
    +p5
    +tp6
    +tp7
    +Rp8
    +(dp9
    +S'parent'
    +p10
    +g1
    +(g2
    +g3
    +(g4
    +tp11
    +tp12
    +Rp13
    +(dp14
    +S'Multi'
    +p15
    +g1
    +(g2
    +g3
    +(g4
    +g15
    +tp16
    +tp17
    +Rp18
    +(dp19
    +g10
    +g13
    +sS'subtypes'
    +p20
    +c__builtin__
    +set
    +p21
    +((lp22
    +tp23
    +Rp24
    +sbsg10
    +g1
    +(g2
    +g3
    +(ttp25
    +Rp26
    +(dp27
    +S'Number'
    +p28
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p29
    +g28
    +tp30
    +tp31
    +Rp32
    +(dp33
    +S'Integer'
    +p34
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g10
    +g32
    +sS'Long'
    +p39
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +g39
    +tp40
    +tp41
    +Rp42
    +(dp43
    +g10
    +g37
    +sg20
    +g21
    +((lp44
    +tp45
    +Rp46
    +sbsg20
    +g21
    +((lp47
    +g42
    +atp48
    +Rp49
    +sbsg10
    +g1
    +(g2
    +g3
    +(g29
    +tp50
    +tp51
    +Rp52
    +(dp53
    +S'Scalar'
    +p54
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +tp55
    +tp56
    +Rp57
    +(dp58
    +g10
    +g52
    +sg20
    +g21
    +((lp59
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +S'Plain'
    +p60
    +tp61
    +tp62
    +Rp63
    +(dp64
    +g10
    +g57
    +sg20
    +g21
    +((lp65
    +tp66
    +Rp67
    +sbatp68
    +Rp69
    +sg60
    +g63
    +sbsg28
    +g32
    +sg10
    +g26
    +sS'Other'
    +p70
    +g1
    +(g2
    +g3
    +(g29
    +g70
    +tp71
    +tp72
    +Rp73
    +(dp74
    +g10
    +g52
    +sg20
    +g21
    +((lp75
    +tp76
    +Rp77
    +sbsS'Char'
    +p78
    +g1
    +(g2
    +g3
    +(g29
    +g78
    +tp79
    +tp80
    +Rp81
    +(dp82
    +g10
    +g52
    +sg20
    +g21
    +((lp83
    +tp84
    +Rp85
    +sbsS'String'
    +p86
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +tp87
    +tp88
    +Rp89
    +(dp90
    +g78
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g78
    +tp91
    +tp92
    +Rp93
    +(dp94
    +g10
    +g89
    +sg20
    +g21
    +((lp95
    +tp96
    +Rp97
    +sbsS'Backtick'
    +p98
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g98
    +tp99
    +tp100
    +Rp101
    +(dp102
    +g10
    +g89
    +sg20
    +g21
    +((lp103
    +tp104
    +Rp105
    +sbsS'Heredoc'
    +p106
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g106
    +tp107
    +tp108
    +Rp109
    +(dp110
    +g10
    +g89
    +sg20
    +g21
    +((lp111
    +tp112
    +Rp113
    +sbsS'Symbol'
    +p114
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g114
    +tp115
    +tp116
    +Rp117
    +(dp118
    +g10
    +g89
    +sg20
    +g21
    +((lp119
    +tp120
    +Rp121
    +sbsS'Interpol'
    +p122
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g122
    +tp123
    +tp124
    +Rp125
    +(dp126
    +g10
    +g89
    +sg20
    +g21
    +((lp127
    +tp128
    +Rp129
    +sbsS'Delimiter'
    +p130
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g130
    +tp131
    +tp132
    +Rp133
    +(dp134
    +g10
    +g89
    +sg20
    +g21
    +((lp135
    +tp136
    +Rp137
    +sbsS'Boolean'
    +p138
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g138
    +tp139
    +tp140
    +Rp141
    +(dp142
    +g10
    +g89
    +sg20
    +g21
    +((lp143
    +tp144
    +Rp145
    +sbsS'Character'
    +p146
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g146
    +tp147
    +tp148
    +Rp149
    +(dp150
    +g10
    +g89
    +sg20
    +g21
    +((lp151
    +tp152
    +Rp153
    +sbsS'Double'
    +p154
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g154
    +tp155
    +tp156
    +Rp157
    +(dp158
    +g10
    +g89
    +sg20
    +g21
    +((lp159
    +tp160
    +Rp161
    +sbsS'Delimeter'
    +p162
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g162
    +tp163
    +tp164
    +Rp165
    +(dp166
    +g10
    +g89
    +sg20
    +g21
    +((lp167
    +tp168
    +Rp169
    +sbsS'Atom'
    +p170
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g170
    +tp171
    +tp172
    +Rp173
    +(dp174
    +g10
    +g89
    +sg20
    +g21
    +((lp175
    +tp176
    +Rp177
    +sbsS'Affix'
    +p178
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g178
    +tp179
    +tp180
    +Rp181
    +(dp182
    +g10
    +g89
    +sg20
    +g21
    +((lp183
    +tp184
    +Rp185
    +sbsS'Name'
    +p186
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g186
    +tp187
    +tp188
    +Rp189
    +(dp190
    +g10
    +g89
    +sg20
    +g21
    +((lp191
    +tp192
    +Rp193
    +sbsS'Regex'
    +p194
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g194
    +tp195
    +tp196
    +Rp197
    +(dp198
    +g10
    +g89
    +sg20
    +g21
    +((lp199
    +tp200
    +Rp201
    +sbsS'Interp'
    +p202
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g202
    +tp203
    +tp204
    +Rp205
    +(dp206
    +g10
    +g89
    +sg20
    +g21
    +((lp207
    +tp208
    +Rp209
    +sbsS'Escape'
    +p210
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g210
    +tp211
    +tp212
    +Rp213
    +(dp214
    +g10
    +g89
    +sg20
    +g21
    +((lp215
    +tp216
    +Rp217
    +sbsg20
    +g21
    +((lp218
    +g133
    +ag117
    +ag197
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Doc'
    +p219
    +tp220
    +tp221
    +Rp222
    +(dp223
    +g10
    +g89
    +sg20
    +g21
    +((lp224
    +tp225
    +Rp226
    +sbag149
    +ag141
    +ag157
    +ag125
    +ag173
    +ag165
    +ag189
    +ag213
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +g5
    +tp227
    +tp228
    +Rp229
    +(dp230
    +g10
    +g89
    +sg20
    +g21
    +((lp231
    +tp232
    +Rp233
    +sbag1
    +(g2
    +g3
    +(g29
    +g86
    +g70
    +tp234
    +tp235
    +Rp236
    +(dp237
    +g10
    +g89
    +sg20
    +g21
    +((lp238
    +tp239
    +Rp240
    +sbag205
    +ag101
    +ag181
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Moment'
    +p241
    +tp242
    +tp243
    +Rp244
    +(dp245
    +g10
    +g89
    +sg20
    +g21
    +((lp246
    +tp247
    +Rp248
    +sbag93
    +ag109
    +atp249
    +Rp250
    +sg5
    +g229
    +sg241
    +g244
    +sg10
    +g52
    +sg70
    +g236
    +sg219
    +g222
    +sbsg20
    +g21
    +((lp251
    +g89
    +ag81
    +ag73
    +ag1
    +(g2
    +g3
    +(g29
    +S'Date'
    +p252
    +tp253
    +tp254
    +Rp255
    +(dp256
    +g10
    +g52
    +sg20
    +g21
    +((lp257
    +tp258
    +Rp259
    +sbag57
    +ag32
    +atp260
    +Rp261
    +sg252
    +g255
    +sbsS'Bin'
    +p262
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g262
    +tp263
    +tp264
    +Rp265
    +(dp266
    +g10
    +g32
    +sg20
    +g21
    +((lp267
    +tp268
    +Rp269
    +sbsS'Radix'
    +p270
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g270
    +tp271
    +tp272
    +Rp273
    +(dp274
    +g10
    +g32
    +sg20
    +g21
    +((lp275
    +tp276
    +Rp277
    +sbsS'Oct'
    +p278
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g278
    +tp279
    +tp280
    +Rp281
    +(dp282
    +g10
    +g32
    +sg20
    +g21
    +((lp283
    +tp284
    +Rp285
    +sbsS'Dec'
    +p286
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g286
    +tp287
    +tp288
    +Rp289
    +(dp290
    +g10
    +g32
    +sg20
    +g21
    +((lp291
    +tp292
    +Rp293
    +sbsS'Hex'
    +p294
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g294
    +tp295
    +tp296
    +Rp297
    +(dp298
    +g10
    +g32
    +sg20
    +g21
    +((lp299
    +tp300
    +Rp301
    +sbsg20
    +g21
    +((lp302
    +g37
    +ag273
    +ag289
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Decimal'
    +p303
    +tp304
    +tp305
    +Rp306
    +(dp307
    +g10
    +g32
    +sg20
    +g21
    +((lp308
    +tp309
    +Rp310
    +sbag265
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Float'
    +p311
    +tp312
    +tp313
    +Rp314
    +(dp315
    +g10
    +g32
    +sg20
    +g21
    +((lp316
    +tp317
    +Rp318
    +sbag281
    +ag297
    +atp319
    +Rp320
    +sg303
    +g306
    +sg311
    +g314
    +sbsS'Generic'
    +p321
    +g1
    +(g2
    +g3
    +(g321
    +tp322
    +tp323
    +Rp324
    +(dp325
    +g10
    +g26
    +sS'Deleted'
    +p326
    +g1
    +(g2
    +g3
    +(g321
    +g326
    +tp327
    +tp328
    +Rp329
    +(dp330
    +g10
    +g324
    +sg20
    +g21
    +((lp331
    +tp332
    +Rp333
    +sbsS'Subheading'
    +p334
    +g1
    +(g2
    +g3
    +(g321
    +g334
    +tp335
    +tp336
    +Rp337
    +(dp338
    +g10
    +g324
    +sg20
    +g21
    +((lp339
    +tp340
    +Rp341
    +sbsS'Heading'
    +p342
    +g1
    +(g2
    +g3
    +(g321
    +g342
    +tp343
    +tp344
    +Rp345
    +(dp346
    +g10
    +g324
    +sg20
    +g21
    +((lp347
    +tp348
    +Rp349
    +sbsS'Emph'
    +p350
    +g1
    +(g2
    +g3
    +(g321
    +g350
    +tp351
    +tp352
    +Rp353
    +(dp354
    +g10
    +g324
    +sg20
    +g21
    +((lp355
    +tp356
    +Rp357
    +sbsS'Prompt'
    +p358
    +g1
    +(g2
    +g3
    +(g321
    +g358
    +tp359
    +tp360
    +Rp361
    +(dp362
    +g10
    +g324
    +sg20
    +g21
    +((lp363
    +tp364
    +Rp365
    +sbsS'Inserted'
    +p366
    +g1
    +(g2
    +g3
    +(g321
    +g366
    +tp367
    +tp368
    +Rp369
    +(dp370
    +g10
    +g324
    +sg20
    +g21
    +((lp371
    +tp372
    +Rp373
    +sbsS'Strong'
    +p374
    +g1
    +(g2
    +g3
    +(g321
    +g374
    +tp375
    +tp376
    +Rp377
    +(dp378
    +g10
    +g324
    +sg20
    +g21
    +((lp379
    +tp380
    +Rp381
    +sbsS'Error'
    +p382
    +g1
    +(g2
    +g3
    +(g321
    +g382
    +tp383
    +tp384
    +Rp385
    +(dp386
    +g10
    +g324
    +sg20
    +g21
    +((lp387
    +tp388
    +Rp389
    +sbsS'Traceback'
    +p390
    +g1
    +(g2
    +g3
    +(g321
    +g390
    +tp391
    +tp392
    +Rp393
    +(dp394
    +g10
    +g324
    +sg20
    +g21
    +((lp395
    +tp396
    +Rp397
    +sbsg20
    +g21
    +((lp398
    +g345
    +ag337
    +ag1
    +(g2
    +g3
    +(g321
    +S'Output'
    +p399
    +tp400
    +tp401
    +Rp402
    +(dp403
    +g10
    +g324
    +sg20
    +g21
    +((lp404
    +tp405
    +Rp406
    +sbag377
    +ag353
    +ag385
    +ag393
    +ag369
    +ag361
    +ag329
    +atp407
    +Rp408
    +sg399
    +g402
    +sbsS'Operator'
    +p409
    +g1
    +(g2
    +g3
    +(g409
    +tp410
    +tp411
    +Rp412
    +(dp413
    +g10
    +g26
    +sS'DBS'
    +p414
    +g1
    +(g2
    +g3
    +(g409
    +g414
    +tp415
    +tp416
    +Rp417
    +(dp418
    +g10
    +g412
    +sg20
    +g21
    +((lp419
    +tp420
    +Rp421
    +sbsg20
    +g21
    +((lp422
    +g417
    +ag1
    +(g2
    +g3
    +(g409
    +S'Word'
    +p423
    +tp424
    +tp425
    +Rp426
    +(dp427
    +g10
    +g412
    +sg20
    +g21
    +((lp428
    +tp429
    +Rp430
    +sbatp431
    +Rp432
    +sg423
    +g426
    +sbsg86
    +g89
    +sg186
    +g1
    +(g2
    +g3
    +(g186
    +tp433
    +tp434
    +Rp435
    +(dp436
    +S'Variable'
    +p437
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +tp438
    +tp439
    +Rp440
    +(dp441
    +g10
    +g435
    +sS'Class'
    +p442
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g442
    +tp443
    +tp444
    +Rp445
    +(dp446
    +g10
    +g440
    +sg20
    +g21
    +((lp447
    +tp448
    +Rp449
    +sbsS'Anonymous'
    +p450
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g450
    +tp451
    +tp452
    +Rp453
    +(dp454
    +g10
    +g440
    +sg20
    +g21
    +((lp455
    +tp456
    +Rp457
    +sbsS'Instance'
    +p458
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g458
    +tp459
    +tp460
    +Rp461
    +(dp462
    +g10
    +g440
    +sg20
    +g21
    +((lp463
    +tp464
    +Rp465
    +sbsS'Global'
    +p466
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g466
    +tp467
    +tp468
    +Rp469
    +(dp470
    +g10
    +g440
    +sg20
    +g21
    +((lp471
    +tp472
    +Rp473
    +sbsg20
    +g21
    +((lp474
    +g453
    +ag461
    +ag1
    +(g2
    +g3
    +(g186
    +g437
    +S'Magic'
    +p475
    +tp476
    +tp477
    +Rp478
    +(dp479
    +g10
    +g440
    +sg20
    +g21
    +((lp480
    +tp481
    +Rp482
    +sbag469
    +ag445
    +atp483
    +Rp484
    +sg475
    +g478
    +sbsg409
    +g1
    +(g2
    +g3
    +(g186
    +g409
    +tp485
    +tp486
    +Rp487
    +(dp488
    +g10
    +g435
    +sg20
    +g21
    +((lp489
    +tp490
    +Rp491
    +sbsS'Decorator'
    +p492
    +g1
    +(g2
    +g3
    +(g186
    +g492
    +tp493
    +tp494
    +Rp495
    +(dp496
    +g10
    +g435
    +sg20
    +g21
    +((lp497
    +tp498
    +Rp499
    +sbsS'Entity'
    +p500
    +g1
    +(g2
    +g3
    +(g186
    +g500
    +tp501
    +tp502
    +Rp503
    +(dp504
    +g10
    +g435
    +sg414
    +g1
    +(g2
    +g3
    +(g186
    +g500
    +g414
    +tp505
    +tp506
    +Rp507
    +(dp508
    +g10
    +g503
    +sg20
    +g21
    +((lp509
    +tp510
    +Rp511
    +sbsg20
    +g21
    +((lp512
    +g507
    +atp513
    +Rp514
    +sbsg114
    +g1
    +(g2
    +g3
    +(g186
    +g114
    +tp515
    +tp516
    +Rp517
    +(dp518
    +g10
    +g435
    +sg20
    +g21
    +((lp519
    +tp520
    +Rp521
    +sbsS'Property'
    +p522
    +g1
    +(g2
    +g3
    +(g186
    +g522
    +tp523
    +tp524
    +Rp525
    +(dp526
    +g10
    +g435
    +sg20
    +g21
    +((lp527
    +tp528
    +Rp529
    +sbsS'Pseudo'
    +p530
    +g1
    +(g2
    +g3
    +(g186
    +g530
    +tp531
    +tp532
    +Rp533
    +(dp534
    +g10
    +g435
    +sg20
    +g21
    +((lp535
    +tp536
    +Rp537
    +sbsS'Type'
    +p538
    +g1
    +(g2
    +g3
    +(g186
    +g538
    +tp539
    +tp540
    +Rp541
    +(dp542
    +g10
    +g435
    +sg20
    +g21
    +((lp543
    +tp544
    +Rp545
    +sbsS'Classes'
    +p546
    +g1
    +(g2
    +g3
    +(g186
    +g546
    +tp547
    +tp548
    +Rp549
    +(dp550
    +g10
    +g435
    +sg20
    +g21
    +((lp551
    +tp552
    +Rp553
    +sbsS'Tag'
    +p554
    +g1
    +(g2
    +g3
    +(g186
    +g554
    +tp555
    +tp556
    +Rp557
    +(dp558
    +g10
    +g435
    +sg20
    +g21
    +((lp559
    +tp560
    +Rp561
    +sbsS'Constant'
    +p562
    +g1
    +(g2
    +g3
    +(g186
    +g562
    +tp563
    +tp564
    +Rp565
    +(dp566
    +g10
    +g435
    +sg20
    +g21
    +((lp567
    +tp568
    +Rp569
    +sbsS'Function'
    +p570
    +g1
    +(g2
    +g3
    +(g186
    +g570
    +tp571
    +tp572
    +Rp573
    +(dp574
    +g10
    +g435
    +sg20
    +g21
    +((lp575
    +g1
    +(g2
    +g3
    +(g186
    +g570
    +g475
    +tp576
    +tp577
    +Rp578
    +(dp579
    +g10
    +g573
    +sg20
    +g21
    +((lp580
    +tp581
    +Rp582
    +sbatp583
    +Rp584
    +sg475
    +g578
    +sbsS'Blubb'
    +p585
    +g1
    +(g2
    +g3
    +(g186
    +g585
    +tp586
    +tp587
    +Rp588
    +(dp589
    +g10
    +g435
    +sg20
    +g21
    +((lp590
    +tp591
    +Rp592
    +sbsS'Label'
    +p593
    +g1
    +(g2
    +g3
    +(g186
    +g593
    +tp594
    +tp595
    +Rp596
    +(dp597
    +g10
    +g435
    +sg20
    +g21
    +((lp598
    +tp599
    +Rp600
    +sbsS'Field'
    +p601
    +g1
    +(g2
    +g3
    +(g186
    +g601
    +tp602
    +tp603
    +Rp604
    +(dp605
    +g10
    +g435
    +sg20
    +g21
    +((lp606
    +tp607
    +Rp608
    +sbsS'Exception'
    +p609
    +g1
    +(g2
    +g3
    +(g186
    +g609
    +tp610
    +tp611
    +Rp612
    +(dp613
    +g10
    +g435
    +sg20
    +g21
    +((lp614
    +tp615
    +Rp616
    +sbsS'Namespace'
    +p617
    +g1
    +(g2
    +g3
    +(g186
    +g617
    +tp618
    +tp619
    +Rp620
    +(dp621
    +g10
    +g435
    +sg20
    +g21
    +((lp622
    +tp623
    +Rp624
    +sbsg20
    +g21
    +((lp625
    +g495
    +ag588
    +ag533
    +ag503
    +ag440
    +ag612
    +ag525
    +ag557
    +ag573
    +ag549
    +ag1
    +(g2
    +g3
    +(g186
    +g442
    +tp626
    +tp627
    +Rp628
    +(dp629
    +g10
    +g435
    +sg414
    +g1
    +(g2
    +g3
    +(g186
    +g442
    +g414
    +tp630
    +tp631
    +Rp632
    +(dp633
    +g10
    +g628
    +sg20
    +g21
    +((lp634
    +tp635
    +Rp636
    +sbsg20
    +g21
    +((lp637
    +g1
    +(g2
    +g3
    +(g186
    +g442
    +S'Start'
    +p638
    +tp639
    +tp640
    +Rp641
    +(dp642
    +g10
    +g628
    +sg20
    +g21
    +((lp643
    +tp644
    +Rp645
    +sbag632
    +atp646
    +Rp647
    +sg638
    +g641
    +sbag1
    +(g2
    +g3
    +(g186
    +g70
    +tp648
    +tp649
    +Rp650
    +(dp651
    +g10
    +g435
    +sS'Member'
    +p652
    +g1
    +(g2
    +g3
    +(g186
    +g70
    +g652
    +tp653
    +tp654
    +Rp655
    +(dp656
    +g10
    +g650
    +sg20
    +g21
    +((lp657
    +tp658
    +Rp659
    +sbsg20
    +g21
    +((lp660
    +g655
    +atp661
    +Rp662
    +sbag596
    +ag487
    +ag620
    +ag1
    +(g2
    +g3
    +(g186
    +S'Attribute'
    +p663
    +tp664
    +tp665
    +Rp666
    +(dp667
    +g10
    +g435
    +sg437
    +g1
    +(g2
    +g3
    +(g186
    +g663
    +g437
    +tp668
    +tp669
    +Rp670
    +(dp671
    +g10
    +g666
    +sg20
    +g21
    +((lp672
    +tp673
    +Rp674
    +sbsg20
    +g21
    +((lp675
    +g670
    +atp676
    +Rp677
    +sbag565
    +ag1
    +(g2
    +g3
    +(g186
    +S'Builtin'
    +p678
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g10
    +g435
    +sg538
    +g1
    +(g2
    +g3
    +(g186
    +g678
    +g538
    +tp683
    +tp684
    +Rp685
    +(dp686
    +g10
    +g681
    +sg20
    +g21
    +((lp687
    +tp688
    +Rp689
    +sbsg20
    +g21
    +((lp690
    +g1
    +(g2
    +g3
    +(g186
    +g678
    +g530
    +tp691
    +tp692
    +Rp693
    +(dp694
    +g10
    +g681
    +sg20
    +g21
    +((lp695
    +tp696
    +Rp697
    +sbag685
    +atp698
    +Rp699
    +sg530
    +g693
    +sbag604
    +ag541
    +ag517
    +atp700
    +Rp701
    +sg10
    +g26
    +sg442
    +g628
    +sg678
    +g681
    +sg663
    +g666
    +sg70
    +g650
    +sbsS'Punctuation'
    +p702
    +g1
    +(g2
    +g3
    +(g702
    +tp703
    +tp704
    +Rp705
    +(dp706
    +g10
    +g26
    +sg20
    +g21
    +((lp707
    +g1
    +(g2
    +g3
    +(g702
    +S'Indicator'
    +p708
    +tp709
    +tp710
    +Rp711
    +(dp712
    +g10
    +g705
    +sg20
    +g21
    +((lp713
    +tp714
    +Rp715
    +sbatp716
    +Rp717
    +sg708
    +g711
    +sbsg4
    +g13
    +sg29
    +g52
    +sg70
    +g1
    +(g2
    +g3
    +(g70
    +tp718
    +tp719
    +Rp720
    +(dp721
    +g10
    +g26
    +sg20
    +g21
    +((lp722
    +tp723
    +Rp724
    +sbsg382
    +g1
    +(g2
    +g3
    +(g382
    +tp725
    +tp726
    +Rp727
    +(dp728
    +g10
    +g26
    +sg20
    +g21
    +((lp729
    +tp730
    +Rp731
    +sbsS'Token'
    +p732
    +g26
    +sg210
    +g1
    +(g2
    +g3
    +(g210
    +tp733
    +tp734
    +Rp735
    +(dp736
    +g10
    +g26
    +sg20
    +g21
    +((lp737
    +tp738
    +Rp739
    +sbsg20
    +g21
    +((lp740
    +g435
    +ag720
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p741
    +tp742
    +tp743
    +Rp744
    +(dp745
    +g10
    +g26
    +sg538
    +g1
    +(g2
    +g3
    +(g741
    +g538
    +tp746
    +tp747
    +Rp748
    +(dp749
    +g10
    +g744
    +sg20
    +g21
    +((lp750
    +tp751
    +Rp752
    +sbsS'Control'
    +p753
    +g1
    +(g2
    +g3
    +(g741
    +g753
    +tp754
    +tp755
    +Rp756
    +(dp757
    +g10
    +g744
    +sg20
    +g21
    +((lp758
    +tp759
    +Rp760
    +sbsg562
    +g1
    +(g2
    +g3
    +(g741
    +g562
    +tp761
    +tp762
    +Rp763
    +(dp764
    +g10
    +g744
    +sg20
    +g21
    +((lp765
    +tp766
    +Rp767
    +sbsg617
    +g1
    +(g2
    +g3
    +(g741
    +g617
    +tp768
    +tp769
    +Rp770
    +(dp771
    +g10
    +g744
    +sg20
    +g21
    +((lp772
    +tp773
    +Rp774
    +sbsS'PreProc'
    +p775
    +g1
    +(g2
    +g3
    +(g741
    +g775
    +tp776
    +tp777
    +Rp778
    +(dp779
    +g10
    +g744
    +sg20
    +g21
    +((lp780
    +tp781
    +Rp782
    +sbsg530
    +g1
    +(g2
    +g3
    +(g741
    +g530
    +tp783
    +tp784
    +Rp785
    +(dp786
    +g10
    +g744
    +sg20
    +g21
    +((lp787
    +tp788
    +Rp789
    +sbsS'Reserved'
    +p790
    +g1
    +(g2
    +g3
    +(g741
    +g790
    +tp791
    +tp792
    +Rp793
    +(dp794
    +g10
    +g744
    +sg20
    +g21
    +((lp795
    +tp796
    +Rp797
    +sbsg20
    +g21
    +((lp798
    +g770
    +ag1
    +(g2
    +g3
    +(g741
    +g423
    +tp799
    +tp800
    +Rp801
    +(dp802
    +g10
    +g744
    +sg20
    +g21
    +((lp803
    +tp804
    +Rp805
    +sbag756
    +ag1
    +(g2
    +g3
    +(g741
    +S'Declaration'
    +p806
    +tp807
    +tp808
    +Rp809
    +(dp810
    +g10
    +g744
    +sg20
    +g21
    +((lp811
    +tp812
    +Rp813
    +sbag1
    +(g2
    +g3
    +(g741
    +g741
    +tp814
    +tp815
    +Rp816
    +(dp817
    +g10
    +g744
    +sg20
    +g21
    +((lp818
    +tp819
    +Rp820
    +sbag785
    +ag763
    +ag748
    +ag793
    +ag778
    +atp821
    +Rp822
    +sg741
    +g816
    +sg806
    +g809
    +sg423
    +g801
    +sbag324
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p823
    +tp824
    +tp825
    +Rp826
    +(dp827
    +S'Beer'
    +p828
    +g1
    +(g2
    +g3
    +(g823
    +g828
    +tp829
    +tp830
    +Rp831
    +(dp832
    +g10
    +g826
    +sg20
    +g21
    +((lp833
    +tp834
    +Rp835
    +sbsS'Whitespace'
    +p836
    +g1
    +(g2
    +g3
    +(g823
    +g836
    +tp837
    +tp838
    +Rp839
    +(dp840
    +g10
    +g826
    +sg20
    +g21
    +((lp841
    +tp842
    +Rp843
    +sbsg10
    +g26
    +sS'Root'
    +p844
    +g1
    +(g2
    +g3
    +(g823
    +g844
    +tp845
    +tp846
    +Rp847
    +(dp848
    +g10
    +g826
    +sg20
    +g21
    +((lp849
    +tp850
    +Rp851
    +sbsg114
    +g1
    +(g2
    +g3
    +(g823
    +g114
    +tp852
    +tp853
    +Rp854
    +(dp855
    +g10
    +g826
    +sg20
    +g21
    +((lp856
    +tp857
    +Rp858
    +sbsg702
    +g1
    +(g2
    +g3
    +(g823
    +g702
    +tp859
    +tp860
    +Rp861
    +(dp862
    +g10
    +g826
    +sg20
    +g21
    +((lp863
    +tp864
    +Rp865
    +sbsg20
    +g21
    +((lp866
    +g847
    +ag854
    +ag861
    +ag839
    +ag831
    +ag1
    +(g2
    +g3
    +(g823
    +S'Rag'
    +p867
    +tp868
    +tp869
    +Rp870
    +(dp871
    +g10
    +g826
    +sg20
    +g21
    +((lp872
    +tp873
    +Rp874
    +sbatp875
    +Rp876
    +sg867
    +g870
    +sbag412
    +ag735
    +ag705
    +ag13
    +ag727
    +ag52
    +atp877
    +Rp878
    +sg741
    +g744
    +sg823
    +g826
    +sbsS'Special'
    +p879
    +g1
    +(g2
    +g3
    +(g4
    +g879
    +tp880
    +tp881
    +Rp882
    +(dp883
    +g10
    +g13
    +sg20
    +g21
    +((lp884
    +tp885
    +Rp886
    +sbsS'Hashbang'
    +p887
    +g1
    +(g2
    +g3
    +(g4
    +g887
    +tp888
    +tp889
    +Rp890
    +(dp891
    +g10
    +g13
    +sg20
    +g21
    +((lp892
    +tp893
    +Rp894
    +sbsS'Preproc'
    +p895
    +g1
    +(g2
    +g3
    +(g4
    +g895
    +tp896
    +tp897
    +Rp898
    +(dp899
    +g10
    +g13
    +sg20
    +g21
    +((lp900
    +tp901
    +Rp902
    +sbsg5
    +g8
    +sS'Directive'
    +p903
    +g1
    +(g2
    +g3
    +(g4
    +g903
    +tp904
    +tp905
    +Rp906
    +(dp907
    +g10
    +g13
    +sg20
    +g21
    +((lp908
    +tp909
    +Rp910
    +sbsg219
    +g1
    +(g2
    +g3
    +(g4
    +g219
    +tp911
    +tp912
    +Rp913
    +(dp914
    +g10
    +g13
    +sg20
    +g21
    +((lp915
    +tp916
    +Rp917
    +sbsS'Singleline'
    +p918
    +g1
    +(g2
    +g3
    +(g4
    +g918
    +tp919
    +tp920
    +Rp921
    +(dp922
    +g10
    +g13
    +sg20
    +g21
    +((lp923
    +tp924
    +Rp925
    +sbsS'Multiline'
    +p926
    +g1
    +(g2
    +g3
    +(g4
    +g926
    +tp927
    +tp928
    +Rp929
    +(dp930
    +g10
    +g13
    +sg20
    +g21
    +((lp931
    +tp932
    +Rp933
    +sbsg20
    +g21
    +((lp934
    +g913
    +ag906
    +ag890
    +ag18
    +ag921
    +ag898
    +ag929
    +ag8
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p935
    +tp936
    +tp937
    +Rp938
    +(dp939
    +g10
    +g13
    +sg20
    +g21
    +((lp940
    +tp941
    +Rp942
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p943
    +tp944
    +tp945
    +Rp946
    +(dp947
    +g10
    +g13
    +sg20
    +g21
    +((lp948
    +tp949
    +Rp950
    +sbag882
    +atp951
    +Rp952
    +sg935
    +g938
    +sg943
    +g946
    +sbsg20
    +g21
    +((lp953
    +tp954
    +Rp955
    +sbV#!/usr/bin/env perl6
    +p956
    +tp957
    +a(g826
    +V\u000a
    +p958
    +tp959
    +a(g826
    +V\u000a
    +p960
    +tp961
    +a(g744
    +Vuse
    +p962
    +tp963
    +a(g826
    +V 
    +p964
    +tp965
    +a(g435
    +Vv6
    +p966
    +tp967
    +a(g826
    +V;
    +p968
    +tp969
    +a(g826
    +V\u000a
    +p970
    +tp971
    +a(g826
    +V\u000a
    +p972
    +tp973
    +a(g744
    +Vmy
    +p974
    +tp975
    +a(g826
    +g964
    +tp976
    +a(g440
    +V$string
    +p977
    +tp978
    +a(g826
    +g964
    +tp979
    +a(g826
    +V=
    +p980
    +tp981
    +a(g826
    +g964
    +tp982
    +a(g89
    +V'I look like a # comment!'
    +p983
    +tp984
    +a(g826
    +g968
    +tp985
    +a(g826
    +V\u000a
    +p986
    +tp987
    +a(g826
    +V\u000a
    +p988
    +tp989
    +a(g744
    +Vif
    +p990
    +tp991
    +a(g826
    +g964
    +tp992
    +a(g440
    +V$string
    +p993
    +tp994
    +a(g826
    +g964
    +tp995
    +a(g412
    +Veq
    +p996
    +tp997
    +a(g826
    +g964
    +tp998
    +a(g89
    +V'foo'
    +p999
    +tp1000
    +a(g826
    +g964
    +tp1001
    +a(g826
    +V{
    +p1002
    +tp1003
    +a(g826
    +V\u000a
    +p1004
    +tp1005
    +a(g826
    +g964
    +tp1006
    +a(g826
    +g964
    +tp1007
    +a(g826
    +g964
    +tp1008
    +a(g826
    +g964
    +tp1009
    +a(g681
    +Vsay
    +p1010
    +tp1011
    +a(g826
    +g964
    +tp1012
    +a(g89
    +V'hello'
    +p1013
    +tp1014
    +a(g826
    +g968
    +tp1015
    +a(g826
    +V\u000a
    +p1016
    +tp1017
    +a(g826
    +V}
    +p1018
    +tp1019
    +a(g826
    +V\u000a
    +p1020
    +tp1021
    +a(g826
    +V\u000a
    +p1022
    +tp1023
    +a(g744
    +Vregex
    +p1024
    +tp1025
    +a(g435
    +V http-verb
    +p1026
    +tp1027
    +a(g826
    +g964
    +tp1028
    +a(g826
    +g1002
    +tp1029
    +a(g197
    +V\u000a
    +p1030
    +tp1031
    +a(g197
    +g964
    +tp1032
    +a(g197
    +g964
    +tp1033
    +a(g197
    +g964
    +tp1034
    +a(g197
    +g964
    +tp1035
    +a(g197
    +g964
    +tp1036
    +a(g197
    +g964
    +tp1037
    +a(g197
    +V'GET'
    +p1038
    +tp1039
    +a(g197
    +V\u000a
    +p1040
    +tp1041
    +a(g197
    +g964
    +tp1042
    +a(g197
    +g964
    +tp1043
    +a(g197
    +g964
    +tp1044
    +a(g197
    +g964
    +tp1045
    +a(g197
    +V|
    +p1046
    +tp1047
    +a(g197
    +g964
    +tp1048
    +a(g197
    +V'POST'
    +p1049
    +tp1050
    +a(g197
    +V\u000a
    +p1051
    +tp1052
    +a(g197
    +g964
    +tp1053
    +a(g197
    +g964
    +tp1054
    +a(g197
    +g964
    +tp1055
    +a(g197
    +g964
    +tp1056
    +a(g197
    +g1046
    +tp1057
    +a(g197
    +g964
    +tp1058
    +a(g197
    +V'PUT'
    +p1059
    +tp1060
    +a(g197
    +V\u000a
    +p1061
    +tp1062
    +a(g197
    +g964
    +tp1063
    +a(g197
    +g964
    +tp1064
    +a(g197
    +g964
    +tp1065
    +a(g197
    +g964
    +tp1066
    +a(g197
    +g1046
    +tp1067
    +a(g197
    +g964
    +tp1068
    +a(g197
    +V'DELETE'
    +p1069
    +tp1070
    +a(g197
    +V\u000a
    +p1071
    +tp1072
    +a(g197
    +g964
    +tp1073
    +a(g197
    +g964
    +tp1074
    +a(g197
    +g964
    +tp1075
    +a(g197
    +g964
    +tp1076
    +a(g197
    +g1046
    +tp1077
    +a(g197
    +g964
    +tp1078
    +a(g197
    +V'TRACE'
    +p1079
    +tp1080
    +a(g197
    +V\u000a
    +p1081
    +tp1082
    +a(g197
    +g964
    +tp1083
    +a(g197
    +g964
    +tp1084
    +a(g197
    +g964
    +tp1085
    +a(g197
    +g964
    +tp1086
    +a(g197
    +g1046
    +tp1087
    +a(g197
    +g964
    +tp1088
    +a(g197
    +V'OPTIONS'
    +p1089
    +tp1090
    +a(g197
    +V\u000a
    +p1091
    +tp1092
    +a(g197
    +g964
    +tp1093
    +a(g197
    +g964
    +tp1094
    +a(g197
    +g964
    +tp1095
    +a(g197
    +g964
    +tp1096
    +a(g197
    +g1046
    +tp1097
    +a(g197
    +g964
    +tp1098
    +a(g197
    +V'HEAD'
    +p1099
    +tp1100
    +a(g197
    +V\u000a
    +p1101
    +tp1102
    +a(g826
    +g1018
    +tp1103
    +a(g826
    +V\u000a
    +p1104
    +tp1105
    +a(g826
    +V\u000a
    +p1106
    +tp1107
    +a(g8
    +V# a sample comment
    +p1108
    +tp1109
    +a(g826
    +V\u000a
    +p1110
    +tp1111
    +a(g826
    +V\u000a
    +p1112
    +tp1113
    +a(g681
    +Vsay
    +p1114
    +tp1115
    +a(g826
    +g964
    +tp1116
    +a(g89
    +V'Hello from Perl 6!'
    +p1117
    +tp1118
    +a(g826
    +V\u000a
    +p1119
    +tp1120
    +a(g826
    +V\u000a
    +p1121
    +tp1122
    +a(g826
    +V\u000a
    +p1123
    +tp1124
    +a(g929
    +V#`{\u000amulti-line comment!\u000a}
    +p1125
    +tp1126
    +a(g826
    +V\u000a
    +p1127
    +tp1128
    +a(g826
    +V\u000a
    +p1129
    +tp1130
    +a(g681
    +Vsay
    +p1131
    +tp1132
    +a(g826
    +g964
    +tp1133
    +a(g89
    +V'here'
    +p1134
    +tp1135
    +a(g826
    +g968
    +tp1136
    +a(g826
    +V\u000a
    +p1137
    +tp1138
    +a(g826
    +V\u000a
    +p1139
    +tp1140
    +a(g929
    +V#`(\u000amulti-line comment!\u000a)
    +p1141
    +tp1142
    +a(g826
    +V\u000a
    +p1143
    +tp1144
    +a(g826
    +V\u000a
    +p1145
    +tp1146
    +a(g681
    +Vsay
    +p1147
    +tp1148
    +a(g826
    +g964
    +tp1149
    +a(g89
    +V'here'
    +p1150
    +tp1151
    +a(g826
    +g968
    +tp1152
    +a(g826
    +V\u000a
    +p1153
    +tp1154
    +a(g826
    +V\u000a
    +p1155
    +tp1156
    +a(g929
    +V#`{{{\u000aI'm a special comment!\u000a}}}
    +p1157
    +tp1158
    +a(g826
    +V\u000a
    +p1159
    +tp1160
    +a(g826
    +V\u000a
    +p1161
    +tp1162
    +a(g681
    +Vsay
    +p1163
    +tp1164
    +a(g826
    +g964
    +tp1165
    +a(g89
    +V'there'
    +p1166
    +tp1167
    +a(g826
    +g968
    +tp1168
    +a(g826
    +V\u000a
    +p1169
    +tp1170
    +a(g826
    +V\u000a
    +p1171
    +tp1172
    +a(g929
    +V#`{{\u000aI'm { even } specialer!\u000a}}
    +p1173
    +tp1174
    +a(g826
    +V\u000a
    +p1175
    +tp1176
    +a(g826
    +V\u000a
    +p1177
    +tp1178
    +a(g681
    +Vsay
    +p1179
    +tp1180
    +a(g826
    +g964
    +tp1181
    +a(g89
    +V'there'
    +p1182
    +tp1183
    +a(g826
    +g968
    +tp1184
    +a(g826
    +V\u000a
    +p1185
    +tp1186
    +a(g826
    +V\u000a
    +p1187
    +tp1188
    +a(g929
    +V#`{{\u000adoes {{nesting}} work?\u000a}}
    +p1189
    +tp1190
    +a(g826
    +V\u000a
    +p1191
    +tp1192
    +a(g826
    +V\u000a
    +p1193
    +tp1194
    +a(g929
    +V#`«<\u000atrying mixed delimiters\u000a»
    +p1195
    +tp1196
    +a(g826
    +V\u000a
    +p1197
    +tp1198
    +a(g826
    +V\u000a
    +p1199
    +tp1200
    +a(g744
    +Vmy
    +p1201
    +tp1202
    +a(g826
    +g964
    +tp1203
    +a(g440
    +V$string
    +p1204
    +tp1205
    +a(g826
    +g964
    +tp1206
    +a(g826
    +g980
    +tp1207
    +a(g826
    +g964
    +tp1208
    +a(g89
    +Vqq
    +p1209
    +tp1210
    +a(g826
    +g968
    +tp1211
    +a(g826
    +V\u000a
    +p1212
    +tp1213
    +a(g744
    +Vmy
    +p1214
    +tp1215
    +a(g826
    +g964
    +tp1216
    +a(g440
    +V$string
    +p1217
    +tp1218
    +a(g826
    +g964
    +tp1219
    +a(g826
    +g980
    +tp1220
    +a(g826
    +g964
    +tp1221
    +a(g89
    +Vqq«Hooray, arbitrary delimiter!»
    +p1222
    +tp1223
    +a(g826
    +g968
    +tp1224
    +a(g826
    +V\u000a
    +p1225
    +tp1226
    +a(g744
    +Vmy
    +p1227
    +tp1228
    +a(g826
    +g964
    +tp1229
    +a(g440
    +V$string
    +p1230
    +tp1231
    +a(g826
    +g964
    +tp1232
    +a(g826
    +g980
    +tp1233
    +a(g826
    +g964
    +tp1234
    +a(g89
    +Vq 
    +p1235
    +tp1236
    +a(g826
    +g968
    +tp1237
    +a(g826
    +V\u000a
    +p1238
    +tp1239
    +a(g744
    +Vmy
    +p1240
    +tp1241
    +a(g826
    +g964
    +tp1242
    +a(g440
    +V$string
    +p1243
    +tp1244
    +a(g826
    +g964
    +tp1245
    +a(g826
    +g980
    +tp1246
    +a(g826
    +g964
    +tp1247
    +a(g89
    +Vqq<>
    +p1248
    +tp1249
    +a(g826
    +g968
    +tp1250
    +a(g826
    +V\u000a
    +p1251
    +tp1252
    +a(g826
    +V\u000a
    +p1253
    +tp1254
    +a(g744
    +Vmy
    +p1255
    +tp1256
    +a(g826
    +g964
    +tp1257
    +a(g440
    +V%hash
    +p1258
    +tp1259
    +a(g826
    +g964
    +tp1260
    +a(g826
    +V:
    +p1261
    +tp1262
    +a(g826
    +g980
    +tp1263
    +a(g826
    +g964
    +tp1264
    +a(g681
    +VHash
    +p1265
    +tp1266
    +a(g826
    +V.
    +p1267
    +tp1268
    +a(g681
    +Vnew
    +p1269
    +tp1270
    +a(g826
    +g968
    +tp1271
    +a(g826
    +V\u000a
    +p1272
    +tp1273
    +a(g929
    +V\u000a=begin pod\u000a\u000aHere's some POD!  Wooo\u000a\u000a=end pod
    +p1274
    +tp1275
    +a(g826
    +V\u000a
    +p1276
    +tp1277
    +a(g929
    +V\u000a=for Testing\u000a    This is POD (see? role isn't highlighted)\u000a\u000a
    +p1278
    +tp1279
    +a(g681
    +Vsay
    +p1280
    +tp1281
    +a(g826
    +V(
    +p1282
    +tp1283
    +a(g89
    +V'this is not!'
    +p1284
    +tp1285
    +a(g826
    +V)
    +p1286
    +tp1287
    +a(g826
    +g968
    +tp1288
    +a(g826
    +V\u000a
    +p1289
    +tp1290
    +a(g826
    +V\u000a
    +p1291
    +tp1292
    +a(g929
    +V=table\u000a    Of role things\u000a\u000a
    +p1293
    +tp1294
    +a(g681
    +Vsay
    +p1295
    +tp1296
    +a(g826
    +g1282
    +tp1297
    +a(g89
    +V'not in your table'
    +p1298
    +tp1299
    +a(g826
    +g1286
    +tp1300
    +a(g826
    +g968
    +tp1301
    +a(g826
    +V\u000a
    +p1302
    +tp1303
    +a(g8
    +V#= A single line declarator "block" (with a keyword like role)
    +p1304
    +tp1305
    +a(g826
    +V\u000a
    +p1306
    +tp1307
    +a(g8
    +V#| Another single line declarator "block" (with a keyword like role)
    +p1308
    +tp1309
    +a(g826
    +V\u000a
    +p1310
    +tp1311
    +a(g929
    +V#={\u000a    A declarator block (with a keyword like role)\u000a  }
    +p1312
    +tp1313
    +a(g826
    +V\u000a
    +p1314
    +tp1315
    +a(g929
    +V#|{\u000a    Another declarator block (with a keyword like role)\u000a  }
    +p1316
    +tp1317
    +a(g826
    +V\u000a
    +p1318
    +tp1319
    +a(g8
    +V#= { A single line declarator "block" with a brace (with a keyword like role)
    +p1320
    +tp1321
    +a(g826
    +V\u000a
    +p1322
    +tp1323
    +a(g929
    +V#=«\u000a    More declarator blocks! (with a keyword like role)\u000a  »
    +p1324
    +tp1325
    +a(g826
    +V\u000a
    +p1326
    +tp1327
    +a(g929
    +V#|«\u000a    More declarator blocks! (with a keyword like role)\u000a  »
    +p1328
    +tp1329
    +a(g826
    +V\u000a
    +p1330
    +tp1331
    +a(g826
    +V\u000a
    +p1332
    +tp1333
    +a(g681
    +Vsay
    +p1334
    +tp1335
    +a(g826
    +g964
    +tp1336
    +a(g89
    +V'Moar code!'
    +p1337
    +tp1338
    +a(g826
    +g968
    +tp1339
    +a(g826
    +V\u000a
    +p1340
    +tp1341
    +a(g826
    +V\u000a
    +p1342
    +tp1343
    +a(g744
    +Vmy
    +p1344
    +tp1345
    +a(g826
    +g964
    +tp1346
    +a(g440
    +V$don't
    +p1347
    +tp1348
    +a(g826
    +g964
    +tp1349
    +a(g826
    +g980
    +tp1350
    +a(g826
    +g964
    +tp1351
    +a(g37
    +V16
    +p1352
    +tp1353
    +a(g826
    +g968
    +tp1354
    +a(g826
    +V\u000a
    +p1355
    +tp1356
    +a(g826
    +V\u000a
    +p1357
    +tp1358
    +a(g744
    +Vsub
    +p1359
    +tp1360
    +a(g826
    +g964
    +tp1361
    +a(g435
    +Vdon't
    +p1362
    +tp1363
    +a(g826
    +g1282
    +tp1364
    +a(g440
    +V$x
    +p1365
    +tp1366
    +a(g826
    +g1286
    +tp1367
    +a(g826
    +g964
    +tp1368
    +a(g826
    +g1002
    +tp1369
    +a(g826
    +V\u000a
    +p1370
    +tp1371
    +a(g826
    +g964
    +tp1372
    +a(g826
    +g964
    +tp1373
    +a(g826
    +g964
    +tp1374
    +a(g826
    +g964
    +tp1375
    +a(g826
    +V!
    +p1376
    +tp1377
    +a(g440
    +V$x
    +p1378
    +tp1379
    +a(g826
    +V\u000a
    +p1380
    +tp1381
    +a(g826
    +g1018
    +tp1382
    +a(g826
    +V\u000a
    +p1383
    +tp1384
    +a(g826
    +V\u000a
    +p1385
    +tp1386
    +a(g681
    +Vsay
    +p1387
    +tp1388
    +a(g826
    +g964
    +tp1389
    +a(g435
    +Vdon't
    +p1390
    +tp1391
    +a(g826
    +g964
    +tp1392
    +a(g89
    +V'foo'
    +p1393
    +tp1394
    +a(g826
    +g968
    +tp1395
    +a(g826
    +V\u000a
    +p1396
    +tp1397
    +a(g826
    +V\u000a
    +p1398
    +tp1399
    +a(g744
    +Vmy
    +p1400
    +tp1401
    +a(g826
    +g964
    +tp1402
    +a(g440
    +V%hash
    +p1403
    +tp1404
    +a(g826
    +g964
    +tp1405
    +a(g826
    +g980
    +tp1406
    +a(g826
    +g964
    +tp1407
    +a(g826
    +g1282
    +tp1408
    +a(g826
    +V\u000a
    +p1409
    +tp1410
    +a(g826
    +g964
    +tp1411
    +a(g826
    +g964
    +tp1412
    +a(g826
    +g964
    +tp1413
    +a(g826
    +g964
    +tp1414
    +a(g826
    +g1261
    +tp1415
    +a(g435
    +Vfoo
    +p1416
    +tp1417
    +a(g826
    +g1282
    +tp1418
    +a(g37
    +V1
    +p1419
    +tp1420
    +a(g826
    +g1286
    +tp1421
    +a(g826
    +V,
    +p1422
    +tp1423
    +a(g826
    +V\u000a
    +p1424
    +tp1425
    +a(g826
    +g1286
    +tp1426
    +a(g826
    +g968
    +tp1427
    +a(g826
    +V\u000a
    +p1428
    +tp1429
    +a(g826
    +V\u000a
    +p1430
    +tp1431
    +a(g681
    +Vsay
    +p1432
    +tp1433
    +a(g826
    +g964
    +tp1434
    +a(g440
    +V%hash
    +p1435
    +tp1436
    +a(g826
    +g968
    +tp1437
    +a(g826
    +V\u000a
    +p1438
    +tp1439
    +a(g681
    +Vsay
    +p1440
    +tp1441
    +a(g826
    +g964
    +tp1442
    +a(g440
    +V%hash<>
    +p1443
    +tp1444
    +a(g826
    +g968
    +tp1445
    +a(g826
    +V\u000a
    +p1446
    +tp1447
    +a(g681
    +Vsay
    +p1448
    +tp1449
    +a(g826
    +g964
    +tp1450
    +a(g440
    +V%hash«foo»
    +p1451
    +tp1452
    +a(g826
    +g968
    +tp1453
    +a(g826
    +V\u000a
    +p1454
    +tp1455
    +a(g826
    +V\u000a
    +p1456
    +tp1457
    +a(g681
    +Vsay
    +p1458
    +tp1459
    +a(g826
    +g964
    +tp1460
    +a(g469
    +V%*hash
    +p1461
    +tp1462
    +a(g826
    +g968
    +tp1463
    +a(g826
    +V\u000a
    +p1464
    +tp1465
    +a(g681
    +Vsay
    +p1466
    +tp1467
    +a(g826
    +g964
    +tp1468
    +a(g469
    +V%*hash<>
    +p1469
    +tp1470
    +a(g826
    +g968
    +tp1471
    +a(g826
    +V\u000a
    +p1472
    +tp1473
    +a(g681
    +Vsay
    +p1474
    +tp1475
    +a(g826
    +g964
    +tp1476
    +a(g469
    +V%*hash«foo»
    +p1477
    +tp1478
    +a(g826
    +g968
    +tp1479
    +a(g826
    +V\u000a
    +p1480
    +tp1481
    +a(g826
    +V\u000a
    +p1482
    +tp1483
    +a(g681
    +Vsay
    +p1484
    +tp1485
    +a(g826
    +g964
    +tp1486
    +a(g440
    +V$
    +p1487
    +tp1488
    +a(g826
    +g968
    +tp1489
    +a(g826
    +V\u000a
    +p1490
    +tp1491
    +a(g681
    +Vsay
    +p1492
    +tp1493
    +a(g826
    +g964
    +tp1494
    +a(g440
    +V$
    +p1495
    +tp1496
    +a(g826
    +g968
    +tp1497
    +a(g826
    +V\u000a
    +p1498
    +tp1499
    +a(g826
    +V\u000a
    +p1500
    +tp1501
    +a(g744
    +Vfor
    +p1502
    +tp1503
    +a(g826
    +g964
    +tp1504
    +a(g826
    +g1282
    +tp1505
    +a(g440
    +V@A
    +p1506
    +tp1507
    +a(g826
    +g964
    +tp1508
    +a(g412
    +VZ
    +p1509
    +tp1510
    +a(g826
    +g964
    +tp1511
    +a(g440
    +V@B
    +p1512
    +tp1513
    +a(g826
    +g1286
    +tp1514
    +a(g826
    +g964
    +tp1515
    +a(g826
    +V-
    +p1516
    +tp1517
    +a(g826
    +V>
    +p1518
    +tp1519
    +a(g826
    +g964
    +tp1520
    +a(g440
    +V$a
    +p1521
    +tp1522
    +a(g826
    +g1422
    +tp1523
    +a(g826
    +g964
    +tp1524
    +a(g440
    +V$b
    +p1525
    +tp1526
    +a(g826
    +g964
    +tp1527
    +a(g826
    +g1002
    +tp1528
    +a(g826
    +V\u000a
    +p1529
    +tp1530
    +a(g826
    +g964
    +tp1531
    +a(g826
    +g964
    +tp1532
    +a(g826
    +g964
    +tp1533
    +a(g826
    +g964
    +tp1534
    +a(g681
    +Vsay
    +p1535
    +tp1536
    +a(g826
    +g964
    +tp1537
    +a(g440
    +V$a
    +p1538
    +tp1539
    +a(g826
    +g964
    +tp1540
    +a(g826
    +V+
    +p1541
    +tp1542
    +a(g826
    +g964
    +tp1543
    +a(g440
    +V$b
    +p1544
    +tp1545
    +a(g826
    +g968
    +tp1546
    +a(g826
    +V\u000a
    +p1547
    +tp1548
    +a(g826
    +g1018
    +tp1549
    +a(g826
    +V\u000a
    +p1550
    +tp1551
    +a(g826
    +V\u000a
    +p1552
    +tp1553
    +a(g89
    +VQ:PIR {\u000a    .loadlib "somelib"\u000a}
    +p1554
    +tp1555
    +a(g826
    +V\u000a
    +p1556
    +tp1557
    +a(g826
    +V\u000a
    +p1558
    +tp1559
    +a(g744
    +Vmy
    +p1560
    +tp1561
    +a(g826
    +g964
    +tp1562
    +a(g440
    +V$longstring
    +p1563
    +tp1564
    +a(g826
    +g964
    +tp1565
    +a(g826
    +g980
    +tp1566
    +a(g826
    +g964
    +tp1567
    +a(g89
    +Vq/\u000a    lots\u000a    of\u000a    text\u000a/
    +p1568
    +tp1569
    +a(g826
    +g968
    +tp1570
    +a(g826
    +V\u000a
    +p1571
    +tp1572
    +a(g826
    +V\u000a
    +p1573
    +tp1574
    +a(g744
    +Vmy
    +p1575
    +tp1576
    +a(g826
    +g964
    +tp1577
    +a(g440
    +V$heredoc
    +p1578
    +tp1579
    +a(g826
    +g964
    +tp1580
    +a(g826
    +g980
    +tp1581
    +a(g826
    +g964
    +tp1582
    +a(g89
    +Vq:to/END_SQL/;\u000aSELECT * FROM Users\u000aWHERE first_name = 'Rob'\u000aEND_SQL\u000a
    +p1583
    +tp1584
    +a(g744
    +Vmy
    +p1585
    +tp1586
    +a(g826
    +g964
    +tp1587
    +a(g440
    +V$hello
    +p1588
    +tp1589
    +a(g826
    +g968
    +tp1590
    +a(g826
    +V\u000a
    +p1591
    +tp1592
    +a(g826
    +V\u000a
    +p1593
    +tp1594
    +a(g8
    +V# Fun with regexen
    +p1595
    +tp1596
    +a(g826
    +V\u000a
    +p1597
    +tp1598
    +a(g826
    +V\u000a
    +p1599
    +tp1600
    +a(g744
    +Vif
    +p1601
    +tp1602
    +a(g826
    +g964
    +tp1603
    +a(g89
    +V'food'
    +p1604
    +tp1605
    +a(g826
    +g964
    +tp1606
    +a(g826
    +V~
    +p1607
    +tp1608
    +a(g826
    +g1607
    +tp1609
    +a(g197
    +V /foo/
    +p1610
    +tp1611
    +a(g826
    +g964
    +tp1612
    +a(g826
    +g1002
    +tp1613
    +a(g826
    +V\u000a
    +p1614
    +tp1615
    +a(g826
    +g964
    +tp1616
    +a(g826
    +g964
    +tp1617
    +a(g826
    +g964
    +tp1618
    +a(g826
    +g964
    +tp1619
    +a(g681
    +Vsay
    +p1620
    +tp1621
    +a(g826
    +g964
    +tp1622
    +a(g89
    +V'match!'
    +p1623
    +tp1624
    +a(g826
    +V\u000a
    +p1625
    +tp1626
    +a(g826
    +g1018
    +tp1627
    +a(g826
    +V\u000a
    +p1628
    +tp1629
    +a(g826
    +V\u000a
    +p1630
    +tp1631
    +a(g744
    +Vmy
    +p1632
    +tp1633
    +a(g826
    +g964
    +tp1634
    +a(g440
    +V$re
    +p1635
    +tp1636
    +a(g826
    +g964
    +tp1637
    +a(g826
    +g964
    +tp1638
    +a(g826
    +g980
    +tp1639
    +a(g197
    +V /foo/
    +p1640
    +tp1641
    +a(g826
    +g968
    +tp1642
    +a(g826
    +V\u000a
    +p1643
    +tp1644
    +a(g744
    +Vmy
    +p1645
    +tp1646
    +a(g826
    +g964
    +tp1647
    +a(g440
    +V$re2
    +p1648
    +tp1649
    +a(g826
    +g964
    +tp1650
    +a(g826
    +g980
    +tp1651
    +a(g826
    +g964
    +tp1652
    +a(g197
    +Vm/ foo /
    +p1653
    +tp1654
    +a(g826
    +g968
    +tp1655
    +a(g826
    +V\u000a
    +p1656
    +tp1657
    +a(g744
    +Vmy
    +p1658
    +tp1659
    +a(g826
    +g964
    +tp1660
    +a(g440
    +V$re3
    +p1661
    +tp1662
    +a(g826
    +g964
    +tp1663
    +a(g826
    +g980
    +tp1664
    +a(g826
    +g964
    +tp1665
    +a(g197
    +Vm:i/ FOO /
    +p1666
    +tp1667
    +a(g826
    +g968
    +tp1668
    +a(g826
    +V\u000a
    +p1669
    +tp1670
    +a(g826
    +V\u000a
    +p1671
    +tp1672
    +a(g435
    +Vcall-a-sub
    +p1673
    +tp1674
    +a(g826
    +g1282
    +tp1675
    +a(g197
    +V/ foo /
    +p1676
    +tp1677
    +a(g826
    +g1286
    +tp1678
    +a(g826
    +g968
    +tp1679
    +a(g826
    +V\u000a
    +p1680
    +tp1681
    +a(g435
    +Vcall-a-sub
    +p1682
    +tp1683
    +a(g826
    +g1282
    +tp1684
    +a(g197
    +V/ foo \u005c/ bar /
    +p1685
    +tp1686
    +a(g826
    +g1286
    +tp1687
    +a(g826
    +g968
    +tp1688
    +a(g826
    +V\u000a
    +p1689
    +tp1690
    +a(g826
    +V\u000a
    +p1691
    +tp1692
    +a(g744
    +Vmy
    +p1693
    +tp1694
    +a(g826
    +g964
    +tp1695
    +a(g440
    +V$re4
    +p1696
    +tp1697
    +a(g826
    +g964
    +tp1698
    +a(g826
    +g964
    +tp1699
    +a(g826
    +g964
    +tp1700
    +a(g826
    +g964
    +tp1701
    +a(g826
    +g980
    +tp1702
    +a(g826
    +g964
    +tp1703
    +a(g197
    +Vrx/something | something-else/
    +p1704
    +tp1705
    +a(g826
    +g968
    +tp1706
    +a(g826
    +V\u000a
    +p1707
    +tp1708
    +a(g744
    +Vmy
    +p1709
    +tp1710
    +a(g826
    +g964
    +tp1711
    +a(g440
    +V$result
    +p1712
    +tp1713
    +a(g826
    +g964
    +tp1714
    +a(g826
    +g980
    +tp1715
    +a(g826
    +g964
    +tp1716
    +a(g197
    +Vms/regexy stuff/
    +p1717
    +tp1718
    +a(g826
    +g968
    +tp1719
    +a(g826
    +V\u000a
    +p1720
    +tp1721
    +a(g744
    +Vmy
    +p1722
    +tp1723
    +a(g826
    +g964
    +tp1724
    +a(g440
    +V$sub0
    +p1725
    +tp1726
    +a(g826
    +g964
    +tp1727
    +a(g826
    +g964
    +tp1728
    +a(g826
    +g964
    +tp1729
    +a(g826
    +g980
    +tp1730
    +a(g826
    +g964
    +tp1731
    +a(g197
    +Vs/regexy stuff/more stuff/
    +p1732
    +tp1733
    +a(g826
    +g968
    +tp1734
    +a(g826
    +V\u000a
    +p1735
    +tp1736
    +a(g744
    +Vmy
    +p1737
    +tp1738
    +a(g826
    +g964
    +tp1739
    +a(g440
    +V$sub
    +p1740
    +tp1741
    +a(g826
    +g964
    +tp1742
    +a(g826
    +g964
    +tp1743
    +a(g826
    +g964
    +tp1744
    +a(g826
    +g964
    +tp1745
    +a(g826
    +g980
    +tp1746
    +a(g826
    +g964
    +tp1747
    +a(g197
    +Vss/regexy stuff/more stuff/
    +p1748
    +tp1749
    +a(g826
    +g968
    +tp1750
    +a(g826
    +V\u000a
    +p1751
    +tp1752
    +a(g744
    +Vmy
    +p1753
    +tp1754
    +a(g826
    +g964
    +tp1755
    +a(g440
    +V$trans
    +p1756
    +tp1757
    +a(g826
    +g964
    +tp1758
    +a(g826
    +g964
    +tp1759
    +a(g826
    +g980
    +tp1760
    +a(g826
    +g964
    +tp1761
    +a(g197
    +Vtr/regexy stuff/more stuff/
    +p1762
    +tp1763
    +a(g826
    +g968
    +tp1764
    +a(g826
    +V\u000a
    +p1765
    +tp1766
    +a(g826
    +V\u000a
    +p1767
    +tp1768
    +a(g744
    +Vmy
    +p1769
    +tp1770
    +a(g826
    +g964
    +tp1771
    +a(g440
    +V@values
    +p1772
    +tp1773
    +a(g826
    +g964
    +tp1774
    +a(g826
    +g980
    +tp1775
    +a(g826
    +g964
    +tp1776
    +a(g89
    +V
    +p1777
    +tp1778
    +a(g826
    +g968
    +tp1779
    +a(g826
    +V\u000a
    +p1780
    +tp1781
    +a(g435
    +Vcall-sub
    +p1782
    +tp1783
    +a(g826
    +g1282
    +tp1784
    +a(g89
    +V
    +p1785
    +tp1786
    +a(g826
    +g1286
    +tp1787
    +a(g826
    +g968
    +tp1788
    +a(g826
    +V\u000a
    +p1789
    +tp1790
    +a(g435
    +Vcall-sub
    +p1791
    +tp1792
    +a(g826
    +g964
    +tp1793
    +a(g89
    +V
    +p1794
    +tp1795
    +a(g826
    +g968
    +tp1796
    +a(g826
    +V\u000a
    +p1797
    +tp1798
    +a(g826
    +V\u000a
    +p1799
    +tp1800
    +a(g744
    +Vmy
    +p1801
    +tp1802
    +a(g826
    +g964
    +tp1803
    +a(g440
    +V$result
    +p1804
    +tp1805
    +a(g826
    +g964
    +tp1806
    +a(g826
    +g980
    +tp1807
    +a(g826
    +g964
    +tp1808
    +a(g440
    +V$a
    +p1809
    +tp1810
    +a(g826
    +g964
    +tp1811
    +a(g826
    +V<
    +p1812
    +tp1813
    +a(g826
    +g964
    +tp1814
    +a(g440
    +V$b
    +p1815
    +tp1816
    +a(g826
    +g968
    +tp1817
    +a(g826
    +V\u000a
    +p1818
    +tp1819
    +a(g826
    +V\u000a
    +p1820
    +tp1821
    +a(g744
    +Vfor
    +p1822
    +tp1823
    +a(g826
    +g964
    +tp1824
    +a(g89
    +V
    +p1825
    +tp1826
    +a(g826
    +g964
    +tp1827
    +a(g826
    +g1516
    +tp1828
    +a(g826
    +g1518
    +tp1829
    +a(g826
    +g964
    +tp1830
    +a(g440
    +V$letter
    +p1831
    +tp1832
    +a(g826
    +g964
    +tp1833
    +a(g826
    +g1002
    +tp1834
    +a(g826
    +V\u000a
    +p1835
    +tp1836
    +a(g826
    +g964
    +tp1837
    +a(g826
    +g964
    +tp1838
    +a(g826
    +g964
    +tp1839
    +a(g826
    +g964
    +tp1840
    +a(g681
    +Vsay
    +p1841
    +tp1842
    +a(g826
    +g964
    +tp1843
    +a(g440
    +V$letter
    +p1844
    +tp1845
    +a(g826
    +g968
    +tp1846
    +a(g826
    +V\u000a
    +p1847
    +tp1848
    +a(g826
    +g1018
    +tp1849
    +a(g826
    +V\u000a
    +p1850
    +tp1851
    +a(g826
    +V\u000a
    +p1852
    +tp1853
    +a(g744
    +Vsub
    +p1854
    +tp1855
    +a(g826
    +g964
    +tp1856
    +a(g435
    +Vtest-sub
    +p1857
    +tp1858
    +a(g826
    +g964
    +tp1859
    +a(g826
    +g1002
    +tp1860
    +a(g826
    +V\u000a
    +p1861
    +tp1862
    +a(g826
    +g964
    +tp1863
    +a(g826
    +g964
    +tp1864
    +a(g826
    +g964
    +tp1865
    +a(g826
    +g964
    +tp1866
    +a(g681
    +Vsay
    +p1867
    +tp1868
    +a(g826
    +g964
    +tp1869
    +a(g440
    +V@_
    +p1870
    +tp1871
    +a(g826
    +g968
    +tp1872
    +a(g826
    +V\u000a
    +p1873
    +tp1874
    +a(g826
    +g964
    +tp1875
    +a(g826
    +g964
    +tp1876
    +a(g826
    +g964
    +tp1877
    +a(g826
    +g964
    +tp1878
    +a(g681
    +Vsay
    +p1879
    +tp1880
    +a(g826
    +g964
    +tp1881
    +a(g469
    +V$!
    +p1882
    +tp1883
    +a(g826
    +g968
    +tp1884
    +a(g826
    +V\u000a
    +p1885
    +tp1886
    +a(g826
    +g964
    +tp1887
    +a(g826
    +g964
    +tp1888
    +a(g826
    +g964
    +tp1889
    +a(g826
    +g964
    +tp1890
    +a(g681
    +Vsay
    +p1891
    +tp1892
    +a(g826
    +g964
    +tp1893
    +a(g469
    +V$/
    +p1894
    +tp1895
    +a(g826
    +g968
    +tp1896
    +a(g826
    +V\u000a
    +p1897
    +tp1898
    +a(g826
    +g964
    +tp1899
    +a(g826
    +g964
    +tp1900
    +a(g826
    +g964
    +tp1901
    +a(g826
    +g964
    +tp1902
    +a(g681
    +Vsay
    +p1903
    +tp1904
    +a(g826
    +g964
    +tp1905
    +a(g440
    +V$0
    +p1906
    +tp1907
    +a(g826
    +g968
    +tp1908
    +a(g826
    +V\u000a
    +p1909
    +tp1910
    +a(g826
    +g964
    +tp1911
    +a(g826
    +g964
    +tp1912
    +a(g826
    +g964
    +tp1913
    +a(g826
    +g964
    +tp1914
    +a(g681
    +Vsay
    +p1915
    +tp1916
    +a(g826
    +g964
    +tp1917
    +a(g440
    +V$1
    +p1918
    +tp1919
    +a(g826
    +g968
    +tp1920
    +a(g826
    +V\u000a
    +p1921
    +tp1922
    +a(g826
    +g964
    +tp1923
    +a(g826
    +g964
    +tp1924
    +a(g826
    +g964
    +tp1925
    +a(g826
    +g964
    +tp1926
    +a(g681
    +Vsay
    +p1927
    +tp1928
    +a(g826
    +g964
    +tp1929
    +a(g469
    +V@*ARGS
    +p1930
    +tp1931
    +a(g826
    +g968
    +tp1932
    +a(g826
    +V\u000a
    +p1933
    +tp1934
    +a(g826
    +g964
    +tp1935
    +a(g826
    +g964
    +tp1936
    +a(g826
    +g964
    +tp1937
    +a(g826
    +g964
    +tp1938
    +a(g681
    +Vsay
    +p1939
    +tp1940
    +a(g826
    +g964
    +tp1941
    +a(g469
    +V$*ARGFILES
    +p1942
    +tp1943
    +a(g826
    +g968
    +tp1944
    +a(g826
    +V\u000a
    +p1945
    +tp1946
    +a(g826
    +g964
    +tp1947
    +a(g826
    +g964
    +tp1948
    +a(g826
    +g964
    +tp1949
    +a(g826
    +g964
    +tp1950
    +a(g681
    +Vsay
    +p1951
    +tp1952
    +a(g826
    +g964
    +tp1953
    +a(g440
    +V&?BLOCK
    +p1954
    +tp1955
    +a(g826
    +g968
    +tp1956
    +a(g826
    +V\u000a
    +p1957
    +tp1958
    +a(g826
    +g964
    +tp1959
    +a(g826
    +g964
    +tp1960
    +a(g826
    +g964
    +tp1961
    +a(g826
    +g964
    +tp1962
    +a(g681
    +Vsay
    +p1963
    +tp1964
    +a(g826
    +g964
    +tp1965
    +a(g469
    +V::?CLASS
    +p1966
    +tp1967
    +a(g826
    +g968
    +tp1968
    +a(g826
    +V\u000a
    +p1969
    +tp1970
    +a(g826
    +g964
    +tp1971
    +a(g826
    +g964
    +tp1972
    +a(g826
    +g964
    +tp1973
    +a(g826
    +g964
    +tp1974
    +a(g681
    +Vsay
    +p1975
    +tp1976
    +a(g826
    +g964
    +tp1977
    +a(g440
    +V$?CLASS
    +p1978
    +tp1979
    +a(g826
    +g968
    +tp1980
    +a(g826
    +V\u000a
    +p1981
    +tp1982
    +a(g826
    +g964
    +tp1983
    +a(g826
    +g964
    +tp1984
    +a(g826
    +g964
    +tp1985
    +a(g826
    +g964
    +tp1986
    +a(g681
    +Vsay
    +p1987
    +tp1988
    +a(g826
    +g964
    +tp1989
    +a(g440
    +V@=COMMENT
    +p1990
    +tp1991
    +a(g826
    +g968
    +tp1992
    +a(g826
    +V\u000a
    +p1993
    +tp1994
    +a(g826
    +g964
    +tp1995
    +a(g826
    +g964
    +tp1996
    +a(g826
    +g964
    +tp1997
    +a(g826
    +g964
    +tp1998
    +a(g681
    +Vsay
    +p1999
    +tp2000
    +a(g826
    +g964
    +tp2001
    +a(g440
    +V%?CONFIG
    +p2002
    +tp2003
    +a(g826
    +g968
    +tp2004
    +a(g826
    +V\u000a
    +p2005
    +tp2006
    +a(g826
    +g964
    +tp2007
    +a(g826
    +g964
    +tp2008
    +a(g826
    +g964
    +tp2009
    +a(g826
    +g964
    +tp2010
    +a(g681
    +Vsay
    +p2011
    +tp2012
    +a(g826
    +g964
    +tp2013
    +a(g469
    +V$*CWD
    +p2014
    +tp2015
    +a(g826
    +g968
    +tp2016
    +a(g826
    +V\u000a
    +p2017
    +tp2018
    +a(g826
    +g964
    +tp2019
    +a(g826
    +g964
    +tp2020
    +a(g826
    +g964
    +tp2021
    +a(g826
    +g964
    +tp2022
    +a(g681
    +Vsay
    +p2023
    +tp2024
    +a(g826
    +g964
    +tp2025
    +a(g440
    +V$=data
    +p2026
    +tp2027
    +a(g826
    +g968
    +tp2028
    +a(g826
    +V\u000a
    +p2029
    +tp2030
    +a(g826
    +g964
    +tp2031
    +a(g826
    +g964
    +tp2032
    +a(g826
    +g964
    +tp2033
    +a(g826
    +g964
    +tp2034
    +a(g681
    +Vsay
    +p2035
    +tp2036
    +a(g826
    +g964
    +tp2037
    +a(g440
    +V%?DEEPMAGIC
    +p2038
    +tp2039
    +a(g826
    +g968
    +tp2040
    +a(g826
    +V\u000a
    +p2041
    +tp2042
    +a(g826
    +g964
    +tp2043
    +a(g826
    +g964
    +tp2044
    +a(g826
    +g964
    +tp2045
    +a(g826
    +g964
    +tp2046
    +a(g681
    +Vsay
    +p2047
    +tp2048
    +a(g826
    +g964
    +tp2049
    +a(g440
    +V$?DISTRO
    +p2050
    +tp2051
    +a(g826
    +g968
    +tp2052
    +a(g826
    +V\u000a
    +p2053
    +tp2054
    +a(g826
    +g964
    +tp2055
    +a(g826
    +g964
    +tp2056
    +a(g826
    +g964
    +tp2057
    +a(g826
    +g964
    +tp2058
    +a(g681
    +Vsay
    +p2059
    +tp2060
    +a(g826
    +g964
    +tp2061
    +a(g469
    +V$*DISTRO
    +p2062
    +tp2063
    +a(g826
    +g968
    +tp2064
    +a(g826
    +V\u000a
    +p2065
    +tp2066
    +a(g826
    +g964
    +tp2067
    +a(g826
    +g964
    +tp2068
    +a(g826
    +g964
    +tp2069
    +a(g826
    +g964
    +tp2070
    +a(g681
    +Vsay
    +p2071
    +tp2072
    +a(g826
    +g964
    +tp2073
    +a(g469
    +V$*EGID
    +p2074
    +tp2075
    +a(g826
    +g968
    +tp2076
    +a(g826
    +V\u000a
    +p2077
    +tp2078
    +a(g826
    +g964
    +tp2079
    +a(g826
    +g964
    +tp2080
    +a(g826
    +g964
    +tp2081
    +a(g826
    +g964
    +tp2082
    +a(g681
    +Vsay
    +p2083
    +tp2084
    +a(g826
    +g964
    +tp2085
    +a(g469
    +V%*ENV
    +p2086
    +tp2087
    +a(g826
    +g968
    +tp2088
    +a(g826
    +V\u000a
    +p2089
    +tp2090
    +a(g826
    +g964
    +tp2091
    +a(g826
    +g964
    +tp2092
    +a(g826
    +g964
    +tp2093
    +a(g826
    +g964
    +tp2094
    +a(g681
    +Vsay
    +p2095
    +tp2096
    +a(g826
    +g964
    +tp2097
    +a(g469
    +V$*ERR
    +p2098
    +tp2099
    +a(g826
    +g968
    +tp2100
    +a(g826
    +V\u000a
    +p2101
    +tp2102
    +a(g826
    +g964
    +tp2103
    +a(g826
    +g964
    +tp2104
    +a(g826
    +g964
    +tp2105
    +a(g826
    +g964
    +tp2106
    +a(g681
    +Vsay
    +p2107
    +tp2108
    +a(g826
    +g964
    +tp2109
    +a(g469
    +V$*EUID
    +p2110
    +tp2111
    +a(g826
    +g968
    +tp2112
    +a(g826
    +V\u000a
    +p2113
    +tp2114
    +a(g826
    +g964
    +tp2115
    +a(g826
    +g964
    +tp2116
    +a(g826
    +g964
    +tp2117
    +a(g826
    +g964
    +tp2118
    +a(g681
    +Vsay
    +p2119
    +tp2120
    +a(g826
    +g964
    +tp2121
    +a(g469
    +V$*EXECUTABLE_NAME
    +p2122
    +tp2123
    +a(g826
    +g968
    +tp2124
    +a(g826
    +V\u000a
    +p2125
    +tp2126
    +a(g826
    +g964
    +tp2127
    +a(g826
    +g964
    +tp2128
    +a(g826
    +g964
    +tp2129
    +a(g826
    +g964
    +tp2130
    +a(g681
    +Vsay
    +p2131
    +tp2132
    +a(g826
    +g964
    +tp2133
    +a(g440
    +V$?FILE
    +p2134
    +tp2135
    +a(g826
    +g968
    +tp2136
    +a(g826
    +V\u000a
    +p2137
    +tp2138
    +a(g826
    +g964
    +tp2139
    +a(g826
    +g964
    +tp2140
    +a(g826
    +g964
    +tp2141
    +a(g826
    +g964
    +tp2142
    +a(g681
    +Vsay
    +p2143
    +tp2144
    +a(g826
    +g964
    +tp2145
    +a(g440
    +V$?GRAMMAR
    +p2146
    +tp2147
    +a(g826
    +g968
    +tp2148
    +a(g826
    +V\u000a
    +p2149
    +tp2150
    +a(g826
    +g964
    +tp2151
    +a(g826
    +g964
    +tp2152
    +a(g826
    +g964
    +tp2153
    +a(g826
    +g964
    +tp2154
    +a(g681
    +Vsay
    +p2155
    +tp2156
    +a(g826
    +g964
    +tp2157
    +a(g469
    +V$*GID
    +p2158
    +tp2159
    +a(g826
    +g968
    +tp2160
    +a(g826
    +V\u000a
    +p2161
    +tp2162
    +a(g826
    +g964
    +tp2163
    +a(g826
    +g964
    +tp2164
    +a(g826
    +g964
    +tp2165
    +a(g826
    +g964
    +tp2166
    +a(g681
    +Vsay
    +p2167
    +tp2168
    +a(g826
    +g964
    +tp2169
    +a(g469
    +V$*IN
    +p2170
    +tp2171
    +a(g826
    +g968
    +tp2172
    +a(g826
    +V\u000a
    +p2173
    +tp2174
    +a(g826
    +g964
    +tp2175
    +a(g826
    +g964
    +tp2176
    +a(g826
    +g964
    +tp2177
    +a(g826
    +g964
    +tp2178
    +a(g681
    +Vsay
    +p2179
    +tp2180
    +a(g826
    +g964
    +tp2181
    +a(g469
    +V@*INC
    +p2182
    +tp2183
    +a(g826
    +g968
    +tp2184
    +a(g826
    +V\u000a
    +p2185
    +tp2186
    +a(g826
    +g964
    +tp2187
    +a(g826
    +g964
    +tp2188
    +a(g826
    +g964
    +tp2189
    +a(g826
    +g964
    +tp2190
    +a(g681
    +Vsay
    +p2191
    +tp2192
    +a(g826
    +g964
    +tp2193
    +a(g440
    +V%?LANG
    +p2194
    +tp2195
    +a(g826
    +g968
    +tp2196
    +a(g826
    +V\u000a
    +p2197
    +tp2198
    +a(g826
    +g964
    +tp2199
    +a(g826
    +g964
    +tp2200
    +a(g826
    +g964
    +tp2201
    +a(g826
    +g964
    +tp2202
    +a(g681
    +Vsay
    +p2203
    +tp2204
    +a(g826
    +g964
    +tp2205
    +a(g469
    +V$*LANG
    +p2206
    +tp2207
    +a(g826
    +g968
    +tp2208
    +a(g826
    +V\u000a
    +p2209
    +tp2210
    +a(g826
    +g964
    +tp2211
    +a(g826
    +g964
    +tp2212
    +a(g826
    +g964
    +tp2213
    +a(g826
    +g964
    +tp2214
    +a(g681
    +Vsay
    +p2215
    +tp2216
    +a(g826
    +g964
    +tp2217
    +a(g440
    +V$?LINE
    +p2218
    +tp2219
    +a(g826
    +g968
    +tp2220
    +a(g826
    +V\u000a
    +p2221
    +tp2222
    +a(g826
    +g964
    +tp2223
    +a(g826
    +g964
    +tp2224
    +a(g826
    +g964
    +tp2225
    +a(g826
    +g964
    +tp2226
    +a(g681
    +Vsay
    +p2227
    +tp2228
    +a(g826
    +g964
    +tp2229
    +a(g469
    +V%*META-ARGS
    +p2230
    +tp2231
    +a(g826
    +g968
    +tp2232
    +a(g826
    +V\u000a
    +p2233
    +tp2234
    +a(g826
    +g964
    +tp2235
    +a(g826
    +g964
    +tp2236
    +a(g826
    +g964
    +tp2237
    +a(g826
    +g964
    +tp2238
    +a(g681
    +Vsay
    +p2239
    +tp2240
    +a(g826
    +g964
    +tp2241
    +a(g440
    +V$?MODULE
    +p2242
    +tp2243
    +a(g826
    +g968
    +tp2244
    +a(g826
    +V\u000a
    +p2245
    +tp2246
    +a(g826
    +g964
    +tp2247
    +a(g826
    +g964
    +tp2248
    +a(g826
    +g964
    +tp2249
    +a(g826
    +g964
    +tp2250
    +a(g681
    +Vsay
    +p2251
    +tp2252
    +a(g826
    +g964
    +tp2253
    +a(g469
    +V%*OPTS
    +p2254
    +tp2255
    +a(g826
    +g968
    +tp2256
    +a(g826
    +V\u000a
    +p2257
    +tp2258
    +a(g826
    +g964
    +tp2259
    +a(g826
    +g964
    +tp2260
    +a(g826
    +g964
    +tp2261
    +a(g826
    +g964
    +tp2262
    +a(g681
    +Vsay
    +p2263
    +tp2264
    +a(g826
    +g964
    +tp2265
    +a(g469
    +V%*OPT
    +p2266
    +tp2267
    +a(g826
    +g968
    +tp2268
    +a(g826
    +V\u000a
    +p2269
    +tp2270
    +a(g826
    +g964
    +tp2271
    +a(g826
    +g964
    +tp2272
    +a(g826
    +g964
    +tp2273
    +a(g826
    +g964
    +tp2274
    +a(g681
    +Vsay
    +p2275
    +tp2276
    +a(g826
    +g964
    +tp2277
    +a(g440
    +V$?KERNEL
    +p2278
    +tp2279
    +a(g826
    +g968
    +tp2280
    +a(g826
    +V\u000a
    +p2281
    +tp2282
    +a(g826
    +g964
    +tp2283
    +a(g826
    +g964
    +tp2284
    +a(g826
    +g964
    +tp2285
    +a(g826
    +g964
    +tp2286
    +a(g681
    +Vsay
    +p2287
    +tp2288
    +a(g826
    +g964
    +tp2289
    +a(g469
    +V$*KERNEL
    +p2290
    +tp2291
    +a(g826
    +g968
    +tp2292
    +a(g826
    +V\u000a
    +p2293
    +tp2294
    +a(g826
    +g964
    +tp2295
    +a(g826
    +g964
    +tp2296
    +a(g826
    +g964
    +tp2297
    +a(g826
    +g964
    +tp2298
    +a(g681
    +Vsay
    +p2299
    +tp2300
    +a(g826
    +g964
    +tp2301
    +a(g469
    +V$*OUT
    +p2302
    +tp2303
    +a(g826
    +g968
    +tp2304
    +a(g826
    +V\u000a
    +p2305
    +tp2306
    +a(g826
    +g964
    +tp2307
    +a(g826
    +g964
    +tp2308
    +a(g826
    +g964
    +tp2309
    +a(g826
    +g964
    +tp2310
    +a(g681
    +Vsay
    +p2311
    +tp2312
    +a(g826
    +g964
    +tp2313
    +a(g440
    +V$?PACKAGE
    +p2314
    +tp2315
    +a(g826
    +g968
    +tp2316
    +a(g826
    +V\u000a
    +p2317
    +tp2318
    +a(g826
    +g964
    +tp2319
    +a(g826
    +g964
    +tp2320
    +a(g826
    +g964
    +tp2321
    +a(g826
    +g964
    +tp2322
    +a(g681
    +Vsay
    +p2323
    +tp2324
    +a(g826
    +g964
    +tp2325
    +a(g440
    +V$?PERL
    +p2326
    +tp2327
    +a(g826
    +g968
    +tp2328
    +a(g826
    +V\u000a
    +p2329
    +tp2330
    +a(g826
    +g964
    +tp2331
    +a(g826
    +g964
    +tp2332
    +a(g826
    +g964
    +tp2333
    +a(g826
    +g964
    +tp2334
    +a(g681
    +Vsay
    +p2335
    +tp2336
    +a(g826
    +g964
    +tp2337
    +a(g469
    +V$*PERL
    +p2338
    +tp2339
    +a(g826
    +g968
    +tp2340
    +a(g826
    +V\u000a
    +p2341
    +tp2342
    +a(g826
    +g964
    +tp2343
    +a(g826
    +g964
    +tp2344
    +a(g826
    +g964
    +tp2345
    +a(g826
    +g964
    +tp2346
    +a(g681
    +Vsay
    +p2347
    +tp2348
    +a(g826
    +g964
    +tp2349
    +a(g469
    +V$*PID
    +p2350
    +tp2351
    +a(g826
    +g968
    +tp2352
    +a(g826
    +V\u000a
    +p2353
    +tp2354
    +a(g826
    +g964
    +tp2355
    +a(g826
    +g964
    +tp2356
    +a(g826
    +g964
    +tp2357
    +a(g826
    +g964
    +tp2358
    +a(g681
    +Vsay
    +p2359
    +tp2360
    +a(g826
    +g964
    +tp2361
    +a(g440
    +V%=pod
    +p2362
    +tp2363
    +a(g826
    +g968
    +tp2364
    +a(g826
    +V\u000a
    +p2365
    +tp2366
    +a(g826
    +g964
    +tp2367
    +a(g826
    +g964
    +tp2368
    +a(g826
    +g964
    +tp2369
    +a(g826
    +g964
    +tp2370
    +a(g681
    +Vsay
    +p2371
    +tp2372
    +a(g826
    +g964
    +tp2373
    +a(g469
    +V$*PROGRAM_NAME
    +p2374
    +tp2375
    +a(g826
    +g968
    +tp2376
    +a(g826
    +V\u000a
    +p2377
    +tp2378
    +a(g826
    +g964
    +tp2379
    +a(g826
    +g964
    +tp2380
    +a(g826
    +g964
    +tp2381
    +a(g826
    +g964
    +tp2382
    +a(g681
    +Vsay
    +p2383
    +tp2384
    +a(g826
    +g964
    +tp2385
    +a(g469
    +V%*PROTOCOLS
    +p2386
    +tp2387
    +a(g826
    +g968
    +tp2388
    +a(g826
    +V\u000a
    +p2389
    +tp2390
    +a(g826
    +g964
    +tp2391
    +a(g826
    +g964
    +tp2392
    +a(g826
    +g964
    +tp2393
    +a(g826
    +g964
    +tp2394
    +a(g681
    +Vsay
    +p2395
    +tp2396
    +a(g826
    +g964
    +tp2397
    +a(g469
    +V::?ROLE
    +p2398
    +tp2399
    +a(g826
    +g968
    +tp2400
    +a(g826
    +V\u000a
    +p2401
    +tp2402
    +a(g826
    +g964
    +tp2403
    +a(g826
    +g964
    +tp2404
    +a(g826
    +g964
    +tp2405
    +a(g826
    +g964
    +tp2406
    +a(g681
    +Vsay
    +p2407
    +tp2408
    +a(g826
    +g964
    +tp2409
    +a(g440
    +V$?ROLE
    +p2410
    +tp2411
    +a(g826
    +g968
    +tp2412
    +a(g826
    +V\u000a
    +p2413
    +tp2414
    +a(g826
    +g964
    +tp2415
    +a(g826
    +g964
    +tp2416
    +a(g826
    +g964
    +tp2417
    +a(g826
    +g964
    +tp2418
    +a(g681
    +Vsay
    +p2419
    +tp2420
    +a(g826
    +g964
    +tp2421
    +a(g440
    +V&?ROUTINE
    +p2422
    +tp2423
    +a(g826
    +g968
    +tp2424
    +a(g826
    +V\u000a
    +p2425
    +tp2426
    +a(g826
    +g964
    +tp2427
    +a(g826
    +g964
    +tp2428
    +a(g826
    +g964
    +tp2429
    +a(g826
    +g964
    +tp2430
    +a(g681
    +Vsay
    +p2431
    +tp2432
    +a(g826
    +g964
    +tp2433
    +a(g440
    +V$?SCOPE
    +p2434
    +tp2435
    +a(g826
    +g968
    +tp2436
    +a(g826
    +V\u000a
    +p2437
    +tp2438
    +a(g826
    +g964
    +tp2439
    +a(g826
    +g964
    +tp2440
    +a(g826
    +g964
    +tp2441
    +a(g826
    +g964
    +tp2442
    +a(g681
    +Vsay
    +p2443
    +tp2444
    +a(g826
    +g964
    +tp2445
    +a(g469
    +V$*TZ
    +p2446
    +tp2447
    +a(g826
    +g968
    +tp2448
    +a(g826
    +V\u000a
    +p2449
    +tp2450
    +a(g826
    +g964
    +tp2451
    +a(g826
    +g964
    +tp2452
    +a(g826
    +g964
    +tp2453
    +a(g826
    +g964
    +tp2454
    +a(g681
    +Vsay
    +p2455
    +tp2456
    +a(g826
    +g964
    +tp2457
    +a(g469
    +V$*UID
    +p2458
    +tp2459
    +a(g826
    +g968
    +tp2460
    +a(g826
    +V\u000a
    +p2461
    +tp2462
    +a(g826
    +g964
    +tp2463
    +a(g826
    +g964
    +tp2464
    +a(g826
    +g964
    +tp2465
    +a(g826
    +g964
    +tp2466
    +a(g681
    +Vsay
    +p2467
    +tp2468
    +a(g826
    +g964
    +tp2469
    +a(g440
    +V$?USAGE
    +p2470
    +tp2471
    +a(g826
    +g968
    +tp2472
    +a(g826
    +V\u000a
    +p2473
    +tp2474
    +a(g826
    +g964
    +tp2475
    +a(g826
    +g964
    +tp2476
    +a(g826
    +g964
    +tp2477
    +a(g826
    +g964
    +tp2478
    +a(g681
    +Vsay
    +p2479
    +tp2480
    +a(g826
    +g964
    +tp2481
    +a(g440
    +V$?VM
    +p2482
    +tp2483
    +a(g826
    +g968
    +tp2484
    +a(g826
    +V\u000a
    +p2485
    +tp2486
    +a(g826
    +g964
    +tp2487
    +a(g826
    +g964
    +tp2488
    +a(g826
    +g964
    +tp2489
    +a(g826
    +g964
    +tp2490
    +a(g681
    +Vsay
    +p2491
    +tp2492
    +a(g826
    +g964
    +tp2493
    +a(g440
    +V$?XVM
    +p2494
    +tp2495
    +a(g826
    +g968
    +tp2496
    +a(g826
    +V\u000a
    +p2497
    +tp2498
    +a(g826
    +g1018
    +tp2499
    +a(g826
    +V\u000a
    +p2500
    +tp2501
    +a(g826
    +V\u000a
    +p2502
    +tp2503
    +a(g681
    +Vsay
    +p2504
    +tp2505
    +a(g826
    +g964
    +tp2506
    +a(g89
    +V
    +p2507
    +tp2508
    +a(g826
    +g968
    +tp2509
    +a(g826
    +V\u000a
    +p2510
    +tp2511
    +a(g826
    +V\u000a
    +p2512
    +tp2513
    +a(g744
    +Vmy
    +p2514
    +tp2515
    +a(g826
    +g964
    +tp2516
    +a(g440
    +V$perl5_re
    +p2517
    +tp2518
    +a(g826
    +g964
    +tp2519
    +a(g826
    +g980
    +tp2520
    +a(g826
    +g964
    +tp2521
    +a(g197
    +Vm:P5/ fo{2} /
    +p2522
    +tp2523
    +a(g826
    +g968
    +tp2524
    +a(g826
    +V\u000a
    +p2525
    +tp2526
    +a(g744
    +Vmy
    +p2527
    +tp2528
    +a(g826
    +g964
    +tp2529
    +a(g440
    +V$re5
    +p2530
    +tp2531
    +a(g826
    +g964
    +tp2532
    +a(g826
    +g964
    +tp2533
    +a(g826
    +g964
    +tp2534
    +a(g826
    +g964
    +tp2535
    +a(g826
    +g964
    +tp2536
    +a(g826
    +g964
    +tp2537
    +a(g826
    +g980
    +tp2538
    +a(g826
    +g964
    +tp2539
    +a(g197
    +Vrx«something | something-else»
    +p2540
    +tp2541
    +a(g826
    +g968
    +tp2542
    +a(g826
    +V\u000a
    +p2543
    +tp2544
    +a(g826
    +V\u000a
    +p2545
    +tp2546
    +a(g744
    +Vmy
    +p2547
    +tp2548
    +a(g826
    +g964
    +tp2549
    +a(g440
    +V$M
    +p2550
    +tp2551
    +a(g826
    +g964
    +tp2552
    +a(g826
    +g1261
    +tp2553
    +a(g826
    +g980
    +tp2554
    +a(g826
    +g964
    +tp2555
    +a(g469
    +V%*COMPILING<%?OPTIONS>
    +p2556
    +tp2557
    +a(g826
    +g968
    +tp2558
    +a(g826
    +V\u000a
    +p2559
    +tp2560
    +a(g826
    +V\u000a
    +p2561
    +tp2562
    +a(g681
    +Vsay
    +p2563
    +tp2564
    +a(g826
    +g964
    +tp2565
    +a(g440
    +V$M
    +p2566
    +tp2567
    +a(g826
    +g968
    +tp2568
    +a(g826
    +V\u000a
    +p2569
    +tp2570
    +a(g826
    +V\u000a
    +p2571
    +tp2572
    +a(g744
    +Vsub
    +p2573
    +tp2574
    +a(g826
    +g964
    +tp2575
    +a(g435
    +Vregex-name
    +p2576
    +tp2577
    +a(g826
    +g964
    +tp2578
    +a(g826
    +g1002
    +tp2579
    +a(g826
    +g964
    +tp2580
    +a(g826
    +g1267
    +tp2581
    +a(g826
    +g1267
    +tp2582
    +a(g826
    +g1267
    +tp2583
    +a(g826
    +g964
    +tp2584
    +a(g826
    +g1018
    +tp2585
    +a(g826
    +V\u000a
    +p2586
    +tp2587
    +a(g744
    +Vmy
    +p2588
    +tp2589
    +a(g826
    +g964
    +tp2590
    +a(g440
    +V$pair
    +p2591
    +tp2592
    +a(g826
    +g964
    +tp2593
    +a(g826
    +g980
    +tp2594
    +a(g826
    +g964
    +tp2595
    +a(g435
    +Vrole-name
    +p2596
    +tp2597
    +a(g826
    +g964
    +tp2598
    +a(g826
    +g980
    +tp2599
    +a(g826
    +g1518
    +tp2600
    +a(g826
    +g964
    +tp2601
    +a(g89
    +V'foo'
    +p2602
    +tp2603
    +a(g826
    +g968
    +tp2604
    +a(g826
    +V\u000a
    +p2605
    +tp2606
    +a(g440
    +V$pair
    +p2607
    +tp2608
    +a(g826
    +g964
    +tp2609
    +a(g826
    +g980
    +tp2610
    +a(g826
    +g964
    +tp2611
    +a(g435
    +Vrolesque
    +p2612
    +tp2613
    +a(g826
    +g964
    +tp2614
    +a(g826
    +g980
    +tp2615
    +a(g826
    +g1518
    +tp2616
    +a(g826
    +g964
    +tp2617
    +a(g89
    +V'foo'
    +p2618
    +tp2619
    +a(g826
    +g968
    +tp2620
    +a(g826
    +V\u000a
    +p2621
    +tp2622
    +a(g826
    +V\u000a
    +p2623
    +tp2624
    +a(g744
    +Vmy
    +p2625
    +tp2626
    +a(g826
    +g964
    +tp2627
    +a(g744
    +Vsub
    +p2628
    +tp2629
    +a(g826
    +g964
    +tp2630
    +a(g435
    +Vsomething
    +p2631
    +tp2632
    +a(g826
    +g1282
    +tp2633
    +a(g681
    +VStr:D
    +p2634
    +tp2635
    +a(g826
    +g964
    +tp2636
    +a(g440
    +V$value
    +p2637
    +tp2638
    +a(g826
    +g1286
    +tp2639
    +a(g826
    +g964
    +tp2640
    +a(g826
    +g1002
    +tp2641
    +a(g826
    +g964
    +tp2642
    +a(g826
    +g1267
    +tp2643
    +a(g826
    +g1267
    +tp2644
    +a(g826
    +g1267
    +tp2645
    +a(g826
    +g964
    +tp2646
    +a(g826
    +g1018
    +tp2647
    +a(g826
    +V\u000a
    +p2648
    +tp2649
    +a(g826
    +V\u000a
    +p2650
    +tp2651
    +a(g744
    +Vmy
    +p2652
    +tp2653
    +a(g826
    +g964
    +tp2654
    +a(g440
    +V$s
    +p2655
    +tp2656
    +a(g826
    +g964
    +tp2657
    +a(g826
    +g980
    +tp2658
    +a(g826
    +g964
    +tp2659
    +a(g89
    +Vq«<\u000asome\u000astring\u000astuff\u000a»
    +p2660
    +tp2661
    +a(g826
    +g968
    +tp2662
    +a(g826
    +V\u000a
    +p2663
    +tp2664
    +a(g826
    +V\u000a
    +p2665
    +tp2666
    +a(g744
    +Vmy
    +p2667
    +tp2668
    +a(g826
    +g964
    +tp2669
    +a(g440
    +V$regex
    +p2670
    +tp2671
    +a(g826
    +g964
    +tp2672
    +a(g826
    +g980
    +tp2673
    +a(g826
    +g964
    +tp2674
    +a(g197
    +Vm«< some chars »
    +p2675
    +tp2676
    +a(g826
    +g968
    +tp2677
    +a(g826
    +V\u000a
    +p2678
    +tp2679
    +a(g8
    +V# after
    +p2680
    +tp2681
    +a(g826
    +V\u000a
    +p2682
    +tp2683
    +a(g826
    +V\u000a
    +p2684
    +tp2685
    +a(g681
    +Vsay
    +p2686
    +tp2687
    +a(g826
    +g964
    +tp2688
    +a(g469
    +V$/
    +p2689
    +tp2690
    +a(g826
    +g968
    +tp2691
    +a(g826
    +V\u000a
    +p2692
    +tp2693
    +a(g826
    +V\u000a
    +p2694
    +tp2695
    +a(g435
    +Vroleq
    +p2696
    +tp2697
    +a(g826
    +g968
    +tp2698
    +a(g826
    +V\u000a
    +p2699
    +tp2700
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.pan b/tests/examplefiles/output/test.pan
    new file mode 100644
    index 0000000..8e036b9
    --- /dev/null
    +++ b/tests/examplefiles/output/test.pan
    @@ -0,0 +1,3265 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Keyword'
    +p4
    +tp5
    +tp6
    +Rp7
    +(dp8
    +S'parent'
    +p9
    +g1
    +(g2
    +g3
    +(ttp10
    +Rp11
    +(dp12
    +S'Number'
    +p13
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p14
    +g13
    +tp15
    +tp16
    +Rp17
    +(dp18
    +S'Integer'
    +p19
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +tp20
    +tp21
    +Rp22
    +(dp23
    +g9
    +g17
    +sS'Long'
    +p24
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +g24
    +tp25
    +tp26
    +Rp27
    +(dp28
    +g9
    +g22
    +sS'subtypes'
    +p29
    +c__builtin__
    +set
    +p30
    +((lp31
    +tp32
    +Rp33
    +sbsg29
    +g30
    +((lp34
    +g27
    +atp35
    +Rp36
    +sbsg9
    +g1
    +(g2
    +g3
    +(g14
    +tp37
    +tp38
    +Rp39
    +(dp40
    +S'Scalar'
    +p41
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +tp42
    +tp43
    +Rp44
    +(dp45
    +g9
    +g39
    +sg29
    +g30
    +((lp46
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +S'Plain'
    +p47
    +tp48
    +tp49
    +Rp50
    +(dp51
    +g9
    +g44
    +sg29
    +g30
    +((lp52
    +tp53
    +Rp54
    +sbatp55
    +Rp56
    +sg47
    +g50
    +sbsg13
    +g17
    +sg9
    +g11
    +sS'Other'
    +p57
    +g1
    +(g2
    +g3
    +(g14
    +g57
    +tp58
    +tp59
    +Rp60
    +(dp61
    +g9
    +g39
    +sg29
    +g30
    +((lp62
    +tp63
    +Rp64
    +sbsS'Char'
    +p65
    +g1
    +(g2
    +g3
    +(g14
    +g65
    +tp66
    +tp67
    +Rp68
    +(dp69
    +g9
    +g39
    +sg29
    +g30
    +((lp70
    +tp71
    +Rp72
    +sbsS'String'
    +p73
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +tp74
    +tp75
    +Rp76
    +(dp77
    +g65
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g65
    +tp78
    +tp79
    +Rp80
    +(dp81
    +g9
    +g76
    +sg29
    +g30
    +((lp82
    +tp83
    +Rp84
    +sbsS'Backtick'
    +p85
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g85
    +tp86
    +tp87
    +Rp88
    +(dp89
    +g9
    +g76
    +sg29
    +g30
    +((lp90
    +tp91
    +Rp92
    +sbsS'Heredoc'
    +p93
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g93
    +tp94
    +tp95
    +Rp96
    +(dp97
    +g9
    +g76
    +sg29
    +g30
    +((lp98
    +tp99
    +Rp100
    +sbsS'Symbol'
    +p101
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g101
    +tp102
    +tp103
    +Rp104
    +(dp105
    +g9
    +g76
    +sg29
    +g30
    +((lp106
    +tp107
    +Rp108
    +sbsS'Interpol'
    +p109
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g109
    +tp110
    +tp111
    +Rp112
    +(dp113
    +g9
    +g76
    +sg29
    +g30
    +((lp114
    +tp115
    +Rp116
    +sbsS'Delimiter'
    +p117
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g117
    +tp118
    +tp119
    +Rp120
    +(dp121
    +g9
    +g76
    +sg29
    +g30
    +((lp122
    +tp123
    +Rp124
    +sbsS'Boolean'
    +p125
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g125
    +tp126
    +tp127
    +Rp128
    +(dp129
    +g9
    +g76
    +sg29
    +g30
    +((lp130
    +tp131
    +Rp132
    +sbsS'Character'
    +p133
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g133
    +tp134
    +tp135
    +Rp136
    +(dp137
    +g9
    +g76
    +sg29
    +g30
    +((lp138
    +tp139
    +Rp140
    +sbsS'Double'
    +p141
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g141
    +tp142
    +tp143
    +Rp144
    +(dp145
    +g9
    +g76
    +sg29
    +g30
    +((lp146
    +tp147
    +Rp148
    +sbsS'Delimeter'
    +p149
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g149
    +tp150
    +tp151
    +Rp152
    +(dp153
    +g9
    +g76
    +sg29
    +g30
    +((lp154
    +tp155
    +Rp156
    +sbsS'Atom'
    +p157
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g157
    +tp158
    +tp159
    +Rp160
    +(dp161
    +g9
    +g76
    +sg29
    +g30
    +((lp162
    +tp163
    +Rp164
    +sbsS'Affix'
    +p165
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g165
    +tp166
    +tp167
    +Rp168
    +(dp169
    +g9
    +g76
    +sg29
    +g30
    +((lp170
    +tp171
    +Rp172
    +sbsS'Name'
    +p173
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g173
    +tp174
    +tp175
    +Rp176
    +(dp177
    +g9
    +g76
    +sg29
    +g30
    +((lp178
    +tp179
    +Rp180
    +sbsS'Regex'
    +p181
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g181
    +tp182
    +tp183
    +Rp184
    +(dp185
    +g9
    +g76
    +sg29
    +g30
    +((lp186
    +tp187
    +Rp188
    +sbsS'Interp'
    +p189
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g189
    +tp190
    +tp191
    +Rp192
    +(dp193
    +g9
    +g76
    +sg29
    +g30
    +((lp194
    +tp195
    +Rp196
    +sbsS'Escape'
    +p197
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g197
    +tp198
    +tp199
    +Rp200
    +(dp201
    +g9
    +g76
    +sg29
    +g30
    +((lp202
    +tp203
    +Rp204
    +sbsg29
    +g30
    +((lp205
    +g120
    +ag104
    +ag184
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Doc'
    +p206
    +tp207
    +tp208
    +Rp209
    +(dp210
    +g9
    +g76
    +sg29
    +g30
    +((lp211
    +tp212
    +Rp213
    +sbag136
    +ag128
    +ag144
    +ag112
    +ag160
    +ag152
    +ag176
    +ag200
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Single'
    +p214
    +tp215
    +tp216
    +Rp217
    +(dp218
    +g9
    +g76
    +sg29
    +g30
    +((lp219
    +tp220
    +Rp221
    +sbag1
    +(g2
    +g3
    +(g14
    +g73
    +g57
    +tp222
    +tp223
    +Rp224
    +(dp225
    +g9
    +g76
    +sg29
    +g30
    +((lp226
    +tp227
    +Rp228
    +sbag192
    +ag88
    +ag168
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Moment'
    +p229
    +tp230
    +tp231
    +Rp232
    +(dp233
    +g9
    +g76
    +sg29
    +g30
    +((lp234
    +tp235
    +Rp236
    +sbag80
    +ag96
    +atp237
    +Rp238
    +sg214
    +g217
    +sg229
    +g232
    +sg9
    +g39
    +sg57
    +g224
    +sg206
    +g209
    +sbsg29
    +g30
    +((lp239
    +g76
    +ag68
    +ag60
    +ag1
    +(g2
    +g3
    +(g14
    +S'Date'
    +p240
    +tp241
    +tp242
    +Rp243
    +(dp244
    +g9
    +g39
    +sg29
    +g30
    +((lp245
    +tp246
    +Rp247
    +sbag44
    +ag17
    +atp248
    +Rp249
    +sg240
    +g243
    +sbsS'Bin'
    +p250
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g250
    +tp251
    +tp252
    +Rp253
    +(dp254
    +g9
    +g17
    +sg29
    +g30
    +((lp255
    +tp256
    +Rp257
    +sbsS'Radix'
    +p258
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g258
    +tp259
    +tp260
    +Rp261
    +(dp262
    +g9
    +g17
    +sg29
    +g30
    +((lp263
    +tp264
    +Rp265
    +sbsS'Oct'
    +p266
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g266
    +tp267
    +tp268
    +Rp269
    +(dp270
    +g9
    +g17
    +sg29
    +g30
    +((lp271
    +tp272
    +Rp273
    +sbsS'Dec'
    +p274
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g274
    +tp275
    +tp276
    +Rp277
    +(dp278
    +g9
    +g17
    +sg29
    +g30
    +((lp279
    +tp280
    +Rp281
    +sbsS'Hex'
    +p282
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g282
    +tp283
    +tp284
    +Rp285
    +(dp286
    +g9
    +g17
    +sg29
    +g30
    +((lp287
    +tp288
    +Rp289
    +sbsg29
    +g30
    +((lp290
    +g22
    +ag261
    +ag277
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Decimal'
    +p291
    +tp292
    +tp293
    +Rp294
    +(dp295
    +g9
    +g17
    +sg29
    +g30
    +((lp296
    +tp297
    +Rp298
    +sbag253
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Float'
    +p299
    +tp300
    +tp301
    +Rp302
    +(dp303
    +g9
    +g17
    +sg29
    +g30
    +((lp304
    +tp305
    +Rp306
    +sbag269
    +ag285
    +atp307
    +Rp308
    +sg291
    +g294
    +sg299
    +g302
    +sbsS'Generic'
    +p309
    +g1
    +(g2
    +g3
    +(g309
    +tp310
    +tp311
    +Rp312
    +(dp313
    +g9
    +g11
    +sS'Deleted'
    +p314
    +g1
    +(g2
    +g3
    +(g309
    +g314
    +tp315
    +tp316
    +Rp317
    +(dp318
    +g9
    +g312
    +sg29
    +g30
    +((lp319
    +tp320
    +Rp321
    +sbsS'Subheading'
    +p322
    +g1
    +(g2
    +g3
    +(g309
    +g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g9
    +g312
    +sg29
    +g30
    +((lp327
    +tp328
    +Rp329
    +sbsS'Heading'
    +p330
    +g1
    +(g2
    +g3
    +(g309
    +g330
    +tp331
    +tp332
    +Rp333
    +(dp334
    +g9
    +g312
    +sg29
    +g30
    +((lp335
    +tp336
    +Rp337
    +sbsS'Emph'
    +p338
    +g1
    +(g2
    +g3
    +(g309
    +g338
    +tp339
    +tp340
    +Rp341
    +(dp342
    +g9
    +g312
    +sg29
    +g30
    +((lp343
    +tp344
    +Rp345
    +sbsS'Prompt'
    +p346
    +g1
    +(g2
    +g3
    +(g309
    +g346
    +tp347
    +tp348
    +Rp349
    +(dp350
    +g9
    +g312
    +sg29
    +g30
    +((lp351
    +tp352
    +Rp353
    +sbsS'Inserted'
    +p354
    +g1
    +(g2
    +g3
    +(g309
    +g354
    +tp355
    +tp356
    +Rp357
    +(dp358
    +g9
    +g312
    +sg29
    +g30
    +((lp359
    +tp360
    +Rp361
    +sbsS'Strong'
    +p362
    +g1
    +(g2
    +g3
    +(g309
    +g362
    +tp363
    +tp364
    +Rp365
    +(dp366
    +g9
    +g312
    +sg29
    +g30
    +((lp367
    +tp368
    +Rp369
    +sbsS'Error'
    +p370
    +g1
    +(g2
    +g3
    +(g309
    +g370
    +tp371
    +tp372
    +Rp373
    +(dp374
    +g9
    +g312
    +sg29
    +g30
    +((lp375
    +tp376
    +Rp377
    +sbsS'Traceback'
    +p378
    +g1
    +(g2
    +g3
    +(g309
    +g378
    +tp379
    +tp380
    +Rp381
    +(dp382
    +g9
    +g312
    +sg29
    +g30
    +((lp383
    +tp384
    +Rp385
    +sbsg29
    +g30
    +((lp386
    +g333
    +ag325
    +ag1
    +(g2
    +g3
    +(g309
    +S'Output'
    +p387
    +tp388
    +tp389
    +Rp390
    +(dp391
    +g9
    +g312
    +sg29
    +g30
    +((lp392
    +tp393
    +Rp394
    +sbag365
    +ag341
    +ag373
    +ag381
    +ag357
    +ag349
    +ag317
    +atp395
    +Rp396
    +sg387
    +g390
    +sbsS'Operator'
    +p397
    +g1
    +(g2
    +g3
    +(g397
    +tp398
    +tp399
    +Rp400
    +(dp401
    +g9
    +g11
    +sS'DBS'
    +p402
    +g1
    +(g2
    +g3
    +(g397
    +g402
    +tp403
    +tp404
    +Rp405
    +(dp406
    +g9
    +g400
    +sg29
    +g30
    +((lp407
    +tp408
    +Rp409
    +sbsg29
    +g30
    +((lp410
    +g405
    +ag1
    +(g2
    +g3
    +(g397
    +S'Word'
    +p411
    +tp412
    +tp413
    +Rp414
    +(dp415
    +g9
    +g400
    +sg29
    +g30
    +((lp416
    +tp417
    +Rp418
    +sbatp419
    +Rp420
    +sg411
    +g414
    +sbsg73
    +g76
    +sg173
    +g1
    +(g2
    +g3
    +(g173
    +tp421
    +tp422
    +Rp423
    +(dp424
    +S'Variable'
    +p425
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +tp426
    +tp427
    +Rp428
    +(dp429
    +g9
    +g423
    +sS'Class'
    +p430
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g430
    +tp431
    +tp432
    +Rp433
    +(dp434
    +g9
    +g428
    +sg29
    +g30
    +((lp435
    +tp436
    +Rp437
    +sbsS'Anonymous'
    +p438
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g9
    +g428
    +sg29
    +g30
    +((lp443
    +tp444
    +Rp445
    +sbsS'Instance'
    +p446
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g446
    +tp447
    +tp448
    +Rp449
    +(dp450
    +g9
    +g428
    +sg29
    +g30
    +((lp451
    +tp452
    +Rp453
    +sbsS'Global'
    +p454
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g454
    +tp455
    +tp456
    +Rp457
    +(dp458
    +g9
    +g428
    +sg29
    +g30
    +((lp459
    +tp460
    +Rp461
    +sbsg29
    +g30
    +((lp462
    +g441
    +ag449
    +ag1
    +(g2
    +g3
    +(g173
    +g425
    +S'Magic'
    +p463
    +tp464
    +tp465
    +Rp466
    +(dp467
    +g9
    +g428
    +sg29
    +g30
    +((lp468
    +tp469
    +Rp470
    +sbag457
    +ag433
    +atp471
    +Rp472
    +sg463
    +g466
    +sbsg397
    +g1
    +(g2
    +g3
    +(g173
    +g397
    +tp473
    +tp474
    +Rp475
    +(dp476
    +g9
    +g423
    +sg29
    +g30
    +((lp477
    +tp478
    +Rp479
    +sbsS'Decorator'
    +p480
    +g1
    +(g2
    +g3
    +(g173
    +g480
    +tp481
    +tp482
    +Rp483
    +(dp484
    +g9
    +g423
    +sg29
    +g30
    +((lp485
    +tp486
    +Rp487
    +sbsS'Entity'
    +p488
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +tp489
    +tp490
    +Rp491
    +(dp492
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +g402
    +tp493
    +tp494
    +Rp495
    +(dp496
    +g9
    +g491
    +sg29
    +g30
    +((lp497
    +tp498
    +Rp499
    +sbsg29
    +g30
    +((lp500
    +g495
    +atp501
    +Rp502
    +sbsg101
    +g1
    +(g2
    +g3
    +(g173
    +g101
    +tp503
    +tp504
    +Rp505
    +(dp506
    +g9
    +g423
    +sg29
    +g30
    +((lp507
    +tp508
    +Rp509
    +sbsS'Property'
    +p510
    +g1
    +(g2
    +g3
    +(g173
    +g510
    +tp511
    +tp512
    +Rp513
    +(dp514
    +g9
    +g423
    +sg29
    +g30
    +((lp515
    +tp516
    +Rp517
    +sbsS'Pseudo'
    +p518
    +g1
    +(g2
    +g3
    +(g173
    +g518
    +tp519
    +tp520
    +Rp521
    +(dp522
    +g9
    +g423
    +sg29
    +g30
    +((lp523
    +tp524
    +Rp525
    +sbsS'Type'
    +p526
    +g1
    +(g2
    +g3
    +(g173
    +g526
    +tp527
    +tp528
    +Rp529
    +(dp530
    +g9
    +g423
    +sg29
    +g30
    +((lp531
    +tp532
    +Rp533
    +sbsS'Classes'
    +p534
    +g1
    +(g2
    +g3
    +(g173
    +g534
    +tp535
    +tp536
    +Rp537
    +(dp538
    +g9
    +g423
    +sg29
    +g30
    +((lp539
    +tp540
    +Rp541
    +sbsS'Tag'
    +p542
    +g1
    +(g2
    +g3
    +(g173
    +g542
    +tp543
    +tp544
    +Rp545
    +(dp546
    +g9
    +g423
    +sg29
    +g30
    +((lp547
    +tp548
    +Rp549
    +sbsS'Constant'
    +p550
    +g1
    +(g2
    +g3
    +(g173
    +g550
    +tp551
    +tp552
    +Rp553
    +(dp554
    +g9
    +g423
    +sg29
    +g30
    +((lp555
    +tp556
    +Rp557
    +sbsS'Function'
    +p558
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +tp559
    +tp560
    +Rp561
    +(dp562
    +g9
    +g423
    +sg29
    +g30
    +((lp563
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +g463
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g9
    +g561
    +sg29
    +g30
    +((lp568
    +tp569
    +Rp570
    +sbatp571
    +Rp572
    +sg463
    +g566
    +sbsS'Blubb'
    +p573
    +g1
    +(g2
    +g3
    +(g173
    +g573
    +tp574
    +tp575
    +Rp576
    +(dp577
    +g9
    +g423
    +sg29
    +g30
    +((lp578
    +tp579
    +Rp580
    +sbsS'Label'
    +p581
    +g1
    +(g2
    +g3
    +(g173
    +g581
    +tp582
    +tp583
    +Rp584
    +(dp585
    +g9
    +g423
    +sg29
    +g30
    +((lp586
    +tp587
    +Rp588
    +sbsS'Field'
    +p589
    +g1
    +(g2
    +g3
    +(g173
    +g589
    +tp590
    +tp591
    +Rp592
    +(dp593
    +g9
    +g423
    +sg29
    +g30
    +((lp594
    +tp595
    +Rp596
    +sbsS'Exception'
    +p597
    +g1
    +(g2
    +g3
    +(g173
    +g597
    +tp598
    +tp599
    +Rp600
    +(dp601
    +g9
    +g423
    +sg29
    +g30
    +((lp602
    +tp603
    +Rp604
    +sbsS'Namespace'
    +p605
    +g1
    +(g2
    +g3
    +(g173
    +g605
    +tp606
    +tp607
    +Rp608
    +(dp609
    +g9
    +g423
    +sg29
    +g30
    +((lp610
    +tp611
    +Rp612
    +sbsg29
    +g30
    +((lp613
    +g483
    +ag576
    +ag521
    +ag491
    +ag428
    +ag600
    +ag513
    +ag545
    +ag561
    +ag537
    +ag1
    +(g2
    +g3
    +(g173
    +g430
    +tp614
    +tp615
    +Rp616
    +(dp617
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +g402
    +tp618
    +tp619
    +Rp620
    +(dp621
    +g9
    +g616
    +sg29
    +g30
    +((lp622
    +tp623
    +Rp624
    +sbsg29
    +g30
    +((lp625
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +S'Start'
    +p626
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g9
    +g616
    +sg29
    +g30
    +((lp631
    +tp632
    +Rp633
    +sbag620
    +atp634
    +Rp635
    +sg626
    +g629
    +sbag1
    +(g2
    +g3
    +(g173
    +g57
    +tp636
    +tp637
    +Rp638
    +(dp639
    +g9
    +g423
    +sS'Member'
    +p640
    +g1
    +(g2
    +g3
    +(g173
    +g57
    +g640
    +tp641
    +tp642
    +Rp643
    +(dp644
    +g9
    +g638
    +sg29
    +g30
    +((lp645
    +tp646
    +Rp647
    +sbsg29
    +g30
    +((lp648
    +g643
    +atp649
    +Rp650
    +sbag584
    +ag475
    +ag608
    +ag1
    +(g2
    +g3
    +(g173
    +S'Attribute'
    +p651
    +tp652
    +tp653
    +Rp654
    +(dp655
    +g9
    +g423
    +sg425
    +g1
    +(g2
    +g3
    +(g173
    +g651
    +g425
    +tp656
    +tp657
    +Rp658
    +(dp659
    +g9
    +g654
    +sg29
    +g30
    +((lp660
    +tp661
    +Rp662
    +sbsg29
    +g30
    +((lp663
    +g658
    +atp664
    +Rp665
    +sbag553
    +ag1
    +(g2
    +g3
    +(g173
    +S'Builtin'
    +p666
    +tp667
    +tp668
    +Rp669
    +(dp670
    +g9
    +g423
    +sg526
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g526
    +tp671
    +tp672
    +Rp673
    +(dp674
    +g9
    +g669
    +sg29
    +g30
    +((lp675
    +tp676
    +Rp677
    +sbsg29
    +g30
    +((lp678
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g518
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g9
    +g669
    +sg29
    +g30
    +((lp683
    +tp684
    +Rp685
    +sbag673
    +atp686
    +Rp687
    +sg518
    +g681
    +sbag592
    +ag529
    +ag505
    +atp688
    +Rp689
    +sg9
    +g11
    +sg430
    +g616
    +sg666
    +g669
    +sg651
    +g654
    +sg57
    +g638
    +sbsS'Punctuation'
    +p690
    +g1
    +(g2
    +g3
    +(g690
    +tp691
    +tp692
    +Rp693
    +(dp694
    +g9
    +g11
    +sg29
    +g30
    +((lp695
    +g1
    +(g2
    +g3
    +(g690
    +S'Indicator'
    +p696
    +tp697
    +tp698
    +Rp699
    +(dp700
    +g9
    +g693
    +sg29
    +g30
    +((lp701
    +tp702
    +Rp703
    +sbatp704
    +Rp705
    +sg696
    +g699
    +sbsS'Comment'
    +p706
    +g1
    +(g2
    +g3
    +(g706
    +tp707
    +tp708
    +Rp709
    +(dp710
    +S'Multi'
    +p711
    +g1
    +(g2
    +g3
    +(g706
    +g711
    +tp712
    +tp713
    +Rp714
    +(dp715
    +g9
    +g709
    +sg29
    +g30
    +((lp716
    +tp717
    +Rp718
    +sbsg9
    +g11
    +sS'Special'
    +p719
    +g1
    +(g2
    +g3
    +(g706
    +g719
    +tp720
    +tp721
    +Rp722
    +(dp723
    +g9
    +g709
    +sg29
    +g30
    +((lp724
    +tp725
    +Rp726
    +sbsS'Hashbang'
    +p727
    +g1
    +(g2
    +g3
    +(g706
    +g727
    +tp728
    +tp729
    +Rp730
    +(dp731
    +g9
    +g709
    +sg29
    +g30
    +((lp732
    +tp733
    +Rp734
    +sbsS'Preproc'
    +p735
    +g1
    +(g2
    +g3
    +(g706
    +g735
    +tp736
    +tp737
    +Rp738
    +(dp739
    +g9
    +g709
    +sg29
    +g30
    +((lp740
    +tp741
    +Rp742
    +sbsg214
    +g1
    +(g2
    +g3
    +(g706
    +g214
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g9
    +g709
    +sg29
    +g30
    +((lp747
    +tp748
    +Rp749
    +sbsS'Directive'
    +p750
    +g1
    +(g2
    +g3
    +(g706
    +g750
    +tp751
    +tp752
    +Rp753
    +(dp754
    +g9
    +g709
    +sg29
    +g30
    +((lp755
    +tp756
    +Rp757
    +sbsg206
    +g1
    +(g2
    +g3
    +(g706
    +g206
    +tp758
    +tp759
    +Rp760
    +(dp761
    +g9
    +g709
    +sg29
    +g30
    +((lp762
    +tp763
    +Rp764
    +sbsS'Singleline'
    +p765
    +g1
    +(g2
    +g3
    +(g706
    +g765
    +tp766
    +tp767
    +Rp768
    +(dp769
    +g9
    +g709
    +sg29
    +g30
    +((lp770
    +tp771
    +Rp772
    +sbsS'Multiline'
    +p773
    +g1
    +(g2
    +g3
    +(g706
    +g773
    +tp774
    +tp775
    +Rp776
    +(dp777
    +g9
    +g709
    +sg29
    +g30
    +((lp778
    +tp779
    +Rp780
    +sbsg29
    +g30
    +((lp781
    +g760
    +ag753
    +ag730
    +ag714
    +ag768
    +ag738
    +ag776
    +ag745
    +ag1
    +(g2
    +g3
    +(g706
    +S'PreprocFile'
    +p782
    +tp783
    +tp784
    +Rp785
    +(dp786
    +g9
    +g709
    +sg29
    +g30
    +((lp787
    +tp788
    +Rp789
    +sbag1
    +(g2
    +g3
    +(g706
    +S'SingleLine'
    +p790
    +tp791
    +tp792
    +Rp793
    +(dp794
    +g9
    +g709
    +sg29
    +g30
    +((lp795
    +tp796
    +Rp797
    +sbag722
    +atp798
    +Rp799
    +sg782
    +g785
    +sg790
    +g793
    +sbsg14
    +g39
    +sg57
    +g1
    +(g2
    +g3
    +(g57
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g9
    +g11
    +sg29
    +g30
    +((lp804
    +tp805
    +Rp806
    +sbsg370
    +g1
    +(g2
    +g3
    +(g370
    +tp807
    +tp808
    +Rp809
    +(dp810
    +g9
    +g11
    +sg29
    +g30
    +((lp811
    +tp812
    +Rp813
    +sbsS'Token'
    +p814
    +g11
    +sg197
    +g1
    +(g2
    +g3
    +(g197
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g9
    +g11
    +sg29
    +g30
    +((lp819
    +tp820
    +Rp821
    +sbsg29
    +g30
    +((lp822
    +g423
    +ag802
    +ag7
    +ag312
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p823
    +tp824
    +tp825
    +Rp826
    +(dp827
    +S'Beer'
    +p828
    +g1
    +(g2
    +g3
    +(g823
    +g828
    +tp829
    +tp830
    +Rp831
    +(dp832
    +g9
    +g826
    +sg29
    +g30
    +((lp833
    +tp834
    +Rp835
    +sbsS'Whitespace'
    +p836
    +g1
    +(g2
    +g3
    +(g823
    +g836
    +tp837
    +tp838
    +Rp839
    +(dp840
    +g9
    +g826
    +sg29
    +g30
    +((lp841
    +tp842
    +Rp843
    +sbsg9
    +g11
    +sS'Root'
    +p844
    +g1
    +(g2
    +g3
    +(g823
    +g844
    +tp845
    +tp846
    +Rp847
    +(dp848
    +g9
    +g826
    +sg29
    +g30
    +((lp849
    +tp850
    +Rp851
    +sbsg101
    +g1
    +(g2
    +g3
    +(g823
    +g101
    +tp852
    +tp853
    +Rp854
    +(dp855
    +g9
    +g826
    +sg29
    +g30
    +((lp856
    +tp857
    +Rp858
    +sbsg690
    +g1
    +(g2
    +g3
    +(g823
    +g690
    +tp859
    +tp860
    +Rp861
    +(dp862
    +g9
    +g826
    +sg29
    +g30
    +((lp863
    +tp864
    +Rp865
    +sbsg29
    +g30
    +((lp866
    +g847
    +ag854
    +ag861
    +ag839
    +ag831
    +ag1
    +(g2
    +g3
    +(g823
    +S'Rag'
    +p867
    +tp868
    +tp869
    +Rp870
    +(dp871
    +g9
    +g826
    +sg29
    +g30
    +((lp872
    +tp873
    +Rp874
    +sbatp875
    +Rp876
    +sg867
    +g870
    +sbag400
    +ag817
    +ag693
    +ag709
    +ag809
    +ag39
    +atp877
    +Rp878
    +sg4
    +g7
    +sg823
    +g826
    +sbsg526
    +g1
    +(g2
    +g3
    +(g4
    +g526
    +tp879
    +tp880
    +Rp881
    +(dp882
    +g9
    +g7
    +sg29
    +g30
    +((lp883
    +tp884
    +Rp885
    +sbsS'Control'
    +p886
    +g1
    +(g2
    +g3
    +(g4
    +g886
    +tp887
    +tp888
    +Rp889
    +(dp890
    +g9
    +g7
    +sg29
    +g30
    +((lp891
    +tp892
    +Rp893
    +sbsg550
    +g1
    +(g2
    +g3
    +(g4
    +g550
    +tp894
    +tp895
    +Rp896
    +(dp897
    +g9
    +g7
    +sg29
    +g30
    +((lp898
    +tp899
    +Rp900
    +sbsg605
    +g1
    +(g2
    +g3
    +(g4
    +g605
    +tp901
    +tp902
    +Rp903
    +(dp904
    +g9
    +g7
    +sg29
    +g30
    +((lp905
    +tp906
    +Rp907
    +sbsS'PreProc'
    +p908
    +g1
    +(g2
    +g3
    +(g4
    +g908
    +tp909
    +tp910
    +Rp911
    +(dp912
    +g9
    +g7
    +sg29
    +g30
    +((lp913
    +tp914
    +Rp915
    +sbsg518
    +g1
    +(g2
    +g3
    +(g4
    +g518
    +tp916
    +tp917
    +Rp918
    +(dp919
    +g9
    +g7
    +sg29
    +g30
    +((lp920
    +tp921
    +Rp922
    +sbsS'Reserved'
    +p923
    +g1
    +(g2
    +g3
    +(g4
    +g923
    +tp924
    +tp925
    +Rp926
    +(dp927
    +g9
    +g7
    +sg29
    +g30
    +((lp928
    +tp929
    +Rp930
    +sbsg29
    +g30
    +((lp931
    +g903
    +ag1
    +(g2
    +g3
    +(g4
    +g411
    +tp932
    +tp933
    +Rp934
    +(dp935
    +g9
    +g7
    +sg29
    +g30
    +((lp936
    +tp937
    +Rp938
    +sbag889
    +ag1
    +(g2
    +g3
    +(g4
    +S'Declaration'
    +p939
    +tp940
    +tp941
    +Rp942
    +(dp943
    +g9
    +g7
    +sg29
    +g30
    +((lp944
    +tp945
    +Rp946
    +sbag1
    +(g2
    +g3
    +(g4
    +g4
    +tp947
    +tp948
    +Rp949
    +(dp950
    +g9
    +g7
    +sg29
    +g30
    +((lp951
    +tp952
    +Rp953
    +sbag918
    +ag896
    +ag881
    +ag926
    +ag911
    +atp954
    +Rp955
    +sg4
    +g949
    +sg939
    +g942
    +sg411
    +g934
    +sbVobject 
    +p956
    +tp957
    +a(g7
    +Vtemplate 
    +p958
    +tp959
    +a(g826
    +Vpantest
    +p960
    +tp961
    +a(g693
    +V;
    +p962
    +tp963
    +a(g826
    +V\u000a\u000a
    +p964
    +tp965
    +a(g709
    +V# Very simple pan test file
    +p966
    +tp967
    +a(g826
    +V\u000a
    +p968
    +tp969
    +a(g144
    +V"/long/decimal"
    +p970
    +tp971
    +a(g826
    +V 
    +p972
    +tp973
    +a(g400
    +V=
    +p974
    +tp975
    +a(g826
    +g972
    +tp976
    +a(g826
    +V123
    +p977
    +tp978
    +a(g693
    +g962
    +tp979
    +a(g826
    +V\u000a
    +p980
    +tp981
    +a(g144
    +V"/long/octal"
    +p982
    +tp983
    +a(g826
    +g972
    +tp984
    +a(g400
    +g974
    +tp985
    +a(g826
    +g972
    +tp986
    +a(g826
    +V0755
    +p987
    +tp988
    +a(g693
    +g962
    +tp989
    +a(g826
    +V\u000a
    +p990
    +tp991
    +a(g144
    +V"/long/hexadecimal"
    +p992
    +tp993
    +a(g826
    +g972
    +tp994
    +a(g400
    +g974
    +tp995
    +a(g826
    +g972
    +tp996
    +a(g826
    +V0xFF
    +p997
    +tp998
    +a(g693
    +g962
    +tp999
    +a(g826
    +V\u000a\u000a
    +p1000
    +tp1001
    +a(g144
    +V"/double/simple"
    +p1002
    +tp1003
    +a(g826
    +g972
    +tp1004
    +a(g400
    +g974
    +tp1005
    +a(g826
    +g972
    +tp1006
    +a(g826
    +V0.01
    +p1007
    +tp1008
    +a(g693
    +g962
    +tp1009
    +a(g826
    +V\u000a
    +p1010
    +tp1011
    +a(g144
    +V"/double/pi"
    +p1012
    +tp1013
    +a(g826
    +g972
    +tp1014
    +a(g400
    +g974
    +tp1015
    +a(g826
    +g972
    +tp1016
    +a(g826
    +V3.14159
    +p1017
    +tp1018
    +a(g693
    +g962
    +tp1019
    +a(g826
    +V\u000a
    +p1020
    +tp1021
    +a(g144
    +V"/double/exponent"
    +p1022
    +tp1023
    +a(g826
    +g972
    +tp1024
    +a(g400
    +g974
    +tp1025
    +a(g826
    +g972
    +tp1026
    +a(g826
    +V1e-8
    +p1027
    +tp1028
    +a(g693
    +g962
    +tp1029
    +a(g826
    +V\u000a
    +p1030
    +tp1031
    +a(g144
    +V"/double/scientific"
    +p1032
    +tp1033
    +a(g826
    +g972
    +tp1034
    +a(g400
    +g974
    +tp1035
    +a(g826
    +g972
    +tp1036
    +a(g826
    +V1.3E10
    +p1037
    +tp1038
    +a(g693
    +g962
    +tp1039
    +a(g826
    +V\u000a\u000a
    +p1040
    +tp1041
    +a(g144
    +V"/string/single"
    +p1042
    +tp1043
    +a(g826
    +g972
    +tp1044
    +a(g400
    +g974
    +tp1045
    +a(g826
    +g972
    +tp1046
    +a(g217
    +V'Faster, but escapes like \u005ct, \u005cn and \u005cx3d don'
    +p1047
    +tp1048
    +a(g217
    +V't work, but '
    +p1049
    +tp1050
    +a(g217
    +V' should work.'
    +p1051
    +tp1052
    +a(g693
    +g962
    +tp1053
    +a(g826
    +V\u000a
    +p1054
    +tp1055
    +a(g144
    +V"/string/double"
    +p1056
    +tp1057
    +a(g826
    +g972
    +tp1058
    +a(g400
    +g974
    +tp1059
    +a(g826
    +g972
    +tp1060
    +a(g144
    +V"Slower, but escapes like \u005ct, \u005cn and \u005cx3d do work"
    +p1061
    +tp1062
    +a(g693
    +g962
    +tp1063
    +a(g826
    +V\u000a\u000a
    +p1064
    +tp1065
    +a(g7
    +Vvariable 
    +p1066
    +tp1067
    +a(g428
    +VTEST
    +p1068
    +tp1069
    +a(g826
    +g972
    +tp1070
    +a(g400
    +g974
    +tp1071
    +a(g826
    +g972
    +tp1072
    +a(g826
    +V2
    +p1073
    +tp1074
    +a(g693
    +g962
    +tp1075
    +a(g826
    +V\u000a\u000a
    +p1076
    +tp1077
    +a(g144
    +V"/x2"
    +p1078
    +tp1079
    +a(g826
    +g972
    +tp1080
    +a(g400
    +g974
    +tp1081
    +a(g826
    +g972
    +tp1082
    +a(g669
    +Vto_string
    +p1083
    +tp1084
    +a(g400
    +V(
    +p1085
    +tp1086
    +a(g826
    +VTEST
    +p1087
    +tp1088
    +a(g400
    +V)
    +p1089
    +tp1090
    +a(g693
    +g962
    +tp1091
    +a(g826
    +V\u000a
    +p1092
    +tp1093
    +a(g144
    +V"/x2"
    +p1094
    +tp1095
    +a(g826
    +g972
    +tp1096
    +a(g826
    +V?
    +p1097
    +tp1098
    +a(g400
    +g974
    +tp1099
    +a(g826
    +g972
    +tp1100
    +a(g217
    +V'Default value'
    +p1101
    +tp1102
    +a(g693
    +g962
    +tp1103
    +a(g826
    +V\u000a\u000a
    +p1104
    +tp1105
    +a(g144
    +V"/x3"
    +p1106
    +tp1107
    +a(g826
    +g972
    +tp1108
    +a(g400
    +g974
    +tp1109
    +a(g826
    +g972
    +tp1110
    +a(g826
    +V1
    +p1111
    +tp1112
    +a(g826
    +g972
    +tp1113
    +a(g826
    +V+
    +p1114
    +tp1115
    +a(g826
    +g972
    +tp1116
    +a(g826
    +g1073
    +tp1117
    +a(g826
    +g972
    +tp1118
    +a(g826
    +g1114
    +tp1119
    +a(g826
    +g972
    +tp1120
    +a(g669
    +Vvalue
    +p1121
    +tp1122
    +a(g400
    +g1085
    +tp1123
    +a(g144
    +V"/long/decimal"
    +p1124
    +tp1125
    +a(g400
    +g1089
    +tp1126
    +a(g693
    +g962
    +tp1127
    +a(g826
    +V\u000a\u000a
    +p1128
    +tp1129
    +a(g144
    +V"/x4"
    +p1130
    +tp1131
    +a(g826
    +g972
    +tp1132
    +a(g400
    +g974
    +tp1133
    +a(g826
    +g972
    +tp1134
    +a(g826
    +Vundef
    +p1135
    +tp1136
    +a(g693
    +g962
    +tp1137
    +a(g826
    +V\u000a\u000a
    +p1138
    +tp1139
    +a(g144
    +V"/x5"
    +p1140
    +tp1141
    +a(g826
    +g972
    +tp1142
    +a(g400
    +g974
    +tp1143
    +a(g826
    +g972
    +tp1144
    +a(g826
    +Vnull
    +p1145
    +tp1146
    +a(g693
    +g962
    +tp1147
    +a(g826
    +V\u000a\u000a
    +p1148
    +tp1149
    +a(g7
    +Vvariable 
    +p1150
    +tp1151
    +a(g826
    +Ve
    +p1152
    +tp1153
    +a(g826
    +g972
    +tp1154
    +a(g826
    +g1097
    +tp1155
    +a(g400
    +g974
    +tp1156
    +a(g826
    +g972
    +tp1157
    +a(g669
    +Verror
    +p1158
    +tp1159
    +a(g400
    +g1085
    +tp1160
    +a(g144
    +V"Test error message"
    +p1161
    +tp1162
    +a(g400
    +g1089
    +tp1163
    +a(g693
    +g962
    +tp1164
    +a(g826
    +V\u000a\u000a
    +p1165
    +tp1166
    +a(g709
    +V# include gmond config for services-monitoring
    +p1167
    +tp1168
    +a(g826
    +V\u000a
    +p1169
    +tp1170
    +a(g7
    +Vinclude
    +p1171
    +tp1172
    +a(g826
    +g972
    +tp1173
    +a(g400
    +V{
    +p1174
    +tp1175
    +a(g826
    +g972
    +tp1176
    +a(g217
    +V'site/ganglia/gmond/services-monitoring'
    +p1177
    +tp1178
    +a(g826
    +g972
    +tp1179
    +a(g400
    +V}
    +p1180
    +tp1181
    +a(g693
    +g962
    +tp1182
    +a(g826
    +V\u000a\u000a
    +p1183
    +tp1184
    +a(g144
    +V"/software/packages"
    +p1185
    +tp1186
    +a(g400
    +g974
    +tp1187
    +a(g826
    +Vpkg_repl
    +p1188
    +tp1189
    +a(g400
    +g1085
    +tp1190
    +a(g144
    +V"httpd"
    +p1191
    +tp1192
    +a(g826
    +V,
    +p1193
    +tp1194
    +a(g144
    +V"2.2.3-43.sl5.3"
    +p1195
    +tp1196
    +a(g826
    +V,PKG_ARCH_DEFAULT
    +p1197
    +tp1198
    +a(g400
    +g1089
    +tp1199
    +a(g693
    +g962
    +tp1200
    +a(g826
    +V\u000a
    +p1201
    +tp1202
    +a(g144
    +V"/software/packages"
    +p1203
    +tp1204
    +a(g400
    +g974
    +tp1205
    +a(g826
    +Vpkg_repl
    +p1206
    +tp1207
    +a(g400
    +g1085
    +tp1208
    +a(g144
    +V"php"
    +p1209
    +tp1210
    +a(g400
    +g1089
    +tp1211
    +a(g693
    +g962
    +tp1212
    +a(g826
    +V\u000a\u000a
    +p1213
    +tp1214
    +a(g709
    +V# Example function
    +p1215
    +tp1216
    +a(g826
    +V\u000a
    +p1217
    +tp1218
    +a(g7
    +Vfunction 
    +p1219
    +tp1220
    +a(g428
    +Vshow_things_view_for_stuff
    +p1221
    +tp1222
    +a(g826
    +g972
    +tp1223
    +a(g400
    +g974
    +tp1224
    +a(g826
    +g972
    +tp1225
    +a(g400
    +g1174
    +tp1226
    +a(g826
    +V\u000a    
    +p1227
    +tp1228
    +a(g428
    +Vthing
    +p1229
    +tp1230
    +a(g826
    +g972
    +tp1231
    +a(g400
    +g974
    +tp1232
    +a(g826
    +g972
    +tp1233
    +a(g826
    +VARGV
    +p1234
    +tp1235
    +a(g400
    +V[
    +p1236
    +tp1237
    +a(g826
    +V0
    +p1238
    +tp1239
    +a(g400
    +V]
    +p1240
    +tp1241
    +a(g693
    +g962
    +tp1242
    +a(g826
    +V\u000a    
    +p1243
    +tp1244
    +a(g7
    +Vforeach
    +p1245
    +tp1246
    +a(g400
    +g1085
    +tp1247
    +a(g826
    +g972
    +tp1248
    +a(g826
    +Vi
    +p1249
    +tp1250
    +a(g693
    +g962
    +tp1251
    +a(g826
    +g972
    +tp1252
    +a(g826
    +Vmything
    +p1253
    +tp1254
    +a(g693
    +g962
    +tp1255
    +a(g826
    +g972
    +tp1256
    +a(g826
    +VSTUFF
    +p1257
    +tp1258
    +a(g826
    +g972
    +tp1259
    +a(g400
    +g1089
    +tp1260
    +a(g826
    +g972
    +tp1261
    +a(g400
    +g1174
    +tp1262
    +a(g826
    +V\u000a        
    +p1263
    +tp1264
    +a(g7
    +Vif
    +p1265
    +tp1266
    +a(g826
    +g972
    +tp1267
    +a(g400
    +g1085
    +tp1268
    +a(g826
    +g972
    +tp1269
    +a(g428
    +Vthing
    +p1270
    +tp1271
    +a(g826
    +g972
    +tp1272
    +a(g400
    +g974
    +tp1273
    +a(g400
    +g974
    +tp1274
    +a(g826
    +g972
    +tp1275
    +a(g826
    +Vmything
    +p1276
    +tp1277
    +a(g826
    +g972
    +tp1278
    +a(g400
    +g1089
    +tp1279
    +a(g826
    +g972
    +tp1280
    +a(g400
    +g1174
    +tp1281
    +a(g826
    +V\u000a            
    +p1282
    +tp1283
    +a(g669
    +Vreturn
    +p1284
    +tp1285
    +a(g400
    +g1085
    +tp1286
    +a(g826
    +g972
    +tp1287
    +a(g826
    +Vtrue
    +p1288
    +tp1289
    +a(g826
    +g972
    +tp1290
    +a(g400
    +g1089
    +tp1291
    +a(g693
    +g962
    +tp1292
    +a(g826
    +V\u000a        
    +p1293
    +tp1294
    +a(g400
    +g1180
    +tp1295
    +a(g826
    +g972
    +tp1296
    +a(g7
    +Velse
    +p1297
    +tp1298
    +a(g826
    +g972
    +tp1299
    +a(g400
    +g1174
    +tp1300
    +a(g826
    +V\u000a            
    +p1301
    +tp1302
    +a(g669
    +Vreturn 
    +p1303
    +tp1304
    +a(g826
    +VSELF
    +p1305
    +tp1306
    +a(g693
    +g962
    +tp1307
    +a(g826
    +V\u000a        
    +p1308
    +tp1309
    +a(g400
    +g1180
    +tp1310
    +a(g693
    +g962
    +tp1311
    +a(g826
    +V\u000a    
    +p1312
    +tp1313
    +a(g400
    +g1180
    +tp1314
    +a(g693
    +g962
    +tp1315
    +a(g826
    +V\u000a    
    +p1316
    +tp1317
    +a(g826
    +Vfalse
    +p1318
    +tp1319
    +a(g693
    +g962
    +tp1320
    +a(g826
    +V\u000a
    +p1321
    +tp1322
    +a(g400
    +g1180
    +tp1323
    +a(g693
    +g962
    +tp1324
    +a(g826
    +V\u000a\u000a
    +p1325
    +tp1326
    +a(g7
    +Vvariable 
    +p1327
    +tp1328
    +a(g428
    +VHERE
    +p1329
    +tp1330
    +a(g826
    +g972
    +tp1331
    +a(g400
    +g974
    +tp1332
    +a(g826
    +g972
    +tp1333
    +a(g76
    +V<
    +p1291
    +tp1292
    +a(g826
    +g970
    +tp1293
    +a(g89
    +V'
    +p1294
    +tp1295
    +a(g89
    +V\u005c
    +p1296
    +tp1297
    +a(g89
    +g1294
    +tp1298
    +a(g826
    +g970
    +tp1299
    +a(g744
    +Vthen
    +p1300
    +tp1301
    +a(g826
    +V\u000a    
    +p1302
    +tp1303
    +a(g435
    +VRootFolder
    +p1304
    +tp1305
    +a(g826
    +g970
    +tp1306
    +a(g412
    +g1051
    +tp1307
    +a(g412
    +g991
    +tp1308
    +a(g826
    +g970
    +tp1309
    +a(g435
    +VRootFolder
    +p1310
    +tp1311
    +a(g826
    +g970
    +tp1312
    +a(g412
    +g997
    +tp1313
    +a(g826
    +g970
    +tp1314
    +a(g89
    +g1294
    +tp1315
    +a(g89
    +V\u005c
    +p1316
    +tp1317
    +a(g89
    +g1294
    +tp1318
    +a(g412
    +g979
    +tp1319
    +a(g826
    +V\u000a  
    +p1320
    +tp1321
    +a(g435
    +VZeroMemory
    +p1322
    +tp1323
    +a(g705
    +g1191
    +tp1324
    +a(g412
    +V@
    +p1325
    +tp1326
    +a(g435
    +Vwfd
    +p1327
    +tp1328
    +a(g412
    +g974
    +tp1329
    +a(g826
    +g970
    +tp1330
    +a(g681
    +Vsizeof
    +p1331
    +tp1332
    +a(g705
    +g1191
    +tp1333
    +a(g435
    +Vwfd
    +p1334
    +tp1335
    +a(g705
    +V))
    +p1336
    +tp1337
    +a(g412
    +g979
    +tp1338
    +a(g826
    +V\u000a  
    +p1339
    +tp1340
    +a(g435
    +Vwfd
    +p1341
    +tp1342
    +a(g412
    +V.
    +p1343
    +tp1344
    +a(g435
    +VdwFileAttributes
    +p1345
    +tp1346
    +a(g826
    +g970
    +tp1347
    +a(g412
    +g1051
    +tp1348
    +a(g412
    +g991
    +tp1349
    +a(g826
    +g970
    +tp1350
    +a(g435
    +VFILE_ATTRIBUTE_NORMAL
    +p1351
    +tp1352
    +a(g412
    +g979
    +tp1353
    +a(g826
    +V\u000a  
    +p1354
    +tp1355
    +a(g744
    +Vif
    +p1356
    +tp1357
    +a(g826
    +g970
    +tp1358
    +a(g435
    +VRecurse
    +p1359
    +tp1360
    +a(g826
    +g970
    +tp1361
    +a(g744
    +Vthen
    +p1362
    +tp1363
    +a(g826
    +V\u000a  
    +p1364
    +tp1365
    +a(g744
    +Vbegin
    +p1366
    +tp1367
    +a(g826
    +V\u000a    
    +p1368
    +tp1369
    +a(g435
    +VhFindFile
    +p1370
    +tp1371
    +a(g826
    +g970
    +tp1372
    +a(g412
    +g1051
    +tp1373
    +a(g412
    +g991
    +tp1374
    +a(g826
    +g970
    +tp1375
    +a(g435
    +VFindFirstFile
    +p1376
    +tp1377
    +a(g705
    +g1191
    +tp1378
    +a(g748
    +Vpointer
    +p1379
    +tp1380
    +a(g705
    +g1191
    +tp1381
    +a(g435
    +VRootFolder
    +p1382
    +tp1383
    +a(g826
    +g970
    +tp1384
    +a(g412
    +g997
    +tp1385
    +a(g826
    +g970
    +tp1386
    +a(g89
    +g1294
    +tp1387
    +a(g89
    +V*.*
    +p1388
    +tp1389
    +a(g89
    +g1294
    +tp1390
    +a(g705
    +g1220
    +tp1391
    +a(g412
    +g974
    +tp1392
    +a(g826
    +g970
    +tp1393
    +a(g435
    +Vwfd
    +p1394
    +tp1395
    +a(g705
    +g1220
    +tp1396
    +a(g412
    +g979
    +tp1397
    +a(g826
    +V\u000a    
    +p1398
    +tp1399
    +a(g744
    +Vif
    +p1400
    +tp1401
    +a(g826
    +g970
    +tp1402
    +a(g435
    +VhFindFile
    +p1403
    +tp1404
    +a(g826
    +g970
    +tp1405
    +a(g412
    +g1289
    +tp1406
    +a(g412
    +g1291
    +tp1407
    +a(g826
    +g970
    +tp1408
    +a(g37
    +g1059
    +tp1409
    +a(g826
    +g970
    +tp1410
    +a(g744
    +Vthen
    +p1411
    +tp1412
    +a(g826
    +V\u000a    
    +p1413
    +tp1414
    +a(g744
    +Vtry
    +p1415
    +tp1416
    +a(g826
    +V\u000a      
    +p1417
    +tp1418
    +a(g744
    +Vrepeat
    +p1419
    +tp1420
    +a(g826
    +V\u000a        
    +p1421
    +tp1422
    +a(g744
    +Vif
    +p1423
    +tp1424
    +a(g826
    +g970
    +tp1425
    +a(g435
    +Vwfd
    +p1426
    +tp1427
    +a(g412
    +g1343
    +tp1428
    +a(g435
    +VdwFileAttributes
    +p1429
    +tp1430
    +a(g826
    +g970
    +tp1431
    +a(g744
    +Vand
    +p1432
    +tp1433
    +a(g826
    +g970
    +tp1434
    +a(g435
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1435
    +tp1436
    +a(g826
    +g970
    +tp1437
    +a(g412
    +g991
    +tp1438
    +a(g826
    +g970
    +tp1439
    +a(g435
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1440
    +tp1441
    +a(g826
    +g970
    +tp1442
    +a(g744
    +Vthen
    +p1443
    +tp1444
    +a(g826
    +V\u000a        
    +p1445
    +tp1446
    +a(g744
    +Vbegin
    +p1447
    +tp1448
    +a(g826
    +V\u000a          
    +p1449
    +tp1450
    +a(g744
    +Vif
    +p1451
    +tp1452
    +a(g826
    +g970
    +tp1453
    +a(g705
    +g1191
    +tp1454
    +a(g744
    +Vstring
    +p1455
    +tp1456
    +a(g705
    +g1191
    +tp1457
    +a(g435
    +Vwfd
    +p1458
    +tp1459
    +a(g412
    +g1343
    +tp1460
    +a(g435
    +VcFileName
    +p1461
    +tp1462
    +a(g705
    +g1220
    +tp1463
    +a(g826
    +g970
    +tp1464
    +a(g412
    +g1289
    +tp1465
    +a(g412
    +g1291
    +tp1466
    +a(g826
    +g970
    +tp1467
    +a(g89
    +g1294
    +tp1468
    +a(g89
    +g1343
    +tp1469
    +a(g89
    +g1294
    +tp1470
    +a(g705
    +g1220
    +tp1471
    +a(g826
    +g970
    +tp1472
    +a(g744
    +Vand
    +p1473
    +tp1474
    +a(g826
    +g970
    +tp1475
    +a(g705
    +g1191
    +tp1476
    +a(g744
    +Vstring
    +p1477
    +tp1478
    +a(g705
    +g1191
    +tp1479
    +a(g435
    +Vwfd
    +p1480
    +tp1481
    +a(g412
    +g1343
    +tp1482
    +a(g435
    +VcFileName
    +p1483
    +tp1484
    +a(g705
    +g1220
    +tp1485
    +a(g826
    +g970
    +tp1486
    +a(g412
    +g1289
    +tp1487
    +a(g412
    +g1291
    +tp1488
    +a(g826
    +g970
    +tp1489
    +a(g89
    +g1294
    +tp1490
    +a(g89
    +V..
    +p1491
    +tp1492
    +a(g89
    +g1294
    +tp1493
    +a(g705
    +g1220
    +tp1494
    +a(g826
    +g970
    +tp1495
    +a(g744
    +Vthen
    +p1496
    +tp1497
    +a(g826
    +V\u000a          
    +p1498
    +tp1499
    +a(g744
    +Vbegin
    +p1500
    +tp1501
    +a(g826
    +V\u000a            
    +p1502
    +tp1503
    +a(g435
    +VCountFolders
    +p1504
    +tp1505
    +a(g705
    +g1191
    +tp1506
    +a(g435
    +VHandle
    +p1507
    +tp1508
    +a(g412
    +g974
    +tp1509
    +a(g826
    +g970
    +tp1510
    +a(g435
    +VRootFolder
    +p1511
    +tp1512
    +a(g826
    +g970
    +tp1513
    +a(g412
    +g997
    +tp1514
    +a(g826
    +g970
    +tp1515
    +a(g435
    +Vwfd
    +p1516
    +tp1517
    +a(g412
    +g1343
    +tp1518
    +a(g435
    +VcFileName
    +p1519
    +tp1520
    +a(g412
    +g974
    +tp1521
    +a(g826
    +g970
    +tp1522
    +a(g435
    +VRecurse
    +p1523
    +tp1524
    +a(g705
    +g1220
    +tp1525
    +a(g412
    +g979
    +tp1526
    +a(g826
    +V\u000a          
    +p1527
    +tp1528
    +a(g744
    +Vend
    +p1529
    +tp1530
    +a(g412
    +g979
    +tp1531
    +a(g826
    +V\u000a        
    +p1532
    +tp1533
    +a(g744
    +Vend
    +p1534
    +tp1535
    +a(g412
    +g979
    +tp1536
    +a(g826
    +V\u000a      
    +p1537
    +tp1538
    +a(g744
    +Vuntil
    +p1539
    +tp1540
    +a(g826
    +g970
    +tp1541
    +a(g435
    +VFindNextFile
    +p1542
    +tp1543
    +a(g705
    +g1191
    +tp1544
    +a(g435
    +VhFindFile
    +p1545
    +tp1546
    +a(g412
    +g974
    +tp1547
    +a(g826
    +g970
    +tp1548
    +a(g435
    +Vwfd
    +p1549
    +tp1550
    +a(g705
    +g1220
    +tp1551
    +a(g826
    +g970
    +tp1552
    +a(g412
    +g991
    +tp1553
    +a(g826
    +g970
    +tp1554
    +a(g744
    +VFalse
    +p1555
    +tp1556
    +a(g412
    +g979
    +tp1557
    +a(g826
    +V\u000a      
    +p1558
    +tp1559
    +a(g681
    +VInc
    +p1560
    +tp1561
    +a(g705
    +g1191
    +tp1562
    +a(g435
    +VCntFolders
    +p1563
    +tp1564
    +a(g705
    +g1220
    +tp1565
    +a(g412
    +g979
    +tp1566
    +a(g826
    +V\u000a    
    +p1567
    +tp1568
    +a(g744
    +Vfinally
    +p1569
    +tp1570
    +a(g826
    +V\u000a      
    +p1571
    +tp1572
    +a(g435
    +VWindows
    +p1573
    +tp1574
    +a(g412
    +g1343
    +tp1575
    +a(g435
    +VFindClose
    +p1576
    +tp1577
    +a(g705
    +g1191
    +tp1578
    +a(g435
    +VhFindFile
    +p1579
    +tp1580
    +a(g705
    +g1220
    +tp1581
    +a(g412
    +g979
    +tp1582
    +a(g826
    +V\u000a    
    +p1583
    +tp1584
    +a(g744
    +Vend
    +p1585
    +tp1586
    +a(g412
    +g979
    +tp1587
    +a(g826
    +V\u000a  
    +p1588
    +tp1589
    +a(g744
    +Vend
    +p1590
    +tp1591
    +a(g412
    +g979
    +tp1592
    +a(g826
    +V\u000a
    +p1593
    +tp1594
    +a(g744
    +Vend
    +p1595
    +tp1596
    +a(g412
    +g979
    +tp1597
    +a(g826
    +V\u000a\u000a
    +p1598
    +tp1599
    +a(g8
    +V////////////////////////////////////////////////////////////////////////////////
    +p1600
    +tp1601
    +a(g826
    +V\u000a
    +p1602
    +tp1603
    +a(g8
    +V//
    +p1604
    +tp1605
    +a(g826
    +V\u000a
    +p1606
    +tp1607
    +a(g8
    +V//  FindAllFiles
    +p1608
    +tp1609
    +a(g826
    +V\u000a
    +p1610
    +tp1611
    +a(g8
    +V//
    +p1612
    +tp1613
    +a(g826
    +V\u000a
    +p1614
    +tp1615
    +a(g744
    +Vprocedure
    +p1616
    +tp1617
    +a(g826
    +g970
    +tp1618
    +a(g573
    +VFindAllFiles
    +p1619
    +tp1620
    +a(g705
    +g1191
    +tp1621
    +a(g435
    +VHandle
    +p1622
    +tp1623
    +a(g412
    +g1051
    +tp1624
    +a(g826
    +g970
    +tp1625
    +a(g748
    +VTHandle
    +p1626
    +tp1627
    +a(g412
    +g979
    +tp1628
    +a(g826
    +g970
    +tp1629
    +a(g435
    +VRootFolder
    +p1630
    +tp1631
    +a(g412
    +g1051
    +tp1632
    +a(g826
    +g970
    +tp1633
    +a(g744
    +Vstring
    +p1634
    +tp1635
    +a(g412
    +g979
    +tp1636
    +a(g826
    +g970
    +tp1637
    +a(g435
    +VMask
    +p1638
    +tp1639
    +a(g412
    +g1051
    +tp1640
    +a(g826
    +g970
    +tp1641
    +a(g744
    +Vstring
    +p1642
    +tp1643
    +a(g412
    +g979
    +tp1644
    +a(g826
    +g970
    +tp1645
    +a(g435
    +VRecurse
    +p1646
    +tp1647
    +a(g412
    +g1051
    +tp1648
    +a(g826
    +g970
    +tp1649
    +a(g748
    +VBoolean
    +p1650
    +tp1651
    +a(g826
    +g970
    +tp1652
    +a(g412
    +g991
    +tp1653
    +a(g826
    +g970
    +tp1654
    +a(g744
    +VTrue
    +p1655
    +tp1656
    +a(g705
    +g1220
    +tp1657
    +a(g412
    +g979
    +tp1658
    +a(g826
    +V\u000a
    +p1659
    +tp1660
    +a(g744
    +Vvar
    +p1661
    +tp1662
    +a(g826
    +V\u000a  
    +p1663
    +tp1664
    +a(g435
    +VhFindFile
    +p1665
    +tp1666
    +a(g826
    +V              
    +p1667
    +tp1668
    +a(g412
    +g1051
    +tp1669
    +a(g826
    +g970
    +tp1670
    +a(g748
    +VTHandle
    +p1671
    +tp1672
    +a(g412
    +g979
    +tp1673
    +a(g826
    +V\u000a  
    +p1674
    +tp1675
    +a(g435
    +Vwfd
    +p1676
    +tp1677
    +a(g826
    +V                    
    +p1678
    +tp1679
    +a(g412
    +g1051
    +tp1680
    +a(g826
    +g970
    +tp1681
    +a(g435
    +VTWin32FindData
    +p1682
    +tp1683
    +a(g412
    +g979
    +tp1684
    +a(g826
    +V\u000a
    +p1685
    +tp1686
    +a(g744
    +Vbegin
    +p1687
    +tp1688
    +a(g826
    +V\u000a  
    +p1689
    +tp1690
    +a(g744
    +Vif
    +p1691
    +tp1692
    +a(g826
    +g970
    +tp1693
    +a(g435
    +VRootFolder
    +p1694
    +tp1695
    +a(g705
    +g1279
    +tp1696
    +a(g681
    +Vlength
    +p1697
    +tp1698
    +a(g705
    +g1191
    +tp1699
    +a(g435
    +VRootFolder
    +p1700
    +tp1701
    +a(g705
    +V)]
    +p1702
    +tp1703
    +a(g826
    +g970
    +tp1704
    +a(g412
    +g1289
    +tp1705
    +a(g412
    +g1291
    +tp1706
    +a(g826
    +g970
    +tp1707
    +a(g89
    +g1294
    +tp1708
    +a(g89
    +V\u005c
    +p1709
    +tp1710
    +a(g89
    +g1294
    +tp1711
    +a(g826
    +g970
    +tp1712
    +a(g744
    +Vthen
    +p1713
    +tp1714
    +a(g826
    +V\u000a    
    +p1715
    +tp1716
    +a(g435
    +VRootFolder
    +p1717
    +tp1718
    +a(g826
    +g970
    +tp1719
    +a(g412
    +g1051
    +tp1720
    +a(g412
    +g991
    +tp1721
    +a(g826
    +g970
    +tp1722
    +a(g435
    +VRootFolder
    +p1723
    +tp1724
    +a(g826
    +g970
    +tp1725
    +a(g412
    +g997
    +tp1726
    +a(g826
    +g970
    +tp1727
    +a(g89
    +g1294
    +tp1728
    +a(g89
    +V\u005c
    +p1729
    +tp1730
    +a(g89
    +g1294
    +tp1731
    +a(g412
    +g979
    +tp1732
    +a(g826
    +V\u000a  
    +p1733
    +tp1734
    +a(g435
    +VZeroMemory
    +p1735
    +tp1736
    +a(g705
    +g1191
    +tp1737
    +a(g412
    +g1325
    +tp1738
    +a(g435
    +Vwfd
    +p1739
    +tp1740
    +a(g412
    +g974
    +tp1741
    +a(g826
    +g970
    +tp1742
    +a(g681
    +Vsizeof
    +p1743
    +tp1744
    +a(g705
    +g1191
    +tp1745
    +a(g435
    +Vwfd
    +p1746
    +tp1747
    +a(g705
    +V))
    +p1748
    +tp1749
    +a(g412
    +g979
    +tp1750
    +a(g826
    +V\u000a  
    +p1751
    +tp1752
    +a(g435
    +Vwfd
    +p1753
    +tp1754
    +a(g412
    +g1343
    +tp1755
    +a(g435
    +VdwFileAttributes
    +p1756
    +tp1757
    +a(g826
    +g970
    +tp1758
    +a(g412
    +g1051
    +tp1759
    +a(g412
    +g991
    +tp1760
    +a(g826
    +g970
    +tp1761
    +a(g435
    +VFILE_ATTRIBUTE_NORMAL
    +p1762
    +tp1763
    +a(g412
    +g979
    +tp1764
    +a(g826
    +V\u000a  
    +p1765
    +tp1766
    +a(g744
    +Vif
    +p1767
    +tp1768
    +a(g826
    +g970
    +tp1769
    +a(g435
    +VRecurse
    +p1770
    +tp1771
    +a(g826
    +g970
    +tp1772
    +a(g744
    +Vthen
    +p1773
    +tp1774
    +a(g826
    +V\u000a  
    +p1775
    +tp1776
    +a(g744
    +Vbegin
    +p1777
    +tp1778
    +a(g826
    +V\u000a    
    +p1779
    +tp1780
    +a(g435
    +VhFindFile
    +p1781
    +tp1782
    +a(g826
    +g970
    +tp1783
    +a(g412
    +g1051
    +tp1784
    +a(g412
    +g991
    +tp1785
    +a(g826
    +g970
    +tp1786
    +a(g435
    +VFindFirstFile
    +p1787
    +tp1788
    +a(g705
    +g1191
    +tp1789
    +a(g748
    +Vpointer
    +p1790
    +tp1791
    +a(g705
    +g1191
    +tp1792
    +a(g435
    +VRootFolder
    +p1793
    +tp1794
    +a(g826
    +g970
    +tp1795
    +a(g412
    +g997
    +tp1796
    +a(g826
    +g970
    +tp1797
    +a(g89
    +g1294
    +tp1798
    +a(g89
    +V*.*
    +p1799
    +tp1800
    +a(g89
    +g1294
    +tp1801
    +a(g705
    +g1220
    +tp1802
    +a(g412
    +g974
    +tp1803
    +a(g826
    +g970
    +tp1804
    +a(g435
    +Vwfd
    +p1805
    +tp1806
    +a(g705
    +g1220
    +tp1807
    +a(g412
    +g979
    +tp1808
    +a(g826
    +V\u000a    
    +p1809
    +tp1810
    +a(g744
    +Vif
    +p1811
    +tp1812
    +a(g826
    +g970
    +tp1813
    +a(g435
    +VhFindFile
    +p1814
    +tp1815
    +a(g826
    +g970
    +tp1816
    +a(g412
    +g1289
    +tp1817
    +a(g412
    +g1291
    +tp1818
    +a(g826
    +g970
    +tp1819
    +a(g37
    +g1059
    +tp1820
    +a(g826
    +g970
    +tp1821
    +a(g744
    +Vthen
    +p1822
    +tp1823
    +a(g826
    +V\u000a    
    +p1824
    +tp1825
    +a(g744
    +Vtry
    +p1826
    +tp1827
    +a(g826
    +V\u000a      
    +p1828
    +tp1829
    +a(g744
    +Vrepeat
    +p1830
    +tp1831
    +a(g826
    +V\u000a        
    +p1832
    +tp1833
    +a(g744
    +Vif
    +p1834
    +tp1835
    +a(g826
    +g970
    +tp1836
    +a(g435
    +Vwfd
    +p1837
    +tp1838
    +a(g412
    +g1343
    +tp1839
    +a(g435
    +VdwFileAttributes
    +p1840
    +tp1841
    +a(g826
    +g970
    +tp1842
    +a(g744
    +Vand
    +p1843
    +tp1844
    +a(g826
    +g970
    +tp1845
    +a(g435
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1846
    +tp1847
    +a(g826
    +g970
    +tp1848
    +a(g412
    +g991
    +tp1849
    +a(g826
    +g970
    +tp1850
    +a(g435
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1851
    +tp1852
    +a(g826
    +g970
    +tp1853
    +a(g744
    +Vthen
    +p1854
    +tp1855
    +a(g826
    +V\u000a        
    +p1856
    +tp1857
    +a(g744
    +Vbegin
    +p1858
    +tp1859
    +a(g826
    +V\u000a          
    +p1860
    +tp1861
    +a(g744
    +Vif
    +p1862
    +tp1863
    +a(g826
    +g970
    +tp1864
    +a(g705
    +g1191
    +tp1865
    +a(g744
    +Vstring
    +p1866
    +tp1867
    +a(g705
    +g1191
    +tp1868
    +a(g435
    +Vwfd
    +p1869
    +tp1870
    +a(g412
    +g1343
    +tp1871
    +a(g435
    +VcFileName
    +p1872
    +tp1873
    +a(g705
    +g1220
    +tp1874
    +a(g826
    +g970
    +tp1875
    +a(g412
    +g1289
    +tp1876
    +a(g412
    +g1291
    +tp1877
    +a(g826
    +g970
    +tp1878
    +a(g89
    +g1294
    +tp1879
    +a(g89
    +g1343
    +tp1880
    +a(g89
    +g1294
    +tp1881
    +a(g705
    +g1220
    +tp1882
    +a(g826
    +g970
    +tp1883
    +a(g744
    +Vand
    +p1884
    +tp1885
    +a(g826
    +g970
    +tp1886
    +a(g705
    +g1191
    +tp1887
    +a(g744
    +Vstring
    +p1888
    +tp1889
    +a(g705
    +g1191
    +tp1890
    +a(g435
    +Vwfd
    +p1891
    +tp1892
    +a(g412
    +g1343
    +tp1893
    +a(g435
    +VcFileName
    +p1894
    +tp1895
    +a(g705
    +g1220
    +tp1896
    +a(g826
    +g970
    +tp1897
    +a(g412
    +g1289
    +tp1898
    +a(g412
    +g1291
    +tp1899
    +a(g826
    +g970
    +tp1900
    +a(g89
    +g1294
    +tp1901
    +a(g89
    +V..
    +p1902
    +tp1903
    +a(g89
    +g1294
    +tp1904
    +a(g705
    +g1220
    +tp1905
    +a(g826
    +g970
    +tp1906
    +a(g744
    +Vthen
    +p1907
    +tp1908
    +a(g826
    +V\u000a          
    +p1909
    +tp1910
    +a(g744
    +Vbegin
    +p1911
    +tp1912
    +a(g826
    +V\u000a            
    +p1913
    +tp1914
    +a(g435
    +VFindAllFiles
    +p1915
    +tp1916
    +a(g705
    +g1191
    +tp1917
    +a(g435
    +VHandle
    +p1918
    +tp1919
    +a(g412
    +g974
    +tp1920
    +a(g826
    +g970
    +tp1921
    +a(g435
    +VRootFolder
    +p1922
    +tp1923
    +a(g826
    +g970
    +tp1924
    +a(g412
    +g997
    +tp1925
    +a(g826
    +g970
    +tp1926
    +a(g435
    +Vwfd
    +p1927
    +tp1928
    +a(g412
    +g1343
    +tp1929
    +a(g435
    +VcFileName
    +p1930
    +tp1931
    +a(g412
    +g974
    +tp1932
    +a(g826
    +g970
    +tp1933
    +a(g435
    +VMask
    +p1934
    +tp1935
    +a(g412
    +g974
    +tp1936
    +a(g826
    +g970
    +tp1937
    +a(g435
    +VRecurse
    +p1938
    +tp1939
    +a(g705
    +g1220
    +tp1940
    +a(g412
    +g979
    +tp1941
    +a(g826
    +V\u000a          
    +p1942
    +tp1943
    +a(g744
    +Vend
    +p1944
    +tp1945
    +a(g412
    +g979
    +tp1946
    +a(g826
    +V\u000a        
    +p1947
    +tp1948
    +a(g744
    +Vend
    +p1949
    +tp1950
    +a(g412
    +g979
    +tp1951
    +a(g826
    +V\u000a      
    +p1952
    +tp1953
    +a(g744
    +Vuntil
    +p1954
    +tp1955
    +a(g826
    +g970
    +tp1956
    +a(g435
    +VFindNextFile
    +p1957
    +tp1958
    +a(g705
    +g1191
    +tp1959
    +a(g435
    +VhFindFile
    +p1960
    +tp1961
    +a(g412
    +g974
    +tp1962
    +a(g826
    +g970
    +tp1963
    +a(g435
    +Vwfd
    +p1964
    +tp1965
    +a(g705
    +g1220
    +tp1966
    +a(g826
    +g970
    +tp1967
    +a(g412
    +g991
    +tp1968
    +a(g826
    +g970
    +tp1969
    +a(g744
    +VFalse
    +p1970
    +tp1971
    +a(g412
    +g979
    +tp1972
    +a(g826
    +V\u000a      
    +p1973
    +tp1974
    +a(g681
    +VInc
    +p1975
    +tp1976
    +a(g705
    +g1191
    +tp1977
    +a(g435
    +VNumFolder
    +p1978
    +tp1979
    +a(g705
    +g1220
    +tp1980
    +a(g412
    +g979
    +tp1981
    +a(g826
    +V\u000a      
    +p1982
    +tp1983
    +a(g435
    +VSendMessage
    +p1984
    +tp1985
    +a(g705
    +g1191
    +tp1986
    +a(g435
    +VHandle
    +p1987
    +tp1988
    +a(g412
    +g974
    +tp1989
    +a(g826
    +g970
    +tp1990
    +a(g435
    +VFFM_ONDIRFOUND
    +p1991
    +tp1992
    +a(g412
    +g974
    +tp1993
    +a(g826
    +g970
    +tp1994
    +a(g435
    +VNumFolder
    +p1995
    +tp1996
    +a(g412
    +g974
    +tp1997
    +a(g826
    +g970
    +tp1998
    +a(g435
    +VlParam
    +p1999
    +tp2000
    +a(g705
    +g1191
    +tp2001
    +a(g744
    +Vstring
    +p2002
    +tp2003
    +a(g705
    +g1191
    +tp2004
    +a(g435
    +VRootFolder
    +p2005
    +tp2006
    +a(g705
    +V)))
    +p2007
    +tp2008
    +a(g412
    +g979
    +tp2009
    +a(g826
    +V\u000a    
    +p2010
    +tp2011
    +a(g744
    +Vfinally
    +p2012
    +tp2013
    +a(g826
    +V\u000a      
    +p2014
    +tp2015
    +a(g435
    +VWindows
    +p2016
    +tp2017
    +a(g412
    +g1343
    +tp2018
    +a(g435
    +VFindClose
    +p2019
    +tp2020
    +a(g705
    +g1191
    +tp2021
    +a(g435
    +VhFindFile
    +p2022
    +tp2023
    +a(g705
    +g1220
    +tp2024
    +a(g412
    +g979
    +tp2025
    +a(g826
    +V\u000a    
    +p2026
    +tp2027
    +a(g744
    +Vend
    +p2028
    +tp2029
    +a(g412
    +g979
    +tp2030
    +a(g826
    +V\u000a  
    +p2031
    +tp2032
    +a(g744
    +Vend
    +p2033
    +tp2034
    +a(g412
    +g979
    +tp2035
    +a(g826
    +V\u000a  
    +p2036
    +tp2037
    +a(g435
    +VhFindFile
    +p2038
    +tp2039
    +a(g826
    +g970
    +tp2040
    +a(g412
    +g1051
    +tp2041
    +a(g412
    +g991
    +tp2042
    +a(g826
    +g970
    +tp2043
    +a(g435
    +VFindFirstFile
    +p2044
    +tp2045
    +a(g705
    +g1191
    +tp2046
    +a(g748
    +Vpointer
    +p2047
    +tp2048
    +a(g705
    +g1191
    +tp2049
    +a(g435
    +VRootFolder
    +p2050
    +tp2051
    +a(g826
    +g970
    +tp2052
    +a(g412
    +g997
    +tp2053
    +a(g826
    +g970
    +tp2054
    +a(g435
    +VMask
    +p2055
    +tp2056
    +a(g705
    +g1220
    +tp2057
    +a(g412
    +g974
    +tp2058
    +a(g826
    +g970
    +tp2059
    +a(g435
    +Vwfd
    +p2060
    +tp2061
    +a(g705
    +g1220
    +tp2062
    +a(g412
    +g979
    +tp2063
    +a(g826
    +V\u000a  
    +p2064
    +tp2065
    +a(g744
    +Vif
    +p2066
    +tp2067
    +a(g826
    +g970
    +tp2068
    +a(g435
    +VhFindFile
    +p2069
    +tp2070
    +a(g826
    +g970
    +tp2071
    +a(g412
    +g1289
    +tp2072
    +a(g412
    +g1291
    +tp2073
    +a(g826
    +g970
    +tp2074
    +a(g435
    +VINVALID_HANDLE_VALUE
    +p2075
    +tp2076
    +a(g826
    +g970
    +tp2077
    +a(g744
    +Vthen
    +p2078
    +tp2079
    +a(g826
    +V\u000a  
    +p2080
    +tp2081
    +a(g744
    +Vtry
    +p2082
    +tp2083
    +a(g826
    +V\u000a    
    +p2084
    +tp2085
    +a(g744
    +Vrepeat
    +p2086
    +tp2087
    +a(g826
    +V\u000a      
    +p2088
    +tp2089
    +a(g744
    +Vif
    +p2090
    +tp2091
    +a(g826
    +g970
    +tp2092
    +a(g705
    +g1191
    +tp2093
    +a(g435
    +Vwfd
    +p2094
    +tp2095
    +a(g412
    +g1343
    +tp2096
    +a(g435
    +VdwFileAttributes
    +p2097
    +tp2098
    +a(g826
    +g970
    +tp2099
    +a(g744
    +Vand
    +p2100
    +tp2101
    +a(g826
    +g970
    +tp2102
    +a(g435
    +VFILE_ATTRIBUTE_DIRECTORY
    +p2103
    +tp2104
    +a(g826
    +g970
    +tp2105
    +a(g412
    +g1289
    +tp2106
    +a(g412
    +g1291
    +tp2107
    +a(g826
    +g970
    +tp2108
    +a(g435
    +VFILE_ATTRIBUTE_DIRECTORY
    +p2109
    +tp2110
    +a(g705
    +g1220
    +tp2111
    +a(g826
    +g970
    +tp2112
    +a(g744
    +Vthen
    +p2113
    +tp2114
    +a(g826
    +V\u000a      
    +p2115
    +tp2116
    +a(g744
    +Vbegin
    +p2117
    +tp2118
    +a(g826
    +V\u000a        
    +p2119
    +tp2120
    +a(g435
    +VSendMessage
    +p2121
    +tp2122
    +a(g705
    +g1191
    +tp2123
    +a(g435
    +VHandle
    +p2124
    +tp2125
    +a(g412
    +g974
    +tp2126
    +a(g826
    +g970
    +tp2127
    +a(g435
    +VFFM_ONFILEFOUND
    +p2128
    +tp2129
    +a(g412
    +g974
    +tp2130
    +a(g826
    +g970
    +tp2131
    +a(g37
    +g1059
    +tp2132
    +a(g412
    +g974
    +tp2133
    +a(g826
    +g970
    +tp2134
    +a(g435
    +VlParam
    +p2135
    +tp2136
    +a(g705
    +g1191
    +tp2137
    +a(g744
    +Vstring
    +p2138
    +tp2139
    +a(g705
    +g1191
    +tp2140
    +a(g435
    +VRootFolder
    +p2141
    +tp2142
    +a(g826
    +g970
    +tp2143
    +a(g412
    +g997
    +tp2144
    +a(g826
    +g970
    +tp2145
    +a(g435
    +Vwfd
    +p2146
    +tp2147
    +a(g412
    +g1343
    +tp2148
    +a(g435
    +VcFileName
    +p2149
    +tp2150
    +a(g705
    +V)))
    +p2151
    +tp2152
    +a(g412
    +g979
    +tp2153
    +a(g826
    +V\u000a      
    +p2154
    +tp2155
    +a(g744
    +Vend
    +p2156
    +tp2157
    +a(g412
    +g979
    +tp2158
    +a(g826
    +V\u000a    
    +p2159
    +tp2160
    +a(g744
    +Vuntil
    +p2161
    +tp2162
    +a(g826
    +g970
    +tp2163
    +a(g435
    +VFindNextFile
    +p2164
    +tp2165
    +a(g705
    +g1191
    +tp2166
    +a(g435
    +VhFindFile
    +p2167
    +tp2168
    +a(g412
    +g974
    +tp2169
    +a(g826
    +g970
    +tp2170
    +a(g435
    +Vwfd
    +p2171
    +tp2172
    +a(g705
    +g1220
    +tp2173
    +a(g826
    +g970
    +tp2174
    +a(g412
    +g991
    +tp2175
    +a(g826
    +g970
    +tp2176
    +a(g744
    +VFalse
    +p2177
    +tp2178
    +a(g412
    +g979
    +tp2179
    +a(g826
    +V\u000a  
    +p2180
    +tp2181
    +a(g744
    +Vfinally
    +p2182
    +tp2183
    +a(g826
    +V\u000a    
    +p2184
    +tp2185
    +a(g435
    +VWindows
    +p2186
    +tp2187
    +a(g412
    +g1343
    +tp2188
    +a(g435
    +VFindClose
    +p2189
    +tp2190
    +a(g705
    +g1191
    +tp2191
    +a(g435
    +VhFindFile
    +p2192
    +tp2193
    +a(g705
    +g1220
    +tp2194
    +a(g412
    +g979
    +tp2195
    +a(g826
    +V\u000a  
    +p2196
    +tp2197
    +a(g744
    +Vend
    +p2198
    +tp2199
    +a(g412
    +g979
    +tp2200
    +a(g826
    +V\u000a
    +p2201
    +tp2202
    +a(g744
    +Vend
    +p2203
    +tp2204
    +a(g412
    +g979
    +tp2205
    +a(g826
    +V\u000a\u000a\u000a
    +p2206
    +tp2207
    +a(g744
    +Vproperty
    +p2208
    +tp2209
    +a(g826
    +g970
    +tp2210
    +a(g525
    +Vtest
    +p2211
    +tp2212
    +a(g412
    +g1051
    +tp2213
    +a(g826
    +g970
    +tp2214
    +a(g748
    +Vboolean
    +p2215
    +tp2216
    +a(g826
    +g970
    +tp2217
    +a(g785
    +Vread
    +p2218
    +tp2219
    +a(g826
    +g970
    +tp2220
    +a(g573
    +Vftest
    +p2221
    +tp2222
    +a(g826
    +g970
    +tp2223
    +a(g785
    +Vwrite
    +p2224
    +tp2225
    +a(g826
    +g970
    +tp2226
    +a(g573
    +Vftest
    +p2227
    +tp2228
    +a(g412
    +g979
    +tp2229
    +a(g826
    +V\u000a
    +p2230
    +tp2231
    +a(g744
    +Vprocedure
    +p2232
    +tp2233
    +a(g826
    +g970
    +tp2234
    +a(g573
    +Vtest
    +p2235
    +tp2236
    +a(g412
    +g1051
    +tp2237
    +a(g826
    +g970
    +tp2238
    +a(g748
    +Vboolean
    +p2239
    +tp2240
    +a(g826
    +g970
    +tp2241
    +a(g681
    +Vread
    +p2242
    +tp2243
    +a(g826
    +g970
    +tp2244
    +a(g435
    +Vftest
    +p2245
    +tp2246
    +a(g826
    +g970
    +tp2247
    +a(g681
    +Vwrite
    +p2248
    +tp2249
    +a(g826
    +g970
    +tp2250
    +a(g435
    +Vftest
    +p2251
    +tp2252
    +a(g412
    +g979
    +tp2253
    +a(g826
    +V\u000a\u000a
    +p2254
    +tp2255
    +a(g8
    +V//
    +p2256
    +tp2257
    +a(g826
    +V\u000a
    +p2258
    +tp2259
    +a(g8
    +V// This sourcecode is part of omorphia
    +p2260
    +tp2261
    +a(g826
    +V\u000a
    +p2262
    +tp2263
    +a(g8
    +V//
    +p2264
    +tp2265
    +a(g826
    +V\u000a\u000a
    +p2266
    +tp2267
    +a(g744
    +VFunction
    +p2268
    +tp2269
    +a(g826
    +g970
    +tp2270
    +a(g573
    +VIsValidHandle
    +p2271
    +tp2272
    +a(g705
    +g1191
    +tp2273
    +a(g744
    +VConst
    +p2274
    +tp2275
    +a(g826
    +g970
    +tp2276
    +a(g435
    +VHandle
    +p2277
    +tp2278
    +a(g412
    +g1051
    +tp2279
    +a(g826
    +g970
    +tp2280
    +a(g748
    +VTHandle
    +p2281
    +tp2282
    +a(g705
    +g1220
    +tp2283
    +a(g412
    +g1051
    +tp2284
    +a(g826
    +g970
    +tp2285
    +a(g748
    +VBoolean
    +p2286
    +tp2287
    +a(g412
    +g979
    +tp2288
    +a(g826
    +g970
    +tp2289
    +a(g929
    +V{$IFDEF OMORPHIA_FEATURES_USEASM}
    +p2290
    +tp2291
    +a(g826
    +g970
    +tp2292
    +a(g785
    +VAssembler
    +p2293
    +tp2294
    +a(g412
    +g979
    +tp2295
    +a(g826
    +V\u000a
    +p2296
    +tp2297
    +a(g744
    +VAsm
    +p2298
    +tp2299
    +a(g826
    +V\u000a    
    +p2300
    +tp2301
    +a(g744
    +VTEST
    +p2302
    +tp2303
    +a(g826
    +V    
    +p2304
    +tp2305
    +a(g681
    +VEAX
    +p2306
    +tp2307
    +a(g412
    +g974
    +tp2308
    +a(g826
    +g970
    +tp2309
    +a(g681
    +VEAX
    +p2310
    +tp2311
    +a(g826
    +V\u000a    
    +p2312
    +tp2313
    +a(g744
    +VJZ
    +p2314
    +tp2315
    +a(g826
    +V      
    +p2316
    +tp2317
    +a(g596
    +V@@Finish
    +p2318
    +tp2319
    +a(g826
    +V\u000a    
    +p2320
    +tp2321
    +a(g744
    +VNOT
    +p2322
    +tp2323
    +a(g826
    +V     
    +p2324
    +tp2325
    +a(g681
    +VEAX
    +p2326
    +tp2327
    +a(g826
    +V\u000a    
    +p2328
    +tp2329
    +a(g744
    +VTEST
    +p2330
    +tp2331
    +a(g826
    +V    
    +p2332
    +tp2333
    +a(g681
    +VEAX
    +p2334
    +tp2335
    +a(g412
    +g974
    +tp2336
    +a(g826
    +g970
    +tp2337
    +a(g681
    +VEAX
    +p2338
    +tp2339
    +a(g826
    +V\u000a    
    +p2340
    +tp2341
    +a(g744
    +VSETNZ
    +p2342
    +tp2343
    +a(g826
    +V   
    +p2344
    +tp2345
    +a(g681
    +VAL
    +p2346
    +tp2347
    +a(g826
    +V\u000a\u000a    
    +p2348
    +tp2349
    +a(g929
    +V{$IFDEF WINDOWS}
    +p2350
    +tp2351
    +a(g826
    +V\u000a    
    +p2352
    +tp2353
    +a(g744
    +VJZ
    +p2354
    +tp2355
    +a(g826
    +V      
    +p2356
    +tp2357
    +a(g596
    +V@@Finish
    +p2358
    +tp2359
    +a(g826
    +V\u000a\u000a    
    +p2360
    +tp2361
    +a(g8
    +V//Save the handle against modifications or loss
    +p2362
    +tp2363
    +a(g826
    +V\u000a    
    +p2364
    +tp2365
    +a(g744
    +VPUSH
    +p2366
    +tp2367
    +a(g826
    +V    
    +p2368
    +tp2369
    +a(g681
    +VEAX
    +p2370
    +tp2371
    +a(g826
    +V\u000a\u000a    
    +p2372
    +tp2373
    +a(g8
    +V//reserve some space for a later duplicate
    +p2374
    +tp2375
    +a(g826
    +V\u000a    
    +p2376
    +tp2377
    +a(g744
    +VPUSH
    +p2378
    +tp2379
    +a(g826
    +V    
    +p2380
    +tp2381
    +a(g681
    +VEAX
    +p2382
    +tp2383
    +a(g826
    +V\u000a\u000a    
    +p2384
    +tp2385
    +a(g8
    +V//Check if we are working on NT-Platform
    +p2386
    +tp2387
    +a(g826
    +V\u000a    
    +p2388
    +tp2389
    +a(g744
    +VCALL
    +p2390
    +tp2391
    +a(g826
    +V    
    +p2392
    +tp2393
    +a(g435
    +VIsWindowsNTSystem
    +p2394
    +tp2395
    +a(g826
    +V\u000a    
    +p2396
    +tp2397
    +a(g744
    +VTEST
    +p2398
    +tp2399
    +a(g826
    +V    
    +p2400
    +tp2401
    +a(g681
    +VEAX
    +p2402
    +tp2403
    +a(g412
    +g974
    +tp2404
    +a(g826
    +g970
    +tp2405
    +a(g681
    +VEAX
    +p2406
    +tp2407
    +a(g826
    +V\u000a    
    +p2408
    +tp2409
    +a(g744
    +VJZ
    +p2410
    +tp2411
    +a(g826
    +V      
    +p2412
    +tp2413
    +a(g596
    +V@@NoNTSystem
    +p2414
    +tp2415
    +a(g826
    +V\u000a\u000a    
    +p2416
    +tp2417
    +a(g744
    +VPUSH
    +p2418
    +tp2419
    +a(g826
    +V    
    +p2420
    +tp2421
    +a(g435
    +VDWORD
    +p2422
    +tp2423
    +a(g826
    +g970
    +tp2424
    +a(g435
    +VPTR
    +p2425
    +tp2426
    +a(g826
    +g970
    +tp2427
    +a(g705
    +g1279
    +tp2428
    +a(g681
    +VESP
    +p2429
    +tp2430
    +a(g705
    +V]
    +p2431
    +tp2432
    +a(g826
    +V\u000a    
    +p2433
    +tp2434
    +a(g744
    +VLEA
    +p2435
    +tp2436
    +a(g826
    +V     
    +p2437
    +tp2438
    +a(g681
    +VEAX
    +p2439
    +tp2440
    +a(g412
    +g974
    +tp2441
    +a(g826
    +g970
    +tp2442
    +a(g435
    +VDWORD
    +p2443
    +tp2444
    +a(g826
    +g970
    +tp2445
    +a(g435
    +VPTR
    +p2446
    +tp2447
    +a(g826
    +g970
    +tp2448
    +a(g705
    +g1279
    +tp2449
    +a(g681
    +VESP
    +p2450
    +tp2451
    +a(g412
    +g997
    +tp2452
    +a(g297
    +V$04
    +p2453
    +tp2454
    +a(g705
    +g2431
    +tp2455
    +a(g826
    +V\u000a    
    +p2456
    +tp2457
    +a(g744
    +VPUSH
    +p2458
    +tp2459
    +a(g826
    +V    
    +p2460
    +tp2461
    +a(g681
    +VEAX
    +p2462
    +tp2463
    +a(g826
    +V\u000a    
    +p2464
    +tp2465
    +a(g744
    +VCALL
    +p2466
    +tp2467
    +a(g826
    +V    
    +p2468
    +tp2469
    +a(g435
    +VGetHandleInformation
    +p2470
    +tp2471
    +a(g826
    +V\u000a    
    +p2472
    +tp2473
    +a(g744
    +VTEST
    +p2474
    +tp2475
    +a(g826
    +V    
    +p2476
    +tp2477
    +a(g681
    +VEAX
    +p2478
    +tp2479
    +a(g412
    +g974
    +tp2480
    +a(g826
    +g970
    +tp2481
    +a(g681
    +VEAX
    +p2482
    +tp2483
    +a(g826
    +V\u000a    
    +p2484
    +tp2485
    +a(g744
    +VJNZ
    +p2486
    +tp2487
    +a(g826
    +V     
    +p2488
    +tp2489
    +a(g596
    +V@@Finish2
    +p2490
    +tp2491
    +a(g826
    +V\u000a\u000a
    +p2492
    +tp2493
    +a(g596
    +V@@NoNTSystem
    +p2494
    +tp2495
    +a(g412
    +g1051
    +tp2496
    +a(g826
    +V\u000a    
    +p2497
    +tp2498
    +a(g8
    +V//Result := DuplicateHandle(GetCurrentProcess, Handle, GetCurrentProcess,
    +p2499
    +tp2500
    +a(g826
    +V\u000a    
    +p2501
    +tp2502
    +a(g8
    +V//  @Duplicate, 0, False, DUPLICATE_SAME_ACCESS);
    +p2503
    +tp2504
    +a(g826
    +V\u000a    
    +p2505
    +tp2506
    +a(g744
    +VPUSH
    +p2507
    +tp2508
    +a(g826
    +V    
    +p2509
    +tp2510
    +a(g435
    +VDUPLICATE_SAME_ACCESS
    +p2511
    +tp2512
    +a(g826
    +V\u000a    
    +p2513
    +tp2514
    +a(g744
    +VPUSH
    +p2515
    +tp2516
    +a(g826
    +V    
    +p2517
    +tp2518
    +a(g297
    +V$00000000
    +p2519
    +tp2520
    +a(g826
    +V\u000a    
    +p2521
    +tp2522
    +a(g744
    +VPUSH
    +p2523
    +tp2524
    +a(g826
    +V    
    +p2525
    +tp2526
    +a(g297
    +V$00000000
    +p2527
    +tp2528
    +a(g826
    +V\u000a    
    +p2529
    +tp2530
    +a(g744
    +VLEA
    +p2531
    +tp2532
    +a(g826
    +V     
    +p2533
    +tp2534
    +a(g681
    +VEAX
    +p2535
    +tp2536
    +a(g412
    +g974
    +tp2537
    +a(g826
    +g970
    +tp2538
    +a(g435
    +VDWORD
    +p2539
    +tp2540
    +a(g826
    +g970
    +tp2541
    +a(g435
    +VPTR
    +p2542
    +tp2543
    +a(g826
    +g970
    +tp2544
    +a(g705
    +g1279
    +tp2545
    +a(g681
    +VESP
    +p2546
    +tp2547
    +a(g412
    +g997
    +tp2548
    +a(g297
    +V$0C
    +p2549
    +tp2550
    +a(g705
    +g2431
    +tp2551
    +a(g826
    +V\u000a    
    +p2552
    +tp2553
    +a(g744
    +VPUSH
    +p2554
    +tp2555
    +a(g826
    +V    
    +p2556
    +tp2557
    +a(g681
    +VEAX
    +p2558
    +tp2559
    +a(g826
    +V\u000a    
    +p2560
    +tp2561
    +a(g744
    +VCALL
    +p2562
    +tp2563
    +a(g826
    +V    
    +p2564
    +tp2565
    +a(g435
    +VGetCurrentProcess
    +p2566
    +tp2567
    +a(g826
    +V\u000a    
    +p2568
    +tp2569
    +a(g744
    +VPUSH
    +p2570
    +tp2571
    +a(g826
    +V    
    +p2572
    +tp2573
    +a(g681
    +VEAX
    +p2574
    +tp2575
    +a(g826
    +V\u000a    
    +p2576
    +tp2577
    +a(g744
    +VPUSH
    +p2578
    +tp2579
    +a(g826
    +V    
    +p2580
    +tp2581
    +a(g435
    +VDWORD
    +p2582
    +tp2583
    +a(g826
    +g970
    +tp2584
    +a(g435
    +VPTR
    +p2585
    +tp2586
    +a(g826
    +g970
    +tp2587
    +a(g705
    +g1279
    +tp2588
    +a(g681
    +VESP
    +p2589
    +tp2590
    +a(g412
    +g997
    +tp2591
    +a(g297
    +V$18
    +p2592
    +tp2593
    +a(g705
    +g2431
    +tp2594
    +a(g826
    +V\u000a    
    +p2595
    +tp2596
    +a(g744
    +VPUSH
    +p2597
    +tp2598
    +a(g826
    +V    
    +p2599
    +tp2600
    +a(g681
    +VEAX
    +p2601
    +tp2602
    +a(g826
    +V\u000a    
    +p2603
    +tp2604
    +a(g744
    +VCALL
    +p2605
    +tp2606
    +a(g826
    +V    
    +p2607
    +tp2608
    +a(g435
    +VDuplicateHandle
    +p2609
    +tp2610
    +a(g826
    +V\u000a\u000a    
    +p2611
    +tp2612
    +a(g744
    +VTEST
    +p2613
    +tp2614
    +a(g826
    +V    
    +p2615
    +tp2616
    +a(g681
    +VEAX
    +p2617
    +tp2618
    +a(g412
    +g974
    +tp2619
    +a(g826
    +g970
    +tp2620
    +a(g681
    +VEAX
    +p2621
    +tp2622
    +a(g826
    +V\u000a    
    +p2623
    +tp2624
    +a(g744
    +VJZ
    +p2625
    +tp2626
    +a(g826
    +V      
    +p2627
    +tp2628
    +a(g596
    +V@@Finish2
    +p2629
    +tp2630
    +a(g826
    +V\u000a\u000a    
    +p2631
    +tp2632
    +a(g8
    +V//  Result := CloseHandle(Duplicate);
    +p2633
    +tp2634
    +a(g826
    +V\u000a    
    +p2635
    +tp2636
    +a(g744
    +VPUSH
    +p2637
    +tp2638
    +a(g826
    +V    
    +p2639
    +tp2640
    +a(g435
    +VDWORD
    +p2641
    +tp2642
    +a(g826
    +g970
    +tp2643
    +a(g435
    +VPTR
    +p2644
    +tp2645
    +a(g826
    +g970
    +tp2646
    +a(g705
    +g1279
    +tp2647
    +a(g681
    +VESP
    +p2648
    +tp2649
    +a(g705
    +g2431
    +tp2650
    +a(g826
    +V\u000a    
    +p2651
    +tp2652
    +a(g744
    +VCALL
    +p2653
    +tp2654
    +a(g826
    +V    
    +p2655
    +tp2656
    +a(g435
    +VCloseHandle
    +p2657
    +tp2658
    +a(g826
    +V\u000a\u000a
    +p2659
    +tp2660
    +a(g596
    +V@@Finish2
    +p2661
    +tp2662
    +a(g412
    +g1051
    +tp2663
    +a(g826
    +V\u000a    
    +p2664
    +tp2665
    +a(g744
    +VPOP
    +p2666
    +tp2667
    +a(g826
    +V     
    +p2668
    +tp2669
    +a(g681
    +VEDX
    +p2670
    +tp2671
    +a(g826
    +V\u000a    
    +p2672
    +tp2673
    +a(g744
    +VPOP
    +p2674
    +tp2675
    +a(g826
    +V     
    +p2676
    +tp2677
    +a(g681
    +VEDX
    +p2678
    +tp2679
    +a(g826
    +V\u000a\u000a    
    +p2680
    +tp2681
    +a(g744
    +VPUSH
    +p2682
    +tp2683
    +a(g826
    +V    
    +p2684
    +tp2685
    +a(g681
    +VEAX
    +p2686
    +tp2687
    +a(g826
    +V\u000a    
    +p2688
    +tp2689
    +a(g744
    +VPUSH
    +p2690
    +tp2691
    +a(g826
    +V    
    +p2692
    +tp2693
    +a(g297
    +V$00000000
    +p2694
    +tp2695
    +a(g826
    +V\u000a    
    +p2696
    +tp2697
    +a(g744
    +VCALL
    +p2698
    +tp2699
    +a(g826
    +V    
    +p2700
    +tp2701
    +a(g435
    +VSetLastError
    +p2702
    +tp2703
    +a(g826
    +V\u000a    
    +p2704
    +tp2705
    +a(g744
    +VPOP
    +p2706
    +tp2707
    +a(g826
    +V     
    +p2708
    +tp2709
    +a(g681
    +VEAX
    +p2710
    +tp2711
    +a(g826
    +V\u000a    
    +p2712
    +tp2713
    +a(g929
    +V{$ENDIF}
    +p2714
    +tp2715
    +a(g826
    +V\u000a\u000a
    +p2716
    +tp2717
    +a(g596
    +V@@Finish
    +p2718
    +tp2719
    +a(g412
    +g1051
    +tp2720
    +a(g826
    +V\u000a
    +p2721
    +tp2722
    +a(g744
    +VEnd
    +p2723
    +tp2724
    +a(g412
    +g979
    +tp2725
    +a(g826
    +V\u000a
    +p2726
    +tp2727
    +a(g929
    +V{$ELSE}
    +p2728
    +tp2729
    +a(g826
    +V\u000a
    +p2730
    +tp2731
    +a(g744
    +VVar
    +p2732
    +tp2733
    +a(g826
    +V\u000a    
    +p2734
    +tp2735
    +a(g435
    +VDuplicate
    +p2736
    +tp2737
    +a(g412
    +g1051
    +tp2738
    +a(g826
    +g970
    +tp2739
    +a(g748
    +VTHandle
    +p2740
    +tp2741
    +a(g412
    +g979
    +tp2742
    +a(g826
    +V\u000a    
    +p2743
    +tp2744
    +a(g435
    +VFlags
    +p2745
    +tp2746
    +a(g412
    +g1051
    +tp2747
    +a(g826
    +g970
    +tp2748
    +a(g748
    +VDWORD
    +p2749
    +tp2750
    +a(g412
    +g979
    +tp2751
    +a(g826
    +V\u000a
    +p2752
    +tp2753
    +a(g744
    +VBegin
    +p2754
    +tp2755
    +a(g826
    +V\u000a    
    +p2756
    +tp2757
    +a(g744
    +VIf
    +p2758
    +tp2759
    +a(g826
    +g970
    +tp2760
    +a(g435
    +VIsWinNT
    +p2761
    +tp2762
    +a(g826
    +g970
    +tp2763
    +a(g744
    +VThen
    +p2764
    +tp2765
    +a(g826
    +V\u000a        
    +p2766
    +tp2767
    +a(g693
    +VResult
    +p2768
    +tp2769
    +a(g826
    +g970
    +tp2770
    +a(g412
    +g1051
    +tp2771
    +a(g412
    +g991
    +tp2772
    +a(g826
    +g970
    +tp2773
    +a(g435
    +VGetHandleInformation
    +p2774
    +tp2775
    +a(g705
    +g1191
    +tp2776
    +a(g435
    +VHandle
    +p2777
    +tp2778
    +a(g412
    +g974
    +tp2779
    +a(g826
    +g970
    +tp2780
    +a(g435
    +VFlags
    +p2781
    +tp2782
    +a(g705
    +g1220
    +tp2783
    +a(g826
    +V\u000a    
    +p2784
    +tp2785
    +a(g744
    +VElse
    +p2786
    +tp2787
    +a(g826
    +V\u000a        
    +p2788
    +tp2789
    +a(g693
    +VResult
    +p2790
    +tp2791
    +a(g826
    +g970
    +tp2792
    +a(g412
    +g1051
    +tp2793
    +a(g412
    +g991
    +tp2794
    +a(g826
    +g970
    +tp2795
    +a(g744
    +VFalse
    +p2796
    +tp2797
    +a(g412
    +g979
    +tp2798
    +a(g826
    +V\u000a    
    +p2799
    +tp2800
    +a(g744
    +VIf
    +p2801
    +tp2802
    +a(g826
    +g970
    +tp2803
    +a(g744
    +VNot
    +p2804
    +tp2805
    +a(g826
    +g970
    +tp2806
    +a(g693
    +VResult
    +p2807
    +tp2808
    +a(g826
    +g970
    +tp2809
    +a(g744
    +VThen
    +p2810
    +tp2811
    +a(g826
    +V\u000a    
    +p2812
    +tp2813
    +a(g744
    +VBegin
    +p2814
    +tp2815
    +a(g826
    +V\u000a        
    +p2816
    +tp2817
    +a(g8
    +V// DuplicateHandle is used as an additional check for those object types not
    +p2818
    +tp2819
    +a(g826
    +V\u000a        
    +p2820
    +tp2821
    +a(g8
    +V// supported by GetHandleInformation (e.g. according to the documentation,
    +p2822
    +tp2823
    +a(g826
    +V\u000a        
    +p2824
    +tp2825
    +a(g8
    +V// GetHandleInformation doesn't support window stations and desktop although
    +p2826
    +tp2827
    +a(g826
    +V\u000a        
    +p2828
    +tp2829
    +a(g8
    +V// tests show that it does). GetHandleInformation is tried first because its
    +p2830
    +tp2831
    +a(g826
    +V\u000a        
    +p2832
    +tp2833
    +a(g8
    +V// much faster. Additionally GetHandleInformation is only supported on NT...
    +p2834
    +tp2835
    +a(g826
    +V\u000a        
    +p2836
    +tp2837
    +a(g693
    +VResult
    +p2838
    +tp2839
    +a(g826
    +g970
    +tp2840
    +a(g412
    +g1051
    +tp2841
    +a(g412
    +g991
    +tp2842
    +a(g826
    +g970
    +tp2843
    +a(g435
    +VDuplicateHandle
    +p2844
    +tp2845
    +a(g705
    +g1191
    +tp2846
    +a(g435
    +VGetCurrentProcess
    +p2847
    +tp2848
    +a(g412
    +g974
    +tp2849
    +a(g826
    +g970
    +tp2850
    +a(g435
    +VHandle
    +p2851
    +tp2852
    +a(g412
    +g974
    +tp2853
    +a(g826
    +g970
    +tp2854
    +a(g435
    +VGetCurrentProcess
    +p2855
    +tp2856
    +a(g412
    +g974
    +tp2857
    +a(g826
    +V\u000a            
    +p2858
    +tp2859
    +a(g412
    +g1325
    +tp2860
    +a(g435
    +VDuplicate
    +p2861
    +tp2862
    +a(g412
    +g974
    +tp2863
    +a(g826
    +g970
    +tp2864
    +a(g37
    +g1059
    +tp2865
    +a(g412
    +g974
    +tp2866
    +a(g826
    +g970
    +tp2867
    +a(g744
    +VFalse
    +p2868
    +tp2869
    +a(g412
    +g974
    +tp2870
    +a(g826
    +g970
    +tp2871
    +a(g435
    +VDUPLICATE_SAME_ACCESS
    +p2872
    +tp2873
    +a(g705
    +g1220
    +tp2874
    +a(g412
    +g979
    +tp2875
    +a(g826
    +V\u000a        
    +p2876
    +tp2877
    +a(g744
    +VIf
    +p2878
    +tp2879
    +a(g826
    +g970
    +tp2880
    +a(g693
    +VResult
    +p2881
    +tp2882
    +a(g826
    +g970
    +tp2883
    +a(g744
    +VThen
    +p2884
    +tp2885
    +a(g826
    +V\u000a            
    +p2886
    +tp2887
    +a(g693
    +VResult
    +p2888
    +tp2889
    +a(g826
    +g970
    +tp2890
    +a(g412
    +g1051
    +tp2891
    +a(g412
    +g991
    +tp2892
    +a(g826
    +g970
    +tp2893
    +a(g435
    +VCloseHandle
    +p2894
    +tp2895
    +a(g705
    +g1191
    +tp2896
    +a(g435
    +VDuplicate
    +p2897
    +tp2898
    +a(g705
    +g1220
    +tp2899
    +a(g412
    +g979
    +tp2900
    +a(g826
    +V\u000a    
    +p2901
    +tp2902
    +a(g744
    +VEnd
    +p2903
    +tp2904
    +a(g412
    +g979
    +tp2905
    +a(g826
    +V\u000a
    +p2906
    +tp2907
    +a(g744
    +VEnd
    +p2908
    +tp2909
    +a(g412
    +g979
    +tp2910
    +a(g826
    +V\u000a
    +p2911
    +tp2912
    +a(g929
    +V{$ENDIF}
    +p2913
    +tp2914
    +a(g826
    +V\u000a\u000a\u000a    	\u000a\u000a
    +p2915
    +tp2916
    +a(g929
    +V{*******************************************************}
    +p2917
    +tp2918
    +a(g826
    +V\u000a
    +p2919
    +tp2920
    +a(g929
    +V{                                                       }
    +p2921
    +tp2922
    +a(g826
    +V\u000a
    +p2923
    +tp2924
    +a(g929
    +V{       Delphi Supplemental Components                  }
    +p2925
    +tp2926
    +a(g826
    +V\u000a
    +p2927
    +tp2928
    +a(g929
    +V{       ZLIB Data Compression Interface Unit            }
    +p2929
    +tp2930
    +a(g826
    +V\u000a
    +p2931
    +tp2932
    +a(g929
    +V{                                                       }
    +p2933
    +tp2934
    +a(g826
    +V\u000a
    +p2935
    +tp2936
    +a(g929
    +V{       Copyright (c) 1997 Borland International        }
    +p2937
    +tp2938
    +a(g826
    +V\u000a
    +p2939
    +tp2940
    +a(g929
    +V{                                                       }
    +p2941
    +tp2942
    +a(g826
    +V\u000a
    +p2943
    +tp2944
    +a(g929
    +V{*******************************************************}
    +p2945
    +tp2946
    +a(g826
    +V\u000a\u000a
    +p2947
    +tp2948
    +a(g929
    +V{ Modified for zlib 1.1.3 by Davide Moretti \u000a *  @copyright   Copyright (c) 2006, Manni\u000a *  @version     1.0\u000a *  @link        http://www.pkware.com/business_and_developers/developer/popups/appnote.txt\u000a *  @link        http://mannithedark.is-a-geek.net/\u000a *  @since       1.0\u000a *  @package     fnord.bb\u000a *  @subpackage  archive\u000a */
    +p1063
    +tp1064
    +a(g827
    +V\u000a
    +p1065
    +tp1066
    +a(g745
    +Vclass
    +p1067
    +tp1068
    +a(g827
    +g962
    +tp1069
    +a(g629
    +VZip
    +p1070
    +tp1071
    +a(g827
    +g962
    +tp1072
    +a(g745
    +Vextends
    +p1073
    +tp1074
    +a(g827
    +g962
    +tp1075
    +a(g651
    +VArchive
    +p1076
    +tp1077
    +a(g827
    +g962
    +tp1078
    +a(g706
    +g987
    +tp1079
    +a(g827
    +V\u000a 
    +p1080
    +tp1081
    +a(g222
    +V/**\u000a  *  Outputs the zip file\u000a  *\u000a  *  This function creates the zip file with the dirs and files given.\u000a  *  If the optional parameter $file is given, the zip file is will be\u000a  *  saved at that location. Otherwise the function returns the zip file's content.\u000a  *\u000a  *  @access                   public\u000a  *\u000a  *  @link                     http://www.pkware.com/business_and_developers/developer/popups/appnote.txt\u000a  *  @param  string $filename  The path where the zip file will be saved\u000a  *\u000a  *  @return bool|string       Returns either true if the fil is sucessfully created or the content of the zip file\u000a  */
    +p1082
    +tp1083
    +a(g827
    +V\u000a  
    +p1084
    +tp1085
    +a(g745
    +Vfunction
    +p1086
    +tp1087
    +a(g827
    +g962
    +tp1088
    +a(g574
    +Vout
    +p1089
    +tp1090
    +a(g706
    +g980
    +tp1091
    +a(g441
    +V$filename
    +p1092
    +tp1093
    +a(g827
    +g962
    +tp1094
    +a(g413
    +g964
    +tp1095
    +a(g827
    +g962
    +tp1096
    +a(g745
    +Vfalse
    +p1097
    +tp1098
    +a(g706
    +g984
    +tp1099
    +a(g827
    +g962
    +tp1100
    +a(g706
    +g987
    +tp1101
    +a(g827
    +V\u000a    
    +p1102
    +tp1103
    +a(g898
    +V// Empty output\u000a
    +p1104
    +tp1105
    +a(g827
    +V    
    +p1106
    +tp1107
    +a(g441
    +V$file_data
    +p1108
    +tp1109
    +a(g827
    +g962
    +tp1110
    +a(g413
    +g964
    +tp1111
    +a(g827
    +g962
    +tp1112
    +a(g745
    +Varray
    +p1113
    +tp1114
    +a(g706
    +V();
    +p1115
    +tp1116
    +a(g827
    +g962
    +tp1117
    +a(g898
    +V// Data of the file part\u000a
    +p1118
    +tp1119
    +a(g827
    +V    
    +p1120
    +tp1121
    +a(g441
    +V$cd_data
    +p1122
    +tp1123
    +a(g827
    +V   
    +p1124
    +tp1125
    +a(g413
    +g964
    +tp1126
    +a(g827
    +g962
    +tp1127
    +a(g745
    +Varray
    +p1128
    +tp1129
    +a(g706
    +V();
    +p1130
    +tp1131
    +a(g827
    +g962
    +tp1132
    +a(g898
    +V// Data of the central directory\u000a
    +p1133
    +tp1134
    +a(g827
    +V\u000a    
    +p1135
    +tp1136
    +a(g898
    +V// Sort dirs and files by path length\u000a
    +p1137
    +tp1138
    +a(g827
    +V    
    +p1139
    +tp1140
    +a(g682
    +Vuksort
    +p1141
    +tp1142
    +a(g706
    +g980
    +tp1143
    +a(g441
    +V$this
    +p1144
    +tp1145
    +a(g413
    +V->
    +p1146
    +tp1147
    +a(g667
    +Vdirs
    +p1148
    +tp1149
    +a(g706
    +V,
    +p1150
    +tp1151
    +a(g827
    +V  
    +p1152
    +tp1153
    +a(g230
    +V'sort_by_length'
    +p1154
    +tp1155
    +a(g706
    +V);
    +p1156
    +tp1157
    +a(g827
    +V\u000a    
    +p1158
    +tp1159
    +a(g682
    +Vuksort
    +p1160
    +tp1161
    +a(g706
    +g980
    +tp1162
    +a(g441
    +V$this
    +p1163
    +tp1164
    +a(g413
    +V->
    +p1165
    +tp1166
    +a(g667
    +Vfiles
    +p1167
    +tp1168
    +a(g706
    +g1150
    +tp1169
    +a(g827
    +g962
    +tp1170
    +a(g230
    +V'sort_by_length'
    +p1171
    +tp1172
    +a(g706
    +V);
    +p1173
    +tp1174
    +a(g827
    +V\u000a\u000a    
    +p1175
    +tp1176
    +a(g898
    +V// Handle dirs\u000a
    +p1177
    +tp1178
    +a(g827
    +V    
    +p1179
    +tp1180
    +a(g745
    +Vforeach
    +p1181
    +tp1182
    +a(g706
    +g980
    +tp1183
    +a(g441
    +V$this
    +p1184
    +tp1185
    +a(g413
    +V->
    +p1186
    +tp1187
    +a(g667
    +Vdirs
    +p1188
    +tp1189
    +a(g827
    +g962
    +tp1190
    +a(g745
    +Vas
    +p1191
    +tp1192
    +a(g827
    +g962
    +tp1193
    +a(g441
    +V$dir
    +p1194
    +tp1195
    +a(g706
    +g984
    +tp1196
    +a(g827
    +g962
    +tp1197
    +a(g706
    +g987
    +tp1198
    +a(g827
    +V\u000a      
    +p1199
    +tp1200
    +a(g441
    +V$dir
    +p1201
    +tp1202
    +a(g827
    +g962
    +tp1203
    +a(g413
    +V.=
    +p1204
    +tp1205
    +a(g827
    +g962
    +tp1206
    +a(g230
    +V'/'
    +p1207
    +tp1208
    +a(g706
    +g969
    +tp1209
    +a(g827
    +V\u000a      
    +p1210
    +tp1211
    +a(g898
    +V// File part\u000a
    +p1212
    +tp1213
    +a(g827
    +V\u000a      
    +p1214
    +tp1215
    +a(g898
    +V// Reset dir data\u000a
    +p1216
    +tp1217
    +a(g827
    +V      
    +p1218
    +tp1219
    +a(g441
    +V$dir_data
    +p1220
    +tp1221
    +a(g827
    +g962
    +tp1222
    +a(g413
    +g964
    +tp1223
    +a(g827
    +g962
    +tp1224
    +a(g230
    +V''
    +p1225
    +tp1226
    +a(g706
    +g969
    +tp1227
    +a(g827
    +V\u000a\u000a      
    +p1228
    +tp1229
    +a(g898
    +V// Local file header\u000a
    +p1230
    +tp1231
    +a(g827
    +V      
    +p1232
    +tp1233
    +a(g441
    +V$dir_data
    +p1234
    +tp1235
    +a(g827
    +g962
    +tp1236
    +a(g413
    +V.=
    +p1237
    +tp1238
    +a(g827
    +g962
    +tp1239
    +a(g157
    +V"
    +p1240
    +tp1241
    +a(g213
    +V\u005cx50
    +p1242
    +tp1243
    +a(g213
    +V\u005cx4b
    +p1244
    +tp1245
    +a(g213
    +V\u005cx03
    +p1246
    +tp1247
    +a(g213
    +V\u005cx04
    +p1248
    +tp1249
    +a(g157
    +g1240
    +tp1250
    +a(g706
    +g969
    +tp1251
    +a(g827
    +V      
    +p1252
    +tp1253
    +a(g898
    +V// Local file header signature\u000a
    +p1254
    +tp1255
    +a(g827
    +V      
    +p1256
    +tp1257
    +a(g441
    +V$dir_data
    +p1258
    +tp1259
    +a(g827
    +g962
    +tp1260
    +a(g413
    +V.=
    +p1261
    +tp1262
    +a(g827
    +g962
    +tp1263
    +a(g682
    +Vpack
    +p1264
    +tp1265
    +a(g706
    +g980
    +tp1266
    +a(g157
    +g1240
    +tp1267
    +a(g157
    +Vv
    +p1268
    +tp1269
    +a(g157
    +g1240
    +tp1270
    +a(g706
    +g1150
    +tp1271
    +a(g827
    +g962
    +tp1272
    +a(g37
    +V10
    +p1273
    +tp1274
    +a(g706
    +V);
    +p1275
    +tp1276
    +a(g827
    +V           
    +p1277
    +tp1278
    +a(g898
    +V// Version needed to extract\u000a
    +p1279
    +tp1280
    +a(g827
    +V      
    +p1281
    +tp1282
    +a(g441
    +V$dir_data
    +p1283
    +tp1284
    +a(g827
    +g962
    +tp1285
    +a(g413
    +V.=
    +p1286
    +tp1287
    +a(g827
    +g962
    +tp1288
    +a(g682
    +Vpack
    +p1289
    +tp1290
    +a(g706
    +g980
    +tp1291
    +a(g157
    +g1240
    +tp1292
    +a(g157
    +g1268
    +tp1293
    +a(g157
    +g1240
    +tp1294
    +a(g706
    +g1150
    +tp1295
    +a(g827
    +g962
    +tp1296
    +a(g37
    +V0
    +p1297
    +tp1298
    +a(g706
    +V);
    +p1299
    +tp1300
    +a(g827
    +V            
    +p1301
    +tp1302
    +a(g898
    +V// General purpose bit flag\u000a
    +p1303
    +tp1304
    +a(g827
    +V      
    +p1305
    +tp1306
    +a(g441
    +V$dir_data
    +p1307
    +tp1308
    +a(g827
    +g962
    +tp1309
    +a(g413
    +V.=
    +p1310
    +tp1311
    +a(g827
    +g962
    +tp1312
    +a(g682
    +Vpack
    +p1313
    +tp1314
    +a(g706
    +g980
    +tp1315
    +a(g157
    +g1240
    +tp1316
    +a(g157
    +g1268
    +tp1317
    +a(g157
    +g1240
    +tp1318
    +a(g706
    +g1150
    +tp1319
    +a(g827
    +g962
    +tp1320
    +a(g37
    +g1297
    +tp1321
    +a(g706
    +V);
    +p1322
    +tp1323
    +a(g827
    +V            
    +p1324
    +tp1325
    +a(g898
    +V// Compression method\u000a
    +p1326
    +tp1327
    +a(g827
    +V      
    +p1328
    +tp1329
    +a(g441
    +V$dir_data
    +p1330
    +tp1331
    +a(g827
    +g962
    +tp1332
    +a(g413
    +V.=
    +p1333
    +tp1334
    +a(g827
    +g962
    +tp1335
    +a(g682
    +Vpack
    +p1336
    +tp1337
    +a(g706
    +g980
    +tp1338
    +a(g157
    +g1240
    +tp1339
    +a(g157
    +g1268
    +tp1340
    +a(g157
    +g1240
    +tp1341
    +a(g706
    +g1150
    +tp1342
    +a(g827
    +g962
    +tp1343
    +a(g37
    +g1297
    +tp1344
    +a(g706
    +V);
    +p1345
    +tp1346
    +a(g827
    +V            
    +p1347
    +tp1348
    +a(g898
    +V// Last mod file time\u000a
    +p1349
    +tp1350
    +a(g827
    +V      
    +p1351
    +tp1352
    +a(g441
    +V$dir_data
    +p1353
    +tp1354
    +a(g827
    +g962
    +tp1355
    +a(g413
    +V.=
    +p1356
    +tp1357
    +a(g827
    +g962
    +tp1358
    +a(g682
    +Vpack
    +p1359
    +tp1360
    +a(g706
    +g980
    +tp1361
    +a(g157
    +g1240
    +tp1362
    +a(g157
    +g1268
    +tp1363
    +a(g157
    +g1240
    +tp1364
    +a(g706
    +g1150
    +tp1365
    +a(g827
    +g962
    +tp1366
    +a(g37
    +g1297
    +tp1367
    +a(g706
    +V);
    +p1368
    +tp1369
    +a(g827
    +V            
    +p1370
    +tp1371
    +a(g898
    +V// Last mod file date\u000a
    +p1372
    +tp1373
    +a(g827
    +V      
    +p1374
    +tp1375
    +a(g441
    +V$dir_data
    +p1376
    +tp1377
    +a(g827
    +g962
    +tp1378
    +a(g413
    +V.=
    +p1379
    +tp1380
    +a(g827
    +g962
    +tp1381
    +a(g682
    +Vpack
    +p1382
    +tp1383
    +a(g706
    +g980
    +tp1384
    +a(g157
    +g1240
    +tp1385
    +a(g157
    +VV
    +p1386
    +tp1387
    +a(g157
    +g1240
    +tp1388
    +a(g706
    +g1150
    +tp1389
    +a(g827
    +g962
    +tp1390
    +a(g37
    +g1297
    +tp1391
    +a(g706
    +V);
    +p1392
    +tp1393
    +a(g827
    +V            
    +p1394
    +tp1395
    +a(g898
    +V// crc-32\u000a
    +p1396
    +tp1397
    +a(g827
    +V      
    +p1398
    +tp1399
    +a(g441
    +V$dir_data
    +p1400
    +tp1401
    +a(g827
    +g962
    +tp1402
    +a(g413
    +V.=
    +p1403
    +tp1404
    +a(g827
    +g962
    +tp1405
    +a(g682
    +Vpack
    +p1406
    +tp1407
    +a(g706
    +g980
    +tp1408
    +a(g157
    +g1240
    +tp1409
    +a(g157
    +g1386
    +tp1410
    +a(g157
    +g1240
    +tp1411
    +a(g706
    +g1150
    +tp1412
    +a(g827
    +g962
    +tp1413
    +a(g37
    +g1297
    +tp1414
    +a(g706
    +V);
    +p1415
    +tp1416
    +a(g827
    +V            
    +p1417
    +tp1418
    +a(g898
    +V// Compressed size\u000a
    +p1419
    +tp1420
    +a(g827
    +V      
    +p1421
    +tp1422
    +a(g441
    +V$dir_data
    +p1423
    +tp1424
    +a(g827
    +g962
    +tp1425
    +a(g413
    +V.=
    +p1426
    +tp1427
    +a(g827
    +g962
    +tp1428
    +a(g682
    +Vpack
    +p1429
    +tp1430
    +a(g706
    +g980
    +tp1431
    +a(g157
    +g1240
    +tp1432
    +a(g157
    +g1386
    +tp1433
    +a(g157
    +g1240
    +tp1434
    +a(g706
    +g1150
    +tp1435
    +a(g827
    +g962
    +tp1436
    +a(g37
    +g1297
    +tp1437
    +a(g706
    +V);
    +p1438
    +tp1439
    +a(g827
    +V            
    +p1440
    +tp1441
    +a(g898
    +V// Uncompressed size\u000a
    +p1442
    +tp1443
    +a(g827
    +V      
    +p1444
    +tp1445
    +a(g441
    +V$dir_data
    +p1446
    +tp1447
    +a(g827
    +g962
    +tp1448
    +a(g413
    +V.=
    +p1449
    +tp1450
    +a(g827
    +g962
    +tp1451
    +a(g682
    +Vpack
    +p1452
    +tp1453
    +a(g706
    +g980
    +tp1454
    +a(g157
    +g1240
    +tp1455
    +a(g157
    +g1268
    +tp1456
    +a(g157
    +g1240
    +tp1457
    +a(g706
    +g1150
    +tp1458
    +a(g827
    +g962
    +tp1459
    +a(g682
    +Vstrlen
    +p1460
    +tp1461
    +a(g706
    +g980
    +tp1462
    +a(g441
    +V$dir
    +p1463
    +tp1464
    +a(g706
    +V));
    +p1465
    +tp1466
    +a(g827
    +g962
    +tp1467
    +a(g898
    +V// File name length\u000a
    +p1468
    +tp1469
    +a(g827
    +V      
    +p1470
    +tp1471
    +a(g441
    +V$dir_data
    +p1472
    +tp1473
    +a(g827
    +g962
    +tp1474
    +a(g413
    +V.=
    +p1475
    +tp1476
    +a(g827
    +g962
    +tp1477
    +a(g682
    +Vpack
    +p1478
    +tp1479
    +a(g706
    +g980
    +tp1480
    +a(g157
    +g1240
    +tp1481
    +a(g157
    +g1268
    +tp1482
    +a(g157
    +g1240
    +tp1483
    +a(g706
    +g1150
    +tp1484
    +a(g827
    +g962
    +tp1485
    +a(g37
    +g1297
    +tp1486
    +a(g706
    +V);
    +p1487
    +tp1488
    +a(g827
    +V            
    +p1489
    +tp1490
    +a(g898
    +V// Extra field length\u000a
    +p1491
    +tp1492
    +a(g827
    +V\u000a      
    +p1493
    +tp1494
    +a(g441
    +V$dir_data
    +p1495
    +tp1496
    +a(g827
    +g962
    +tp1497
    +a(g413
    +V.=
    +p1498
    +tp1499
    +a(g827
    +g962
    +tp1500
    +a(g441
    +V$dir
    +p1501
    +tp1502
    +a(g706
    +g969
    +tp1503
    +a(g827
    +V                    
    +p1504
    +tp1505
    +a(g898
    +V// File name\u000a
    +p1506
    +tp1507
    +a(g827
    +V      
    +p1508
    +tp1509
    +a(g441
    +V$dir_data
    +p1510
    +tp1511
    +a(g827
    +g962
    +tp1512
    +a(g413
    +V.=
    +p1513
    +tp1514
    +a(g827
    +g962
    +tp1515
    +a(g230
    +V''
    +p1516
    +tp1517
    +a(g706
    +g969
    +tp1518
    +a(g827
    +V                      
    +p1519
    +tp1520
    +a(g898
    +V// Extra field (is empty)\u000a
    +p1521
    +tp1522
    +a(g827
    +V\u000a      
    +p1523
    +tp1524
    +a(g898
    +V// File data\u000a
    +p1525
    +tp1526
    +a(g827
    +V      
    +p1527
    +tp1528
    +a(g441
    +V$dir_data
    +p1529
    +tp1530
    +a(g827
    +g962
    +tp1531
    +a(g413
    +V.=
    +p1532
    +tp1533
    +a(g827
    +g962
    +tp1534
    +a(g230
    +V''
    +p1535
    +tp1536
    +a(g706
    +g969
    +tp1537
    +a(g827
    +V                      
    +p1538
    +tp1539
    +a(g898
    +V// Dirs have no file data\u000a
    +p1540
    +tp1541
    +a(g827
    +V\u000a      
    +p1542
    +tp1543
    +a(g898
    +V// Data descriptor\u000a
    +p1544
    +tp1545
    +a(g827
    +V      
    +p1546
    +tp1547
    +a(g441
    +V$dir_data
    +p1548
    +tp1549
    +a(g827
    +g962
    +tp1550
    +a(g413
    +V.=
    +p1551
    +tp1552
    +a(g827
    +g962
    +tp1553
    +a(g682
    +Vpack
    +p1554
    +tp1555
    +a(g706
    +g980
    +tp1556
    +a(g157
    +g1240
    +tp1557
    +a(g157
    +g1386
    +tp1558
    +a(g157
    +g1240
    +tp1559
    +a(g706
    +g1150
    +tp1560
    +a(g827
    +g962
    +tp1561
    +a(g37
    +g1297
    +tp1562
    +a(g706
    +V);
    +p1563
    +tp1564
    +a(g827
    +V            
    +p1565
    +tp1566
    +a(g898
    +V// crc-32\u000a
    +p1567
    +tp1568
    +a(g827
    +V      
    +p1569
    +tp1570
    +a(g441
    +V$dir_data
    +p1571
    +tp1572
    +a(g827
    +g962
    +tp1573
    +a(g413
    +V.=
    +p1574
    +tp1575
    +a(g827
    +g962
    +tp1576
    +a(g682
    +Vpack
    +p1577
    +tp1578
    +a(g706
    +g980
    +tp1579
    +a(g157
    +g1240
    +tp1580
    +a(g157
    +g1386
    +tp1581
    +a(g157
    +g1240
    +tp1582
    +a(g706
    +g1150
    +tp1583
    +a(g827
    +g962
    +tp1584
    +a(g37
    +g1297
    +tp1585
    +a(g706
    +V);
    +p1586
    +tp1587
    +a(g827
    +V            
    +p1588
    +tp1589
    +a(g898
    +V// Compressed size\u000a
    +p1590
    +tp1591
    +a(g827
    +V      
    +p1592
    +tp1593
    +a(g441
    +V$dir_data
    +p1594
    +tp1595
    +a(g827
    +g962
    +tp1596
    +a(g413
    +V.=
    +p1597
    +tp1598
    +a(g827
    +g962
    +tp1599
    +a(g682
    +Vpack
    +p1600
    +tp1601
    +a(g706
    +g980
    +tp1602
    +a(g157
    +g1240
    +tp1603
    +a(g157
    +g1386
    +tp1604
    +a(g157
    +g1240
    +tp1605
    +a(g706
    +g1150
    +tp1606
    +a(g827
    +g962
    +tp1607
    +a(g37
    +g1297
    +tp1608
    +a(g706
    +V);
    +p1609
    +tp1610
    +a(g827
    +V            
    +p1611
    +tp1612
    +a(g898
    +V// Uncompressed size\u000a
    +p1613
    +tp1614
    +a(g827
    +V\u000a      
    +p1615
    +tp1616
    +a(g898
    +V// Save current offset\u000a
    +p1617
    +tp1618
    +a(g827
    +V      
    +p1619
    +tp1620
    +a(g441
    +V$offset
    +p1621
    +tp1622
    +a(g827
    +g962
    +tp1623
    +a(g413
    +g964
    +tp1624
    +a(g827
    +g962
    +tp1625
    +a(g682
    +Vstrlen
    +p1626
    +tp1627
    +a(g706
    +g980
    +tp1628
    +a(g682
    +Vimplode
    +p1629
    +tp1630
    +a(g706
    +g980
    +tp1631
    +a(g230
    +V''
    +p1632
    +tp1633
    +a(g706
    +g1150
    +tp1634
    +a(g827
    +g962
    +tp1635
    +a(g441
    +V$file_data
    +p1636
    +tp1637
    +a(g706
    +V));
    +p1638
    +tp1639
    +a(g827
    +V\u000a\u000a      
    +p1640
    +tp1641
    +a(g898
    +V// Append dir data to the file part\u000a
    +p1642
    +tp1643
    +a(g827
    +V      
    +p1644
    +tp1645
    +a(g441
    +V$file_data
    +p1646
    +tp1647
    +a(g706
    +V[]
    +p1648
    +tp1649
    +a(g827
    +g962
    +tp1650
    +a(g413
    +g964
    +tp1651
    +a(g827
    +g962
    +tp1652
    +a(g441
    +V$dir_data
    +p1653
    +tp1654
    +a(g706
    +g969
    +tp1655
    +a(g827
    +V\u000a\u000a      
    +p1656
    +tp1657
    +a(g898
    +V// Central directory\u000a
    +p1658
    +tp1659
    +a(g827
    +V\u000a      
    +p1660
    +tp1661
    +a(g898
    +V// Reset dir data\u000a
    +p1662
    +tp1663
    +a(g827
    +V      
    +p1664
    +tp1665
    +a(g441
    +V$dir_data
    +p1666
    +tp1667
    +a(g827
    +g962
    +tp1668
    +a(g413
    +g964
    +tp1669
    +a(g827
    +g962
    +tp1670
    +a(g230
    +V''
    +p1671
    +tp1672
    +a(g706
    +g969
    +tp1673
    +a(g827
    +V\u000a\u000a      
    +p1674
    +tp1675
    +a(g898
    +V// File header\u000a
    +p1676
    +tp1677
    +a(g827
    +V      
    +p1678
    +tp1679
    +a(g441
    +V$dir_data
    +p1680
    +tp1681
    +a(g827
    +g962
    +tp1682
    +a(g413
    +V.=
    +p1683
    +tp1684
    +a(g827
    +g962
    +tp1685
    +a(g157
    +g1240
    +tp1686
    +a(g213
    +V\u005cx50
    +p1687
    +tp1688
    +a(g213
    +V\u005cx4b
    +p1689
    +tp1690
    +a(g213
    +V\u005cx01
    +p1691
    +tp1692
    +a(g213
    +V\u005cx02
    +p1693
    +tp1694
    +a(g157
    +g1240
    +tp1695
    +a(g706
    +g969
    +tp1696
    +a(g827
    +V      
    +p1697
    +tp1698
    +a(g898
    +V// Local file header signature\u000a
    +p1699
    +tp1700
    +a(g827
    +V      
    +p1701
    +tp1702
    +a(g441
    +V$dir_data
    +p1703
    +tp1704
    +a(g827
    +g962
    +tp1705
    +a(g413
    +V.=
    +p1706
    +tp1707
    +a(g827
    +g962
    +tp1708
    +a(g682
    +Vpack
    +p1709
    +tp1710
    +a(g706
    +g980
    +tp1711
    +a(g157
    +g1240
    +tp1712
    +a(g157
    +g1268
    +tp1713
    +a(g157
    +g1240
    +tp1714
    +a(g706
    +g1150
    +tp1715
    +a(g827
    +g962
    +tp1716
    +a(g37
    +g1297
    +tp1717
    +a(g706
    +V);
    +p1718
    +tp1719
    +a(g827
    +V            
    +p1720
    +tp1721
    +a(g898
    +V// Version made by\u000a
    +p1722
    +tp1723
    +a(g827
    +V      
    +p1724
    +tp1725
    +a(g441
    +V$dir_data
    +p1726
    +tp1727
    +a(g827
    +g962
    +tp1728
    +a(g413
    +V.=
    +p1729
    +tp1730
    +a(g827
    +g962
    +tp1731
    +a(g682
    +Vpack
    +p1732
    +tp1733
    +a(g706
    +g980
    +tp1734
    +a(g157
    +g1240
    +tp1735
    +a(g157
    +g1268
    +tp1736
    +a(g157
    +g1240
    +tp1737
    +a(g706
    +g1150
    +tp1738
    +a(g827
    +g962
    +tp1739
    +a(g37
    +V10
    +p1740
    +tp1741
    +a(g706
    +V);
    +p1742
    +tp1743
    +a(g827
    +V           
    +p1744
    +tp1745
    +a(g898
    +V// Version needed to extract\u000a
    +p1746
    +tp1747
    +a(g827
    +V      
    +p1748
    +tp1749
    +a(g441
    +V$dir_data
    +p1750
    +tp1751
    +a(g827
    +g962
    +tp1752
    +a(g413
    +V.=
    +p1753
    +tp1754
    +a(g827
    +g962
    +tp1755
    +a(g682
    +Vpack
    +p1756
    +tp1757
    +a(g706
    +g980
    +tp1758
    +a(g157
    +g1240
    +tp1759
    +a(g157
    +g1268
    +tp1760
    +a(g157
    +g1240
    +tp1761
    +a(g706
    +g1150
    +tp1762
    +a(g827
    +g962
    +tp1763
    +a(g37
    +g1297
    +tp1764
    +a(g706
    +V);
    +p1765
    +tp1766
    +a(g827
    +V            
    +p1767
    +tp1768
    +a(g898
    +V// General purpose bit flag\u000a
    +p1769
    +tp1770
    +a(g827
    +V      
    +p1771
    +tp1772
    +a(g441
    +V$dir_data
    +p1773
    +tp1774
    +a(g827
    +g962
    +tp1775
    +a(g413
    +V.=
    +p1776
    +tp1777
    +a(g827
    +g962
    +tp1778
    +a(g682
    +Vpack
    +p1779
    +tp1780
    +a(g706
    +g980
    +tp1781
    +a(g157
    +g1240
    +tp1782
    +a(g157
    +g1268
    +tp1783
    +a(g157
    +g1240
    +tp1784
    +a(g706
    +g1150
    +tp1785
    +a(g827
    +g962
    +tp1786
    +a(g37
    +g1297
    +tp1787
    +a(g706
    +V);
    +p1788
    +tp1789
    +a(g827
    +V            
    +p1790
    +tp1791
    +a(g898
    +V// Compression method\u000a
    +p1792
    +tp1793
    +a(g827
    +V      
    +p1794
    +tp1795
    +a(g441
    +V$dir_data
    +p1796
    +tp1797
    +a(g827
    +g962
    +tp1798
    +a(g413
    +V.=
    +p1799
    +tp1800
    +a(g827
    +g962
    +tp1801
    +a(g682
    +Vpack
    +p1802
    +tp1803
    +a(g706
    +g980
    +tp1804
    +a(g157
    +g1240
    +tp1805
    +a(g157
    +g1268
    +tp1806
    +a(g157
    +g1240
    +tp1807
    +a(g706
    +g1150
    +tp1808
    +a(g827
    +g962
    +tp1809
    +a(g37
    +g1297
    +tp1810
    +a(g706
    +V);
    +p1811
    +tp1812
    +a(g827
    +V            
    +p1813
    +tp1814
    +a(g898
    +V// Last mod file time\u000a
    +p1815
    +tp1816
    +a(g827
    +V      
    +p1817
    +tp1818
    +a(g441
    +V$dir_data
    +p1819
    +tp1820
    +a(g827
    +g962
    +tp1821
    +a(g413
    +V.=
    +p1822
    +tp1823
    +a(g827
    +g962
    +tp1824
    +a(g682
    +Vpack
    +p1825
    +tp1826
    +a(g706
    +g980
    +tp1827
    +a(g157
    +g1240
    +tp1828
    +a(g157
    +g1268
    +tp1829
    +a(g157
    +g1240
    +tp1830
    +a(g706
    +g1150
    +tp1831
    +a(g827
    +g962
    +tp1832
    +a(g37
    +g1297
    +tp1833
    +a(g706
    +V);
    +p1834
    +tp1835
    +a(g827
    +V            
    +p1836
    +tp1837
    +a(g898
    +V// Last mod file date\u000a
    +p1838
    +tp1839
    +a(g827
    +V      
    +p1840
    +tp1841
    +a(g441
    +V$dir_data
    +p1842
    +tp1843
    +a(g827
    +g962
    +tp1844
    +a(g413
    +V.=
    +p1845
    +tp1846
    +a(g827
    +g962
    +tp1847
    +a(g682
    +Vpack
    +p1848
    +tp1849
    +a(g706
    +g980
    +tp1850
    +a(g157
    +g1240
    +tp1851
    +a(g157
    +g1386
    +tp1852
    +a(g157
    +g1240
    +tp1853
    +a(g706
    +g1150
    +tp1854
    +a(g827
    +g962
    +tp1855
    +a(g37
    +g1297
    +tp1856
    +a(g706
    +V);
    +p1857
    +tp1858
    +a(g827
    +V            
    +p1859
    +tp1860
    +a(g898
    +V// crc-32\u000a
    +p1861
    +tp1862
    +a(g827
    +V      
    +p1863
    +tp1864
    +a(g441
    +V$dir_data
    +p1865
    +tp1866
    +a(g827
    +g962
    +tp1867
    +a(g413
    +V.=
    +p1868
    +tp1869
    +a(g827
    +g962
    +tp1870
    +a(g682
    +Vpack
    +p1871
    +tp1872
    +a(g706
    +g980
    +tp1873
    +a(g157
    +g1240
    +tp1874
    +a(g157
    +g1386
    +tp1875
    +a(g157
    +g1240
    +tp1876
    +a(g706
    +g1150
    +tp1877
    +a(g827
    +g962
    +tp1878
    +a(g37
    +g1297
    +tp1879
    +a(g706
    +V);
    +p1880
    +tp1881
    +a(g827
    +V            
    +p1882
    +tp1883
    +a(g898
    +V// Compressed size\u000a
    +p1884
    +tp1885
    +a(g827
    +V      
    +p1886
    +tp1887
    +a(g441
    +V$dir_data
    +p1888
    +tp1889
    +a(g827
    +g962
    +tp1890
    +a(g413
    +V.=
    +p1891
    +tp1892
    +a(g827
    +g962
    +tp1893
    +a(g682
    +Vpack
    +p1894
    +tp1895
    +a(g706
    +g980
    +tp1896
    +a(g157
    +g1240
    +tp1897
    +a(g157
    +g1386
    +tp1898
    +a(g157
    +g1240
    +tp1899
    +a(g706
    +g1150
    +tp1900
    +a(g827
    +g962
    +tp1901
    +a(g37
    +g1297
    +tp1902
    +a(g706
    +V);
    +p1903
    +tp1904
    +a(g827
    +V            
    +p1905
    +tp1906
    +a(g898
    +V// Uncompressed size\u000a
    +p1907
    +tp1908
    +a(g827
    +V      
    +p1909
    +tp1910
    +a(g441
    +V$dir_data
    +p1911
    +tp1912
    +a(g827
    +g962
    +tp1913
    +a(g413
    +V.=
    +p1914
    +tp1915
    +a(g827
    +g962
    +tp1916
    +a(g682
    +Vpack
    +p1917
    +tp1918
    +a(g706
    +g980
    +tp1919
    +a(g157
    +g1240
    +tp1920
    +a(g157
    +g1268
    +tp1921
    +a(g157
    +g1240
    +tp1922
    +a(g706
    +g1150
    +tp1923
    +a(g827
    +g962
    +tp1924
    +a(g682
    +Vstrlen
    +p1925
    +tp1926
    +a(g706
    +g980
    +tp1927
    +a(g441
    +V$dir
    +p1928
    +tp1929
    +a(g706
    +V));
    +p1930
    +tp1931
    +a(g827
    +g962
    +tp1932
    +a(g898
    +V// File name length\u000a
    +p1933
    +tp1934
    +a(g827
    +V      
    +p1935
    +tp1936
    +a(g441
    +V$dir_data
    +p1937
    +tp1938
    +a(g827
    +g962
    +tp1939
    +a(g413
    +V.=
    +p1940
    +tp1941
    +a(g827
    +g962
    +tp1942
    +a(g682
    +Vpack
    +p1943
    +tp1944
    +a(g706
    +g980
    +tp1945
    +a(g157
    +g1240
    +tp1946
    +a(g157
    +g1268
    +tp1947
    +a(g157
    +g1240
    +tp1948
    +a(g706
    +g1150
    +tp1949
    +a(g827
    +g962
    +tp1950
    +a(g37
    +g1297
    +tp1951
    +a(g706
    +V);
    +p1952
    +tp1953
    +a(g827
    +V            
    +p1954
    +tp1955
    +a(g898
    +V// Extra field length\u000a
    +p1956
    +tp1957
    +a(g827
    +V      
    +p1958
    +tp1959
    +a(g441
    +V$dir_data
    +p1960
    +tp1961
    +a(g827
    +g962
    +tp1962
    +a(g413
    +V.=
    +p1963
    +tp1964
    +a(g827
    +g962
    +tp1965
    +a(g682
    +Vpack
    +p1966
    +tp1967
    +a(g706
    +g980
    +tp1968
    +a(g157
    +g1240
    +tp1969
    +a(g157
    +g1268
    +tp1970
    +a(g157
    +g1240
    +tp1971
    +a(g706
    +g1150
    +tp1972
    +a(g827
    +g962
    +tp1973
    +a(g37
    +g1297
    +tp1974
    +a(g706
    +V);
    +p1975
    +tp1976
    +a(g827
    +V            
    +p1977
    +tp1978
    +a(g898
    +V// File comment length\u000a
    +p1979
    +tp1980
    +a(g827
    +V      
    +p1981
    +tp1982
    +a(g441
    +V$dir_data
    +p1983
    +tp1984
    +a(g827
    +g962
    +tp1985
    +a(g413
    +V.=
    +p1986
    +tp1987
    +a(g827
    +g962
    +tp1988
    +a(g682
    +Vpack
    +p1989
    +tp1990
    +a(g706
    +g980
    +tp1991
    +a(g157
    +g1240
    +tp1992
    +a(g157
    +g1268
    +tp1993
    +a(g157
    +g1240
    +tp1994
    +a(g706
    +g1150
    +tp1995
    +a(g827
    +g962
    +tp1996
    +a(g37
    +g1297
    +tp1997
    +a(g706
    +V);
    +p1998
    +tp1999
    +a(g827
    +V            
    +p2000
    +tp2001
    +a(g898
    +V// Disk number start\u000a
    +p2002
    +tp2003
    +a(g827
    +V      
    +p2004
    +tp2005
    +a(g441
    +V$dir_data
    +p2006
    +tp2007
    +a(g827
    +g962
    +tp2008
    +a(g413
    +V.=
    +p2009
    +tp2010
    +a(g827
    +g962
    +tp2011
    +a(g682
    +Vpack
    +p2012
    +tp2013
    +a(g706
    +g980
    +tp2014
    +a(g157
    +g1240
    +tp2015
    +a(g157
    +g1268
    +tp2016
    +a(g157
    +g1240
    +tp2017
    +a(g706
    +g1150
    +tp2018
    +a(g827
    +g962
    +tp2019
    +a(g37
    +g1297
    +tp2020
    +a(g706
    +V);
    +p2021
    +tp2022
    +a(g827
    +V            
    +p2023
    +tp2024
    +a(g898
    +V// Internal file attributes\u000a
    +p2025
    +tp2026
    +a(g827
    +V      
    +p2027
    +tp2028
    +a(g441
    +V$dir_data
    +p2029
    +tp2030
    +a(g827
    +g962
    +tp2031
    +a(g413
    +V.=
    +p2032
    +tp2033
    +a(g827
    +g962
    +tp2034
    +a(g682
    +Vpack
    +p2035
    +tp2036
    +a(g706
    +g980
    +tp2037
    +a(g157
    +g1240
    +tp2038
    +a(g157
    +g1386
    +tp2039
    +a(g157
    +g1240
    +tp2040
    +a(g706
    +g1150
    +tp2041
    +a(g827
    +g962
    +tp2042
    +a(g37
    +V16
    +p2043
    +tp2044
    +a(g706
    +V);
    +p2045
    +tp2046
    +a(g827
    +V           
    +p2047
    +tp2048
    +a(g898
    +V// External file attributes\u000a
    +p2049
    +tp2050
    +a(g827
    +V      
    +p2051
    +tp2052
    +a(g441
    +V$dir_data
    +p2053
    +tp2054
    +a(g827
    +g962
    +tp2055
    +a(g413
    +V.=
    +p2056
    +tp2057
    +a(g827
    +g962
    +tp2058
    +a(g682
    +Vpack
    +p2059
    +tp2060
    +a(g706
    +g980
    +tp2061
    +a(g157
    +g1240
    +tp2062
    +a(g157
    +g1386
    +tp2063
    +a(g157
    +g1240
    +tp2064
    +a(g706
    +g1150
    +tp2065
    +a(g827
    +g962
    +tp2066
    +a(g441
    +V$offset
    +p2067
    +tp2068
    +a(g706
    +V);
    +p2069
    +tp2070
    +a(g827
    +V      
    +p2071
    +tp2072
    +a(g898
    +V// Relative offset of local header\u000a
    +p2073
    +tp2074
    +a(g827
    +V\u000a      
    +p2075
    +tp2076
    +a(g441
    +V$dir_data
    +p2077
    +tp2078
    +a(g827
    +g962
    +tp2079
    +a(g413
    +V.=
    +p2080
    +tp2081
    +a(g827
    +g962
    +tp2082
    +a(g441
    +V$dir
    +p2083
    +tp2084
    +a(g706
    +g969
    +tp2085
    +a(g827
    +V                    
    +p2086
    +tp2087
    +a(g898
    +V// File name\u000a
    +p2088
    +tp2089
    +a(g827
    +V      
    +p2090
    +tp2091
    +a(g441
    +V$dir_data
    +p2092
    +tp2093
    +a(g827
    +g962
    +tp2094
    +a(g413
    +V.=
    +p2095
    +tp2096
    +a(g827
    +g962
    +tp2097
    +a(g230
    +V''
    +p2098
    +tp2099
    +a(g706
    +g969
    +tp2100
    +a(g827
    +V                      
    +p2101
    +tp2102
    +a(g898
    +V// Extra field (is empty)\u000a
    +p2103
    +tp2104
    +a(g827
    +V      
    +p2105
    +tp2106
    +a(g441
    +V$dir_data
    +p2107
    +tp2108
    +a(g827
    +g962
    +tp2109
    +a(g413
    +V.=
    +p2110
    +tp2111
    +a(g827
    +g962
    +tp2112
    +a(g230
    +V''
    +p2113
    +tp2114
    +a(g706
    +g969
    +tp2115
    +a(g827
    +V                      
    +p2116
    +tp2117
    +a(g898
    +V// File comment (is empty)\u000a
    +p2118
    +tp2119
    +a(g827
    +V\u000a      
    +p2120
    +tp2121
    +a(g929
    +V/*\u000a      // Data descriptor\u000a      $dir_data .= pack("V", 0);            // crc-32\u000a      $dir_data .= pack("V", 0);            // Compressed size\u000a      $dir_data .= pack("V", 0);            // Uncompressed size\u000a      */
    +p2122
    +tp2123
    +a(g827
    +V\u000a      \u000a      
    +p2124
    +tp2125
    +a(g898
    +V// Append dir data to the central directory data\u000a
    +p2126
    +tp2127
    +a(g827
    +V      
    +p2128
    +tp2129
    +a(g441
    +V$cd_data
    +p2130
    +tp2131
    +a(g706
    +V[]
    +p2132
    +tp2133
    +a(g827
    +g962
    +tp2134
    +a(g413
    +g964
    +tp2135
    +a(g827
    +g962
    +tp2136
    +a(g441
    +V$dir_data
    +p2137
    +tp2138
    +a(g706
    +g969
    +tp2139
    +a(g827
    +V\u000a    
    +p2140
    +tp2141
    +a(g706
    +g999
    +tp2142
    +a(g827
    +V\u000a\u000a    
    +p2143
    +tp2144
    +a(g898
    +V// Handle files\u000a
    +p2145
    +tp2146
    +a(g827
    +V    
    +p2147
    +tp2148
    +a(g745
    +Vforeach
    +p2149
    +tp2150
    +a(g706
    +g980
    +tp2151
    +a(g441
    +V$this
    +p2152
    +tp2153
    +a(g413
    +V->
    +p2154
    +tp2155
    +a(g667
    +Vfiles
    +p2156
    +tp2157
    +a(g827
    +g962
    +tp2158
    +a(g745
    +Vas
    +p2159
    +tp2160
    +a(g827
    +g962
    +tp2161
    +a(g441
    +V$name
    +p2162
    +tp2163
    +a(g827
    +g962
    +tp2164
    +a(g413
    +V=>
    +p2165
    +tp2166
    +a(g827
    +g962
    +tp2167
    +a(g441
    +V$file
    +p2168
    +tp2169
    +a(g706
    +g984
    +tp2170
    +a(g827
    +g962
    +tp2171
    +a(g706
    +g987
    +tp2172
    +a(g827
    +V\u000a      
    +p2173
    +tp2174
    +a(g898
    +V// Get values\u000a
    +p2175
    +tp2176
    +a(g827
    +V      
    +p2177
    +tp2178
    +a(g441
    +V$content
    +p2179
    +tp2180
    +a(g827
    +g962
    +tp2181
    +a(g413
    +g964
    +tp2182
    +a(g827
    +g962
    +tp2183
    +a(g441
    +V$file
    +p2184
    +tp2185
    +a(g706
    +V[
    +p2186
    +tp2187
    +a(g37
    +g1297
    +tp2188
    +a(g706
    +V];
    +p2189
    +tp2190
    +a(g827
    +V\u000a    \u000a      
    +p2191
    +tp2192
    +a(g898
    +V// File part\u000a
    +p2193
    +tp2194
    +a(g827
    +V\u000a      
    +p2195
    +tp2196
    +a(g898
    +V// Reset file data\u000a
    +p2197
    +tp2198
    +a(g827
    +V      
    +p2199
    +tp2200
    +a(g441
    +V$fd
    +p2201
    +tp2202
    +a(g827
    +g962
    +tp2203
    +a(g413
    +g964
    +tp2204
    +a(g827
    +g962
    +tp2205
    +a(g230
    +V''
    +p2206
    +tp2207
    +a(g706
    +g969
    +tp2208
    +a(g827
    +V\u000a      \u000a      
    +p2209
    +tp2210
    +a(g898
    +V// Detect possible compressions\u000a
    +p2211
    +tp2212
    +a(g827
    +V      
    +p2213
    +tp2214
    +a(g898
    +V// Use deflate\u000a
    +p2215
    +tp2216
    +a(g827
    +V      
    +p2217
    +tp2218
    +a(g745
    +Vif
    +p2219
    +tp2220
    +a(g706
    +g980
    +tp2221
    +a(g682
    +Vfunction_exists
    +p2222
    +tp2223
    +a(g706
    +g980
    +tp2224
    +a(g230
    +V'gzdeflate'
    +p2225
    +tp2226
    +a(g706
    +V))
    +p2227
    +tp2228
    +a(g827
    +g962
    +tp2229
    +a(g706
    +g987
    +tp2230
    +a(g827
    +V\u000a        
    +p2231
    +tp2232
    +a(g441
    +V$method
    +p2233
    +tp2234
    +a(g827
    +g962
    +tp2235
    +a(g413
    +g964
    +tp2236
    +a(g827
    +g962
    +tp2237
    +a(g37
    +V8
    +p2238
    +tp2239
    +a(g706
    +g969
    +tp2240
    +a(g827
    +V\u000a\u000a        
    +p2241
    +tp2242
    +a(g898
    +V// Compress file content\u000a
    +p2243
    +tp2244
    +a(g827
    +V        
    +p2245
    +tp2246
    +a(g441
    +V$compressed_data
    +p2247
    +tp2248
    +a(g827
    +g962
    +tp2249
    +a(g413
    +g964
    +tp2250
    +a(g827
    +g962
    +tp2251
    +a(g682
    +Vgzdeflate
    +p2252
    +tp2253
    +a(g706
    +g980
    +tp2254
    +a(g441
    +V$content
    +p2255
    +tp2256
    +a(g706
    +V);
    +p2257
    +tp2258
    +a(g827
    +V\u000a\u000a      
    +p2259
    +tp2260
    +a(g898
    +V// Use bzip2\u000a
    +p2261
    +tp2262
    +a(g827
    +V      
    +p2263
    +tp2264
    +a(g706
    +g999
    +tp2265
    +a(g827
    +g962
    +tp2266
    +a(g745
    +Velseif
    +p2267
    +tp2268
    +a(g706
    +g980
    +tp2269
    +a(g682
    +Vfunction_exists
    +p2270
    +tp2271
    +a(g706
    +g980
    +tp2272
    +a(g230
    +V'bzcompress'
    +p2273
    +tp2274
    +a(g706
    +V))
    +p2275
    +tp2276
    +a(g827
    +g962
    +tp2277
    +a(g706
    +g987
    +tp2278
    +a(g827
    +V\u000a        
    +p2279
    +tp2280
    +a(g441
    +V$method
    +p2281
    +tp2282
    +a(g827
    +g962
    +tp2283
    +a(g413
    +g964
    +tp2284
    +a(g827
    +g962
    +tp2285
    +a(g37
    +V12
    +p2286
    +tp2287
    +a(g706
    +g969
    +tp2288
    +a(g827
    +V\u000a\u000a        
    +p2289
    +tp2290
    +a(g898
    +V// Compress file content\u000a
    +p2291
    +tp2292
    +a(g827
    +V        
    +p2293
    +tp2294
    +a(g441
    +V$compressed_data
    +p2295
    +tp2296
    +a(g827
    +g962
    +tp2297
    +a(g413
    +g964
    +tp2298
    +a(g827
    +g962
    +tp2299
    +a(g682
    +Vbzcompress
    +p2300
    +tp2301
    +a(g706
    +g980
    +tp2302
    +a(g441
    +V$content
    +p2303
    +tp2304
    +a(g706
    +V);
    +p2305
    +tp2306
    +a(g827
    +V\u000a\u000a      
    +p2307
    +tp2308
    +a(g898
    +V// No compression\u000a
    +p2309
    +tp2310
    +a(g827
    +V      
    +p2311
    +tp2312
    +a(g706
    +g999
    +tp2313
    +a(g827
    +g962
    +tp2314
    +a(g745
    +Velse
    +p2315
    +tp2316
    +a(g827
    +g962
    +tp2317
    +a(g706
    +g987
    +tp2318
    +a(g827
    +V\u000a        
    +p2319
    +tp2320
    +a(g441
    +V$method
    +p2321
    +tp2322
    +a(g827
    +g962
    +tp2323
    +a(g413
    +g964
    +tp2324
    +a(g827
    +g962
    +tp2325
    +a(g37
    +g1297
    +tp2326
    +a(g706
    +g969
    +tp2327
    +a(g827
    +V\u000a\u000a        
    +p2328
    +tp2329
    +a(g898
    +V// Do not compress the content :P\u000a
    +p2330
    +tp2331
    +a(g827
    +V        
    +p2332
    +tp2333
    +a(g441
    +V$compressed_data
    +p2334
    +tp2335
    +a(g827
    +g962
    +tp2336
    +a(g413
    +g964
    +tp2337
    +a(g827
    +g962
    +tp2338
    +a(g441
    +V$content
    +p2339
    +tp2340
    +a(g706
    +g969
    +tp2341
    +a(g827
    +V\u000a      
    +p2342
    +tp2343
    +a(g706
    +g999
    +tp2344
    +a(g827
    +V\u000a\u000a      
    +p2345
    +tp2346
    +a(g898
    +V// Local file header\u000a
    +p2347
    +tp2348
    +a(g827
    +V      
    +p2349
    +tp2350
    +a(g441
    +V$fd
    +p2351
    +tp2352
    +a(g827
    +g962
    +tp2353
    +a(g413
    +V.=
    +p2354
    +tp2355
    +a(g827
    +g962
    +tp2356
    +a(g157
    +g1240
    +tp2357
    +a(g213
    +V\u005cx50
    +p2358
    +tp2359
    +a(g213
    +V\u005cx4b
    +p2360
    +tp2361
    +a(g213
    +V\u005cx03
    +p2362
    +tp2363
    +a(g213
    +V\u005cx04
    +p2364
    +tp2365
    +a(g157
    +g1240
    +tp2366
    +a(g706
    +g969
    +tp2367
    +a(g827
    +V                  
    +p2368
    +tp2369
    +a(g898
    +V// Local file header signature\u000a
    +p2370
    +tp2371
    +a(g827
    +V      
    +p2372
    +tp2373
    +a(g441
    +V$fd
    +p2374
    +tp2375
    +a(g827
    +g962
    +tp2376
    +a(g413
    +V.=
    +p2377
    +tp2378
    +a(g827
    +g962
    +tp2379
    +a(g682
    +Vpack
    +p2380
    +tp2381
    +a(g706
    +g980
    +tp2382
    +a(g157
    +g1240
    +tp2383
    +a(g157
    +g1268
    +tp2384
    +a(g157
    +g1240
    +tp2385
    +a(g706
    +g1150
    +tp2386
    +a(g827
    +g962
    +tp2387
    +a(g37
    +V20
    +p2388
    +tp2389
    +a(g706
    +V);
    +p2390
    +tp2391
    +a(g827
    +V                       
    +p2392
    +tp2393
    +a(g898
    +V// Version needed to extract\u000a
    +p2394
    +tp2395
    +a(g827
    +V      
    +p2396
    +tp2397
    +a(g441
    +V$fd
    +p2398
    +tp2399
    +a(g827
    +g962
    +tp2400
    +a(g413
    +V.=
    +p2401
    +tp2402
    +a(g827
    +g962
    +tp2403
    +a(g682
    +Vpack
    +p2404
    +tp2405
    +a(g706
    +g980
    +tp2406
    +a(g157
    +g1240
    +tp2407
    +a(g157
    +g1268
    +tp2408
    +a(g157
    +g1240
    +tp2409
    +a(g706
    +g1150
    +tp2410
    +a(g827
    +g962
    +tp2411
    +a(g37
    +g1297
    +tp2412
    +a(g706
    +V);
    +p2413
    +tp2414
    +a(g827
    +V                        
    +p2415
    +tp2416
    +a(g898
    +V// General purpose bit flag\u000a
    +p2417
    +tp2418
    +a(g827
    +V      
    +p2419
    +tp2420
    +a(g441
    +V$fd
    +p2421
    +tp2422
    +a(g827
    +g962
    +tp2423
    +a(g413
    +V.=
    +p2424
    +tp2425
    +a(g827
    +g962
    +tp2426
    +a(g682
    +Vpack
    +p2427
    +tp2428
    +a(g706
    +g980
    +tp2429
    +a(g157
    +g1240
    +tp2430
    +a(g157
    +g1268
    +tp2431
    +a(g157
    +g1240
    +tp2432
    +a(g706
    +g1150
    +tp2433
    +a(g827
    +g962
    +tp2434
    +a(g441
    +V$method
    +p2435
    +tp2436
    +a(g706
    +V);
    +p2437
    +tp2438
    +a(g827
    +V                  
    +p2439
    +tp2440
    +a(g898
    +V// Compression method\u000a
    +p2441
    +tp2442
    +a(g827
    +V      
    +p2443
    +tp2444
    +a(g441
    +V$fd
    +p2445
    +tp2446
    +a(g827
    +g962
    +tp2447
    +a(g413
    +V.=
    +p2448
    +tp2449
    +a(g827
    +g962
    +tp2450
    +a(g682
    +Vpack
    +p2451
    +tp2452
    +a(g706
    +g980
    +tp2453
    +a(g157
    +g1240
    +tp2454
    +a(g157
    +g1268
    +tp2455
    +a(g157
    +g1240
    +tp2456
    +a(g706
    +g1150
    +tp2457
    +a(g827
    +g962
    +tp2458
    +a(g37
    +g1297
    +tp2459
    +a(g706
    +V);
    +p2460
    +tp2461
    +a(g827
    +V                        
    +p2462
    +tp2463
    +a(g898
    +V// Last mod file time\u000a
    +p2464
    +tp2465
    +a(g827
    +V      
    +p2466
    +tp2467
    +a(g441
    +V$fd
    +p2468
    +tp2469
    +a(g827
    +g962
    +tp2470
    +a(g413
    +V.=
    +p2471
    +tp2472
    +a(g827
    +g962
    +tp2473
    +a(g682
    +Vpack
    +p2474
    +tp2475
    +a(g706
    +g980
    +tp2476
    +a(g157
    +g1240
    +tp2477
    +a(g157
    +g1268
    +tp2478
    +a(g157
    +g1240
    +tp2479
    +a(g706
    +g1150
    +tp2480
    +a(g827
    +g962
    +tp2481
    +a(g37
    +g1297
    +tp2482
    +a(g706
    +V);
    +p2483
    +tp2484
    +a(g827
    +V                        
    +p2485
    +tp2486
    +a(g898
    +V// Last mod file date\u000a
    +p2487
    +tp2488
    +a(g827
    +V      
    +p2489
    +tp2490
    +a(g441
    +V$fd
    +p2491
    +tp2492
    +a(g827
    +g962
    +tp2493
    +a(g413
    +V.=
    +p2494
    +tp2495
    +a(g827
    +g962
    +tp2496
    +a(g682
    +Vpack
    +p2497
    +tp2498
    +a(g706
    +g980
    +tp2499
    +a(g157
    +g1240
    +tp2500
    +a(g157
    +g1386
    +tp2501
    +a(g157
    +g1240
    +tp2502
    +a(g706
    +g1150
    +tp2503
    +a(g827
    +g962
    +tp2504
    +a(g682
    +Vcrc32
    +p2505
    +tp2506
    +a(g706
    +g980
    +tp2507
    +a(g441
    +V$content
    +p2508
    +tp2509
    +a(g706
    +V));
    +p2510
    +tp2511
    +a(g827
    +V          
    +p2512
    +tp2513
    +a(g898
    +V// crc-32\u000a
    +p2514
    +tp2515
    +a(g827
    +V      
    +p2516
    +tp2517
    +a(g441
    +V$fd
    +p2518
    +tp2519
    +a(g827
    +g962
    +tp2520
    +a(g413
    +V.=
    +p2521
    +tp2522
    +a(g827
    +g962
    +tp2523
    +a(g682
    +Vpack
    +p2524
    +tp2525
    +a(g706
    +g980
    +tp2526
    +a(g157
    +g1240
    +tp2527
    +a(g157
    +g1386
    +tp2528
    +a(g157
    +g1240
    +tp2529
    +a(g706
    +g1150
    +tp2530
    +a(g827
    +g962
    +tp2531
    +a(g682
    +Vstrlen
    +p2532
    +tp2533
    +a(g706
    +g980
    +tp2534
    +a(g441
    +V$compressed_data
    +p2535
    +tp2536
    +a(g706
    +V));
    +p2537
    +tp2538
    +a(g827
    +g962
    +tp2539
    +a(g898
    +V// Compressed size\u000a
    +p2540
    +tp2541
    +a(g827
    +V      
    +p2542
    +tp2543
    +a(g441
    +V$fd
    +p2544
    +tp2545
    +a(g827
    +g962
    +tp2546
    +a(g413
    +V.=
    +p2547
    +tp2548
    +a(g827
    +g962
    +tp2549
    +a(g682
    +Vpack
    +p2550
    +tp2551
    +a(g706
    +g980
    +tp2552
    +a(g157
    +g1240
    +tp2553
    +a(g157
    +g1386
    +tp2554
    +a(g157
    +g1240
    +tp2555
    +a(g706
    +g1150
    +tp2556
    +a(g827
    +g962
    +tp2557
    +a(g682
    +Vstrlen
    +p2558
    +tp2559
    +a(g706
    +g980
    +tp2560
    +a(g441
    +V$content
    +p2561
    +tp2562
    +a(g706
    +V));
    +p2563
    +tp2564
    +a(g827
    +V         
    +p2565
    +tp2566
    +a(g898
    +V// Uncompressed size\u000a
    +p2567
    +tp2568
    +a(g827
    +V      
    +p2569
    +tp2570
    +a(g441
    +V$fd
    +p2571
    +tp2572
    +a(g827
    +g962
    +tp2573
    +a(g413
    +V.=
    +p2574
    +tp2575
    +a(g827
    +g962
    +tp2576
    +a(g682
    +Vpack
    +p2577
    +tp2578
    +a(g706
    +g980
    +tp2579
    +a(g157
    +g1240
    +tp2580
    +a(g157
    +g1268
    +tp2581
    +a(g157
    +g1240
    +tp2582
    +a(g706
    +g1150
    +tp2583
    +a(g827
    +g962
    +tp2584
    +a(g682
    +Vstrlen
    +p2585
    +tp2586
    +a(g706
    +g980
    +tp2587
    +a(g441
    +V$name
    +p2588
    +tp2589
    +a(g706
    +V));
    +p2590
    +tp2591
    +a(g827
    +V            
    +p2592
    +tp2593
    +a(g898
    +V// File name length\u000a
    +p2594
    +tp2595
    +a(g827
    +V      
    +p2596
    +tp2597
    +a(g441
    +V$fd
    +p2598
    +tp2599
    +a(g827
    +g962
    +tp2600
    +a(g413
    +V.=
    +p2601
    +tp2602
    +a(g827
    +g962
    +tp2603
    +a(g682
    +Vpack
    +p2604
    +tp2605
    +a(g706
    +g980
    +tp2606
    +a(g157
    +g1240
    +tp2607
    +a(g157
    +g1268
    +tp2608
    +a(g157
    +g1240
    +tp2609
    +a(g706
    +g1150
    +tp2610
    +a(g827
    +g962
    +tp2611
    +a(g37
    +g1297
    +tp2612
    +a(g706
    +V);
    +p2613
    +tp2614
    +a(g827
    +V                        
    +p2615
    +tp2616
    +a(g898
    +V// Extra field length\u000a
    +p2617
    +tp2618
    +a(g827
    +V\u000a      
    +p2619
    +tp2620
    +a(g441
    +V$fd
    +p2621
    +tp2622
    +a(g827
    +g962
    +tp2623
    +a(g413
    +V.=
    +p2624
    +tp2625
    +a(g827
    +g962
    +tp2626
    +a(g441
    +V$name
    +p2627
    +tp2628
    +a(g706
    +g969
    +tp2629
    +a(g827
    +V                               
    +p2630
    +tp2631
    +a(g898
    +V// File name\u000a
    +p2632
    +tp2633
    +a(g827
    +V      
    +p2634
    +tp2635
    +a(g441
    +V$fd
    +p2636
    +tp2637
    +a(g827
    +g962
    +tp2638
    +a(g413
    +V.=
    +p2639
    +tp2640
    +a(g827
    +g962
    +tp2641
    +a(g230
    +V''
    +p2642
    +tp2643
    +a(g706
    +g969
    +tp2644
    +a(g827
    +V                                  
    +p2645
    +tp2646
    +a(g898
    +V// Extra field (is empty)\u000a
    +p2647
    +tp2648
    +a(g827
    +V\u000a      
    +p2649
    +tp2650
    +a(g898
    +V// File data\u000a
    +p2651
    +tp2652
    +a(g827
    +V      
    +p2653
    +tp2654
    +a(g441
    +V$fd
    +p2655
    +tp2656
    +a(g827
    +g962
    +tp2657
    +a(g413
    +V.=
    +p2658
    +tp2659
    +a(g827
    +g962
    +tp2660
    +a(g441
    +V$compressed_data
    +p2661
    +tp2662
    +a(g706
    +g969
    +tp2663
    +a(g827
    +V\u000a      \u000a      
    +p2664
    +tp2665
    +a(g898
    +V// Data descriptor\u000a
    +p2666
    +tp2667
    +a(g827
    +V      
    +p2668
    +tp2669
    +a(g441
    +V$fd
    +p2670
    +tp2671
    +a(g827
    +g962
    +tp2672
    +a(g413
    +V.=
    +p2673
    +tp2674
    +a(g827
    +g962
    +tp2675
    +a(g682
    +Vpack
    +p2676
    +tp2677
    +a(g706
    +g980
    +tp2678
    +a(g157
    +g1240
    +tp2679
    +a(g157
    +g1386
    +tp2680
    +a(g157
    +g1240
    +tp2681
    +a(g706
    +g1150
    +tp2682
    +a(g827
    +g962
    +tp2683
    +a(g682
    +Vcrc32
    +p2684
    +tp2685
    +a(g706
    +g980
    +tp2686
    +a(g441
    +V$content
    +p2687
    +tp2688
    +a(g706
    +V));
    +p2689
    +tp2690
    +a(g827
    +V          
    +p2691
    +tp2692
    +a(g898
    +V// crc-32\u000a
    +p2693
    +tp2694
    +a(g827
    +V      
    +p2695
    +tp2696
    +a(g441
    +V$fd
    +p2697
    +tp2698
    +a(g827
    +g962
    +tp2699
    +a(g413
    +V.=
    +p2700
    +tp2701
    +a(g827
    +g962
    +tp2702
    +a(g682
    +Vpack
    +p2703
    +tp2704
    +a(g706
    +g980
    +tp2705
    +a(g157
    +g1240
    +tp2706
    +a(g157
    +g1386
    +tp2707
    +a(g157
    +g1240
    +tp2708
    +a(g706
    +g1150
    +tp2709
    +a(g827
    +g962
    +tp2710
    +a(g682
    +Vstrlen
    +p2711
    +tp2712
    +a(g706
    +g980
    +tp2713
    +a(g441
    +V$compressed_data
    +p2714
    +tp2715
    +a(g706
    +V));
    +p2716
    +tp2717
    +a(g827
    +g962
    +tp2718
    +a(g898
    +V// Compressed size\u000a
    +p2719
    +tp2720
    +a(g827
    +V      
    +p2721
    +tp2722
    +a(g441
    +V$fd
    +p2723
    +tp2724
    +a(g827
    +g962
    +tp2725
    +a(g413
    +V.=
    +p2726
    +tp2727
    +a(g827
    +g962
    +tp2728
    +a(g682
    +Vpack
    +p2729
    +tp2730
    +a(g706
    +g980
    +tp2731
    +a(g157
    +g1240
    +tp2732
    +a(g157
    +g1386
    +tp2733
    +a(g157
    +g1240
    +tp2734
    +a(g706
    +g1150
    +tp2735
    +a(g827
    +g962
    +tp2736
    +a(g682
    +Vstrlen
    +p2737
    +tp2738
    +a(g706
    +g980
    +tp2739
    +a(g441
    +V$content
    +p2740
    +tp2741
    +a(g706
    +V));
    +p2742
    +tp2743
    +a(g827
    +V         
    +p2744
    +tp2745
    +a(g898
    +V// Uncompressed size\u000a
    +p2746
    +tp2747
    +a(g827
    +V\u000a      
    +p2748
    +tp2749
    +a(g898
    +V// Save current offset\u000a
    +p2750
    +tp2751
    +a(g827
    +V      
    +p2752
    +tp2753
    +a(g441
    +V$offset
    +p2754
    +tp2755
    +a(g827
    +g962
    +tp2756
    +a(g413
    +g964
    +tp2757
    +a(g827
    +g962
    +tp2758
    +a(g682
    +Vstrlen
    +p2759
    +tp2760
    +a(g706
    +g980
    +tp2761
    +a(g682
    +Vimplode
    +p2762
    +tp2763
    +a(g706
    +g980
    +tp2764
    +a(g230
    +V''
    +p2765
    +tp2766
    +a(g706
    +g1150
    +tp2767
    +a(g827
    +g962
    +tp2768
    +a(g441
    +V$file_data
    +p2769
    +tp2770
    +a(g706
    +V));
    +p2771
    +tp2772
    +a(g827
    +V\u000a\u000a      
    +p2773
    +tp2774
    +a(g898
    +V// Append file data to the file part\u000a
    +p2775
    +tp2776
    +a(g827
    +V      
    +p2777
    +tp2778
    +a(g441
    +V$file_data
    +p2779
    +tp2780
    +a(g706
    +V[]
    +p2781
    +tp2782
    +a(g827
    +g962
    +tp2783
    +a(g413
    +g964
    +tp2784
    +a(g827
    +g962
    +tp2785
    +a(g441
    +V$fd
    +p2786
    +tp2787
    +a(g706
    +g969
    +tp2788
    +a(g827
    +V\u000a\u000a      
    +p2789
    +tp2790
    +a(g898
    +V// Central directory\u000a
    +p2791
    +tp2792
    +a(g827
    +V\u000a      
    +p2793
    +tp2794
    +a(g898
    +V// Reset file data\u000a
    +p2795
    +tp2796
    +a(g827
    +V      
    +p2797
    +tp2798
    +a(g441
    +V$fd
    +p2799
    +tp2800
    +a(g827
    +g962
    +tp2801
    +a(g413
    +g964
    +tp2802
    +a(g827
    +g962
    +tp2803
    +a(g230
    +V''
    +p2804
    +tp2805
    +a(g706
    +g969
    +tp2806
    +a(g827
    +V\u000a\u000a      
    +p2807
    +tp2808
    +a(g898
    +V// File header\u000a
    +p2809
    +tp2810
    +a(g827
    +V      
    +p2811
    +tp2812
    +a(g441
    +V$fd
    +p2813
    +tp2814
    +a(g827
    +g962
    +tp2815
    +a(g413
    +V.=
    +p2816
    +tp2817
    +a(g827
    +g962
    +tp2818
    +a(g157
    +g1240
    +tp2819
    +a(g213
    +V\u005cx50
    +p2820
    +tp2821
    +a(g213
    +V\u005cx4b
    +p2822
    +tp2823
    +a(g213
    +V\u005cx01
    +p2824
    +tp2825
    +a(g213
    +V\u005cx02
    +p2826
    +tp2827
    +a(g157
    +g1240
    +tp2828
    +a(g706
    +g969
    +tp2829
    +a(g827
    +V                  
    +p2830
    +tp2831
    +a(g898
    +V// Local file header signature\u000a
    +p2832
    +tp2833
    +a(g827
    +V      
    +p2834
    +tp2835
    +a(g441
    +V$fd
    +p2836
    +tp2837
    +a(g827
    +g962
    +tp2838
    +a(g413
    +V.=
    +p2839
    +tp2840
    +a(g827
    +g962
    +tp2841
    +a(g682
    +Vpack
    +p2842
    +tp2843
    +a(g706
    +g980
    +tp2844
    +a(g157
    +g1240
    +tp2845
    +a(g157
    +g1268
    +tp2846
    +a(g157
    +g1240
    +tp2847
    +a(g706
    +g1150
    +tp2848
    +a(g827
    +g962
    +tp2849
    +a(g37
    +g1297
    +tp2850
    +a(g706
    +V);
    +p2851
    +tp2852
    +a(g827
    +V                        
    +p2853
    +tp2854
    +a(g898
    +V// Version made by\u000a
    +p2855
    +tp2856
    +a(g827
    +V      
    +p2857
    +tp2858
    +a(g441
    +V$fd
    +p2859
    +tp2860
    +a(g827
    +g962
    +tp2861
    +a(g413
    +V.=
    +p2862
    +tp2863
    +a(g827
    +g962
    +tp2864
    +a(g682
    +Vpack
    +p2865
    +tp2866
    +a(g706
    +g980
    +tp2867
    +a(g157
    +g1240
    +tp2868
    +a(g157
    +g1268
    +tp2869
    +a(g157
    +g1240
    +tp2870
    +a(g706
    +g1150
    +tp2871
    +a(g827
    +g962
    +tp2872
    +a(g37
    +V20
    +p2873
    +tp2874
    +a(g706
    +V);
    +p2875
    +tp2876
    +a(g827
    +V                       
    +p2877
    +tp2878
    +a(g898
    +V// Version needed to extract\u000a
    +p2879
    +tp2880
    +a(g827
    +V      
    +p2881
    +tp2882
    +a(g441
    +V$fd
    +p2883
    +tp2884
    +a(g827
    +g962
    +tp2885
    +a(g413
    +V.=
    +p2886
    +tp2887
    +a(g827
    +g962
    +tp2888
    +a(g682
    +Vpack
    +p2889
    +tp2890
    +a(g706
    +g980
    +tp2891
    +a(g157
    +g1240
    +tp2892
    +a(g157
    +g1268
    +tp2893
    +a(g157
    +g1240
    +tp2894
    +a(g706
    +g1150
    +tp2895
    +a(g827
    +g962
    +tp2896
    +a(g37
    +g1297
    +tp2897
    +a(g706
    +V);
    +p2898
    +tp2899
    +a(g827
    +V                        
    +p2900
    +tp2901
    +a(g898
    +V// General purpose bit flag\u000a
    +p2902
    +tp2903
    +a(g827
    +V      
    +p2904
    +tp2905
    +a(g441
    +V$fd
    +p2906
    +tp2907
    +a(g827
    +g962
    +tp2908
    +a(g413
    +V.=
    +p2909
    +tp2910
    +a(g827
    +g962
    +tp2911
    +a(g682
    +Vpack
    +p2912
    +tp2913
    +a(g706
    +g980
    +tp2914
    +a(g157
    +g1240
    +tp2915
    +a(g157
    +g1268
    +tp2916
    +a(g157
    +g1240
    +tp2917
    +a(g706
    +g1150
    +tp2918
    +a(g827
    +g962
    +tp2919
    +a(g441
    +V$method
    +p2920
    +tp2921
    +a(g706
    +V);
    +p2922
    +tp2923
    +a(g827
    +V                  
    +p2924
    +tp2925
    +a(g898
    +V// Compression method\u000a
    +p2926
    +tp2927
    +a(g827
    +V      
    +p2928
    +tp2929
    +a(g441
    +V$fd
    +p2930
    +tp2931
    +a(g827
    +g962
    +tp2932
    +a(g413
    +V.=
    +p2933
    +tp2934
    +a(g827
    +g962
    +tp2935
    +a(g682
    +Vpack
    +p2936
    +tp2937
    +a(g706
    +g980
    +tp2938
    +a(g157
    +g1240
    +tp2939
    +a(g157
    +g1268
    +tp2940
    +a(g157
    +g1240
    +tp2941
    +a(g706
    +g1150
    +tp2942
    +a(g827
    +g962
    +tp2943
    +a(g37
    +g1297
    +tp2944
    +a(g706
    +V);
    +p2945
    +tp2946
    +a(g827
    +V                        
    +p2947
    +tp2948
    +a(g898
    +V// Last mod file time\u000a
    +p2949
    +tp2950
    +a(g827
    +V      
    +p2951
    +tp2952
    +a(g441
    +V$fd
    +p2953
    +tp2954
    +a(g827
    +g962
    +tp2955
    +a(g413
    +V.=
    +p2956
    +tp2957
    +a(g827
    +g962
    +tp2958
    +a(g682
    +Vpack
    +p2959
    +tp2960
    +a(g706
    +g980
    +tp2961
    +a(g157
    +g1240
    +tp2962
    +a(g157
    +g1268
    +tp2963
    +a(g157
    +g1240
    +tp2964
    +a(g706
    +g1150
    +tp2965
    +a(g827
    +g962
    +tp2966
    +a(g37
    +g1297
    +tp2967
    +a(g706
    +V);
    +p2968
    +tp2969
    +a(g827
    +V                        
    +p2970
    +tp2971
    +a(g898
    +V// Last mod file date\u000a
    +p2972
    +tp2973
    +a(g827
    +V      
    +p2974
    +tp2975
    +a(g441
    +V$fd
    +p2976
    +tp2977
    +a(g827
    +g962
    +tp2978
    +a(g413
    +V.=
    +p2979
    +tp2980
    +a(g827
    +g962
    +tp2981
    +a(g682
    +Vpack
    +p2982
    +tp2983
    +a(g706
    +g980
    +tp2984
    +a(g157
    +g1240
    +tp2985
    +a(g157
    +g1386
    +tp2986
    +a(g157
    +g1240
    +tp2987
    +a(g706
    +g1150
    +tp2988
    +a(g827
    +g962
    +tp2989
    +a(g682
    +Vcrc32
    +p2990
    +tp2991
    +a(g706
    +g980
    +tp2992
    +a(g441
    +V$content
    +p2993
    +tp2994
    +a(g706
    +V));
    +p2995
    +tp2996
    +a(g827
    +V          
    +p2997
    +tp2998
    +a(g898
    +V// crc-32\u000a
    +p2999
    +tp3000
    +a(g827
    +V      
    +p3001
    +tp3002
    +a(g441
    +V$fd
    +p3003
    +tp3004
    +a(g827
    +g962
    +tp3005
    +a(g413
    +V.=
    +p3006
    +tp3007
    +a(g827
    +g962
    +tp3008
    +a(g682
    +Vpack
    +p3009
    +tp3010
    +a(g706
    +g980
    +tp3011
    +a(g157
    +g1240
    +tp3012
    +a(g157
    +g1386
    +tp3013
    +a(g157
    +g1240
    +tp3014
    +a(g706
    +g1150
    +tp3015
    +a(g827
    +g962
    +tp3016
    +a(g682
    +Vstrlen
    +p3017
    +tp3018
    +a(g706
    +g980
    +tp3019
    +a(g441
    +V$compressed_data
    +p3020
    +tp3021
    +a(g706
    +V));
    +p3022
    +tp3023
    +a(g827
    +g962
    +tp3024
    +a(g898
    +V// Compressed size\u000a
    +p3025
    +tp3026
    +a(g827
    +V      
    +p3027
    +tp3028
    +a(g441
    +V$fd
    +p3029
    +tp3030
    +a(g827
    +g962
    +tp3031
    +a(g413
    +V.=
    +p3032
    +tp3033
    +a(g827
    +g962
    +tp3034
    +a(g682
    +Vpack
    +p3035
    +tp3036
    +a(g706
    +g980
    +tp3037
    +a(g157
    +g1240
    +tp3038
    +a(g157
    +g1386
    +tp3039
    +a(g157
    +g1240
    +tp3040
    +a(g706
    +g1150
    +tp3041
    +a(g827
    +g962
    +tp3042
    +a(g682
    +Vstrlen
    +p3043
    +tp3044
    +a(g706
    +g980
    +tp3045
    +a(g441
    +V$content
    +p3046
    +tp3047
    +a(g706
    +V));
    +p3048
    +tp3049
    +a(g827
    +V         
    +p3050
    +tp3051
    +a(g898
    +V// Uncompressed size\u000a
    +p3052
    +tp3053
    +a(g827
    +V      
    +p3054
    +tp3055
    +a(g441
    +V$fd
    +p3056
    +tp3057
    +a(g827
    +g962
    +tp3058
    +a(g413
    +V.=
    +p3059
    +tp3060
    +a(g827
    +g962
    +tp3061
    +a(g682
    +Vpack
    +p3062
    +tp3063
    +a(g706
    +g980
    +tp3064
    +a(g157
    +g1240
    +tp3065
    +a(g157
    +g1268
    +tp3066
    +a(g157
    +g1240
    +tp3067
    +a(g706
    +g1150
    +tp3068
    +a(g827
    +g962
    +tp3069
    +a(g682
    +Vstrlen
    +p3070
    +tp3071
    +a(g706
    +g980
    +tp3072
    +a(g441
    +V$name
    +p3073
    +tp3074
    +a(g706
    +V));
    +p3075
    +tp3076
    +a(g827
    +V            
    +p3077
    +tp3078
    +a(g898
    +V// File name length\u000a
    +p3079
    +tp3080
    +a(g827
    +V      
    +p3081
    +tp3082
    +a(g441
    +V$fd
    +p3083
    +tp3084
    +a(g827
    +g962
    +tp3085
    +a(g413
    +V.=
    +p3086
    +tp3087
    +a(g827
    +g962
    +tp3088
    +a(g682
    +Vpack
    +p3089
    +tp3090
    +a(g706
    +g980
    +tp3091
    +a(g157
    +g1240
    +tp3092
    +a(g157
    +g1268
    +tp3093
    +a(g157
    +g1240
    +tp3094
    +a(g706
    +g1150
    +tp3095
    +a(g827
    +g962
    +tp3096
    +a(g37
    +g1297
    +tp3097
    +a(g706
    +V);
    +p3098
    +tp3099
    +a(g827
    +V                        
    +p3100
    +tp3101
    +a(g898
    +V// Extra field length\u000a
    +p3102
    +tp3103
    +a(g827
    +V      
    +p3104
    +tp3105
    +a(g441
    +V$fd
    +p3106
    +tp3107
    +a(g827
    +g962
    +tp3108
    +a(g413
    +V.=
    +p3109
    +tp3110
    +a(g827
    +g962
    +tp3111
    +a(g682
    +Vpack
    +p3112
    +tp3113
    +a(g706
    +g980
    +tp3114
    +a(g157
    +g1240
    +tp3115
    +a(g157
    +g1268
    +tp3116
    +a(g157
    +g1240
    +tp3117
    +a(g706
    +g1150
    +tp3118
    +a(g827
    +g962
    +tp3119
    +a(g37
    +g1297
    +tp3120
    +a(g706
    +V);
    +p3121
    +tp3122
    +a(g827
    +V                        
    +p3123
    +tp3124
    +a(g898
    +V// File comment length\u000a
    +p3125
    +tp3126
    +a(g827
    +V      
    +p3127
    +tp3128
    +a(g441
    +V$fd
    +p3129
    +tp3130
    +a(g827
    +g962
    +tp3131
    +a(g413
    +V.=
    +p3132
    +tp3133
    +a(g827
    +g962
    +tp3134
    +a(g682
    +Vpack
    +p3135
    +tp3136
    +a(g706
    +g980
    +tp3137
    +a(g157
    +g1240
    +tp3138
    +a(g157
    +g1268
    +tp3139
    +a(g157
    +g1240
    +tp3140
    +a(g706
    +g1150
    +tp3141
    +a(g827
    +g962
    +tp3142
    +a(g37
    +g1297
    +tp3143
    +a(g706
    +V);
    +p3144
    +tp3145
    +a(g827
    +V                        
    +p3146
    +tp3147
    +a(g898
    +V// Disk number start\u000a
    +p3148
    +tp3149
    +a(g827
    +V      
    +p3150
    +tp3151
    +a(g441
    +V$fd
    +p3152
    +tp3153
    +a(g827
    +g962
    +tp3154
    +a(g413
    +V.=
    +p3155
    +tp3156
    +a(g827
    +g962
    +tp3157
    +a(g682
    +Vpack
    +p3158
    +tp3159
    +a(g706
    +g980
    +tp3160
    +a(g157
    +g1240
    +tp3161
    +a(g157
    +g1268
    +tp3162
    +a(g157
    +g1240
    +tp3163
    +a(g706
    +g1150
    +tp3164
    +a(g827
    +g962
    +tp3165
    +a(g37
    +g1297
    +tp3166
    +a(g706
    +V);
    +p3167
    +tp3168
    +a(g827
    +V                        
    +p3169
    +tp3170
    +a(g898
    +V// Internal file attributes\u000a
    +p3171
    +tp3172
    +a(g827
    +V      
    +p3173
    +tp3174
    +a(g441
    +V$fd
    +p3175
    +tp3176
    +a(g827
    +g962
    +tp3177
    +a(g413
    +V.=
    +p3178
    +tp3179
    +a(g827
    +g962
    +tp3180
    +a(g682
    +Vpack
    +p3181
    +tp3182
    +a(g706
    +g980
    +tp3183
    +a(g157
    +g1240
    +tp3184
    +a(g157
    +g1386
    +tp3185
    +a(g157
    +g1240
    +tp3186
    +a(g706
    +g1150
    +tp3187
    +a(g827
    +g962
    +tp3188
    +a(g37
    +V32
    +p3189
    +tp3190
    +a(g706
    +V);
    +p3191
    +tp3192
    +a(g827
    +V                       
    +p3193
    +tp3194
    +a(g898
    +V// External file attributes\u000a
    +p3195
    +tp3196
    +a(g827
    +V      
    +p3197
    +tp3198
    +a(g441
    +V$fd
    +p3199
    +tp3200
    +a(g827
    +g962
    +tp3201
    +a(g413
    +V.=
    +p3202
    +tp3203
    +a(g827
    +g962
    +tp3204
    +a(g682
    +Vpack
    +p3205
    +tp3206
    +a(g706
    +g980
    +tp3207
    +a(g157
    +g1240
    +tp3208
    +a(g157
    +g1386
    +tp3209
    +a(g157
    +g1240
    +tp3210
    +a(g706
    +g1150
    +tp3211
    +a(g827
    +g962
    +tp3212
    +a(g441
    +V$offset
    +p3213
    +tp3214
    +a(g706
    +V);
    +p3215
    +tp3216
    +a(g827
    +V                  
    +p3217
    +tp3218
    +a(g898
    +V// Relative offset of local header\u000a
    +p3219
    +tp3220
    +a(g827
    +V\u000a      
    +p3221
    +tp3222
    +a(g441
    +V$fd
    +p3223
    +tp3224
    +a(g827
    +g962
    +tp3225
    +a(g413
    +V.=
    +p3226
    +tp3227
    +a(g827
    +g962
    +tp3228
    +a(g441
    +V$name
    +p3229
    +tp3230
    +a(g706
    +g969
    +tp3231
    +a(g827
    +V                               
    +p3232
    +tp3233
    +a(g898
    +V// File name\u000a
    +p3234
    +tp3235
    +a(g827
    +V      
    +p3236
    +tp3237
    +a(g441
    +V$fd
    +p3238
    +tp3239
    +a(g827
    +g962
    +tp3240
    +a(g413
    +V.=
    +p3241
    +tp3242
    +a(g827
    +g962
    +tp3243
    +a(g230
    +V''
    +p3244
    +tp3245
    +a(g706
    +g969
    +tp3246
    +a(g827
    +V                                  
    +p3247
    +tp3248
    +a(g898
    +V// Extra field (is empty)\u000a
    +p3249
    +tp3250
    +a(g827
    +V      
    +p3251
    +tp3252
    +a(g441
    +V$fd
    +p3253
    +tp3254
    +a(g827
    +g962
    +tp3255
    +a(g413
    +V.=
    +p3256
    +tp3257
    +a(g827
    +g962
    +tp3258
    +a(g230
    +V''
    +p3259
    +tp3260
    +a(g706
    +g969
    +tp3261
    +a(g827
    +V                                  
    +p3262
    +tp3263
    +a(g898
    +V// File comment (is empty)\u000a
    +p3264
    +tp3265
    +a(g827
    +V\u000a      
    +p3266
    +tp3267
    +a(g929
    +V/*\u000a      // Data descriptor\u000a      $fd .= pack("V", crc32($content));          // crc-32\u000a      $fd .= pack("V", strlen($compressed_data)); // Compressed size\u000a      $fd .= pack("V", strlen($content));         // Uncompressed size\u000a      */
    +p3268
    +tp3269
    +a(g827
    +V\u000a\u000a      
    +p3270
    +tp3271
    +a(g898
    +V// Append file data to the central directory data\u000a
    +p3272
    +tp3273
    +a(g827
    +V      
    +p3274
    +tp3275
    +a(g441
    +V$cd_data
    +p3276
    +tp3277
    +a(g706
    +V[]
    +p3278
    +tp3279
    +a(g827
    +g962
    +tp3280
    +a(g413
    +g964
    +tp3281
    +a(g827
    +g962
    +tp3282
    +a(g441
    +V$fd
    +p3283
    +tp3284
    +a(g706
    +g969
    +tp3285
    +a(g827
    +V\u000a    
    +p3286
    +tp3287
    +a(g706
    +g999
    +tp3288
    +a(g827
    +V\u000a\u000a    
    +p3289
    +tp3290
    +a(g898
    +V// Digital signature\u000a
    +p3291
    +tp3292
    +a(g827
    +V    
    +p3293
    +tp3294
    +a(g441
    +V$digital_signature
    +p3295
    +tp3296
    +a(g827
    +g962
    +tp3297
    +a(g413
    +g964
    +tp3298
    +a(g827
    +g962
    +tp3299
    +a(g230
    +V''
    +p3300
    +tp3301
    +a(g706
    +g969
    +tp3302
    +a(g827
    +V\u000a    
    +p3303
    +tp3304
    +a(g441
    +V$digital_signature
    +p3305
    +tp3306
    +a(g827
    +g962
    +tp3307
    +a(g413
    +V.=
    +p3308
    +tp3309
    +a(g827
    +g962
    +tp3310
    +a(g157
    +g1240
    +tp3311
    +a(g213
    +V\u005cx50
    +p3312
    +tp3313
    +a(g213
    +V\u005cx4b
    +p3314
    +tp3315
    +a(g213
    +V\u005cx05
    +p3316
    +tp3317
    +a(g213
    +V\u005cx05
    +p3318
    +tp3319
    +a(g157
    +g1240
    +tp3320
    +a(g706
    +g969
    +tp3321
    +a(g827
    +V  
    +p3322
    +tp3323
    +a(g898
    +V// Header signature\u000a
    +p3324
    +tp3325
    +a(g827
    +V    
    +p3326
    +tp3327
    +a(g441
    +V$digital_signature
    +p3328
    +tp3329
    +a(g827
    +g962
    +tp3330
    +a(g413
    +V.=
    +p3331
    +tp3332
    +a(g827
    +g962
    +tp3333
    +a(g682
    +Vpack
    +p3334
    +tp3335
    +a(g706
    +g980
    +tp3336
    +a(g157
    +g1240
    +tp3337
    +a(g157
    +g1268
    +tp3338
    +a(g157
    +g1240
    +tp3339
    +a(g706
    +g1150
    +tp3340
    +a(g827
    +g962
    +tp3341
    +a(g37
    +g1297
    +tp3342
    +a(g706
    +V);
    +p3343
    +tp3344
    +a(g827
    +V        
    +p3345
    +tp3346
    +a(g898
    +V// Size of data\u000a
    +p3347
    +tp3348
    +a(g827
    +V    
    +p3349
    +tp3350
    +a(g441
    +V$digital_signature
    +p3351
    +tp3352
    +a(g827
    +g962
    +tp3353
    +a(g413
    +V.=
    +p3354
    +tp3355
    +a(g827
    +g962
    +tp3356
    +a(g230
    +V''
    +p3357
    +tp3358
    +a(g706
    +g969
    +tp3359
    +a(g827
    +V                  
    +p3360
    +tp3361
    +a(g898
    +V// Signature data (is empty)\u000a
    +p3362
    +tp3363
    +a(g827
    +V\u000a    
    +p3364
    +tp3365
    +a(g441
    +V$tmp_file_data
    +p3366
    +tp3367
    +a(g827
    +g962
    +tp3368
    +a(g413
    +g964
    +tp3369
    +a(g827
    +g962
    +tp3370
    +a(g682
    +Vimplode
    +p3371
    +tp3372
    +a(g706
    +g980
    +tp3373
    +a(g230
    +V''
    +p3374
    +tp3375
    +a(g706
    +g1150
    +tp3376
    +a(g827
    +g962
    +tp3377
    +a(g441
    +V$file_data
    +p3378
    +tp3379
    +a(g706
    +V);
    +p3380
    +tp3381
    +a(g827
    +V  
    +p3382
    +tp3383
    +a(g898
    +V// File data\u000a
    +p3384
    +tp3385
    +a(g827
    +V    
    +p3386
    +tp3387
    +a(g441
    +V$tmp_cd_data
    +p3388
    +tp3389
    +a(g827
    +V   
    +p3390
    +tp3391
    +a(g413
    +g964
    +tp3392
    +a(g827
    +g962
    +tp3393
    +a(g682
    +Vimplode
    +p3394
    +tp3395
    +a(g706
    +g980
    +tp3396
    +a(g230
    +V''
    +p3397
    +tp3398
    +a(g706
    +g1150
    +tp3399
    +a(g827
    +g962
    +tp3400
    +a(g441
    +V$cd_data
    +p3401
    +tp3402
    +a(g706
    +g984
    +tp3403
    +a(g413
    +g1043
    +tp3404
    +a(g827
    +V    
    +p3405
    +tp3406
    +a(g898
    +V// Central directory\u000a
    +p3407
    +tp3408
    +a(g827
    +V                     
    +p3409
    +tp3410
    +a(g441
    +V$digital_signature
    +p3411
    +tp3412
    +a(g706
    +g969
    +tp3413
    +a(g827
    +V       
    +p3414
    +tp3415
    +a(g898
    +V// Digital signature\u000a
    +p3416
    +tp3417
    +a(g827
    +V\u000a    
    +p3418
    +tp3419
    +a(g898
    +V// End of central directory\u000a
    +p3420
    +tp3421
    +a(g827
    +V    
    +p3422
    +tp3423
    +a(g441
    +V$eof_cd
    +p3424
    +tp3425
    +a(g827
    +g962
    +tp3426
    +a(g413
    +g964
    +tp3427
    +a(g827
    +g962
    +tp3428
    +a(g230
    +V''
    +p3429
    +tp3430
    +a(g706
    +g969
    +tp3431
    +a(g827
    +V\u000a    
    +p3432
    +tp3433
    +a(g441
    +V$eof_cd
    +p3434
    +tp3435
    +a(g827
    +g962
    +tp3436
    +a(g413
    +V.=
    +p3437
    +tp3438
    +a(g827
    +g962
    +tp3439
    +a(g157
    +g1240
    +tp3440
    +a(g213
    +V\u005cx50
    +p3441
    +tp3442
    +a(g213
    +V\u005cx4b
    +p3443
    +tp3444
    +a(g213
    +V\u005cx05
    +p3445
    +tp3446
    +a(g213
    +V\u005cx06
    +p3447
    +tp3448
    +a(g157
    +g1240
    +tp3449
    +a(g706
    +g969
    +tp3450
    +a(g827
    +V                
    +p3451
    +tp3452
    +a(g898
    +V// End of central dir signature\u000a
    +p3453
    +tp3454
    +a(g827
    +V    
    +p3455
    +tp3456
    +a(g441
    +V$eof_cd
    +p3457
    +tp3458
    +a(g827
    +g962
    +tp3459
    +a(g413
    +V.=
    +p3460
    +tp3461
    +a(g827
    +g962
    +tp3462
    +a(g682
    +Vpack
    +p3463
    +tp3464
    +a(g706
    +g980
    +tp3465
    +a(g157
    +g1240
    +tp3466
    +a(g157
    +g1268
    +tp3467
    +a(g157
    +g1240
    +tp3468
    +a(g706
    +g1150
    +tp3469
    +a(g827
    +g962
    +tp3470
    +a(g37
    +g1297
    +tp3471
    +a(g706
    +V);
    +p3472
    +tp3473
    +a(g827
    +V                      
    +p3474
    +tp3475
    +a(g898
    +V// Number of this disk\u000a
    +p3476
    +tp3477
    +a(g827
    +V    
    +p3478
    +tp3479
    +a(g441
    +V$eof_cd
    +p3480
    +tp3481
    +a(g827
    +g962
    +tp3482
    +a(g413
    +V.=
    +p3483
    +tp3484
    +a(g827
    +g962
    +tp3485
    +a(g682
    +Vpack
    +p3486
    +tp3487
    +a(g706
    +g980
    +tp3488
    +a(g157
    +g1240
    +tp3489
    +a(g157
    +g1268
    +tp3490
    +a(g157
    +g1240
    +tp3491
    +a(g706
    +g1150
    +tp3492
    +a(g827
    +g962
    +tp3493
    +a(g37
    +g1297
    +tp3494
    +a(g706
    +V);
    +p3495
    +tp3496
    +a(g827
    +V                      
    +p3497
    +tp3498
    +a(g898
    +V// Number of the disk with the start of the central directory\u000a
    +p3499
    +tp3500
    +a(g827
    +V    
    +p3501
    +tp3502
    +a(g441
    +V$eof_cd
    +p3503
    +tp3504
    +a(g827
    +g962
    +tp3505
    +a(g413
    +V.=
    +p3506
    +tp3507
    +a(g827
    +g962
    +tp3508
    +a(g682
    +Vpack
    +p3509
    +tp3510
    +a(g706
    +g980
    +tp3511
    +a(g157
    +g1240
    +tp3512
    +a(g157
    +g1268
    +tp3513
    +a(g157
    +g1240
    +tp3514
    +a(g706
    +g1150
    +tp3515
    +a(g827
    +g962
    +tp3516
    +a(g682
    +Vcount
    +p3517
    +tp3518
    +a(g706
    +g980
    +tp3519
    +a(g441
    +V$cd_data
    +p3520
    +tp3521
    +a(g706
    +V));
    +p3522
    +tp3523
    +a(g827
    +V        
    +p3524
    +tp3525
    +a(g898
    +V// Total number of entries in the central directory on this disk\u000a
    +p3526
    +tp3527
    +a(g827
    +V    
    +p3528
    +tp3529
    +a(g441
    +V$eof_cd
    +p3530
    +tp3531
    +a(g827
    +g962
    +tp3532
    +a(g413
    +V.=
    +p3533
    +tp3534
    +a(g827
    +g962
    +tp3535
    +a(g682
    +Vpack
    +p3536
    +tp3537
    +a(g706
    +g980
    +tp3538
    +a(g157
    +g1240
    +tp3539
    +a(g157
    +g1268
    +tp3540
    +a(g157
    +g1240
    +tp3541
    +a(g706
    +g1150
    +tp3542
    +a(g827
    +g962
    +tp3543
    +a(g682
    +Vcount
    +p3544
    +tp3545
    +a(g706
    +g980
    +tp3546
    +a(g441
    +V$cd_data
    +p3547
    +tp3548
    +a(g706
    +V));
    +p3549
    +tp3550
    +a(g827
    +V        
    +p3551
    +tp3552
    +a(g898
    +V// Total number of entries in the central directory\u000a
    +p3553
    +tp3554
    +a(g827
    +V    
    +p3555
    +tp3556
    +a(g441
    +V$eof_cd
    +p3557
    +tp3558
    +a(g827
    +g962
    +tp3559
    +a(g413
    +V.=
    +p3560
    +tp3561
    +a(g827
    +g962
    +tp3562
    +a(g682
    +Vpack
    +p3563
    +tp3564
    +a(g706
    +g980
    +tp3565
    +a(g157
    +g1240
    +tp3566
    +a(g157
    +g1386
    +tp3567
    +a(g157
    +g1240
    +tp3568
    +a(g706
    +g1150
    +tp3569
    +a(g827
    +g962
    +tp3570
    +a(g682
    +Vstrlen
    +p3571
    +tp3572
    +a(g706
    +g980
    +tp3573
    +a(g441
    +V$tmp_cd_data
    +p3574
    +tp3575
    +a(g706
    +V));
    +p3576
    +tp3577
    +a(g827
    +V   
    +p3578
    +tp3579
    +a(g898
    +V// Size of the central directory\u000a
    +p3580
    +tp3581
    +a(g827
    +V    
    +p3582
    +tp3583
    +a(g441
    +V$eof_cd
    +p3584
    +tp3585
    +a(g827
    +g962
    +tp3586
    +a(g413
    +V.=
    +p3587
    +tp3588
    +a(g827
    +g962
    +tp3589
    +a(g682
    +Vpack
    +p3590
    +tp3591
    +a(g706
    +g980
    +tp3592
    +a(g157
    +g1240
    +tp3593
    +a(g157
    +g1386
    +tp3594
    +a(g157
    +g1240
    +tp3595
    +a(g706
    +g1150
    +tp3596
    +a(g827
    +g962
    +tp3597
    +a(g682
    +Vstrlen
    +p3598
    +tp3599
    +a(g706
    +g980
    +tp3600
    +a(g441
    +V$tmp_file_data
    +p3601
    +tp3602
    +a(g706
    +V));
    +p3603
    +tp3604
    +a(g827
    +g962
    +tp3605
    +a(g898
    +V// Offset of start of central directory with respect to the starting disk number\u000a
    +p3606
    +tp3607
    +a(g827
    +V    
    +p3608
    +tp3609
    +a(g441
    +V$eof_cd
    +p3610
    +tp3611
    +a(g827
    +g962
    +tp3612
    +a(g413
    +V.=
    +p3613
    +tp3614
    +a(g827
    +g962
    +tp3615
    +a(g682
    +Vpack
    +p3616
    +tp3617
    +a(g706
    +g980
    +tp3618
    +a(g157
    +g1240
    +tp3619
    +a(g157
    +g1268
    +tp3620
    +a(g157
    +g1240
    +tp3621
    +a(g706
    +g1150
    +tp3622
    +a(g827
    +g962
    +tp3623
    +a(g37
    +g1297
    +tp3624
    +a(g706
    +V);
    +p3625
    +tp3626
    +a(g827
    +V                      
    +p3627
    +tp3628
    +a(g898
    +V// .ZIP file comment length\u000a
    +p3629
    +tp3630
    +a(g827
    +V    
    +p3631
    +tp3632
    +a(g441
    +V$eof_cd
    +p3633
    +tp3634
    +a(g827
    +g962
    +tp3635
    +a(g413
    +V.=
    +p3636
    +tp3637
    +a(g827
    +g962
    +tp3638
    +a(g230
    +V''
    +p3639
    +tp3640
    +a(g706
    +g969
    +tp3641
    +a(g827
    +V                                
    +p3642
    +tp3643
    +a(g898
    +V// .ZIP file comment (is empty)\u000a
    +p3644
    +tp3645
    +a(g827
    +V\u000a    
    +p3646
    +tp3647
    +a(g898
    +V// Content of the zip file\u000a
    +p3648
    +tp3649
    +a(g827
    +V    
    +p3650
    +tp3651
    +a(g441
    +V$data
    +p3652
    +tp3653
    +a(g827
    +g962
    +tp3654
    +a(g413
    +g964
    +tp3655
    +a(g827
    +g962
    +tp3656
    +a(g441
    +V$tmp_file_data
    +p3657
    +tp3658
    +a(g413
    +g1043
    +tp3659
    +a(g827
    +V\u000a            
    +p3660
    +tp3661
    +a(g898
    +V// $extra_data_record.\u000a
    +p3662
    +tp3663
    +a(g827
    +V            
    +p3664
    +tp3665
    +a(g441
    +V$tmp_cd_data
    +p3666
    +tp3667
    +a(g413
    +g1043
    +tp3668
    +a(g827
    +V\u000a            
    +p3669
    +tp3670
    +a(g441
    +V$eof_cd
    +p3671
    +tp3672
    +a(g706
    +g969
    +tp3673
    +a(g827
    +V\u000a\u000a    
    +p3674
    +tp3675
    +a(g898
    +V// Return content?\u000a
    +p3676
    +tp3677
    +a(g827
    +V    
    +p3678
    +tp3679
    +a(g745
    +Vif
    +p3680
    +tp3681
    +a(g706
    +g980
    +tp3682
    +a(g413
    +g1012
    +tp3683
    +a(g441
    +V$filename
    +p3684
    +tp3685
    +a(g706
    +g984
    +tp3686
    +a(g827
    +V\u000a      
    +p3687
    +tp3688
    +a(g745
    +Vreturn
    +p3689
    +tp3690
    +a(g827
    +g962
    +tp3691
    +a(g441
    +V$data
    +p3692
    +tp3693
    +a(g706
    +g969
    +tp3694
    +a(g827
    +V\u000a      \u000a    
    +p3695
    +tp3696
    +a(g898
    +V// Write to file\u000a
    +p3697
    +tp3698
    +a(g827
    +V    
    +p3699
    +tp3700
    +a(g745
    +Vreturn
    +p3701
    +tp3702
    +a(g827
    +g962
    +tp3703
    +a(g682
    +Vfile_put_contents
    +p3704
    +tp3705
    +a(g706
    +g980
    +tp3706
    +a(g441
    +V$filename
    +p3707
    +tp3708
    +a(g706
    +g1150
    +tp3709
    +a(g827
    +g962
    +tp3710
    +a(g441
    +V$data
    +p3711
    +tp3712
    +a(g706
    +V);
    +p3713
    +tp3714
    +a(g827
    +V\u000a  
    +p3715
    +tp3716
    +a(g706
    +g999
    +tp3717
    +a(g827
    +V\u000a  \u000a 
    +p3718
    +tp3719
    +a(g222
    +V/**\u000a  *  Load a zip file\u000a  *\u000a  *  This function loads the files and dirs from a zip file from the harddrive.\u000a  *\u000a  *  @access                public\u000a  *\u000a  *  @param  string $file   The path to the zip file\u000a  *  @param  bool   $reset  Reset the files and dirs before adding the zip file's content?\u000a  *\u000a  *  @return bool           Returns true if the file was loaded sucessfully\u000a  */
    +p3720
    +tp3721
    +a(g827
    +V\u000a  
    +p3722
    +tp3723
    +a(g745
    +Vfunction
    +p3724
    +tp3725
    +a(g827
    +g962
    +tp3726
    +a(g574
    +Vload_file
    +p3727
    +tp3728
    +a(g706
    +g980
    +tp3729
    +a(g441
    +V$file
    +p3730
    +tp3731
    +a(g706
    +g1150
    +tp3732
    +a(g827
    +g962
    +tp3733
    +a(g441
    +V$reset
    +p3734
    +tp3735
    +a(g827
    +g962
    +tp3736
    +a(g413
    +g964
    +tp3737
    +a(g827
    +g962
    +tp3738
    +a(g745
    +Vtrue
    +p3739
    +tp3740
    +a(g706
    +g984
    +tp3741
    +a(g827
    +g962
    +tp3742
    +a(g706
    +g987
    +tp3743
    +a(g827
    +V\u000a    
    +p3744
    +tp3745
    +a(g898
    +V// Check whether the file exists\u000a
    +p3746
    +tp3747
    +a(g827
    +V    
    +p3748
    +tp3749
    +a(g745
    +Vif
    +p3750
    +tp3751
    +a(g706
    +g980
    +tp3752
    +a(g413
    +g1012
    +tp3753
    +a(g682
    +Vfile_exists
    +p3754
    +tp3755
    +a(g706
    +g980
    +tp3756
    +a(g441
    +V$file
    +p3757
    +tp3758
    +a(g706
    +V))
    +p3759
    +tp3760
    +a(g827
    +V\u000a      
    +p3761
    +tp3762
    +a(g745
    +Vreturn
    +p3763
    +tp3764
    +a(g827
    +g962
    +tp3765
    +a(g745
    +Vfalse
    +p3766
    +tp3767
    +a(g706
    +g969
    +tp3768
    +a(g827
    +V\u000a\u000a    
    +p3769
    +tp3770
    +a(g898
    +V// Load the files content\u000a
    +p3771
    +tp3772
    +a(g827
    +V    
    +p3773
    +tp3774
    +a(g441
    +V$content
    +p3775
    +tp3776
    +a(g827
    +g962
    +tp3777
    +a(g413
    +g964
    +tp3778
    +a(g827
    +g962
    +tp3779
    +a(g413
    +V@
    +p3780
    +tp3781
    +a(g682
    +Vfile_get_contents
    +p3782
    +tp3783
    +a(g706
    +g980
    +tp3784
    +a(g441
    +V$file
    +p3785
    +tp3786
    +a(g706
    +V);
    +p3787
    +tp3788
    +a(g827
    +V\u000a\u000a    
    +p3789
    +tp3790
    +a(g898
    +V// Return false if the file cannot be opened\u000a
    +p3791
    +tp3792
    +a(g827
    +V    
    +p3793
    +tp3794
    +a(g745
    +Vif
    +p3795
    +tp3796
    +a(g706
    +g980
    +tp3797
    +a(g413
    +g1012
    +tp3798
    +a(g441
    +V$content
    +p3799
    +tp3800
    +a(g706
    +g984
    +tp3801
    +a(g827
    +V\u000a      
    +p3802
    +tp3803
    +a(g745
    +Vreturn
    +p3804
    +tp3805
    +a(g827
    +g962
    +tp3806
    +a(g745
    +Vfalse
    +p3807
    +tp3808
    +a(g706
    +g969
    +tp3809
    +a(g827
    +V\u000a\u000a    
    +p3810
    +tp3811
    +a(g898
    +V// Read the zip\u000a
    +p3812
    +tp3813
    +a(g827
    +V    
    +p3814
    +tp3815
    +a(g745
    +Vreturn
    +p3816
    +tp3817
    +a(g827
    +g962
    +tp3818
    +a(g441
    +V$this
    +p3819
    +tp3820
    +a(g413
    +V->
    +p3821
    +tp3822
    +a(g667
    +Vload_string
    +p3823
    +tp3824
    +a(g706
    +g980
    +tp3825
    +a(g441
    +V$content
    +p3826
    +tp3827
    +a(g706
    +g1150
    +tp3828
    +a(g827
    +g962
    +tp3829
    +a(g441
    +V$reset
    +p3830
    +tp3831
    +a(g706
    +V);
    +p3832
    +tp3833
    +a(g827
    +V\u000a  
    +p3834
    +tp3835
    +a(g706
    +g999
    +tp3836
    +a(g827
    +V\u000a  \u000a 
    +p3837
    +tp3838
    +a(g222
    +V/**\u000a  *  Load a zip string\u000a  *\u000a  *  This function loads the files and dirs from a string\u000a  *\u000a  *  @access                 public\u000a  *\u000a  *  @param  string $string  The string the zip is generated from\u000a  *  @param  bool   $reset   Reset the files and dirs before adding the zip file's content?\u000a  *\u000a  *  @return bool            Returns true if the string was loaded sucessfully\u000a  */
    +p3839
    +tp3840
    +a(g827
    +V\u000a  
    +p3841
    +tp3842
    +a(g745
    +Vfunction
    +p3843
    +tp3844
    +a(g827
    +g962
    +tp3845
    +a(g574
    +Vload_string
    +p3846
    +tp3847
    +a(g706
    +g980
    +tp3848
    +a(g441
    +V$string
    +p3849
    +tp3850
    +a(g706
    +g1150
    +tp3851
    +a(g827
    +g962
    +tp3852
    +a(g441
    +V$reset
    +p3853
    +tp3854
    +a(g827
    +g962
    +tp3855
    +a(g413
    +g964
    +tp3856
    +a(g827
    +g962
    +tp3857
    +a(g745
    +Vtrue
    +p3858
    +tp3859
    +a(g706
    +g984
    +tp3860
    +a(g827
    +g962
    +tp3861
    +a(g706
    +g987
    +tp3862
    +a(g827
    +V\u000a    
    +p3863
    +tp3864
    +a(g898
    +V// Reset the zip?\u000a
    +p3865
    +tp3866
    +a(g827
    +V    
    +p3867
    +tp3868
    +a(g745
    +Vif
    +p3869
    +tp3870
    +a(g706
    +g980
    +tp3871
    +a(g441
    +V$reset
    +p3872
    +tp3873
    +a(g706
    +g984
    +tp3874
    +a(g827
    +g962
    +tp3875
    +a(g706
    +g987
    +tp3876
    +a(g827
    +V\u000a      
    +p3877
    +tp3878
    +a(g441
    +V$this
    +p3879
    +tp3880
    +a(g413
    +V->
    +p3881
    +tp3882
    +a(g667
    +Vdirs
    +p3883
    +tp3884
    +a(g827
    +V  
    +p3885
    +tp3886
    +a(g413
    +g964
    +tp3887
    +a(g827
    +g962
    +tp3888
    +a(g745
    +Varray
    +p3889
    +tp3890
    +a(g706
    +V();
    +p3891
    +tp3892
    +a(g827
    +V\u000a      
    +p3893
    +tp3894
    +a(g441
    +V$this
    +p3895
    +tp3896
    +a(g413
    +V->
    +p3897
    +tp3898
    +a(g667
    +Vfiles
    +p3899
    +tp3900
    +a(g827
    +g962
    +tp3901
    +a(g413
    +g964
    +tp3902
    +a(g827
    +g962
    +tp3903
    +a(g745
    +Varray
    +p3904
    +tp3905
    +a(g706
    +V();
    +p3906
    +tp3907
    +a(g827
    +V\u000a    
    +p3908
    +tp3909
    +a(g706
    +g999
    +tp3910
    +a(g827
    +V\u000a\u000a    
    +p3911
    +tp3912
    +a(g898
    +V// Get the starting position of the end of central directory record\u000a
    +p3913
    +tp3914
    +a(g827
    +V    
    +p3915
    +tp3916
    +a(g441
    +V$start
    +p3917
    +tp3918
    +a(g827
    +g962
    +tp3919
    +a(g413
    +g964
    +tp3920
    +a(g827
    +g962
    +tp3921
    +a(g682
    +Vstrpos
    +p3922
    +tp3923
    +a(g706
    +g980
    +tp3924
    +a(g441
    +V$string
    +p3925
    +tp3926
    +a(g706
    +g1150
    +tp3927
    +a(g827
    +g962
    +tp3928
    +a(g157
    +g1240
    +tp3929
    +a(g213
    +V\u005cx50
    +p3930
    +tp3931
    +a(g213
    +V\u005cx4b
    +p3932
    +tp3933
    +a(g213
    +V\u005cx05
    +p3934
    +tp3935
    +a(g213
    +V\u005cx06
    +p3936
    +tp3937
    +a(g157
    +g1240
    +tp3938
    +a(g706
    +V);
    +p3939
    +tp3940
    +a(g827
    +V\u000a\u000a    
    +p3941
    +tp3942
    +a(g898
    +V// Error\u000a
    +p3943
    +tp3944
    +a(g827
    +V    
    +p3945
    +tp3946
    +a(g745
    +Vif
    +p3947
    +tp3948
    +a(g706
    +g980
    +tp3949
    +a(g441
    +V$start
    +p3950
    +tp3951
    +a(g827
    +g962
    +tp3952
    +a(g413
    +V===
    +p3953
    +tp3954
    +a(g827
    +g962
    +tp3955
    +a(g745
    +Vfalse
    +p3956
    +tp3957
    +a(g706
    +g984
    +tp3958
    +a(g827
    +V\u000a      
    +p3959
    +tp3960
    +a(g745
    +Vdie
    +p3961
    +tp3962
    +a(g706
    +g980
    +tp3963
    +a(g230
    +V'Could not find the end of central directory record'
    +p3964
    +tp3965
    +a(g706
    +V);
    +p3966
    +tp3967
    +a(g827
    +V\u000a\u000a    
    +p3968
    +tp3969
    +a(g898
    +V// Get the ecdr\u000a
    +p3970
    +tp3971
    +a(g827
    +V    
    +p3972
    +tp3973
    +a(g441
    +V$eof_cd
    +p3974
    +tp3975
    +a(g827
    +g962
    +tp3976
    +a(g413
    +g964
    +tp3977
    +a(g827
    +g962
    +tp3978
    +a(g682
    +Vsubstr
    +p3979
    +tp3980
    +a(g706
    +g980
    +tp3981
    +a(g441
    +V$string
    +p3982
    +tp3983
    +a(g706
    +g1150
    +tp3984
    +a(g827
    +g962
    +tp3985
    +a(g441
    +V$start
    +p3986
    +tp3987
    +a(g413
    +V+
    +p3988
    +tp3989
    +a(g37
    +V4
    +p3990
    +tp3991
    +a(g706
    +g1150
    +tp3992
    +a(g827
    +g962
    +tp3993
    +a(g37
    +V18
    +p3994
    +tp3995
    +a(g706
    +V);
    +p3996
    +tp3997
    +a(g827
    +V\u000a\u000a    
    +p3998
    +tp3999
    +a(g898
    +V// Unpack the ecdr infos\u000a
    +p4000
    +tp4001
    +a(g827
    +V    
    +p4002
    +tp4003
    +a(g441
    +V$eof_cd
    +p4004
    +tp4005
    +a(g827
    +g962
    +tp4006
    +a(g413
    +g964
    +tp4007
    +a(g827
    +g962
    +tp4008
    +a(g682
    +Vunpack
    +p4009
    +tp4010
    +a(g706
    +g980
    +tp4011
    +a(g230
    +V'vdisc1/'
    +p4012
    +tp4013
    +a(g413
    +g1043
    +tp4014
    +a(g827
    +V\u000a                     
    +p4015
    +tp4016
    +a(g230
    +V'vdisc2/'
    +p4017
    +tp4018
    +a(g413
    +g1043
    +tp4019
    +a(g827
    +V\u000a                     
    +p4020
    +tp4021
    +a(g230
    +V'ventries1/'
    +p4022
    +tp4023
    +a(g413
    +g1043
    +tp4024
    +a(g827
    +V\u000a                     
    +p4025
    +tp4026
    +a(g230
    +V'ventries2/'
    +p4027
    +tp4028
    +a(g413
    +g1043
    +tp4029
    +a(g827
    +V\u000a                     
    +p4030
    +tp4031
    +a(g230
    +V'Vsize/'
    +p4032
    +tp4033
    +a(g413
    +g1043
    +tp4034
    +a(g827
    +V\u000a                     
    +p4035
    +tp4036
    +a(g230
    +V'Voffset/'
    +p4037
    +tp4038
    +a(g413
    +g1043
    +tp4039
    +a(g827
    +V\u000a                     
    +p4040
    +tp4041
    +a(g230
    +V'vcomment_lenght'
    +p4042
    +tp4043
    +a(g706
    +g1150
    +tp4044
    +a(g827
    +g962
    +tp4045
    +a(g441
    +V$eof_cd
    +p4046
    +tp4047
    +a(g706
    +V);
    +p4048
    +tp4049
    +a(g827
    +V\u000a\u000a    
    +p4050
    +tp4051
    +a(g898
    +V// Do not allow multi disc zips\u000a
    +p4052
    +tp4053
    +a(g827
    +V    
    +p4054
    +tp4055
    +a(g745
    +Vif
    +p4056
    +tp4057
    +a(g706
    +g980
    +tp4058
    +a(g441
    +V$eof_cd
    +p4059
    +tp4060
    +a(g706
    +g2186
    +tp4061
    +a(g230
    +V'disc1'
    +p4062
    +tp4063
    +a(g706
    +V]
    +p4064
    +tp4065
    +a(g827
    +g962
    +tp4066
    +a(g413
    +V!=
    +p4067
    +tp4068
    +a(g827
    +g962
    +tp4069
    +a(g37
    +g1297
    +tp4070
    +a(g706
    +g984
    +tp4071
    +a(g827
    +V\u000a      
    +p4072
    +tp4073
    +a(g745
    +Vdie
    +p4074
    +tp4075
    +a(g706
    +g980
    +tp4076
    +a(g230
    +V'multi disk stuff is not yet implemented :/'
    +p4077
    +tp4078
    +a(g706
    +V);
    +p4079
    +tp4080
    +a(g827
    +V\u000a\u000a    
    +p4081
    +tp4082
    +a(g898
    +V// Save the interesting values\u000a
    +p4083
    +tp4084
    +a(g827
    +V    
    +p4085
    +tp4086
    +a(g441
    +V$cd_entries
    +p4087
    +tp4088
    +a(g827
    +g962
    +tp4089
    +a(g413
    +g964
    +tp4090
    +a(g827
    +g962
    +tp4091
    +a(g441
    +V$eof_cd
    +p4092
    +tp4093
    +a(g706
    +g2186
    +tp4094
    +a(g230
    +V'entries1'
    +p4095
    +tp4096
    +a(g706
    +V];
    +p4097
    +tp4098
    +a(g827
    +V\u000a    
    +p4099
    +tp4100
    +a(g441
    +V$cd_size
    +p4101
    +tp4102
    +a(g827
    +V    
    +p4103
    +tp4104
    +a(g413
    +g964
    +tp4105
    +a(g827
    +g962
    +tp4106
    +a(g441
    +V$eof_cd
    +p4107
    +tp4108
    +a(g706
    +g2186
    +tp4109
    +a(g230
    +V'size'
    +p4110
    +tp4111
    +a(g706
    +V];
    +p4112
    +tp4113
    +a(g827
    +V\u000a    
    +p4114
    +tp4115
    +a(g441
    +V$cd_offset
    +p4116
    +tp4117
    +a(g827
    +V  
    +p4118
    +tp4119
    +a(g413
    +g964
    +tp4120
    +a(g827
    +g962
    +tp4121
    +a(g441
    +V$eof_cd
    +p4122
    +tp4123
    +a(g706
    +g2186
    +tp4124
    +a(g230
    +V'offset'
    +p4125
    +tp4126
    +a(g706
    +V];
    +p4127
    +tp4128
    +a(g827
    +V\u000a\u000a    
    +p4129
    +tp4130
    +a(g898
    +V// Get the central directory record\u000a
    +p4131
    +tp4132
    +a(g827
    +V    
    +p4133
    +tp4134
    +a(g441
    +V$cdr
    +p4135
    +tp4136
    +a(g827
    +g962
    +tp4137
    +a(g413
    +g964
    +tp4138
    +a(g827
    +g962
    +tp4139
    +a(g682
    +Vsubstr
    +p4140
    +tp4141
    +a(g706
    +g980
    +tp4142
    +a(g441
    +V$string
    +p4143
    +tp4144
    +a(g706
    +g1150
    +tp4145
    +a(g827
    +g962
    +tp4146
    +a(g441
    +V$cd_offset
    +p4147
    +tp4148
    +a(g706
    +g1150
    +tp4149
    +a(g827
    +g962
    +tp4150
    +a(g441
    +V$cd_size
    +p4151
    +tp4152
    +a(g706
    +V);
    +p4153
    +tp4154
    +a(g827
    +V\u000a\u000a    
    +p4155
    +tp4156
    +a(g898
    +V// Reset the position and the list of the entries\u000a
    +p4157
    +tp4158
    +a(g827
    +V    
    +p4159
    +tp4160
    +a(g441
    +V$pos
    +p4161
    +tp4162
    +a(g827
    +V     
    +p4163
    +tp4164
    +a(g413
    +g964
    +tp4165
    +a(g827
    +g962
    +tp4166
    +a(g37
    +g1297
    +tp4167
    +a(g706
    +g969
    +tp4168
    +a(g827
    +V\u000a    
    +p4169
    +tp4170
    +a(g441
    +V$entries
    +p4171
    +tp4172
    +a(g827
    +g962
    +tp4173
    +a(g413
    +g964
    +tp4174
    +a(g827
    +g962
    +tp4175
    +a(g745
    +Varray
    +p4176
    +tp4177
    +a(g706
    +V();
    +p4178
    +tp4179
    +a(g827
    +V\u000a\u000a    
    +p4180
    +tp4181
    +a(g898
    +V// Handle cdr\u000a
    +p4182
    +tp4183
    +a(g827
    +V    
    +p4184
    +tp4185
    +a(g745
    +Vwhile
    +p4186
    +tp4187
    +a(g706
    +g980
    +tp4188
    +a(g441
    +V$pos
    +p4189
    +tp4190
    +a(g827
    +g962
    +tp4191
    +a(g413
    +V<
    +p4192
    +tp4193
    +a(g827
    +g962
    +tp4194
    +a(g682
    +Vstrlen
    +p4195
    +tp4196
    +a(g706
    +g980
    +tp4197
    +a(g441
    +V$cdr
    +p4198
    +tp4199
    +a(g706
    +V))
    +p4200
    +tp4201
    +a(g827
    +g962
    +tp4202
    +a(g706
    +g987
    +tp4203
    +a(g827
    +V\u000a      
    +p4204
    +tp4205
    +a(g898
    +V// Check header signature\u000a
    +p4206
    +tp4207
    +a(g827
    +V      
    +p4208
    +tp4209
    +a(g898
    +V// Digital signature\u000a
    +p4210
    +tp4211
    +a(g827
    +V      
    +p4212
    +tp4213
    +a(g745
    +Vif
    +p4214
    +tp4215
    +a(g706
    +g980
    +tp4216
    +a(g682
    +Vsubstr
    +p4217
    +tp4218
    +a(g706
    +g980
    +tp4219
    +a(g441
    +V$cdr
    +p4220
    +tp4221
    +a(g706
    +g1150
    +tp4222
    +a(g827
    +g962
    +tp4223
    +a(g441
    +V$pos
    +p4224
    +tp4225
    +a(g706
    +g1150
    +tp4226
    +a(g827
    +g962
    +tp4227
    +a(g37
    +g3990
    +tp4228
    +a(g706
    +g984
    +tp4229
    +a(g827
    +g962
    +tp4230
    +a(g413
    +V==
    +p4231
    +tp4232
    +a(g827
    +g962
    +tp4233
    +a(g157
    +g1240
    +tp4234
    +a(g213
    +V\u005cx50
    +p4235
    +tp4236
    +a(g213
    +V\u005cx4b
    +p4237
    +tp4238
    +a(g213
    +V\u005cx05
    +p4239
    +tp4240
    +a(g213
    +V\u005cx05
    +p4241
    +tp4242
    +a(g157
    +g1240
    +tp4243
    +a(g706
    +g984
    +tp4244
    +a(g827
    +g962
    +tp4245
    +a(g706
    +g987
    +tp4246
    +a(g827
    +V\u000a        
    +p4247
    +tp4248
    +a(g898
    +V// Get digital signature size\u000a
    +p4249
    +tp4250
    +a(g827
    +V        
    +p4251
    +tp4252
    +a(g441
    +V$tmp_info
    +p4253
    +tp4254
    +a(g827
    +g962
    +tp4255
    +a(g413
    +g964
    +tp4256
    +a(g827
    +g962
    +tp4257
    +a(g682
    +Vunpack
    +p4258
    +tp4259
    +a(g706
    +g980
    +tp4260
    +a(g230
    +V'vsize'
    +p4261
    +tp4262
    +a(g706
    +g1150
    +tp4263
    +a(g827
    +g962
    +tp4264
    +a(g682
    +Vsubstr
    +p4265
    +tp4266
    +a(g706
    +g980
    +tp4267
    +a(g441
    +V$cdr
    +p4268
    +tp4269
    +a(g706
    +g1150
    +tp4270
    +a(g827
    +g962
    +tp4271
    +a(g441
    +V$pos
    +p4272
    +tp4273
    +a(g827
    +g962
    +tp4274
    +a(g413
    +g3988
    +tp4275
    +a(g827
    +g962
    +tp4276
    +a(g37
    +g3990
    +tp4277
    +a(g706
    +g1150
    +tp4278
    +a(g827
    +g962
    +tp4279
    +a(g37
    +V2
    +p4280
    +tp4281
    +a(g706
    +V));
    +p4282
    +tp4283
    +a(g827
    +V\u000a\u000a        
    +p4284
    +tp4285
    +a(g898
    +V// Read out the digital signature\u000a
    +p4286
    +tp4287
    +a(g827
    +V        
    +p4288
    +tp4289
    +a(g441
    +V$digital_sig
    +p4290
    +tp4291
    +a(g827
    +g962
    +tp4292
    +a(g413
    +g964
    +tp4293
    +a(g827
    +g962
    +tp4294
    +a(g682
    +Vsubstr
    +p4295
    +tp4296
    +a(g706
    +g980
    +tp4297
    +a(g441
    +V$header
    +p4298
    +tp4299
    +a(g706
    +g1150
    +tp4300
    +a(g827
    +g962
    +tp4301
    +a(g441
    +V$pos
    +p4302
    +tp4303
    +a(g827
    +g962
    +tp4304
    +a(g413
    +g3988
    +tp4305
    +a(g827
    +g962
    +tp4306
    +a(g37
    +V6
    +p4307
    +tp4308
    +a(g706
    +g1150
    +tp4309
    +a(g827
    +g962
    +tp4310
    +a(g441
    +V$tmp_info
    +p4311
    +tp4312
    +a(g706
    +g2186
    +tp4313
    +a(g230
    +V'size'
    +p4314
    +tp4315
    +a(g706
    +V]);
    +p4316
    +tp4317
    +a(g827
    +V\u000a\u000a        
    +p4318
    +tp4319
    +a(g745
    +Vbreak
    +p4320
    +tp4321
    +a(g706
    +g969
    +tp4322
    +a(g827
    +V\u000a      
    +p4323
    +tp4324
    +a(g706
    +g999
    +tp4325
    +a(g827
    +V\u000a\u000a      
    +p4326
    +tp4327
    +a(g898
    +V// Get file header\u000a
    +p4328
    +tp4329
    +a(g827
    +V      
    +p4330
    +tp4331
    +a(g441
    +V$header
    +p4332
    +tp4333
    +a(g827
    +g962
    +tp4334
    +a(g413
    +g964
    +tp4335
    +a(g827
    +g962
    +tp4336
    +a(g682
    +Vsubstr
    +p4337
    +tp4338
    +a(g706
    +g980
    +tp4339
    +a(g441
    +V$cdr
    +p4340
    +tp4341
    +a(g706
    +g1150
    +tp4342
    +a(g827
    +g962
    +tp4343
    +a(g441
    +V$pos
    +p4344
    +tp4345
    +a(g706
    +g1150
    +tp4346
    +a(g827
    +g962
    +tp4347
    +a(g37
    +V46
    +p4348
    +tp4349
    +a(g706
    +V);
    +p4350
    +tp4351
    +a(g827
    +V\u000a\u000a      
    +p4352
    +tp4353
    +a(g898
    +V// Unpack the header information\u000a
    +p4354
    +tp4355
    +a(g827
    +V      
    +p4356
    +tp4357
    +a(g441
    +V$header_info
    +p4358
    +tp4359
    +a(g827
    +g962
    +tp4360
    +a(g413
    +g964
    +tp4361
    +a(g827
    +g962
    +tp4362
    +a(g413
    +g3780
    +tp4363
    +a(g682
    +Vunpack
    +p4364
    +tp4365
    +a(g706
    +g980
    +tp4366
    +a(g230
    +V'Vheader/'
    +p4367
    +tp4368
    +a(g413
    +g1043
    +tp4369
    +a(g827
    +V\u000a                             
    +p4370
    +tp4371
    +a(g230
    +V'vversion_made_by/'
    +p4372
    +tp4373
    +a(g413
    +g1043
    +tp4374
    +a(g827
    +V\u000a                             
    +p4375
    +tp4376
    +a(g230
    +V'vversion_needed/'
    +p4377
    +tp4378
    +a(g413
    +g1043
    +tp4379
    +a(g827
    +V\u000a                             
    +p4380
    +tp4381
    +a(g230
    +V'vgeneral_purpose/'
    +p4382
    +tp4383
    +a(g413
    +g1043
    +tp4384
    +a(g827
    +V\u000a                             
    +p4385
    +tp4386
    +a(g230
    +V'vcompression_method/'
    +p4387
    +tp4388
    +a(g413
    +g1043
    +tp4389
    +a(g827
    +V\u000a                             
    +p4390
    +tp4391
    +a(g230
    +V'vlast_mod_time/'
    +p4392
    +tp4393
    +a(g413
    +g1043
    +tp4394
    +a(g827
    +V\u000a                             
    +p4395
    +tp4396
    +a(g230
    +V'vlast_mod_date/'
    +p4397
    +tp4398
    +a(g413
    +g1043
    +tp4399
    +a(g827
    +V\u000a                             
    +p4400
    +tp4401
    +a(g230
    +V'Vcrc32/'
    +p4402
    +tp4403
    +a(g413
    +g1043
    +tp4404
    +a(g827
    +V\u000a                             
    +p4405
    +tp4406
    +a(g230
    +V'Vcompressed_size/'
    +p4407
    +tp4408
    +a(g413
    +g1043
    +tp4409
    +a(g827
    +V\u000a                             
    +p4410
    +tp4411
    +a(g230
    +V'Vuncompressed_size/'
    +p4412
    +tp4413
    +a(g413
    +g1043
    +tp4414
    +a(g827
    +V\u000a                             
    +p4415
    +tp4416
    +a(g230
    +V'vname_length/'
    +p4417
    +tp4418
    +a(g413
    +g1043
    +tp4419
    +a(g827
    +V\u000a                             
    +p4420
    +tp4421
    +a(g230
    +V'vextra_length/'
    +p4422
    +tp4423
    +a(g413
    +g1043
    +tp4424
    +a(g827
    +V\u000a                             
    +p4425
    +tp4426
    +a(g230
    +V'vcomment_length/'
    +p4427
    +tp4428
    +a(g413
    +g1043
    +tp4429
    +a(g827
    +V\u000a                             
    +p4430
    +tp4431
    +a(g230
    +V'vdisk_number/'
    +p4432
    +tp4433
    +a(g413
    +g1043
    +tp4434
    +a(g827
    +V\u000a                             
    +p4435
    +tp4436
    +a(g230
    +V'vinternal_attributes/'
    +p4437
    +tp4438
    +a(g413
    +g1043
    +tp4439
    +a(g827
    +V\u000a                             
    +p4440
    +tp4441
    +a(g230
    +V'Vexternal_attributes/'
    +p4442
    +tp4443
    +a(g413
    +g1043
    +tp4444
    +a(g827
    +V\u000a                             
    +p4445
    +tp4446
    +a(g230
    +V'Voffset'
    +p4447
    +tp4448
    +a(g706
    +g1150
    +tp4449
    +a(g827
    +V\u000a                             
    +p4450
    +tp4451
    +a(g441
    +V$header
    +p4452
    +tp4453
    +a(g706
    +V);
    +p4454
    +tp4455
    +a(g827
    +V\u000a\u000a      
    +p4456
    +tp4457
    +a(g898
    +V// Valid header?\u000a
    +p4458
    +tp4459
    +a(g827
    +V      
    +p4460
    +tp4461
    +a(g745
    +Vif
    +p4462
    +tp4463
    +a(g706
    +g980
    +tp4464
    +a(g441
    +V$header_info
    +p4465
    +tp4466
    +a(g706
    +g2186
    +tp4467
    +a(g230
    +V'header'
    +p4468
    +tp4469
    +a(g706
    +g4064
    +tp4470
    +a(g827
    +g962
    +tp4471
    +a(g413
    +V!=
    +p4472
    +tp4473
    +a(g827
    +g962
    +tp4474
    +a(g37
    +V33639248
    +p4475
    +tp4476
    +a(g706
    +g984
    +tp4477
    +a(g827
    +V\u000a        
    +p4478
    +tp4479
    +a(g745
    +Vreturn
    +p4480
    +tp4481
    +a(g827
    +g962
    +tp4482
    +a(g745
    +Vfalse
    +p4483
    +tp4484
    +a(g706
    +g969
    +tp4485
    +a(g827
    +V\u000a\u000a      
    +p4486
    +tp4487
    +a(g898
    +V// New position\u000a
    +p4488
    +tp4489
    +a(g827
    +V      
    +p4490
    +tp4491
    +a(g441
    +V$pos
    +p4492
    +tp4493
    +a(g827
    +g962
    +tp4494
    +a(g413
    +V+=
    +p4495
    +tp4496
    +a(g827
    +g962
    +tp4497
    +a(g37
    +V46
    +p4498
    +tp4499
    +a(g706
    +g969
    +tp4500
    +a(g827
    +V\u000a\u000a      
    +p4501
    +tp4502
    +a(g898
    +V// Read out the file name\u000a
    +p4503
    +tp4504
    +a(g827
    +V      
    +p4505
    +tp4506
    +a(g441
    +V$header_info
    +p4507
    +tp4508
    +a(g706
    +g2186
    +tp4509
    +a(g230
    +V'name'
    +p4510
    +tp4511
    +a(g706
    +g4064
    +tp4512
    +a(g827
    +g962
    +tp4513
    +a(g413
    +g964
    +tp4514
    +a(g827
    +g962
    +tp4515
    +a(g682
    +Vsubstr
    +p4516
    +tp4517
    +a(g706
    +g980
    +tp4518
    +a(g441
    +V$cdr
    +p4519
    +tp4520
    +a(g706
    +g1150
    +tp4521
    +a(g827
    +g962
    +tp4522
    +a(g441
    +V$pos
    +p4523
    +tp4524
    +a(g706
    +g1150
    +tp4525
    +a(g827
    +g962
    +tp4526
    +a(g441
    +V$header_info
    +p4527
    +tp4528
    +a(g706
    +g2186
    +tp4529
    +a(g230
    +V'name_length'
    +p4530
    +tp4531
    +a(g706
    +V]);
    +p4532
    +tp4533
    +a(g827
    +V\u000a\u000a      
    +p4534
    +tp4535
    +a(g898
    +V// New position\u000a
    +p4536
    +tp4537
    +a(g827
    +V      
    +p4538
    +tp4539
    +a(g441
    +V$pos
    +p4540
    +tp4541
    +a(g827
    +g962
    +tp4542
    +a(g413
    +V+=
    +p4543
    +tp4544
    +a(g827
    +g962
    +tp4545
    +a(g441
    +V$header_info
    +p4546
    +tp4547
    +a(g706
    +g2186
    +tp4548
    +a(g230
    +V'name_length'
    +p4549
    +tp4550
    +a(g706
    +V];
    +p4551
    +tp4552
    +a(g827
    +V\u000a\u000a      
    +p4553
    +tp4554
    +a(g898
    +V// Read out the extra stuff\u000a
    +p4555
    +tp4556
    +a(g827
    +V      
    +p4557
    +tp4558
    +a(g441
    +V$header_info
    +p4559
    +tp4560
    +a(g706
    +g2186
    +tp4561
    +a(g230
    +V'extra'
    +p4562
    +tp4563
    +a(g706
    +g4064
    +tp4564
    +a(g827
    +g962
    +tp4565
    +a(g413
    +g964
    +tp4566
    +a(g827
    +g962
    +tp4567
    +a(g682
    +Vsubstr
    +p4568
    +tp4569
    +a(g706
    +g980
    +tp4570
    +a(g441
    +V$cdr
    +p4571
    +tp4572
    +a(g706
    +g1150
    +tp4573
    +a(g827
    +g962
    +tp4574
    +a(g441
    +V$pos
    +p4575
    +tp4576
    +a(g706
    +g1150
    +tp4577
    +a(g827
    +g962
    +tp4578
    +a(g441
    +V$header_info
    +p4579
    +tp4580
    +a(g706
    +g2186
    +tp4581
    +a(g230
    +V'extra_length'
    +p4582
    +tp4583
    +a(g706
    +V]);
    +p4584
    +tp4585
    +a(g827
    +V\u000a\u000a      
    +p4586
    +tp4587
    +a(g898
    +V// New position\u000a
    +p4588
    +tp4589
    +a(g827
    +V      
    +p4590
    +tp4591
    +a(g441
    +V$pos
    +p4592
    +tp4593
    +a(g827
    +g962
    +tp4594
    +a(g413
    +V+=
    +p4595
    +tp4596
    +a(g827
    +g962
    +tp4597
    +a(g441
    +V$header_info
    +p4598
    +tp4599
    +a(g706
    +g2186
    +tp4600
    +a(g230
    +V'extra_length'
    +p4601
    +tp4602
    +a(g706
    +V];
    +p4603
    +tp4604
    +a(g827
    +V\u000a\u000a      
    +p4605
    +tp4606
    +a(g898
    +V// Read out the comment\u000a
    +p4607
    +tp4608
    +a(g827
    +V      
    +p4609
    +tp4610
    +a(g441
    +V$header_info
    +p4611
    +tp4612
    +a(g706
    +g2186
    +tp4613
    +a(g230
    +V'comment'
    +p4614
    +tp4615
    +a(g706
    +g4064
    +tp4616
    +a(g827
    +g962
    +tp4617
    +a(g413
    +g964
    +tp4618
    +a(g827
    +g962
    +tp4619
    +a(g682
    +Vsubstr
    +p4620
    +tp4621
    +a(g706
    +g980
    +tp4622
    +a(g441
    +V$cdr
    +p4623
    +tp4624
    +a(g706
    +g1150
    +tp4625
    +a(g827
    +g962
    +tp4626
    +a(g441
    +V$pos
    +p4627
    +tp4628
    +a(g706
    +g1150
    +tp4629
    +a(g827
    +g962
    +tp4630
    +a(g441
    +V$header_info
    +p4631
    +tp4632
    +a(g706
    +g2186
    +tp4633
    +a(g230
    +V'comment_length'
    +p4634
    +tp4635
    +a(g706
    +V]);
    +p4636
    +tp4637
    +a(g827
    +V\u000a\u000a      
    +p4638
    +tp4639
    +a(g898
    +V// New position\u000a
    +p4640
    +tp4641
    +a(g827
    +V      
    +p4642
    +tp4643
    +a(g441
    +V$pos
    +p4644
    +tp4645
    +a(g827
    +g962
    +tp4646
    +a(g413
    +V+=
    +p4647
    +tp4648
    +a(g827
    +g962
    +tp4649
    +a(g441
    +V$header_info
    +p4650
    +tp4651
    +a(g706
    +g2186
    +tp4652
    +a(g230
    +V'comment_length'
    +p4653
    +tp4654
    +a(g706
    +V];
    +p4655
    +tp4656
    +a(g827
    +V\u000a\u000a      
    +p4657
    +tp4658
    +a(g898
    +V// Append this file/dir to the entry list\u000a
    +p4659
    +tp4660
    +a(g827
    +V      
    +p4661
    +tp4662
    +a(g441
    +V$entries
    +p4663
    +tp4664
    +a(g706
    +V[]
    +p4665
    +tp4666
    +a(g827
    +g962
    +tp4667
    +a(g413
    +g964
    +tp4668
    +a(g827
    +g962
    +tp4669
    +a(g441
    +V$header_info
    +p4670
    +tp4671
    +a(g706
    +g969
    +tp4672
    +a(g827
    +V\u000a    
    +p4673
    +tp4674
    +a(g706
    +g999
    +tp4675
    +a(g827
    +V\u000a\u000a    
    +p4676
    +tp4677
    +a(g898
    +V// Check whether all entries where read sucessfully\u000a
    +p4678
    +tp4679
    +a(g827
    +V    
    +p4680
    +tp4681
    +a(g745
    +Vif
    +p4682
    +tp4683
    +a(g706
    +g980
    +tp4684
    +a(g682
    +Vcount
    +p4685
    +tp4686
    +a(g706
    +g980
    +tp4687
    +a(g441
    +V$entries
    +p4688
    +tp4689
    +a(g706
    +g984
    +tp4690
    +a(g827
    +g962
    +tp4691
    +a(g413
    +V!=
    +p4692
    +tp4693
    +a(g827
    +g962
    +tp4694
    +a(g441
    +V$cd_entries
    +p4695
    +tp4696
    +a(g706
    +g984
    +tp4697
    +a(g827
    +V\u000a      
    +p4698
    +tp4699
    +a(g745
    +Vreturn
    +p4700
    +tp4701
    +a(g827
    +g962
    +tp4702
    +a(g745
    +Vfalse
    +p4703
    +tp4704
    +a(g706
    +g969
    +tp4705
    +a(g827
    +V\u000a\u000a    
    +p4706
    +tp4707
    +a(g898
    +V// Handle files/dirs\u000a
    +p4708
    +tp4709
    +a(g827
    +V    
    +p4710
    +tp4711
    +a(g745
    +Vforeach
    +p4712
    +tp4713
    +a(g706
    +g980
    +tp4714
    +a(g441
    +V$entries
    +p4715
    +tp4716
    +a(g827
    +g962
    +tp4717
    +a(g745
    +Vas
    +p4718
    +tp4719
    +a(g827
    +g962
    +tp4720
    +a(g441
    +V$entry
    +p4721
    +tp4722
    +a(g706
    +g984
    +tp4723
    +a(g827
    +g962
    +tp4724
    +a(g706
    +g987
    +tp4725
    +a(g827
    +V\u000a      
    +p4726
    +tp4727
    +a(g898
    +V// Is a dir?\u000a
    +p4728
    +tp4729
    +a(g827
    +V      
    +p4730
    +tp4731
    +a(g745
    +Vif
    +p4732
    +tp4733
    +a(g706
    +g980
    +tp4734
    +a(g441
    +V$entry
    +p4735
    +tp4736
    +a(g706
    +g2186
    +tp4737
    +a(g230
    +V'external_attributes'
    +p4738
    +tp4739
    +a(g706
    +g4064
    +tp4740
    +a(g827
    +g962
    +tp4741
    +a(g413
    +V&
    +p4742
    +tp4743
    +a(g827
    +g962
    +tp4744
    +a(g37
    +V16
    +p4745
    +tp4746
    +a(g706
    +g984
    +tp4747
    +a(g827
    +g962
    +tp4748
    +a(g706
    +g987
    +tp4749
    +a(g827
    +V\u000a        
    +p4750
    +tp4751
    +a(g441
    +V$this
    +p4752
    +tp4753
    +a(g413
    +V->
    +p4754
    +tp4755
    +a(g667
    +Vadd_dir
    +p4756
    +tp4757
    +a(g706
    +g980
    +tp4758
    +a(g441
    +V$entry
    +p4759
    +tp4760
    +a(g706
    +g2186
    +tp4761
    +a(g230
    +V'name'
    +p4762
    +tp4763
    +a(g706
    +V]);
    +p4764
    +tp4765
    +a(g827
    +V\u000a        
    +p4766
    +tp4767
    +a(g745
    +Vcontinue
    +p4768
    +tp4769
    +a(g706
    +g969
    +tp4770
    +a(g827
    +V\u000a      
    +p4771
    +tp4772
    +a(g706
    +g999
    +tp4773
    +a(g827
    +V\u000a\u000a      
    +p4774
    +tp4775
    +a(g898
    +V// Get local file header\u000a
    +p4776
    +tp4777
    +a(g827
    +V      
    +p4778
    +tp4779
    +a(g441
    +V$header
    +p4780
    +tp4781
    +a(g827
    +g962
    +tp4782
    +a(g413
    +g964
    +tp4783
    +a(g827
    +g962
    +tp4784
    +a(g682
    +Vsubstr
    +p4785
    +tp4786
    +a(g706
    +g980
    +tp4787
    +a(g441
    +V$string
    +p4788
    +tp4789
    +a(g706
    +g1150
    +tp4790
    +a(g827
    +g962
    +tp4791
    +a(g441
    +V$entry
    +p4792
    +tp4793
    +a(g706
    +g2186
    +tp4794
    +a(g230
    +V'offset'
    +p4795
    +tp4796
    +a(g706
    +V],
    +p4797
    +tp4798
    +a(g827
    +g962
    +tp4799
    +a(g37
    +V30
    +p4800
    +tp4801
    +a(g706
    +V);
    +p4802
    +tp4803
    +a(g827
    +V\u000a\u000a      
    +p4804
    +tp4805
    +a(g898
    +V// Unpack the header information\u000a
    +p4806
    +tp4807
    +a(g827
    +V      
    +p4808
    +tp4809
    +a(g441
    +V$header_info
    +p4810
    +tp4811
    +a(g827
    +g962
    +tp4812
    +a(g413
    +g964
    +tp4813
    +a(g827
    +g962
    +tp4814
    +a(g413
    +g3780
    +tp4815
    +a(g682
    +Vunpack
    +p4816
    +tp4817
    +a(g706
    +g980
    +tp4818
    +a(g230
    +V'Vheader/'
    +p4819
    +tp4820
    +a(g413
    +g1043
    +tp4821
    +a(g827
    +V\u000a                             
    +p4822
    +tp4823
    +a(g230
    +V'vversion_needed/'
    +p4824
    +tp4825
    +a(g413
    +g1043
    +tp4826
    +a(g827
    +V\u000a                             
    +p4827
    +tp4828
    +a(g230
    +V'vgeneral_purpose/'
    +p4829
    +tp4830
    +a(g413
    +g1043
    +tp4831
    +a(g827
    +V\u000a                             
    +p4832
    +tp4833
    +a(g230
    +V'vcompression_method/'
    +p4834
    +tp4835
    +a(g413
    +g1043
    +tp4836
    +a(g827
    +V\u000a                             
    +p4837
    +tp4838
    +a(g230
    +V'vlast_mod_time/'
    +p4839
    +tp4840
    +a(g413
    +g1043
    +tp4841
    +a(g827
    +V\u000a                             
    +p4842
    +tp4843
    +a(g230
    +V'vlast_mod_date/'
    +p4844
    +tp4845
    +a(g413
    +g1043
    +tp4846
    +a(g827
    +V\u000a                             
    +p4847
    +tp4848
    +a(g230
    +V'Vcrc32/'
    +p4849
    +tp4850
    +a(g413
    +g1043
    +tp4851
    +a(g827
    +V\u000a                             
    +p4852
    +tp4853
    +a(g230
    +V'Vcompressed_size/'
    +p4854
    +tp4855
    +a(g413
    +g1043
    +tp4856
    +a(g827
    +V\u000a                             
    +p4857
    +tp4858
    +a(g230
    +V'Vuncompressed_size/'
    +p4859
    +tp4860
    +a(g413
    +g1043
    +tp4861
    +a(g827
    +V\u000a                             
    +p4862
    +tp4863
    +a(g230
    +V'vname_length/'
    +p4864
    +tp4865
    +a(g413
    +g1043
    +tp4866
    +a(g827
    +V\u000a                             
    +p4867
    +tp4868
    +a(g230
    +V'vextra_length'
    +p4869
    +tp4870
    +a(g706
    +g1150
    +tp4871
    +a(g827
    +V\u000a                             
    +p4872
    +tp4873
    +a(g441
    +V$header
    +p4874
    +tp4875
    +a(g706
    +V);
    +p4876
    +tp4877
    +a(g827
    +V\u000a\u000a      
    +p4878
    +tp4879
    +a(g898
    +V// Valid header?\u000a
    +p4880
    +tp4881
    +a(g827
    +V      
    +p4882
    +tp4883
    +a(g745
    +Vif
    +p4884
    +tp4885
    +a(g706
    +g980
    +tp4886
    +a(g441
    +V$header_info
    +p4887
    +tp4888
    +a(g706
    +g2186
    +tp4889
    +a(g230
    +V'header'
    +p4890
    +tp4891
    +a(g706
    +g4064
    +tp4892
    +a(g827
    +g962
    +tp4893
    +a(g413
    +V!=
    +p4894
    +tp4895
    +a(g827
    +g962
    +tp4896
    +a(g37
    +V67324752
    +p4897
    +tp4898
    +a(g706
    +g984
    +tp4899
    +a(g827
    +V\u000a        
    +p4900
    +tp4901
    +a(g745
    +Vreturn
    +p4902
    +tp4903
    +a(g827
    +g962
    +tp4904
    +a(g745
    +Vfalse
    +p4905
    +tp4906
    +a(g706
    +g969
    +tp4907
    +a(g827
    +V\u000a\u000a      
    +p4908
    +tp4909
    +a(g898
    +V// Get content start position\u000a
    +p4910
    +tp4911
    +a(g827
    +V      
    +p4912
    +tp4913
    +a(g441
    +V$start
    +p4914
    +tp4915
    +a(g827
    +g962
    +tp4916
    +a(g413
    +g964
    +tp4917
    +a(g827
    +g962
    +tp4918
    +a(g441
    +V$entry
    +p4919
    +tp4920
    +a(g706
    +g2186
    +tp4921
    +a(g230
    +V'offset'
    +p4922
    +tp4923
    +a(g706
    +g4064
    +tp4924
    +a(g827
    +g962
    +tp4925
    +a(g413
    +g3988
    +tp4926
    +a(g827
    +g962
    +tp4927
    +a(g37
    +V30
    +p4928
    +tp4929
    +a(g827
    +g962
    +tp4930
    +a(g413
    +g3988
    +tp4931
    +a(g827
    +g962
    +tp4932
    +a(g441
    +V$header_info
    +p4933
    +tp4934
    +a(g706
    +g2186
    +tp4935
    +a(g230
    +V'name_length'
    +p4936
    +tp4937
    +a(g706
    +g4064
    +tp4938
    +a(g827
    +g962
    +tp4939
    +a(g413
    +g3988
    +tp4940
    +a(g827
    +g962
    +tp4941
    +a(g441
    +V$header_info
    +p4942
    +tp4943
    +a(g706
    +g2186
    +tp4944
    +a(g230
    +V'extra_length'
    +p4945
    +tp4946
    +a(g706
    +V];
    +p4947
    +tp4948
    +a(g827
    +V\u000a\u000a      
    +p4949
    +tp4950
    +a(g898
    +V// Get the compressed data\u000a
    +p4951
    +tp4952
    +a(g827
    +V      
    +p4953
    +tp4954
    +a(g441
    +V$data
    +p4955
    +tp4956
    +a(g827
    +g962
    +tp4957
    +a(g413
    +g964
    +tp4958
    +a(g827
    +g962
    +tp4959
    +a(g682
    +Vsubstr
    +p4960
    +tp4961
    +a(g706
    +g980
    +tp4962
    +a(g441
    +V$string
    +p4963
    +tp4964
    +a(g706
    +g1150
    +tp4965
    +a(g827
    +g962
    +tp4966
    +a(g441
    +V$start
    +p4967
    +tp4968
    +a(g706
    +g1150
    +tp4969
    +a(g827
    +g962
    +tp4970
    +a(g441
    +V$header_info
    +p4971
    +tp4972
    +a(g706
    +g2186
    +tp4973
    +a(g230
    +V'compressed_size'
    +p4974
    +tp4975
    +a(g706
    +V]);
    +p4976
    +tp4977
    +a(g827
    +V\u000a\u000a      
    +p4978
    +tp4979
    +a(g898
    +V// Detect compression type\u000a
    +p4980
    +tp4981
    +a(g827
    +V      
    +p4982
    +tp4983
    +a(g745
    +Vswitch
    +p4984
    +tp4985
    +a(g706
    +g980
    +tp4986
    +a(g441
    +V$header_info
    +p4987
    +tp4988
    +a(g706
    +g2186
    +tp4989
    +a(g230
    +V'compression_method'
    +p4990
    +tp4991
    +a(g706
    +V])
    +p4992
    +tp4993
    +a(g827
    +g962
    +tp4994
    +a(g706
    +g987
    +tp4995
    +a(g827
    +V\u000a        
    +p4996
    +tp4997
    +a(g898
    +V// No compression\u000a
    +p4998
    +tp4999
    +a(g827
    +V        
    +p5000
    +tp5001
    +a(g745
    +Vcase
    +p5002
    +tp5003
    +a(g827
    +g962
    +tp5004
    +a(g37
    +g1297
    +tp5005
    +a(g413
    +V:
    +p5006
    +tp5007
    +a(g827
    +V\u000a          
    +p5008
    +tp5009
    +a(g898
    +V// Ne decompression needed\u000a
    +p5010
    +tp5011
    +a(g827
    +V          
    +p5012
    +tp5013
    +a(g441
    +V$content
    +p5014
    +tp5015
    +a(g827
    +g962
    +tp5016
    +a(g413
    +g964
    +tp5017
    +a(g827
    +g962
    +tp5018
    +a(g441
    +V$data
    +p5019
    +tp5020
    +a(g706
    +g969
    +tp5021
    +a(g827
    +V\u000a          
    +p5022
    +tp5023
    +a(g745
    +Vbreak
    +p5024
    +tp5025
    +a(g706
    +g969
    +tp5026
    +a(g827
    +V\u000a\u000a        
    +p5027
    +tp5028
    +a(g898
    +V// Gzip\u000a
    +p5029
    +tp5030
    +a(g827
    +V        
    +p5031
    +tp5032
    +a(g745
    +Vcase
    +p5033
    +tp5034
    +a(g827
    +g962
    +tp5035
    +a(g37
    +g2238
    +tp5036
    +a(g413
    +g5006
    +tp5037
    +a(g827
    +V\u000a          
    +p5038
    +tp5039
    +a(g745
    +Vif
    +p5040
    +tp5041
    +a(g706
    +g980
    +tp5042
    +a(g413
    +g1012
    +tp5043
    +a(g682
    +Vfunction_exists
    +p5044
    +tp5045
    +a(g706
    +g980
    +tp5046
    +a(g230
    +V'gzinflate'
    +p5047
    +tp5048
    +a(g706
    +V))
    +p5049
    +tp5050
    +a(g827
    +V\u000a            
    +p5051
    +tp5052
    +a(g745
    +Vreturn
    +p5053
    +tp5054
    +a(g827
    +g962
    +tp5055
    +a(g745
    +Vfalse
    +p5056
    +tp5057
    +a(g706
    +g969
    +tp5058
    +a(g827
    +V\u000a\u000a          
    +p5059
    +tp5060
    +a(g898
    +V// Uncompress data\u000a
    +p5061
    +tp5062
    +a(g827
    +V          
    +p5063
    +tp5064
    +a(g441
    +V$content
    +p5065
    +tp5066
    +a(g827
    +g962
    +tp5067
    +a(g413
    +g964
    +tp5068
    +a(g827
    +g962
    +tp5069
    +a(g682
    +Vgzinflate
    +p5070
    +tp5071
    +a(g706
    +g980
    +tp5072
    +a(g441
    +V$data
    +p5073
    +tp5074
    +a(g706
    +V);
    +p5075
    +tp5076
    +a(g827
    +V\u000a          
    +p5077
    +tp5078
    +a(g745
    +Vbreak
    +p5079
    +tp5080
    +a(g706
    +g969
    +tp5081
    +a(g827
    +V\u000a\u000a        
    +p5082
    +tp5083
    +a(g898
    +V// Bzip2\u000a
    +p5084
    +tp5085
    +a(g827
    +V        
    +p5086
    +tp5087
    +a(g745
    +Vcase
    +p5088
    +tp5089
    +a(g827
    +g962
    +tp5090
    +a(g37
    +V12
    +p5091
    +tp5092
    +a(g413
    +g5006
    +tp5093
    +a(g827
    +V\u000a          
    +p5094
    +tp5095
    +a(g745
    +Vif
    +p5096
    +tp5097
    +a(g706
    +g980
    +tp5098
    +a(g413
    +g1012
    +tp5099
    +a(g682
    +Vfunction_exists
    +p5100
    +tp5101
    +a(g706
    +g980
    +tp5102
    +a(g230
    +V'bzdecompress'
    +p5103
    +tp5104
    +a(g706
    +V))
    +p5105
    +tp5106
    +a(g827
    +V\u000a            
    +p5107
    +tp5108
    +a(g745
    +Vreturn
    +p5109
    +tp5110
    +a(g827
    +g962
    +tp5111
    +a(g745
    +Vfalse
    +p5112
    +tp5113
    +a(g706
    +g969
    +tp5114
    +a(g827
    +V\u000a\u000a          
    +p5115
    +tp5116
    +a(g898
    +V// Decompress data\u000a
    +p5117
    +tp5118
    +a(g827
    +V          
    +p5119
    +tp5120
    +a(g441
    +V$content
    +p5121
    +tp5122
    +a(g827
    +g962
    +tp5123
    +a(g413
    +g964
    +tp5124
    +a(g827
    +g962
    +tp5125
    +a(g682
    +Vbzdecompress
    +p5126
    +tp5127
    +a(g706
    +g980
    +tp5128
    +a(g441
    +V$data
    +p5129
    +tp5130
    +a(g706
    +V);
    +p5131
    +tp5132
    +a(g827
    +V\u000a          
    +p5133
    +tp5134
    +a(g745
    +Vbreak
    +p5135
    +tp5136
    +a(g706
    +g969
    +tp5137
    +a(g827
    +V\u000a\u000a        
    +p5138
    +tp5139
    +a(g898
    +V// Compression not supported -> error\u000a
    +p5140
    +tp5141
    +a(g827
    +V        
    +p5142
    +tp5143
    +a(g745
    +Vdefault
    +p5144
    +tp5145
    +a(g413
    +g5006
    +tp5146
    +a(g827
    +V\u000a          
    +p5147
    +tp5148
    +a(g745
    +Vreturn
    +p5149
    +tp5150
    +a(g827
    +g962
    +tp5151
    +a(g745
    +Vfalse
    +p5152
    +tp5153
    +a(g706
    +g969
    +tp5154
    +a(g827
    +V\u000a      
    +p5155
    +tp5156
    +a(g706
    +g999
    +tp5157
    +a(g827
    +V\u000a\u000a      
    +p5158
    +tp5159
    +a(g898
    +V// Try to add file\u000a
    +p5160
    +tp5161
    +a(g827
    +V      
    +p5162
    +tp5163
    +a(g745
    +Vif
    +p5164
    +tp5165
    +a(g706
    +g980
    +tp5166
    +a(g413
    +g1012
    +tp5167
    +a(g441
    +V$this
    +p5168
    +tp5169
    +a(g413
    +V->
    +p5170
    +tp5171
    +a(g667
    +Vadd_file
    +p5172
    +tp5173
    +a(g706
    +g980
    +tp5174
    +a(g441
    +V$entry
    +p5175
    +tp5176
    +a(g706
    +g2186
    +tp5177
    +a(g230
    +V'name'
    +p5178
    +tp5179
    +a(g706
    +V],
    +p5180
    +tp5181
    +a(g827
    +g962
    +tp5182
    +a(g441
    +V$content
    +p5183
    +tp5184
    +a(g706
    +V))
    +p5185
    +tp5186
    +a(g827
    +V\u000a        
    +p5187
    +tp5188
    +a(g745
    +Vreturn
    +p5189
    +tp5190
    +a(g827
    +g962
    +tp5191
    +a(g745
    +Vfalse
    +p5192
    +tp5193
    +a(g706
    +g969
    +tp5194
    +a(g827
    +V\u000a    
    +p5195
    +tp5196
    +a(g706
    +g999
    +tp5197
    +a(g827
    +V\u000a\u000a    
    +p5198
    +tp5199
    +a(g745
    +Vreturn
    +p5200
    +tp5201
    +a(g827
    +g962
    +tp5202
    +a(g745
    +Vtrue
    +p5203
    +tp5204
    +a(g706
    +g969
    +tp5205
    +a(g827
    +V\u000a  
    +p5206
    +tp5207
    +a(g706
    +g999
    +tp5208
    +a(g827
    +V\u000a
    +p5209
    +tp5210
    +a(g706
    +g999
    +tp5211
    +a(g827
    +V\u000a\u000a
    +p5212
    +tp5213
    +a(g745
    +Vfunction
    +p5214
    +tp5215
    +a(g827
    +g962
    +tp5216
    +a(g413
    +g4742
    +tp5217
    +a(g574
    +Vbyref
    +p5218
    +tp5219
    +a(g706
    +V()
    +p5220
    +tp5221
    +a(g827
    +g962
    +tp5222
    +a(g706
    +g987
    +tp5223
    +a(g827
    +V\u000a    
    +p5224
    +tp5225
    +a(g441
    +V$x
    +p5226
    +tp5227
    +a(g827
    +g962
    +tp5228
    +a(g413
    +g964
    +tp5229
    +a(g827
    +g962
    +tp5230
    +a(g745
    +Varray
    +p5231
    +tp5232
    +a(g706
    +V();
    +p5233
    +tp5234
    +a(g827
    +V\u000a    
    +p5235
    +tp5236
    +a(g745
    +Vreturn
    +p5237
    +tp5238
    +a(g827
    +g962
    +tp5239
    +a(g441
    +V$x
    +p5240
    +tp5241
    +a(g706
    +g969
    +tp5242
    +a(g827
    +V\u000a
    +p5243
    +tp5244
    +a(g706
    +g999
    +tp5245
    +a(g827
    +V\u000a\u000a
    +p5246
    +tp5247
    +a(g898
    +V// Test highlighting of magic methods and variables\u000a
    +p5248
    +tp5249
    +a(g745
    +Vclass
    +p5250
    +tp5251
    +a(g827
    +g962
    +tp5252
    +a(g629
    +VMagicClass
    +p5253
    +tp5254
    +a(g827
    +g962
    +tp5255
    +a(g706
    +g987
    +tp5256
    +a(g827
    +V\u000a  
    +p5257
    +tp5258
    +a(g745
    +Vpublic
    +p5259
    +tp5260
    +a(g827
    +g962
    +tp5261
    +a(g441
    +V$magic_str
    +p5262
    +tp5263
    +a(g706
    +g969
    +tp5264
    +a(g827
    +V\u000a  
    +p5265
    +tp5266
    +a(g745
    +Vpublic
    +p5267
    +tp5268
    +a(g827
    +g962
    +tp5269
    +a(g441
    +V$ordinary_str
    +p5270
    +tp5271
    +a(g706
    +g969
    +tp5272
    +a(g827
    +V\u000a\u000a  
    +p5273
    +tp5274
    +a(g745
    +Vpublic
    +p5275
    +tp5276
    +a(g827
    +g962
    +tp5277
    +a(g745
    +Vfunction
    +p5278
    +tp5279
    +a(g827
    +g962
    +tp5280
    +a(g579
    +V__construct
    +p5281
    +tp5282
    +a(g706
    +g980
    +tp5283
    +a(g441
    +V$some_var
    +p5284
    +tp5285
    +a(g706
    +g984
    +tp5286
    +a(g827
    +g962
    +tp5287
    +a(g706
    +g987
    +tp5288
    +a(g827
    +V\u000a    
    +p5289
    +tp5290
    +a(g441
    +V$this
    +p5291
    +tp5292
    +a(g413
    +V->
    +p5293
    +tp5294
    +a(g667
    +Vmagic_str
    +p5295
    +tp5296
    +a(g827
    +g962
    +tp5297
    +a(g413
    +g964
    +tp5298
    +a(g827
    +g962
    +tp5299
    +a(g566
    +V__FILE__
    +p5300
    +tp5301
    +a(g706
    +g969
    +tp5302
    +a(g827
    +V\u000a    
    +p5303
    +tp5304
    +a(g441
    +V$this
    +p5305
    +tp5306
    +a(g413
    +V->
    +p5307
    +tp5308
    +a(g667
    +Vordinary_str
    +p5309
    +tp5310
    +a(g827
    +g962
    +tp5311
    +a(g413
    +g964
    +tp5312
    +a(g827
    +g962
    +tp5313
    +a(g441
    +V$some_var
    +p5314
    +tp5315
    +a(g706
    +g969
    +tp5316
    +a(g827
    +V\u000a  
    +p5317
    +tp5318
    +a(g706
    +g999
    +tp5319
    +a(g827
    +V\u000a\u000a  
    +p5320
    +tp5321
    +a(g745
    +Vpublic
    +p5322
    +tp5323
    +a(g827
    +g962
    +tp5324
    +a(g745
    +Vfunction
    +p5325
    +tp5326
    +a(g827
    +g962
    +tp5327
    +a(g579
    +V__toString
    +p5328
    +tp5329
    +a(g706
    +V()
    +p5330
    +tp5331
    +a(g827
    +g962
    +tp5332
    +a(g706
    +g987
    +tp5333
    +a(g827
    +V\u000a    
    +p5334
    +tp5335
    +a(g745
    +Vreturn
    +p5336
    +tp5337
    +a(g827
    +g962
    +tp5338
    +a(g441
    +V$this
    +p5339
    +tp5340
    +a(g413
    +V->
    +p5341
    +tp5342
    +a(g667
    +Vmagic_str
    +p5343
    +tp5344
    +a(g706
    +g969
    +tp5345
    +a(g827
    +V\u000a  
    +p5346
    +tp5347
    +a(g706
    +g999
    +tp5348
    +a(g827
    +V\u000a\u000a  
    +p5349
    +tp5350
    +a(g745
    +Vpublic
    +p5351
    +tp5352
    +a(g827
    +g962
    +tp5353
    +a(g745
    +Vfunction
    +p5354
    +tp5355
    +a(g827
    +g962
    +tp5356
    +a(g574
    +VnonMagic
    +p5357
    +tp5358
    +a(g706
    +V()
    +p5359
    +tp5360
    +a(g827
    +g962
    +tp5361
    +a(g706
    +g987
    +tp5362
    +a(g827
    +V\u000a    
    +p5363
    +tp5364
    +a(g745
    +Vreturn
    +p5365
    +tp5366
    +a(g827
    +g962
    +tp5367
    +a(g441
    +V$this
    +p5368
    +tp5369
    +a(g413
    +V->
    +p5370
    +tp5371
    +a(g667
    +Vordinary_str
    +p5372
    +tp5373
    +a(g706
    +g969
    +tp5374
    +a(g827
    +V\u000a  
    +p5375
    +tp5376
    +a(g706
    +g999
    +tp5377
    +a(g827
    +V\u000a
    +p5378
    +tp5379
    +a(g706
    +g999
    +tp5380
    +a(g827
    +V\u000a\u000a
    +p5381
    +tp5382
    +a(g441
    +V$magic
    +p5383
    +tp5384
    +a(g827
    +g962
    +tp5385
    +a(g413
    +g964
    +tp5386
    +a(g827
    +g962
    +tp5387
    +a(g745
    +Vnew
    +p5388
    +tp5389
    +a(g827
    +g962
    +tp5390
    +a(g651
    +VMagicClass
    +p5391
    +tp5392
    +a(g706
    +g980
    +tp5393
    +a(g566
    +V__DIR__
    +p5394
    +tp5395
    +a(g706
    +V);
    +p5396
    +tp5397
    +a(g827
    +V\u000a
    +p5398
    +tp5399
    +a(g651
    +V__toString
    +p5400
    +tp5401
    +a(g706
    +V();
    +p5402
    +tp5403
    +a(g827
    +V\u000a
    +p5404
    +tp5405
    +a(g441
    +V$magic
    +p5406
    +tp5407
    +a(g413
    +V->
    +p5408
    +tp5409
    +a(g667
    +VnonMagic
    +p5410
    +tp5411
    +a(g706
    +V();
    +p5412
    +tp5413
    +a(g827
    +V\u000a
    +p5414
    +tp5415
    +a(g441
    +V$magic
    +p5416
    +tp5417
    +a(g413
    +V->
    +p5418
    +tp5419
    +a(g667
    +V__toString
    +p5420
    +tp5421
    +a(g706
    +V();
    +p5422
    +tp5423
    +a(g827
    +V\u000a\u000a     
    +p5424
    +tp5425
    +a(g745
    +Vecho
    +p5426
    +tp5427
    +a(g827
    +g962
    +tp5428
    +a(g89
    +V<<<
    +p5429
    +tp5430
    +a(g133
    +VEOF
    +p5431
    +tp5432
    +a(g89
    +V\u000a\u000a     Test the heredocs...\u000a\u000a     
    +p5433
    +tp5434
    +a(g133
    +VEOF
    +p5435
    +tp5436
    +a(g706
    +g969
    +tp5437
    +a(g827
    +V\u000a
    +p5438
    +tp5439
    +a(g827
    +V\u000a
    +p5440
    +tp5441
    +a(g745
    +Vecho
    +p5442
    +tp5443
    +a(g827
    +g962
    +tp5444
    +a(g89
    +V<<<
    +p5445
    +tp5446
    +a(g89
    +g1240
    +tp5447
    +a(g133
    +Vsome_delimiter
    +p5448
    +tp5449
    +a(g89
    +V"\u000amore heredoc testing\u000acontinues on this line\u000a
    +p5450
    +tp5451
    +a(g133
    +Vsome_delimiter
    +p5452
    +tp5453
    +a(g706
    +g969
    +tp5454
    +a(g827
    +V\u000a
    +p5455
    +tp5456
    +a(g827
    +V\u000a
    +p5457
    +tp5458
    +a(g8
    +V?>
    +p5459
    +tp5460
    +a(g721
    +V\u000a
    +p5461
    +tp5462
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.pig b/tests/examplefiles/output/test.pig
    new file mode 100644
    index 0000000..284aaee
    --- /dev/null
    +++ b/tests/examplefiles/output/test.pig
    @@ -0,0 +1,4710 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +S'Multiline'
    +p5
    +tp6
    +tp7
    +Rp8
    +(dp9
    +S'parent'
    +p10
    +g1
    +(g2
    +g3
    +(g4
    +tp11
    +tp12
    +Rp13
    +(dp14
    +S'Multi'
    +p15
    +g1
    +(g2
    +g3
    +(g4
    +g15
    +tp16
    +tp17
    +Rp18
    +(dp19
    +g10
    +g13
    +sS'subtypes'
    +p20
    +c__builtin__
    +set
    +p21
    +((lp22
    +tp23
    +Rp24
    +sbsg10
    +g1
    +(g2
    +g3
    +(ttp25
    +Rp26
    +(dp27
    +S'Number'
    +p28
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p29
    +g28
    +tp30
    +tp31
    +Rp32
    +(dp33
    +S'Integer'
    +p34
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g10
    +g32
    +sS'Long'
    +p39
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +g39
    +tp40
    +tp41
    +Rp42
    +(dp43
    +g10
    +g37
    +sg20
    +g21
    +((lp44
    +tp45
    +Rp46
    +sbsg20
    +g21
    +((lp47
    +g42
    +atp48
    +Rp49
    +sbsg10
    +g1
    +(g2
    +g3
    +(g29
    +tp50
    +tp51
    +Rp52
    +(dp53
    +S'Scalar'
    +p54
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +tp55
    +tp56
    +Rp57
    +(dp58
    +g10
    +g52
    +sg20
    +g21
    +((lp59
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +S'Plain'
    +p60
    +tp61
    +tp62
    +Rp63
    +(dp64
    +g10
    +g57
    +sg20
    +g21
    +((lp65
    +tp66
    +Rp67
    +sbatp68
    +Rp69
    +sg60
    +g63
    +sbsg28
    +g32
    +sg10
    +g26
    +sS'Other'
    +p70
    +g1
    +(g2
    +g3
    +(g29
    +g70
    +tp71
    +tp72
    +Rp73
    +(dp74
    +g10
    +g52
    +sg20
    +g21
    +((lp75
    +tp76
    +Rp77
    +sbsS'Char'
    +p78
    +g1
    +(g2
    +g3
    +(g29
    +g78
    +tp79
    +tp80
    +Rp81
    +(dp82
    +g10
    +g52
    +sg20
    +g21
    +((lp83
    +tp84
    +Rp85
    +sbsS'String'
    +p86
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +tp87
    +tp88
    +Rp89
    +(dp90
    +g78
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g78
    +tp91
    +tp92
    +Rp93
    +(dp94
    +g10
    +g89
    +sg20
    +g21
    +((lp95
    +tp96
    +Rp97
    +sbsS'Backtick'
    +p98
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g98
    +tp99
    +tp100
    +Rp101
    +(dp102
    +g10
    +g89
    +sg20
    +g21
    +((lp103
    +tp104
    +Rp105
    +sbsS'Heredoc'
    +p106
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g106
    +tp107
    +tp108
    +Rp109
    +(dp110
    +g10
    +g89
    +sg20
    +g21
    +((lp111
    +tp112
    +Rp113
    +sbsS'Symbol'
    +p114
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g114
    +tp115
    +tp116
    +Rp117
    +(dp118
    +g10
    +g89
    +sg20
    +g21
    +((lp119
    +tp120
    +Rp121
    +sbsS'Interpol'
    +p122
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g122
    +tp123
    +tp124
    +Rp125
    +(dp126
    +g10
    +g89
    +sg20
    +g21
    +((lp127
    +tp128
    +Rp129
    +sbsS'Delimiter'
    +p130
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g130
    +tp131
    +tp132
    +Rp133
    +(dp134
    +g10
    +g89
    +sg20
    +g21
    +((lp135
    +tp136
    +Rp137
    +sbsS'Boolean'
    +p138
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g138
    +tp139
    +tp140
    +Rp141
    +(dp142
    +g10
    +g89
    +sg20
    +g21
    +((lp143
    +tp144
    +Rp145
    +sbsS'Character'
    +p146
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g146
    +tp147
    +tp148
    +Rp149
    +(dp150
    +g10
    +g89
    +sg20
    +g21
    +((lp151
    +tp152
    +Rp153
    +sbsS'Double'
    +p154
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g154
    +tp155
    +tp156
    +Rp157
    +(dp158
    +g10
    +g89
    +sg20
    +g21
    +((lp159
    +tp160
    +Rp161
    +sbsS'Delimeter'
    +p162
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g162
    +tp163
    +tp164
    +Rp165
    +(dp166
    +g10
    +g89
    +sg20
    +g21
    +((lp167
    +tp168
    +Rp169
    +sbsS'Atom'
    +p170
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g170
    +tp171
    +tp172
    +Rp173
    +(dp174
    +g10
    +g89
    +sg20
    +g21
    +((lp175
    +tp176
    +Rp177
    +sbsS'Affix'
    +p178
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g178
    +tp179
    +tp180
    +Rp181
    +(dp182
    +g10
    +g89
    +sg20
    +g21
    +((lp183
    +tp184
    +Rp185
    +sbsS'Name'
    +p186
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g186
    +tp187
    +tp188
    +Rp189
    +(dp190
    +g10
    +g89
    +sg20
    +g21
    +((lp191
    +tp192
    +Rp193
    +sbsS'Regex'
    +p194
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g194
    +tp195
    +tp196
    +Rp197
    +(dp198
    +g10
    +g89
    +sg20
    +g21
    +((lp199
    +tp200
    +Rp201
    +sbsS'Interp'
    +p202
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g202
    +tp203
    +tp204
    +Rp205
    +(dp206
    +g10
    +g89
    +sg20
    +g21
    +((lp207
    +tp208
    +Rp209
    +sbsS'Escape'
    +p210
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g210
    +tp211
    +tp212
    +Rp213
    +(dp214
    +g10
    +g89
    +sg20
    +g21
    +((lp215
    +tp216
    +Rp217
    +sbsg20
    +g21
    +((lp218
    +g133
    +ag117
    +ag197
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Doc'
    +p219
    +tp220
    +tp221
    +Rp222
    +(dp223
    +g10
    +g89
    +sg20
    +g21
    +((lp224
    +tp225
    +Rp226
    +sbag149
    +ag141
    +ag157
    +ag125
    +ag173
    +ag165
    +ag189
    +ag213
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Single'
    +p227
    +tp228
    +tp229
    +Rp230
    +(dp231
    +g10
    +g89
    +sg20
    +g21
    +((lp232
    +tp233
    +Rp234
    +sbag1
    +(g2
    +g3
    +(g29
    +g86
    +g70
    +tp235
    +tp236
    +Rp237
    +(dp238
    +g10
    +g89
    +sg20
    +g21
    +((lp239
    +tp240
    +Rp241
    +sbag205
    +ag101
    +ag181
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Moment'
    +p242
    +tp243
    +tp244
    +Rp245
    +(dp246
    +g10
    +g89
    +sg20
    +g21
    +((lp247
    +tp248
    +Rp249
    +sbag93
    +ag109
    +atp250
    +Rp251
    +sg227
    +g230
    +sg242
    +g245
    +sg10
    +g52
    +sg70
    +g237
    +sg219
    +g222
    +sbsg20
    +g21
    +((lp252
    +g89
    +ag81
    +ag73
    +ag1
    +(g2
    +g3
    +(g29
    +S'Date'
    +p253
    +tp254
    +tp255
    +Rp256
    +(dp257
    +g10
    +g52
    +sg20
    +g21
    +((lp258
    +tp259
    +Rp260
    +sbag57
    +ag32
    +atp261
    +Rp262
    +sg253
    +g256
    +sbsS'Bin'
    +p263
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g263
    +tp264
    +tp265
    +Rp266
    +(dp267
    +g10
    +g32
    +sg20
    +g21
    +((lp268
    +tp269
    +Rp270
    +sbsS'Radix'
    +p271
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g271
    +tp272
    +tp273
    +Rp274
    +(dp275
    +g10
    +g32
    +sg20
    +g21
    +((lp276
    +tp277
    +Rp278
    +sbsS'Oct'
    +p279
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g279
    +tp280
    +tp281
    +Rp282
    +(dp283
    +g10
    +g32
    +sg20
    +g21
    +((lp284
    +tp285
    +Rp286
    +sbsS'Dec'
    +p287
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g287
    +tp288
    +tp289
    +Rp290
    +(dp291
    +g10
    +g32
    +sg20
    +g21
    +((lp292
    +tp293
    +Rp294
    +sbsS'Hex'
    +p295
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g295
    +tp296
    +tp297
    +Rp298
    +(dp299
    +g10
    +g32
    +sg20
    +g21
    +((lp300
    +tp301
    +Rp302
    +sbsg20
    +g21
    +((lp303
    +g37
    +ag274
    +ag290
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Decimal'
    +p304
    +tp305
    +tp306
    +Rp307
    +(dp308
    +g10
    +g32
    +sg20
    +g21
    +((lp309
    +tp310
    +Rp311
    +sbag266
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Float'
    +p312
    +tp313
    +tp314
    +Rp315
    +(dp316
    +g10
    +g32
    +sg20
    +g21
    +((lp317
    +tp318
    +Rp319
    +sbag282
    +ag298
    +atp320
    +Rp321
    +sg304
    +g307
    +sg312
    +g315
    +sbsS'Generic'
    +p322
    +g1
    +(g2
    +g3
    +(g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g10
    +g26
    +sS'Deleted'
    +p327
    +g1
    +(g2
    +g3
    +(g322
    +g327
    +tp328
    +tp329
    +Rp330
    +(dp331
    +g10
    +g325
    +sg20
    +g21
    +((lp332
    +tp333
    +Rp334
    +sbsS'Subheading'
    +p335
    +g1
    +(g2
    +g3
    +(g322
    +g335
    +tp336
    +tp337
    +Rp338
    +(dp339
    +g10
    +g325
    +sg20
    +g21
    +((lp340
    +tp341
    +Rp342
    +sbsS'Heading'
    +p343
    +g1
    +(g2
    +g3
    +(g322
    +g343
    +tp344
    +tp345
    +Rp346
    +(dp347
    +g10
    +g325
    +sg20
    +g21
    +((lp348
    +tp349
    +Rp350
    +sbsS'Emph'
    +p351
    +g1
    +(g2
    +g3
    +(g322
    +g351
    +tp352
    +tp353
    +Rp354
    +(dp355
    +g10
    +g325
    +sg20
    +g21
    +((lp356
    +tp357
    +Rp358
    +sbsS'Prompt'
    +p359
    +g1
    +(g2
    +g3
    +(g322
    +g359
    +tp360
    +tp361
    +Rp362
    +(dp363
    +g10
    +g325
    +sg20
    +g21
    +((lp364
    +tp365
    +Rp366
    +sbsS'Inserted'
    +p367
    +g1
    +(g2
    +g3
    +(g322
    +g367
    +tp368
    +tp369
    +Rp370
    +(dp371
    +g10
    +g325
    +sg20
    +g21
    +((lp372
    +tp373
    +Rp374
    +sbsS'Strong'
    +p375
    +g1
    +(g2
    +g3
    +(g322
    +g375
    +tp376
    +tp377
    +Rp378
    +(dp379
    +g10
    +g325
    +sg20
    +g21
    +((lp380
    +tp381
    +Rp382
    +sbsS'Error'
    +p383
    +g1
    +(g2
    +g3
    +(g322
    +g383
    +tp384
    +tp385
    +Rp386
    +(dp387
    +g10
    +g325
    +sg20
    +g21
    +((lp388
    +tp389
    +Rp390
    +sbsS'Traceback'
    +p391
    +g1
    +(g2
    +g3
    +(g322
    +g391
    +tp392
    +tp393
    +Rp394
    +(dp395
    +g10
    +g325
    +sg20
    +g21
    +((lp396
    +tp397
    +Rp398
    +sbsg20
    +g21
    +((lp399
    +g346
    +ag338
    +ag1
    +(g2
    +g3
    +(g322
    +S'Output'
    +p400
    +tp401
    +tp402
    +Rp403
    +(dp404
    +g10
    +g325
    +sg20
    +g21
    +((lp405
    +tp406
    +Rp407
    +sbag378
    +ag354
    +ag386
    +ag394
    +ag370
    +ag362
    +ag330
    +atp408
    +Rp409
    +sg400
    +g403
    +sbsS'Operator'
    +p410
    +g1
    +(g2
    +g3
    +(g410
    +tp411
    +tp412
    +Rp413
    +(dp414
    +g10
    +g26
    +sS'DBS'
    +p415
    +g1
    +(g2
    +g3
    +(g410
    +g415
    +tp416
    +tp417
    +Rp418
    +(dp419
    +g10
    +g413
    +sg20
    +g21
    +((lp420
    +tp421
    +Rp422
    +sbsg20
    +g21
    +((lp423
    +g418
    +ag1
    +(g2
    +g3
    +(g410
    +S'Word'
    +p424
    +tp425
    +tp426
    +Rp427
    +(dp428
    +g10
    +g413
    +sg20
    +g21
    +((lp429
    +tp430
    +Rp431
    +sbatp432
    +Rp433
    +sg424
    +g427
    +sbsg86
    +g89
    +sg186
    +g1
    +(g2
    +g3
    +(g186
    +tp434
    +tp435
    +Rp436
    +(dp437
    +S'Variable'
    +p438
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g10
    +g436
    +sS'Class'
    +p443
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g443
    +tp444
    +tp445
    +Rp446
    +(dp447
    +g10
    +g441
    +sg20
    +g21
    +((lp448
    +tp449
    +Rp450
    +sbsS'Anonymous'
    +p451
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g451
    +tp452
    +tp453
    +Rp454
    +(dp455
    +g10
    +g441
    +sg20
    +g21
    +((lp456
    +tp457
    +Rp458
    +sbsS'Instance'
    +p459
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g459
    +tp460
    +tp461
    +Rp462
    +(dp463
    +g10
    +g441
    +sg20
    +g21
    +((lp464
    +tp465
    +Rp466
    +sbsS'Global'
    +p467
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g467
    +tp468
    +tp469
    +Rp470
    +(dp471
    +g10
    +g441
    +sg20
    +g21
    +((lp472
    +tp473
    +Rp474
    +sbsg20
    +g21
    +((lp475
    +g454
    +ag462
    +ag1
    +(g2
    +g3
    +(g186
    +g438
    +S'Magic'
    +p476
    +tp477
    +tp478
    +Rp479
    +(dp480
    +g10
    +g441
    +sg20
    +g21
    +((lp481
    +tp482
    +Rp483
    +sbag470
    +ag446
    +atp484
    +Rp485
    +sg476
    +g479
    +sbsg410
    +g1
    +(g2
    +g3
    +(g186
    +g410
    +tp486
    +tp487
    +Rp488
    +(dp489
    +g10
    +g436
    +sg20
    +g21
    +((lp490
    +tp491
    +Rp492
    +sbsS'Decorator'
    +p493
    +g1
    +(g2
    +g3
    +(g186
    +g493
    +tp494
    +tp495
    +Rp496
    +(dp497
    +g10
    +g436
    +sg20
    +g21
    +((lp498
    +tp499
    +Rp500
    +sbsS'Entity'
    +p501
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +tp502
    +tp503
    +Rp504
    +(dp505
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +g415
    +tp506
    +tp507
    +Rp508
    +(dp509
    +g10
    +g504
    +sg20
    +g21
    +((lp510
    +tp511
    +Rp512
    +sbsg20
    +g21
    +((lp513
    +g508
    +atp514
    +Rp515
    +sbsg114
    +g1
    +(g2
    +g3
    +(g186
    +g114
    +tp516
    +tp517
    +Rp518
    +(dp519
    +g10
    +g436
    +sg20
    +g21
    +((lp520
    +tp521
    +Rp522
    +sbsS'Property'
    +p523
    +g1
    +(g2
    +g3
    +(g186
    +g523
    +tp524
    +tp525
    +Rp526
    +(dp527
    +g10
    +g436
    +sg20
    +g21
    +((lp528
    +tp529
    +Rp530
    +sbsS'Pseudo'
    +p531
    +g1
    +(g2
    +g3
    +(g186
    +g531
    +tp532
    +tp533
    +Rp534
    +(dp535
    +g10
    +g436
    +sg20
    +g21
    +((lp536
    +tp537
    +Rp538
    +sbsS'Type'
    +p539
    +g1
    +(g2
    +g3
    +(g186
    +g539
    +tp540
    +tp541
    +Rp542
    +(dp543
    +g10
    +g436
    +sg20
    +g21
    +((lp544
    +tp545
    +Rp546
    +sbsS'Classes'
    +p547
    +g1
    +(g2
    +g3
    +(g186
    +g547
    +tp548
    +tp549
    +Rp550
    +(dp551
    +g10
    +g436
    +sg20
    +g21
    +((lp552
    +tp553
    +Rp554
    +sbsS'Tag'
    +p555
    +g1
    +(g2
    +g3
    +(g186
    +g555
    +tp556
    +tp557
    +Rp558
    +(dp559
    +g10
    +g436
    +sg20
    +g21
    +((lp560
    +tp561
    +Rp562
    +sbsS'Constant'
    +p563
    +g1
    +(g2
    +g3
    +(g186
    +g563
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g10
    +g436
    +sg20
    +g21
    +((lp568
    +tp569
    +Rp570
    +sbsS'Function'
    +p571
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +tp572
    +tp573
    +Rp574
    +(dp575
    +g10
    +g436
    +sg20
    +g21
    +((lp576
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +g476
    +tp577
    +tp578
    +Rp579
    +(dp580
    +g10
    +g574
    +sg20
    +g21
    +((lp581
    +tp582
    +Rp583
    +sbatp584
    +Rp585
    +sg476
    +g579
    +sbsS'Blubb'
    +p586
    +g1
    +(g2
    +g3
    +(g186
    +g586
    +tp587
    +tp588
    +Rp589
    +(dp590
    +g10
    +g436
    +sg20
    +g21
    +((lp591
    +tp592
    +Rp593
    +sbsS'Label'
    +p594
    +g1
    +(g2
    +g3
    +(g186
    +g594
    +tp595
    +tp596
    +Rp597
    +(dp598
    +g10
    +g436
    +sg20
    +g21
    +((lp599
    +tp600
    +Rp601
    +sbsS'Field'
    +p602
    +g1
    +(g2
    +g3
    +(g186
    +g602
    +tp603
    +tp604
    +Rp605
    +(dp606
    +g10
    +g436
    +sg20
    +g21
    +((lp607
    +tp608
    +Rp609
    +sbsS'Exception'
    +p610
    +g1
    +(g2
    +g3
    +(g186
    +g610
    +tp611
    +tp612
    +Rp613
    +(dp614
    +g10
    +g436
    +sg20
    +g21
    +((lp615
    +tp616
    +Rp617
    +sbsS'Namespace'
    +p618
    +g1
    +(g2
    +g3
    +(g186
    +g618
    +tp619
    +tp620
    +Rp621
    +(dp622
    +g10
    +g436
    +sg20
    +g21
    +((lp623
    +tp624
    +Rp625
    +sbsg20
    +g21
    +((lp626
    +g496
    +ag589
    +ag534
    +ag504
    +ag441
    +ag613
    +ag526
    +ag558
    +ag574
    +ag550
    +ag1
    +(g2
    +g3
    +(g186
    +g443
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +g415
    +tp631
    +tp632
    +Rp633
    +(dp634
    +g10
    +g629
    +sg20
    +g21
    +((lp635
    +tp636
    +Rp637
    +sbsg20
    +g21
    +((lp638
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +S'Start'
    +p639
    +tp640
    +tp641
    +Rp642
    +(dp643
    +g10
    +g629
    +sg20
    +g21
    +((lp644
    +tp645
    +Rp646
    +sbag633
    +atp647
    +Rp648
    +sg639
    +g642
    +sbag1
    +(g2
    +g3
    +(g186
    +g70
    +tp649
    +tp650
    +Rp651
    +(dp652
    +g10
    +g436
    +sS'Member'
    +p653
    +g1
    +(g2
    +g3
    +(g186
    +g70
    +g653
    +tp654
    +tp655
    +Rp656
    +(dp657
    +g10
    +g651
    +sg20
    +g21
    +((lp658
    +tp659
    +Rp660
    +sbsg20
    +g21
    +((lp661
    +g656
    +atp662
    +Rp663
    +sbag597
    +ag488
    +ag621
    +ag1
    +(g2
    +g3
    +(g186
    +S'Attribute'
    +p664
    +tp665
    +tp666
    +Rp667
    +(dp668
    +g10
    +g436
    +sg438
    +g1
    +(g2
    +g3
    +(g186
    +g664
    +g438
    +tp669
    +tp670
    +Rp671
    +(dp672
    +g10
    +g667
    +sg20
    +g21
    +((lp673
    +tp674
    +Rp675
    +sbsg20
    +g21
    +((lp676
    +g671
    +atp677
    +Rp678
    +sbag566
    +ag1
    +(g2
    +g3
    +(g186
    +S'Builtin'
    +p679
    +tp680
    +tp681
    +Rp682
    +(dp683
    +g10
    +g436
    +sg539
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g539
    +tp684
    +tp685
    +Rp686
    +(dp687
    +g10
    +g682
    +sg20
    +g21
    +((lp688
    +tp689
    +Rp690
    +sbsg20
    +g21
    +((lp691
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g531
    +tp692
    +tp693
    +Rp694
    +(dp695
    +g10
    +g682
    +sg20
    +g21
    +((lp696
    +tp697
    +Rp698
    +sbag686
    +atp699
    +Rp700
    +sg531
    +g694
    +sbag605
    +ag542
    +ag518
    +atp701
    +Rp702
    +sg10
    +g26
    +sg443
    +g629
    +sg679
    +g682
    +sg664
    +g667
    +sg70
    +g651
    +sbsS'Punctuation'
    +p703
    +g1
    +(g2
    +g3
    +(g703
    +tp704
    +tp705
    +Rp706
    +(dp707
    +g10
    +g26
    +sg20
    +g21
    +((lp708
    +g1
    +(g2
    +g3
    +(g703
    +S'Indicator'
    +p709
    +tp710
    +tp711
    +Rp712
    +(dp713
    +g10
    +g706
    +sg20
    +g21
    +((lp714
    +tp715
    +Rp716
    +sbatp717
    +Rp718
    +sg709
    +g712
    +sbsg4
    +g13
    +sg29
    +g52
    +sg70
    +g1
    +(g2
    +g3
    +(g70
    +tp719
    +tp720
    +Rp721
    +(dp722
    +g10
    +g26
    +sg20
    +g21
    +((lp723
    +tp724
    +Rp725
    +sbsg383
    +g1
    +(g2
    +g3
    +(g383
    +tp726
    +tp727
    +Rp728
    +(dp729
    +g10
    +g26
    +sg20
    +g21
    +((lp730
    +tp731
    +Rp732
    +sbsS'Token'
    +p733
    +g26
    +sg210
    +g1
    +(g2
    +g3
    +(g210
    +tp734
    +tp735
    +Rp736
    +(dp737
    +g10
    +g26
    +sg20
    +g21
    +((lp738
    +tp739
    +Rp740
    +sbsg20
    +g21
    +((lp741
    +g436
    +ag721
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p742
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g10
    +g26
    +sg539
    +g1
    +(g2
    +g3
    +(g742
    +g539
    +tp747
    +tp748
    +Rp749
    +(dp750
    +g10
    +g745
    +sg20
    +g21
    +((lp751
    +tp752
    +Rp753
    +sbsS'Control'
    +p754
    +g1
    +(g2
    +g3
    +(g742
    +g754
    +tp755
    +tp756
    +Rp757
    +(dp758
    +g10
    +g745
    +sg20
    +g21
    +((lp759
    +tp760
    +Rp761
    +sbsg563
    +g1
    +(g2
    +g3
    +(g742
    +g563
    +tp762
    +tp763
    +Rp764
    +(dp765
    +g10
    +g745
    +sg20
    +g21
    +((lp766
    +tp767
    +Rp768
    +sbsg618
    +g1
    +(g2
    +g3
    +(g742
    +g618
    +tp769
    +tp770
    +Rp771
    +(dp772
    +g10
    +g745
    +sg20
    +g21
    +((lp773
    +tp774
    +Rp775
    +sbsS'PreProc'
    +p776
    +g1
    +(g2
    +g3
    +(g742
    +g776
    +tp777
    +tp778
    +Rp779
    +(dp780
    +g10
    +g745
    +sg20
    +g21
    +((lp781
    +tp782
    +Rp783
    +sbsg531
    +g1
    +(g2
    +g3
    +(g742
    +g531
    +tp784
    +tp785
    +Rp786
    +(dp787
    +g10
    +g745
    +sg20
    +g21
    +((lp788
    +tp789
    +Rp790
    +sbsS'Reserved'
    +p791
    +g1
    +(g2
    +g3
    +(g742
    +g791
    +tp792
    +tp793
    +Rp794
    +(dp795
    +g10
    +g745
    +sg20
    +g21
    +((lp796
    +tp797
    +Rp798
    +sbsg20
    +g21
    +((lp799
    +g771
    +ag1
    +(g2
    +g3
    +(g742
    +g424
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g10
    +g745
    +sg20
    +g21
    +((lp804
    +tp805
    +Rp806
    +sbag757
    +ag1
    +(g2
    +g3
    +(g742
    +S'Declaration'
    +p807
    +tp808
    +tp809
    +Rp810
    +(dp811
    +g10
    +g745
    +sg20
    +g21
    +((lp812
    +tp813
    +Rp814
    +sbag1
    +(g2
    +g3
    +(g742
    +g742
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g10
    +g745
    +sg20
    +g21
    +((lp819
    +tp820
    +Rp821
    +sbag786
    +ag764
    +ag749
    +ag794
    +ag779
    +atp822
    +Rp823
    +sg742
    +g817
    +sg807
    +g810
    +sg424
    +g802
    +sbag325
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p824
    +tp825
    +tp826
    +Rp827
    +(dp828
    +S'Beer'
    +p829
    +g1
    +(g2
    +g3
    +(g824
    +g829
    +tp830
    +tp831
    +Rp832
    +(dp833
    +g10
    +g827
    +sg20
    +g21
    +((lp834
    +tp835
    +Rp836
    +sbsS'Whitespace'
    +p837
    +g1
    +(g2
    +g3
    +(g824
    +g837
    +tp838
    +tp839
    +Rp840
    +(dp841
    +g10
    +g827
    +sg20
    +g21
    +((lp842
    +tp843
    +Rp844
    +sbsg10
    +g26
    +sS'Root'
    +p845
    +g1
    +(g2
    +g3
    +(g824
    +g845
    +tp846
    +tp847
    +Rp848
    +(dp849
    +g10
    +g827
    +sg20
    +g21
    +((lp850
    +tp851
    +Rp852
    +sbsg114
    +g1
    +(g2
    +g3
    +(g824
    +g114
    +tp853
    +tp854
    +Rp855
    +(dp856
    +g10
    +g827
    +sg20
    +g21
    +((lp857
    +tp858
    +Rp859
    +sbsg703
    +g1
    +(g2
    +g3
    +(g824
    +g703
    +tp860
    +tp861
    +Rp862
    +(dp863
    +g10
    +g827
    +sg20
    +g21
    +((lp864
    +tp865
    +Rp866
    +sbsg20
    +g21
    +((lp867
    +g848
    +ag855
    +ag862
    +ag840
    +ag832
    +ag1
    +(g2
    +g3
    +(g824
    +S'Rag'
    +p868
    +tp869
    +tp870
    +Rp871
    +(dp872
    +g10
    +g827
    +sg20
    +g21
    +((lp873
    +tp874
    +Rp875
    +sbatp876
    +Rp877
    +sg868
    +g871
    +sbag413
    +ag736
    +ag706
    +ag13
    +ag728
    +ag52
    +atp878
    +Rp879
    +sg742
    +g745
    +sg824
    +g827
    +sbsS'Special'
    +p880
    +g1
    +(g2
    +g3
    +(g4
    +g880
    +tp881
    +tp882
    +Rp883
    +(dp884
    +g10
    +g13
    +sg20
    +g21
    +((lp885
    +tp886
    +Rp887
    +sbsS'Hashbang'
    +p888
    +g1
    +(g2
    +g3
    +(g4
    +g888
    +tp889
    +tp890
    +Rp891
    +(dp892
    +g10
    +g13
    +sg20
    +g21
    +((lp893
    +tp894
    +Rp895
    +sbsS'Preproc'
    +p896
    +g1
    +(g2
    +g3
    +(g4
    +g896
    +tp897
    +tp898
    +Rp899
    +(dp900
    +g10
    +g13
    +sg20
    +g21
    +((lp901
    +tp902
    +Rp903
    +sbsg227
    +g1
    +(g2
    +g3
    +(g4
    +g227
    +tp904
    +tp905
    +Rp906
    +(dp907
    +g10
    +g13
    +sg20
    +g21
    +((lp908
    +tp909
    +Rp910
    +sbsS'Directive'
    +p911
    +g1
    +(g2
    +g3
    +(g4
    +g911
    +tp912
    +tp913
    +Rp914
    +(dp915
    +g10
    +g13
    +sg20
    +g21
    +((lp916
    +tp917
    +Rp918
    +sbsg219
    +g1
    +(g2
    +g3
    +(g4
    +g219
    +tp919
    +tp920
    +Rp921
    +(dp922
    +g10
    +g13
    +sg20
    +g21
    +((lp923
    +tp924
    +Rp925
    +sbsS'Singleline'
    +p926
    +g1
    +(g2
    +g3
    +(g4
    +g926
    +tp927
    +tp928
    +Rp929
    +(dp930
    +g10
    +g13
    +sg20
    +g21
    +((lp931
    +tp932
    +Rp933
    +sbsg5
    +g8
    +sg20
    +g21
    +((lp934
    +g921
    +ag914
    +ag891
    +ag18
    +ag929
    +ag899
    +ag8
    +ag906
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p935
    +tp936
    +tp937
    +Rp938
    +(dp939
    +g10
    +g13
    +sg20
    +g21
    +((lp940
    +tp941
    +Rp942
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p943
    +tp944
    +tp945
    +Rp946
    +(dp947
    +g10
    +g13
    +sg20
    +g21
    +((lp948
    +tp949
    +Rp950
    +sbag883
    +atp951
    +Rp952
    +sg935
    +g938
    +sg943
    +g946
    +sbsg20
    +g21
    +((lp953
    +tp954
    +Rp955
    +sbV/**\u000a *  This script is an example recommender (using made up data) showing how you might modify item-item links\u000a *  by defining similar relations between items in a dataset and customizing the change in weighting.\u000a *  This example creates metadata by using the genre field as the metadata_field.  The items with\u000a *  the same genre have it's weight cut in half in order to boost the signals of movies that do not have the same genre.\u000a *  This technique requires a customization of the standard GetItemItemRecommendations macro\u000a */
    +p956
    +tp957
    +a(g827
    +V\u000a
    +p958
    +tp959
    +a(g745
    +Vimport
    +p960
    +tp961
    +a(g827
    +V 
    +p962
    +tp963
    +a(g89
    +V'recommenders.pig'
    +p964
    +tp965
    +a(g706
    +V;
    +p966
    +tp967
    +a(g827
    +V\u000a\u000a\u000a\u000a
    +p968
    +tp969
    +a(g745
    +V%default
    +p970
    +tp971
    +a(g827
    +g962
    +tp972
    +a(g827
    +VINPUT_PATH_PURCHASES
    +p973
    +tp974
    +a(g827
    +g962
    +tp975
    +a(g89
    +V'../data/retail/purchases.json'
    +p976
    +tp977
    +a(g827
    +V\u000a
    +p978
    +tp979
    +a(g745
    +V%default
    +p980
    +tp981
    +a(g827
    +g962
    +tp982
    +a(g827
    +VINPUT_PATH_WISHLIST
    +p983
    +tp984
    +a(g827
    +g962
    +tp985
    +a(g89
    +V'../data/retail/wishlists.json'
    +p986
    +tp987
    +a(g827
    +V\u000a
    +p988
    +tp989
    +a(g745
    +V%default
    +p990
    +tp991
    +a(g827
    +g962
    +tp992
    +a(g827
    +VINPUT_PATH_INVENTORY
    +p993
    +tp994
    +a(g827
    +g962
    +tp995
    +a(g89
    +V'../data/retail/inventory.json'
    +p996
    +tp997
    +a(g827
    +V\u000a
    +p998
    +tp999
    +a(g745
    +V%default
    +p1000
    +tp1001
    +a(g827
    +g962
    +tp1002
    +a(g827
    +VOUTPUT_PATH
    +p1003
    +tp1004
    +a(g827
    +g962
    +tp1005
    +a(g89
    +V'../data/retail/out/modify_item_item'
    +p1006
    +tp1007
    +a(g827
    +V\u000a\u000a\u000a
    +p1008
    +tp1009
    +a(g8
    +V/******** Custom GetItemItemRecommnedations *********/
    +p1010
    +tp1011
    +a(g827
    +V\u000a
    +p1012
    +tp1013
    +a(g745
    +Vdefine
    +p1014
    +tp1015
    +a(g827
    +g962
    +tp1016
    +a(g574
    +Vrecsys__GetItemItemRecommendations_ModifyCustom
    +p1017
    +tp1018
    +a(g706
    +V(
    +p1019
    +tp1020
    +a(g827
    +Vuser_item_signals,
    +p1021
    +tp1022
    +a(g827
    +g962
    +tp1023
    +a(g827
    +Vmetadata
    +p1024
    +tp1025
    +a(g706
    +V)
    +p1026
    +tp1027
    +a(g827
    +g962
    +tp1028
    +a(g745
    +Vreturns
    +p1029
    +tp1030
    +a(g827
    +g962
    +tp1031
    +a(g827
    +Vitem_item_recs
    +p1032
    +tp1033
    +a(g827
    +g962
    +tp1034
    +a(g706
    +V{
    +p1035
    +tp1036
    +a(g827
    +V\u000a\u000a    
    +p1037
    +tp1038
    +a(g13
    +V-- Convert user_item_signals to an item_item_graph
    +p1039
    +tp1040
    +a(g827
    +V\u000a    
    +p1041
    +tp1042
    +a(g827
    +Vii_links_raw,
    +p1043
    +tp1044
    +a(g827
    +g962
    +tp1045
    +a(g827
    +Vitem_weights
    +p1046
    +tp1047
    +a(g827
    +V   
    +p1048
    +tp1049
    +a(g413
    +V=
    +p1050
    +tp1051
    +a(g827
    +V   
    +p1052
    +tp1053
    +a(g574
    +Vrecsys__BuildItemItemGraph
    +p1054
    +tp1055
    +a(g706
    +g1019
    +tp1056
    +a(g827
    +V\u000a                                       
    +p1057
    +tp1058
    +a(g827
    +V$user_item_signals,
    +p1059
    +tp1060
    +a(g827
    +V\u000a                                       
    +p1061
    +tp1062
    +a(g827
    +V$LOGISTIC_PARAM,
    +p1063
    +tp1064
    +a(g827
    +V\u000a                                       
    +p1065
    +tp1066
    +a(g827
    +V$MIN_LINK_WEIGHT,
    +p1067
    +tp1068
    +a(g827
    +V\u000a                                       
    +p1069
    +tp1070
    +a(g827
    +V$MAX_LINKS_PER_USER
    +p1071
    +tp1072
    +a(g827
    +V\u000a                                     
    +p1073
    +tp1074
    +a(g706
    +g1026
    +tp1075
    +a(g706
    +g966
    +tp1076
    +a(g827
    +V\u000a    
    +p1077
    +tp1078
    +a(g13
    +V-- NOTE this function is added in order to combine metadata with item-item links
    +p1079
    +tp1080
    +a(g827
    +V\u000a        
    +p1081
    +tp1082
    +a(g13
    +V-- See macro for more detailed explination
    +p1083
    +tp1084
    +a(g827
    +V\u000a    
    +p1085
    +tp1086
    +a(g827
    +Vii_links_metadata
    +p1087
    +tp1088
    +a(g827
    +V           
    +p1089
    +tp1090
    +a(g413
    +g1050
    +tp1091
    +a(g827
    +V   
    +p1092
    +tp1093
    +a(g574
    +Vrecsys__AddMetadataToItemItemLinks
    +p1094
    +tp1095
    +a(g706
    +g1019
    +tp1096
    +a(g827
    +V\u000a                                        
    +p1097
    +tp1098
    +a(g827
    +Vii_links_raw,
    +p1099
    +tp1100
    +a(g827
    +V\u000a                                        
    +p1101
    +tp1102
    +a(g827
    +V$metadata
    +p1103
    +tp1104
    +a(g827
    +V\u000a                                    
    +p1105
    +tp1106
    +a(g706
    +g1026
    +tp1107
    +a(g706
    +g966
    +tp1108
    +a(g827
    +V\u000a\u000a    
    +p1109
    +tp1110
    +a(g8
    +V/********* Custom Code starts here ********/
    +p1111
    +tp1112
    +a(g827
    +V\u000a\u000a    
    +p1113
    +tp1114
    +a(g13
    +V--The code here should adjust the weights based on an item-item link and the equality of metadata.
    +p1115
    +tp1116
    +a(g827
    +V\u000a    
    +p1117
    +tp1118
    +a(g13
    +V-- In this case, if the metadata is the same, the weight is reduced.  Otherwise the weight is left alone.
    +p1119
    +tp1120
    +a(g827
    +V\u000a    
    +p1121
    +tp1122
    +a(g827
    +Vii_links_adjusted
    +p1123
    +tp1124
    +a(g827
    +V           
    +p1125
    +tp1126
    +a(g413
    +g1050
    +tp1127
    +a(g827
    +V  
    +p1128
    +tp1129
    +a(g745
    +Vforeach
    +p1130
    +tp1131
    +a(g827
    +g962
    +tp1132
    +a(g827
    +Vii_links_metadata
    +p1133
    +tp1134
    +a(g827
    +g962
    +tp1135
    +a(g745
    +Vgenerate
    +p1136
    +tp1137
    +a(g827
    +g962
    +tp1138
    +a(g827
    +Vitem_A,
    +p1139
    +tp1140
    +a(g827
    +g962
    +tp1141
    +a(g827
    +Vitem_B,
    +p1142
    +tp1143
    +a(g827
    +V\u000a                                        
    +p1144
    +tp1145
    +a(g13
    +V-- the amount of weight adjusted is dependant on the domain of data and what is expected
    +p1146
    +tp1147
    +a(g827
    +V\u000a                                        
    +p1148
    +tp1149
    +a(g13
    +V-- It is always best to adjust the weight by multiplying it by a factor rather than addition with a constant
    +p1150
    +tp1151
    +a(g827
    +V\u000a                                        
    +p1152
    +tp1153
    +a(g706
    +g1019
    +tp1154
    +a(g827
    +Vmetadata_B
    +p1155
    +tp1156
    +a(g827
    +g962
    +tp1157
    +a(g413
    +g1050
    +tp1158
    +a(g413
    +g1050
    +tp1159
    +a(g827
    +g962
    +tp1160
    +a(g827
    +Vmetadata_A
    +p1161
    +tp1162
    +a(g827
    +g962
    +tp1163
    +a(g413
    +V?
    +p1164
    +tp1165
    +a(g827
    +g962
    +tp1166
    +a(g706
    +g1019
    +tp1167
    +a(g827
    +Vweight
    +p1168
    +tp1169
    +a(g827
    +g962
    +tp1170
    +a(g827
    +V*
    +p1171
    +tp1172
    +a(g827
    +g962
    +tp1173
    +a(g315
    +V0.5
    +p1174
    +tp1175
    +a(g706
    +g1026
    +tp1176
    +a(g827
    +V:
    +p1177
    +tp1178
    +a(g827
    +g962
    +tp1179
    +a(g827
    +Vweight
    +p1180
    +tp1181
    +a(g706
    +g1026
    +tp1182
    +a(g827
    +g962
    +tp1183
    +a(g745
    +Vas
    +p1184
    +tp1185
    +a(g827
    +g962
    +tp1186
    +a(g827
    +Vweight;
    +p1187
    +tp1188
    +a(g827
    +V\u000a\u000a\u000a    
    +p1189
    +tp1190
    +a(g8
    +V/******** Custom Code stops here *********/
    +p1191
    +tp1192
    +a(g827
    +V\u000a\u000a    
    +p1193
    +tp1194
    +a(g13
    +V-- remove negative numbers just incase
    +p1195
    +tp1196
    +a(g827
    +V\u000a    
    +p1197
    +tp1198
    +a(g827
    +Vii_links_adjusted_filt
    +p1199
    +tp1200
    +a(g827
    +g962
    +tp1201
    +a(g413
    +g1050
    +tp1202
    +a(g827
    +g962
    +tp1203
    +a(g745
    +Vforeach
    +p1204
    +tp1205
    +a(g827
    +g962
    +tp1206
    +a(g827
    +Vii_links_adjusted
    +p1207
    +tp1208
    +a(g827
    +g962
    +tp1209
    +a(g745
    +Vgenerate
    +p1210
    +tp1211
    +a(g827
    +g962
    +tp1212
    +a(g827
    +Vitem_A,
    +p1213
    +tp1214
    +a(g827
    +g962
    +tp1215
    +a(g827
    +Vitem_B,
    +p1216
    +tp1217
    +a(g827
    +V\u000a                                      
    +p1218
    +tp1219
    +a(g706
    +g1019
    +tp1220
    +a(g827
    +Vweight
    +p1221
    +tp1222
    +a(g827
    +g962
    +tp1223
    +a(g413
    +V<=
    +p1224
    +tp1225
    +a(g827
    +g962
    +tp1226
    +a(g37
    +V0
    +p1227
    +tp1228
    +a(g827
    +g962
    +tp1229
    +a(g413
    +g1164
    +tp1230
    +a(g827
    +g962
    +tp1231
    +a(g37
    +g1227
    +tp1232
    +a(g827
    +g1177
    +tp1233
    +a(g827
    +g962
    +tp1234
    +a(g827
    +Vweight
    +p1235
    +tp1236
    +a(g706
    +g1026
    +tp1237
    +a(g827
    +g962
    +tp1238
    +a(g745
    +Vas
    +p1239
    +tp1240
    +a(g827
    +g962
    +tp1241
    +a(g827
    +Vweight;
    +p1242
    +tp1243
    +a(g827
    +V\u000a    
    +p1244
    +tp1245
    +a(g13
    +V-- Adjust the weights of the graph to improve recommendations.
    +p1246
    +tp1247
    +a(g827
    +V\u000a    
    +p1248
    +tp1249
    +a(g827
    +Vii_links
    +p1250
    +tp1251
    +a(g827
    +V                    
    +p1252
    +tp1253
    +a(g413
    +g1050
    +tp1254
    +a(g827
    +V   
    +p1255
    +tp1256
    +a(g574
    +Vrecsys__AdjustItemItemGraphWeight
    +p1257
    +tp1258
    +a(g706
    +g1019
    +tp1259
    +a(g827
    +V\u000a                                        
    +p1260
    +tp1261
    +a(g827
    +Vii_links_adjusted_filt,
    +p1262
    +tp1263
    +a(g827
    +V\u000a                                        
    +p1264
    +tp1265
    +a(g827
    +Vitem_weights,
    +p1266
    +tp1267
    +a(g827
    +V\u000a                                        
    +p1268
    +tp1269
    +a(g827
    +V$BAYESIAN_PRIOR
    +p1270
    +tp1271
    +a(g827
    +V\u000a                                    
    +p1272
    +tp1273
    +a(g706
    +g1026
    +tp1274
    +a(g706
    +g966
    +tp1275
    +a(g827
    +V\u000a\u000a    
    +p1276
    +tp1277
    +a(g13
    +V-- Use the item-item graph to create item-item recommendations.
    +p1278
    +tp1279
    +a(g827
    +V\u000a    
    +p1280
    +tp1281
    +a(g827
    +V$item_item_recs
    +p1282
    +tp1283
    +a(g827
    +g962
    +tp1284
    +a(g413
    +g1050
    +tp1285
    +a(g827
    +V  
    +p1286
    +tp1287
    +a(g574
    +Vrecsys__BuildItemItemRecommendationsFromGraph
    +p1288
    +tp1289
    +a(g706
    +g1019
    +tp1290
    +a(g827
    +V\u000a                           
    +p1291
    +tp1292
    +a(g827
    +Vii_links,
    +p1293
    +tp1294
    +a(g827
    +V\u000a                           
    +p1295
    +tp1296
    +a(g827
    +V$NUM_RECS_PER_ITEM,
    +p1297
    +tp1298
    +a(g827
    +V\u000a                           
    +p1299
    +tp1300
    +a(g827
    +V$NUM_RECS_PER_ITEM
    +p1301
    +tp1302
    +a(g827
    +V\u000a                       
    +p1303
    +tp1304
    +a(g706
    +g1026
    +tp1305
    +a(g706
    +g966
    +tp1306
    +a(g827
    +V\u000a
    +p1307
    +tp1308
    +a(g706
    +V}
    +p1309
    +tp1310
    +a(g706
    +g966
    +tp1311
    +a(g827
    +V\u000a\u000a\u000a
    +p1312
    +tp1313
    +a(g8
    +V/******* Load Data **********/
    +p1314
    +tp1315
    +a(g827
    +V\u000a\u000a
    +p1316
    +tp1317
    +a(g13
    +V--Get purchase signals
    +p1318
    +tp1319
    +a(g827
    +V\u000a
    +p1320
    +tp1321
    +a(g827
    +Vpurchase_input
    +p1322
    +tp1323
    +a(g827
    +g962
    +tp1324
    +a(g413
    +g1050
    +tp1325
    +a(g827
    +g962
    +tp1326
    +a(g745
    +Vload
    +p1327
    +tp1328
    +a(g827
    +g962
    +tp1329
    +a(g89
    +V'$INPUT_PATH_PURCHASES'
    +p1330
    +tp1331
    +a(g827
    +g962
    +tp1332
    +a(g745
    +Vusing
    +p1333
    +tp1334
    +a(g827
    +g962
    +tp1335
    +a(g827
    +Vorg.apache.pig.piggybank.storage.JsonLoader
    +p1336
    +tp1337
    +a(g706
    +g1019
    +tp1338
    +a(g827
    +V\u000a                    
    +p1339
    +tp1340
    +a(g827
    +V'row_id: 
    +p1341
    +tp1342
    +a(g749
    +Vint
    +p1343
    +tp1344
    +a(g413
    +V,
    +p1345
    +tp1346
    +a(g827
    +V\u000a                     
    +p1347
    +tp1348
    +a(g827
    +Vmovie_id
    +p1349
    +tp1350
    +a(g827
    +g1177
    +tp1351
    +a(g827
    +g962
    +tp1352
    +a(g749
    +Vchararray
    +p1353
    +tp1354
    +a(g413
    +g1345
    +tp1355
    +a(g827
    +V\u000a                     
    +p1356
    +tp1357
    +a(g827
    +Vmovie_name
    +p1358
    +tp1359
    +a(g827
    +g1177
    +tp1360
    +a(g827
    +g962
    +tp1361
    +a(g749
    +Vchararray
    +p1362
    +tp1363
    +a(g413
    +g1345
    +tp1364
    +a(g827
    +V\u000a                     
    +p1365
    +tp1366
    +a(g827
    +Vuser_id
    +p1367
    +tp1368
    +a(g827
    +g1177
    +tp1369
    +a(g827
    +g962
    +tp1370
    +a(g749
    +Vchararray
    +p1371
    +tp1372
    +a(g413
    +g1345
    +tp1373
    +a(g827
    +V\u000a                     
    +p1374
    +tp1375
    +a(g827
    +Vpurchase_price
    +p1376
    +tp1377
    +a(g827
    +g1177
    +tp1378
    +a(g827
    +g962
    +tp1379
    +a(g749
    +Vint
    +p1380
    +tp1381
    +a(g827
    +V');\u000a\u000a
    +p1382
    +tp1383
    +a(g13
    +V--Get wishlist signals
    +p1384
    +tp1385
    +a(g827
    +V\u000a
    +p1386
    +tp1387
    +a(g827
    +Vwishlist_input
    +p1388
    +tp1389
    +a(g827
    +g962
    +tp1390
    +a(g413
    +g1050
    +tp1391
    +a(g827
    +V  
    +p1392
    +tp1393
    +a(g745
    +Vload
    +p1394
    +tp1395
    +a(g827
    +g962
    +tp1396
    +a(g89
    +V'$INPUT_PATH_WISHLIST'
    +p1397
    +tp1398
    +a(g827
    +g962
    +tp1399
    +a(g745
    +Vusing
    +p1400
    +tp1401
    +a(g827
    +g962
    +tp1402
    +a(g827
    +Vorg.apache.pig.piggybank.storage.JsonLoader
    +p1403
    +tp1404
    +a(g706
    +g1019
    +tp1405
    +a(g827
    +V\u000a                     
    +p1406
    +tp1407
    +a(g827
    +V'row_id: 
    +p1408
    +tp1409
    +a(g749
    +Vint
    +p1410
    +tp1411
    +a(g413
    +g1345
    +tp1412
    +a(g827
    +V\u000a                      
    +p1413
    +tp1414
    +a(g827
    +Vmovie_id
    +p1415
    +tp1416
    +a(g827
    +g1177
    +tp1417
    +a(g827
    +g962
    +tp1418
    +a(g749
    +Vchararray
    +p1419
    +tp1420
    +a(g413
    +g1345
    +tp1421
    +a(g827
    +V\u000a                      
    +p1422
    +tp1423
    +a(g827
    +Vmovie_name
    +p1424
    +tp1425
    +a(g827
    +g1177
    +tp1426
    +a(g827
    +g962
    +tp1427
    +a(g749
    +Vchararray
    +p1428
    +tp1429
    +a(g413
    +g1345
    +tp1430
    +a(g827
    +V\u000a                      
    +p1431
    +tp1432
    +a(g827
    +Vuser_id
    +p1433
    +tp1434
    +a(g827
    +g1177
    +tp1435
    +a(g827
    +g962
    +tp1436
    +a(g749
    +Vchararray
    +p1437
    +tp1438
    +a(g827
    +V');\u000a\u000a\u000a
    +p1439
    +tp1440
    +a(g8
    +V/******* Convert Data to Signals **********/
    +p1441
    +tp1442
    +a(g827
    +V\u000a\u000a
    +p1443
    +tp1444
    +a(g13
    +V-- Start with choosing 1 as max weight for a signal.
    +p1445
    +tp1446
    +a(g827
    +V\u000a
    +p1447
    +tp1448
    +a(g827
    +Vpurchase_signals
    +p1449
    +tp1450
    +a(g827
    +g962
    +tp1451
    +a(g413
    +g1050
    +tp1452
    +a(g827
    +g962
    +tp1453
    +a(g745
    +Vforeach
    +p1454
    +tp1455
    +a(g827
    +g962
    +tp1456
    +a(g827
    +Vpurchase_input
    +p1457
    +tp1458
    +a(g827
    +g962
    +tp1459
    +a(g745
    +Vgenerate
    +p1460
    +tp1461
    +a(g827
    +V\u000a                        
    +p1462
    +tp1463
    +a(g827
    +Vuser_id
    +p1464
    +tp1465
    +a(g827
    +V    
    +p1466
    +tp1467
    +a(g745
    +Vas
    +p1468
    +tp1469
    +a(g827
    +g962
    +tp1470
    +a(g827
    +Vuser,
    +p1471
    +tp1472
    +a(g827
    +V\u000a                        
    +p1473
    +tp1474
    +a(g827
    +Vmovie_name
    +p1475
    +tp1476
    +a(g827
    +g962
    +tp1477
    +a(g745
    +Vas
    +p1478
    +tp1479
    +a(g827
    +g962
    +tp1480
    +a(g827
    +Vitem,
    +p1481
    +tp1482
    +a(g827
    +V\u000a                        
    +p1483
    +tp1484
    +a(g315
    +V1.0
    +p1485
    +tp1486
    +a(g827
    +V        
    +p1487
    +tp1488
    +a(g745
    +Vas
    +p1489
    +tp1490
    +a(g827
    +g962
    +tp1491
    +a(g827
    +Vweight;
    +p1492
    +tp1493
    +a(g827
    +V\u000a\u000a\u000a
    +p1494
    +tp1495
    +a(g13
    +V-- Start with choosing 0.5 as weight for wishlist items because that is a weaker signal than
    +p1496
    +tp1497
    +a(g827
    +V\u000a
    +p1498
    +tp1499
    +a(g13
    +V-- purchasing an item.
    +p1500
    +tp1501
    +a(g827
    +V\u000a
    +p1502
    +tp1503
    +a(g827
    +Vwishlist_signals
    +p1504
    +tp1505
    +a(g827
    +g962
    +tp1506
    +a(g413
    +g1050
    +tp1507
    +a(g827
    +g962
    +tp1508
    +a(g745
    +Vforeach
    +p1509
    +tp1510
    +a(g827
    +g962
    +tp1511
    +a(g827
    +Vwishlist_input
    +p1512
    +tp1513
    +a(g827
    +g962
    +tp1514
    +a(g745
    +Vgenerate
    +p1515
    +tp1516
    +a(g827
    +V\u000a                        
    +p1517
    +tp1518
    +a(g827
    +Vuser_id
    +p1519
    +tp1520
    +a(g827
    +V    
    +p1521
    +tp1522
    +a(g745
    +Vas
    +p1523
    +tp1524
    +a(g827
    +g962
    +tp1525
    +a(g827
    +Vuser,
    +p1526
    +tp1527
    +a(g827
    +V\u000a                        
    +p1528
    +tp1529
    +a(g827
    +Vmovie_name
    +p1530
    +tp1531
    +a(g827
    +g962
    +tp1532
    +a(g745
    +Vas
    +p1533
    +tp1534
    +a(g827
    +g962
    +tp1535
    +a(g827
    +Vitem,
    +p1536
    +tp1537
    +a(g827
    +V\u000a                        
    +p1538
    +tp1539
    +a(g315
    +V0.5
    +p1540
    +tp1541
    +a(g827
    +V        
    +p1542
    +tp1543
    +a(g745
    +Vas
    +p1544
    +tp1545
    +a(g827
    +g962
    +tp1546
    +a(g827
    +Vweight;
    +p1547
    +tp1548
    +a(g827
    +V\u000a\u000a
    +p1549
    +tp1550
    +a(g827
    +Vuser_signals
    +p1551
    +tp1552
    +a(g827
    +g962
    +tp1553
    +a(g413
    +g1050
    +tp1554
    +a(g827
    +g962
    +tp1555
    +a(g745
    +Vunion
    +p1556
    +tp1557
    +a(g827
    +g962
    +tp1558
    +a(g827
    +Vpurchase_signals,
    +p1559
    +tp1560
    +a(g827
    +g962
    +tp1561
    +a(g827
    +Vwishlist_signals;
    +p1562
    +tp1563
    +a(g827
    +V\u000a\u000a\u000a
    +p1564
    +tp1565
    +a(g8
    +V/******** Changes for Modifying item-item links ******/
    +p1566
    +tp1567
    +a(g827
    +V\u000a
    +p1568
    +tp1569
    +a(g827
    +Vinventory_input
    +p1570
    +tp1571
    +a(g827
    +g962
    +tp1572
    +a(g413
    +g1050
    +tp1573
    +a(g827
    +g962
    +tp1574
    +a(g745
    +Vload
    +p1575
    +tp1576
    +a(g827
    +g962
    +tp1577
    +a(g89
    +V'$INPUT_PATH_INVENTORY'
    +p1578
    +tp1579
    +a(g827
    +g962
    +tp1580
    +a(g745
    +Vusing
    +p1581
    +tp1582
    +a(g827
    +g962
    +tp1583
    +a(g827
    +Vorg.apache.pig.piggybank.storage.JsonLoader
    +p1584
    +tp1585
    +a(g706
    +g1019
    +tp1586
    +a(g827
    +V\u000a                     
    +p1587
    +tp1588
    +a(g827
    +V'movie_title: 
    +p1589
    +tp1590
    +a(g749
    +Vchararray
    +p1591
    +tp1592
    +a(g413
    +g1345
    +tp1593
    +a(g827
    +V\u000a                      
    +p1594
    +tp1595
    +a(g827
    +Vgenres
    +p1596
    +tp1597
    +a(g827
    +g1177
    +tp1598
    +a(g827
    +g962
    +tp1599
    +a(g745
    +Vbag
    +p1600
    +tp1601
    +a(g706
    +g1035
    +tp1602
    +a(g749
    +Vtuple
    +p1603
    +tp1604
    +a(g706
    +g1019
    +tp1605
    +a(g827
    +Vcontent
    +p1606
    +tp1607
    +a(g827
    +g1177
    +tp1608
    +a(g749
    +Vchararray
    +p1609
    +tp1610
    +a(g706
    +g1026
    +tp1611
    +a(g706
    +g1309
    +tp1612
    +a(g827
    +V');\u000a\u000a\u000a
    +p1613
    +tp1614
    +a(g827
    +Vmetadata
    +p1615
    +tp1616
    +a(g827
    +g962
    +tp1617
    +a(g413
    +g1050
    +tp1618
    +a(g827
    +g962
    +tp1619
    +a(g745
    +Vforeach
    +p1620
    +tp1621
    +a(g827
    +g962
    +tp1622
    +a(g827
    +Vinventory_input
    +p1623
    +tp1624
    +a(g827
    +g962
    +tp1625
    +a(g745
    +Vgenerate
    +p1626
    +tp1627
    +a(g827
    +V\u000a              
    +p1628
    +tp1629
    +a(g745
    +VFLATTEN
    +p1630
    +tp1631
    +a(g706
    +g1019
    +tp1632
    +a(g827
    +Vgenres
    +p1633
    +tp1634
    +a(g706
    +g1026
    +tp1635
    +a(g827
    +g962
    +tp1636
    +a(g745
    +Vas
    +p1637
    +tp1638
    +a(g827
    +g962
    +tp1639
    +a(g827
    +Vmetadata_field,
    +p1640
    +tp1641
    +a(g827
    +V\u000a              
    +p1642
    +tp1643
    +a(g827
    +Vmovie_title
    +p1644
    +tp1645
    +a(g827
    +g962
    +tp1646
    +a(g745
    +Vas
    +p1647
    +tp1648
    +a(g827
    +g962
    +tp1649
    +a(g827
    +Vitem;
    +p1650
    +tp1651
    +a(g827
    +V\u000a
    +p1652
    +tp1653
    +a(g13
    +V-- requires the macro to be written seperately
    +p1654
    +tp1655
    +a(g827
    +V\u000a  
    +p1656
    +tp1657
    +a(g13
    +V--NOTE this macro is defined within this file for clarity
    +p1658
    +tp1659
    +a(g827
    +V\u000a
    +p1660
    +tp1661
    +a(g827
    +Vitem_item_recs
    +p1662
    +tp1663
    +a(g827
    +g962
    +tp1664
    +a(g413
    +g1050
    +tp1665
    +a(g827
    +g962
    +tp1666
    +a(g574
    +Vrecsys__GetItemItemRecommendations_ModifyCustom
    +p1667
    +tp1668
    +a(g706
    +g1019
    +tp1669
    +a(g827
    +Vuser_signals,
    +p1670
    +tp1671
    +a(g827
    +g962
    +tp1672
    +a(g827
    +Vmetadata
    +p1673
    +tp1674
    +a(g706
    +g1026
    +tp1675
    +a(g706
    +g966
    +tp1676
    +a(g827
    +V\u000a
    +p1677
    +tp1678
    +a(g8
    +V/******* No more changes ********/
    +p1679
    +tp1680
    +a(g827
    +V\u000a\u000a\u000a
    +p1681
    +tp1682
    +a(g827
    +Vuser_item_recs
    +p1683
    +tp1684
    +a(g827
    +g962
    +tp1685
    +a(g413
    +g1050
    +tp1686
    +a(g827
    +g962
    +tp1687
    +a(g574
    +Vrecsys__GetUserItemRecommendations
    +p1688
    +tp1689
    +a(g706
    +g1019
    +tp1690
    +a(g827
    +Vuser_signals,
    +p1691
    +tp1692
    +a(g827
    +g962
    +tp1693
    +a(g827
    +Vitem_item_recs
    +p1694
    +tp1695
    +a(g706
    +g1026
    +tp1696
    +a(g706
    +g966
    +tp1697
    +a(g827
    +V\u000a\u000a
    +p1698
    +tp1699
    +a(g13
    +V--Completely unrelated code stuck in the middle
    +p1700
    +tp1701
    +a(g827
    +V\u000a
    +p1702
    +tp1703
    +a(g827
    +Vdata
    +p1704
    +tp1705
    +a(g827
    +V        
    +p1706
    +tp1707
    +a(g413
    +g1050
    +tp1708
    +a(g827
    +V    
    +p1709
    +tp1710
    +a(g745
    +VLOAD
    +p1711
    +tp1712
    +a(g827
    +g962
    +tp1713
    +a(g89
    +V's3n://my-s3-bucket/path/to/responses'
    +p1714
    +tp1715
    +a(g827
    +V\u000a                 
    +p1716
    +tp1717
    +a(g745
    +VUSING
    +p1718
    +tp1719
    +a(g827
    +g962
    +tp1720
    +a(g827
    +Vorg.apache.pig.piggybank.storage.JsonLoader
    +p1721
    +tp1722
    +a(g706
    +g1019
    +tp1723
    +a(g706
    +g1026
    +tp1724
    +a(g706
    +g966
    +tp1725
    +a(g827
    +V\u000a
    +p1726
    +tp1727
    +a(g827
    +Vresponses
    +p1728
    +tp1729
    +a(g827
    +V   
    +p1730
    +tp1731
    +a(g413
    +g1050
    +tp1732
    +a(g827
    +V    
    +p1733
    +tp1734
    +a(g745
    +VFOREACH
    +p1735
    +tp1736
    +a(g827
    +g962
    +tp1737
    +a(g827
    +Vdata
    +p1738
    +tp1739
    +a(g827
    +g962
    +tp1740
    +a(g745
    +VGENERATE
    +p1741
    +tp1742
    +a(g827
    +g962
    +tp1743
    +a(g827
    +Vobject
    +p1744
    +tp1745
    +a(g413
    +V#
    +p1746
    +tp1747
    +a(g89
    +V'response'
    +p1748
    +tp1749
    +a(g827
    +g962
    +tp1750
    +a(g745
    +VAS
    +p1751
    +tp1752
    +a(g827
    +g962
    +tp1753
    +a(g827
    +Vresponse
    +p1754
    +tp1755
    +a(g827
    +g1177
    +tp1756
    +a(g827
    +g962
    +tp1757
    +a(g745
    +Vmap
    +p1758
    +tp1759
    +a(g706
    +V[
    +p1760
    +tp1761
    +a(g706
    +V]
    +p1762
    +tp1763
    +a(g706
    +g966
    +tp1764
    +a(g827
    +V\u000a
    +p1765
    +tp1766
    +a(g827
    +Vout
    +p1767
    +tp1768
    +a(g827
    +V         
    +p1769
    +tp1770
    +a(g413
    +g1050
    +tp1771
    +a(g827
    +V    
    +p1772
    +tp1773
    +a(g745
    +VFOREACH
    +p1774
    +tp1775
    +a(g827
    +g962
    +tp1776
    +a(g827
    +Vresponses
    +p1777
    +tp1778
    +a(g827
    +V\u000a                 
    +p1779
    +tp1780
    +a(g745
    +VGENERATE
    +p1781
    +tp1782
    +a(g827
    +g962
    +tp1783
    +a(g827
    +Vresponse
    +p1784
    +tp1785
    +a(g413
    +g1746
    +tp1786
    +a(g89
    +V'id'
    +p1787
    +tp1788
    +a(g827
    +g962
    +tp1789
    +a(g745
    +VAS
    +p1790
    +tp1791
    +a(g827
    +g962
    +tp1792
    +a(g827
    +Vid
    +p1793
    +tp1794
    +a(g827
    +g1177
    +tp1795
    +a(g827
    +g962
    +tp1796
    +a(g749
    +Vint
    +p1797
    +tp1798
    +a(g413
    +g1345
    +tp1799
    +a(g827
    +g962
    +tp1800
    +a(g827
    +Vresponse
    +p1801
    +tp1802
    +a(g413
    +g1746
    +tp1803
    +a(g89
    +V'thread'
    +p1804
    +tp1805
    +a(g827
    +g962
    +tp1806
    +a(g745
    +VAS
    +p1807
    +tp1808
    +a(g827
    +g962
    +tp1809
    +a(g827
    +Vthread
    +p1810
    +tp1811
    +a(g827
    +g1177
    +tp1812
    +a(g827
    +g962
    +tp1813
    +a(g749
    +Vchararray
    +p1814
    +tp1815
    +a(g413
    +g1345
    +tp1816
    +a(g827
    +V\u000a                          
    +p1817
    +tp1818
    +a(g827
    +Vresponse
    +p1819
    +tp1820
    +a(g413
    +g1746
    +tp1821
    +a(g89
    +V'comments'
    +p1822
    +tp1823
    +a(g827
    +g962
    +tp1824
    +a(g745
    +VAS
    +p1825
    +tp1826
    +a(g827
    +g962
    +tp1827
    +a(g827
    +Vcomments
    +p1828
    +tp1829
    +a(g827
    +g1177
    +tp1830
    +a(g827
    +g962
    +tp1831
    +a(g706
    +g1035
    +tp1832
    +a(g827
    +Vt
    +p1833
    +tp1834
    +a(g827
    +g1177
    +tp1835
    +a(g827
    +g962
    +tp1836
    +a(g706
    +g1019
    +tp1837
    +a(g827
    +Vcomment
    +p1838
    +tp1839
    +a(g827
    +g1177
    +tp1840
    +a(g827
    +g962
    +tp1841
    +a(g749
    +Vchararray
    +p1842
    +tp1843
    +a(g706
    +g1026
    +tp1844
    +a(g706
    +g1309
    +tp1845
    +a(g706
    +g966
    +tp1846
    +a(g827
    +V\u000a
    +p1847
    +tp1848
    +a(g745
    +VSTORE
    +p1849
    +tp1850
    +a(g827
    +g962
    +tp1851
    +a(g827
    +Vout
    +p1852
    +tp1853
    +a(g827
    +g962
    +tp1854
    +a(g745
    +VINTO
    +p1855
    +tp1856
    +a(g827
    +g962
    +tp1857
    +a(g89
    +V's3n://path/to/output'
    +p1858
    +tp1859
    +a(g827
    +g962
    +tp1860
    +a(g745
    +VUSING
    +p1861
    +tp1862
    +a(g827
    +g962
    +tp1863
    +a(g682
    +VPigStorage
    +p1864
    +tp1865
    +a(g706
    +g1019
    +tp1866
    +a(g89
    +V'|'
    +p1867
    +tp1868
    +a(g706
    +g1026
    +tp1869
    +a(g706
    +g966
    +tp1870
    +a(g827
    +V\u000a\u000a\u000a
    +p1871
    +tp1872
    +a(g8
    +V/******* Store recommendations **********/
    +p1873
    +tp1874
    +a(g827
    +V\u000a\u000a
    +p1875
    +tp1876
    +a(g13
    +V--  If your output folder exists already, hadoop will refuse to write data to it.
    +p1877
    +tp1878
    +a(g827
    +V\u000a\u000a
    +p1879
    +tp1880
    +a(g745
    +Vrmf
    +p1881
    +tp1882
    +a(g827
    +g962
    +tp1883
    +a(g827
    +V$OUTPUT_PATH/item_item_recs;
    +p1884
    +tp1885
    +a(g827
    +V\u000a
    +p1886
    +tp1887
    +a(g745
    +Vrmf
    +p1888
    +tp1889
    +a(g827
    +g962
    +tp1890
    +a(g827
    +V$OUTPUT_PATH/user_item_recs;
    +p1891
    +tp1892
    +a(g827
    +V\u000a\u000a
    +p1893
    +tp1894
    +a(g745
    +Vstore
    +p1895
    +tp1896
    +a(g827
    +g962
    +tp1897
    +a(g827
    +Vitem_item_recs
    +p1898
    +tp1899
    +a(g827
    +g962
    +tp1900
    +a(g745
    +Vinto
    +p1901
    +tp1902
    +a(g827
    +g962
    +tp1903
    +a(g89
    +V'$OUTPUT_PATH/item_item_recs'
    +p1904
    +tp1905
    +a(g827
    +g962
    +tp1906
    +a(g745
    +Vusing
    +p1907
    +tp1908
    +a(g827
    +g962
    +tp1909
    +a(g682
    +VPigStorage
    +p1910
    +tp1911
    +a(g706
    +g1019
    +tp1912
    +a(g706
    +g1026
    +tp1913
    +a(g706
    +g966
    +tp1914
    +a(g827
    +V\u000a
    +p1915
    +tp1916
    +a(g745
    +Vstore
    +p1917
    +tp1918
    +a(g827
    +g962
    +tp1919
    +a(g827
    +Vuser_item_recs
    +p1920
    +tp1921
    +a(g827
    +g962
    +tp1922
    +a(g745
    +Vinto
    +p1923
    +tp1924
    +a(g827
    +g962
    +tp1925
    +a(g89
    +V'$OUTPUT_PATH/user_item_recs'
    +p1926
    +tp1927
    +a(g827
    +g962
    +tp1928
    +a(g745
    +Vusing
    +p1929
    +tp1930
    +a(g827
    +g962
    +tp1931
    +a(g682
    +VPigStorage
    +p1932
    +tp1933
    +a(g706
    +g1019
    +tp1934
    +a(g706
    +g1026
    +tp1935
    +a(g706
    +g966
    +tp1936
    +a(g827
    +V\u000a\u000a
    +p1937
    +tp1938
    +a(g13
    +V-- STORE the item_item_recs into dynamo
    +p1939
    +tp1940
    +a(g827
    +V\u000a
    +p1941
    +tp1942
    +a(g745
    +VSTORE
    +p1943
    +tp1944
    +a(g827
    +g962
    +tp1945
    +a(g827
    +Vitem_item_recs
    +p1946
    +tp1947
    +a(g827
    +V\u000a 
    +p1948
    +tp1949
    +a(g745
    +VINTO
    +p1950
    +tp1951
    +a(g827
    +g962
    +tp1952
    +a(g89
    +V'$OUTPUT_PATH/unused-ii-table-data'
    +p1953
    +tp1954
    +a(g827
    +V\u000a
    +p1955
    +tp1956
    +a(g745
    +VUSING
    +p1957
    +tp1958
    +a(g827
    +g962
    +tp1959
    +a(g827
    +Vcom.mortardata.pig.storage.DynamoDBStorage
    +p1960
    +tp1961
    +a(g706
    +g1019
    +tp1962
    +a(g89
    +V'$II_TABLE'
    +p1963
    +tp1964
    +a(g413
    +g1345
    +tp1965
    +a(g827
    +g962
    +tp1966
    +a(g89
    +V'$AWS_ACCESS_KEY_ID'
    +p1967
    +tp1968
    +a(g413
    +g1345
    +tp1969
    +a(g827
    +g962
    +tp1970
    +a(g89
    +V'$AWS_SECRET_ACCESS_KEY'
    +p1971
    +tp1972
    +a(g706
    +g1026
    +tp1973
    +a(g706
    +g966
    +tp1974
    +a(g827
    +V\u000a\u000a
    +p1975
    +tp1976
    +a(g13
    +V-- STORE the user_item_recs into dynamo
    +p1977
    +tp1978
    +a(g827
    +V\u000a
    +p1979
    +tp1980
    +a(g745
    +VSTORE
    +p1981
    +tp1982
    +a(g827
    +g962
    +tp1983
    +a(g827
    +Vuser_item_recs
    +p1984
    +tp1985
    +a(g827
    +V\u000a 
    +p1986
    +tp1987
    +a(g745
    +VINTO
    +p1988
    +tp1989
    +a(g827
    +g962
    +tp1990
    +a(g89
    +V'$OUTPUT_PATH/unused-ui-table-data'
    +p1991
    +tp1992
    +a(g827
    +V\u000a
    +p1993
    +tp1994
    +a(g745
    +VUSING
    +p1995
    +tp1996
    +a(g827
    +g962
    +tp1997
    +a(g827
    +Vcom.mortardata.pig.storage.DynamoDBStorage
    +p1998
    +tp1999
    +a(g706
    +g1019
    +tp2000
    +a(g89
    +V'$UI_TABLE'
    +p2001
    +tp2002
    +a(g413
    +g1345
    +tp2003
    +a(g827
    +g962
    +tp2004
    +a(g89
    +V'$AWS_ACCESS_KEY_ID'
    +p2005
    +tp2006
    +a(g413
    +g1345
    +tp2007
    +a(g827
    +g962
    +tp2008
    +a(g89
    +V'$AWS_SECRET_ACCESS_KEY'
    +p2009
    +tp2010
    +a(g706
    +g1026
    +tp2011
    +a(g706
    +g966
    +tp2012
    +a(g827
    +V\u000a
    +p2013
    +tp2014
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.plot b/tests/examplefiles/output/test.plot
    new file mode 100644
    index 0000000..389f275
    --- /dev/null
    +++ b/tests/examplefiles/output/test.plot
    @@ -0,0 +1,19980 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +tp5
    +tp6
    +Rp7
    +(dp8
    +S'Multi'
    +p9
    +g1
    +(g2
    +g3
    +(g4
    +g9
    +tp10
    +tp11
    +Rp12
    +(dp13
    +S'parent'
    +p14
    +g7
    +sS'subtypes'
    +p15
    +c__builtin__
    +set
    +p16
    +((lp17
    +tp18
    +Rp19
    +sbsg14
    +g1
    +(g2
    +g3
    +(ttp20
    +Rp21
    +(dp22
    +S'Number'
    +p23
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p24
    +g23
    +tp25
    +tp26
    +Rp27
    +(dp28
    +S'Integer'
    +p29
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g29
    +tp30
    +tp31
    +Rp32
    +(dp33
    +g14
    +g27
    +sS'Long'
    +p34
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g29
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g14
    +g32
    +sg15
    +g16
    +((lp39
    +tp40
    +Rp41
    +sbsg15
    +g16
    +((lp42
    +g37
    +atp43
    +Rp44
    +sbsg14
    +g1
    +(g2
    +g3
    +(g24
    +tp45
    +tp46
    +Rp47
    +(dp48
    +S'Scalar'
    +p49
    +g1
    +(g2
    +g3
    +(g24
    +g49
    +tp50
    +tp51
    +Rp52
    +(dp53
    +g14
    +g47
    +sg15
    +g16
    +((lp54
    +g1
    +(g2
    +g3
    +(g24
    +g49
    +S'Plain'
    +p55
    +tp56
    +tp57
    +Rp58
    +(dp59
    +g14
    +g52
    +sg15
    +g16
    +((lp60
    +tp61
    +Rp62
    +sbatp63
    +Rp64
    +sg55
    +g58
    +sbsg23
    +g27
    +sg14
    +g21
    +sS'Other'
    +p65
    +g1
    +(g2
    +g3
    +(g24
    +g65
    +tp66
    +tp67
    +Rp68
    +(dp69
    +g14
    +g47
    +sg15
    +g16
    +((lp70
    +tp71
    +Rp72
    +sbsS'Char'
    +p73
    +g1
    +(g2
    +g3
    +(g24
    +g73
    +tp74
    +tp75
    +Rp76
    +(dp77
    +g14
    +g47
    +sg15
    +g16
    +((lp78
    +tp79
    +Rp80
    +sbsS'String'
    +p81
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +tp82
    +tp83
    +Rp84
    +(dp85
    +g73
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g73
    +tp86
    +tp87
    +Rp88
    +(dp89
    +g14
    +g84
    +sg15
    +g16
    +((lp90
    +tp91
    +Rp92
    +sbsS'Backtick'
    +p93
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g93
    +tp94
    +tp95
    +Rp96
    +(dp97
    +g14
    +g84
    +sg15
    +g16
    +((lp98
    +tp99
    +Rp100
    +sbsS'Heredoc'
    +p101
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g101
    +tp102
    +tp103
    +Rp104
    +(dp105
    +g14
    +g84
    +sg15
    +g16
    +((lp106
    +tp107
    +Rp108
    +sbsS'Symbol'
    +p109
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g109
    +tp110
    +tp111
    +Rp112
    +(dp113
    +g14
    +g84
    +sg15
    +g16
    +((lp114
    +tp115
    +Rp116
    +sbsS'Interpol'
    +p117
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g117
    +tp118
    +tp119
    +Rp120
    +(dp121
    +g14
    +g84
    +sg15
    +g16
    +((lp122
    +tp123
    +Rp124
    +sbsS'Delimiter'
    +p125
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g125
    +tp126
    +tp127
    +Rp128
    +(dp129
    +g14
    +g84
    +sg15
    +g16
    +((lp130
    +tp131
    +Rp132
    +sbsS'Boolean'
    +p133
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g133
    +tp134
    +tp135
    +Rp136
    +(dp137
    +g14
    +g84
    +sg15
    +g16
    +((lp138
    +tp139
    +Rp140
    +sbsS'Character'
    +p141
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g141
    +tp142
    +tp143
    +Rp144
    +(dp145
    +g14
    +g84
    +sg15
    +g16
    +((lp146
    +tp147
    +Rp148
    +sbsS'Double'
    +p149
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g149
    +tp150
    +tp151
    +Rp152
    +(dp153
    +g14
    +g84
    +sg15
    +g16
    +((lp154
    +tp155
    +Rp156
    +sbsS'Delimeter'
    +p157
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g157
    +tp158
    +tp159
    +Rp160
    +(dp161
    +g14
    +g84
    +sg15
    +g16
    +((lp162
    +tp163
    +Rp164
    +sbsS'Atom'
    +p165
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g165
    +tp166
    +tp167
    +Rp168
    +(dp169
    +g14
    +g84
    +sg15
    +g16
    +((lp170
    +tp171
    +Rp172
    +sbsS'Affix'
    +p173
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g173
    +tp174
    +tp175
    +Rp176
    +(dp177
    +g14
    +g84
    +sg15
    +g16
    +((lp178
    +tp179
    +Rp180
    +sbsS'Name'
    +p181
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g181
    +tp182
    +tp183
    +Rp184
    +(dp185
    +g14
    +g84
    +sg15
    +g16
    +((lp186
    +tp187
    +Rp188
    +sbsS'Regex'
    +p189
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g189
    +tp190
    +tp191
    +Rp192
    +(dp193
    +g14
    +g84
    +sg15
    +g16
    +((lp194
    +tp195
    +Rp196
    +sbsS'Interp'
    +p197
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g197
    +tp198
    +tp199
    +Rp200
    +(dp201
    +g14
    +g84
    +sg15
    +g16
    +((lp202
    +tp203
    +Rp204
    +sbsS'Escape'
    +p205
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g205
    +tp206
    +tp207
    +Rp208
    +(dp209
    +g14
    +g84
    +sg15
    +g16
    +((lp210
    +tp211
    +Rp212
    +sbsg15
    +g16
    +((lp213
    +g128
    +ag112
    +ag192
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Doc'
    +p214
    +tp215
    +tp216
    +Rp217
    +(dp218
    +g14
    +g84
    +sg15
    +g16
    +((lp219
    +tp220
    +Rp221
    +sbag144
    +ag136
    +ag152
    +ag120
    +ag168
    +ag160
    +ag184
    +ag208
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Single'
    +p222
    +tp223
    +tp224
    +Rp225
    +(dp226
    +g14
    +g84
    +sg15
    +g16
    +((lp227
    +tp228
    +Rp229
    +sbag1
    +(g2
    +g3
    +(g24
    +g81
    +g65
    +tp230
    +tp231
    +Rp232
    +(dp233
    +g14
    +g84
    +sg15
    +g16
    +((lp234
    +tp235
    +Rp236
    +sbag200
    +ag96
    +ag176
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Moment'
    +p237
    +tp238
    +tp239
    +Rp240
    +(dp241
    +g14
    +g84
    +sg15
    +g16
    +((lp242
    +tp243
    +Rp244
    +sbag88
    +ag104
    +atp245
    +Rp246
    +sg222
    +g225
    +sg237
    +g240
    +sg14
    +g47
    +sg65
    +g232
    +sg214
    +g217
    +sbsg15
    +g16
    +((lp247
    +g84
    +ag76
    +ag68
    +ag1
    +(g2
    +g3
    +(g24
    +S'Date'
    +p248
    +tp249
    +tp250
    +Rp251
    +(dp252
    +g14
    +g47
    +sg15
    +g16
    +((lp253
    +tp254
    +Rp255
    +sbag52
    +ag27
    +atp256
    +Rp257
    +sg248
    +g251
    +sbsS'Bin'
    +p258
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g258
    +tp259
    +tp260
    +Rp261
    +(dp262
    +g14
    +g27
    +sg15
    +g16
    +((lp263
    +tp264
    +Rp265
    +sbsS'Radix'
    +p266
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g266
    +tp267
    +tp268
    +Rp269
    +(dp270
    +g14
    +g27
    +sg15
    +g16
    +((lp271
    +tp272
    +Rp273
    +sbsS'Oct'
    +p274
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g274
    +tp275
    +tp276
    +Rp277
    +(dp278
    +g14
    +g27
    +sg15
    +g16
    +((lp279
    +tp280
    +Rp281
    +sbsS'Dec'
    +p282
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g282
    +tp283
    +tp284
    +Rp285
    +(dp286
    +g14
    +g27
    +sg15
    +g16
    +((lp287
    +tp288
    +Rp289
    +sbsS'Hex'
    +p290
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g290
    +tp291
    +tp292
    +Rp293
    +(dp294
    +g14
    +g27
    +sg15
    +g16
    +((lp295
    +tp296
    +Rp297
    +sbsg15
    +g16
    +((lp298
    +g32
    +ag269
    +ag285
    +ag1
    +(g2
    +g3
    +(g24
    +g23
    +S'Decimal'
    +p299
    +tp300
    +tp301
    +Rp302
    +(dp303
    +g14
    +g27
    +sg15
    +g16
    +((lp304
    +tp305
    +Rp306
    +sbag261
    +ag1
    +(g2
    +g3
    +(g24
    +g23
    +S'Float'
    +p307
    +tp308
    +tp309
    +Rp310
    +(dp311
    +g14
    +g27
    +sg15
    +g16
    +((lp312
    +tp313
    +Rp314
    +sbag277
    +ag293
    +atp315
    +Rp316
    +sg299
    +g302
    +sg307
    +g310
    +sbsS'Generic'
    +p317
    +g1
    +(g2
    +g3
    +(g317
    +tp318
    +tp319
    +Rp320
    +(dp321
    +g14
    +g21
    +sS'Deleted'
    +p322
    +g1
    +(g2
    +g3
    +(g317
    +g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g14
    +g320
    +sg15
    +g16
    +((lp327
    +tp328
    +Rp329
    +sbsS'Subheading'
    +p330
    +g1
    +(g2
    +g3
    +(g317
    +g330
    +tp331
    +tp332
    +Rp333
    +(dp334
    +g14
    +g320
    +sg15
    +g16
    +((lp335
    +tp336
    +Rp337
    +sbsS'Heading'
    +p338
    +g1
    +(g2
    +g3
    +(g317
    +g338
    +tp339
    +tp340
    +Rp341
    +(dp342
    +g14
    +g320
    +sg15
    +g16
    +((lp343
    +tp344
    +Rp345
    +sbsS'Emph'
    +p346
    +g1
    +(g2
    +g3
    +(g317
    +g346
    +tp347
    +tp348
    +Rp349
    +(dp350
    +g14
    +g320
    +sg15
    +g16
    +((lp351
    +tp352
    +Rp353
    +sbsS'Prompt'
    +p354
    +g1
    +(g2
    +g3
    +(g317
    +g354
    +tp355
    +tp356
    +Rp357
    +(dp358
    +g14
    +g320
    +sg15
    +g16
    +((lp359
    +tp360
    +Rp361
    +sbsS'Inserted'
    +p362
    +g1
    +(g2
    +g3
    +(g317
    +g362
    +tp363
    +tp364
    +Rp365
    +(dp366
    +g14
    +g320
    +sg15
    +g16
    +((lp367
    +tp368
    +Rp369
    +sbsS'Strong'
    +p370
    +g1
    +(g2
    +g3
    +(g317
    +g370
    +tp371
    +tp372
    +Rp373
    +(dp374
    +g14
    +g320
    +sg15
    +g16
    +((lp375
    +tp376
    +Rp377
    +sbsS'Error'
    +p378
    +g1
    +(g2
    +g3
    +(g317
    +g378
    +tp379
    +tp380
    +Rp381
    +(dp382
    +g14
    +g320
    +sg15
    +g16
    +((lp383
    +tp384
    +Rp385
    +sbsS'Traceback'
    +p386
    +g1
    +(g2
    +g3
    +(g317
    +g386
    +tp387
    +tp388
    +Rp389
    +(dp390
    +g14
    +g320
    +sg15
    +g16
    +((lp391
    +tp392
    +Rp393
    +sbsg15
    +g16
    +((lp394
    +g341
    +ag333
    +ag1
    +(g2
    +g3
    +(g317
    +S'Output'
    +p395
    +tp396
    +tp397
    +Rp398
    +(dp399
    +g14
    +g320
    +sg15
    +g16
    +((lp400
    +tp401
    +Rp402
    +sbag373
    +ag349
    +ag381
    +ag389
    +ag365
    +ag357
    +ag325
    +atp403
    +Rp404
    +sg395
    +g398
    +sbsS'Operator'
    +p405
    +g1
    +(g2
    +g3
    +(g405
    +tp406
    +tp407
    +Rp408
    +(dp409
    +g14
    +g21
    +sS'DBS'
    +p410
    +g1
    +(g2
    +g3
    +(g405
    +g410
    +tp411
    +tp412
    +Rp413
    +(dp414
    +g14
    +g408
    +sg15
    +g16
    +((lp415
    +tp416
    +Rp417
    +sbsg15
    +g16
    +((lp418
    +g413
    +ag1
    +(g2
    +g3
    +(g405
    +S'Word'
    +p419
    +tp420
    +tp421
    +Rp422
    +(dp423
    +g14
    +g408
    +sg15
    +g16
    +((lp424
    +tp425
    +Rp426
    +sbatp427
    +Rp428
    +sg419
    +g422
    +sbsg81
    +g84
    +sg181
    +g1
    +(g2
    +g3
    +(g181
    +tp429
    +tp430
    +Rp431
    +(dp432
    +S'Variable'
    +p433
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +tp434
    +tp435
    +Rp436
    +(dp437
    +g14
    +g431
    +sS'Class'
    +p438
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g14
    +g436
    +sg15
    +g16
    +((lp443
    +tp444
    +Rp445
    +sbsS'Anonymous'
    +p446
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g446
    +tp447
    +tp448
    +Rp449
    +(dp450
    +g14
    +g436
    +sg15
    +g16
    +((lp451
    +tp452
    +Rp453
    +sbsS'Instance'
    +p454
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g454
    +tp455
    +tp456
    +Rp457
    +(dp458
    +g14
    +g436
    +sg15
    +g16
    +((lp459
    +tp460
    +Rp461
    +sbsS'Global'
    +p462
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g462
    +tp463
    +tp464
    +Rp465
    +(dp466
    +g14
    +g436
    +sg15
    +g16
    +((lp467
    +tp468
    +Rp469
    +sbsg15
    +g16
    +((lp470
    +g449
    +ag457
    +ag1
    +(g2
    +g3
    +(g181
    +g433
    +S'Magic'
    +p471
    +tp472
    +tp473
    +Rp474
    +(dp475
    +g14
    +g436
    +sg15
    +g16
    +((lp476
    +tp477
    +Rp478
    +sbag465
    +ag441
    +atp479
    +Rp480
    +sg471
    +g474
    +sbsg405
    +g1
    +(g2
    +g3
    +(g181
    +g405
    +tp481
    +tp482
    +Rp483
    +(dp484
    +g14
    +g431
    +sg15
    +g16
    +((lp485
    +tp486
    +Rp487
    +sbsS'Decorator'
    +p488
    +g1
    +(g2
    +g3
    +(g181
    +g488
    +tp489
    +tp490
    +Rp491
    +(dp492
    +g14
    +g431
    +sg15
    +g16
    +((lp493
    +tp494
    +Rp495
    +sbsS'Entity'
    +p496
    +g1
    +(g2
    +g3
    +(g181
    +g496
    +tp497
    +tp498
    +Rp499
    +(dp500
    +g14
    +g431
    +sg410
    +g1
    +(g2
    +g3
    +(g181
    +g496
    +g410
    +tp501
    +tp502
    +Rp503
    +(dp504
    +g14
    +g499
    +sg15
    +g16
    +((lp505
    +tp506
    +Rp507
    +sbsg15
    +g16
    +((lp508
    +g503
    +atp509
    +Rp510
    +sbsg109
    +g1
    +(g2
    +g3
    +(g181
    +g109
    +tp511
    +tp512
    +Rp513
    +(dp514
    +g14
    +g431
    +sg15
    +g16
    +((lp515
    +tp516
    +Rp517
    +sbsS'Property'
    +p518
    +g1
    +(g2
    +g3
    +(g181
    +g518
    +tp519
    +tp520
    +Rp521
    +(dp522
    +g14
    +g431
    +sg15
    +g16
    +((lp523
    +tp524
    +Rp525
    +sbsS'Pseudo'
    +p526
    +g1
    +(g2
    +g3
    +(g181
    +g526
    +tp527
    +tp528
    +Rp529
    +(dp530
    +g14
    +g431
    +sg15
    +g16
    +((lp531
    +tp532
    +Rp533
    +sbsS'Type'
    +p534
    +g1
    +(g2
    +g3
    +(g181
    +g534
    +tp535
    +tp536
    +Rp537
    +(dp538
    +g14
    +g431
    +sg15
    +g16
    +((lp539
    +tp540
    +Rp541
    +sbsS'Classes'
    +p542
    +g1
    +(g2
    +g3
    +(g181
    +g542
    +tp543
    +tp544
    +Rp545
    +(dp546
    +g14
    +g431
    +sg15
    +g16
    +((lp547
    +tp548
    +Rp549
    +sbsS'Tag'
    +p550
    +g1
    +(g2
    +g3
    +(g181
    +g550
    +tp551
    +tp552
    +Rp553
    +(dp554
    +g14
    +g431
    +sg15
    +g16
    +((lp555
    +tp556
    +Rp557
    +sbsS'Constant'
    +p558
    +g1
    +(g2
    +g3
    +(g181
    +g558
    +tp559
    +tp560
    +Rp561
    +(dp562
    +g14
    +g431
    +sg15
    +g16
    +((lp563
    +tp564
    +Rp565
    +sbsS'Function'
    +p566
    +g1
    +(g2
    +g3
    +(g181
    +g566
    +tp567
    +tp568
    +Rp569
    +(dp570
    +g14
    +g431
    +sg15
    +g16
    +((lp571
    +g1
    +(g2
    +g3
    +(g181
    +g566
    +g471
    +tp572
    +tp573
    +Rp574
    +(dp575
    +g14
    +g569
    +sg15
    +g16
    +((lp576
    +tp577
    +Rp578
    +sbatp579
    +Rp580
    +sg471
    +g574
    +sbsS'Blubb'
    +p581
    +g1
    +(g2
    +g3
    +(g181
    +g581
    +tp582
    +tp583
    +Rp584
    +(dp585
    +g14
    +g431
    +sg15
    +g16
    +((lp586
    +tp587
    +Rp588
    +sbsS'Label'
    +p589
    +g1
    +(g2
    +g3
    +(g181
    +g589
    +tp590
    +tp591
    +Rp592
    +(dp593
    +g14
    +g431
    +sg15
    +g16
    +((lp594
    +tp595
    +Rp596
    +sbsS'Field'
    +p597
    +g1
    +(g2
    +g3
    +(g181
    +g597
    +tp598
    +tp599
    +Rp600
    +(dp601
    +g14
    +g431
    +sg15
    +g16
    +((lp602
    +tp603
    +Rp604
    +sbsS'Exception'
    +p605
    +g1
    +(g2
    +g3
    +(g181
    +g605
    +tp606
    +tp607
    +Rp608
    +(dp609
    +g14
    +g431
    +sg15
    +g16
    +((lp610
    +tp611
    +Rp612
    +sbsS'Namespace'
    +p613
    +g1
    +(g2
    +g3
    +(g181
    +g613
    +tp614
    +tp615
    +Rp616
    +(dp617
    +g14
    +g431
    +sg15
    +g16
    +((lp618
    +tp619
    +Rp620
    +sbsg15
    +g16
    +((lp621
    +g491
    +ag584
    +ag529
    +ag499
    +ag436
    +ag608
    +ag521
    +ag553
    +ag569
    +ag545
    +ag1
    +(g2
    +g3
    +(g181
    +g438
    +tp622
    +tp623
    +Rp624
    +(dp625
    +g14
    +g431
    +sg410
    +g1
    +(g2
    +g3
    +(g181
    +g438
    +g410
    +tp626
    +tp627
    +Rp628
    +(dp629
    +g14
    +g624
    +sg15
    +g16
    +((lp630
    +tp631
    +Rp632
    +sbsg15
    +g16
    +((lp633
    +g1
    +(g2
    +g3
    +(g181
    +g438
    +S'Start'
    +p634
    +tp635
    +tp636
    +Rp637
    +(dp638
    +g14
    +g624
    +sg15
    +g16
    +((lp639
    +tp640
    +Rp641
    +sbag628
    +atp642
    +Rp643
    +sg634
    +g637
    +sbag1
    +(g2
    +g3
    +(g181
    +g65
    +tp644
    +tp645
    +Rp646
    +(dp647
    +g14
    +g431
    +sS'Member'
    +p648
    +g1
    +(g2
    +g3
    +(g181
    +g65
    +g648
    +tp649
    +tp650
    +Rp651
    +(dp652
    +g14
    +g646
    +sg15
    +g16
    +((lp653
    +tp654
    +Rp655
    +sbsg15
    +g16
    +((lp656
    +g651
    +atp657
    +Rp658
    +sbag592
    +ag483
    +ag616
    +ag1
    +(g2
    +g3
    +(g181
    +S'Attribute'
    +p659
    +tp660
    +tp661
    +Rp662
    +(dp663
    +g14
    +g431
    +sg433
    +g1
    +(g2
    +g3
    +(g181
    +g659
    +g433
    +tp664
    +tp665
    +Rp666
    +(dp667
    +g14
    +g662
    +sg15
    +g16
    +((lp668
    +tp669
    +Rp670
    +sbsg15
    +g16
    +((lp671
    +g666
    +atp672
    +Rp673
    +sbag561
    +ag1
    +(g2
    +g3
    +(g181
    +S'Builtin'
    +p674
    +tp675
    +tp676
    +Rp677
    +(dp678
    +g14
    +g431
    +sg534
    +g1
    +(g2
    +g3
    +(g181
    +g674
    +g534
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g14
    +g677
    +sg15
    +g16
    +((lp683
    +tp684
    +Rp685
    +sbsg15
    +g16
    +((lp686
    +g1
    +(g2
    +g3
    +(g181
    +g674
    +g526
    +tp687
    +tp688
    +Rp689
    +(dp690
    +g14
    +g677
    +sg15
    +g16
    +((lp691
    +tp692
    +Rp693
    +sbag681
    +atp694
    +Rp695
    +sg526
    +g689
    +sbag600
    +ag537
    +ag513
    +atp696
    +Rp697
    +sg14
    +g21
    +sg438
    +g624
    +sg674
    +g677
    +sg659
    +g662
    +sg65
    +g646
    +sbsS'Punctuation'
    +p698
    +g1
    +(g2
    +g3
    +(g698
    +tp699
    +tp700
    +Rp701
    +(dp702
    +g14
    +g21
    +sg15
    +g16
    +((lp703
    +g1
    +(g2
    +g3
    +(g698
    +S'Indicator'
    +p704
    +tp705
    +tp706
    +Rp707
    +(dp708
    +g14
    +g701
    +sg15
    +g16
    +((lp709
    +tp710
    +Rp711
    +sbatp712
    +Rp713
    +sg704
    +g707
    +sbsg4
    +g7
    +sg24
    +g47
    +sg65
    +g1
    +(g2
    +g3
    +(g65
    +tp714
    +tp715
    +Rp716
    +(dp717
    +g14
    +g21
    +sg15
    +g16
    +((lp718
    +tp719
    +Rp720
    +sbsg378
    +g1
    +(g2
    +g3
    +(g378
    +tp721
    +tp722
    +Rp723
    +(dp724
    +g14
    +g21
    +sg15
    +g16
    +((lp725
    +tp726
    +Rp727
    +sbsS'Token'
    +p728
    +g21
    +sg205
    +g1
    +(g2
    +g3
    +(g205
    +tp729
    +tp730
    +Rp731
    +(dp732
    +g14
    +g21
    +sg15
    +g16
    +((lp733
    +tp734
    +Rp735
    +sbsg15
    +g16
    +((lp736
    +g431
    +ag716
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p737
    +tp738
    +tp739
    +Rp740
    +(dp741
    +g14
    +g21
    +sg534
    +g1
    +(g2
    +g3
    +(g737
    +g534
    +tp742
    +tp743
    +Rp744
    +(dp745
    +g14
    +g740
    +sg15
    +g16
    +((lp746
    +tp747
    +Rp748
    +sbsS'Control'
    +p749
    +g1
    +(g2
    +g3
    +(g737
    +g749
    +tp750
    +tp751
    +Rp752
    +(dp753
    +g14
    +g740
    +sg15
    +g16
    +((lp754
    +tp755
    +Rp756
    +sbsg558
    +g1
    +(g2
    +g3
    +(g737
    +g558
    +tp757
    +tp758
    +Rp759
    +(dp760
    +g14
    +g740
    +sg15
    +g16
    +((lp761
    +tp762
    +Rp763
    +sbsg613
    +g1
    +(g2
    +g3
    +(g737
    +g613
    +tp764
    +tp765
    +Rp766
    +(dp767
    +g14
    +g740
    +sg15
    +g16
    +((lp768
    +tp769
    +Rp770
    +sbsS'PreProc'
    +p771
    +g1
    +(g2
    +g3
    +(g737
    +g771
    +tp772
    +tp773
    +Rp774
    +(dp775
    +g14
    +g740
    +sg15
    +g16
    +((lp776
    +tp777
    +Rp778
    +sbsg526
    +g1
    +(g2
    +g3
    +(g737
    +g526
    +tp779
    +tp780
    +Rp781
    +(dp782
    +g14
    +g740
    +sg15
    +g16
    +((lp783
    +tp784
    +Rp785
    +sbsS'Reserved'
    +p786
    +g1
    +(g2
    +g3
    +(g737
    +g786
    +tp787
    +tp788
    +Rp789
    +(dp790
    +g14
    +g740
    +sg15
    +g16
    +((lp791
    +tp792
    +Rp793
    +sbsg15
    +g16
    +((lp794
    +g766
    +ag1
    +(g2
    +g3
    +(g737
    +g419
    +tp795
    +tp796
    +Rp797
    +(dp798
    +g14
    +g740
    +sg15
    +g16
    +((lp799
    +tp800
    +Rp801
    +sbag752
    +ag1
    +(g2
    +g3
    +(g737
    +S'Declaration'
    +p802
    +tp803
    +tp804
    +Rp805
    +(dp806
    +g14
    +g740
    +sg15
    +g16
    +((lp807
    +tp808
    +Rp809
    +sbag1
    +(g2
    +g3
    +(g737
    +g737
    +tp810
    +tp811
    +Rp812
    +(dp813
    +g14
    +g740
    +sg15
    +g16
    +((lp814
    +tp815
    +Rp816
    +sbag781
    +ag759
    +ag744
    +ag789
    +ag774
    +atp817
    +Rp818
    +sg737
    +g812
    +sg802
    +g805
    +sg419
    +g797
    +sbag320
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p819
    +tp820
    +tp821
    +Rp822
    +(dp823
    +S'Beer'
    +p824
    +g1
    +(g2
    +g3
    +(g819
    +g824
    +tp825
    +tp826
    +Rp827
    +(dp828
    +g14
    +g822
    +sg15
    +g16
    +((lp829
    +tp830
    +Rp831
    +sbsS'Whitespace'
    +p832
    +g1
    +(g2
    +g3
    +(g819
    +g832
    +tp833
    +tp834
    +Rp835
    +(dp836
    +g14
    +g822
    +sg15
    +g16
    +((lp837
    +tp838
    +Rp839
    +sbsg14
    +g21
    +sS'Root'
    +p840
    +g1
    +(g2
    +g3
    +(g819
    +g840
    +tp841
    +tp842
    +Rp843
    +(dp844
    +g14
    +g822
    +sg15
    +g16
    +((lp845
    +tp846
    +Rp847
    +sbsg109
    +g1
    +(g2
    +g3
    +(g819
    +g109
    +tp848
    +tp849
    +Rp850
    +(dp851
    +g14
    +g822
    +sg15
    +g16
    +((lp852
    +tp853
    +Rp854
    +sbsg698
    +g1
    +(g2
    +g3
    +(g819
    +g698
    +tp855
    +tp856
    +Rp857
    +(dp858
    +g14
    +g822
    +sg15
    +g16
    +((lp859
    +tp860
    +Rp861
    +sbsg15
    +g16
    +((lp862
    +g843
    +ag850
    +ag857
    +ag835
    +ag827
    +ag1
    +(g2
    +g3
    +(g819
    +S'Rag'
    +p863
    +tp864
    +tp865
    +Rp866
    +(dp867
    +g14
    +g822
    +sg15
    +g16
    +((lp868
    +tp869
    +Rp870
    +sbatp871
    +Rp872
    +sg863
    +g866
    +sbag408
    +ag731
    +ag701
    +ag7
    +ag723
    +ag47
    +atp873
    +Rp874
    +sg737
    +g740
    +sg819
    +g822
    +sbsS'Special'
    +p875
    +g1
    +(g2
    +g3
    +(g4
    +g875
    +tp876
    +tp877
    +Rp878
    +(dp879
    +g14
    +g7
    +sg15
    +g16
    +((lp880
    +tp881
    +Rp882
    +sbsS'Hashbang'
    +p883
    +g1
    +(g2
    +g3
    +(g4
    +g883
    +tp884
    +tp885
    +Rp886
    +(dp887
    +g14
    +g7
    +sg15
    +g16
    +((lp888
    +tp889
    +Rp890
    +sbsS'Preproc'
    +p891
    +g1
    +(g2
    +g3
    +(g4
    +g891
    +tp892
    +tp893
    +Rp894
    +(dp895
    +g14
    +g7
    +sg15
    +g16
    +((lp896
    +tp897
    +Rp898
    +sbsg222
    +g1
    +(g2
    +g3
    +(g4
    +g222
    +tp899
    +tp900
    +Rp901
    +(dp902
    +g14
    +g7
    +sg15
    +g16
    +((lp903
    +tp904
    +Rp905
    +sbsS'Directive'
    +p906
    +g1
    +(g2
    +g3
    +(g4
    +g906
    +tp907
    +tp908
    +Rp909
    +(dp910
    +g14
    +g7
    +sg15
    +g16
    +((lp911
    +tp912
    +Rp913
    +sbsg214
    +g1
    +(g2
    +g3
    +(g4
    +g214
    +tp914
    +tp915
    +Rp916
    +(dp917
    +g14
    +g7
    +sg15
    +g16
    +((lp918
    +tp919
    +Rp920
    +sbsS'Singleline'
    +p921
    +g1
    +(g2
    +g3
    +(g4
    +g921
    +tp922
    +tp923
    +Rp924
    +(dp925
    +g14
    +g7
    +sg15
    +g16
    +((lp926
    +tp927
    +Rp928
    +sbsS'Multiline'
    +p929
    +g1
    +(g2
    +g3
    +(g4
    +g929
    +tp930
    +tp931
    +Rp932
    +(dp933
    +g14
    +g7
    +sg15
    +g16
    +((lp934
    +tp935
    +Rp936
    +sbsg15
    +g16
    +((lp937
    +g916
    +ag909
    +ag886
    +ag12
    +ag924
    +ag894
    +ag932
    +ag901
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p938
    +tp939
    +tp940
    +Rp941
    +(dp942
    +g14
    +g7
    +sg15
    +g16
    +((lp943
    +tp944
    +Rp945
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p946
    +tp947
    +tp948
    +Rp949
    +(dp950
    +g14
    +g7
    +sg15
    +g16
    +((lp951
    +tp952
    +Rp953
    +sbag878
    +atp954
    +Rp955
    +sg938
    +g941
    +sg946
    +g949
    +sbV#
    +p956
    +tp957
    +a(g822
    +V\u000a
    +p958
    +tp959
    +a(g7
    +g956
    +tp960
    +a(g7
    +V 
    +p961
    +tp962
    +a(g7
    +V$
    +p963
    +tp964
    +a(g7
    +VI
    +p965
    +tp966
    +a(g7
    +Vd
    +p967
    +tp968
    +a(g7
    +V:
    +p969
    +tp970
    +a(g7
    +g961
    +tp971
    +a(g7
    +Vp
    +p972
    +tp973
    +a(g7
    +Vr
    +p974
    +tp975
    +a(g7
    +Vo
    +p976
    +tp977
    +a(g7
    +Vb
    +p978
    +tp979
    +a(g7
    +V2
    +p980
    +tp981
    +a(g7
    +V.
    +p982
    +tp983
    +a(g7
    +g967
    +tp984
    +a(g7
    +Ve
    +p985
    +tp986
    +a(g7
    +Vm
    +p987
    +tp988
    +a(g7
    +V,
    +p989
    +tp990
    +a(g7
    +Vv
    +p991
    +tp992
    +a(g7
    +g961
    +tp993
    +a(g7
    +V1
    +p994
    +tp995
    +a(g7
    +g982
    +tp996
    +a(g7
    +V9
    +p997
    +tp998
    +a(g7
    +g961
    +tp999
    +a(g7
    +g980
    +tp1000
    +a(g7
    +V0
    +p1001
    +tp1002
    +a(g7
    +g1001
    +tp1003
    +a(g7
    +V6
    +p1004
    +tp1005
    +a(g7
    +V/
    +p1006
    +tp1007
    +a(g7
    +g1001
    +tp1008
    +a(g7
    +g1004
    +tp1009
    +a(g7
    +g1006
    +tp1010
    +a(g7
    +g994
    +tp1011
    +a(g7
    +V4
    +p1012
    +tp1013
    +a(g7
    +g961
    +tp1014
    +a(g7
    +g1001
    +tp1015
    +a(g7
    +V3
    +p1016
    +tp1017
    +a(g7
    +g969
    +tp1018
    +a(g7
    +g980
    +tp1019
    +a(g7
    +g1012
    +tp1020
    +a(g7
    +g969
    +tp1021
    +a(g7
    +g1001
    +tp1022
    +a(g7
    +g997
    +tp1023
    +a(g7
    +g961
    +tp1024
    +a(g7
    +Vs
    +p1025
    +tp1026
    +a(g7
    +Vf
    +p1027
    +tp1028
    +a(g7
    +g985
    +tp1029
    +a(g7
    +Va
    +p1030
    +tp1031
    +a(g7
    +g987
    +tp1032
    +a(g7
    +g961
    +tp1033
    +a(g7
    +VE
    +p1034
    +tp1035
    +a(g7
    +Vx
    +p1036
    +tp1037
    +a(g7
    +g972
    +tp1038
    +a(g7
    +g961
    +tp1039
    +a(g7
    +g963
    +tp1040
    +a(g822
    +V\u000a
    +p1041
    +tp1042
    +a(g7
    +g956
    +tp1043
    +a(g822
    +V\u000a
    +p1044
    +tp1045
    +a(g7
    +g956
    +tp1046
    +a(g7
    +g961
    +tp1047
    +a(g7
    +VD
    +p1048
    +tp1049
    +a(g7
    +g985
    +tp1050
    +a(g7
    +g987
    +tp1051
    +a(g7
    +g976
    +tp1052
    +a(g7
    +g961
    +tp1053
    +a(g7
    +VS
    +p1054
    +tp1055
    +a(g7
    +Vt
    +p1056
    +tp1057
    +a(g7
    +g1030
    +tp1058
    +a(g7
    +g1056
    +tp1059
    +a(g7
    +Vi
    +p1060
    +tp1061
    +a(g7
    +g1025
    +tp1062
    +a(g7
    +g1056
    +tp1063
    +a(g7
    +g1060
    +tp1064
    +a(g7
    +Vc
    +p1065
    +tp1066
    +a(g7
    +g1030
    +tp1067
    +a(g7
    +Vl
    +p1068
    +tp1069
    +a(g7
    +g961
    +tp1070
    +a(g7
    +VA
    +p1071
    +tp1072
    +a(g7
    +g972
    +tp1073
    +a(g7
    +g972
    +tp1074
    +a(g7
    +g974
    +tp1075
    +a(g7
    +g976
    +tp1076
    +a(g7
    +g1036
    +tp1077
    +a(g7
    +g1060
    +tp1078
    +a(g7
    +g987
    +tp1079
    +a(g7
    +g1030
    +tp1080
    +a(g7
    +g1056
    +tp1081
    +a(g7
    +g1060
    +tp1082
    +a(g7
    +g976
    +tp1083
    +a(g7
    +Vn
    +p1084
    +tp1085
    +a(g7
    +g1025
    +tp1086
    +a(g7
    +g961
    +tp1087
    +a(g7
    +g991
    +tp1088
    +a(g7
    +g985
    +tp1089
    +a(g7
    +g974
    +tp1090
    +a(g7
    +g1025
    +tp1091
    +a(g7
    +g1060
    +tp1092
    +a(g7
    +g976
    +tp1093
    +a(g7
    +g1084
    +tp1094
    +a(g7
    +g961
    +tp1095
    +a(g7
    +g994
    +tp1096
    +a(g7
    +g982
    +tp1097
    +a(g7
    +g994
    +tp1098
    +a(g822
    +V\u000a
    +p1099
    +tp1100
    +a(g7
    +g956
    +tp1101
    +a(g822
    +V\u000a
    +p1102
    +tp1103
    +a(g7
    +g956
    +tp1104
    +a(g7
    +g961
    +tp1105
    +a(g7
    +VC
    +p1106
    +tp1107
    +a(g7
    +g976
    +tp1108
    +a(g7
    +g972
    +tp1109
    +a(g7
    +Vy
    +p1110
    +tp1111
    +a(g7
    +g974
    +tp1112
    +a(g7
    +g1060
    +tp1113
    +a(g7
    +Vg
    +p1114
    +tp1115
    +a(g7
    +Vh
    +p1116
    +tp1117
    +a(g7
    +g1056
    +tp1118
    +a(g7
    +g961
    +tp1119
    +a(g7
    +V(
    +p1120
    +tp1121
    +a(g7
    +g1065
    +tp1122
    +a(g7
    +V)
    +p1123
    +tp1124
    +a(g7
    +g961
    +tp1125
    +a(g7
    +g994
    +tp1126
    +a(g7
    +g997
    +tp1127
    +a(g7
    +g997
    +tp1128
    +a(g7
    +g994
    +tp1129
    +a(g7
    +g989
    +tp1130
    +a(g7
    +g961
    +tp1131
    +a(g7
    +VJ
    +p1132
    +tp1133
    +a(g7
    +g976
    +tp1134
    +a(g7
    +g1025
    +tp1135
    +a(g7
    +g961
    +tp1136
    +a(g7
    +g991
    +tp1137
    +a(g7
    +g1030
    +tp1138
    +a(g7
    +g1084
    +tp1139
    +a(g7
    +g961
    +tp1140
    +a(g7
    +g967
    +tp1141
    +a(g7
    +g985
    +tp1142
    +a(g7
    +g974
    +tp1143
    +a(g7
    +g961
    +tp1144
    +a(g7
    +VW
    +p1145
    +tp1146
    +a(g7
    +g976
    +tp1147
    +a(g7
    +Vu
    +p1148
    +tp1149
    +a(g7
    +g967
    +tp1150
    +a(g7
    +g985
    +tp1151
    +a(g7
    +g989
    +tp1152
    +a(g7
    +g961
    +tp1153
    +a(g7
    +Vj
    +p1154
    +tp1155
    +a(g7
    +g991
    +tp1156
    +a(g7
    +g967
    +tp1157
    +a(g7
    +Vw
    +p1158
    +tp1159
    +a(g7
    +g976
    +tp1160
    +a(g7
    +g1148
    +tp1161
    +a(g7
    +g967
    +tp1162
    +a(g7
    +g985
    +tp1163
    +a(g7
    +V@
    +p1164
    +tp1165
    +a(g7
    +g1116
    +tp1166
    +a(g7
    +g1148
    +tp1167
    +a(g7
    +g1056
    +tp1168
    +a(g7
    +g982
    +tp1169
    +a(g7
    +g1084
    +tp1170
    +a(g7
    +g1068
    +tp1171
    +a(g822
    +V\u000a
    +p1172
    +tp1173
    +a(g822
    +V\u000a
    +p1174
    +tp1175
    +a(g7
    +g956
    +tp1176
    +a(g7
    +g961
    +tp1177
    +a(g7
    +VH
    +p1178
    +tp1179
    +a(g7
    +g1060
    +tp1180
    +a(g7
    +g1025
    +tp1181
    +a(g7
    +g1056
    +tp1182
    +a(g7
    +g976
    +tp1183
    +a(g7
    +g974
    +tp1184
    +a(g7
    +g1110
    +tp1185
    +a(g7
    +g969
    +tp1186
    +a(g822
    +V\u000a
    +p1187
    +tp1188
    +a(g7
    +g956
    +tp1189
    +a(g7
    +g961
    +tp1190
    +a(g7
    +g961
    +tp1191
    +a(g7
    +g961
    +tp1192
    +a(g7
    +g961
    +tp1193
    +a(g7
    +V-
    +p1194
    +tp1195
    +a(g7
    +g1194
    +tp1196
    +a(g7
    +g961
    +tp1197
    +a(g7
    +g1194
    +tp1198
    +a(g7
    +g1194
    +tp1199
    +a(g7
    +g1194
    +tp1200
    +a(g7
    +g961
    +tp1201
    +a(g7
    +g994
    +tp1202
    +a(g7
    +g997
    +tp1203
    +a(g7
    +g997
    +tp1204
    +a(g7
    +g994
    +tp1205
    +a(g7
    +g961
    +tp1206
    +a(g7
    +g1132
    +tp1207
    +a(g7
    +g976
    +tp1208
    +a(g7
    +g1025
    +tp1209
    +a(g7
    +g961
    +tp1210
    +a(g7
    +g991
    +tp1211
    +a(g7
    +g1030
    +tp1212
    +a(g7
    +g1084
    +tp1213
    +a(g7
    +g961
    +tp1214
    +a(g7
    +g967
    +tp1215
    +a(g7
    +g985
    +tp1216
    +a(g7
    +g974
    +tp1217
    +a(g7
    +g961
    +tp1218
    +a(g7
    +g1145
    +tp1219
    +a(g7
    +g976
    +tp1220
    +a(g7
    +g1148
    +tp1221
    +a(g7
    +g967
    +tp1222
    +a(g7
    +g985
    +tp1223
    +a(g7
    +g969
    +tp1224
    +a(g7
    +g961
    +tp1225
    +a(g7
    +g961
    +tp1226
    +a(g7
    +g994
    +tp1227
    +a(g7
    +g1025
    +tp1228
    +a(g7
    +g1056
    +tp1229
    +a(g7
    +g961
    +tp1230
    +a(g7
    +g991
    +tp1231
    +a(g7
    +g985
    +tp1232
    +a(g7
    +g974
    +tp1233
    +a(g7
    +g1025
    +tp1234
    +a(g7
    +g1060
    +tp1235
    +a(g7
    +g976
    +tp1236
    +a(g7
    +g1084
    +tp1237
    +a(g822
    +V\u000a
    +p1238
    +tp1239
    +a(g7
    +g956
    +tp1240
    +a(g7
    +g961
    +tp1241
    +a(g7
    +g961
    +tp1242
    +a(g7
    +g961
    +tp1243
    +a(g7
    +g961
    +tp1244
    +a(g7
    +g1001
    +tp1245
    +a(g7
    +g1004
    +tp1246
    +a(g7
    +g961
    +tp1247
    +a(g7
    +g1132
    +tp1248
    +a(g7
    +g1148
    +tp1249
    +a(g7
    +g1084
    +tp1250
    +a(g7
    +g961
    +tp1251
    +a(g7
    +g980
    +tp1252
    +a(g7
    +g1001
    +tp1253
    +a(g7
    +g1001
    +tp1254
    +a(g7
    +g1004
    +tp1255
    +a(g7
    +g961
    +tp1256
    +a(g7
    +g1048
    +tp1257
    +a(g7
    +g1030
    +tp1258
    +a(g7
    +g1084
    +tp1259
    +a(g7
    +g961
    +tp1260
    +a(g7
    +g1054
    +tp1261
    +a(g7
    +g985
    +tp1262
    +a(g7
    +g978
    +tp1263
    +a(g7
    +g1030
    +tp1264
    +a(g7
    +g1068
    +tp1265
    +a(g7
    +g967
    +tp1266
    +a(g7
    +g969
    +tp1267
    +a(g7
    +g961
    +tp1268
    +a(g7
    +g961
    +tp1269
    +a(g7
    +g1071
    +tp1270
    +a(g7
    +g967
    +tp1271
    +a(g7
    +g967
    +tp1272
    +a(g7
    +g985
    +tp1273
    +a(g7
    +g967
    +tp1274
    +a(g7
    +g961
    +tp1275
    +a(g7
    +g972
    +tp1276
    +a(g7
    +g1068
    +tp1277
    +a(g7
    +g976
    +tp1278
    +a(g7
    +g1056
    +tp1279
    +a(g7
    +g961
    +tp1280
    +a(g7
    +g987
    +tp1281
    +a(g7
    +g985
    +tp1282
    +a(g7
    +g1056
    +tp1283
    +a(g7
    +g1116
    +tp1284
    +a(g7
    +g976
    +tp1285
    +a(g7
    +g967
    +tp1286
    +a(g7
    +g1025
    +tp1287
    +a(g7
    +g961
    +tp1288
    +a(g7
    +g1027
    +tp1289
    +a(g7
    +g976
    +tp1290
    +a(g7
    +g974
    +tp1291
    +a(g7
    +g961
    +tp1292
    +a(g7
    +g978
    +tp1293
    +a(g7
    +g985
    +tp1294
    +a(g7
    +g1056
    +tp1295
    +a(g7
    +g1056
    +tp1296
    +a(g7
    +g985
    +tp1297
    +a(g7
    +g974
    +tp1298
    +a(g7
    +g961
    +tp1299
    +a(g7
    +g991
    +tp1300
    +a(g7
    +g1060
    +tp1301
    +a(g7
    +g1025
    +tp1302
    +a(g7
    +g1148
    +tp1303
    +a(g7
    +g1030
    +tp1304
    +a(g7
    +g1068
    +tp1305
    +a(g7
    +g961
    +tp1306
    +a(g7
    +g985
    +tp1307
    +a(g7
    +g1027
    +tp1308
    +a(g7
    +g1027
    +tp1309
    +a(g7
    +g985
    +tp1310
    +a(g7
    +g1065
    +tp1311
    +a(g7
    +g1056
    +tp1312
    +a(g7
    +g982
    +tp1313
    +a(g822
    +V\u000a
    +p1314
    +tp1315
    +a(g822
    +V\u000a
    +p1316
    +tp1317
    +a(g740
    +Vprint
    +p1318
    +tp1319
    +a(g822
    +g961
    +tp1320
    +a(g84
    +V"
    +p1321
    +tp1322
    +a(g84
    +g1321
    +tp1323
    +a(g822
    +V\u000a
    +p1324
    +tp1325
    +a(g740
    +Vprint
    +p1326
    +tp1327
    +a(g822
    +g961
    +tp1328
    +a(g84
    +g1321
    +tp1329
    +a(g84
    +g1321
    +tp1330
    +a(g822
    +V\u000a
    +p1331
    +tp1332
    +a(g740
    +Vprint
    +p1333
    +tp1334
    +a(g822
    +g961
    +tp1335
    +a(g84
    +g1321
    +tp1336
    +a(g84
    +g1321
    +tp1337
    +a(g822
    +V\u000a
    +p1338
    +tp1339
    +a(g740
    +Vprint
    +p1340
    +tp1341
    +a(g822
    +g961
    +tp1342
    +a(g84
    +g1321
    +tp1343
    +a(g84
    +g1321
    +tp1344
    +a(g822
    +V\u000a
    +p1345
    +tp1346
    +a(g740
    +Vprint
    +p1347
    +tp1348
    +a(g822
    +g961
    +tp1349
    +a(g84
    +g1321
    +tp1350
    +a(g84
    +g1321
    +tp1351
    +a(g822
    +V\u000a
    +p1352
    +tp1353
    +a(g740
    +Vprint
    +p1354
    +tp1355
    +a(g822
    +g961
    +tp1356
    +a(g84
    +g1321
    +tp1357
    +a(g84
    +g1321
    +tp1358
    +a(g822
    +V\u000a
    +p1359
    +tp1360
    +a(g740
    +Vprint
    +p1361
    +tp1362
    +a(g822
    +g961
    +tp1363
    +a(g84
    +g1321
    +tp1364
    +a(g84
    +V                        Statistical Approximations, version 1.1
    +p1365
    +tp1366
    +a(g84
    +g1321
    +tp1367
    +a(g822
    +V\u000a
    +p1368
    +tp1369
    +a(g740
    +Vprint
    +p1370
    +tp1371
    +a(g822
    +g961
    +tp1372
    +a(g84
    +g1321
    +tp1373
    +a(g84
    +g1321
    +tp1374
    +a(g822
    +V\u000a
    +p1375
    +tp1376
    +a(g740
    +Vprint
    +p1377
    +tp1378
    +a(g822
    +g961
    +tp1379
    +a(g84
    +g1321
    +tp1380
    +a(g84
    +V        Copyright (c) 1991, 1992, Jos van de Woude, jvdwoude@hut.nl
    +p1381
    +tp1382
    +a(g84
    +g1321
    +tp1383
    +a(g822
    +V\u000a
    +p1384
    +tp1385
    +a(g740
    +Vprint
    +p1386
    +tp1387
    +a(g822
    +g961
    +tp1388
    +a(g84
    +g1321
    +tp1389
    +a(g84
    +g1321
    +tp1390
    +a(g822
    +V\u000a
    +p1391
    +tp1392
    +a(g740
    +Vprint
    +p1393
    +tp1394
    +a(g822
    +g961
    +tp1395
    +a(g84
    +g1321
    +tp1396
    +a(g84
    +g1321
    +tp1397
    +a(g822
    +V\u000a
    +p1398
    +tp1399
    +a(g740
    +Vprint
    +p1400
    +tp1401
    +a(g822
    +g961
    +tp1402
    +a(g84
    +g1321
    +tp1403
    +a(g84
    +g1321
    +tp1404
    +a(g822
    +V\u000a
    +p1405
    +tp1406
    +a(g740
    +Vprint
    +p1407
    +tp1408
    +a(g822
    +g961
    +tp1409
    +a(g84
    +g1321
    +tp1410
    +a(g84
    +g1321
    +tp1411
    +a(g822
    +V\u000a
    +p1412
    +tp1413
    +a(g740
    +Vprint
    +p1414
    +tp1415
    +a(g822
    +g961
    +tp1416
    +a(g84
    +g1321
    +tp1417
    +a(g84
    +g1321
    +tp1418
    +a(g822
    +V\u000a
    +p1419
    +tp1420
    +a(g740
    +Vprint
    +p1421
    +tp1422
    +a(g822
    +g961
    +tp1423
    +a(g84
    +g1321
    +tp1424
    +a(g84
    +g1321
    +tp1425
    +a(g822
    +V\u000a
    +p1426
    +tp1427
    +a(g740
    +Vprint
    +p1428
    +tp1429
    +a(g822
    +g961
    +tp1430
    +a(g84
    +g1321
    +tp1431
    +a(g84
    +g1321
    +tp1432
    +a(g822
    +V\u000a
    +p1433
    +tp1434
    +a(g740
    +Vprint
    +p1435
    +tp1436
    +a(g822
    +g961
    +tp1437
    +a(g84
    +g1321
    +tp1438
    +a(g84
    +g1321
    +tp1439
    +a(g822
    +V\u000a
    +p1440
    +tp1441
    +a(g740
    +Vprint
    +p1442
    +tp1443
    +a(g822
    +g961
    +tp1444
    +a(g84
    +g1321
    +tp1445
    +a(g84
    +g1321
    +tp1446
    +a(g822
    +V\u000a
    +p1447
    +tp1448
    +a(g740
    +Vprint
    +p1449
    +tp1450
    +a(g822
    +g961
    +tp1451
    +a(g84
    +g1321
    +tp1452
    +a(g84
    +g1321
    +tp1453
    +a(g822
    +V\u000a
    +p1454
    +tp1455
    +a(g740
    +Vprint
    +p1456
    +tp1457
    +a(g822
    +g961
    +tp1458
    +a(g84
    +g1321
    +tp1459
    +a(g84
    +g1321
    +tp1460
    +a(g822
    +V\u000a
    +p1461
    +tp1462
    +a(g740
    +Vprint
    +p1463
    +tp1464
    +a(g822
    +g961
    +tp1465
    +a(g84
    +g1321
    +tp1466
    +a(g84
    +V     NOTE: contains 10 plots and consequently takes some time to run
    +p1467
    +tp1468
    +a(g84
    +g1321
    +tp1469
    +a(g822
    +V\u000a
    +p1470
    +tp1471
    +a(g740
    +Vprint
    +p1472
    +tp1473
    +a(g822
    +g961
    +tp1474
    +a(g84
    +g1321
    +tp1475
    +a(g84
    +V                      Press Ctrl-C to exit right now
    +p1476
    +tp1477
    +a(g84
    +g1321
    +tp1478
    +a(g822
    +V\u000a
    +p1479
    +tp1480
    +a(g740
    +Vprint
    +p1481
    +tp1482
    +a(g822
    +g961
    +tp1483
    +a(g84
    +g1321
    +tp1484
    +a(g84
    +g1321
    +tp1485
    +a(g822
    +V\u000a
    +p1486
    +tp1487
    +a(g740
    +Vpause
    +p1488
    +tp1489
    +a(g822
    +g961
    +tp1490
    +a(g32
    +V-1
    +p1491
    +tp1492
    +a(g822
    +g961
    +tp1493
    +a(g84
    +g1321
    +tp1494
    +a(g84
    +V                      Press Return to start demo ...
    +p1495
    +tp1496
    +a(g84
    +g1321
    +tp1497
    +a(g822
    +V\u000a
    +p1498
    +tp1499
    +a(g822
    +V\u000a
    +p1500
    +tp1501
    +a(g740
    +Vload
    +p1502
    +tp1503
    +a(g822
    +g961
    +tp1504
    +a(g84
    +g1321
    +tp1505
    +a(g84
    +Vstat.inc
    +p1506
    +tp1507
    +a(g84
    +g1321
    +tp1508
    +a(g822
    +V\u000a
    +p1509
    +tp1510
    +a(g569
    +Vrnd
    +p1511
    +tp1512
    +a(g822
    +V(x) 
    +p1513
    +tp1514
    +a(g408
    +V=
    +p1515
    +tp1516
    +a(g822
    +g961
    +tp1517
    +a(g569
    +Vfloor
    +p1518
    +tp1519
    +a(g701
    +g1120
    +tp1520
    +a(g431
    +g1036
    +tp1521
    +a(g408
    +V+
    +p1522
    +tp1523
    +a(g310
    +V0.5
    +p1524
    +tp1525
    +a(g701
    +g1123
    +tp1526
    +a(g822
    +V\u000a
    +p1527
    +tp1528
    +a(g436
    +Vr_xmin
    +p1529
    +tp1530
    +a(g822
    +g961
    +tp1531
    +a(g408
    +g1515
    +tp1532
    +a(g822
    +g961
    +tp1533
    +a(g32
    +V-1
    +p1534
    +tp1535
    +a(g822
    +V\u000a
    +p1536
    +tp1537
    +a(g436
    +Vr_sigma
    +p1538
    +tp1539
    +a(g822
    +g961
    +tp1540
    +a(g408
    +g1515
    +tp1541
    +a(g822
    +g961
    +tp1542
    +a(g310
    +V4.0
    +p1543
    +tp1544
    +a(g822
    +V\u000a
    +p1545
    +tp1546
    +a(g822
    +V\u000a
    +p1547
    +tp1548
    +a(g7
    +g956
    +tp1549
    +a(g7
    +g961
    +tp1550
    +a(g7
    +VB
    +p1551
    +tp1552
    +a(g7
    +g1060
    +tp1553
    +a(g7
    +g1084
    +tp1554
    +a(g7
    +g976
    +tp1555
    +a(g7
    +g987
    +tp1556
    +a(g7
    +g1060
    +tp1557
    +a(g7
    +g1030
    +tp1558
    +a(g7
    +g1068
    +tp1559
    +a(g7
    +g961
    +tp1560
    +a(g7
    +VP
    +p1561
    +tp1562
    +a(g7
    +g1048
    +tp1563
    +a(g7
    +VF
    +p1564
    +tp1565
    +a(g7
    +g961
    +tp1566
    +a(g7
    +g1148
    +tp1567
    +a(g7
    +g1025
    +tp1568
    +a(g7
    +g1060
    +tp1569
    +a(g7
    +g1084
    +tp1570
    +a(g7
    +g1114
    +tp1571
    +a(g7
    +g961
    +tp1572
    +a(g7
    +g1084
    +tp1573
    +a(g7
    +g976
    +tp1574
    +a(g7
    +g974
    +tp1575
    +a(g7
    +g987
    +tp1576
    +a(g7
    +g1030
    +tp1577
    +a(g7
    +g1068
    +tp1578
    +a(g7
    +g961
    +tp1579
    +a(g7
    +g1030
    +tp1580
    +a(g7
    +g972
    +tp1581
    +a(g7
    +g972
    +tp1582
    +a(g7
    +g974
    +tp1583
    +a(g7
    +g976
    +tp1584
    +a(g7
    +g1036
    +tp1585
    +a(g7
    +g1060
    +tp1586
    +a(g7
    +g987
    +tp1587
    +a(g7
    +g1030
    +tp1588
    +a(g7
    +g1056
    +tp1589
    +a(g7
    +g1060
    +tp1590
    +a(g7
    +g976
    +tp1591
    +a(g7
    +g1084
    +tp1592
    +a(g822
    +V\u000a
    +p1593
    +tp1594
    +a(g436
    +g1084
    +tp1595
    +a(g822
    +g961
    +tp1596
    +a(g408
    +g1515
    +tp1597
    +a(g822
    +g961
    +tp1598
    +a(g32
    +V25
    +p1599
    +tp1600
    +a(g701
    +V;
    +p1601
    +tp1602
    +a(g822
    +g961
    +tp1603
    +a(g740
    +g972
    +tp1604
    +a(g822
    +g961
    +tp1605
    +a(g408
    +g1515
    +tp1606
    +a(g822
    +g961
    +tp1607
    +a(g310
    +V0.15
    +p1608
    +tp1609
    +a(g822
    +V\u000a
    +p1610
    +tp1611
    +a(g436
    +Vmu
    +p1612
    +tp1613
    +a(g822
    +g961
    +tp1614
    +a(g408
    +g1515
    +tp1615
    +a(g822
    +g961
    +tp1616
    +a(g431
    +g1084
    +tp1617
    +a(g822
    +g961
    +tp1618
    +a(g408
    +V*
    +p1619
    +tp1620
    +a(g822
    +g961
    +tp1621
    +a(g431
    +g972
    +tp1622
    +a(g822
    +V\u000a
    +p1623
    +tp1624
    +a(g436
    +Vsigma
    +p1625
    +tp1626
    +a(g822
    +g961
    +tp1627
    +a(g408
    +g1515
    +tp1628
    +a(g822
    +g961
    +tp1629
    +a(g569
    +Vsqrt
    +p1630
    +tp1631
    +a(g701
    +g1120
    +tp1632
    +a(g431
    +g1084
    +tp1633
    +a(g822
    +g961
    +tp1634
    +a(g408
    +g1619
    +tp1635
    +a(g822
    +g961
    +tp1636
    +a(g431
    +g972
    +tp1637
    +a(g822
    +g961
    +tp1638
    +a(g408
    +g1619
    +tp1639
    +a(g822
    +g961
    +tp1640
    +a(g701
    +g1120
    +tp1641
    +a(g310
    +V1.0
    +p1642
    +tp1643
    +a(g822
    +g961
    +tp1644
    +a(g408
    +g1194
    +tp1645
    +a(g822
    +g961
    +tp1646
    +a(g431
    +g972
    +tp1647
    +a(g701
    +g1123
    +tp1648
    +a(g701
    +g1123
    +tp1649
    +a(g822
    +V\u000a
    +p1650
    +tp1651
    +a(g436
    +Vxmin
    +p1652
    +tp1653
    +a(g822
    +g961
    +tp1654
    +a(g408
    +g1515
    +tp1655
    +a(g822
    +g961
    +tp1656
    +a(g569
    +Vfloor
    +p1657
    +tp1658
    +a(g701
    +g1120
    +tp1659
    +a(g431
    +Vmu
    +p1660
    +tp1661
    +a(g822
    +g961
    +tp1662
    +a(g408
    +g1194
    +tp1663
    +a(g822
    +g961
    +tp1664
    +a(g431
    +Vr_sigma
    +p1665
    +tp1666
    +a(g822
    +g961
    +tp1667
    +a(g408
    +g1619
    +tp1668
    +a(g822
    +g961
    +tp1669
    +a(g431
    +Vsigma
    +p1670
    +tp1671
    +a(g701
    +g1123
    +tp1672
    +a(g822
    +V\u000a
    +p1673
    +tp1674
    +a(g436
    +Vxmin
    +p1675
    +tp1676
    +a(g822
    +g961
    +tp1677
    +a(g408
    +g1515
    +tp1678
    +a(g822
    +g961
    +tp1679
    +a(g431
    +Vxmin
    +p1680
    +tp1681
    +a(g822
    +g961
    +tp1682
    +a(g408
    +V<
    +p1683
    +tp1684
    +a(g822
    +g961
    +tp1685
    +a(g431
    +Vr_xmin
    +p1686
    +tp1687
    +a(g822
    +g961
    +tp1688
    +a(g408
    +V?
    +p1689
    +tp1690
    +a(g822
    +g961
    +tp1691
    +a(g431
    +Vr_xmin
    +p1692
    +tp1693
    +a(g822
    +g961
    +tp1694
    +a(g408
    +g969
    +tp1695
    +a(g822
    +g961
    +tp1696
    +a(g431
    +Vxmin
    +p1697
    +tp1698
    +a(g822
    +V\u000a
    +p1699
    +tp1700
    +a(g436
    +Vxmax
    +p1701
    +tp1702
    +a(g822
    +g961
    +tp1703
    +a(g408
    +g1515
    +tp1704
    +a(g822
    +g961
    +tp1705
    +a(g569
    +Vceil
    +p1706
    +tp1707
    +a(g701
    +g1120
    +tp1708
    +a(g431
    +Vmu
    +p1709
    +tp1710
    +a(g822
    +g961
    +tp1711
    +a(g408
    +g1522
    +tp1712
    +a(g822
    +g961
    +tp1713
    +a(g431
    +Vr_sigma
    +p1714
    +tp1715
    +a(g822
    +g961
    +tp1716
    +a(g408
    +g1619
    +tp1717
    +a(g822
    +g961
    +tp1718
    +a(g431
    +Vsigma
    +p1719
    +tp1720
    +a(g701
    +g1123
    +tp1721
    +a(g822
    +V\u000a
    +p1722
    +tp1723
    +a(g436
    +Vymax
    +p1724
    +tp1725
    +a(g822
    +g961
    +tp1726
    +a(g408
    +g1515
    +tp1727
    +a(g822
    +g961
    +tp1728
    +a(g310
    +V1.1
    +p1729
    +tp1730
    +a(g822
    +g961
    +tp1731
    +a(g408
    +g1619
    +tp1732
    +a(g822
    +g961
    +tp1733
    +a(g569
    +Vbinom
    +p1734
    +tp1735
    +a(g701
    +g1120
    +tp1736
    +a(g569
    +Vfloor
    +p1737
    +tp1738
    +a(g701
    +g1120
    +tp1739
    +a(g701
    +g1120
    +tp1740
    +a(g431
    +g1084
    +tp1741
    +a(g408
    +g1522
    +tp1742
    +a(g32
    +g994
    +tp1743
    +a(g701
    +g1123
    +tp1744
    +a(g408
    +g1619
    +tp1745
    +a(g431
    +g972
    +tp1746
    +a(g701
    +g1123
    +tp1747
    +a(g408
    +g989
    +tp1748
    +a(g822
    +g961
    +tp1749
    +a(g431
    +g1084
    +tp1750
    +a(g408
    +g989
    +tp1751
    +a(g822
    +g961
    +tp1752
    +a(g431
    +g972
    +tp1753
    +a(g701
    +g1123
    +tp1754
    +a(g822
    +g961
    +tp1755
    +a(g7
    +g956
    +tp1756
    +a(g7
    +g987
    +tp1757
    +a(g7
    +g976
    +tp1758
    +a(g7
    +g967
    +tp1759
    +a(g7
    +g985
    +tp1760
    +a(g7
    +g961
    +tp1761
    +a(g7
    +g976
    +tp1762
    +a(g7
    +g1027
    +tp1763
    +a(g7
    +g961
    +tp1764
    +a(g7
    +g978
    +tp1765
    +a(g7
    +g1060
    +tp1766
    +a(g7
    +g1084
    +tp1767
    +a(g7
    +g976
    +tp1768
    +a(g7
    +g987
    +tp1769
    +a(g7
    +g1060
    +tp1770
    +a(g7
    +g1030
    +tp1771
    +a(g7
    +g1068
    +tp1772
    +a(g7
    +g961
    +tp1773
    +a(g7
    +g1561
    +tp1774
    +a(g7
    +g1048
    +tp1775
    +a(g7
    +g1564
    +tp1776
    +a(g7
    +g961
    +tp1777
    +a(g7
    +g1148
    +tp1778
    +a(g7
    +g1025
    +tp1779
    +a(g7
    +g985
    +tp1780
    +a(g7
    +g967
    +tp1781
    +a(g822
    +V\u000a
    +p1782
    +tp1783
    +a(g740
    +Vset
    +p1784
    +tp1785
    +a(g822
    +g961
    +tp1786
    +a(g677
    +Vkey
    +p1787
    +tp1788
    +a(g822
    +g961
    +tp1789
    +a(g431
    +Vbox
    +p1790
    +tp1791
    +a(g822
    +V\u000a
    +p1792
    +tp1793
    +a(g740
    +Vunset
    +p1794
    +tp1795
    +a(g822
    +g961
    +tp1796
    +a(g677
    +Vzeroaxis
    +p1797
    +tp1798
    +a(g822
    +V\u000a
    +p1799
    +tp1800
    +a(g740
    +Vset
    +p1801
    +tp1802
    +a(g822
    +g961
    +tp1803
    +a(g677
    +Vxrange
    +p1804
    +tp1805
    +a(g822
    +g961
    +tp1806
    +a(g701
    +V[
    +p1807
    +tp1808
    +a(g431
    +Vxmin
    +p1809
    +tp1810
    +a(g822
    +g961
    +tp1811
    +a(g408
    +g1194
    +tp1812
    +a(g822
    +g961
    +tp1813
    +a(g32
    +g994
    +tp1814
    +a(g822
    +g961
    +tp1815
    +a(g408
    +g969
    +tp1816
    +a(g822
    +g961
    +tp1817
    +a(g431
    +Vxmax
    +p1818
    +tp1819
    +a(g822
    +g961
    +tp1820
    +a(g408
    +g1522
    +tp1821
    +a(g822
    +g961
    +tp1822
    +a(g32
    +g994
    +tp1823
    +a(g701
    +V]
    +p1824
    +tp1825
    +a(g822
    +V\u000a
    +p1826
    +tp1827
    +a(g740
    +Vset
    +p1828
    +tp1829
    +a(g822
    +g961
    +tp1830
    +a(g677
    +Vyrange
    +p1831
    +tp1832
    +a(g822
    +g961
    +tp1833
    +a(g701
    +g1807
    +tp1834
    +a(g32
    +g1001
    +tp1835
    +a(g822
    +g961
    +tp1836
    +a(g408
    +g969
    +tp1837
    +a(g822
    +g961
    +tp1838
    +a(g431
    +Vymax
    +p1839
    +tp1840
    +a(g701
    +g1824
    +tp1841
    +a(g822
    +V\u000a
    +p1842
    +tp1843
    +a(g740
    +Vset
    +p1844
    +tp1845
    +a(g822
    +g961
    +tp1846
    +a(g677
    +Vxlabel
    +p1847
    +tp1848
    +a(g822
    +g961
    +tp1849
    +a(g84
    +g1321
    +tp1850
    +a(g84
    +Vk, x ->
    +p1851
    +tp1852
    +a(g84
    +g1321
    +tp1853
    +a(g822
    +V\u000a
    +p1854
    +tp1855
    +a(g740
    +Vset
    +p1856
    +tp1857
    +a(g822
    +g961
    +tp1858
    +a(g677
    +Vylabel
    +p1859
    +tp1860
    +a(g822
    +g961
    +tp1861
    +a(g84
    +g1321
    +tp1862
    +a(g84
    +Vprobability density ->
    +p1863
    +tp1864
    +a(g84
    +g1321
    +tp1865
    +a(g822
    +V\u000a
    +p1866
    +tp1867
    +a(g740
    +Vset
    +p1868
    +tp1869
    +a(g822
    +g961
    +tp1870
    +a(g677
    +Vytics
    +p1871
    +tp1872
    +a(g822
    +g961
    +tp1873
    +a(g32
    +g1001
    +tp1874
    +a(g408
    +g989
    +tp1875
    +a(g822
    +g961
    +tp1876
    +a(g431
    +Vymax
    +p1877
    +tp1878
    +a(g822
    +g961
    +tp1879
    +a(g408
    +g1006
    +tp1880
    +a(g822
    +g961
    +tp1881
    +a(g310
    +V10.0
    +p1882
    +tp1883
    +a(g408
    +g989
    +tp1884
    +a(g822
    +g961
    +tp1885
    +a(g431
    +Vymax
    +p1886
    +tp1887
    +a(g822
    +V\u000a
    +p1888
    +tp1889
    +a(g740
    +Vset
    +p1890
    +tp1891
    +a(g822
    +g961
    +tp1892
    +a(g677
    +Vformat
    +p1893
    +tp1894
    +a(g822
    +g961
    +tp1895
    +a(g431
    +g1036
    +tp1896
    +a(g822
    +g961
    +tp1897
    +a(g84
    +g1321
    +tp1898
    +a(g84
    +V%2.0f
    +p1899
    +tp1900
    +a(g84
    +g1321
    +tp1901
    +a(g822
    +V\u000a
    +p1902
    +tp1903
    +a(g740
    +Vset
    +p1904
    +tp1905
    +a(g822
    +g961
    +tp1906
    +a(g677
    +Vformat
    +p1907
    +tp1908
    +a(g822
    +g961
    +tp1909
    +a(g431
    +g1110
    +tp1910
    +a(g822
    +g961
    +tp1911
    +a(g84
    +g1321
    +tp1912
    +a(g84
    +V%3.2f
    +p1913
    +tp1914
    +a(g84
    +g1321
    +tp1915
    +a(g822
    +V\u000a
    +p1916
    +tp1917
    +a(g740
    +Vset
    +p1918
    +tp1919
    +a(g822
    +g961
    +tp1920
    +a(g677
    +Vsample
    +p1921
    +tp1922
    +a(g822
    +g961
    +tp1923
    +a(g32
    +V200
    +p1924
    +tp1925
    +a(g822
    +V\u000a
    +p1926
    +tp1927
    +a(g740
    +Vset
    +p1928
    +tp1929
    +a(g822
    +g961
    +tp1930
    +a(g677
    +Vtitle
    +p1931
    +tp1932
    +a(g822
    +g961
    +tp1933
    +a(g84
    +g1321
    +tp1934
    +a(g84
    +Vbinomial PDF using normal approximation
    +p1935
    +tp1936
    +a(g84
    +g1321
    +tp1937
    +a(g822
    +V\u000a
    +p1938
    +tp1939
    +a(g740
    +Vset
    +p1940
    +tp1941
    +a(g822
    +g961
    +tp1942
    +a(g677
    +Varrow
    +p1943
    +tp1944
    +a(g822
    +g961
    +tp1945
    +a(g431
    +Vfrom
    +p1946
    +tp1947
    +a(g822
    +g961
    +tp1948
    +a(g431
    +Vmu
    +p1949
    +tp1950
    +a(g408
    +g989
    +tp1951
    +a(g822
    +g961
    +tp1952
    +a(g32
    +g1001
    +tp1953
    +a(g822
    +g961
    +tp1954
    +a(g431
    +Vto
    +p1955
    +tp1956
    +a(g822
    +g961
    +tp1957
    +a(g431
    +Vmu
    +p1958
    +tp1959
    +a(g408
    +g989
    +tp1960
    +a(g822
    +g961
    +tp1961
    +a(g569
    +Vnormal
    +p1962
    +tp1963
    +a(g701
    +g1120
    +tp1964
    +a(g431
    +Vmu
    +p1965
    +tp1966
    +a(g408
    +g989
    +tp1967
    +a(g822
    +g961
    +tp1968
    +a(g431
    +Vmu
    +p1969
    +tp1970
    +a(g408
    +g989
    +tp1971
    +a(g822
    +g961
    +tp1972
    +a(g431
    +Vsigma
    +p1973
    +tp1974
    +a(g701
    +g1123
    +tp1975
    +a(g822
    +g961
    +tp1976
    +a(g431
    +Vnohead
    +p1977
    +tp1978
    +a(g822
    +V\u000a
    +p1979
    +tp1980
    +a(g740
    +Vset
    +p1981
    +tp1982
    +a(g822
    +g961
    +tp1983
    +a(g677
    +Varrow
    +p1984
    +tp1985
    +a(g822
    +g961
    +tp1986
    +a(g431
    +Vfrom
    +p1987
    +tp1988
    +a(g822
    +g961
    +tp1989
    +a(g431
    +Vmu
    +p1990
    +tp1991
    +a(g408
    +g989
    +tp1992
    +a(g822
    +g961
    +tp1993
    +a(g569
    +Vnormal
    +p1994
    +tp1995
    +a(g701
    +g1120
    +tp1996
    +a(g431
    +Vmu
    +p1997
    +tp1998
    +a(g822
    +g961
    +tp1999
    +a(g408
    +g1522
    +tp2000
    +a(g822
    +g961
    +tp2001
    +a(g431
    +Vsigma
    +p2002
    +tp2003
    +a(g408
    +g989
    +tp2004
    +a(g822
    +g961
    +tp2005
    +a(g431
    +Vmu
    +p2006
    +tp2007
    +a(g408
    +g989
    +tp2008
    +a(g822
    +g961
    +tp2009
    +a(g431
    +Vsigma
    +p2010
    +tp2011
    +a(g701
    +g1123
    +tp2012
    +a(g822
    +g961
    +tp2013
    +a(g822
    +V\u005c\u000a
    +p2014
    +tp2015
    +a(g822
    +V          
    +p2016
    +tp2017
    +a(g431
    +Vto
    +p2018
    +tp2019
    +a(g822
    +g961
    +tp2020
    +a(g431
    +Vmu
    +p2021
    +tp2022
    +a(g822
    +g961
    +tp2023
    +a(g408
    +g1522
    +tp2024
    +a(g822
    +g961
    +tp2025
    +a(g431
    +Vsigma
    +p2026
    +tp2027
    +a(g408
    +g989
    +tp2028
    +a(g822
    +g961
    +tp2029
    +a(g569
    +Vnormal
    +p2030
    +tp2031
    +a(g701
    +g1120
    +tp2032
    +a(g431
    +Vmu
    +p2033
    +tp2034
    +a(g822
    +g961
    +tp2035
    +a(g408
    +g1522
    +tp2036
    +a(g822
    +g961
    +tp2037
    +a(g431
    +Vsigma
    +p2038
    +tp2039
    +a(g408
    +g989
    +tp2040
    +a(g822
    +g961
    +tp2041
    +a(g431
    +Vmu
    +p2042
    +tp2043
    +a(g408
    +g989
    +tp2044
    +a(g822
    +g961
    +tp2045
    +a(g431
    +Vsigma
    +p2046
    +tp2047
    +a(g701
    +g1123
    +tp2048
    +a(g822
    +g961
    +tp2049
    +a(g431
    +Vnohead
    +p2050
    +tp2051
    +a(g822
    +V\u000a
    +p2052
    +tp2053
    +a(g740
    +Vset
    +p2054
    +tp2055
    +a(g822
    +g961
    +tp2056
    +a(g677
    +Vlabel
    +p2057
    +tp2058
    +a(g822
    +g961
    +tp2059
    +a(g84
    +g1321
    +tp2060
    +a(g84
    +Vmu
    +p2061
    +tp2062
    +a(g84
    +g1321
    +tp2063
    +a(g822
    +g961
    +tp2064
    +a(g431
    +Vat
    +p2065
    +tp2066
    +a(g822
    +g961
    +tp2067
    +a(g431
    +Vmu
    +p2068
    +tp2069
    +a(g822
    +g961
    +tp2070
    +a(g408
    +g1522
    +tp2071
    +a(g822
    +g961
    +tp2072
    +a(g310
    +V0.5
    +p2073
    +tp2074
    +a(g408
    +g989
    +tp2075
    +a(g822
    +g961
    +tp2076
    +a(g431
    +Vymax
    +p2077
    +tp2078
    +a(g822
    +g961
    +tp2079
    +a(g408
    +g1006
    +tp2080
    +a(g822
    +g961
    +tp2081
    +a(g32
    +V10
    +p2082
    +tp2083
    +a(g822
    +V\u000a
    +p2084
    +tp2085
    +a(g740
    +Vset
    +p2086
    +tp2087
    +a(g822
    +g961
    +tp2088
    +a(g677
    +Vlabel
    +p2089
    +tp2090
    +a(g822
    +g961
    +tp2091
    +a(g84
    +g1321
    +tp2092
    +a(g84
    +Vsigma
    +p2093
    +tp2094
    +a(g84
    +g1321
    +tp2095
    +a(g822
    +g961
    +tp2096
    +a(g431
    +Vat
    +p2097
    +tp2098
    +a(g822
    +g961
    +tp2099
    +a(g431
    +Vmu
    +p2100
    +tp2101
    +a(g822
    +g961
    +tp2102
    +a(g408
    +g1522
    +tp2103
    +a(g822
    +g961
    +tp2104
    +a(g310
    +V0.5
    +p2105
    +tp2106
    +a(g822
    +g961
    +tp2107
    +a(g408
    +g1522
    +tp2108
    +a(g822
    +g961
    +tp2109
    +a(g431
    +Vsigma
    +p2110
    +tp2111
    +a(g408
    +g989
    +tp2112
    +a(g822
    +g961
    +tp2113
    +a(g569
    +Vnormal
    +p2114
    +tp2115
    +a(g701
    +g1120
    +tp2116
    +a(g431
    +Vmu
    +p2117
    +tp2118
    +a(g822
    +g961
    +tp2119
    +a(g408
    +g1522
    +tp2120
    +a(g822
    +g961
    +tp2121
    +a(g431
    +Vsigma
    +p2122
    +tp2123
    +a(g408
    +g989
    +tp2124
    +a(g822
    +g961
    +tp2125
    +a(g431
    +Vmu
    +p2126
    +tp2127
    +a(g408
    +g989
    +tp2128
    +a(g822
    +g961
    +tp2129
    +a(g431
    +Vsigma
    +p2130
    +tp2131
    +a(g701
    +g1123
    +tp2132
    +a(g822
    +V\u000a
    +p2133
    +tp2134
    +a(g740
    +Vplot
    +p2135
    +tp2136
    +a(g822
    +g961
    +tp2137
    +a(g569
    +Vbinom
    +p2138
    +tp2139
    +a(g701
    +g1120
    +tp2140
    +a(g569
    +Vrnd
    +p2141
    +tp2142
    +a(g701
    +g1120
    +tp2143
    +a(g431
    +g1036
    +tp2144
    +a(g701
    +g1123
    +tp2145
    +a(g408
    +g989
    +tp2146
    +a(g822
    +g961
    +tp2147
    +a(g431
    +g1084
    +tp2148
    +a(g408
    +g989
    +tp2149
    +a(g822
    +g961
    +tp2150
    +a(g431
    +g972
    +tp2151
    +a(g701
    +g1123
    +tp2152
    +a(g822
    +g961
    +tp2153
    +a(g677
    +Vwith
    +p2154
    +tp2155
    +a(g822
    +g961
    +tp2156
    +a(g431
    +Vhisteps
    +p2157
    +tp2158
    +a(g408
    +g989
    +tp2159
    +a(g822
    +g961
    +tp2160
    +a(g569
    +Vnormal
    +p2161
    +tp2162
    +a(g701
    +g1120
    +tp2163
    +a(g431
    +g1036
    +tp2164
    +a(g408
    +g989
    +tp2165
    +a(g822
    +g961
    +tp2166
    +a(g431
    +Vmu
    +p2167
    +tp2168
    +a(g408
    +g989
    +tp2169
    +a(g822
    +g961
    +tp2170
    +a(g431
    +Vsigma
    +p2171
    +tp2172
    +a(g701
    +g1123
    +tp2173
    +a(g822
    +V\u000a
    +p2174
    +tp2175
    +a(g740
    +Vpause
    +p2176
    +tp2177
    +a(g822
    +g961
    +tp2178
    +a(g32
    +V-1
    +p2179
    +tp2180
    +a(g822
    +g961
    +tp2181
    +a(g84
    +g1321
    +tp2182
    +a(g84
    +VHit return to continue
    +p2183
    +tp2184
    +a(g84
    +g1321
    +tp2185
    +a(g822
    +V\u000a
    +p2186
    +tp2187
    +a(g740
    +Vunset
    +p2188
    +tp2189
    +a(g822
    +g961
    +tp2190
    +a(g677
    +Varrow
    +p2191
    +tp2192
    +a(g822
    +V\u000a
    +p2193
    +tp2194
    +a(g740
    +Vunset
    +p2195
    +tp2196
    +a(g822
    +g961
    +tp2197
    +a(g677
    +Vlabel
    +p2198
    +tp2199
    +a(g822
    +V\u000a
    +p2200
    +tp2201
    +a(g822
    +V\u000a
    +p2202
    +tp2203
    +a(g7
    +g956
    +tp2204
    +a(g7
    +g961
    +tp2205
    +a(g7
    +g1551
    +tp2206
    +a(g7
    +g1060
    +tp2207
    +a(g7
    +g1084
    +tp2208
    +a(g7
    +g976
    +tp2209
    +a(g7
    +g987
    +tp2210
    +a(g7
    +g1060
    +tp2211
    +a(g7
    +g1030
    +tp2212
    +a(g7
    +g1068
    +tp2213
    +a(g7
    +g961
    +tp2214
    +a(g7
    +g1561
    +tp2215
    +a(g7
    +g1048
    +tp2216
    +a(g7
    +g1564
    +tp2217
    +a(g7
    +g961
    +tp2218
    +a(g7
    +g1148
    +tp2219
    +a(g7
    +g1025
    +tp2220
    +a(g7
    +g1060
    +tp2221
    +a(g7
    +g1084
    +tp2222
    +a(g7
    +g1114
    +tp2223
    +a(g7
    +g961
    +tp2224
    +a(g7
    +g972
    +tp2225
    +a(g7
    +g976
    +tp2226
    +a(g7
    +g1060
    +tp2227
    +a(g7
    +g1025
    +tp2228
    +a(g7
    +g1025
    +tp2229
    +a(g7
    +g976
    +tp2230
    +a(g7
    +g1084
    +tp2231
    +a(g7
    +g961
    +tp2232
    +a(g7
    +g1030
    +tp2233
    +a(g7
    +g972
    +tp2234
    +a(g7
    +g972
    +tp2235
    +a(g7
    +g974
    +tp2236
    +a(g7
    +g976
    +tp2237
    +a(g7
    +g1036
    +tp2238
    +a(g7
    +g1060
    +tp2239
    +a(g7
    +g987
    +tp2240
    +a(g7
    +g1030
    +tp2241
    +a(g7
    +g1056
    +tp2242
    +a(g7
    +g1060
    +tp2243
    +a(g7
    +g976
    +tp2244
    +a(g7
    +g1084
    +tp2245
    +a(g822
    +V\u000a
    +p2246
    +tp2247
    +a(g436
    +g1084
    +tp2248
    +a(g822
    +g961
    +tp2249
    +a(g408
    +g1515
    +tp2250
    +a(g822
    +g961
    +tp2251
    +a(g32
    +V50
    +p2252
    +tp2253
    +a(g701
    +g1601
    +tp2254
    +a(g822
    +g961
    +tp2255
    +a(g740
    +g972
    +tp2256
    +a(g822
    +g961
    +tp2257
    +a(g408
    +g1515
    +tp2258
    +a(g822
    +g961
    +tp2259
    +a(g310
    +V0.1
    +p2260
    +tp2261
    +a(g822
    +V\u000a
    +p2262
    +tp2263
    +a(g436
    +Vmu
    +p2264
    +tp2265
    +a(g822
    +g961
    +tp2266
    +a(g408
    +g1515
    +tp2267
    +a(g822
    +g961
    +tp2268
    +a(g431
    +g1084
    +tp2269
    +a(g822
    +g961
    +tp2270
    +a(g408
    +g1619
    +tp2271
    +a(g822
    +g961
    +tp2272
    +a(g431
    +g972
    +tp2273
    +a(g822
    +V\u000a
    +p2274
    +tp2275
    +a(g436
    +Vsigma
    +p2276
    +tp2277
    +a(g822
    +g961
    +tp2278
    +a(g408
    +g1515
    +tp2279
    +a(g822
    +g961
    +tp2280
    +a(g569
    +Vsqrt
    +p2281
    +tp2282
    +a(g701
    +g1120
    +tp2283
    +a(g431
    +Vmu
    +p2284
    +tp2285
    +a(g701
    +g1123
    +tp2286
    +a(g822
    +V\u000a
    +p2287
    +tp2288
    +a(g436
    +Vxmin
    +p2289
    +tp2290
    +a(g822
    +g961
    +tp2291
    +a(g408
    +g1515
    +tp2292
    +a(g822
    +g961
    +tp2293
    +a(g569
    +Vfloor
    +p2294
    +tp2295
    +a(g701
    +g1120
    +tp2296
    +a(g431
    +Vmu
    +p2297
    +tp2298
    +a(g822
    +g961
    +tp2299
    +a(g408
    +g1194
    +tp2300
    +a(g822
    +g961
    +tp2301
    +a(g431
    +Vr_sigma
    +p2302
    +tp2303
    +a(g822
    +g961
    +tp2304
    +a(g408
    +g1619
    +tp2305
    +a(g822
    +g961
    +tp2306
    +a(g431
    +Vsigma
    +p2307
    +tp2308
    +a(g701
    +g1123
    +tp2309
    +a(g822
    +V\u000a
    +p2310
    +tp2311
    +a(g436
    +Vxmin
    +p2312
    +tp2313
    +a(g822
    +g961
    +tp2314
    +a(g408
    +g1515
    +tp2315
    +a(g822
    +g961
    +tp2316
    +a(g431
    +Vxmin
    +p2317
    +tp2318
    +a(g822
    +g961
    +tp2319
    +a(g408
    +g1683
    +tp2320
    +a(g822
    +g961
    +tp2321
    +a(g431
    +Vr_xmin
    +p2322
    +tp2323
    +a(g822
    +g961
    +tp2324
    +a(g408
    +g1689
    +tp2325
    +a(g822
    +g961
    +tp2326
    +a(g431
    +Vr_xmin
    +p2327
    +tp2328
    +a(g822
    +g961
    +tp2329
    +a(g408
    +g969
    +tp2330
    +a(g822
    +g961
    +tp2331
    +a(g431
    +Vxmin
    +p2332
    +tp2333
    +a(g822
    +V\u000a
    +p2334
    +tp2335
    +a(g436
    +Vxmax
    +p2336
    +tp2337
    +a(g822
    +g961
    +tp2338
    +a(g408
    +g1515
    +tp2339
    +a(g822
    +g961
    +tp2340
    +a(g569
    +Vceil
    +p2341
    +tp2342
    +a(g701
    +g1120
    +tp2343
    +a(g431
    +Vmu
    +p2344
    +tp2345
    +a(g822
    +g961
    +tp2346
    +a(g408
    +g1522
    +tp2347
    +a(g822
    +g961
    +tp2348
    +a(g431
    +Vr_sigma
    +p2349
    +tp2350
    +a(g822
    +g961
    +tp2351
    +a(g408
    +g1619
    +tp2352
    +a(g822
    +g961
    +tp2353
    +a(g431
    +Vsigma
    +p2354
    +tp2355
    +a(g701
    +g1123
    +tp2356
    +a(g822
    +V\u000a
    +p2357
    +tp2358
    +a(g436
    +Vymax
    +p2359
    +tp2360
    +a(g822
    +g961
    +tp2361
    +a(g408
    +g1515
    +tp2362
    +a(g822
    +g961
    +tp2363
    +a(g310
    +V1.1
    +p2364
    +tp2365
    +a(g822
    +g961
    +tp2366
    +a(g408
    +g1619
    +tp2367
    +a(g822
    +g961
    +tp2368
    +a(g569
    +Vbinom
    +p2369
    +tp2370
    +a(g701
    +g1120
    +tp2371
    +a(g569
    +Vfloor
    +p2372
    +tp2373
    +a(g701
    +g1120
    +tp2374
    +a(g701
    +g1120
    +tp2375
    +a(g431
    +g1084
    +tp2376
    +a(g408
    +g1522
    +tp2377
    +a(g32
    +g994
    +tp2378
    +a(g701
    +g1123
    +tp2379
    +a(g408
    +g1619
    +tp2380
    +a(g431
    +g972
    +tp2381
    +a(g701
    +g1123
    +tp2382
    +a(g408
    +g989
    +tp2383
    +a(g822
    +g961
    +tp2384
    +a(g431
    +g1084
    +tp2385
    +a(g408
    +g989
    +tp2386
    +a(g822
    +g961
    +tp2387
    +a(g431
    +g972
    +tp2388
    +a(g701
    +g1123
    +tp2389
    +a(g822
    +g961
    +tp2390
    +a(g7
    +g956
    +tp2391
    +a(g7
    +g987
    +tp2392
    +a(g7
    +g976
    +tp2393
    +a(g7
    +g967
    +tp2394
    +a(g7
    +g985
    +tp2395
    +a(g7
    +g961
    +tp2396
    +a(g7
    +g976
    +tp2397
    +a(g7
    +g1027
    +tp2398
    +a(g7
    +g961
    +tp2399
    +a(g7
    +g978
    +tp2400
    +a(g7
    +g1060
    +tp2401
    +a(g7
    +g1084
    +tp2402
    +a(g7
    +g976
    +tp2403
    +a(g7
    +g987
    +tp2404
    +a(g7
    +g1060
    +tp2405
    +a(g7
    +g1030
    +tp2406
    +a(g7
    +g1068
    +tp2407
    +a(g7
    +g961
    +tp2408
    +a(g7
    +g1561
    +tp2409
    +a(g7
    +g1048
    +tp2410
    +a(g7
    +g1564
    +tp2411
    +a(g7
    +g961
    +tp2412
    +a(g7
    +g1148
    +tp2413
    +a(g7
    +g1025
    +tp2414
    +a(g7
    +g985
    +tp2415
    +a(g7
    +g967
    +tp2416
    +a(g822
    +V\u000a
    +p2417
    +tp2418
    +a(g740
    +Vset
    +p2419
    +tp2420
    +a(g822
    +g961
    +tp2421
    +a(g677
    +Vkey
    +p2422
    +tp2423
    +a(g822
    +g961
    +tp2424
    +a(g431
    +Vbox
    +p2425
    +tp2426
    +a(g822
    +V\u000a
    +p2427
    +tp2428
    +a(g740
    +Vunset
    +p2429
    +tp2430
    +a(g822
    +g961
    +tp2431
    +a(g677
    +Vzeroaxis
    +p2432
    +tp2433
    +a(g822
    +V\u000a
    +p2434
    +tp2435
    +a(g740
    +Vset
    +p2436
    +tp2437
    +a(g822
    +g961
    +tp2438
    +a(g677
    +Vxrange
    +p2439
    +tp2440
    +a(g822
    +g961
    +tp2441
    +a(g701
    +g1807
    +tp2442
    +a(g431
    +Vxmin
    +p2443
    +tp2444
    +a(g822
    +g961
    +tp2445
    +a(g408
    +g1194
    +tp2446
    +a(g822
    +g961
    +tp2447
    +a(g32
    +g994
    +tp2448
    +a(g822
    +g961
    +tp2449
    +a(g408
    +g969
    +tp2450
    +a(g822
    +g961
    +tp2451
    +a(g431
    +Vxmax
    +p2452
    +tp2453
    +a(g822
    +g961
    +tp2454
    +a(g408
    +g1522
    +tp2455
    +a(g822
    +g961
    +tp2456
    +a(g32
    +g994
    +tp2457
    +a(g701
    +g1824
    +tp2458
    +a(g822
    +V\u000a
    +p2459
    +tp2460
    +a(g740
    +Vset
    +p2461
    +tp2462
    +a(g822
    +g961
    +tp2463
    +a(g677
    +Vyrange
    +p2464
    +tp2465
    +a(g822
    +g961
    +tp2466
    +a(g701
    +g1807
    +tp2467
    +a(g32
    +g1001
    +tp2468
    +a(g822
    +g961
    +tp2469
    +a(g408
    +g969
    +tp2470
    +a(g822
    +g961
    +tp2471
    +a(g431
    +Vymax
    +p2472
    +tp2473
    +a(g701
    +g1824
    +tp2474
    +a(g822
    +V\u000a
    +p2475
    +tp2476
    +a(g740
    +Vset
    +p2477
    +tp2478
    +a(g822
    +g961
    +tp2479
    +a(g677
    +Vxlabel
    +p2480
    +tp2481
    +a(g822
    +g961
    +tp2482
    +a(g84
    +g1321
    +tp2483
    +a(g84
    +Vk ->
    +p2484
    +tp2485
    +a(g84
    +g1321
    +tp2486
    +a(g822
    +V\u000a
    +p2487
    +tp2488
    +a(g740
    +Vset
    +p2489
    +tp2490
    +a(g822
    +g961
    +tp2491
    +a(g677
    +Vylabel
    +p2492
    +tp2493
    +a(g822
    +g961
    +tp2494
    +a(g84
    +g1321
    +tp2495
    +a(g84
    +Vprobability density ->
    +p2496
    +tp2497
    +a(g84
    +g1321
    +tp2498
    +a(g822
    +V\u000a
    +p2499
    +tp2500
    +a(g740
    +Vset
    +p2501
    +tp2502
    +a(g822
    +g961
    +tp2503
    +a(g677
    +Vytics
    +p2504
    +tp2505
    +a(g822
    +g961
    +tp2506
    +a(g32
    +g1001
    +tp2507
    +a(g408
    +g989
    +tp2508
    +a(g822
    +g961
    +tp2509
    +a(g431
    +Vymax
    +p2510
    +tp2511
    +a(g822
    +g961
    +tp2512
    +a(g408
    +g1006
    +tp2513
    +a(g822
    +g961
    +tp2514
    +a(g310
    +V10.0
    +p2515
    +tp2516
    +a(g408
    +g989
    +tp2517
    +a(g822
    +g961
    +tp2518
    +a(g431
    +Vymax
    +p2519
    +tp2520
    +a(g822
    +V\u000a
    +p2521
    +tp2522
    +a(g740
    +Vset
    +p2523
    +tp2524
    +a(g822
    +g961
    +tp2525
    +a(g677
    +Vformat
    +p2526
    +tp2527
    +a(g822
    +g961
    +tp2528
    +a(g431
    +g1036
    +tp2529
    +a(g822
    +g961
    +tp2530
    +a(g84
    +g1321
    +tp2531
    +a(g84
    +V%2.0f
    +p2532
    +tp2533
    +a(g84
    +g1321
    +tp2534
    +a(g822
    +V\u000a
    +p2535
    +tp2536
    +a(g740
    +Vset
    +p2537
    +tp2538
    +a(g822
    +g961
    +tp2539
    +a(g677
    +Vformat
    +p2540
    +tp2541
    +a(g822
    +g961
    +tp2542
    +a(g431
    +g1110
    +tp2543
    +a(g822
    +g961
    +tp2544
    +a(g84
    +g1321
    +tp2545
    +a(g84
    +V%3.2f
    +p2546
    +tp2547
    +a(g84
    +g1321
    +tp2548
    +a(g822
    +V\u000a
    +p2549
    +tp2550
    +a(g740
    +Vset
    +p2551
    +tp2552
    +a(g822
    +g961
    +tp2553
    +a(g677
    +Vsample
    +p2554
    +tp2555
    +a(g822
    +g961
    +tp2556
    +a(g701
    +g1120
    +tp2557
    +a(g431
    +Vxmax
    +p2558
    +tp2559
    +a(g822
    +g961
    +tp2560
    +a(g408
    +g1194
    +tp2561
    +a(g822
    +g961
    +tp2562
    +a(g431
    +Vxmin
    +p2563
    +tp2564
    +a(g822
    +g961
    +tp2565
    +a(g408
    +g1522
    +tp2566
    +a(g822
    +g961
    +tp2567
    +a(g32
    +g1016
    +tp2568
    +a(g701
    +g1123
    +tp2569
    +a(g822
    +V\u000a
    +p2570
    +tp2571
    +a(g740
    +Vset
    +p2572
    +tp2573
    +a(g822
    +g961
    +tp2574
    +a(g677
    +Vtitle
    +p2575
    +tp2576
    +a(g822
    +g961
    +tp2577
    +a(g84
    +g1321
    +tp2578
    +a(g84
    +Vbinomial PDF using poisson approximation
    +p2579
    +tp2580
    +a(g84
    +g1321
    +tp2581
    +a(g822
    +V\u000a
    +p2582
    +tp2583
    +a(g740
    +Vset
    +p2584
    +tp2585
    +a(g822
    +g961
    +tp2586
    +a(g677
    +Varrow
    +p2587
    +tp2588
    +a(g822
    +g961
    +tp2589
    +a(g431
    +Vfrom
    +p2590
    +tp2591
    +a(g822
    +g961
    +tp2592
    +a(g431
    +Vmu
    +p2593
    +tp2594
    +a(g408
    +g989
    +tp2595
    +a(g822
    +g961
    +tp2596
    +a(g32
    +g1001
    +tp2597
    +a(g822
    +g961
    +tp2598
    +a(g431
    +Vto
    +p2599
    +tp2600
    +a(g822
    +g961
    +tp2601
    +a(g431
    +Vmu
    +p2602
    +tp2603
    +a(g408
    +g989
    +tp2604
    +a(g822
    +g961
    +tp2605
    +a(g569
    +Vnormal
    +p2606
    +tp2607
    +a(g701
    +g1120
    +tp2608
    +a(g431
    +Vmu
    +p2609
    +tp2610
    +a(g408
    +g989
    +tp2611
    +a(g822
    +g961
    +tp2612
    +a(g431
    +Vmu
    +p2613
    +tp2614
    +a(g408
    +g989
    +tp2615
    +a(g822
    +g961
    +tp2616
    +a(g431
    +Vsigma
    +p2617
    +tp2618
    +a(g701
    +g1123
    +tp2619
    +a(g822
    +g961
    +tp2620
    +a(g431
    +Vnohead
    +p2621
    +tp2622
    +a(g822
    +V\u000a
    +p2623
    +tp2624
    +a(g740
    +Vset
    +p2625
    +tp2626
    +a(g822
    +g961
    +tp2627
    +a(g677
    +Varrow
    +p2628
    +tp2629
    +a(g822
    +g961
    +tp2630
    +a(g431
    +Vfrom
    +p2631
    +tp2632
    +a(g822
    +g961
    +tp2633
    +a(g431
    +Vmu
    +p2634
    +tp2635
    +a(g408
    +g989
    +tp2636
    +a(g822
    +g961
    +tp2637
    +a(g569
    +Vnormal
    +p2638
    +tp2639
    +a(g701
    +g1120
    +tp2640
    +a(g431
    +Vmu
    +p2641
    +tp2642
    +a(g822
    +g961
    +tp2643
    +a(g408
    +g1522
    +tp2644
    +a(g822
    +g961
    +tp2645
    +a(g431
    +Vsigma
    +p2646
    +tp2647
    +a(g408
    +g989
    +tp2648
    +a(g822
    +g961
    +tp2649
    +a(g431
    +Vmu
    +p2650
    +tp2651
    +a(g408
    +g989
    +tp2652
    +a(g822
    +g961
    +tp2653
    +a(g431
    +Vsigma
    +p2654
    +tp2655
    +a(g701
    +g1123
    +tp2656
    +a(g822
    +g961
    +tp2657
    +a(g822
    +V\u005c\u000a
    +p2658
    +tp2659
    +a(g822
    +V          
    +p2660
    +tp2661
    +a(g431
    +Vto
    +p2662
    +tp2663
    +a(g822
    +g961
    +tp2664
    +a(g431
    +Vmu
    +p2665
    +tp2666
    +a(g822
    +g961
    +tp2667
    +a(g408
    +g1522
    +tp2668
    +a(g822
    +g961
    +tp2669
    +a(g431
    +Vsigma
    +p2670
    +tp2671
    +a(g408
    +g989
    +tp2672
    +a(g822
    +g961
    +tp2673
    +a(g569
    +Vnormal
    +p2674
    +tp2675
    +a(g701
    +g1120
    +tp2676
    +a(g431
    +Vmu
    +p2677
    +tp2678
    +a(g822
    +g961
    +tp2679
    +a(g408
    +g1522
    +tp2680
    +a(g822
    +g961
    +tp2681
    +a(g431
    +Vsigma
    +p2682
    +tp2683
    +a(g408
    +g989
    +tp2684
    +a(g822
    +g961
    +tp2685
    +a(g431
    +Vmu
    +p2686
    +tp2687
    +a(g408
    +g989
    +tp2688
    +a(g822
    +g961
    +tp2689
    +a(g431
    +Vsigma
    +p2690
    +tp2691
    +a(g701
    +g1123
    +tp2692
    +a(g822
    +g961
    +tp2693
    +a(g431
    +Vnohead
    +p2694
    +tp2695
    +a(g822
    +V\u000a
    +p2696
    +tp2697
    +a(g740
    +Vset
    +p2698
    +tp2699
    +a(g822
    +g961
    +tp2700
    +a(g677
    +Vlabel
    +p2701
    +tp2702
    +a(g822
    +g961
    +tp2703
    +a(g84
    +g1321
    +tp2704
    +a(g84
    +Vmu
    +p2705
    +tp2706
    +a(g84
    +g1321
    +tp2707
    +a(g822
    +g961
    +tp2708
    +a(g431
    +Vat
    +p2709
    +tp2710
    +a(g822
    +g961
    +tp2711
    +a(g431
    +Vmu
    +p2712
    +tp2713
    +a(g822
    +g961
    +tp2714
    +a(g408
    +g1522
    +tp2715
    +a(g822
    +g961
    +tp2716
    +a(g310
    +V0.5
    +p2717
    +tp2718
    +a(g408
    +g989
    +tp2719
    +a(g822
    +g961
    +tp2720
    +a(g431
    +Vymax
    +p2721
    +tp2722
    +a(g822
    +g961
    +tp2723
    +a(g408
    +g1006
    +tp2724
    +a(g822
    +g961
    +tp2725
    +a(g32
    +V10
    +p2726
    +tp2727
    +a(g822
    +V\u000a
    +p2728
    +tp2729
    +a(g740
    +Vset
    +p2730
    +tp2731
    +a(g822
    +g961
    +tp2732
    +a(g677
    +Vlabel
    +p2733
    +tp2734
    +a(g822
    +g961
    +tp2735
    +a(g84
    +g1321
    +tp2736
    +a(g84
    +Vsigma
    +p2737
    +tp2738
    +a(g84
    +g1321
    +tp2739
    +a(g822
    +g961
    +tp2740
    +a(g431
    +Vat
    +p2741
    +tp2742
    +a(g822
    +g961
    +tp2743
    +a(g431
    +Vmu
    +p2744
    +tp2745
    +a(g822
    +g961
    +tp2746
    +a(g408
    +g1522
    +tp2747
    +a(g822
    +g961
    +tp2748
    +a(g310
    +V0.5
    +p2749
    +tp2750
    +a(g822
    +g961
    +tp2751
    +a(g408
    +g1522
    +tp2752
    +a(g822
    +g961
    +tp2753
    +a(g431
    +Vsigma
    +p2754
    +tp2755
    +a(g408
    +g989
    +tp2756
    +a(g822
    +g961
    +tp2757
    +a(g569
    +Vnormal
    +p2758
    +tp2759
    +a(g701
    +g1120
    +tp2760
    +a(g431
    +Vmu
    +p2761
    +tp2762
    +a(g822
    +g961
    +tp2763
    +a(g408
    +g1522
    +tp2764
    +a(g822
    +g961
    +tp2765
    +a(g431
    +Vsigma
    +p2766
    +tp2767
    +a(g408
    +g989
    +tp2768
    +a(g822
    +g961
    +tp2769
    +a(g431
    +Vmu
    +p2770
    +tp2771
    +a(g408
    +g989
    +tp2772
    +a(g822
    +g961
    +tp2773
    +a(g431
    +Vsigma
    +p2774
    +tp2775
    +a(g701
    +g1123
    +tp2776
    +a(g822
    +V\u000a
    +p2777
    +tp2778
    +a(g740
    +Vplot
    +p2779
    +tp2780
    +a(g822
    +g961
    +tp2781
    +a(g569
    +Vbinom
    +p2782
    +tp2783
    +a(g701
    +g1120
    +tp2784
    +a(g431
    +g1036
    +tp2785
    +a(g408
    +g989
    +tp2786
    +a(g822
    +g961
    +tp2787
    +a(g431
    +g1084
    +tp2788
    +a(g408
    +g989
    +tp2789
    +a(g822
    +g961
    +tp2790
    +a(g431
    +g972
    +tp2791
    +a(g701
    +g1123
    +tp2792
    +a(g822
    +g961
    +tp2793
    +a(g677
    +Vwith
    +p2794
    +tp2795
    +a(g822
    +g961
    +tp2796
    +a(g431
    +Vhisteps
    +p2797
    +tp2798
    +a(g408
    +g989
    +tp2799
    +a(g822
    +g961
    +tp2800
    +a(g569
    +Vpoisson
    +p2801
    +tp2802
    +a(g701
    +g1120
    +tp2803
    +a(g431
    +g1036
    +tp2804
    +a(g408
    +g989
    +tp2805
    +a(g822
    +g961
    +tp2806
    +a(g431
    +Vmu
    +p2807
    +tp2808
    +a(g701
    +g1123
    +tp2809
    +a(g822
    +g961
    +tp2810
    +a(g677
    +Vwith
    +p2811
    +tp2812
    +a(g822
    +g961
    +tp2813
    +a(g431
    +Vhisteps
    +p2814
    +tp2815
    +a(g822
    +V\u000a
    +p2816
    +tp2817
    +a(g740
    +Vpause
    +p2818
    +tp2819
    +a(g822
    +g961
    +tp2820
    +a(g32
    +V-1
    +p2821
    +tp2822
    +a(g822
    +g961
    +tp2823
    +a(g84
    +g1321
    +tp2824
    +a(g84
    +VHit return to continue
    +p2825
    +tp2826
    +a(g84
    +g1321
    +tp2827
    +a(g822
    +V\u000a
    +p2828
    +tp2829
    +a(g740
    +Vunset
    +p2830
    +tp2831
    +a(g822
    +g961
    +tp2832
    +a(g677
    +Varrow
    +p2833
    +tp2834
    +a(g822
    +V\u000a
    +p2835
    +tp2836
    +a(g740
    +Vunset
    +p2837
    +tp2838
    +a(g822
    +g961
    +tp2839
    +a(g677
    +Vlabel
    +p2840
    +tp2841
    +a(g822
    +V\u000a
    +p2842
    +tp2843
    +a(g822
    +V\u000a
    +p2844
    +tp2845
    +a(g7
    +g956
    +tp2846
    +a(g7
    +g961
    +tp2847
    +a(g7
    +VG
    +p2848
    +tp2849
    +a(g7
    +g985
    +tp2850
    +a(g7
    +g976
    +tp2851
    +a(g7
    +g987
    +tp2852
    +a(g7
    +g985
    +tp2853
    +a(g7
    +g1056
    +tp2854
    +a(g7
    +g974
    +tp2855
    +a(g7
    +g1060
    +tp2856
    +a(g7
    +g1065
    +tp2857
    +a(g7
    +g961
    +tp2858
    +a(g7
    +g1561
    +tp2859
    +a(g7
    +g1048
    +tp2860
    +a(g7
    +g1564
    +tp2861
    +a(g7
    +g961
    +tp2862
    +a(g7
    +g1148
    +tp2863
    +a(g7
    +g1025
    +tp2864
    +a(g7
    +g1060
    +tp2865
    +a(g7
    +g1084
    +tp2866
    +a(g7
    +g1114
    +tp2867
    +a(g7
    +g961
    +tp2868
    +a(g7
    +g1114
    +tp2869
    +a(g7
    +g1030
    +tp2870
    +a(g7
    +g987
    +tp2871
    +a(g7
    +g987
    +tp2872
    +a(g7
    +g1030
    +tp2873
    +a(g7
    +g961
    +tp2874
    +a(g7
    +g1030
    +tp2875
    +a(g7
    +g972
    +tp2876
    +a(g7
    +g972
    +tp2877
    +a(g7
    +g974
    +tp2878
    +a(g7
    +g976
    +tp2879
    +a(g7
    +g1036
    +tp2880
    +a(g7
    +g1060
    +tp2881
    +a(g7
    +g987
    +tp2882
    +a(g7
    +g1030
    +tp2883
    +a(g7
    +g1056
    +tp2884
    +a(g7
    +g1060
    +tp2885
    +a(g7
    +g976
    +tp2886
    +a(g7
    +g1084
    +tp2887
    +a(g822
    +V\u000a
    +p2888
    +tp2889
    +a(g740
    +g972
    +tp2890
    +a(g822
    +g961
    +tp2891
    +a(g408
    +g1515
    +tp2892
    +a(g822
    +g961
    +tp2893
    +a(g310
    +V0.3
    +p2894
    +tp2895
    +a(g822
    +V\u000a
    +p2896
    +tp2897
    +a(g436
    +Vmu
    +p2898
    +tp2899
    +a(g822
    +g961
    +tp2900
    +a(g408
    +g1515
    +tp2901
    +a(g822
    +g961
    +tp2902
    +a(g701
    +g1120
    +tp2903
    +a(g310
    +V1.0
    +p2904
    +tp2905
    +a(g822
    +g961
    +tp2906
    +a(g408
    +g1194
    +tp2907
    +a(g822
    +g961
    +tp2908
    +a(g431
    +g972
    +tp2909
    +a(g701
    +g1123
    +tp2910
    +a(g822
    +g961
    +tp2911
    +a(g408
    +g1006
    +tp2912
    +a(g822
    +g961
    +tp2913
    +a(g431
    +g972
    +tp2914
    +a(g822
    +V\u000a
    +p2915
    +tp2916
    +a(g436
    +Vsigma
    +p2917
    +tp2918
    +a(g822
    +g961
    +tp2919
    +a(g408
    +g1515
    +tp2920
    +a(g822
    +g961
    +tp2921
    +a(g569
    +Vsqrt
    +p2922
    +tp2923
    +a(g701
    +g1120
    +tp2924
    +a(g431
    +Vmu
    +p2925
    +tp2926
    +a(g822
    +g961
    +tp2927
    +a(g408
    +g1006
    +tp2928
    +a(g822
    +g961
    +tp2929
    +a(g431
    +g972
    +tp2930
    +a(g701
    +g1123
    +tp2931
    +a(g822
    +V\u000a
    +p2932
    +tp2933
    +a(g436
    +Vlambda
    +p2934
    +tp2935
    +a(g822
    +g961
    +tp2936
    +a(g408
    +g1515
    +tp2937
    +a(g822
    +g961
    +tp2938
    +a(g431
    +g972
    +tp2939
    +a(g822
    +V\u000a
    +p2940
    +tp2941
    +a(g436
    +Vrho
    +p2942
    +tp2943
    +a(g822
    +g961
    +tp2944
    +a(g408
    +g1515
    +tp2945
    +a(g822
    +g961
    +tp2946
    +a(g310
    +V1.0
    +p2947
    +tp2948
    +a(g822
    +g961
    +tp2949
    +a(g408
    +g1194
    +tp2950
    +a(g822
    +g961
    +tp2951
    +a(g431
    +g972
    +tp2952
    +a(g822
    +V\u000a
    +p2953
    +tp2954
    +a(g436
    +Vxmin
    +p2955
    +tp2956
    +a(g822
    +g961
    +tp2957
    +a(g408
    +g1515
    +tp2958
    +a(g822
    +g961
    +tp2959
    +a(g569
    +Vfloor
    +p2960
    +tp2961
    +a(g701
    +g1120
    +tp2962
    +a(g431
    +Vmu
    +p2963
    +tp2964
    +a(g822
    +g961
    +tp2965
    +a(g408
    +g1194
    +tp2966
    +a(g822
    +g961
    +tp2967
    +a(g431
    +Vr_sigma
    +p2968
    +tp2969
    +a(g822
    +g961
    +tp2970
    +a(g408
    +g1619
    +tp2971
    +a(g822
    +g961
    +tp2972
    +a(g431
    +Vsigma
    +p2973
    +tp2974
    +a(g701
    +g1123
    +tp2975
    +a(g822
    +V\u000a
    +p2976
    +tp2977
    +a(g436
    +Vxmin
    +p2978
    +tp2979
    +a(g822
    +g961
    +tp2980
    +a(g408
    +g1515
    +tp2981
    +a(g822
    +g961
    +tp2982
    +a(g431
    +Vxmin
    +p2983
    +tp2984
    +a(g822
    +g961
    +tp2985
    +a(g408
    +g1683
    +tp2986
    +a(g822
    +g961
    +tp2987
    +a(g431
    +Vr_xmin
    +p2988
    +tp2989
    +a(g822
    +g961
    +tp2990
    +a(g408
    +g1689
    +tp2991
    +a(g822
    +g961
    +tp2992
    +a(g431
    +Vr_xmin
    +p2993
    +tp2994
    +a(g822
    +g961
    +tp2995
    +a(g408
    +g969
    +tp2996
    +a(g822
    +g961
    +tp2997
    +a(g431
    +Vxmin
    +p2998
    +tp2999
    +a(g822
    +V\u000a
    +p3000
    +tp3001
    +a(g436
    +Vxmax
    +p3002
    +tp3003
    +a(g822
    +g961
    +tp3004
    +a(g408
    +g1515
    +tp3005
    +a(g822
    +g961
    +tp3006
    +a(g569
    +Vceil
    +p3007
    +tp3008
    +a(g701
    +g1120
    +tp3009
    +a(g431
    +Vmu
    +p3010
    +tp3011
    +a(g822
    +g961
    +tp3012
    +a(g408
    +g1522
    +tp3013
    +a(g822
    +g961
    +tp3014
    +a(g431
    +Vr_sigma
    +p3015
    +tp3016
    +a(g822
    +g961
    +tp3017
    +a(g408
    +g1619
    +tp3018
    +a(g822
    +g961
    +tp3019
    +a(g431
    +Vsigma
    +p3020
    +tp3021
    +a(g701
    +g1123
    +tp3022
    +a(g822
    +V\u000a
    +p3023
    +tp3024
    +a(g436
    +Vymax
    +p3025
    +tp3026
    +a(g822
    +g961
    +tp3027
    +a(g408
    +g1515
    +tp3028
    +a(g822
    +g961
    +tp3029
    +a(g310
    +V1.1
    +p3030
    +tp3031
    +a(g822
    +g961
    +tp3032
    +a(g408
    +g1619
    +tp3033
    +a(g822
    +g961
    +tp3034
    +a(g431
    +g972
    +tp3035
    +a(g822
    +V\u000a
    +p3036
    +tp3037
    +a(g740
    +Vset
    +p3038
    +tp3039
    +a(g822
    +g961
    +tp3040
    +a(g677
    +Vkey
    +p3041
    +tp3042
    +a(g822
    +g961
    +tp3043
    +a(g431
    +Vbox
    +p3044
    +tp3045
    +a(g822
    +V\u000a
    +p3046
    +tp3047
    +a(g740
    +Vunset
    +p3048
    +tp3049
    +a(g822
    +g961
    +tp3050
    +a(g677
    +Vzeroaxis
    +p3051
    +tp3052
    +a(g822
    +V\u000a
    +p3053
    +tp3054
    +a(g740
    +Vset
    +p3055
    +tp3056
    +a(g822
    +g961
    +tp3057
    +a(g677
    +Vxrange
    +p3058
    +tp3059
    +a(g822
    +g961
    +tp3060
    +a(g701
    +g1807
    +tp3061
    +a(g431
    +Vxmin
    +p3062
    +tp3063
    +a(g822
    +g961
    +tp3064
    +a(g408
    +g1194
    +tp3065
    +a(g822
    +g961
    +tp3066
    +a(g32
    +g994
    +tp3067
    +a(g822
    +g961
    +tp3068
    +a(g408
    +g969
    +tp3069
    +a(g822
    +g961
    +tp3070
    +a(g431
    +Vxmax
    +p3071
    +tp3072
    +a(g822
    +g961
    +tp3073
    +a(g408
    +g1522
    +tp3074
    +a(g822
    +g961
    +tp3075
    +a(g32
    +g994
    +tp3076
    +a(g701
    +g1824
    +tp3077
    +a(g822
    +V\u000a
    +p3078
    +tp3079
    +a(g740
    +Vset
    +p3080
    +tp3081
    +a(g822
    +g961
    +tp3082
    +a(g677
    +Vyrange
    +p3083
    +tp3084
    +a(g822
    +g961
    +tp3085
    +a(g701
    +g1807
    +tp3086
    +a(g32
    +g1001
    +tp3087
    +a(g822
    +g961
    +tp3088
    +a(g408
    +g969
    +tp3089
    +a(g822
    +g961
    +tp3090
    +a(g431
    +Vymax
    +p3091
    +tp3092
    +a(g701
    +g1824
    +tp3093
    +a(g822
    +V\u000a
    +p3094
    +tp3095
    +a(g740
    +Vset
    +p3096
    +tp3097
    +a(g822
    +g961
    +tp3098
    +a(g677
    +Vxlabel
    +p3099
    +tp3100
    +a(g822
    +g961
    +tp3101
    +a(g84
    +g1321
    +tp3102
    +a(g84
    +Vk, x ->
    +p3103
    +tp3104
    +a(g84
    +g1321
    +tp3105
    +a(g822
    +V\u000a
    +p3106
    +tp3107
    +a(g740
    +Vset
    +p3108
    +tp3109
    +a(g822
    +g961
    +tp3110
    +a(g677
    +Vylabel
    +p3111
    +tp3112
    +a(g822
    +g961
    +tp3113
    +a(g84
    +g1321
    +tp3114
    +a(g84
    +Vprobability density ->
    +p3115
    +tp3116
    +a(g84
    +g1321
    +tp3117
    +a(g822
    +V\u000a
    +p3118
    +tp3119
    +a(g740
    +Vset
    +p3120
    +tp3121
    +a(g822
    +g961
    +tp3122
    +a(g677
    +Vytics
    +p3123
    +tp3124
    +a(g822
    +g961
    +tp3125
    +a(g32
    +g1001
    +tp3126
    +a(g408
    +g989
    +tp3127
    +a(g822
    +g961
    +tp3128
    +a(g431
    +Vymax
    +p3129
    +tp3130
    +a(g822
    +g961
    +tp3131
    +a(g408
    +g1006
    +tp3132
    +a(g822
    +g961
    +tp3133
    +a(g310
    +V10.0
    +p3134
    +tp3135
    +a(g408
    +g989
    +tp3136
    +a(g822
    +g961
    +tp3137
    +a(g431
    +Vymax
    +p3138
    +tp3139
    +a(g822
    +V\u000a
    +p3140
    +tp3141
    +a(g740
    +Vset
    +p3142
    +tp3143
    +a(g822
    +g961
    +tp3144
    +a(g677
    +Vformat
    +p3145
    +tp3146
    +a(g822
    +g961
    +tp3147
    +a(g431
    +g1036
    +tp3148
    +a(g822
    +g961
    +tp3149
    +a(g84
    +g1321
    +tp3150
    +a(g84
    +V%2.0f
    +p3151
    +tp3152
    +a(g84
    +g1321
    +tp3153
    +a(g822
    +V\u000a
    +p3154
    +tp3155
    +a(g740
    +Vset
    +p3156
    +tp3157
    +a(g822
    +g961
    +tp3158
    +a(g677
    +Vformat
    +p3159
    +tp3160
    +a(g822
    +g961
    +tp3161
    +a(g431
    +g1110
    +tp3162
    +a(g822
    +g961
    +tp3163
    +a(g84
    +g1321
    +tp3164
    +a(g84
    +V%3.2f
    +p3165
    +tp3166
    +a(g84
    +g1321
    +tp3167
    +a(g822
    +V\u000a
    +p3168
    +tp3169
    +a(g740
    +Vset
    +p3170
    +tp3171
    +a(g822
    +g961
    +tp3172
    +a(g677
    +Vsample
    +p3173
    +tp3174
    +a(g822
    +g961
    +tp3175
    +a(g32
    +V200
    +p3176
    +tp3177
    +a(g822
    +V\u000a
    +p3178
    +tp3179
    +a(g740
    +Vset
    +p3180
    +tp3181
    +a(g822
    +g961
    +tp3182
    +a(g677
    +Vtitle
    +p3183
    +tp3184
    +a(g822
    +g961
    +tp3185
    +a(g84
    +g1321
    +tp3186
    +a(g84
    +Vgeometric PDF using gamma approximation
    +p3187
    +tp3188
    +a(g84
    +g1321
    +tp3189
    +a(g822
    +V\u000a
    +p3190
    +tp3191
    +a(g740
    +Vset
    +p3192
    +tp3193
    +a(g822
    +g961
    +tp3194
    +a(g677
    +Varrow
    +p3195
    +tp3196
    +a(g822
    +g961
    +tp3197
    +a(g431
    +Vfrom
    +p3198
    +tp3199
    +a(g822
    +g961
    +tp3200
    +a(g431
    +Vmu
    +p3201
    +tp3202
    +a(g408
    +g989
    +tp3203
    +a(g822
    +g961
    +tp3204
    +a(g32
    +g1001
    +tp3205
    +a(g822
    +g961
    +tp3206
    +a(g431
    +Vto
    +p3207
    +tp3208
    +a(g822
    +g961
    +tp3209
    +a(g431
    +Vmu
    +p3210
    +tp3211
    +a(g408
    +g989
    +tp3212
    +a(g822
    +g961
    +tp3213
    +a(g569
    +Vgmm
    +p3214
    +tp3215
    +a(g701
    +g1120
    +tp3216
    +a(g431
    +Vmu
    +p3217
    +tp3218
    +a(g408
    +g989
    +tp3219
    +a(g822
    +g961
    +tp3220
    +a(g431
    +Vrho
    +p3221
    +tp3222
    +a(g408
    +g989
    +tp3223
    +a(g822
    +g961
    +tp3224
    +a(g431
    +Vlambda
    +p3225
    +tp3226
    +a(g701
    +g1123
    +tp3227
    +a(g822
    +g961
    +tp3228
    +a(g431
    +Vnohead
    +p3229
    +tp3230
    +a(g822
    +V\u000a
    +p3231
    +tp3232
    +a(g740
    +Vset
    +p3233
    +tp3234
    +a(g822
    +g961
    +tp3235
    +a(g677
    +Varrow
    +p3236
    +tp3237
    +a(g822
    +g961
    +tp3238
    +a(g431
    +Vfrom
    +p3239
    +tp3240
    +a(g822
    +g961
    +tp3241
    +a(g431
    +Vmu
    +p3242
    +tp3243
    +a(g408
    +g989
    +tp3244
    +a(g822
    +g961
    +tp3245
    +a(g569
    +Vgmm
    +p3246
    +tp3247
    +a(g701
    +g1120
    +tp3248
    +a(g431
    +Vmu
    +p3249
    +tp3250
    +a(g822
    +g961
    +tp3251
    +a(g408
    +g1522
    +tp3252
    +a(g822
    +g961
    +tp3253
    +a(g431
    +Vsigma
    +p3254
    +tp3255
    +a(g408
    +g989
    +tp3256
    +a(g822
    +g961
    +tp3257
    +a(g431
    +Vrho
    +p3258
    +tp3259
    +a(g408
    +g989
    +tp3260
    +a(g822
    +g961
    +tp3261
    +a(g431
    +Vlambda
    +p3262
    +tp3263
    +a(g701
    +g1123
    +tp3264
    +a(g822
    +g961
    +tp3265
    +a(g822
    +V\u005c\u000a
    +p3266
    +tp3267
    +a(g822
    +V          
    +p3268
    +tp3269
    +a(g431
    +Vto
    +p3270
    +tp3271
    +a(g822
    +g961
    +tp3272
    +a(g431
    +Vmu
    +p3273
    +tp3274
    +a(g822
    +g961
    +tp3275
    +a(g408
    +g1522
    +tp3276
    +a(g822
    +g961
    +tp3277
    +a(g431
    +Vsigma
    +p3278
    +tp3279
    +a(g408
    +g989
    +tp3280
    +a(g822
    +g961
    +tp3281
    +a(g569
    +Vgmm
    +p3282
    +tp3283
    +a(g701
    +g1120
    +tp3284
    +a(g431
    +Vmu
    +p3285
    +tp3286
    +a(g822
    +g961
    +tp3287
    +a(g408
    +g1522
    +tp3288
    +a(g822
    +g961
    +tp3289
    +a(g431
    +Vsigma
    +p3290
    +tp3291
    +a(g408
    +g989
    +tp3292
    +a(g822
    +g961
    +tp3293
    +a(g431
    +Vrho
    +p3294
    +tp3295
    +a(g408
    +g989
    +tp3296
    +a(g822
    +g961
    +tp3297
    +a(g431
    +Vlambda
    +p3298
    +tp3299
    +a(g701
    +g1123
    +tp3300
    +a(g822
    +g961
    +tp3301
    +a(g431
    +Vnohead
    +p3302
    +tp3303
    +a(g822
    +V\u000a
    +p3304
    +tp3305
    +a(g740
    +Vset
    +p3306
    +tp3307
    +a(g822
    +g961
    +tp3308
    +a(g677
    +Vlabel
    +p3309
    +tp3310
    +a(g822
    +g961
    +tp3311
    +a(g84
    +g1321
    +tp3312
    +a(g84
    +Vmu
    +p3313
    +tp3314
    +a(g84
    +g1321
    +tp3315
    +a(g822
    +g961
    +tp3316
    +a(g431
    +Vat
    +p3317
    +tp3318
    +a(g822
    +g961
    +tp3319
    +a(g431
    +Vmu
    +p3320
    +tp3321
    +a(g822
    +g961
    +tp3322
    +a(g408
    +g1522
    +tp3323
    +a(g822
    +g961
    +tp3324
    +a(g310
    +V0.5
    +p3325
    +tp3326
    +a(g408
    +g989
    +tp3327
    +a(g822
    +g961
    +tp3328
    +a(g431
    +Vymax
    +p3329
    +tp3330
    +a(g822
    +g961
    +tp3331
    +a(g408
    +g1006
    +tp3332
    +a(g822
    +g961
    +tp3333
    +a(g32
    +V10
    +p3334
    +tp3335
    +a(g822
    +V\u000a
    +p3336
    +tp3337
    +a(g740
    +Vset
    +p3338
    +tp3339
    +a(g822
    +g961
    +tp3340
    +a(g677
    +Vlabel
    +p3341
    +tp3342
    +a(g822
    +g961
    +tp3343
    +a(g84
    +g1321
    +tp3344
    +a(g84
    +Vsigma
    +p3345
    +tp3346
    +a(g84
    +g1321
    +tp3347
    +a(g822
    +g961
    +tp3348
    +a(g431
    +Vat
    +p3349
    +tp3350
    +a(g822
    +g961
    +tp3351
    +a(g431
    +Vmu
    +p3352
    +tp3353
    +a(g822
    +g961
    +tp3354
    +a(g408
    +g1522
    +tp3355
    +a(g822
    +g961
    +tp3356
    +a(g310
    +V0.5
    +p3357
    +tp3358
    +a(g822
    +g961
    +tp3359
    +a(g408
    +g1522
    +tp3360
    +a(g822
    +g961
    +tp3361
    +a(g431
    +Vsigma
    +p3362
    +tp3363
    +a(g408
    +g989
    +tp3364
    +a(g822
    +g961
    +tp3365
    +a(g569
    +Vgmm
    +p3366
    +tp3367
    +a(g701
    +g1120
    +tp3368
    +a(g431
    +Vmu
    +p3369
    +tp3370
    +a(g822
    +g961
    +tp3371
    +a(g408
    +g1522
    +tp3372
    +a(g822
    +g961
    +tp3373
    +a(g431
    +Vsigma
    +p3374
    +tp3375
    +a(g408
    +g989
    +tp3376
    +a(g822
    +g961
    +tp3377
    +a(g431
    +Vrho
    +p3378
    +tp3379
    +a(g408
    +g989
    +tp3380
    +a(g822
    +g961
    +tp3381
    +a(g431
    +Vlambda
    +p3382
    +tp3383
    +a(g701
    +g1123
    +tp3384
    +a(g822
    +V\u000a
    +p3385
    +tp3386
    +a(g740
    +Vplot
    +p3387
    +tp3388
    +a(g822
    +g961
    +tp3389
    +a(g569
    +Vgeometric
    +p3390
    +tp3391
    +a(g701
    +g1120
    +tp3392
    +a(g569
    +Vrnd
    +p3393
    +tp3394
    +a(g701
    +g1120
    +tp3395
    +a(g431
    +g1036
    +tp3396
    +a(g701
    +g1123
    +tp3397
    +a(g408
    +g989
    +tp3398
    +a(g431
    +g972
    +tp3399
    +a(g701
    +g1123
    +tp3400
    +a(g822
    +g961
    +tp3401
    +a(g677
    +Vwith
    +p3402
    +tp3403
    +a(g822
    +g961
    +tp3404
    +a(g431
    +Vhisteps
    +p3405
    +tp3406
    +a(g408
    +g989
    +tp3407
    +a(g822
    +g961
    +tp3408
    +a(g569
    +Vgmm
    +p3409
    +tp3410
    +a(g701
    +g1120
    +tp3411
    +a(g431
    +g1036
    +tp3412
    +a(g408
    +g989
    +tp3413
    +a(g822
    +g961
    +tp3414
    +a(g431
    +Vrho
    +p3415
    +tp3416
    +a(g408
    +g989
    +tp3417
    +a(g822
    +g961
    +tp3418
    +a(g431
    +Vlambda
    +p3419
    +tp3420
    +a(g701
    +g1123
    +tp3421
    +a(g822
    +V\u000a
    +p3422
    +tp3423
    +a(g740
    +Vpause
    +p3424
    +tp3425
    +a(g822
    +g961
    +tp3426
    +a(g32
    +V-1
    +p3427
    +tp3428
    +a(g822
    +g961
    +tp3429
    +a(g84
    +g1321
    +tp3430
    +a(g84
    +VHit return to continue
    +p3431
    +tp3432
    +a(g84
    +g1321
    +tp3433
    +a(g822
    +V\u000a
    +p3434
    +tp3435
    +a(g740
    +Vunset
    +p3436
    +tp3437
    +a(g822
    +g961
    +tp3438
    +a(g677
    +Varrow
    +p3439
    +tp3440
    +a(g822
    +V\u000a
    +p3441
    +tp3442
    +a(g740
    +Vunset
    +p3443
    +tp3444
    +a(g822
    +g961
    +tp3445
    +a(g677
    +Vlabel
    +p3446
    +tp3447
    +a(g822
    +V\u000a
    +p3448
    +tp3449
    +a(g822
    +V\u000a
    +p3450
    +tp3451
    +a(g7
    +g956
    +tp3452
    +a(g7
    +g961
    +tp3453
    +a(g7
    +g2848
    +tp3454
    +a(g7
    +g985
    +tp3455
    +a(g7
    +g976
    +tp3456
    +a(g7
    +g987
    +tp3457
    +a(g7
    +g985
    +tp3458
    +a(g7
    +g1056
    +tp3459
    +a(g7
    +g974
    +tp3460
    +a(g7
    +g1060
    +tp3461
    +a(g7
    +g1065
    +tp3462
    +a(g7
    +g961
    +tp3463
    +a(g7
    +g1561
    +tp3464
    +a(g7
    +g1048
    +tp3465
    +a(g7
    +g1564
    +tp3466
    +a(g7
    +g961
    +tp3467
    +a(g7
    +g1148
    +tp3468
    +a(g7
    +g1025
    +tp3469
    +a(g7
    +g1060
    +tp3470
    +a(g7
    +g1084
    +tp3471
    +a(g7
    +g1114
    +tp3472
    +a(g7
    +g961
    +tp3473
    +a(g7
    +g1084
    +tp3474
    +a(g7
    +g976
    +tp3475
    +a(g7
    +g974
    +tp3476
    +a(g7
    +g987
    +tp3477
    +a(g7
    +g1030
    +tp3478
    +a(g7
    +g1068
    +tp3479
    +a(g7
    +g961
    +tp3480
    +a(g7
    +g1030
    +tp3481
    +a(g7
    +g972
    +tp3482
    +a(g7
    +g972
    +tp3483
    +a(g7
    +g974
    +tp3484
    +a(g7
    +g976
    +tp3485
    +a(g7
    +g1036
    +tp3486
    +a(g7
    +g1060
    +tp3487
    +a(g7
    +g987
    +tp3488
    +a(g7
    +g1030
    +tp3489
    +a(g7
    +g1056
    +tp3490
    +a(g7
    +g1060
    +tp3491
    +a(g7
    +g976
    +tp3492
    +a(g7
    +g1084
    +tp3493
    +a(g822
    +V\u000a
    +p3494
    +tp3495
    +a(g740
    +g972
    +tp3496
    +a(g822
    +g961
    +tp3497
    +a(g408
    +g1515
    +tp3498
    +a(g822
    +g961
    +tp3499
    +a(g310
    +V0.3
    +p3500
    +tp3501
    +a(g822
    +V\u000a
    +p3502
    +tp3503
    +a(g436
    +Vmu
    +p3504
    +tp3505
    +a(g822
    +g961
    +tp3506
    +a(g408
    +g1515
    +tp3507
    +a(g822
    +g961
    +tp3508
    +a(g701
    +g1120
    +tp3509
    +a(g310
    +V1.0
    +p3510
    +tp3511
    +a(g822
    +g961
    +tp3512
    +a(g408
    +g1194
    +tp3513
    +a(g822
    +g961
    +tp3514
    +a(g431
    +g972
    +tp3515
    +a(g701
    +g1123
    +tp3516
    +a(g822
    +g961
    +tp3517
    +a(g408
    +g1006
    +tp3518
    +a(g822
    +g961
    +tp3519
    +a(g431
    +g972
    +tp3520
    +a(g822
    +V\u000a
    +p3521
    +tp3522
    +a(g436
    +Vsigma
    +p3523
    +tp3524
    +a(g822
    +g961
    +tp3525
    +a(g408
    +g1515
    +tp3526
    +a(g822
    +g961
    +tp3527
    +a(g569
    +Vsqrt
    +p3528
    +tp3529
    +a(g701
    +g1120
    +tp3530
    +a(g431
    +Vmu
    +p3531
    +tp3532
    +a(g822
    +g961
    +tp3533
    +a(g408
    +g1006
    +tp3534
    +a(g822
    +g961
    +tp3535
    +a(g431
    +g972
    +tp3536
    +a(g701
    +g1123
    +tp3537
    +a(g822
    +V\u000a
    +p3538
    +tp3539
    +a(g436
    +Vxmin
    +p3540
    +tp3541
    +a(g822
    +g961
    +tp3542
    +a(g408
    +g1515
    +tp3543
    +a(g822
    +g961
    +tp3544
    +a(g569
    +Vfloor
    +p3545
    +tp3546
    +a(g701
    +g1120
    +tp3547
    +a(g431
    +Vmu
    +p3548
    +tp3549
    +a(g822
    +g961
    +tp3550
    +a(g408
    +g1194
    +tp3551
    +a(g822
    +g961
    +tp3552
    +a(g431
    +Vr_sigma
    +p3553
    +tp3554
    +a(g822
    +g961
    +tp3555
    +a(g408
    +g1619
    +tp3556
    +a(g822
    +g961
    +tp3557
    +a(g431
    +Vsigma
    +p3558
    +tp3559
    +a(g701
    +g1123
    +tp3560
    +a(g822
    +V\u000a
    +p3561
    +tp3562
    +a(g436
    +Vxmin
    +p3563
    +tp3564
    +a(g822
    +g961
    +tp3565
    +a(g408
    +g1515
    +tp3566
    +a(g822
    +g961
    +tp3567
    +a(g431
    +Vxmin
    +p3568
    +tp3569
    +a(g822
    +g961
    +tp3570
    +a(g408
    +g1683
    +tp3571
    +a(g822
    +g961
    +tp3572
    +a(g431
    +Vr_xmin
    +p3573
    +tp3574
    +a(g822
    +g961
    +tp3575
    +a(g408
    +g1689
    +tp3576
    +a(g822
    +g961
    +tp3577
    +a(g431
    +Vr_xmin
    +p3578
    +tp3579
    +a(g822
    +g961
    +tp3580
    +a(g408
    +g969
    +tp3581
    +a(g822
    +g961
    +tp3582
    +a(g431
    +Vxmin
    +p3583
    +tp3584
    +a(g822
    +V\u000a
    +p3585
    +tp3586
    +a(g436
    +Vxmax
    +p3587
    +tp3588
    +a(g822
    +g961
    +tp3589
    +a(g408
    +g1515
    +tp3590
    +a(g822
    +g961
    +tp3591
    +a(g569
    +Vceil
    +p3592
    +tp3593
    +a(g701
    +g1120
    +tp3594
    +a(g431
    +Vmu
    +p3595
    +tp3596
    +a(g822
    +g961
    +tp3597
    +a(g408
    +g1522
    +tp3598
    +a(g822
    +g961
    +tp3599
    +a(g431
    +Vr_sigma
    +p3600
    +tp3601
    +a(g822
    +g961
    +tp3602
    +a(g408
    +g1619
    +tp3603
    +a(g822
    +g961
    +tp3604
    +a(g431
    +Vsigma
    +p3605
    +tp3606
    +a(g701
    +g1123
    +tp3607
    +a(g822
    +V\u000a
    +p3608
    +tp3609
    +a(g436
    +Vymax
    +p3610
    +tp3611
    +a(g822
    +g961
    +tp3612
    +a(g408
    +g1515
    +tp3613
    +a(g822
    +g961
    +tp3614
    +a(g310
    +V1.1
    +p3615
    +tp3616
    +a(g822
    +g961
    +tp3617
    +a(g408
    +g1619
    +tp3618
    +a(g822
    +g961
    +tp3619
    +a(g431
    +g972
    +tp3620
    +a(g822
    +V\u000a
    +p3621
    +tp3622
    +a(g740
    +Vset
    +p3623
    +tp3624
    +a(g822
    +g961
    +tp3625
    +a(g677
    +Vkey
    +p3626
    +tp3627
    +a(g822
    +g961
    +tp3628
    +a(g431
    +Vbox
    +p3629
    +tp3630
    +a(g822
    +V\u000a
    +p3631
    +tp3632
    +a(g740
    +Vunset
    +p3633
    +tp3634
    +a(g822
    +g961
    +tp3635
    +a(g677
    +Vzeroaxis
    +p3636
    +tp3637
    +a(g822
    +V\u000a
    +p3638
    +tp3639
    +a(g740
    +Vset
    +p3640
    +tp3641
    +a(g822
    +g961
    +tp3642
    +a(g677
    +Vxrange
    +p3643
    +tp3644
    +a(g822
    +g961
    +tp3645
    +a(g701
    +g1807
    +tp3646
    +a(g431
    +Vxmin
    +p3647
    +tp3648
    +a(g822
    +g961
    +tp3649
    +a(g408
    +g1194
    +tp3650
    +a(g822
    +g961
    +tp3651
    +a(g32
    +g994
    +tp3652
    +a(g822
    +g961
    +tp3653
    +a(g408
    +g969
    +tp3654
    +a(g822
    +g961
    +tp3655
    +a(g431
    +Vxmax
    +p3656
    +tp3657
    +a(g822
    +g961
    +tp3658
    +a(g408
    +g1522
    +tp3659
    +a(g822
    +g961
    +tp3660
    +a(g32
    +g994
    +tp3661
    +a(g701
    +g1824
    +tp3662
    +a(g822
    +V\u000a
    +p3663
    +tp3664
    +a(g740
    +Vset
    +p3665
    +tp3666
    +a(g822
    +g961
    +tp3667
    +a(g677
    +Vyrange
    +p3668
    +tp3669
    +a(g822
    +g961
    +tp3670
    +a(g701
    +g1807
    +tp3671
    +a(g32
    +g1001
    +tp3672
    +a(g822
    +g961
    +tp3673
    +a(g408
    +g969
    +tp3674
    +a(g822
    +g961
    +tp3675
    +a(g431
    +Vymax
    +p3676
    +tp3677
    +a(g701
    +g1824
    +tp3678
    +a(g822
    +V\u000a
    +p3679
    +tp3680
    +a(g740
    +Vset
    +p3681
    +tp3682
    +a(g822
    +g961
    +tp3683
    +a(g677
    +Vxlabel
    +p3684
    +tp3685
    +a(g822
    +g961
    +tp3686
    +a(g84
    +g1321
    +tp3687
    +a(g84
    +Vk, x ->
    +p3688
    +tp3689
    +a(g84
    +g1321
    +tp3690
    +a(g822
    +V\u000a
    +p3691
    +tp3692
    +a(g740
    +Vset
    +p3693
    +tp3694
    +a(g822
    +g961
    +tp3695
    +a(g677
    +Vylabel
    +p3696
    +tp3697
    +a(g822
    +g961
    +tp3698
    +a(g84
    +g1321
    +tp3699
    +a(g84
    +Vprobability density ->
    +p3700
    +tp3701
    +a(g84
    +g1321
    +tp3702
    +a(g822
    +V\u000a
    +p3703
    +tp3704
    +a(g740
    +Vset
    +p3705
    +tp3706
    +a(g822
    +g961
    +tp3707
    +a(g677
    +Vytics
    +p3708
    +tp3709
    +a(g822
    +g961
    +tp3710
    +a(g32
    +g1001
    +tp3711
    +a(g408
    +g989
    +tp3712
    +a(g822
    +g961
    +tp3713
    +a(g431
    +Vymax
    +p3714
    +tp3715
    +a(g822
    +g961
    +tp3716
    +a(g408
    +g1006
    +tp3717
    +a(g822
    +g961
    +tp3718
    +a(g310
    +V10.0
    +p3719
    +tp3720
    +a(g408
    +g989
    +tp3721
    +a(g822
    +g961
    +tp3722
    +a(g431
    +Vymax
    +p3723
    +tp3724
    +a(g822
    +V\u000a
    +p3725
    +tp3726
    +a(g740
    +Vset
    +p3727
    +tp3728
    +a(g822
    +g961
    +tp3729
    +a(g677
    +Vformat
    +p3730
    +tp3731
    +a(g822
    +g961
    +tp3732
    +a(g431
    +g1036
    +tp3733
    +a(g822
    +g961
    +tp3734
    +a(g84
    +g1321
    +tp3735
    +a(g84
    +V%2.0f
    +p3736
    +tp3737
    +a(g84
    +g1321
    +tp3738
    +a(g822
    +V\u000a
    +p3739
    +tp3740
    +a(g740
    +Vset
    +p3741
    +tp3742
    +a(g822
    +g961
    +tp3743
    +a(g677
    +Vformat
    +p3744
    +tp3745
    +a(g822
    +g961
    +tp3746
    +a(g431
    +g1110
    +tp3747
    +a(g822
    +g961
    +tp3748
    +a(g84
    +g1321
    +tp3749
    +a(g84
    +V%3.2f
    +p3750
    +tp3751
    +a(g84
    +g1321
    +tp3752
    +a(g822
    +V\u000a
    +p3753
    +tp3754
    +a(g740
    +Vset
    +p3755
    +tp3756
    +a(g822
    +g961
    +tp3757
    +a(g677
    +Vsample
    +p3758
    +tp3759
    +a(g822
    +g961
    +tp3760
    +a(g32
    +V200
    +p3761
    +tp3762
    +a(g822
    +V\u000a
    +p3763
    +tp3764
    +a(g740
    +Vset
    +p3765
    +tp3766
    +a(g822
    +g961
    +tp3767
    +a(g677
    +Vtitle
    +p3768
    +tp3769
    +a(g822
    +g961
    +tp3770
    +a(g84
    +g1321
    +tp3771
    +a(g84
    +Vgeometric PDF using normal approximation
    +p3772
    +tp3773
    +a(g84
    +g1321
    +tp3774
    +a(g822
    +V\u000a
    +p3775
    +tp3776
    +a(g740
    +Vset
    +p3777
    +tp3778
    +a(g822
    +g961
    +tp3779
    +a(g677
    +Varrow
    +p3780
    +tp3781
    +a(g822
    +g961
    +tp3782
    +a(g431
    +Vfrom
    +p3783
    +tp3784
    +a(g822
    +g961
    +tp3785
    +a(g431
    +Vmu
    +p3786
    +tp3787
    +a(g408
    +g989
    +tp3788
    +a(g822
    +g961
    +tp3789
    +a(g32
    +g1001
    +tp3790
    +a(g822
    +g961
    +tp3791
    +a(g431
    +Vto
    +p3792
    +tp3793
    +a(g822
    +g961
    +tp3794
    +a(g431
    +Vmu
    +p3795
    +tp3796
    +a(g408
    +g989
    +tp3797
    +a(g822
    +g961
    +tp3798
    +a(g569
    +Vnormal
    +p3799
    +tp3800
    +a(g701
    +g1120
    +tp3801
    +a(g431
    +Vmu
    +p3802
    +tp3803
    +a(g408
    +g989
    +tp3804
    +a(g822
    +g961
    +tp3805
    +a(g431
    +Vmu
    +p3806
    +tp3807
    +a(g408
    +g989
    +tp3808
    +a(g822
    +g961
    +tp3809
    +a(g431
    +Vsigma
    +p3810
    +tp3811
    +a(g701
    +g1123
    +tp3812
    +a(g822
    +g961
    +tp3813
    +a(g431
    +Vnohead
    +p3814
    +tp3815
    +a(g822
    +V\u000a
    +p3816
    +tp3817
    +a(g740
    +Vset
    +p3818
    +tp3819
    +a(g822
    +g961
    +tp3820
    +a(g677
    +Varrow
    +p3821
    +tp3822
    +a(g822
    +g961
    +tp3823
    +a(g431
    +Vfrom
    +p3824
    +tp3825
    +a(g822
    +g961
    +tp3826
    +a(g431
    +Vmu
    +p3827
    +tp3828
    +a(g408
    +g989
    +tp3829
    +a(g822
    +g961
    +tp3830
    +a(g569
    +Vnormal
    +p3831
    +tp3832
    +a(g701
    +g1120
    +tp3833
    +a(g431
    +Vmu
    +p3834
    +tp3835
    +a(g822
    +g961
    +tp3836
    +a(g408
    +g1522
    +tp3837
    +a(g822
    +g961
    +tp3838
    +a(g431
    +Vsigma
    +p3839
    +tp3840
    +a(g408
    +g989
    +tp3841
    +a(g822
    +g961
    +tp3842
    +a(g431
    +Vmu
    +p3843
    +tp3844
    +a(g408
    +g989
    +tp3845
    +a(g822
    +g961
    +tp3846
    +a(g431
    +Vsigma
    +p3847
    +tp3848
    +a(g701
    +g1123
    +tp3849
    +a(g822
    +g961
    +tp3850
    +a(g822
    +V\u005c\u000a
    +p3851
    +tp3852
    +a(g822
    +V          
    +p3853
    +tp3854
    +a(g431
    +Vto
    +p3855
    +tp3856
    +a(g822
    +g961
    +tp3857
    +a(g431
    +Vmu
    +p3858
    +tp3859
    +a(g822
    +g961
    +tp3860
    +a(g408
    +g1522
    +tp3861
    +a(g822
    +g961
    +tp3862
    +a(g431
    +Vsigma
    +p3863
    +tp3864
    +a(g408
    +g989
    +tp3865
    +a(g822
    +g961
    +tp3866
    +a(g569
    +Vnormal
    +p3867
    +tp3868
    +a(g701
    +g1120
    +tp3869
    +a(g431
    +Vmu
    +p3870
    +tp3871
    +a(g822
    +g961
    +tp3872
    +a(g408
    +g1522
    +tp3873
    +a(g822
    +g961
    +tp3874
    +a(g431
    +Vsigma
    +p3875
    +tp3876
    +a(g408
    +g989
    +tp3877
    +a(g822
    +g961
    +tp3878
    +a(g431
    +Vmu
    +p3879
    +tp3880
    +a(g408
    +g989
    +tp3881
    +a(g822
    +g961
    +tp3882
    +a(g431
    +Vsigma
    +p3883
    +tp3884
    +a(g701
    +g1123
    +tp3885
    +a(g822
    +g961
    +tp3886
    +a(g431
    +Vnohead
    +p3887
    +tp3888
    +a(g822
    +V\u000a
    +p3889
    +tp3890
    +a(g740
    +Vset
    +p3891
    +tp3892
    +a(g822
    +g961
    +tp3893
    +a(g677
    +Vlabel
    +p3894
    +tp3895
    +a(g822
    +g961
    +tp3896
    +a(g84
    +g1321
    +tp3897
    +a(g84
    +Vmu
    +p3898
    +tp3899
    +a(g84
    +g1321
    +tp3900
    +a(g822
    +g961
    +tp3901
    +a(g431
    +Vat
    +p3902
    +tp3903
    +a(g822
    +g961
    +tp3904
    +a(g431
    +Vmu
    +p3905
    +tp3906
    +a(g822
    +g961
    +tp3907
    +a(g408
    +g1522
    +tp3908
    +a(g822
    +g961
    +tp3909
    +a(g310
    +V0.5
    +p3910
    +tp3911
    +a(g408
    +g989
    +tp3912
    +a(g822
    +g961
    +tp3913
    +a(g431
    +Vymax
    +p3914
    +tp3915
    +a(g822
    +g961
    +tp3916
    +a(g408
    +g1006
    +tp3917
    +a(g822
    +g961
    +tp3918
    +a(g32
    +V10
    +p3919
    +tp3920
    +a(g822
    +V\u000a
    +p3921
    +tp3922
    +a(g740
    +Vset
    +p3923
    +tp3924
    +a(g822
    +g961
    +tp3925
    +a(g677
    +Vlabel
    +p3926
    +tp3927
    +a(g822
    +g961
    +tp3928
    +a(g84
    +g1321
    +tp3929
    +a(g84
    +Vsigma
    +p3930
    +tp3931
    +a(g84
    +g1321
    +tp3932
    +a(g822
    +g961
    +tp3933
    +a(g431
    +Vat
    +p3934
    +tp3935
    +a(g822
    +g961
    +tp3936
    +a(g431
    +Vmu
    +p3937
    +tp3938
    +a(g822
    +g961
    +tp3939
    +a(g408
    +g1522
    +tp3940
    +a(g822
    +g961
    +tp3941
    +a(g310
    +V0.5
    +p3942
    +tp3943
    +a(g822
    +g961
    +tp3944
    +a(g408
    +g1522
    +tp3945
    +a(g822
    +g961
    +tp3946
    +a(g431
    +Vsigma
    +p3947
    +tp3948
    +a(g408
    +g989
    +tp3949
    +a(g822
    +g961
    +tp3950
    +a(g569
    +Vnormal
    +p3951
    +tp3952
    +a(g701
    +g1120
    +tp3953
    +a(g431
    +Vmu
    +p3954
    +tp3955
    +a(g822
    +g961
    +tp3956
    +a(g408
    +g1522
    +tp3957
    +a(g822
    +g961
    +tp3958
    +a(g431
    +Vsigma
    +p3959
    +tp3960
    +a(g408
    +g989
    +tp3961
    +a(g822
    +g961
    +tp3962
    +a(g431
    +Vmu
    +p3963
    +tp3964
    +a(g408
    +g989
    +tp3965
    +a(g822
    +g961
    +tp3966
    +a(g431
    +Vsigma
    +p3967
    +tp3968
    +a(g701
    +g1123
    +tp3969
    +a(g822
    +V\u000a
    +p3970
    +tp3971
    +a(g740
    +Vplot
    +p3972
    +tp3973
    +a(g822
    +g961
    +tp3974
    +a(g569
    +Vgeometric
    +p3975
    +tp3976
    +a(g701
    +g1120
    +tp3977
    +a(g569
    +Vrnd
    +p3978
    +tp3979
    +a(g701
    +g1120
    +tp3980
    +a(g431
    +g1036
    +tp3981
    +a(g701
    +g1123
    +tp3982
    +a(g408
    +g989
    +tp3983
    +a(g431
    +g972
    +tp3984
    +a(g701
    +g1123
    +tp3985
    +a(g822
    +g961
    +tp3986
    +a(g677
    +Vwith
    +p3987
    +tp3988
    +a(g822
    +g961
    +tp3989
    +a(g431
    +Vhisteps
    +p3990
    +tp3991
    +a(g408
    +g989
    +tp3992
    +a(g822
    +g961
    +tp3993
    +a(g569
    +Vnormal
    +p3994
    +tp3995
    +a(g701
    +g1120
    +tp3996
    +a(g431
    +g1036
    +tp3997
    +a(g408
    +g989
    +tp3998
    +a(g822
    +g961
    +tp3999
    +a(g431
    +Vmu
    +p4000
    +tp4001
    +a(g408
    +g989
    +tp4002
    +a(g822
    +g961
    +tp4003
    +a(g431
    +Vsigma
    +p4004
    +tp4005
    +a(g701
    +g1123
    +tp4006
    +a(g822
    +V\u000a
    +p4007
    +tp4008
    +a(g740
    +Vpause
    +p4009
    +tp4010
    +a(g822
    +g961
    +tp4011
    +a(g32
    +V-1
    +p4012
    +tp4013
    +a(g822
    +g961
    +tp4014
    +a(g84
    +g1321
    +tp4015
    +a(g84
    +VHit return to continue
    +p4016
    +tp4017
    +a(g84
    +g1321
    +tp4018
    +a(g822
    +V\u000a
    +p4019
    +tp4020
    +a(g740
    +Vunset
    +p4021
    +tp4022
    +a(g822
    +g961
    +tp4023
    +a(g677
    +Varrow
    +p4024
    +tp4025
    +a(g822
    +V\u000a
    +p4026
    +tp4027
    +a(g740
    +Vunset
    +p4028
    +tp4029
    +a(g822
    +g961
    +tp4030
    +a(g677
    +Vlabel
    +p4031
    +tp4032
    +a(g822
    +V\u000a
    +p4033
    +tp4034
    +a(g822
    +V\u000a
    +p4035
    +tp4036
    +a(g7
    +g956
    +tp4037
    +a(g7
    +g961
    +tp4038
    +a(g7
    +g1178
    +tp4039
    +a(g7
    +g1110
    +tp4040
    +a(g7
    +g972
    +tp4041
    +a(g7
    +g985
    +tp4042
    +a(g7
    +g974
    +tp4043
    +a(g7
    +g1114
    +tp4044
    +a(g7
    +g985
    +tp4045
    +a(g7
    +g976
    +tp4046
    +a(g7
    +g987
    +tp4047
    +a(g7
    +g985
    +tp4048
    +a(g7
    +g1056
    +tp4049
    +a(g7
    +g974
    +tp4050
    +a(g7
    +g1060
    +tp4051
    +a(g7
    +g1065
    +tp4052
    +a(g7
    +g961
    +tp4053
    +a(g7
    +g1561
    +tp4054
    +a(g7
    +g1048
    +tp4055
    +a(g7
    +g1564
    +tp4056
    +a(g7
    +g961
    +tp4057
    +a(g7
    +g1148
    +tp4058
    +a(g7
    +g1025
    +tp4059
    +a(g7
    +g1060
    +tp4060
    +a(g7
    +g1084
    +tp4061
    +a(g7
    +g1114
    +tp4062
    +a(g7
    +g961
    +tp4063
    +a(g7
    +g978
    +tp4064
    +a(g7
    +g1060
    +tp4065
    +a(g7
    +g1084
    +tp4066
    +a(g7
    +g976
    +tp4067
    +a(g7
    +g987
    +tp4068
    +a(g7
    +g1060
    +tp4069
    +a(g7
    +g1030
    +tp4070
    +a(g7
    +g1068
    +tp4071
    +a(g7
    +g961
    +tp4072
    +a(g7
    +g1030
    +tp4073
    +a(g7
    +g972
    +tp4074
    +a(g7
    +g972
    +tp4075
    +a(g7
    +g974
    +tp4076
    +a(g7
    +g976
    +tp4077
    +a(g7
    +g1036
    +tp4078
    +a(g7
    +g1060
    +tp4079
    +a(g7
    +g987
    +tp4080
    +a(g7
    +g1030
    +tp4081
    +a(g7
    +g1056
    +tp4082
    +a(g7
    +g1060
    +tp4083
    +a(g7
    +g976
    +tp4084
    +a(g7
    +g1084
    +tp4085
    +a(g822
    +V\u000a
    +p4086
    +tp4087
    +a(g436
    +Vnn
    +p4088
    +tp4089
    +a(g822
    +g961
    +tp4090
    +a(g408
    +g1515
    +tp4091
    +a(g822
    +g961
    +tp4092
    +a(g32
    +V75
    +p4093
    +tp4094
    +a(g701
    +g1601
    +tp4095
    +a(g822
    +g961
    +tp4096
    +a(g436
    +Vmm
    +p4097
    +tp4098
    +a(g822
    +g961
    +tp4099
    +a(g408
    +g1515
    +tp4100
    +a(g822
    +g961
    +tp4101
    +a(g32
    +V25
    +p4102
    +tp4103
    +a(g701
    +g1601
    +tp4104
    +a(g822
    +g961
    +tp4105
    +a(g436
    +g1084
    +tp4106
    +a(g822
    +g961
    +tp4107
    +a(g408
    +g1515
    +tp4108
    +a(g822
    +g961
    +tp4109
    +a(g32
    +V10
    +p4110
    +tp4111
    +a(g822
    +V\u000a
    +p4112
    +tp4113
    +a(g740
    +g972
    +tp4114
    +a(g822
    +g961
    +tp4115
    +a(g408
    +g1515
    +tp4116
    +a(g822
    +g961
    +tp4117
    +a(g569
    +Vreal
    +p4118
    +tp4119
    +a(g701
    +g1120
    +tp4120
    +a(g431
    +Vmm
    +p4121
    +tp4122
    +a(g701
    +g1123
    +tp4123
    +a(g822
    +g961
    +tp4124
    +a(g408
    +g1006
    +tp4125
    +a(g822
    +g961
    +tp4126
    +a(g431
    +Vnn
    +p4127
    +tp4128
    +a(g822
    +V\u000a
    +p4129
    +tp4130
    +a(g436
    +Vmu
    +p4131
    +tp4132
    +a(g822
    +g961
    +tp4133
    +a(g408
    +g1515
    +tp4134
    +a(g822
    +g961
    +tp4135
    +a(g431
    +g1084
    +tp4136
    +a(g822
    +g961
    +tp4137
    +a(g408
    +g1619
    +tp4138
    +a(g822
    +g961
    +tp4139
    +a(g431
    +g972
    +tp4140
    +a(g822
    +V\u000a
    +p4141
    +tp4142
    +a(g436
    +Vsigma
    +p4143
    +tp4144
    +a(g822
    +g961
    +tp4145
    +a(g408
    +g1515
    +tp4146
    +a(g822
    +g961
    +tp4147
    +a(g569
    +Vsqrt
    +p4148
    +tp4149
    +a(g701
    +g1120
    +tp4150
    +a(g569
    +Vreal
    +p4151
    +tp4152
    +a(g701
    +g1120
    +tp4153
    +a(g431
    +Vnn
    +p4154
    +tp4155
    +a(g822
    +g961
    +tp4156
    +a(g408
    +g1194
    +tp4157
    +a(g822
    +g961
    +tp4158
    +a(g431
    +g1084
    +tp4159
    +a(g701
    +g1123
    +tp4160
    +a(g822
    +g961
    +tp4161
    +a(g408
    +g1006
    +tp4162
    +a(g822
    +g961
    +tp4163
    +a(g701
    +g1120
    +tp4164
    +a(g431
    +Vnn
    +p4165
    +tp4166
    +a(g822
    +g961
    +tp4167
    +a(g408
    +g1194
    +tp4168
    +a(g822
    +g961
    +tp4169
    +a(g310
    +V1.0
    +p4170
    +tp4171
    +a(g701
    +g1123
    +tp4172
    +a(g822
    +g961
    +tp4173
    +a(g408
    +g1619
    +tp4174
    +a(g822
    +g961
    +tp4175
    +a(g431
    +g1084
    +tp4176
    +a(g822
    +g961
    +tp4177
    +a(g408
    +g1619
    +tp4178
    +a(g822
    +g961
    +tp4179
    +a(g431
    +g972
    +tp4180
    +a(g822
    +g961
    +tp4181
    +a(g408
    +g1619
    +tp4182
    +a(g822
    +g961
    +tp4183
    +a(g701
    +g1120
    +tp4184
    +a(g310
    +V1.0
    +p4185
    +tp4186
    +a(g822
    +g961
    +tp4187
    +a(g408
    +g1194
    +tp4188
    +a(g822
    +g961
    +tp4189
    +a(g431
    +g972
    +tp4190
    +a(g701
    +g1123
    +tp4191
    +a(g701
    +g1123
    +tp4192
    +a(g822
    +V\u000a
    +p4193
    +tp4194
    +a(g436
    +Vxmin
    +p4195
    +tp4196
    +a(g822
    +g961
    +tp4197
    +a(g408
    +g1515
    +tp4198
    +a(g822
    +g961
    +tp4199
    +a(g569
    +Vfloor
    +p4200
    +tp4201
    +a(g701
    +g1120
    +tp4202
    +a(g431
    +Vmu
    +p4203
    +tp4204
    +a(g822
    +g961
    +tp4205
    +a(g408
    +g1194
    +tp4206
    +a(g822
    +g961
    +tp4207
    +a(g431
    +Vr_sigma
    +p4208
    +tp4209
    +a(g822
    +g961
    +tp4210
    +a(g408
    +g1619
    +tp4211
    +a(g822
    +g961
    +tp4212
    +a(g431
    +Vsigma
    +p4213
    +tp4214
    +a(g701
    +g1123
    +tp4215
    +a(g822
    +V\u000a
    +p4216
    +tp4217
    +a(g436
    +Vxmin
    +p4218
    +tp4219
    +a(g822
    +g961
    +tp4220
    +a(g408
    +g1515
    +tp4221
    +a(g822
    +g961
    +tp4222
    +a(g431
    +Vxmin
    +p4223
    +tp4224
    +a(g822
    +g961
    +tp4225
    +a(g408
    +g1683
    +tp4226
    +a(g822
    +g961
    +tp4227
    +a(g431
    +Vr_xmin
    +p4228
    +tp4229
    +a(g822
    +g961
    +tp4230
    +a(g408
    +g1689
    +tp4231
    +a(g822
    +g961
    +tp4232
    +a(g431
    +Vr_xmin
    +p4233
    +tp4234
    +a(g822
    +g961
    +tp4235
    +a(g408
    +g969
    +tp4236
    +a(g822
    +g961
    +tp4237
    +a(g431
    +Vxmin
    +p4238
    +tp4239
    +a(g822
    +V\u000a
    +p4240
    +tp4241
    +a(g436
    +Vxmax
    +p4242
    +tp4243
    +a(g822
    +g961
    +tp4244
    +a(g408
    +g1515
    +tp4245
    +a(g822
    +g961
    +tp4246
    +a(g569
    +Vceil
    +p4247
    +tp4248
    +a(g701
    +g1120
    +tp4249
    +a(g431
    +Vmu
    +p4250
    +tp4251
    +a(g822
    +g961
    +tp4252
    +a(g408
    +g1522
    +tp4253
    +a(g822
    +g961
    +tp4254
    +a(g431
    +Vr_sigma
    +p4255
    +tp4256
    +a(g822
    +g961
    +tp4257
    +a(g408
    +g1619
    +tp4258
    +a(g822
    +g961
    +tp4259
    +a(g431
    +Vsigma
    +p4260
    +tp4261
    +a(g701
    +g1123
    +tp4262
    +a(g822
    +V\u000a
    +p4263
    +tp4264
    +a(g436
    +Vymax
    +p4265
    +tp4266
    +a(g822
    +g961
    +tp4267
    +a(g408
    +g1515
    +tp4268
    +a(g822
    +g961
    +tp4269
    +a(g310
    +V1.1
    +p4270
    +tp4271
    +a(g822
    +g961
    +tp4272
    +a(g408
    +g1619
    +tp4273
    +a(g822
    +g961
    +tp4274
    +a(g569
    +Vhypgeo
    +p4275
    +tp4276
    +a(g701
    +g1120
    +tp4277
    +a(g569
    +Vfloor
    +p4278
    +tp4279
    +a(g701
    +g1120
    +tp4280
    +a(g431
    +Vmu
    +p4281
    +tp4282
    +a(g701
    +g1123
    +tp4283
    +a(g408
    +g989
    +tp4284
    +a(g822
    +g961
    +tp4285
    +a(g431
    +Vnn
    +p4286
    +tp4287
    +a(g408
    +g989
    +tp4288
    +a(g822
    +g961
    +tp4289
    +a(g431
    +Vmm
    +p4290
    +tp4291
    +a(g408
    +g989
    +tp4292
    +a(g822
    +g961
    +tp4293
    +a(g431
    +g1084
    +tp4294
    +a(g701
    +g1123
    +tp4295
    +a(g822
    +g961
    +tp4296
    +a(g7
    +g956
    +tp4297
    +a(g7
    +g987
    +tp4298
    +a(g7
    +g976
    +tp4299
    +a(g7
    +g967
    +tp4300
    +a(g7
    +g985
    +tp4301
    +a(g7
    +g961
    +tp4302
    +a(g7
    +g976
    +tp4303
    +a(g7
    +g1027
    +tp4304
    +a(g7
    +g961
    +tp4305
    +a(g7
    +g978
    +tp4306
    +a(g7
    +g1060
    +tp4307
    +a(g7
    +g1084
    +tp4308
    +a(g7
    +g976
    +tp4309
    +a(g7
    +g987
    +tp4310
    +a(g7
    +g961
    +tp4311
    +a(g7
    +g1561
    +tp4312
    +a(g7
    +g1048
    +tp4313
    +a(g7
    +g1564
    +tp4314
    +a(g7
    +g961
    +tp4315
    +a(g7
    +g1148
    +tp4316
    +a(g7
    +g1025
    +tp4317
    +a(g7
    +g985
    +tp4318
    +a(g7
    +g967
    +tp4319
    +a(g822
    +V\u000a
    +p4320
    +tp4321
    +a(g740
    +Vset
    +p4322
    +tp4323
    +a(g822
    +g961
    +tp4324
    +a(g677
    +Vkey
    +p4325
    +tp4326
    +a(g822
    +g961
    +tp4327
    +a(g431
    +Vbox
    +p4328
    +tp4329
    +a(g822
    +V\u000a
    +p4330
    +tp4331
    +a(g740
    +Vunset
    +p4332
    +tp4333
    +a(g822
    +g961
    +tp4334
    +a(g677
    +Vzeroaxis
    +p4335
    +tp4336
    +a(g822
    +V\u000a
    +p4337
    +tp4338
    +a(g740
    +Vset
    +p4339
    +tp4340
    +a(g822
    +g961
    +tp4341
    +a(g677
    +Vxrange
    +p4342
    +tp4343
    +a(g822
    +g961
    +tp4344
    +a(g701
    +g1807
    +tp4345
    +a(g431
    +Vxmin
    +p4346
    +tp4347
    +a(g822
    +g961
    +tp4348
    +a(g408
    +g1194
    +tp4349
    +a(g822
    +g961
    +tp4350
    +a(g32
    +g994
    +tp4351
    +a(g822
    +g961
    +tp4352
    +a(g408
    +g969
    +tp4353
    +a(g822
    +g961
    +tp4354
    +a(g431
    +Vxmax
    +p4355
    +tp4356
    +a(g822
    +g961
    +tp4357
    +a(g408
    +g1522
    +tp4358
    +a(g822
    +g961
    +tp4359
    +a(g32
    +g994
    +tp4360
    +a(g701
    +g1824
    +tp4361
    +a(g822
    +V\u000a
    +p4362
    +tp4363
    +a(g740
    +Vset
    +p4364
    +tp4365
    +a(g822
    +g961
    +tp4366
    +a(g677
    +Vyrange
    +p4367
    +tp4368
    +a(g822
    +g961
    +tp4369
    +a(g701
    +g1807
    +tp4370
    +a(g32
    +g1001
    +tp4371
    +a(g822
    +g961
    +tp4372
    +a(g408
    +g969
    +tp4373
    +a(g822
    +g961
    +tp4374
    +a(g431
    +Vymax
    +p4375
    +tp4376
    +a(g701
    +g1824
    +tp4377
    +a(g822
    +V\u000a
    +p4378
    +tp4379
    +a(g740
    +Vset
    +p4380
    +tp4381
    +a(g822
    +g961
    +tp4382
    +a(g677
    +Vxlabel
    +p4383
    +tp4384
    +a(g822
    +g961
    +tp4385
    +a(g84
    +g1321
    +tp4386
    +a(g84
    +Vk ->
    +p4387
    +tp4388
    +a(g84
    +g1321
    +tp4389
    +a(g822
    +V\u000a
    +p4390
    +tp4391
    +a(g740
    +Vset
    +p4392
    +tp4393
    +a(g822
    +g961
    +tp4394
    +a(g677
    +Vylabel
    +p4395
    +tp4396
    +a(g822
    +g961
    +tp4397
    +a(g84
    +g1321
    +tp4398
    +a(g84
    +Vprobability density ->
    +p4399
    +tp4400
    +a(g84
    +g1321
    +tp4401
    +a(g822
    +V\u000a
    +p4402
    +tp4403
    +a(g740
    +Vset
    +p4404
    +tp4405
    +a(g822
    +g961
    +tp4406
    +a(g677
    +Vytics
    +p4407
    +tp4408
    +a(g822
    +g961
    +tp4409
    +a(g32
    +g1001
    +tp4410
    +a(g408
    +g989
    +tp4411
    +a(g822
    +g961
    +tp4412
    +a(g431
    +Vymax
    +p4413
    +tp4414
    +a(g822
    +g961
    +tp4415
    +a(g408
    +g1006
    +tp4416
    +a(g822
    +g961
    +tp4417
    +a(g310
    +V10.0
    +p4418
    +tp4419
    +a(g408
    +g989
    +tp4420
    +a(g822
    +g961
    +tp4421
    +a(g431
    +Vymax
    +p4422
    +tp4423
    +a(g822
    +V\u000a
    +p4424
    +tp4425
    +a(g740
    +Vset
    +p4426
    +tp4427
    +a(g822
    +g961
    +tp4428
    +a(g677
    +Vformat
    +p4429
    +tp4430
    +a(g822
    +g961
    +tp4431
    +a(g431
    +g1036
    +tp4432
    +a(g822
    +g961
    +tp4433
    +a(g84
    +g1321
    +tp4434
    +a(g84
    +V%2.0f
    +p4435
    +tp4436
    +a(g84
    +g1321
    +tp4437
    +a(g822
    +V\u000a
    +p4438
    +tp4439
    +a(g740
    +Vset
    +p4440
    +tp4441
    +a(g822
    +g961
    +tp4442
    +a(g677
    +Vformat
    +p4443
    +tp4444
    +a(g822
    +g961
    +tp4445
    +a(g431
    +g1110
    +tp4446
    +a(g822
    +g961
    +tp4447
    +a(g84
    +g1321
    +tp4448
    +a(g84
    +V%3.2f
    +p4449
    +tp4450
    +a(g84
    +g1321
    +tp4451
    +a(g822
    +V\u000a
    +p4452
    +tp4453
    +a(g740
    +Vset
    +p4454
    +tp4455
    +a(g822
    +g961
    +tp4456
    +a(g677
    +Vsample
    +p4457
    +tp4458
    +a(g822
    +g961
    +tp4459
    +a(g701
    +g1120
    +tp4460
    +a(g431
    +Vxmax
    +p4461
    +tp4462
    +a(g822
    +g961
    +tp4463
    +a(g408
    +g1194
    +tp4464
    +a(g822
    +g961
    +tp4465
    +a(g431
    +Vxmin
    +p4466
    +tp4467
    +a(g822
    +g961
    +tp4468
    +a(g408
    +g1522
    +tp4469
    +a(g822
    +g961
    +tp4470
    +a(g32
    +g1016
    +tp4471
    +a(g701
    +g1123
    +tp4472
    +a(g822
    +V\u000a
    +p4473
    +tp4474
    +a(g740
    +Vset
    +p4475
    +tp4476
    +a(g822
    +g961
    +tp4477
    +a(g677
    +Vtitle
    +p4478
    +tp4479
    +a(g822
    +g961
    +tp4480
    +a(g84
    +g1321
    +tp4481
    +a(g84
    +Vhypergeometric PDF using binomial approximation
    +p4482
    +tp4483
    +a(g84
    +g1321
    +tp4484
    +a(g822
    +V\u000a
    +p4485
    +tp4486
    +a(g740
    +Vset
    +p4487
    +tp4488
    +a(g822
    +g961
    +tp4489
    +a(g677
    +Varrow
    +p4490
    +tp4491
    +a(g822
    +g961
    +tp4492
    +a(g431
    +Vfrom
    +p4493
    +tp4494
    +a(g822
    +g961
    +tp4495
    +a(g431
    +Vmu
    +p4496
    +tp4497
    +a(g408
    +g989
    +tp4498
    +a(g822
    +g961
    +tp4499
    +a(g32
    +g1001
    +tp4500
    +a(g822
    +g961
    +tp4501
    +a(g431
    +Vto
    +p4502
    +tp4503
    +a(g822
    +g961
    +tp4504
    +a(g431
    +Vmu
    +p4505
    +tp4506
    +a(g408
    +g989
    +tp4507
    +a(g822
    +g961
    +tp4508
    +a(g569
    +Vbinom
    +p4509
    +tp4510
    +a(g701
    +g1120
    +tp4511
    +a(g569
    +Vfloor
    +p4512
    +tp4513
    +a(g701
    +g1120
    +tp4514
    +a(g431
    +Vmu
    +p4515
    +tp4516
    +a(g701
    +g1123
    +tp4517
    +a(g408
    +g989
    +tp4518
    +a(g822
    +g961
    +tp4519
    +a(g431
    +g1084
    +tp4520
    +a(g408
    +g989
    +tp4521
    +a(g822
    +g961
    +tp4522
    +a(g431
    +g972
    +tp4523
    +a(g701
    +g1123
    +tp4524
    +a(g822
    +g961
    +tp4525
    +a(g431
    +Vnohead
    +p4526
    +tp4527
    +a(g822
    +V\u000a
    +p4528
    +tp4529
    +a(g740
    +Vset
    +p4530
    +tp4531
    +a(g822
    +g961
    +tp4532
    +a(g677
    +Varrow
    +p4533
    +tp4534
    +a(g822
    +g961
    +tp4535
    +a(g431
    +Vfrom
    +p4536
    +tp4537
    +a(g822
    +g961
    +tp4538
    +a(g431
    +Vmu
    +p4539
    +tp4540
    +a(g408
    +g989
    +tp4541
    +a(g822
    +g961
    +tp4542
    +a(g569
    +Vbinom
    +p4543
    +tp4544
    +a(g701
    +g1120
    +tp4545
    +a(g569
    +Vfloor
    +p4546
    +tp4547
    +a(g701
    +g1120
    +tp4548
    +a(g431
    +Vmu
    +p4549
    +tp4550
    +a(g822
    +g961
    +tp4551
    +a(g408
    +g1522
    +tp4552
    +a(g822
    +g961
    +tp4553
    +a(g431
    +Vsigma
    +p4554
    +tp4555
    +a(g701
    +g1123
    +tp4556
    +a(g408
    +g989
    +tp4557
    +a(g822
    +g961
    +tp4558
    +a(g431
    +g1084
    +tp4559
    +a(g408
    +g989
    +tp4560
    +a(g822
    +g961
    +tp4561
    +a(g431
    +g972
    +tp4562
    +a(g701
    +g1123
    +tp4563
    +a(g822
    +g961
    +tp4564
    +a(g822
    +V\u005c\u000a
    +p4565
    +tp4566
    +a(g822
    +V          
    +p4567
    +tp4568
    +a(g431
    +Vto
    +p4569
    +tp4570
    +a(g822
    +g961
    +tp4571
    +a(g431
    +Vmu
    +p4572
    +tp4573
    +a(g822
    +g961
    +tp4574
    +a(g408
    +g1522
    +tp4575
    +a(g822
    +g961
    +tp4576
    +a(g431
    +Vsigma
    +p4577
    +tp4578
    +a(g408
    +g989
    +tp4579
    +a(g822
    +g961
    +tp4580
    +a(g569
    +Vbinom
    +p4581
    +tp4582
    +a(g701
    +g1120
    +tp4583
    +a(g569
    +Vfloor
    +p4584
    +tp4585
    +a(g701
    +g1120
    +tp4586
    +a(g431
    +Vmu
    +p4587
    +tp4588
    +a(g822
    +g961
    +tp4589
    +a(g408
    +g1522
    +tp4590
    +a(g822
    +g961
    +tp4591
    +a(g431
    +Vsigma
    +p4592
    +tp4593
    +a(g701
    +g1123
    +tp4594
    +a(g408
    +g989
    +tp4595
    +a(g822
    +g961
    +tp4596
    +a(g431
    +g1084
    +tp4597
    +a(g408
    +g989
    +tp4598
    +a(g822
    +g961
    +tp4599
    +a(g431
    +g972
    +tp4600
    +a(g701
    +g1123
    +tp4601
    +a(g822
    +g961
    +tp4602
    +a(g431
    +Vnohead
    +p4603
    +tp4604
    +a(g822
    +V\u000a
    +p4605
    +tp4606
    +a(g740
    +Vset
    +p4607
    +tp4608
    +a(g822
    +g961
    +tp4609
    +a(g677
    +Vlabel
    +p4610
    +tp4611
    +a(g822
    +g961
    +tp4612
    +a(g84
    +g1321
    +tp4613
    +a(g84
    +Vmu
    +p4614
    +tp4615
    +a(g84
    +g1321
    +tp4616
    +a(g822
    +g961
    +tp4617
    +a(g431
    +Vat
    +p4618
    +tp4619
    +a(g822
    +g961
    +tp4620
    +a(g431
    +Vmu
    +p4621
    +tp4622
    +a(g822
    +g961
    +tp4623
    +a(g408
    +g1522
    +tp4624
    +a(g822
    +g961
    +tp4625
    +a(g310
    +V0.5
    +p4626
    +tp4627
    +a(g408
    +g989
    +tp4628
    +a(g822
    +g961
    +tp4629
    +a(g431
    +Vymax
    +p4630
    +tp4631
    +a(g822
    +g961
    +tp4632
    +a(g408
    +g1006
    +tp4633
    +a(g822
    +g961
    +tp4634
    +a(g32
    +V10
    +p4635
    +tp4636
    +a(g822
    +V\u000a
    +p4637
    +tp4638
    +a(g740
    +Vset
    +p4639
    +tp4640
    +a(g822
    +g961
    +tp4641
    +a(g677
    +Vlabel
    +p4642
    +tp4643
    +a(g822
    +g961
    +tp4644
    +a(g84
    +g1321
    +tp4645
    +a(g84
    +Vsigma
    +p4646
    +tp4647
    +a(g84
    +g1321
    +tp4648
    +a(g822
    +g961
    +tp4649
    +a(g431
    +Vat
    +p4650
    +tp4651
    +a(g822
    +g961
    +tp4652
    +a(g431
    +Vmu
    +p4653
    +tp4654
    +a(g822
    +g961
    +tp4655
    +a(g408
    +g1522
    +tp4656
    +a(g822
    +g961
    +tp4657
    +a(g310
    +V0.5
    +p4658
    +tp4659
    +a(g822
    +g961
    +tp4660
    +a(g408
    +g1522
    +tp4661
    +a(g822
    +g961
    +tp4662
    +a(g431
    +Vsigma
    +p4663
    +tp4664
    +a(g408
    +g989
    +tp4665
    +a(g822
    +g961
    +tp4666
    +a(g569
    +Vbinom
    +p4667
    +tp4668
    +a(g701
    +g1120
    +tp4669
    +a(g569
    +Vfloor
    +p4670
    +tp4671
    +a(g701
    +g1120
    +tp4672
    +a(g431
    +Vmu
    +p4673
    +tp4674
    +a(g822
    +g961
    +tp4675
    +a(g408
    +g1522
    +tp4676
    +a(g822
    +g961
    +tp4677
    +a(g431
    +Vsigma
    +p4678
    +tp4679
    +a(g701
    +g1123
    +tp4680
    +a(g408
    +g989
    +tp4681
    +a(g822
    +g961
    +tp4682
    +a(g431
    +g1084
    +tp4683
    +a(g408
    +g989
    +tp4684
    +a(g822
    +g961
    +tp4685
    +a(g431
    +g972
    +tp4686
    +a(g701
    +g1123
    +tp4687
    +a(g822
    +V\u000a
    +p4688
    +tp4689
    +a(g740
    +Vplot
    +p4690
    +tp4691
    +a(g822
    +g961
    +tp4692
    +a(g569
    +Vhypgeo
    +p4693
    +tp4694
    +a(g701
    +g1120
    +tp4695
    +a(g431
    +g1036
    +tp4696
    +a(g408
    +g989
    +tp4697
    +a(g822
    +g961
    +tp4698
    +a(g431
    +Vnn
    +p4699
    +tp4700
    +a(g408
    +g989
    +tp4701
    +a(g822
    +g961
    +tp4702
    +a(g431
    +Vmm
    +p4703
    +tp4704
    +a(g408
    +g989
    +tp4705
    +a(g822
    +g961
    +tp4706
    +a(g431
    +g1084
    +tp4707
    +a(g701
    +g1123
    +tp4708
    +a(g822
    +g961
    +tp4709
    +a(g677
    +Vwith
    +p4710
    +tp4711
    +a(g822
    +g961
    +tp4712
    +a(g431
    +Vhisteps
    +p4713
    +tp4714
    +a(g408
    +g989
    +tp4715
    +a(g822
    +g961
    +tp4716
    +a(g569
    +Vbinom
    +p4717
    +tp4718
    +a(g701
    +g1120
    +tp4719
    +a(g431
    +g1036
    +tp4720
    +a(g408
    +g989
    +tp4721
    +a(g822
    +g961
    +tp4722
    +a(g431
    +g1084
    +tp4723
    +a(g408
    +g989
    +tp4724
    +a(g822
    +g961
    +tp4725
    +a(g431
    +g972
    +tp4726
    +a(g701
    +g1123
    +tp4727
    +a(g822
    +g961
    +tp4728
    +a(g677
    +Vwith
    +p4729
    +tp4730
    +a(g822
    +g961
    +tp4731
    +a(g431
    +Vhisteps
    +p4732
    +tp4733
    +a(g822
    +V\u000a
    +p4734
    +tp4735
    +a(g740
    +Vpause
    +p4736
    +tp4737
    +a(g822
    +g961
    +tp4738
    +a(g32
    +V-1
    +p4739
    +tp4740
    +a(g822
    +g961
    +tp4741
    +a(g84
    +g1321
    +tp4742
    +a(g84
    +VHit return to continue
    +p4743
    +tp4744
    +a(g84
    +g1321
    +tp4745
    +a(g822
    +V\u000a
    +p4746
    +tp4747
    +a(g740
    +Vunset
    +p4748
    +tp4749
    +a(g822
    +g961
    +tp4750
    +a(g677
    +Varrow
    +p4751
    +tp4752
    +a(g822
    +V\u000a
    +p4753
    +tp4754
    +a(g740
    +Vunset
    +p4755
    +tp4756
    +a(g822
    +g961
    +tp4757
    +a(g677
    +Vlabel
    +p4758
    +tp4759
    +a(g822
    +V\u000a
    +p4760
    +tp4761
    +a(g822
    +V\u000a
    +p4762
    +tp4763
    +a(g7
    +g956
    +tp4764
    +a(g7
    +g961
    +tp4765
    +a(g7
    +g1178
    +tp4766
    +a(g7
    +g1110
    +tp4767
    +a(g7
    +g972
    +tp4768
    +a(g7
    +g985
    +tp4769
    +a(g7
    +g974
    +tp4770
    +a(g7
    +g1114
    +tp4771
    +a(g7
    +g985
    +tp4772
    +a(g7
    +g976
    +tp4773
    +a(g7
    +g987
    +tp4774
    +a(g7
    +g985
    +tp4775
    +a(g7
    +g1056
    +tp4776
    +a(g7
    +g974
    +tp4777
    +a(g7
    +g1060
    +tp4778
    +a(g7
    +g1065
    +tp4779
    +a(g7
    +g961
    +tp4780
    +a(g7
    +g1561
    +tp4781
    +a(g7
    +g1048
    +tp4782
    +a(g7
    +g1564
    +tp4783
    +a(g7
    +g961
    +tp4784
    +a(g7
    +g1148
    +tp4785
    +a(g7
    +g1025
    +tp4786
    +a(g7
    +g1060
    +tp4787
    +a(g7
    +g1084
    +tp4788
    +a(g7
    +g1114
    +tp4789
    +a(g7
    +g961
    +tp4790
    +a(g7
    +g1084
    +tp4791
    +a(g7
    +g976
    +tp4792
    +a(g7
    +g974
    +tp4793
    +a(g7
    +g987
    +tp4794
    +a(g7
    +g1030
    +tp4795
    +a(g7
    +g1068
    +tp4796
    +a(g7
    +g961
    +tp4797
    +a(g7
    +g1030
    +tp4798
    +a(g7
    +g972
    +tp4799
    +a(g7
    +g972
    +tp4800
    +a(g7
    +g974
    +tp4801
    +a(g7
    +g976
    +tp4802
    +a(g7
    +g1036
    +tp4803
    +a(g7
    +g1060
    +tp4804
    +a(g7
    +g987
    +tp4805
    +a(g7
    +g1030
    +tp4806
    +a(g7
    +g1056
    +tp4807
    +a(g7
    +g1060
    +tp4808
    +a(g7
    +g976
    +tp4809
    +a(g7
    +g1084
    +tp4810
    +a(g822
    +V\u000a
    +p4811
    +tp4812
    +a(g436
    +Vnn
    +p4813
    +tp4814
    +a(g822
    +g961
    +tp4815
    +a(g408
    +g1515
    +tp4816
    +a(g822
    +g961
    +tp4817
    +a(g32
    +V75
    +p4818
    +tp4819
    +a(g701
    +g1601
    +tp4820
    +a(g822
    +g961
    +tp4821
    +a(g436
    +Vmm
    +p4822
    +tp4823
    +a(g822
    +g961
    +tp4824
    +a(g408
    +g1515
    +tp4825
    +a(g822
    +g961
    +tp4826
    +a(g32
    +V25
    +p4827
    +tp4828
    +a(g701
    +g1601
    +tp4829
    +a(g822
    +g961
    +tp4830
    +a(g436
    +g1084
    +tp4831
    +a(g822
    +g961
    +tp4832
    +a(g408
    +g1515
    +tp4833
    +a(g822
    +g961
    +tp4834
    +a(g32
    +V10
    +p4835
    +tp4836
    +a(g822
    +V\u000a
    +p4837
    +tp4838
    +a(g740
    +g972
    +tp4839
    +a(g822
    +g961
    +tp4840
    +a(g408
    +g1515
    +tp4841
    +a(g822
    +g961
    +tp4842
    +a(g569
    +Vreal
    +p4843
    +tp4844
    +a(g701
    +g1120
    +tp4845
    +a(g431
    +Vmm
    +p4846
    +tp4847
    +a(g701
    +g1123
    +tp4848
    +a(g822
    +g961
    +tp4849
    +a(g408
    +g1006
    +tp4850
    +a(g822
    +g961
    +tp4851
    +a(g431
    +Vnn
    +p4852
    +tp4853
    +a(g822
    +V\u000a
    +p4854
    +tp4855
    +a(g436
    +Vmu
    +p4856
    +tp4857
    +a(g822
    +g961
    +tp4858
    +a(g408
    +g1515
    +tp4859
    +a(g822
    +g961
    +tp4860
    +a(g431
    +g1084
    +tp4861
    +a(g822
    +g961
    +tp4862
    +a(g408
    +g1619
    +tp4863
    +a(g822
    +g961
    +tp4864
    +a(g431
    +g972
    +tp4865
    +a(g822
    +V\u000a
    +p4866
    +tp4867
    +a(g436
    +Vsigma
    +p4868
    +tp4869
    +a(g822
    +g961
    +tp4870
    +a(g408
    +g1515
    +tp4871
    +a(g822
    +g961
    +tp4872
    +a(g569
    +Vsqrt
    +p4873
    +tp4874
    +a(g701
    +g1120
    +tp4875
    +a(g569
    +Vreal
    +p4876
    +tp4877
    +a(g701
    +g1120
    +tp4878
    +a(g431
    +Vnn
    +p4879
    +tp4880
    +a(g822
    +g961
    +tp4881
    +a(g408
    +g1194
    +tp4882
    +a(g822
    +g961
    +tp4883
    +a(g431
    +g1084
    +tp4884
    +a(g701
    +g1123
    +tp4885
    +a(g822
    +g961
    +tp4886
    +a(g408
    +g1006
    +tp4887
    +a(g822
    +g961
    +tp4888
    +a(g701
    +g1120
    +tp4889
    +a(g431
    +Vnn
    +p4890
    +tp4891
    +a(g822
    +g961
    +tp4892
    +a(g408
    +g1194
    +tp4893
    +a(g822
    +g961
    +tp4894
    +a(g310
    +V1.0
    +p4895
    +tp4896
    +a(g701
    +g1123
    +tp4897
    +a(g822
    +g961
    +tp4898
    +a(g408
    +g1619
    +tp4899
    +a(g822
    +g961
    +tp4900
    +a(g431
    +g1084
    +tp4901
    +a(g822
    +g961
    +tp4902
    +a(g408
    +g1619
    +tp4903
    +a(g822
    +g961
    +tp4904
    +a(g431
    +g972
    +tp4905
    +a(g822
    +g961
    +tp4906
    +a(g408
    +g1619
    +tp4907
    +a(g822
    +g961
    +tp4908
    +a(g701
    +g1120
    +tp4909
    +a(g310
    +V1.0
    +p4910
    +tp4911
    +a(g822
    +g961
    +tp4912
    +a(g408
    +g1194
    +tp4913
    +a(g822
    +g961
    +tp4914
    +a(g431
    +g972
    +tp4915
    +a(g701
    +g1123
    +tp4916
    +a(g701
    +g1123
    +tp4917
    +a(g822
    +V\u000a
    +p4918
    +tp4919
    +a(g436
    +Vxmin
    +p4920
    +tp4921
    +a(g822
    +g961
    +tp4922
    +a(g408
    +g1515
    +tp4923
    +a(g822
    +g961
    +tp4924
    +a(g569
    +Vfloor
    +p4925
    +tp4926
    +a(g701
    +g1120
    +tp4927
    +a(g431
    +Vmu
    +p4928
    +tp4929
    +a(g822
    +g961
    +tp4930
    +a(g408
    +g1194
    +tp4931
    +a(g822
    +g961
    +tp4932
    +a(g431
    +Vr_sigma
    +p4933
    +tp4934
    +a(g822
    +g961
    +tp4935
    +a(g408
    +g1619
    +tp4936
    +a(g822
    +g961
    +tp4937
    +a(g431
    +Vsigma
    +p4938
    +tp4939
    +a(g701
    +g1123
    +tp4940
    +a(g822
    +V\u000a
    +p4941
    +tp4942
    +a(g436
    +Vxmin
    +p4943
    +tp4944
    +a(g822
    +g961
    +tp4945
    +a(g408
    +g1515
    +tp4946
    +a(g822
    +g961
    +tp4947
    +a(g431
    +Vxmin
    +p4948
    +tp4949
    +a(g822
    +g961
    +tp4950
    +a(g408
    +g1683
    +tp4951
    +a(g822
    +g961
    +tp4952
    +a(g431
    +Vr_xmin
    +p4953
    +tp4954
    +a(g822
    +g961
    +tp4955
    +a(g408
    +g1689
    +tp4956
    +a(g822
    +g961
    +tp4957
    +a(g431
    +Vr_xmin
    +p4958
    +tp4959
    +a(g822
    +g961
    +tp4960
    +a(g408
    +g969
    +tp4961
    +a(g822
    +g961
    +tp4962
    +a(g431
    +Vxmin
    +p4963
    +tp4964
    +a(g822
    +V\u000a
    +p4965
    +tp4966
    +a(g436
    +Vxmax
    +p4967
    +tp4968
    +a(g822
    +g961
    +tp4969
    +a(g408
    +g1515
    +tp4970
    +a(g822
    +g961
    +tp4971
    +a(g569
    +Vceil
    +p4972
    +tp4973
    +a(g701
    +g1120
    +tp4974
    +a(g431
    +Vmu
    +p4975
    +tp4976
    +a(g822
    +g961
    +tp4977
    +a(g408
    +g1522
    +tp4978
    +a(g822
    +g961
    +tp4979
    +a(g431
    +Vr_sigma
    +p4980
    +tp4981
    +a(g822
    +g961
    +tp4982
    +a(g408
    +g1619
    +tp4983
    +a(g822
    +g961
    +tp4984
    +a(g431
    +Vsigma
    +p4985
    +tp4986
    +a(g701
    +g1123
    +tp4987
    +a(g822
    +V\u000a
    +p4988
    +tp4989
    +a(g436
    +Vymax
    +p4990
    +tp4991
    +a(g822
    +g961
    +tp4992
    +a(g408
    +g1515
    +tp4993
    +a(g822
    +g961
    +tp4994
    +a(g310
    +V1.1
    +p4995
    +tp4996
    +a(g822
    +g961
    +tp4997
    +a(g408
    +g1619
    +tp4998
    +a(g822
    +g961
    +tp4999
    +a(g569
    +Vhypgeo
    +p5000
    +tp5001
    +a(g701
    +g1120
    +tp5002
    +a(g569
    +Vfloor
    +p5003
    +tp5004
    +a(g701
    +g1120
    +tp5005
    +a(g431
    +Vmu
    +p5006
    +tp5007
    +a(g701
    +g1123
    +tp5008
    +a(g408
    +g989
    +tp5009
    +a(g822
    +g961
    +tp5010
    +a(g431
    +Vnn
    +p5011
    +tp5012
    +a(g408
    +g989
    +tp5013
    +a(g822
    +g961
    +tp5014
    +a(g431
    +Vmm
    +p5015
    +tp5016
    +a(g408
    +g989
    +tp5017
    +a(g822
    +g961
    +tp5018
    +a(g431
    +g1084
    +tp5019
    +a(g701
    +g1123
    +tp5020
    +a(g822
    +g961
    +tp5021
    +a(g7
    +g956
    +tp5022
    +a(g7
    +g987
    +tp5023
    +a(g7
    +g976
    +tp5024
    +a(g7
    +g967
    +tp5025
    +a(g7
    +g985
    +tp5026
    +a(g7
    +g961
    +tp5027
    +a(g7
    +g976
    +tp5028
    +a(g7
    +g1027
    +tp5029
    +a(g7
    +g961
    +tp5030
    +a(g7
    +g978
    +tp5031
    +a(g7
    +g1060
    +tp5032
    +a(g7
    +g1084
    +tp5033
    +a(g7
    +g976
    +tp5034
    +a(g7
    +g987
    +tp5035
    +a(g7
    +g961
    +tp5036
    +a(g7
    +g1561
    +tp5037
    +a(g7
    +g1048
    +tp5038
    +a(g7
    +g1564
    +tp5039
    +a(g7
    +g961
    +tp5040
    +a(g7
    +g1148
    +tp5041
    +a(g7
    +g1025
    +tp5042
    +a(g7
    +g985
    +tp5043
    +a(g7
    +g967
    +tp5044
    +a(g822
    +V\u000a
    +p5045
    +tp5046
    +a(g740
    +Vset
    +p5047
    +tp5048
    +a(g822
    +g961
    +tp5049
    +a(g677
    +Vkey
    +p5050
    +tp5051
    +a(g822
    +g961
    +tp5052
    +a(g431
    +Vbox
    +p5053
    +tp5054
    +a(g822
    +V\u000a
    +p5055
    +tp5056
    +a(g740
    +Vunset
    +p5057
    +tp5058
    +a(g822
    +g961
    +tp5059
    +a(g677
    +Vzeroaxis
    +p5060
    +tp5061
    +a(g822
    +V\u000a
    +p5062
    +tp5063
    +a(g740
    +Vset
    +p5064
    +tp5065
    +a(g822
    +g961
    +tp5066
    +a(g677
    +Vxrange
    +p5067
    +tp5068
    +a(g822
    +g961
    +tp5069
    +a(g701
    +g1807
    +tp5070
    +a(g431
    +Vxmin
    +p5071
    +tp5072
    +a(g822
    +g961
    +tp5073
    +a(g408
    +g1194
    +tp5074
    +a(g822
    +g961
    +tp5075
    +a(g32
    +g994
    +tp5076
    +a(g822
    +g961
    +tp5077
    +a(g408
    +g969
    +tp5078
    +a(g822
    +g961
    +tp5079
    +a(g431
    +Vxmax
    +p5080
    +tp5081
    +a(g822
    +g961
    +tp5082
    +a(g408
    +g1522
    +tp5083
    +a(g822
    +g961
    +tp5084
    +a(g32
    +g994
    +tp5085
    +a(g701
    +g1824
    +tp5086
    +a(g822
    +V\u000a
    +p5087
    +tp5088
    +a(g740
    +Vset
    +p5089
    +tp5090
    +a(g822
    +g961
    +tp5091
    +a(g677
    +Vyrange
    +p5092
    +tp5093
    +a(g822
    +g961
    +tp5094
    +a(g701
    +g1807
    +tp5095
    +a(g32
    +g1001
    +tp5096
    +a(g822
    +g961
    +tp5097
    +a(g408
    +g969
    +tp5098
    +a(g822
    +g961
    +tp5099
    +a(g431
    +Vymax
    +p5100
    +tp5101
    +a(g701
    +g1824
    +tp5102
    +a(g822
    +V\u000a
    +p5103
    +tp5104
    +a(g740
    +Vset
    +p5105
    +tp5106
    +a(g822
    +g961
    +tp5107
    +a(g677
    +Vxlabel
    +p5108
    +tp5109
    +a(g822
    +g961
    +tp5110
    +a(g84
    +g1321
    +tp5111
    +a(g84
    +Vk, x ->
    +p5112
    +tp5113
    +a(g84
    +g1321
    +tp5114
    +a(g822
    +V\u000a
    +p5115
    +tp5116
    +a(g740
    +Vset
    +p5117
    +tp5118
    +a(g822
    +g961
    +tp5119
    +a(g677
    +Vylabel
    +p5120
    +tp5121
    +a(g822
    +g961
    +tp5122
    +a(g84
    +g1321
    +tp5123
    +a(g84
    +Vprobability density ->
    +p5124
    +tp5125
    +a(g84
    +g1321
    +tp5126
    +a(g822
    +V\u000a
    +p5127
    +tp5128
    +a(g740
    +Vset
    +p5129
    +tp5130
    +a(g822
    +g961
    +tp5131
    +a(g677
    +Vytics
    +p5132
    +tp5133
    +a(g822
    +g961
    +tp5134
    +a(g32
    +g1001
    +tp5135
    +a(g408
    +g989
    +tp5136
    +a(g822
    +g961
    +tp5137
    +a(g431
    +Vymax
    +p5138
    +tp5139
    +a(g822
    +g961
    +tp5140
    +a(g408
    +g1006
    +tp5141
    +a(g822
    +g961
    +tp5142
    +a(g310
    +V10.0
    +p5143
    +tp5144
    +a(g408
    +g989
    +tp5145
    +a(g822
    +g961
    +tp5146
    +a(g431
    +Vymax
    +p5147
    +tp5148
    +a(g822
    +V\u000a
    +p5149
    +tp5150
    +a(g740
    +Vset
    +p5151
    +tp5152
    +a(g822
    +g961
    +tp5153
    +a(g677
    +Vformat
    +p5154
    +tp5155
    +a(g822
    +g961
    +tp5156
    +a(g431
    +g1036
    +tp5157
    +a(g822
    +g961
    +tp5158
    +a(g84
    +g1321
    +tp5159
    +a(g84
    +V%2.0f
    +p5160
    +tp5161
    +a(g84
    +g1321
    +tp5162
    +a(g822
    +V\u000a
    +p5163
    +tp5164
    +a(g740
    +Vset
    +p5165
    +tp5166
    +a(g822
    +g961
    +tp5167
    +a(g677
    +Vformat
    +p5168
    +tp5169
    +a(g822
    +g961
    +tp5170
    +a(g431
    +g1110
    +tp5171
    +a(g822
    +g961
    +tp5172
    +a(g84
    +g1321
    +tp5173
    +a(g84
    +V%3.2f
    +p5174
    +tp5175
    +a(g84
    +g1321
    +tp5176
    +a(g822
    +V\u000a
    +p5177
    +tp5178
    +a(g740
    +Vset
    +p5179
    +tp5180
    +a(g822
    +g961
    +tp5181
    +a(g677
    +Vsample
    +p5182
    +tp5183
    +a(g822
    +g961
    +tp5184
    +a(g32
    +V200
    +p5185
    +tp5186
    +a(g822
    +V\u000a
    +p5187
    +tp5188
    +a(g740
    +Vset
    +p5189
    +tp5190
    +a(g822
    +g961
    +tp5191
    +a(g677
    +Vtitle
    +p5192
    +tp5193
    +a(g822
    +g961
    +tp5194
    +a(g84
    +g1321
    +tp5195
    +a(g84
    +Vhypergeometric PDF using normal approximation
    +p5196
    +tp5197
    +a(g84
    +g1321
    +tp5198
    +a(g822
    +V\u000a
    +p5199
    +tp5200
    +a(g740
    +Vset
    +p5201
    +tp5202
    +a(g822
    +g961
    +tp5203
    +a(g677
    +Varrow
    +p5204
    +tp5205
    +a(g822
    +g961
    +tp5206
    +a(g431
    +Vfrom
    +p5207
    +tp5208
    +a(g822
    +g961
    +tp5209
    +a(g431
    +Vmu
    +p5210
    +tp5211
    +a(g408
    +g989
    +tp5212
    +a(g822
    +g961
    +tp5213
    +a(g32
    +g1001
    +tp5214
    +a(g822
    +g961
    +tp5215
    +a(g431
    +Vto
    +p5216
    +tp5217
    +a(g822
    +g961
    +tp5218
    +a(g431
    +Vmu
    +p5219
    +tp5220
    +a(g408
    +g989
    +tp5221
    +a(g822
    +g961
    +tp5222
    +a(g569
    +Vnormal
    +p5223
    +tp5224
    +a(g701
    +g1120
    +tp5225
    +a(g431
    +Vmu
    +p5226
    +tp5227
    +a(g408
    +g989
    +tp5228
    +a(g822
    +g961
    +tp5229
    +a(g431
    +Vmu
    +p5230
    +tp5231
    +a(g408
    +g989
    +tp5232
    +a(g822
    +g961
    +tp5233
    +a(g431
    +Vsigma
    +p5234
    +tp5235
    +a(g701
    +g1123
    +tp5236
    +a(g822
    +g961
    +tp5237
    +a(g431
    +Vnohead
    +p5238
    +tp5239
    +a(g822
    +V\u000a
    +p5240
    +tp5241
    +a(g740
    +Vset
    +p5242
    +tp5243
    +a(g822
    +g961
    +tp5244
    +a(g677
    +Varrow
    +p5245
    +tp5246
    +a(g822
    +g961
    +tp5247
    +a(g431
    +Vfrom
    +p5248
    +tp5249
    +a(g822
    +g961
    +tp5250
    +a(g431
    +Vmu
    +p5251
    +tp5252
    +a(g408
    +g989
    +tp5253
    +a(g822
    +g961
    +tp5254
    +a(g569
    +Vnormal
    +p5255
    +tp5256
    +a(g701
    +g1120
    +tp5257
    +a(g431
    +Vmu
    +p5258
    +tp5259
    +a(g822
    +g961
    +tp5260
    +a(g408
    +g1522
    +tp5261
    +a(g822
    +g961
    +tp5262
    +a(g431
    +Vsigma
    +p5263
    +tp5264
    +a(g408
    +g989
    +tp5265
    +a(g822
    +g961
    +tp5266
    +a(g431
    +Vmu
    +p5267
    +tp5268
    +a(g408
    +g989
    +tp5269
    +a(g822
    +g961
    +tp5270
    +a(g431
    +Vsigma
    +p5271
    +tp5272
    +a(g701
    +g1123
    +tp5273
    +a(g822
    +g961
    +tp5274
    +a(g822
    +V\u005c\u000a
    +p5275
    +tp5276
    +a(g822
    +V          
    +p5277
    +tp5278
    +a(g431
    +Vto
    +p5279
    +tp5280
    +a(g822
    +g961
    +tp5281
    +a(g431
    +Vmu
    +p5282
    +tp5283
    +a(g822
    +g961
    +tp5284
    +a(g408
    +g1522
    +tp5285
    +a(g822
    +g961
    +tp5286
    +a(g431
    +Vsigma
    +p5287
    +tp5288
    +a(g408
    +g989
    +tp5289
    +a(g822
    +g961
    +tp5290
    +a(g569
    +Vnormal
    +p5291
    +tp5292
    +a(g701
    +g1120
    +tp5293
    +a(g431
    +Vmu
    +p5294
    +tp5295
    +a(g822
    +g961
    +tp5296
    +a(g408
    +g1522
    +tp5297
    +a(g822
    +g961
    +tp5298
    +a(g431
    +Vsigma
    +p5299
    +tp5300
    +a(g408
    +g989
    +tp5301
    +a(g822
    +g961
    +tp5302
    +a(g431
    +Vmu
    +p5303
    +tp5304
    +a(g408
    +g989
    +tp5305
    +a(g822
    +g961
    +tp5306
    +a(g431
    +Vsigma
    +p5307
    +tp5308
    +a(g701
    +g1123
    +tp5309
    +a(g822
    +g961
    +tp5310
    +a(g431
    +Vnohead
    +p5311
    +tp5312
    +a(g822
    +V\u000a
    +p5313
    +tp5314
    +a(g740
    +Vset
    +p5315
    +tp5316
    +a(g822
    +g961
    +tp5317
    +a(g677
    +Vlabel
    +p5318
    +tp5319
    +a(g822
    +g961
    +tp5320
    +a(g84
    +g1321
    +tp5321
    +a(g84
    +Vmu
    +p5322
    +tp5323
    +a(g84
    +g1321
    +tp5324
    +a(g822
    +g961
    +tp5325
    +a(g431
    +Vat
    +p5326
    +tp5327
    +a(g822
    +g961
    +tp5328
    +a(g431
    +Vmu
    +p5329
    +tp5330
    +a(g822
    +g961
    +tp5331
    +a(g408
    +g1522
    +tp5332
    +a(g822
    +g961
    +tp5333
    +a(g310
    +V0.5
    +p5334
    +tp5335
    +a(g408
    +g989
    +tp5336
    +a(g822
    +g961
    +tp5337
    +a(g431
    +Vymax
    +p5338
    +tp5339
    +a(g822
    +g961
    +tp5340
    +a(g408
    +g1006
    +tp5341
    +a(g822
    +g961
    +tp5342
    +a(g32
    +V10
    +p5343
    +tp5344
    +a(g822
    +V\u000a
    +p5345
    +tp5346
    +a(g740
    +Vset
    +p5347
    +tp5348
    +a(g822
    +g961
    +tp5349
    +a(g677
    +Vlabel
    +p5350
    +tp5351
    +a(g822
    +g961
    +tp5352
    +a(g84
    +g1321
    +tp5353
    +a(g84
    +Vsigma
    +p5354
    +tp5355
    +a(g84
    +g1321
    +tp5356
    +a(g822
    +g961
    +tp5357
    +a(g431
    +Vat
    +p5358
    +tp5359
    +a(g822
    +g961
    +tp5360
    +a(g431
    +Vmu
    +p5361
    +tp5362
    +a(g822
    +g961
    +tp5363
    +a(g408
    +g1522
    +tp5364
    +a(g822
    +g961
    +tp5365
    +a(g310
    +V0.5
    +p5366
    +tp5367
    +a(g822
    +g961
    +tp5368
    +a(g408
    +g1522
    +tp5369
    +a(g822
    +g961
    +tp5370
    +a(g431
    +Vsigma
    +p5371
    +tp5372
    +a(g408
    +g989
    +tp5373
    +a(g822
    +g961
    +tp5374
    +a(g569
    +Vnormal
    +p5375
    +tp5376
    +a(g701
    +g1120
    +tp5377
    +a(g431
    +Vmu
    +p5378
    +tp5379
    +a(g822
    +g961
    +tp5380
    +a(g408
    +g1522
    +tp5381
    +a(g822
    +g961
    +tp5382
    +a(g431
    +Vsigma
    +p5383
    +tp5384
    +a(g408
    +g989
    +tp5385
    +a(g822
    +g961
    +tp5386
    +a(g431
    +Vmu
    +p5387
    +tp5388
    +a(g408
    +g989
    +tp5389
    +a(g822
    +g961
    +tp5390
    +a(g431
    +Vsigma
    +p5391
    +tp5392
    +a(g701
    +g1123
    +tp5393
    +a(g822
    +V\u000a
    +p5394
    +tp5395
    +a(g740
    +Vplot
    +p5396
    +tp5397
    +a(g822
    +g961
    +tp5398
    +a(g569
    +Vhypgeo
    +p5399
    +tp5400
    +a(g701
    +g1120
    +tp5401
    +a(g569
    +Vrnd
    +p5402
    +tp5403
    +a(g701
    +g1120
    +tp5404
    +a(g431
    +g1036
    +tp5405
    +a(g701
    +g1123
    +tp5406
    +a(g408
    +g989
    +tp5407
    +a(g822
    +g961
    +tp5408
    +a(g431
    +Vnn
    +p5409
    +tp5410
    +a(g408
    +g989
    +tp5411
    +a(g822
    +g961
    +tp5412
    +a(g431
    +Vmm
    +p5413
    +tp5414
    +a(g408
    +g989
    +tp5415
    +a(g822
    +g961
    +tp5416
    +a(g431
    +g1084
    +tp5417
    +a(g701
    +g1123
    +tp5418
    +a(g822
    +g961
    +tp5419
    +a(g677
    +Vwith
    +p5420
    +tp5421
    +a(g822
    +g961
    +tp5422
    +a(g431
    +Vhisteps
    +p5423
    +tp5424
    +a(g408
    +g989
    +tp5425
    +a(g822
    +g961
    +tp5426
    +a(g569
    +Vnormal
    +p5427
    +tp5428
    +a(g701
    +g1120
    +tp5429
    +a(g431
    +g1036
    +tp5430
    +a(g408
    +g989
    +tp5431
    +a(g822
    +g961
    +tp5432
    +a(g431
    +Vmu
    +p5433
    +tp5434
    +a(g408
    +g989
    +tp5435
    +a(g822
    +g961
    +tp5436
    +a(g431
    +Vsigma
    +p5437
    +tp5438
    +a(g701
    +g1123
    +tp5439
    +a(g822
    +V\u000a
    +p5440
    +tp5441
    +a(g740
    +Vpause
    +p5442
    +tp5443
    +a(g822
    +g961
    +tp5444
    +a(g32
    +V-1
    +p5445
    +tp5446
    +a(g822
    +g961
    +tp5447
    +a(g84
    +g1321
    +tp5448
    +a(g84
    +VHit return to continue
    +p5449
    +tp5450
    +a(g84
    +g1321
    +tp5451
    +a(g822
    +V\u000a
    +p5452
    +tp5453
    +a(g740
    +Vunset
    +p5454
    +tp5455
    +a(g822
    +g961
    +tp5456
    +a(g677
    +Varrow
    +p5457
    +tp5458
    +a(g822
    +V\u000a
    +p5459
    +tp5460
    +a(g740
    +Vunset
    +p5461
    +tp5462
    +a(g822
    +g961
    +tp5463
    +a(g677
    +Vlabel
    +p5464
    +tp5465
    +a(g822
    +V\u000a
    +p5466
    +tp5467
    +a(g822
    +V\u000a
    +p5468
    +tp5469
    +a(g7
    +g956
    +tp5470
    +a(g7
    +g961
    +tp5471
    +a(g7
    +VN
    +p5472
    +tp5473
    +a(g7
    +g985
    +tp5474
    +a(g7
    +g1114
    +tp5475
    +a(g7
    +g1030
    +tp5476
    +a(g7
    +g1056
    +tp5477
    +a(g7
    +g1060
    +tp5478
    +a(g7
    +g991
    +tp5479
    +a(g7
    +g985
    +tp5480
    +a(g7
    +g961
    +tp5481
    +a(g7
    +g978
    +tp5482
    +a(g7
    +g1060
    +tp5483
    +a(g7
    +g1084
    +tp5484
    +a(g7
    +g976
    +tp5485
    +a(g7
    +g987
    +tp5486
    +a(g7
    +g1060
    +tp5487
    +a(g7
    +g1030
    +tp5488
    +a(g7
    +g1068
    +tp5489
    +a(g7
    +g961
    +tp5490
    +a(g7
    +g1561
    +tp5491
    +a(g7
    +g1048
    +tp5492
    +a(g7
    +g1564
    +tp5493
    +a(g7
    +g961
    +tp5494
    +a(g7
    +g1148
    +tp5495
    +a(g7
    +g1025
    +tp5496
    +a(g7
    +g1060
    +tp5497
    +a(g7
    +g1084
    +tp5498
    +a(g7
    +g1114
    +tp5499
    +a(g7
    +g961
    +tp5500
    +a(g7
    +g1114
    +tp5501
    +a(g7
    +g1030
    +tp5502
    +a(g7
    +g987
    +tp5503
    +a(g7
    +g987
    +tp5504
    +a(g7
    +g1030
    +tp5505
    +a(g7
    +g961
    +tp5506
    +a(g7
    +g1030
    +tp5507
    +a(g7
    +g972
    +tp5508
    +a(g7
    +g972
    +tp5509
    +a(g7
    +g974
    +tp5510
    +a(g7
    +g976
    +tp5511
    +a(g7
    +g1036
    +tp5512
    +a(g7
    +g1060
    +tp5513
    +a(g7
    +g987
    +tp5514
    +a(g7
    +g1030
    +tp5515
    +a(g7
    +g1056
    +tp5516
    +a(g7
    +g1060
    +tp5517
    +a(g7
    +g976
    +tp5518
    +a(g7
    +g1084
    +tp5519
    +a(g822
    +V\u000a
    +p5520
    +tp5521
    +a(g436
    +g974
    +tp5522
    +a(g822
    +g961
    +tp5523
    +a(g408
    +g1515
    +tp5524
    +a(g822
    +g961
    +tp5525
    +a(g32
    +V8
    +p5526
    +tp5527
    +a(g701
    +g1601
    +tp5528
    +a(g822
    +g961
    +tp5529
    +a(g740
    +g972
    +tp5530
    +a(g822
    +g961
    +tp5531
    +a(g408
    +g1515
    +tp5532
    +a(g822
    +g961
    +tp5533
    +a(g310
    +V0.6
    +p5534
    +tp5535
    +a(g822
    +V\u000a
    +p5536
    +tp5537
    +a(g436
    +Vmu
    +p5538
    +tp5539
    +a(g822
    +g961
    +tp5540
    +a(g408
    +g1515
    +tp5541
    +a(g822
    +g961
    +tp5542
    +a(g431
    +g974
    +tp5543
    +a(g822
    +g961
    +tp5544
    +a(g408
    +g1619
    +tp5545
    +a(g822
    +g961
    +tp5546
    +a(g701
    +g1120
    +tp5547
    +a(g310
    +V1.0
    +p5548
    +tp5549
    +a(g822
    +g961
    +tp5550
    +a(g408
    +g1194
    +tp5551
    +a(g822
    +g961
    +tp5552
    +a(g431
    +g972
    +tp5553
    +a(g701
    +g1123
    +tp5554
    +a(g822
    +g961
    +tp5555
    +a(g408
    +g1006
    +tp5556
    +a(g822
    +g961
    +tp5557
    +a(g431
    +g972
    +tp5558
    +a(g822
    +V\u000a
    +p5559
    +tp5560
    +a(g436
    +Vsigma
    +p5561
    +tp5562
    +a(g822
    +g961
    +tp5563
    +a(g408
    +g1515
    +tp5564
    +a(g822
    +g961
    +tp5565
    +a(g569
    +Vsqrt
    +p5566
    +tp5567
    +a(g701
    +g1120
    +tp5568
    +a(g431
    +Vmu
    +p5569
    +tp5570
    +a(g822
    +g961
    +tp5571
    +a(g408
    +g1006
    +tp5572
    +a(g822
    +g961
    +tp5573
    +a(g431
    +g972
    +tp5574
    +a(g701
    +g1123
    +tp5575
    +a(g822
    +V\u000a
    +p5576
    +tp5577
    +a(g436
    +Vlambda
    +p5578
    +tp5579
    +a(g822
    +g961
    +tp5580
    +a(g408
    +g1515
    +tp5581
    +a(g822
    +g961
    +tp5582
    +a(g431
    +g972
    +tp5583
    +a(g822
    +V\u000a
    +p5584
    +tp5585
    +a(g436
    +Vrho
    +p5586
    +tp5587
    +a(g822
    +g961
    +tp5588
    +a(g408
    +g1515
    +tp5589
    +a(g822
    +g961
    +tp5590
    +a(g431
    +g974
    +tp5591
    +a(g822
    +g961
    +tp5592
    +a(g408
    +g1619
    +tp5593
    +a(g822
    +g961
    +tp5594
    +a(g701
    +g1120
    +tp5595
    +a(g310
    +V1.0
    +p5596
    +tp5597
    +a(g822
    +g961
    +tp5598
    +a(g408
    +g1194
    +tp5599
    +a(g822
    +g961
    +tp5600
    +a(g431
    +g972
    +tp5601
    +a(g701
    +g1123
    +tp5602
    +a(g822
    +V\u000a
    +p5603
    +tp5604
    +a(g436
    +Vxmin
    +p5605
    +tp5606
    +a(g822
    +g961
    +tp5607
    +a(g408
    +g1515
    +tp5608
    +a(g822
    +g961
    +tp5609
    +a(g569
    +Vfloor
    +p5610
    +tp5611
    +a(g701
    +g1120
    +tp5612
    +a(g431
    +Vmu
    +p5613
    +tp5614
    +a(g822
    +g961
    +tp5615
    +a(g408
    +g1194
    +tp5616
    +a(g822
    +g961
    +tp5617
    +a(g431
    +Vr_sigma
    +p5618
    +tp5619
    +a(g822
    +g961
    +tp5620
    +a(g408
    +g1619
    +tp5621
    +a(g822
    +g961
    +tp5622
    +a(g431
    +Vsigma
    +p5623
    +tp5624
    +a(g701
    +g1123
    +tp5625
    +a(g822
    +V\u000a
    +p5626
    +tp5627
    +a(g436
    +Vxmin
    +p5628
    +tp5629
    +a(g822
    +g961
    +tp5630
    +a(g408
    +g1515
    +tp5631
    +a(g822
    +g961
    +tp5632
    +a(g431
    +Vxmin
    +p5633
    +tp5634
    +a(g822
    +g961
    +tp5635
    +a(g408
    +g1683
    +tp5636
    +a(g822
    +g961
    +tp5637
    +a(g431
    +Vr_xmin
    +p5638
    +tp5639
    +a(g822
    +g961
    +tp5640
    +a(g408
    +g1689
    +tp5641
    +a(g822
    +g961
    +tp5642
    +a(g431
    +Vr_xmin
    +p5643
    +tp5644
    +a(g822
    +g961
    +tp5645
    +a(g408
    +g969
    +tp5646
    +a(g822
    +g961
    +tp5647
    +a(g431
    +Vxmin
    +p5648
    +tp5649
    +a(g822
    +V\u000a
    +p5650
    +tp5651
    +a(g436
    +Vxmax
    +p5652
    +tp5653
    +a(g822
    +g961
    +tp5654
    +a(g408
    +g1515
    +tp5655
    +a(g822
    +g961
    +tp5656
    +a(g569
    +Vceil
    +p5657
    +tp5658
    +a(g701
    +g1120
    +tp5659
    +a(g431
    +Vmu
    +p5660
    +tp5661
    +a(g822
    +g961
    +tp5662
    +a(g408
    +g1522
    +tp5663
    +a(g822
    +g961
    +tp5664
    +a(g431
    +Vr_sigma
    +p5665
    +tp5666
    +a(g822
    +g961
    +tp5667
    +a(g408
    +g1619
    +tp5668
    +a(g822
    +g961
    +tp5669
    +a(g431
    +Vsigma
    +p5670
    +tp5671
    +a(g701
    +g1123
    +tp5672
    +a(g822
    +V\u000a
    +p5673
    +tp5674
    +a(g436
    +Vymax
    +p5675
    +tp5676
    +a(g822
    +g961
    +tp5677
    +a(g408
    +g1515
    +tp5678
    +a(g822
    +g961
    +tp5679
    +a(g310
    +V1.1
    +p5680
    +tp5681
    +a(g822
    +g961
    +tp5682
    +a(g408
    +g1619
    +tp5683
    +a(g822
    +g961
    +tp5684
    +a(g569
    +Vgmm
    +p5685
    +tp5686
    +a(g701
    +g1120
    +tp5687
    +a(g701
    +g1120
    +tp5688
    +a(g431
    +Vrho
    +p5689
    +tp5690
    +a(g822
    +g961
    +tp5691
    +a(g408
    +g1194
    +tp5692
    +a(g822
    +g961
    +tp5693
    +a(g32
    +g994
    +tp5694
    +a(g701
    +g1123
    +tp5695
    +a(g822
    +g961
    +tp5696
    +a(g408
    +g1006
    +tp5697
    +a(g822
    +g961
    +tp5698
    +a(g431
    +Vlambda
    +p5699
    +tp5700
    +a(g408
    +g989
    +tp5701
    +a(g822
    +g961
    +tp5702
    +a(g431
    +Vrho
    +p5703
    +tp5704
    +a(g408
    +g989
    +tp5705
    +a(g822
    +g961
    +tp5706
    +a(g431
    +Vlambda
    +p5707
    +tp5708
    +a(g701
    +g1123
    +tp5709
    +a(g822
    +g961
    +tp5710
    +a(g7
    +g956
    +tp5711
    +a(g7
    +g987
    +tp5712
    +a(g7
    +g976
    +tp5713
    +a(g7
    +g967
    +tp5714
    +a(g7
    +g985
    +tp5715
    +a(g7
    +g961
    +tp5716
    +a(g7
    +g976
    +tp5717
    +a(g7
    +g1027
    +tp5718
    +a(g7
    +g961
    +tp5719
    +a(g7
    +g1114
    +tp5720
    +a(g7
    +g1030
    +tp5721
    +a(g7
    +g987
    +tp5722
    +a(g7
    +g987
    +tp5723
    +a(g7
    +g1030
    +tp5724
    +a(g7
    +g961
    +tp5725
    +a(g7
    +g1561
    +tp5726
    +a(g7
    +g1048
    +tp5727
    +a(g7
    +g1564
    +tp5728
    +a(g7
    +g961
    +tp5729
    +a(g7
    +g1148
    +tp5730
    +a(g7
    +g1025
    +tp5731
    +a(g7
    +g985
    +tp5732
    +a(g7
    +g967
    +tp5733
    +a(g822
    +V\u000a
    +p5734
    +tp5735
    +a(g740
    +Vset
    +p5736
    +tp5737
    +a(g822
    +g961
    +tp5738
    +a(g677
    +Vkey
    +p5739
    +tp5740
    +a(g822
    +g961
    +tp5741
    +a(g431
    +Vbox
    +p5742
    +tp5743
    +a(g822
    +V\u000a
    +p5744
    +tp5745
    +a(g740
    +Vunset
    +p5746
    +tp5747
    +a(g822
    +g961
    +tp5748
    +a(g677
    +Vzeroaxis
    +p5749
    +tp5750
    +a(g822
    +V\u000a
    +p5751
    +tp5752
    +a(g740
    +Vset
    +p5753
    +tp5754
    +a(g822
    +g961
    +tp5755
    +a(g677
    +Vxrange
    +p5756
    +tp5757
    +a(g822
    +g961
    +tp5758
    +a(g701
    +g1807
    +tp5759
    +a(g431
    +Vxmin
    +p5760
    +tp5761
    +a(g822
    +g961
    +tp5762
    +a(g408
    +g1194
    +tp5763
    +a(g822
    +g961
    +tp5764
    +a(g32
    +g994
    +tp5765
    +a(g822
    +g961
    +tp5766
    +a(g408
    +g969
    +tp5767
    +a(g822
    +g961
    +tp5768
    +a(g431
    +Vxmax
    +p5769
    +tp5770
    +a(g822
    +g961
    +tp5771
    +a(g408
    +g1522
    +tp5772
    +a(g822
    +g961
    +tp5773
    +a(g32
    +g994
    +tp5774
    +a(g701
    +g1824
    +tp5775
    +a(g822
    +V\u000a
    +p5776
    +tp5777
    +a(g740
    +Vset
    +p5778
    +tp5779
    +a(g822
    +g961
    +tp5780
    +a(g677
    +Vyrange
    +p5781
    +tp5782
    +a(g822
    +g961
    +tp5783
    +a(g701
    +g1807
    +tp5784
    +a(g32
    +g1001
    +tp5785
    +a(g822
    +g961
    +tp5786
    +a(g408
    +g969
    +tp5787
    +a(g822
    +g961
    +tp5788
    +a(g431
    +Vymax
    +p5789
    +tp5790
    +a(g701
    +g1824
    +tp5791
    +a(g822
    +V\u000a
    +p5792
    +tp5793
    +a(g740
    +Vset
    +p5794
    +tp5795
    +a(g822
    +g961
    +tp5796
    +a(g677
    +Vxlabel
    +p5797
    +tp5798
    +a(g822
    +g961
    +tp5799
    +a(g84
    +g1321
    +tp5800
    +a(g84
    +Vk, x ->
    +p5801
    +tp5802
    +a(g84
    +g1321
    +tp5803
    +a(g822
    +V\u000a
    +p5804
    +tp5805
    +a(g740
    +Vset
    +p5806
    +tp5807
    +a(g822
    +g961
    +tp5808
    +a(g677
    +Vylabel
    +p5809
    +tp5810
    +a(g822
    +g961
    +tp5811
    +a(g84
    +g1321
    +tp5812
    +a(g84
    +Vprobability density ->
    +p5813
    +tp5814
    +a(g84
    +g1321
    +tp5815
    +a(g822
    +V\u000a
    +p5816
    +tp5817
    +a(g740
    +Vset
    +p5818
    +tp5819
    +a(g822
    +g961
    +tp5820
    +a(g677
    +Vytics
    +p5821
    +tp5822
    +a(g822
    +g961
    +tp5823
    +a(g32
    +g1001
    +tp5824
    +a(g408
    +g989
    +tp5825
    +a(g822
    +g961
    +tp5826
    +a(g431
    +Vymax
    +p5827
    +tp5828
    +a(g822
    +g961
    +tp5829
    +a(g408
    +g1006
    +tp5830
    +a(g822
    +g961
    +tp5831
    +a(g310
    +V10.0
    +p5832
    +tp5833
    +a(g408
    +g989
    +tp5834
    +a(g822
    +g961
    +tp5835
    +a(g431
    +Vymax
    +p5836
    +tp5837
    +a(g822
    +V\u000a
    +p5838
    +tp5839
    +a(g740
    +Vset
    +p5840
    +tp5841
    +a(g822
    +g961
    +tp5842
    +a(g677
    +Vformat
    +p5843
    +tp5844
    +a(g822
    +g961
    +tp5845
    +a(g431
    +g1036
    +tp5846
    +a(g822
    +g961
    +tp5847
    +a(g84
    +g1321
    +tp5848
    +a(g84
    +V%2.0f
    +p5849
    +tp5850
    +a(g84
    +g1321
    +tp5851
    +a(g822
    +V\u000a
    +p5852
    +tp5853
    +a(g740
    +Vset
    +p5854
    +tp5855
    +a(g822
    +g961
    +tp5856
    +a(g677
    +Vformat
    +p5857
    +tp5858
    +a(g822
    +g961
    +tp5859
    +a(g431
    +g1110
    +tp5860
    +a(g822
    +g961
    +tp5861
    +a(g84
    +g1321
    +tp5862
    +a(g84
    +V%3.2f
    +p5863
    +tp5864
    +a(g84
    +g1321
    +tp5865
    +a(g822
    +V\u000a
    +p5866
    +tp5867
    +a(g740
    +Vset
    +p5868
    +tp5869
    +a(g822
    +g961
    +tp5870
    +a(g677
    +Vsample
    +p5871
    +tp5872
    +a(g822
    +g961
    +tp5873
    +a(g32
    +V200
    +p5874
    +tp5875
    +a(g822
    +V\u000a
    +p5876
    +tp5877
    +a(g740
    +Vset
    +p5878
    +tp5879
    +a(g822
    +g961
    +tp5880
    +a(g677
    +Vtitle
    +p5881
    +tp5882
    +a(g822
    +g961
    +tp5883
    +a(g84
    +g1321
    +tp5884
    +a(g84
    +Vnegative binomial PDF using gamma approximation
    +p5885
    +tp5886
    +a(g84
    +g1321
    +tp5887
    +a(g822
    +V\u000a
    +p5888
    +tp5889
    +a(g740
    +Vset
    +p5890
    +tp5891
    +a(g822
    +g961
    +tp5892
    +a(g677
    +Varrow
    +p5893
    +tp5894
    +a(g822
    +g961
    +tp5895
    +a(g431
    +Vfrom
    +p5896
    +tp5897
    +a(g822
    +g961
    +tp5898
    +a(g431
    +Vmu
    +p5899
    +tp5900
    +a(g408
    +g989
    +tp5901
    +a(g822
    +g961
    +tp5902
    +a(g32
    +g1001
    +tp5903
    +a(g822
    +g961
    +tp5904
    +a(g431
    +Vto
    +p5905
    +tp5906
    +a(g822
    +g961
    +tp5907
    +a(g431
    +Vmu
    +p5908
    +tp5909
    +a(g408
    +g989
    +tp5910
    +a(g822
    +g961
    +tp5911
    +a(g569
    +Vgmm
    +p5912
    +tp5913
    +a(g701
    +g1120
    +tp5914
    +a(g431
    +Vmu
    +p5915
    +tp5916
    +a(g408
    +g989
    +tp5917
    +a(g822
    +g961
    +tp5918
    +a(g431
    +Vrho
    +p5919
    +tp5920
    +a(g408
    +g989
    +tp5921
    +a(g822
    +g961
    +tp5922
    +a(g431
    +Vlambda
    +p5923
    +tp5924
    +a(g701
    +g1123
    +tp5925
    +a(g822
    +g961
    +tp5926
    +a(g431
    +Vnohead
    +p5927
    +tp5928
    +a(g822
    +V\u000a
    +p5929
    +tp5930
    +a(g740
    +Vset
    +p5931
    +tp5932
    +a(g822
    +g961
    +tp5933
    +a(g677
    +Varrow
    +p5934
    +tp5935
    +a(g822
    +g961
    +tp5936
    +a(g431
    +Vfrom
    +p5937
    +tp5938
    +a(g822
    +g961
    +tp5939
    +a(g431
    +Vmu
    +p5940
    +tp5941
    +a(g408
    +g989
    +tp5942
    +a(g822
    +g961
    +tp5943
    +a(g569
    +Vgmm
    +p5944
    +tp5945
    +a(g701
    +g1120
    +tp5946
    +a(g431
    +Vmu
    +p5947
    +tp5948
    +a(g822
    +g961
    +tp5949
    +a(g408
    +g1522
    +tp5950
    +a(g822
    +g961
    +tp5951
    +a(g431
    +Vsigma
    +p5952
    +tp5953
    +a(g408
    +g989
    +tp5954
    +a(g822
    +g961
    +tp5955
    +a(g431
    +Vrho
    +p5956
    +tp5957
    +a(g408
    +g989
    +tp5958
    +a(g822
    +g961
    +tp5959
    +a(g431
    +Vlambda
    +p5960
    +tp5961
    +a(g701
    +g1123
    +tp5962
    +a(g822
    +g961
    +tp5963
    +a(g822
    +V\u005c\u000a
    +p5964
    +tp5965
    +a(g822
    +V          
    +p5966
    +tp5967
    +a(g431
    +Vto
    +p5968
    +tp5969
    +a(g822
    +g961
    +tp5970
    +a(g431
    +Vmu
    +p5971
    +tp5972
    +a(g822
    +g961
    +tp5973
    +a(g408
    +g1522
    +tp5974
    +a(g822
    +g961
    +tp5975
    +a(g431
    +Vsigma
    +p5976
    +tp5977
    +a(g408
    +g989
    +tp5978
    +a(g822
    +g961
    +tp5979
    +a(g569
    +Vgmm
    +p5980
    +tp5981
    +a(g701
    +g1120
    +tp5982
    +a(g431
    +Vmu
    +p5983
    +tp5984
    +a(g822
    +g961
    +tp5985
    +a(g408
    +g1522
    +tp5986
    +a(g822
    +g961
    +tp5987
    +a(g431
    +Vsigma
    +p5988
    +tp5989
    +a(g408
    +g989
    +tp5990
    +a(g822
    +g961
    +tp5991
    +a(g431
    +Vrho
    +p5992
    +tp5993
    +a(g408
    +g989
    +tp5994
    +a(g822
    +g961
    +tp5995
    +a(g431
    +Vlambda
    +p5996
    +tp5997
    +a(g701
    +g1123
    +tp5998
    +a(g822
    +g961
    +tp5999
    +a(g431
    +Vnohead
    +p6000
    +tp6001
    +a(g822
    +V\u000a
    +p6002
    +tp6003
    +a(g740
    +Vset
    +p6004
    +tp6005
    +a(g822
    +g961
    +tp6006
    +a(g677
    +Vlabel
    +p6007
    +tp6008
    +a(g822
    +g961
    +tp6009
    +a(g84
    +g1321
    +tp6010
    +a(g84
    +Vmu
    +p6011
    +tp6012
    +a(g84
    +g1321
    +tp6013
    +a(g822
    +g961
    +tp6014
    +a(g431
    +Vat
    +p6015
    +tp6016
    +a(g822
    +g961
    +tp6017
    +a(g431
    +Vmu
    +p6018
    +tp6019
    +a(g822
    +g961
    +tp6020
    +a(g408
    +g1522
    +tp6021
    +a(g822
    +g961
    +tp6022
    +a(g310
    +V0.5
    +p6023
    +tp6024
    +a(g408
    +g989
    +tp6025
    +a(g822
    +g961
    +tp6026
    +a(g431
    +Vymax
    +p6027
    +tp6028
    +a(g822
    +g961
    +tp6029
    +a(g408
    +g1006
    +tp6030
    +a(g822
    +g961
    +tp6031
    +a(g32
    +V10
    +p6032
    +tp6033
    +a(g822
    +V\u000a
    +p6034
    +tp6035
    +a(g740
    +Vset
    +p6036
    +tp6037
    +a(g822
    +g961
    +tp6038
    +a(g677
    +Vlabel
    +p6039
    +tp6040
    +a(g822
    +g961
    +tp6041
    +a(g84
    +g1321
    +tp6042
    +a(g84
    +Vsigma
    +p6043
    +tp6044
    +a(g84
    +g1321
    +tp6045
    +a(g822
    +g961
    +tp6046
    +a(g431
    +Vat
    +p6047
    +tp6048
    +a(g822
    +g961
    +tp6049
    +a(g431
    +Vmu
    +p6050
    +tp6051
    +a(g822
    +g961
    +tp6052
    +a(g408
    +g1522
    +tp6053
    +a(g822
    +g961
    +tp6054
    +a(g310
    +V0.5
    +p6055
    +tp6056
    +a(g822
    +g961
    +tp6057
    +a(g408
    +g1522
    +tp6058
    +a(g822
    +g961
    +tp6059
    +a(g431
    +Vsigma
    +p6060
    +tp6061
    +a(g408
    +g989
    +tp6062
    +a(g822
    +g961
    +tp6063
    +a(g569
    +Vgmm
    +p6064
    +tp6065
    +a(g701
    +g1120
    +tp6066
    +a(g431
    +Vmu
    +p6067
    +tp6068
    +a(g822
    +g961
    +tp6069
    +a(g408
    +g1522
    +tp6070
    +a(g822
    +g961
    +tp6071
    +a(g431
    +Vsigma
    +p6072
    +tp6073
    +a(g408
    +g989
    +tp6074
    +a(g822
    +g961
    +tp6075
    +a(g431
    +Vrho
    +p6076
    +tp6077
    +a(g408
    +g989
    +tp6078
    +a(g822
    +g961
    +tp6079
    +a(g431
    +Vlambda
    +p6080
    +tp6081
    +a(g701
    +g1123
    +tp6082
    +a(g822
    +V\u000a
    +p6083
    +tp6084
    +a(g740
    +Vplot
    +p6085
    +tp6086
    +a(g822
    +g961
    +tp6087
    +a(g569
    +Vnegbin
    +p6088
    +tp6089
    +a(g701
    +g1120
    +tp6090
    +a(g569
    +Vrnd
    +p6091
    +tp6092
    +a(g701
    +g1120
    +tp6093
    +a(g431
    +g1036
    +tp6094
    +a(g701
    +g1123
    +tp6095
    +a(g408
    +g989
    +tp6096
    +a(g822
    +g961
    +tp6097
    +a(g431
    +g974
    +tp6098
    +a(g408
    +g989
    +tp6099
    +a(g822
    +g961
    +tp6100
    +a(g431
    +g972
    +tp6101
    +a(g701
    +g1123
    +tp6102
    +a(g822
    +g961
    +tp6103
    +a(g677
    +Vwith
    +p6104
    +tp6105
    +a(g822
    +g961
    +tp6106
    +a(g431
    +Vhisteps
    +p6107
    +tp6108
    +a(g408
    +g989
    +tp6109
    +a(g822
    +g961
    +tp6110
    +a(g569
    +Vgmm
    +p6111
    +tp6112
    +a(g701
    +g1120
    +tp6113
    +a(g431
    +g1036
    +tp6114
    +a(g408
    +g989
    +tp6115
    +a(g822
    +g961
    +tp6116
    +a(g431
    +Vrho
    +p6117
    +tp6118
    +a(g408
    +g989
    +tp6119
    +a(g822
    +g961
    +tp6120
    +a(g431
    +Vlambda
    +p6121
    +tp6122
    +a(g701
    +g1123
    +tp6123
    +a(g822
    +V\u000a
    +p6124
    +tp6125
    +a(g740
    +Vpause
    +p6126
    +tp6127
    +a(g822
    +g961
    +tp6128
    +a(g32
    +V-1
    +p6129
    +tp6130
    +a(g822
    +g961
    +tp6131
    +a(g84
    +g1321
    +tp6132
    +a(g84
    +VHit return to continue
    +p6133
    +tp6134
    +a(g84
    +g1321
    +tp6135
    +a(g822
    +V\u000a
    +p6136
    +tp6137
    +a(g740
    +Vunset
    +p6138
    +tp6139
    +a(g822
    +g961
    +tp6140
    +a(g677
    +Varrow
    +p6141
    +tp6142
    +a(g822
    +V\u000a
    +p6143
    +tp6144
    +a(g740
    +Vunset
    +p6145
    +tp6146
    +a(g822
    +g961
    +tp6147
    +a(g677
    +Vlabel
    +p6148
    +tp6149
    +a(g822
    +V\u000a
    +p6150
    +tp6151
    +a(g822
    +V\u000a
    +p6152
    +tp6153
    +a(g7
    +g956
    +tp6154
    +a(g7
    +g961
    +tp6155
    +a(g7
    +g5472
    +tp6156
    +a(g7
    +g985
    +tp6157
    +a(g7
    +g1114
    +tp6158
    +a(g7
    +g1030
    +tp6159
    +a(g7
    +g1056
    +tp6160
    +a(g7
    +g1060
    +tp6161
    +a(g7
    +g991
    +tp6162
    +a(g7
    +g985
    +tp6163
    +a(g7
    +g961
    +tp6164
    +a(g7
    +g978
    +tp6165
    +a(g7
    +g1060
    +tp6166
    +a(g7
    +g1084
    +tp6167
    +a(g7
    +g976
    +tp6168
    +a(g7
    +g987
    +tp6169
    +a(g7
    +g1060
    +tp6170
    +a(g7
    +g1030
    +tp6171
    +a(g7
    +g1068
    +tp6172
    +a(g7
    +g961
    +tp6173
    +a(g7
    +g1561
    +tp6174
    +a(g7
    +g1048
    +tp6175
    +a(g7
    +g1564
    +tp6176
    +a(g7
    +g961
    +tp6177
    +a(g7
    +g1148
    +tp6178
    +a(g7
    +g1025
    +tp6179
    +a(g7
    +g1060
    +tp6180
    +a(g7
    +g1084
    +tp6181
    +a(g7
    +g1114
    +tp6182
    +a(g7
    +g961
    +tp6183
    +a(g7
    +g1084
    +tp6184
    +a(g7
    +g976
    +tp6185
    +a(g7
    +g974
    +tp6186
    +a(g7
    +g987
    +tp6187
    +a(g7
    +g1030
    +tp6188
    +a(g7
    +g1068
    +tp6189
    +a(g7
    +g961
    +tp6190
    +a(g7
    +g1030
    +tp6191
    +a(g7
    +g972
    +tp6192
    +a(g7
    +g972
    +tp6193
    +a(g7
    +g974
    +tp6194
    +a(g7
    +g976
    +tp6195
    +a(g7
    +g1036
    +tp6196
    +a(g7
    +g1060
    +tp6197
    +a(g7
    +g987
    +tp6198
    +a(g7
    +g1030
    +tp6199
    +a(g7
    +g1056
    +tp6200
    +a(g7
    +g1060
    +tp6201
    +a(g7
    +g976
    +tp6202
    +a(g7
    +g1084
    +tp6203
    +a(g822
    +V\u000a
    +p6204
    +tp6205
    +a(g436
    +g974
    +tp6206
    +a(g822
    +g961
    +tp6207
    +a(g408
    +g1515
    +tp6208
    +a(g822
    +g961
    +tp6209
    +a(g32
    +g5526
    +tp6210
    +a(g701
    +g1601
    +tp6211
    +a(g822
    +g961
    +tp6212
    +a(g740
    +g972
    +tp6213
    +a(g822
    +g961
    +tp6214
    +a(g408
    +g1515
    +tp6215
    +a(g822
    +g961
    +tp6216
    +a(g310
    +V0.4
    +p6217
    +tp6218
    +a(g822
    +V\u000a
    +p6219
    +tp6220
    +a(g436
    +Vmu
    +p6221
    +tp6222
    +a(g822
    +g961
    +tp6223
    +a(g408
    +g1515
    +tp6224
    +a(g822
    +g961
    +tp6225
    +a(g431
    +g974
    +tp6226
    +a(g822
    +g961
    +tp6227
    +a(g408
    +g1619
    +tp6228
    +a(g822
    +g961
    +tp6229
    +a(g701
    +g1120
    +tp6230
    +a(g310
    +V1.0
    +p6231
    +tp6232
    +a(g822
    +g961
    +tp6233
    +a(g408
    +g1194
    +tp6234
    +a(g822
    +g961
    +tp6235
    +a(g431
    +g972
    +tp6236
    +a(g701
    +g1123
    +tp6237
    +a(g822
    +g961
    +tp6238
    +a(g408
    +g1006
    +tp6239
    +a(g822
    +g961
    +tp6240
    +a(g431
    +g972
    +tp6241
    +a(g822
    +V\u000a
    +p6242
    +tp6243
    +a(g436
    +Vsigma
    +p6244
    +tp6245
    +a(g822
    +g961
    +tp6246
    +a(g408
    +g1515
    +tp6247
    +a(g822
    +g961
    +tp6248
    +a(g569
    +Vsqrt
    +p6249
    +tp6250
    +a(g701
    +g1120
    +tp6251
    +a(g431
    +Vmu
    +p6252
    +tp6253
    +a(g822
    +g961
    +tp6254
    +a(g408
    +g1006
    +tp6255
    +a(g822
    +g961
    +tp6256
    +a(g431
    +g972
    +tp6257
    +a(g701
    +g1123
    +tp6258
    +a(g822
    +V\u000a
    +p6259
    +tp6260
    +a(g436
    +Vxmin
    +p6261
    +tp6262
    +a(g822
    +g961
    +tp6263
    +a(g408
    +g1515
    +tp6264
    +a(g822
    +g961
    +tp6265
    +a(g569
    +Vfloor
    +p6266
    +tp6267
    +a(g701
    +g1120
    +tp6268
    +a(g431
    +Vmu
    +p6269
    +tp6270
    +a(g822
    +g961
    +tp6271
    +a(g408
    +g1194
    +tp6272
    +a(g822
    +g961
    +tp6273
    +a(g431
    +Vr_sigma
    +p6274
    +tp6275
    +a(g822
    +g961
    +tp6276
    +a(g408
    +g1619
    +tp6277
    +a(g822
    +g961
    +tp6278
    +a(g431
    +Vsigma
    +p6279
    +tp6280
    +a(g701
    +g1123
    +tp6281
    +a(g822
    +V\u000a
    +p6282
    +tp6283
    +a(g436
    +Vxmin
    +p6284
    +tp6285
    +a(g822
    +g961
    +tp6286
    +a(g408
    +g1515
    +tp6287
    +a(g822
    +g961
    +tp6288
    +a(g431
    +Vxmin
    +p6289
    +tp6290
    +a(g822
    +g961
    +tp6291
    +a(g408
    +g1683
    +tp6292
    +a(g822
    +g961
    +tp6293
    +a(g431
    +Vr_xmin
    +p6294
    +tp6295
    +a(g822
    +g961
    +tp6296
    +a(g408
    +g1689
    +tp6297
    +a(g822
    +g961
    +tp6298
    +a(g431
    +Vr_xmin
    +p6299
    +tp6300
    +a(g822
    +g961
    +tp6301
    +a(g408
    +g969
    +tp6302
    +a(g822
    +g961
    +tp6303
    +a(g431
    +Vxmin
    +p6304
    +tp6305
    +a(g822
    +V\u000a
    +p6306
    +tp6307
    +a(g436
    +Vxmax
    +p6308
    +tp6309
    +a(g822
    +g961
    +tp6310
    +a(g408
    +g1515
    +tp6311
    +a(g822
    +g961
    +tp6312
    +a(g569
    +Vceil
    +p6313
    +tp6314
    +a(g701
    +g1120
    +tp6315
    +a(g431
    +Vmu
    +p6316
    +tp6317
    +a(g822
    +g961
    +tp6318
    +a(g408
    +g1522
    +tp6319
    +a(g822
    +g961
    +tp6320
    +a(g431
    +Vr_sigma
    +p6321
    +tp6322
    +a(g822
    +g961
    +tp6323
    +a(g408
    +g1619
    +tp6324
    +a(g822
    +g961
    +tp6325
    +a(g431
    +Vsigma
    +p6326
    +tp6327
    +a(g701
    +g1123
    +tp6328
    +a(g822
    +V\u000a
    +p6329
    +tp6330
    +a(g436
    +Vymax
    +p6331
    +tp6332
    +a(g822
    +g961
    +tp6333
    +a(g408
    +g1515
    +tp6334
    +a(g822
    +g961
    +tp6335
    +a(g310
    +V1.1
    +p6336
    +tp6337
    +a(g822
    +g961
    +tp6338
    +a(g408
    +g1619
    +tp6339
    +a(g822
    +g961
    +tp6340
    +a(g569
    +Vnegbin
    +p6341
    +tp6342
    +a(g701
    +g1120
    +tp6343
    +a(g569
    +Vfloor
    +p6344
    +tp6345
    +a(g701
    +g1120
    +tp6346
    +a(g701
    +g1120
    +tp6347
    +a(g431
    +g974
    +tp6348
    +a(g32
    +V-1
    +p6349
    +tp6350
    +a(g701
    +g1123
    +tp6351
    +a(g408
    +g1619
    +tp6352
    +a(g701
    +g1120
    +tp6353
    +a(g32
    +g994
    +tp6354
    +a(g408
    +g1194
    +tp6355
    +a(g431
    +g972
    +tp6356
    +a(g701
    +g1123
    +tp6357
    +a(g408
    +g1006
    +tp6358
    +a(g431
    +g972
    +tp6359
    +a(g701
    +g1123
    +tp6360
    +a(g408
    +g989
    +tp6361
    +a(g822
    +g961
    +tp6362
    +a(g431
    +g974
    +tp6363
    +a(g408
    +g989
    +tp6364
    +a(g822
    +g961
    +tp6365
    +a(g431
    +g972
    +tp6366
    +a(g701
    +g1123
    +tp6367
    +a(g822
    +g961
    +tp6368
    +a(g7
    +g956
    +tp6369
    +a(g7
    +g987
    +tp6370
    +a(g7
    +g976
    +tp6371
    +a(g7
    +g967
    +tp6372
    +a(g7
    +g985
    +tp6373
    +a(g7
    +g961
    +tp6374
    +a(g7
    +g976
    +tp6375
    +a(g7
    +g1027
    +tp6376
    +a(g7
    +g961
    +tp6377
    +a(g7
    +g1114
    +tp6378
    +a(g7
    +g1030
    +tp6379
    +a(g7
    +g987
    +tp6380
    +a(g7
    +g987
    +tp6381
    +a(g7
    +g1030
    +tp6382
    +a(g7
    +g961
    +tp6383
    +a(g7
    +g1561
    +tp6384
    +a(g7
    +g1048
    +tp6385
    +a(g7
    +g1564
    +tp6386
    +a(g7
    +g961
    +tp6387
    +a(g7
    +g1148
    +tp6388
    +a(g7
    +g1025
    +tp6389
    +a(g7
    +g985
    +tp6390
    +a(g7
    +g967
    +tp6391
    +a(g822
    +V\u000a
    +p6392
    +tp6393
    +a(g740
    +Vset
    +p6394
    +tp6395
    +a(g822
    +g961
    +tp6396
    +a(g677
    +Vkey
    +p6397
    +tp6398
    +a(g822
    +g961
    +tp6399
    +a(g431
    +Vbox
    +p6400
    +tp6401
    +a(g822
    +V\u000a
    +p6402
    +tp6403
    +a(g740
    +Vunset
    +p6404
    +tp6405
    +a(g822
    +g961
    +tp6406
    +a(g677
    +Vzeroaxis
    +p6407
    +tp6408
    +a(g822
    +V\u000a
    +p6409
    +tp6410
    +a(g740
    +Vset
    +p6411
    +tp6412
    +a(g822
    +g961
    +tp6413
    +a(g677
    +Vxrange
    +p6414
    +tp6415
    +a(g822
    +g961
    +tp6416
    +a(g701
    +g1807
    +tp6417
    +a(g431
    +Vxmin
    +p6418
    +tp6419
    +a(g822
    +g961
    +tp6420
    +a(g408
    +g1194
    +tp6421
    +a(g822
    +g961
    +tp6422
    +a(g32
    +g994
    +tp6423
    +a(g822
    +g961
    +tp6424
    +a(g408
    +g969
    +tp6425
    +a(g822
    +g961
    +tp6426
    +a(g431
    +Vxmax
    +p6427
    +tp6428
    +a(g822
    +g961
    +tp6429
    +a(g408
    +g1522
    +tp6430
    +a(g822
    +g961
    +tp6431
    +a(g32
    +g994
    +tp6432
    +a(g701
    +g1824
    +tp6433
    +a(g822
    +V\u000a
    +p6434
    +tp6435
    +a(g740
    +Vset
    +p6436
    +tp6437
    +a(g822
    +g961
    +tp6438
    +a(g677
    +Vyrange
    +p6439
    +tp6440
    +a(g822
    +g961
    +tp6441
    +a(g701
    +g1807
    +tp6442
    +a(g32
    +g1001
    +tp6443
    +a(g822
    +g961
    +tp6444
    +a(g408
    +g969
    +tp6445
    +a(g822
    +g961
    +tp6446
    +a(g431
    +Vymax
    +p6447
    +tp6448
    +a(g701
    +g1824
    +tp6449
    +a(g822
    +V\u000a
    +p6450
    +tp6451
    +a(g740
    +Vset
    +p6452
    +tp6453
    +a(g822
    +g961
    +tp6454
    +a(g677
    +Vxlabel
    +p6455
    +tp6456
    +a(g822
    +g961
    +tp6457
    +a(g84
    +g1321
    +tp6458
    +a(g84
    +Vk, x ->
    +p6459
    +tp6460
    +a(g84
    +g1321
    +tp6461
    +a(g822
    +V\u000a
    +p6462
    +tp6463
    +a(g740
    +Vset
    +p6464
    +tp6465
    +a(g822
    +g961
    +tp6466
    +a(g677
    +Vylabel
    +p6467
    +tp6468
    +a(g822
    +g961
    +tp6469
    +a(g84
    +g1321
    +tp6470
    +a(g84
    +Vprobability density ->
    +p6471
    +tp6472
    +a(g84
    +g1321
    +tp6473
    +a(g822
    +V\u000a
    +p6474
    +tp6475
    +a(g740
    +Vset
    +p6476
    +tp6477
    +a(g822
    +g961
    +tp6478
    +a(g677
    +Vytics
    +p6479
    +tp6480
    +a(g822
    +g961
    +tp6481
    +a(g32
    +g1001
    +tp6482
    +a(g408
    +g989
    +tp6483
    +a(g822
    +g961
    +tp6484
    +a(g431
    +Vymax
    +p6485
    +tp6486
    +a(g822
    +g961
    +tp6487
    +a(g408
    +g1006
    +tp6488
    +a(g822
    +g961
    +tp6489
    +a(g310
    +V10.0
    +p6490
    +tp6491
    +a(g408
    +g989
    +tp6492
    +a(g822
    +g961
    +tp6493
    +a(g431
    +Vymax
    +p6494
    +tp6495
    +a(g822
    +V\u000a
    +p6496
    +tp6497
    +a(g740
    +Vset
    +p6498
    +tp6499
    +a(g822
    +g961
    +tp6500
    +a(g677
    +Vformat
    +p6501
    +tp6502
    +a(g822
    +g961
    +tp6503
    +a(g431
    +g1036
    +tp6504
    +a(g822
    +g961
    +tp6505
    +a(g84
    +g1321
    +tp6506
    +a(g84
    +V%2.0f
    +p6507
    +tp6508
    +a(g84
    +g1321
    +tp6509
    +a(g822
    +V\u000a
    +p6510
    +tp6511
    +a(g740
    +Vset
    +p6512
    +tp6513
    +a(g822
    +g961
    +tp6514
    +a(g677
    +Vformat
    +p6515
    +tp6516
    +a(g822
    +g961
    +tp6517
    +a(g431
    +g1110
    +tp6518
    +a(g822
    +g961
    +tp6519
    +a(g84
    +g1321
    +tp6520
    +a(g84
    +V%3.2f
    +p6521
    +tp6522
    +a(g84
    +g1321
    +tp6523
    +a(g822
    +V\u000a
    +p6524
    +tp6525
    +a(g740
    +Vset
    +p6526
    +tp6527
    +a(g822
    +g961
    +tp6528
    +a(g677
    +Vsample
    +p6529
    +tp6530
    +a(g822
    +g961
    +tp6531
    +a(g32
    +V200
    +p6532
    +tp6533
    +a(g822
    +V\u000a
    +p6534
    +tp6535
    +a(g740
    +Vset
    +p6536
    +tp6537
    +a(g822
    +g961
    +tp6538
    +a(g677
    +Vtitle
    +p6539
    +tp6540
    +a(g822
    +g961
    +tp6541
    +a(g84
    +g1321
    +tp6542
    +a(g84
    +Vnegative binomial PDF using normal approximation
    +p6543
    +tp6544
    +a(g84
    +g1321
    +tp6545
    +a(g822
    +V\u000a
    +p6546
    +tp6547
    +a(g740
    +Vset
    +p6548
    +tp6549
    +a(g822
    +g961
    +tp6550
    +a(g677
    +Varrow
    +p6551
    +tp6552
    +a(g822
    +g961
    +tp6553
    +a(g431
    +Vfrom
    +p6554
    +tp6555
    +a(g822
    +g961
    +tp6556
    +a(g431
    +Vmu
    +p6557
    +tp6558
    +a(g408
    +g989
    +tp6559
    +a(g822
    +g961
    +tp6560
    +a(g32
    +g1001
    +tp6561
    +a(g822
    +g961
    +tp6562
    +a(g431
    +Vto
    +p6563
    +tp6564
    +a(g822
    +g961
    +tp6565
    +a(g431
    +Vmu
    +p6566
    +tp6567
    +a(g408
    +g989
    +tp6568
    +a(g822
    +g961
    +tp6569
    +a(g569
    +Vnormal
    +p6570
    +tp6571
    +a(g701
    +g1120
    +tp6572
    +a(g431
    +Vmu
    +p6573
    +tp6574
    +a(g408
    +g989
    +tp6575
    +a(g822
    +g961
    +tp6576
    +a(g431
    +Vmu
    +p6577
    +tp6578
    +a(g408
    +g989
    +tp6579
    +a(g822
    +g961
    +tp6580
    +a(g431
    +Vsigma
    +p6581
    +tp6582
    +a(g701
    +g1123
    +tp6583
    +a(g822
    +g961
    +tp6584
    +a(g431
    +Vnohead
    +p6585
    +tp6586
    +a(g822
    +V\u000a
    +p6587
    +tp6588
    +a(g740
    +Vset
    +p6589
    +tp6590
    +a(g822
    +g961
    +tp6591
    +a(g677
    +Varrow
    +p6592
    +tp6593
    +a(g822
    +g961
    +tp6594
    +a(g431
    +Vfrom
    +p6595
    +tp6596
    +a(g822
    +g961
    +tp6597
    +a(g431
    +Vmu
    +p6598
    +tp6599
    +a(g408
    +g989
    +tp6600
    +a(g822
    +g961
    +tp6601
    +a(g569
    +Vnormal
    +p6602
    +tp6603
    +a(g701
    +g1120
    +tp6604
    +a(g431
    +Vmu
    +p6605
    +tp6606
    +a(g822
    +g961
    +tp6607
    +a(g408
    +g1522
    +tp6608
    +a(g822
    +g961
    +tp6609
    +a(g431
    +Vsigma
    +p6610
    +tp6611
    +a(g408
    +g989
    +tp6612
    +a(g822
    +g961
    +tp6613
    +a(g431
    +Vmu
    +p6614
    +tp6615
    +a(g408
    +g989
    +tp6616
    +a(g822
    +g961
    +tp6617
    +a(g431
    +Vsigma
    +p6618
    +tp6619
    +a(g701
    +g1123
    +tp6620
    +a(g822
    +g961
    +tp6621
    +a(g822
    +V\u005c\u000a
    +p6622
    +tp6623
    +a(g822
    +V          
    +p6624
    +tp6625
    +a(g431
    +Vto
    +p6626
    +tp6627
    +a(g822
    +g961
    +tp6628
    +a(g431
    +Vmu
    +p6629
    +tp6630
    +a(g822
    +g961
    +tp6631
    +a(g408
    +g1522
    +tp6632
    +a(g822
    +g961
    +tp6633
    +a(g431
    +Vsigma
    +p6634
    +tp6635
    +a(g408
    +g989
    +tp6636
    +a(g822
    +g961
    +tp6637
    +a(g569
    +Vnormal
    +p6638
    +tp6639
    +a(g701
    +g1120
    +tp6640
    +a(g431
    +Vmu
    +p6641
    +tp6642
    +a(g822
    +g961
    +tp6643
    +a(g408
    +g1522
    +tp6644
    +a(g822
    +g961
    +tp6645
    +a(g431
    +Vsigma
    +p6646
    +tp6647
    +a(g408
    +g989
    +tp6648
    +a(g822
    +g961
    +tp6649
    +a(g431
    +Vmu
    +p6650
    +tp6651
    +a(g408
    +g989
    +tp6652
    +a(g822
    +g961
    +tp6653
    +a(g431
    +Vsigma
    +p6654
    +tp6655
    +a(g701
    +g1123
    +tp6656
    +a(g822
    +g961
    +tp6657
    +a(g431
    +Vnohead
    +p6658
    +tp6659
    +a(g822
    +V\u000a
    +p6660
    +tp6661
    +a(g740
    +Vset
    +p6662
    +tp6663
    +a(g822
    +g961
    +tp6664
    +a(g677
    +Vlabel
    +p6665
    +tp6666
    +a(g822
    +g961
    +tp6667
    +a(g84
    +g1321
    +tp6668
    +a(g84
    +Vmu
    +p6669
    +tp6670
    +a(g84
    +g1321
    +tp6671
    +a(g822
    +g961
    +tp6672
    +a(g431
    +Vat
    +p6673
    +tp6674
    +a(g822
    +g961
    +tp6675
    +a(g431
    +Vmu
    +p6676
    +tp6677
    +a(g822
    +g961
    +tp6678
    +a(g408
    +g1522
    +tp6679
    +a(g822
    +g961
    +tp6680
    +a(g310
    +V0.5
    +p6681
    +tp6682
    +a(g408
    +g989
    +tp6683
    +a(g822
    +g961
    +tp6684
    +a(g431
    +Vymax
    +p6685
    +tp6686
    +a(g822
    +g961
    +tp6687
    +a(g408
    +g1006
    +tp6688
    +a(g822
    +g961
    +tp6689
    +a(g32
    +V10
    +p6690
    +tp6691
    +a(g822
    +V\u000a
    +p6692
    +tp6693
    +a(g740
    +Vset
    +p6694
    +tp6695
    +a(g822
    +g961
    +tp6696
    +a(g677
    +Vlabel
    +p6697
    +tp6698
    +a(g822
    +g961
    +tp6699
    +a(g84
    +g1321
    +tp6700
    +a(g84
    +Vsigma
    +p6701
    +tp6702
    +a(g84
    +g1321
    +tp6703
    +a(g822
    +g961
    +tp6704
    +a(g431
    +Vat
    +p6705
    +tp6706
    +a(g822
    +g961
    +tp6707
    +a(g431
    +Vmu
    +p6708
    +tp6709
    +a(g822
    +g961
    +tp6710
    +a(g408
    +g1522
    +tp6711
    +a(g822
    +g961
    +tp6712
    +a(g310
    +V0.5
    +p6713
    +tp6714
    +a(g822
    +g961
    +tp6715
    +a(g408
    +g1522
    +tp6716
    +a(g822
    +g961
    +tp6717
    +a(g431
    +Vsigma
    +p6718
    +tp6719
    +a(g408
    +g989
    +tp6720
    +a(g822
    +g961
    +tp6721
    +a(g569
    +Vnormal
    +p6722
    +tp6723
    +a(g701
    +g1120
    +tp6724
    +a(g431
    +Vmu
    +p6725
    +tp6726
    +a(g822
    +g961
    +tp6727
    +a(g408
    +g1522
    +tp6728
    +a(g822
    +g961
    +tp6729
    +a(g431
    +Vsigma
    +p6730
    +tp6731
    +a(g408
    +g989
    +tp6732
    +a(g822
    +g961
    +tp6733
    +a(g431
    +Vmu
    +p6734
    +tp6735
    +a(g408
    +g989
    +tp6736
    +a(g822
    +g961
    +tp6737
    +a(g431
    +Vsigma
    +p6738
    +tp6739
    +a(g701
    +g1123
    +tp6740
    +a(g822
    +V\u000a
    +p6741
    +tp6742
    +a(g740
    +Vplot
    +p6743
    +tp6744
    +a(g822
    +g961
    +tp6745
    +a(g569
    +Vnegbin
    +p6746
    +tp6747
    +a(g701
    +g1120
    +tp6748
    +a(g569
    +Vrnd
    +p6749
    +tp6750
    +a(g701
    +g1120
    +tp6751
    +a(g431
    +g1036
    +tp6752
    +a(g701
    +g1123
    +tp6753
    +a(g408
    +g989
    +tp6754
    +a(g822
    +g961
    +tp6755
    +a(g431
    +g974
    +tp6756
    +a(g408
    +g989
    +tp6757
    +a(g822
    +g961
    +tp6758
    +a(g431
    +g972
    +tp6759
    +a(g701
    +g1123
    +tp6760
    +a(g822
    +g961
    +tp6761
    +a(g677
    +Vwith
    +p6762
    +tp6763
    +a(g822
    +g961
    +tp6764
    +a(g431
    +Vhisteps
    +p6765
    +tp6766
    +a(g408
    +g989
    +tp6767
    +a(g822
    +g961
    +tp6768
    +a(g569
    +Vnormal
    +p6769
    +tp6770
    +a(g701
    +g1120
    +tp6771
    +a(g431
    +g1036
    +tp6772
    +a(g408
    +g989
    +tp6773
    +a(g822
    +g961
    +tp6774
    +a(g431
    +Vmu
    +p6775
    +tp6776
    +a(g408
    +g989
    +tp6777
    +a(g822
    +g961
    +tp6778
    +a(g431
    +Vsigma
    +p6779
    +tp6780
    +a(g701
    +g1123
    +tp6781
    +a(g822
    +V\u000a
    +p6782
    +tp6783
    +a(g740
    +Vpause
    +p6784
    +tp6785
    +a(g822
    +g961
    +tp6786
    +a(g32
    +V-1
    +p6787
    +tp6788
    +a(g822
    +g961
    +tp6789
    +a(g84
    +g1321
    +tp6790
    +a(g84
    +VHit return to continue
    +p6791
    +tp6792
    +a(g84
    +g1321
    +tp6793
    +a(g822
    +V\u000a
    +p6794
    +tp6795
    +a(g740
    +Vunset
    +p6796
    +tp6797
    +a(g822
    +g961
    +tp6798
    +a(g677
    +Varrow
    +p6799
    +tp6800
    +a(g822
    +V\u000a
    +p6801
    +tp6802
    +a(g740
    +Vunset
    +p6803
    +tp6804
    +a(g822
    +g961
    +tp6805
    +a(g677
    +Vlabel
    +p6806
    +tp6807
    +a(g822
    +V\u000a
    +p6808
    +tp6809
    +a(g822
    +V\u000a
    +p6810
    +tp6811
    +a(g7
    +g956
    +tp6812
    +a(g7
    +g961
    +tp6813
    +a(g7
    +g5472
    +tp6814
    +a(g7
    +g976
    +tp6815
    +a(g7
    +g974
    +tp6816
    +a(g7
    +g987
    +tp6817
    +a(g7
    +g1030
    +tp6818
    +a(g7
    +g1068
    +tp6819
    +a(g7
    +g961
    +tp6820
    +a(g7
    +g1561
    +tp6821
    +a(g7
    +g1048
    +tp6822
    +a(g7
    +g1564
    +tp6823
    +a(g7
    +g961
    +tp6824
    +a(g7
    +g1148
    +tp6825
    +a(g7
    +g1025
    +tp6826
    +a(g7
    +g1060
    +tp6827
    +a(g7
    +g1084
    +tp6828
    +a(g7
    +g1114
    +tp6829
    +a(g7
    +g961
    +tp6830
    +a(g7
    +g1068
    +tp6831
    +a(g7
    +g976
    +tp6832
    +a(g7
    +g1114
    +tp6833
    +a(g7
    +g1060
    +tp6834
    +a(g7
    +g1025
    +tp6835
    +a(g7
    +g1056
    +tp6836
    +a(g7
    +g1060
    +tp6837
    +a(g7
    +g1065
    +tp6838
    +a(g7
    +g961
    +tp6839
    +a(g7
    +g1030
    +tp6840
    +a(g7
    +g972
    +tp6841
    +a(g7
    +g972
    +tp6842
    +a(g7
    +g974
    +tp6843
    +a(g7
    +g976
    +tp6844
    +a(g7
    +g1036
    +tp6845
    +a(g7
    +g1060
    +tp6846
    +a(g7
    +g987
    +tp6847
    +a(g7
    +g1030
    +tp6848
    +a(g7
    +g1056
    +tp6849
    +a(g7
    +g1060
    +tp6850
    +a(g7
    +g976
    +tp6851
    +a(g7
    +g1084
    +tp6852
    +a(g822
    +V\u000a
    +p6853
    +tp6854
    +a(g436
    +Vmu
    +p6855
    +tp6856
    +a(g822
    +g961
    +tp6857
    +a(g408
    +g1515
    +tp6858
    +a(g822
    +g961
    +tp6859
    +a(g310
    +V1.0
    +p6860
    +tp6861
    +a(g701
    +g1601
    +tp6862
    +a(g822
    +g961
    +tp6863
    +a(g436
    +Vsigma
    +p6864
    +tp6865
    +a(g822
    +g961
    +tp6866
    +a(g408
    +g1515
    +tp6867
    +a(g822
    +g961
    +tp6868
    +a(g310
    +V1.5
    +p6869
    +tp6870
    +a(g822
    +V\u000a
    +p6871
    +tp6872
    +a(g436
    +g1030
    +tp6873
    +a(g822
    +g961
    +tp6874
    +a(g408
    +g1515
    +tp6875
    +a(g822
    +g961
    +tp6876
    +a(g431
    +Vmu
    +p6877
    +tp6878
    +a(g822
    +V\u000a
    +p6879
    +tp6880
    +a(g436
    +Vlambda
    +p6881
    +tp6882
    +a(g822
    +g961
    +tp6883
    +a(g408
    +g1515
    +tp6884
    +a(g822
    +g961
    +tp6885
    +a(g431
    +Vpi
    +p6886
    +tp6887
    +a(g822
    +g961
    +tp6888
    +a(g408
    +g1006
    +tp6889
    +a(g822
    +g961
    +tp6890
    +a(g701
    +g1120
    +tp6891
    +a(g569
    +Vsqrt
    +p6892
    +tp6893
    +a(g701
    +g1120
    +tp6894
    +a(g310
    +V3.0
    +p6895
    +tp6896
    +a(g701
    +g1123
    +tp6897
    +a(g822
    +g961
    +tp6898
    +a(g408
    +g1619
    +tp6899
    +a(g822
    +g961
    +tp6900
    +a(g431
    +Vsigma
    +p6901
    +tp6902
    +a(g701
    +g1123
    +tp6903
    +a(g822
    +V\u000a
    +p6904
    +tp6905
    +a(g436
    +Vxmin
    +p6906
    +tp6907
    +a(g822
    +g961
    +tp6908
    +a(g408
    +g1515
    +tp6909
    +a(g822
    +g961
    +tp6910
    +a(g431
    +Vmu
    +p6911
    +tp6912
    +a(g822
    +g961
    +tp6913
    +a(g408
    +g1194
    +tp6914
    +a(g822
    +g961
    +tp6915
    +a(g431
    +Vr_sigma
    +p6916
    +tp6917
    +a(g822
    +g961
    +tp6918
    +a(g408
    +g1619
    +tp6919
    +a(g822
    +g961
    +tp6920
    +a(g431
    +Vsigma
    +p6921
    +tp6922
    +a(g822
    +V\u000a
    +p6923
    +tp6924
    +a(g436
    +Vxmax
    +p6925
    +tp6926
    +a(g822
    +g961
    +tp6927
    +a(g408
    +g1515
    +tp6928
    +a(g822
    +g961
    +tp6929
    +a(g431
    +Vmu
    +p6930
    +tp6931
    +a(g822
    +g961
    +tp6932
    +a(g408
    +g1522
    +tp6933
    +a(g822
    +g961
    +tp6934
    +a(g431
    +Vr_sigma
    +p6935
    +tp6936
    +a(g822
    +g961
    +tp6937
    +a(g408
    +g1619
    +tp6938
    +a(g822
    +g961
    +tp6939
    +a(g431
    +Vsigma
    +p6940
    +tp6941
    +a(g822
    +V\u000a
    +p6942
    +tp6943
    +a(g436
    +Vymax
    +p6944
    +tp6945
    +a(g822
    +g961
    +tp6946
    +a(g408
    +g1515
    +tp6947
    +a(g822
    +g961
    +tp6948
    +a(g310
    +V1.1
    +p6949
    +tp6950
    +a(g822
    +g961
    +tp6951
    +a(g408
    +g1619
    +tp6952
    +a(g822
    +g961
    +tp6953
    +a(g569
    +Vlogistic
    +p6954
    +tp6955
    +a(g701
    +g1120
    +tp6956
    +a(g431
    +Vmu
    +p6957
    +tp6958
    +a(g408
    +g989
    +tp6959
    +a(g822
    +g961
    +tp6960
    +a(g431
    +g1030
    +tp6961
    +a(g408
    +g989
    +tp6962
    +a(g822
    +g961
    +tp6963
    +a(g431
    +Vlambda
    +p6964
    +tp6965
    +a(g701
    +g1123
    +tp6966
    +a(g822
    +g961
    +tp6967
    +a(g7
    +g956
    +tp6968
    +a(g7
    +g987
    +tp6969
    +a(g7
    +g976
    +tp6970
    +a(g7
    +g967
    +tp6971
    +a(g7
    +g985
    +tp6972
    +a(g7
    +g961
    +tp6973
    +a(g7
    +g976
    +tp6974
    +a(g7
    +g1027
    +tp6975
    +a(g7
    +g961
    +tp6976
    +a(g7
    +g1068
    +tp6977
    +a(g7
    +g976
    +tp6978
    +a(g7
    +g1114
    +tp6979
    +a(g7
    +g1060
    +tp6980
    +a(g7
    +g1025
    +tp6981
    +a(g7
    +g1056
    +tp6982
    +a(g7
    +g1060
    +tp6983
    +a(g7
    +g1065
    +tp6984
    +a(g7
    +g961
    +tp6985
    +a(g7
    +g1561
    +tp6986
    +a(g7
    +g1048
    +tp6987
    +a(g7
    +g1564
    +tp6988
    +a(g7
    +g961
    +tp6989
    +a(g7
    +g1148
    +tp6990
    +a(g7
    +g1025
    +tp6991
    +a(g7
    +g985
    +tp6992
    +a(g7
    +g967
    +tp6993
    +a(g822
    +V\u000a
    +p6994
    +tp6995
    +a(g740
    +Vset
    +p6996
    +tp6997
    +a(g822
    +g961
    +tp6998
    +a(g677
    +Vkey
    +p6999
    +tp7000
    +a(g822
    +g961
    +tp7001
    +a(g431
    +Vbox
    +p7002
    +tp7003
    +a(g822
    +V\u000a
    +p7004
    +tp7005
    +a(g740
    +Vunset
    +p7006
    +tp7007
    +a(g822
    +g961
    +tp7008
    +a(g677
    +Vzeroaxis
    +p7009
    +tp7010
    +a(g822
    +V\u000a
    +p7011
    +tp7012
    +a(g740
    +Vset
    +p7013
    +tp7014
    +a(g822
    +g961
    +tp7015
    +a(g677
    +Vxrange
    +p7016
    +tp7017
    +a(g822
    +g961
    +tp7018
    +a(g701
    +g1807
    +tp7019
    +a(g431
    +Vxmin
    +p7020
    +tp7021
    +a(g408
    +g969
    +tp7022
    +a(g822
    +g961
    +tp7023
    +a(g431
    +Vxmax
    +p7024
    +tp7025
    +a(g701
    +g1824
    +tp7026
    +a(g822
    +V\u000a
    +p7027
    +tp7028
    +a(g740
    +Vset
    +p7029
    +tp7030
    +a(g822
    +g961
    +tp7031
    +a(g677
    +Vyrange
    +p7032
    +tp7033
    +a(g822
    +g961
    +tp7034
    +a(g701
    +g1807
    +tp7035
    +a(g32
    +g1001
    +tp7036
    +a(g822
    +g961
    +tp7037
    +a(g408
    +g969
    +tp7038
    +a(g822
    +g961
    +tp7039
    +a(g431
    +Vymax
    +p7040
    +tp7041
    +a(g701
    +g1824
    +tp7042
    +a(g822
    +V\u000a
    +p7043
    +tp7044
    +a(g740
    +Vset
    +p7045
    +tp7046
    +a(g822
    +g961
    +tp7047
    +a(g677
    +Vxlabel
    +p7048
    +tp7049
    +a(g822
    +g961
    +tp7050
    +a(g84
    +g1321
    +tp7051
    +a(g84
    +Vx ->
    +p7052
    +tp7053
    +a(g84
    +g1321
    +tp7054
    +a(g822
    +V\u000a
    +p7055
    +tp7056
    +a(g740
    +Vset
    +p7057
    +tp7058
    +a(g822
    +g961
    +tp7059
    +a(g677
    +Vylabel
    +p7060
    +tp7061
    +a(g822
    +g961
    +tp7062
    +a(g84
    +g1321
    +tp7063
    +a(g84
    +Vprobability density ->
    +p7064
    +tp7065
    +a(g84
    +g1321
    +tp7066
    +a(g822
    +V\u000a
    +p7067
    +tp7068
    +a(g740
    +Vset
    +p7069
    +tp7070
    +a(g822
    +g961
    +tp7071
    +a(g677
    +Vytics
    +p7072
    +tp7073
    +a(g822
    +g961
    +tp7074
    +a(g32
    +g1001
    +tp7075
    +a(g408
    +g989
    +tp7076
    +a(g822
    +g961
    +tp7077
    +a(g431
    +Vymax
    +p7078
    +tp7079
    +a(g822
    +g961
    +tp7080
    +a(g408
    +g1006
    +tp7081
    +a(g822
    +g961
    +tp7082
    +a(g310
    +V10.0
    +p7083
    +tp7084
    +a(g408
    +g989
    +tp7085
    +a(g822
    +g961
    +tp7086
    +a(g431
    +Vymax
    +p7087
    +tp7088
    +a(g822
    +V\u000a
    +p7089
    +tp7090
    +a(g740
    +Vset
    +p7091
    +tp7092
    +a(g822
    +g961
    +tp7093
    +a(g677
    +Vformat
    +p7094
    +tp7095
    +a(g822
    +g961
    +tp7096
    +a(g431
    +g1036
    +tp7097
    +a(g822
    +g961
    +tp7098
    +a(g84
    +g1321
    +tp7099
    +a(g84
    +V%.1f
    +p7100
    +tp7101
    +a(g84
    +g1321
    +tp7102
    +a(g822
    +V\u000a
    +p7103
    +tp7104
    +a(g740
    +Vset
    +p7105
    +tp7106
    +a(g822
    +g961
    +tp7107
    +a(g677
    +Vformat
    +p7108
    +tp7109
    +a(g822
    +g961
    +tp7110
    +a(g431
    +g1110
    +tp7111
    +a(g822
    +g961
    +tp7112
    +a(g84
    +g1321
    +tp7113
    +a(g84
    +V%.2f
    +p7114
    +tp7115
    +a(g84
    +g1321
    +tp7116
    +a(g822
    +V\u000a
    +p7117
    +tp7118
    +a(g740
    +Vset
    +p7119
    +tp7120
    +a(g822
    +g961
    +tp7121
    +a(g677
    +Vsample
    +p7122
    +tp7123
    +a(g822
    +g961
    +tp7124
    +a(g32
    +V200
    +p7125
    +tp7126
    +a(g822
    +V\u000a
    +p7127
    +tp7128
    +a(g740
    +Vset
    +p7129
    +tp7130
    +a(g822
    +g961
    +tp7131
    +a(g677
    +Vtitle
    +p7132
    +tp7133
    +a(g822
    +g961
    +tp7134
    +a(g84
    +g1321
    +tp7135
    +a(g84
    +Vnormal PDF using logistic approximation
    +p7136
    +tp7137
    +a(g84
    +g1321
    +tp7138
    +a(g822
    +V\u000a
    +p7139
    +tp7140
    +a(g740
    +Vset
    +p7141
    +tp7142
    +a(g822
    +g961
    +tp7143
    +a(g677
    +Varrow
    +p7144
    +tp7145
    +a(g822
    +g961
    +tp7146
    +a(g431
    +Vfrom
    +p7147
    +tp7148
    +a(g822
    +g961
    +tp7149
    +a(g431
    +Vmu
    +p7150
    +tp7151
    +a(g408
    +g989
    +tp7152
    +a(g32
    +g1001
    +tp7153
    +a(g822
    +g961
    +tp7154
    +a(g431
    +Vto
    +p7155
    +tp7156
    +a(g822
    +g961
    +tp7157
    +a(g431
    +Vmu
    +p7158
    +tp7159
    +a(g408
    +g989
    +tp7160
    +a(g822
    +g961
    +tp7161
    +a(g569
    +Vnormal
    +p7162
    +tp7163
    +a(g701
    +g1120
    +tp7164
    +a(g431
    +Vmu
    +p7165
    +tp7166
    +a(g408
    +g989
    +tp7167
    +a(g822
    +g961
    +tp7168
    +a(g431
    +Vmu
    +p7169
    +tp7170
    +a(g408
    +g989
    +tp7171
    +a(g822
    +g961
    +tp7172
    +a(g431
    +Vsigma
    +p7173
    +tp7174
    +a(g701
    +g1123
    +tp7175
    +a(g822
    +g961
    +tp7176
    +a(g431
    +Vnohead
    +p7177
    +tp7178
    +a(g822
    +V\u000a
    +p7179
    +tp7180
    +a(g740
    +Vset
    +p7181
    +tp7182
    +a(g822
    +g961
    +tp7183
    +a(g677
    +Varrow
    +p7184
    +tp7185
    +a(g822
    +g961
    +tp7186
    +a(g431
    +Vfrom
    +p7187
    +tp7188
    +a(g822
    +g961
    +tp7189
    +a(g431
    +Vmu
    +p7190
    +tp7191
    +a(g408
    +g989
    +tp7192
    +a(g822
    +g961
    +tp7193
    +a(g569
    +Vnormal
    +p7194
    +tp7195
    +a(g701
    +g1120
    +tp7196
    +a(g431
    +Vmu
    +p7197
    +tp7198
    +a(g822
    +g961
    +tp7199
    +a(g408
    +g1522
    +tp7200
    +a(g822
    +g961
    +tp7201
    +a(g431
    +Vsigma
    +p7202
    +tp7203
    +a(g408
    +g989
    +tp7204
    +a(g822
    +g961
    +tp7205
    +a(g431
    +Vmu
    +p7206
    +tp7207
    +a(g408
    +g989
    +tp7208
    +a(g822
    +g961
    +tp7209
    +a(g431
    +Vsigma
    +p7210
    +tp7211
    +a(g701
    +g1123
    +tp7212
    +a(g822
    +g961
    +tp7213
    +a(g822
    +V\u005c\u000a
    +p7214
    +tp7215
    +a(g822
    +V          
    +p7216
    +tp7217
    +a(g431
    +Vto
    +p7218
    +tp7219
    +a(g822
    +g961
    +tp7220
    +a(g431
    +Vmu
    +p7221
    +tp7222
    +a(g822
    +g961
    +tp7223
    +a(g408
    +g1522
    +tp7224
    +a(g822
    +g961
    +tp7225
    +a(g431
    +Vsigma
    +p7226
    +tp7227
    +a(g408
    +g989
    +tp7228
    +a(g822
    +g961
    +tp7229
    +a(g569
    +Vnormal
    +p7230
    +tp7231
    +a(g701
    +g1120
    +tp7232
    +a(g431
    +Vmu
    +p7233
    +tp7234
    +a(g822
    +g961
    +tp7235
    +a(g408
    +g1522
    +tp7236
    +a(g822
    +g961
    +tp7237
    +a(g431
    +Vsigma
    +p7238
    +tp7239
    +a(g408
    +g989
    +tp7240
    +a(g822
    +g961
    +tp7241
    +a(g431
    +Vmu
    +p7242
    +tp7243
    +a(g408
    +g989
    +tp7244
    +a(g822
    +g961
    +tp7245
    +a(g431
    +Vsigma
    +p7246
    +tp7247
    +a(g701
    +g1123
    +tp7248
    +a(g822
    +g961
    +tp7249
    +a(g431
    +Vnohead
    +p7250
    +tp7251
    +a(g822
    +V\u000a
    +p7252
    +tp7253
    +a(g740
    +Vset
    +p7254
    +tp7255
    +a(g822
    +g961
    +tp7256
    +a(g677
    +Vlabel
    +p7257
    +tp7258
    +a(g822
    +g961
    +tp7259
    +a(g84
    +g1321
    +tp7260
    +a(g84
    +Vmu
    +p7261
    +tp7262
    +a(g84
    +g1321
    +tp7263
    +a(g822
    +g961
    +tp7264
    +a(g431
    +Vat
    +p7265
    +tp7266
    +a(g822
    +g961
    +tp7267
    +a(g431
    +Vmu
    +p7268
    +tp7269
    +a(g822
    +g961
    +tp7270
    +a(g408
    +g1522
    +tp7271
    +a(g822
    +g961
    +tp7272
    +a(g310
    +V0.5
    +p7273
    +tp7274
    +a(g408
    +g989
    +tp7275
    +a(g822
    +g961
    +tp7276
    +a(g431
    +Vymax
    +p7277
    +tp7278
    +a(g822
    +g961
    +tp7279
    +a(g408
    +g1006
    +tp7280
    +a(g822
    +g961
    +tp7281
    +a(g32
    +V10
    +p7282
    +tp7283
    +a(g822
    +V\u000a
    +p7284
    +tp7285
    +a(g740
    +Vset
    +p7286
    +tp7287
    +a(g822
    +g961
    +tp7288
    +a(g677
    +Vlabel
    +p7289
    +tp7290
    +a(g822
    +g961
    +tp7291
    +a(g84
    +g1321
    +tp7292
    +a(g84
    +Vsigma
    +p7293
    +tp7294
    +a(g84
    +g1321
    +tp7295
    +a(g822
    +g961
    +tp7296
    +a(g431
    +Vat
    +p7297
    +tp7298
    +a(g822
    +g961
    +tp7299
    +a(g431
    +Vmu
    +p7300
    +tp7301
    +a(g822
    +g961
    +tp7302
    +a(g408
    +g1522
    +tp7303
    +a(g822
    +g961
    +tp7304
    +a(g310
    +V0.5
    +p7305
    +tp7306
    +a(g822
    +g961
    +tp7307
    +a(g408
    +g1522
    +tp7308
    +a(g822
    +g961
    +tp7309
    +a(g431
    +Vsigma
    +p7310
    +tp7311
    +a(g408
    +g989
    +tp7312
    +a(g822
    +g961
    +tp7313
    +a(g569
    +Vnormal
    +p7314
    +tp7315
    +a(g701
    +g1120
    +tp7316
    +a(g431
    +Vmu
    +p7317
    +tp7318
    +a(g822
    +g961
    +tp7319
    +a(g408
    +g1522
    +tp7320
    +a(g822
    +g961
    +tp7321
    +a(g431
    +Vsigma
    +p7322
    +tp7323
    +a(g408
    +g989
    +tp7324
    +a(g822
    +g961
    +tp7325
    +a(g431
    +Vmu
    +p7326
    +tp7327
    +a(g408
    +g989
    +tp7328
    +a(g822
    +g961
    +tp7329
    +a(g431
    +Vsigma
    +p7330
    +tp7331
    +a(g701
    +g1123
    +tp7332
    +a(g822
    +V\u000a
    +p7333
    +tp7334
    +a(g740
    +Vplot
    +p7335
    +tp7336
    +a(g822
    +g961
    +tp7337
    +a(g569
    +Vlogistic
    +p7338
    +tp7339
    +a(g701
    +g1120
    +tp7340
    +a(g431
    +g1036
    +tp7341
    +a(g408
    +g989
    +tp7342
    +a(g822
    +g961
    +tp7343
    +a(g431
    +g1030
    +tp7344
    +a(g408
    +g989
    +tp7345
    +a(g822
    +g961
    +tp7346
    +a(g431
    +Vlambda
    +p7347
    +tp7348
    +a(g701
    +g1123
    +tp7349
    +a(g408
    +g989
    +tp7350
    +a(g822
    +g961
    +tp7351
    +a(g569
    +Vnormal
    +p7352
    +tp7353
    +a(g701
    +g1120
    +tp7354
    +a(g431
    +g1036
    +tp7355
    +a(g408
    +g989
    +tp7356
    +a(g822
    +g961
    +tp7357
    +a(g431
    +Vmu
    +p7358
    +tp7359
    +a(g408
    +g989
    +tp7360
    +a(g822
    +g961
    +tp7361
    +a(g431
    +Vsigma
    +p7362
    +tp7363
    +a(g701
    +g1123
    +tp7364
    +a(g822
    +V\u000a
    +p7365
    +tp7366
    +a(g740
    +Vpause
    +p7367
    +tp7368
    +a(g822
    +g961
    +tp7369
    +a(g32
    +V-1
    +p7370
    +tp7371
    +a(g822
    +g961
    +tp7372
    +a(g84
    +g1321
    +tp7373
    +a(g84
    +VHit return to continue
    +p7374
    +tp7375
    +a(g84
    +g1321
    +tp7376
    +a(g822
    +V\u000a
    +p7377
    +tp7378
    +a(g740
    +Vunset
    +p7379
    +tp7380
    +a(g822
    +g961
    +tp7381
    +a(g677
    +Varrow
    +p7382
    +tp7383
    +a(g822
    +V\u000a
    +p7384
    +tp7385
    +a(g740
    +Vunset
    +p7386
    +tp7387
    +a(g822
    +g961
    +tp7388
    +a(g677
    +Vlabel
    +p7389
    +tp7390
    +a(g822
    +V\u000a
    +p7391
    +tp7392
    +a(g822
    +V\u000a
    +p7393
    +tp7394
    +a(g7
    +g956
    +tp7395
    +a(g7
    +g961
    +tp7396
    +a(g7
    +g1561
    +tp7397
    +a(g7
    +g976
    +tp7398
    +a(g7
    +g1060
    +tp7399
    +a(g7
    +g1025
    +tp7400
    +a(g7
    +g1025
    +tp7401
    +a(g7
    +g976
    +tp7402
    +a(g7
    +g1084
    +tp7403
    +a(g7
    +g961
    +tp7404
    +a(g7
    +g1561
    +tp7405
    +a(g7
    +g1048
    +tp7406
    +a(g7
    +g1564
    +tp7407
    +a(g7
    +g961
    +tp7408
    +a(g7
    +g1148
    +tp7409
    +a(g7
    +g1025
    +tp7410
    +a(g7
    +g1060
    +tp7411
    +a(g7
    +g1084
    +tp7412
    +a(g7
    +g1114
    +tp7413
    +a(g7
    +g961
    +tp7414
    +a(g7
    +g1084
    +tp7415
    +a(g7
    +g976
    +tp7416
    +a(g7
    +g974
    +tp7417
    +a(g7
    +g987
    +tp7418
    +a(g7
    +g1030
    +tp7419
    +a(g7
    +g1068
    +tp7420
    +a(g7
    +g961
    +tp7421
    +a(g7
    +g1030
    +tp7422
    +a(g7
    +g972
    +tp7423
    +a(g7
    +g972
    +tp7424
    +a(g7
    +g974
    +tp7425
    +a(g7
    +g976
    +tp7426
    +a(g7
    +g1036
    +tp7427
    +a(g7
    +g1060
    +tp7428
    +a(g7
    +g987
    +tp7429
    +a(g7
    +g1030
    +tp7430
    +a(g7
    +g1056
    +tp7431
    +a(g7
    +g1060
    +tp7432
    +a(g7
    +g976
    +tp7433
    +a(g7
    +g1084
    +tp7434
    +a(g822
    +V\u000a
    +p7435
    +tp7436
    +a(g436
    +Vmu
    +p7437
    +tp7438
    +a(g822
    +g961
    +tp7439
    +a(g408
    +g1515
    +tp7440
    +a(g822
    +g961
    +tp7441
    +a(g310
    +V5.0
    +p7442
    +tp7443
    +a(g822
    +V\u000a
    +p7444
    +tp7445
    +a(g436
    +Vsigma
    +p7446
    +tp7447
    +a(g822
    +g961
    +tp7448
    +a(g408
    +g1515
    +tp7449
    +a(g822
    +g961
    +tp7450
    +a(g569
    +Vsqrt
    +p7451
    +tp7452
    +a(g701
    +g1120
    +tp7453
    +a(g431
    +Vmu
    +p7454
    +tp7455
    +a(g701
    +g1123
    +tp7456
    +a(g822
    +V\u000a
    +p7457
    +tp7458
    +a(g436
    +Vxmin
    +p7459
    +tp7460
    +a(g822
    +g961
    +tp7461
    +a(g408
    +g1515
    +tp7462
    +a(g822
    +g961
    +tp7463
    +a(g569
    +Vfloor
    +p7464
    +tp7465
    +a(g701
    +g1120
    +tp7466
    +a(g431
    +Vmu
    +p7467
    +tp7468
    +a(g822
    +g961
    +tp7469
    +a(g408
    +g1194
    +tp7470
    +a(g822
    +g961
    +tp7471
    +a(g431
    +Vr_sigma
    +p7472
    +tp7473
    +a(g822
    +g961
    +tp7474
    +a(g408
    +g1619
    +tp7475
    +a(g822
    +g961
    +tp7476
    +a(g431
    +Vsigma
    +p7477
    +tp7478
    +a(g701
    +g1123
    +tp7479
    +a(g822
    +V\u000a
    +p7480
    +tp7481
    +a(g436
    +Vxmin
    +p7482
    +tp7483
    +a(g822
    +g961
    +tp7484
    +a(g408
    +g1515
    +tp7485
    +a(g822
    +g961
    +tp7486
    +a(g431
    +Vxmin
    +p7487
    +tp7488
    +a(g822
    +g961
    +tp7489
    +a(g408
    +g1683
    +tp7490
    +a(g822
    +g961
    +tp7491
    +a(g431
    +Vr_xmin
    +p7492
    +tp7493
    +a(g822
    +g961
    +tp7494
    +a(g408
    +g1689
    +tp7495
    +a(g822
    +g961
    +tp7496
    +a(g431
    +Vr_xmin
    +p7497
    +tp7498
    +a(g822
    +g961
    +tp7499
    +a(g408
    +g969
    +tp7500
    +a(g822
    +g961
    +tp7501
    +a(g431
    +Vxmin
    +p7502
    +tp7503
    +a(g822
    +V\u000a
    +p7504
    +tp7505
    +a(g436
    +Vxmax
    +p7506
    +tp7507
    +a(g822
    +g961
    +tp7508
    +a(g408
    +g1515
    +tp7509
    +a(g822
    +g961
    +tp7510
    +a(g569
    +Vceil
    +p7511
    +tp7512
    +a(g701
    +g1120
    +tp7513
    +a(g431
    +Vmu
    +p7514
    +tp7515
    +a(g822
    +g961
    +tp7516
    +a(g408
    +g1522
    +tp7517
    +a(g822
    +g961
    +tp7518
    +a(g431
    +Vr_sigma
    +p7519
    +tp7520
    +a(g822
    +g961
    +tp7521
    +a(g408
    +g1619
    +tp7522
    +a(g822
    +g961
    +tp7523
    +a(g431
    +Vsigma
    +p7524
    +tp7525
    +a(g701
    +g1123
    +tp7526
    +a(g822
    +V\u000a
    +p7527
    +tp7528
    +a(g436
    +Vymax
    +p7529
    +tp7530
    +a(g822
    +g961
    +tp7531
    +a(g408
    +g1515
    +tp7532
    +a(g822
    +g961
    +tp7533
    +a(g310
    +V1.1
    +p7534
    +tp7535
    +a(g822
    +g961
    +tp7536
    +a(g408
    +g1619
    +tp7537
    +a(g822
    +g961
    +tp7538
    +a(g569
    +Vpoisson
    +p7539
    +tp7540
    +a(g701
    +g1120
    +tp7541
    +a(g431
    +Vmu
    +p7542
    +tp7543
    +a(g408
    +g989
    +tp7544
    +a(g822
    +g961
    +tp7545
    +a(g431
    +Vmu
    +p7546
    +tp7547
    +a(g701
    +g1123
    +tp7548
    +a(g822
    +g961
    +tp7549
    +a(g7
    +g956
    +tp7550
    +a(g7
    +g987
    +tp7551
    +a(g7
    +g976
    +tp7552
    +a(g7
    +g967
    +tp7553
    +a(g7
    +g985
    +tp7554
    +a(g7
    +g961
    +tp7555
    +a(g7
    +g976
    +tp7556
    +a(g7
    +g1027
    +tp7557
    +a(g7
    +g961
    +tp7558
    +a(g7
    +g972
    +tp7559
    +a(g7
    +g976
    +tp7560
    +a(g7
    +g1060
    +tp7561
    +a(g7
    +g1025
    +tp7562
    +a(g7
    +g1025
    +tp7563
    +a(g7
    +g976
    +tp7564
    +a(g7
    +g1084
    +tp7565
    +a(g7
    +g961
    +tp7566
    +a(g7
    +g1561
    +tp7567
    +a(g7
    +g1048
    +tp7568
    +a(g7
    +g1564
    +tp7569
    +a(g7
    +g961
    +tp7570
    +a(g7
    +g1148
    +tp7571
    +a(g7
    +g1025
    +tp7572
    +a(g7
    +g985
    +tp7573
    +a(g7
    +g967
    +tp7574
    +a(g822
    +V\u000a
    +p7575
    +tp7576
    +a(g740
    +Vset
    +p7577
    +tp7578
    +a(g822
    +g961
    +tp7579
    +a(g677
    +Vkey
    +p7580
    +tp7581
    +a(g822
    +g961
    +tp7582
    +a(g431
    +Vbox
    +p7583
    +tp7584
    +a(g822
    +V\u000a
    +p7585
    +tp7586
    +a(g740
    +Vunset
    +p7587
    +tp7588
    +a(g822
    +g961
    +tp7589
    +a(g677
    +Vzeroaxis
    +p7590
    +tp7591
    +a(g822
    +V\u000a
    +p7592
    +tp7593
    +a(g740
    +Vset
    +p7594
    +tp7595
    +a(g822
    +g961
    +tp7596
    +a(g677
    +Vxrange
    +p7597
    +tp7598
    +a(g822
    +g961
    +tp7599
    +a(g701
    +g1807
    +tp7600
    +a(g431
    +Vxmin
    +p7601
    +tp7602
    +a(g822
    +g961
    +tp7603
    +a(g408
    +g1194
    +tp7604
    +a(g822
    +g961
    +tp7605
    +a(g32
    +g994
    +tp7606
    +a(g822
    +g961
    +tp7607
    +a(g408
    +g969
    +tp7608
    +a(g822
    +g961
    +tp7609
    +a(g431
    +Vxmax
    +p7610
    +tp7611
    +a(g822
    +g961
    +tp7612
    +a(g408
    +g1522
    +tp7613
    +a(g822
    +g961
    +tp7614
    +a(g32
    +g994
    +tp7615
    +a(g701
    +g1824
    +tp7616
    +a(g822
    +V\u000a
    +p7617
    +tp7618
    +a(g740
    +Vset
    +p7619
    +tp7620
    +a(g822
    +g961
    +tp7621
    +a(g677
    +Vyrange
    +p7622
    +tp7623
    +a(g822
    +g961
    +tp7624
    +a(g701
    +g1807
    +tp7625
    +a(g32
    +g1001
    +tp7626
    +a(g822
    +g961
    +tp7627
    +a(g408
    +g969
    +tp7628
    +a(g822
    +g961
    +tp7629
    +a(g431
    +Vymax
    +p7630
    +tp7631
    +a(g701
    +g1824
    +tp7632
    +a(g822
    +V\u000a
    +p7633
    +tp7634
    +a(g740
    +Vset
    +p7635
    +tp7636
    +a(g822
    +g961
    +tp7637
    +a(g677
    +Vxlabel
    +p7638
    +tp7639
    +a(g822
    +g961
    +tp7640
    +a(g84
    +g1321
    +tp7641
    +a(g84
    +Vk, x ->
    +p7642
    +tp7643
    +a(g84
    +g1321
    +tp7644
    +a(g822
    +V\u000a
    +p7645
    +tp7646
    +a(g740
    +Vset
    +p7647
    +tp7648
    +a(g822
    +g961
    +tp7649
    +a(g677
    +Vylabel
    +p7650
    +tp7651
    +a(g822
    +g961
    +tp7652
    +a(g84
    +g1321
    +tp7653
    +a(g84
    +Vprobability density ->
    +p7654
    +tp7655
    +a(g84
    +g1321
    +tp7656
    +a(g822
    +V\u000a
    +p7657
    +tp7658
    +a(g740
    +Vset
    +p7659
    +tp7660
    +a(g822
    +g961
    +tp7661
    +a(g677
    +Vytics
    +p7662
    +tp7663
    +a(g822
    +g961
    +tp7664
    +a(g32
    +g1001
    +tp7665
    +a(g408
    +g989
    +tp7666
    +a(g822
    +g961
    +tp7667
    +a(g431
    +Vymax
    +p7668
    +tp7669
    +a(g822
    +g961
    +tp7670
    +a(g408
    +g1006
    +tp7671
    +a(g822
    +g961
    +tp7672
    +a(g310
    +V10.0
    +p7673
    +tp7674
    +a(g408
    +g989
    +tp7675
    +a(g822
    +g961
    +tp7676
    +a(g431
    +Vymax
    +p7677
    +tp7678
    +a(g822
    +V\u000a
    +p7679
    +tp7680
    +a(g740
    +Vset
    +p7681
    +tp7682
    +a(g822
    +g961
    +tp7683
    +a(g677
    +Vformat
    +p7684
    +tp7685
    +a(g822
    +g961
    +tp7686
    +a(g431
    +g1036
    +tp7687
    +a(g822
    +g961
    +tp7688
    +a(g84
    +g1321
    +tp7689
    +a(g84
    +V%2.0f
    +p7690
    +tp7691
    +a(g84
    +g1321
    +tp7692
    +a(g822
    +V\u000a
    +p7693
    +tp7694
    +a(g740
    +Vset
    +p7695
    +tp7696
    +a(g822
    +g961
    +tp7697
    +a(g677
    +Vformat
    +p7698
    +tp7699
    +a(g822
    +g961
    +tp7700
    +a(g431
    +g1110
    +tp7701
    +a(g822
    +g961
    +tp7702
    +a(g84
    +g1321
    +tp7703
    +a(g84
    +V%3.2f
    +p7704
    +tp7705
    +a(g84
    +g1321
    +tp7706
    +a(g822
    +V\u000a
    +p7707
    +tp7708
    +a(g740
    +Vset
    +p7709
    +tp7710
    +a(g822
    +g961
    +tp7711
    +a(g677
    +Vsample
    +p7712
    +tp7713
    +a(g822
    +g961
    +tp7714
    +a(g32
    +V200
    +p7715
    +tp7716
    +a(g822
    +V\u000a
    +p7717
    +tp7718
    +a(g740
    +Vset
    +p7719
    +tp7720
    +a(g822
    +g961
    +tp7721
    +a(g677
    +Vtitle
    +p7722
    +tp7723
    +a(g822
    +g961
    +tp7724
    +a(g84
    +g1321
    +tp7725
    +a(g84
    +Vpoisson PDF using normal approximation
    +p7726
    +tp7727
    +a(g84
    +g1321
    +tp7728
    +a(g822
    +V\u000a
    +p7729
    +tp7730
    +a(g740
    +Vset
    +p7731
    +tp7732
    +a(g822
    +g961
    +tp7733
    +a(g677
    +Varrow
    +p7734
    +tp7735
    +a(g822
    +g961
    +tp7736
    +a(g431
    +Vfrom
    +p7737
    +tp7738
    +a(g822
    +g961
    +tp7739
    +a(g431
    +Vmu
    +p7740
    +tp7741
    +a(g408
    +g989
    +tp7742
    +a(g822
    +g961
    +tp7743
    +a(g32
    +g1001
    +tp7744
    +a(g822
    +g961
    +tp7745
    +a(g431
    +Vto
    +p7746
    +tp7747
    +a(g822
    +g961
    +tp7748
    +a(g431
    +Vmu
    +p7749
    +tp7750
    +a(g408
    +g989
    +tp7751
    +a(g822
    +g961
    +tp7752
    +a(g569
    +Vnormal
    +p7753
    +tp7754
    +a(g701
    +g1120
    +tp7755
    +a(g431
    +Vmu
    +p7756
    +tp7757
    +a(g408
    +g989
    +tp7758
    +a(g822
    +g961
    +tp7759
    +a(g431
    +Vmu
    +p7760
    +tp7761
    +a(g408
    +g989
    +tp7762
    +a(g822
    +g961
    +tp7763
    +a(g431
    +Vsigma
    +p7764
    +tp7765
    +a(g701
    +g1123
    +tp7766
    +a(g822
    +g961
    +tp7767
    +a(g431
    +Vnohead
    +p7768
    +tp7769
    +a(g822
    +V\u000a
    +p7770
    +tp7771
    +a(g740
    +Vset
    +p7772
    +tp7773
    +a(g822
    +g961
    +tp7774
    +a(g677
    +Varrow
    +p7775
    +tp7776
    +a(g822
    +g961
    +tp7777
    +a(g431
    +Vfrom
    +p7778
    +tp7779
    +a(g822
    +g961
    +tp7780
    +a(g431
    +Vmu
    +p7781
    +tp7782
    +a(g408
    +g989
    +tp7783
    +a(g822
    +g961
    +tp7784
    +a(g569
    +Vnormal
    +p7785
    +tp7786
    +a(g701
    +g1120
    +tp7787
    +a(g431
    +Vmu
    +p7788
    +tp7789
    +a(g822
    +g961
    +tp7790
    +a(g408
    +g1522
    +tp7791
    +a(g822
    +g961
    +tp7792
    +a(g431
    +Vsigma
    +p7793
    +tp7794
    +a(g408
    +g989
    +tp7795
    +a(g822
    +g961
    +tp7796
    +a(g431
    +Vmu
    +p7797
    +tp7798
    +a(g408
    +g989
    +tp7799
    +a(g822
    +g961
    +tp7800
    +a(g431
    +Vsigma
    +p7801
    +tp7802
    +a(g701
    +g1123
    +tp7803
    +a(g822
    +g961
    +tp7804
    +a(g822
    +V\u005c\u000a
    +p7805
    +tp7806
    +a(g822
    +V          
    +p7807
    +tp7808
    +a(g431
    +Vto
    +p7809
    +tp7810
    +a(g822
    +g961
    +tp7811
    +a(g431
    +Vmu
    +p7812
    +tp7813
    +a(g822
    +g961
    +tp7814
    +a(g408
    +g1522
    +tp7815
    +a(g822
    +g961
    +tp7816
    +a(g431
    +Vsigma
    +p7817
    +tp7818
    +a(g408
    +g989
    +tp7819
    +a(g822
    +g961
    +tp7820
    +a(g569
    +Vnormal
    +p7821
    +tp7822
    +a(g701
    +g1120
    +tp7823
    +a(g431
    +Vmu
    +p7824
    +tp7825
    +a(g822
    +g961
    +tp7826
    +a(g408
    +g1522
    +tp7827
    +a(g822
    +g961
    +tp7828
    +a(g431
    +Vsigma
    +p7829
    +tp7830
    +a(g408
    +g989
    +tp7831
    +a(g822
    +g961
    +tp7832
    +a(g431
    +Vmu
    +p7833
    +tp7834
    +a(g408
    +g989
    +tp7835
    +a(g822
    +g961
    +tp7836
    +a(g431
    +Vsigma
    +p7837
    +tp7838
    +a(g701
    +g1123
    +tp7839
    +a(g822
    +g961
    +tp7840
    +a(g431
    +Vnohead
    +p7841
    +tp7842
    +a(g822
    +V\u000a
    +p7843
    +tp7844
    +a(g740
    +Vset
    +p7845
    +tp7846
    +a(g822
    +g961
    +tp7847
    +a(g677
    +Vlabel
    +p7848
    +tp7849
    +a(g822
    +g961
    +tp7850
    +a(g84
    +g1321
    +tp7851
    +a(g84
    +Vmu
    +p7852
    +tp7853
    +a(g84
    +g1321
    +tp7854
    +a(g822
    +g961
    +tp7855
    +a(g431
    +Vat
    +p7856
    +tp7857
    +a(g822
    +g961
    +tp7858
    +a(g431
    +Vmu
    +p7859
    +tp7860
    +a(g822
    +g961
    +tp7861
    +a(g408
    +g1522
    +tp7862
    +a(g822
    +g961
    +tp7863
    +a(g310
    +V0.5
    +p7864
    +tp7865
    +a(g408
    +g989
    +tp7866
    +a(g822
    +g961
    +tp7867
    +a(g431
    +Vymax
    +p7868
    +tp7869
    +a(g822
    +g961
    +tp7870
    +a(g408
    +g1006
    +tp7871
    +a(g822
    +g961
    +tp7872
    +a(g32
    +V10
    +p7873
    +tp7874
    +a(g822
    +V\u000a
    +p7875
    +tp7876
    +a(g740
    +Vset
    +p7877
    +tp7878
    +a(g822
    +g961
    +tp7879
    +a(g677
    +Vlabel
    +p7880
    +tp7881
    +a(g822
    +g961
    +tp7882
    +a(g84
    +g1321
    +tp7883
    +a(g84
    +Vsigma
    +p7884
    +tp7885
    +a(g84
    +g1321
    +tp7886
    +a(g822
    +g961
    +tp7887
    +a(g431
    +Vat
    +p7888
    +tp7889
    +a(g822
    +g961
    +tp7890
    +a(g431
    +Vmu
    +p7891
    +tp7892
    +a(g822
    +g961
    +tp7893
    +a(g408
    +g1522
    +tp7894
    +a(g822
    +g961
    +tp7895
    +a(g310
    +V0.5
    +p7896
    +tp7897
    +a(g822
    +g961
    +tp7898
    +a(g408
    +g1522
    +tp7899
    +a(g822
    +g961
    +tp7900
    +a(g431
    +Vsigma
    +p7901
    +tp7902
    +a(g408
    +g989
    +tp7903
    +a(g822
    +g961
    +tp7904
    +a(g569
    +Vnormal
    +p7905
    +tp7906
    +a(g701
    +g1120
    +tp7907
    +a(g431
    +Vmu
    +p7908
    +tp7909
    +a(g822
    +g961
    +tp7910
    +a(g408
    +g1522
    +tp7911
    +a(g822
    +g961
    +tp7912
    +a(g431
    +Vsigma
    +p7913
    +tp7914
    +a(g408
    +g989
    +tp7915
    +a(g822
    +g961
    +tp7916
    +a(g431
    +Vmu
    +p7917
    +tp7918
    +a(g408
    +g989
    +tp7919
    +a(g822
    +g961
    +tp7920
    +a(g431
    +Vsigma
    +p7921
    +tp7922
    +a(g701
    +g1123
    +tp7923
    +a(g822
    +V\u000a
    +p7924
    +tp7925
    +a(g740
    +Vplot
    +p7926
    +tp7927
    +a(g822
    +g961
    +tp7928
    +a(g569
    +Vpoisson
    +p7929
    +tp7930
    +a(g701
    +g1120
    +tp7931
    +a(g569
    +Vrnd
    +p7932
    +tp7933
    +a(g701
    +g1120
    +tp7934
    +a(g431
    +g1036
    +tp7935
    +a(g701
    +g1123
    +tp7936
    +a(g408
    +g989
    +tp7937
    +a(g822
    +g961
    +tp7938
    +a(g431
    +Vmu
    +p7939
    +tp7940
    +a(g701
    +g1123
    +tp7941
    +a(g822
    +g961
    +tp7942
    +a(g677
    +Vwith
    +p7943
    +tp7944
    +a(g822
    +g961
    +tp7945
    +a(g431
    +Vhisteps
    +p7946
    +tp7947
    +a(g408
    +g989
    +tp7948
    +a(g822
    +g961
    +tp7949
    +a(g569
    +Vnormal
    +p7950
    +tp7951
    +a(g701
    +g1120
    +tp7952
    +a(g431
    +g1036
    +tp7953
    +a(g408
    +g989
    +tp7954
    +a(g822
    +g961
    +tp7955
    +a(g431
    +Vmu
    +p7956
    +tp7957
    +a(g408
    +g989
    +tp7958
    +a(g822
    +g961
    +tp7959
    +a(g431
    +Vsigma
    +p7960
    +tp7961
    +a(g701
    +g1123
    +tp7962
    +a(g822
    +V\u000a
    +p7963
    +tp7964
    +a(g740
    +Vpause
    +p7965
    +tp7966
    +a(g822
    +g961
    +tp7967
    +a(g32
    +V-1
    +p7968
    +tp7969
    +a(g822
    +g961
    +tp7970
    +a(g84
    +g1321
    +tp7971
    +a(g84
    +VHit return to continue
    +p7972
    +tp7973
    +a(g84
    +g1321
    +tp7974
    +a(g822
    +V\u000a
    +p7975
    +tp7976
    +a(g740
    +Vreset
    +p7977
    +tp7978
    +a(g822
    +V\u000a
    +p7979
    +tp7980
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.ps1 b/tests/examplefiles/output/test.ps1
    new file mode 100644
    index 0000000..f89fde2
    --- /dev/null
    +++ b/tests/examplefiles/output/test.ps1
    @@ -0,0 +1,5534 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +S'Multiline'
    +p5
    +tp6
    +tp7
    +Rp8
    +(dp9
    +S'parent'
    +p10
    +g1
    +(g2
    +g3
    +(g4
    +tp11
    +tp12
    +Rp13
    +(dp14
    +S'Multi'
    +p15
    +g1
    +(g2
    +g3
    +(g4
    +g15
    +tp16
    +tp17
    +Rp18
    +(dp19
    +g10
    +g13
    +sS'subtypes'
    +p20
    +c__builtin__
    +set
    +p21
    +((lp22
    +tp23
    +Rp24
    +sbsg10
    +g1
    +(g2
    +g3
    +(ttp25
    +Rp26
    +(dp27
    +S'Number'
    +p28
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p29
    +g28
    +tp30
    +tp31
    +Rp32
    +(dp33
    +S'Integer'
    +p34
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g10
    +g32
    +sS'Long'
    +p39
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +g39
    +tp40
    +tp41
    +Rp42
    +(dp43
    +g10
    +g37
    +sg20
    +g21
    +((lp44
    +tp45
    +Rp46
    +sbsg20
    +g21
    +((lp47
    +g42
    +atp48
    +Rp49
    +sbsg10
    +g1
    +(g2
    +g3
    +(g29
    +tp50
    +tp51
    +Rp52
    +(dp53
    +S'Scalar'
    +p54
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +tp55
    +tp56
    +Rp57
    +(dp58
    +g10
    +g52
    +sg20
    +g21
    +((lp59
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +S'Plain'
    +p60
    +tp61
    +tp62
    +Rp63
    +(dp64
    +g10
    +g57
    +sg20
    +g21
    +((lp65
    +tp66
    +Rp67
    +sbatp68
    +Rp69
    +sg60
    +g63
    +sbsg28
    +g32
    +sg10
    +g26
    +sS'Other'
    +p70
    +g1
    +(g2
    +g3
    +(g29
    +g70
    +tp71
    +tp72
    +Rp73
    +(dp74
    +g10
    +g52
    +sg20
    +g21
    +((lp75
    +tp76
    +Rp77
    +sbsS'Char'
    +p78
    +g1
    +(g2
    +g3
    +(g29
    +g78
    +tp79
    +tp80
    +Rp81
    +(dp82
    +g10
    +g52
    +sg20
    +g21
    +((lp83
    +tp84
    +Rp85
    +sbsS'String'
    +p86
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +tp87
    +tp88
    +Rp89
    +(dp90
    +g78
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g78
    +tp91
    +tp92
    +Rp93
    +(dp94
    +g10
    +g89
    +sg20
    +g21
    +((lp95
    +tp96
    +Rp97
    +sbsS'Backtick'
    +p98
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g98
    +tp99
    +tp100
    +Rp101
    +(dp102
    +g10
    +g89
    +sg20
    +g21
    +((lp103
    +tp104
    +Rp105
    +sbsS'Heredoc'
    +p106
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g106
    +tp107
    +tp108
    +Rp109
    +(dp110
    +g10
    +g89
    +sg20
    +g21
    +((lp111
    +tp112
    +Rp113
    +sbsS'Symbol'
    +p114
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g114
    +tp115
    +tp116
    +Rp117
    +(dp118
    +g10
    +g89
    +sg20
    +g21
    +((lp119
    +tp120
    +Rp121
    +sbsS'Interpol'
    +p122
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g122
    +tp123
    +tp124
    +Rp125
    +(dp126
    +g10
    +g89
    +sg20
    +g21
    +((lp127
    +tp128
    +Rp129
    +sbsS'Delimiter'
    +p130
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g130
    +tp131
    +tp132
    +Rp133
    +(dp134
    +g10
    +g89
    +sg20
    +g21
    +((lp135
    +tp136
    +Rp137
    +sbsS'Boolean'
    +p138
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g138
    +tp139
    +tp140
    +Rp141
    +(dp142
    +g10
    +g89
    +sg20
    +g21
    +((lp143
    +tp144
    +Rp145
    +sbsS'Character'
    +p146
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g146
    +tp147
    +tp148
    +Rp149
    +(dp150
    +g10
    +g89
    +sg20
    +g21
    +((lp151
    +tp152
    +Rp153
    +sbsS'Double'
    +p154
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g154
    +tp155
    +tp156
    +Rp157
    +(dp158
    +g10
    +g89
    +sg20
    +g21
    +((lp159
    +tp160
    +Rp161
    +sbsS'Delimeter'
    +p162
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g162
    +tp163
    +tp164
    +Rp165
    +(dp166
    +g10
    +g89
    +sg20
    +g21
    +((lp167
    +tp168
    +Rp169
    +sbsS'Atom'
    +p170
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g170
    +tp171
    +tp172
    +Rp173
    +(dp174
    +g10
    +g89
    +sg20
    +g21
    +((lp175
    +tp176
    +Rp177
    +sbsS'Affix'
    +p178
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g178
    +tp179
    +tp180
    +Rp181
    +(dp182
    +g10
    +g89
    +sg20
    +g21
    +((lp183
    +tp184
    +Rp185
    +sbsS'Name'
    +p186
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g186
    +tp187
    +tp188
    +Rp189
    +(dp190
    +g10
    +g89
    +sg20
    +g21
    +((lp191
    +tp192
    +Rp193
    +sbsS'Regex'
    +p194
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g194
    +tp195
    +tp196
    +Rp197
    +(dp198
    +g10
    +g89
    +sg20
    +g21
    +((lp199
    +tp200
    +Rp201
    +sbsS'Interp'
    +p202
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g202
    +tp203
    +tp204
    +Rp205
    +(dp206
    +g10
    +g89
    +sg20
    +g21
    +((lp207
    +tp208
    +Rp209
    +sbsS'Escape'
    +p210
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g210
    +tp211
    +tp212
    +Rp213
    +(dp214
    +g10
    +g89
    +sg20
    +g21
    +((lp215
    +tp216
    +Rp217
    +sbsg20
    +g21
    +((lp218
    +g133
    +ag117
    +ag197
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Doc'
    +p219
    +tp220
    +tp221
    +Rp222
    +(dp223
    +g10
    +g89
    +sg20
    +g21
    +((lp224
    +tp225
    +Rp226
    +sbag149
    +ag141
    +ag157
    +ag125
    +ag173
    +ag165
    +ag189
    +ag213
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Single'
    +p227
    +tp228
    +tp229
    +Rp230
    +(dp231
    +g10
    +g89
    +sg20
    +g21
    +((lp232
    +tp233
    +Rp234
    +sbag1
    +(g2
    +g3
    +(g29
    +g86
    +g70
    +tp235
    +tp236
    +Rp237
    +(dp238
    +g10
    +g89
    +sg20
    +g21
    +((lp239
    +tp240
    +Rp241
    +sbag205
    +ag101
    +ag181
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Moment'
    +p242
    +tp243
    +tp244
    +Rp245
    +(dp246
    +g10
    +g89
    +sg20
    +g21
    +((lp247
    +tp248
    +Rp249
    +sbag93
    +ag109
    +atp250
    +Rp251
    +sg227
    +g230
    +sg242
    +g245
    +sg10
    +g52
    +sg70
    +g237
    +sg219
    +g222
    +sbsg20
    +g21
    +((lp252
    +g89
    +ag81
    +ag73
    +ag1
    +(g2
    +g3
    +(g29
    +S'Date'
    +p253
    +tp254
    +tp255
    +Rp256
    +(dp257
    +g10
    +g52
    +sg20
    +g21
    +((lp258
    +tp259
    +Rp260
    +sbag57
    +ag32
    +atp261
    +Rp262
    +sg253
    +g256
    +sbsS'Bin'
    +p263
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g263
    +tp264
    +tp265
    +Rp266
    +(dp267
    +g10
    +g32
    +sg20
    +g21
    +((lp268
    +tp269
    +Rp270
    +sbsS'Radix'
    +p271
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g271
    +tp272
    +tp273
    +Rp274
    +(dp275
    +g10
    +g32
    +sg20
    +g21
    +((lp276
    +tp277
    +Rp278
    +sbsS'Oct'
    +p279
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g279
    +tp280
    +tp281
    +Rp282
    +(dp283
    +g10
    +g32
    +sg20
    +g21
    +((lp284
    +tp285
    +Rp286
    +sbsS'Dec'
    +p287
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g287
    +tp288
    +tp289
    +Rp290
    +(dp291
    +g10
    +g32
    +sg20
    +g21
    +((lp292
    +tp293
    +Rp294
    +sbsS'Hex'
    +p295
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g295
    +tp296
    +tp297
    +Rp298
    +(dp299
    +g10
    +g32
    +sg20
    +g21
    +((lp300
    +tp301
    +Rp302
    +sbsg20
    +g21
    +((lp303
    +g37
    +ag274
    +ag290
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Decimal'
    +p304
    +tp305
    +tp306
    +Rp307
    +(dp308
    +g10
    +g32
    +sg20
    +g21
    +((lp309
    +tp310
    +Rp311
    +sbag266
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Float'
    +p312
    +tp313
    +tp314
    +Rp315
    +(dp316
    +g10
    +g32
    +sg20
    +g21
    +((lp317
    +tp318
    +Rp319
    +sbag282
    +ag298
    +atp320
    +Rp321
    +sg304
    +g307
    +sg312
    +g315
    +sbsS'Generic'
    +p322
    +g1
    +(g2
    +g3
    +(g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g10
    +g26
    +sS'Deleted'
    +p327
    +g1
    +(g2
    +g3
    +(g322
    +g327
    +tp328
    +tp329
    +Rp330
    +(dp331
    +g10
    +g325
    +sg20
    +g21
    +((lp332
    +tp333
    +Rp334
    +sbsS'Subheading'
    +p335
    +g1
    +(g2
    +g3
    +(g322
    +g335
    +tp336
    +tp337
    +Rp338
    +(dp339
    +g10
    +g325
    +sg20
    +g21
    +((lp340
    +tp341
    +Rp342
    +sbsS'Heading'
    +p343
    +g1
    +(g2
    +g3
    +(g322
    +g343
    +tp344
    +tp345
    +Rp346
    +(dp347
    +g10
    +g325
    +sg20
    +g21
    +((lp348
    +tp349
    +Rp350
    +sbsS'Emph'
    +p351
    +g1
    +(g2
    +g3
    +(g322
    +g351
    +tp352
    +tp353
    +Rp354
    +(dp355
    +g10
    +g325
    +sg20
    +g21
    +((lp356
    +tp357
    +Rp358
    +sbsS'Prompt'
    +p359
    +g1
    +(g2
    +g3
    +(g322
    +g359
    +tp360
    +tp361
    +Rp362
    +(dp363
    +g10
    +g325
    +sg20
    +g21
    +((lp364
    +tp365
    +Rp366
    +sbsS'Inserted'
    +p367
    +g1
    +(g2
    +g3
    +(g322
    +g367
    +tp368
    +tp369
    +Rp370
    +(dp371
    +g10
    +g325
    +sg20
    +g21
    +((lp372
    +tp373
    +Rp374
    +sbsS'Strong'
    +p375
    +g1
    +(g2
    +g3
    +(g322
    +g375
    +tp376
    +tp377
    +Rp378
    +(dp379
    +g10
    +g325
    +sg20
    +g21
    +((lp380
    +tp381
    +Rp382
    +sbsS'Error'
    +p383
    +g1
    +(g2
    +g3
    +(g322
    +g383
    +tp384
    +tp385
    +Rp386
    +(dp387
    +g10
    +g325
    +sg20
    +g21
    +((lp388
    +tp389
    +Rp390
    +sbsS'Traceback'
    +p391
    +g1
    +(g2
    +g3
    +(g322
    +g391
    +tp392
    +tp393
    +Rp394
    +(dp395
    +g10
    +g325
    +sg20
    +g21
    +((lp396
    +tp397
    +Rp398
    +sbsg20
    +g21
    +((lp399
    +g346
    +ag338
    +ag1
    +(g2
    +g3
    +(g322
    +S'Output'
    +p400
    +tp401
    +tp402
    +Rp403
    +(dp404
    +g10
    +g325
    +sg20
    +g21
    +((lp405
    +tp406
    +Rp407
    +sbag378
    +ag354
    +ag386
    +ag394
    +ag370
    +ag362
    +ag330
    +atp408
    +Rp409
    +sg400
    +g403
    +sbsS'Operator'
    +p410
    +g1
    +(g2
    +g3
    +(g410
    +tp411
    +tp412
    +Rp413
    +(dp414
    +g10
    +g26
    +sS'DBS'
    +p415
    +g1
    +(g2
    +g3
    +(g410
    +g415
    +tp416
    +tp417
    +Rp418
    +(dp419
    +g10
    +g413
    +sg20
    +g21
    +((lp420
    +tp421
    +Rp422
    +sbsg20
    +g21
    +((lp423
    +g418
    +ag1
    +(g2
    +g3
    +(g410
    +S'Word'
    +p424
    +tp425
    +tp426
    +Rp427
    +(dp428
    +g10
    +g413
    +sg20
    +g21
    +((lp429
    +tp430
    +Rp431
    +sbatp432
    +Rp433
    +sg424
    +g427
    +sbsg86
    +g89
    +sg186
    +g1
    +(g2
    +g3
    +(g186
    +tp434
    +tp435
    +Rp436
    +(dp437
    +S'Variable'
    +p438
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g10
    +g436
    +sS'Class'
    +p443
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g443
    +tp444
    +tp445
    +Rp446
    +(dp447
    +g10
    +g441
    +sg20
    +g21
    +((lp448
    +tp449
    +Rp450
    +sbsS'Anonymous'
    +p451
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g451
    +tp452
    +tp453
    +Rp454
    +(dp455
    +g10
    +g441
    +sg20
    +g21
    +((lp456
    +tp457
    +Rp458
    +sbsS'Instance'
    +p459
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g459
    +tp460
    +tp461
    +Rp462
    +(dp463
    +g10
    +g441
    +sg20
    +g21
    +((lp464
    +tp465
    +Rp466
    +sbsS'Global'
    +p467
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g467
    +tp468
    +tp469
    +Rp470
    +(dp471
    +g10
    +g441
    +sg20
    +g21
    +((lp472
    +tp473
    +Rp474
    +sbsg20
    +g21
    +((lp475
    +g454
    +ag462
    +ag1
    +(g2
    +g3
    +(g186
    +g438
    +S'Magic'
    +p476
    +tp477
    +tp478
    +Rp479
    +(dp480
    +g10
    +g441
    +sg20
    +g21
    +((lp481
    +tp482
    +Rp483
    +sbag470
    +ag446
    +atp484
    +Rp485
    +sg476
    +g479
    +sbsg410
    +g1
    +(g2
    +g3
    +(g186
    +g410
    +tp486
    +tp487
    +Rp488
    +(dp489
    +g10
    +g436
    +sg20
    +g21
    +((lp490
    +tp491
    +Rp492
    +sbsS'Decorator'
    +p493
    +g1
    +(g2
    +g3
    +(g186
    +g493
    +tp494
    +tp495
    +Rp496
    +(dp497
    +g10
    +g436
    +sg20
    +g21
    +((lp498
    +tp499
    +Rp500
    +sbsS'Entity'
    +p501
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +tp502
    +tp503
    +Rp504
    +(dp505
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +g415
    +tp506
    +tp507
    +Rp508
    +(dp509
    +g10
    +g504
    +sg20
    +g21
    +((lp510
    +tp511
    +Rp512
    +sbsg20
    +g21
    +((lp513
    +g508
    +atp514
    +Rp515
    +sbsg114
    +g1
    +(g2
    +g3
    +(g186
    +g114
    +tp516
    +tp517
    +Rp518
    +(dp519
    +g10
    +g436
    +sg20
    +g21
    +((lp520
    +tp521
    +Rp522
    +sbsS'Property'
    +p523
    +g1
    +(g2
    +g3
    +(g186
    +g523
    +tp524
    +tp525
    +Rp526
    +(dp527
    +g10
    +g436
    +sg20
    +g21
    +((lp528
    +tp529
    +Rp530
    +sbsS'Pseudo'
    +p531
    +g1
    +(g2
    +g3
    +(g186
    +g531
    +tp532
    +tp533
    +Rp534
    +(dp535
    +g10
    +g436
    +sg20
    +g21
    +((lp536
    +tp537
    +Rp538
    +sbsS'Type'
    +p539
    +g1
    +(g2
    +g3
    +(g186
    +g539
    +tp540
    +tp541
    +Rp542
    +(dp543
    +g10
    +g436
    +sg20
    +g21
    +((lp544
    +tp545
    +Rp546
    +sbsS'Classes'
    +p547
    +g1
    +(g2
    +g3
    +(g186
    +g547
    +tp548
    +tp549
    +Rp550
    +(dp551
    +g10
    +g436
    +sg20
    +g21
    +((lp552
    +tp553
    +Rp554
    +sbsS'Tag'
    +p555
    +g1
    +(g2
    +g3
    +(g186
    +g555
    +tp556
    +tp557
    +Rp558
    +(dp559
    +g10
    +g436
    +sg20
    +g21
    +((lp560
    +tp561
    +Rp562
    +sbsS'Constant'
    +p563
    +g1
    +(g2
    +g3
    +(g186
    +g563
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g10
    +g436
    +sg20
    +g21
    +((lp568
    +tp569
    +Rp570
    +sbsS'Function'
    +p571
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +tp572
    +tp573
    +Rp574
    +(dp575
    +g10
    +g436
    +sg20
    +g21
    +((lp576
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +g476
    +tp577
    +tp578
    +Rp579
    +(dp580
    +g10
    +g574
    +sg20
    +g21
    +((lp581
    +tp582
    +Rp583
    +sbatp584
    +Rp585
    +sg476
    +g579
    +sbsS'Blubb'
    +p586
    +g1
    +(g2
    +g3
    +(g186
    +g586
    +tp587
    +tp588
    +Rp589
    +(dp590
    +g10
    +g436
    +sg20
    +g21
    +((lp591
    +tp592
    +Rp593
    +sbsS'Label'
    +p594
    +g1
    +(g2
    +g3
    +(g186
    +g594
    +tp595
    +tp596
    +Rp597
    +(dp598
    +g10
    +g436
    +sg20
    +g21
    +((lp599
    +tp600
    +Rp601
    +sbsS'Field'
    +p602
    +g1
    +(g2
    +g3
    +(g186
    +g602
    +tp603
    +tp604
    +Rp605
    +(dp606
    +g10
    +g436
    +sg20
    +g21
    +((lp607
    +tp608
    +Rp609
    +sbsS'Exception'
    +p610
    +g1
    +(g2
    +g3
    +(g186
    +g610
    +tp611
    +tp612
    +Rp613
    +(dp614
    +g10
    +g436
    +sg20
    +g21
    +((lp615
    +tp616
    +Rp617
    +sbsS'Namespace'
    +p618
    +g1
    +(g2
    +g3
    +(g186
    +g618
    +tp619
    +tp620
    +Rp621
    +(dp622
    +g10
    +g436
    +sg20
    +g21
    +((lp623
    +tp624
    +Rp625
    +sbsg20
    +g21
    +((lp626
    +g496
    +ag589
    +ag534
    +ag504
    +ag441
    +ag613
    +ag526
    +ag558
    +ag574
    +ag550
    +ag1
    +(g2
    +g3
    +(g186
    +g443
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +g415
    +tp631
    +tp632
    +Rp633
    +(dp634
    +g10
    +g629
    +sg20
    +g21
    +((lp635
    +tp636
    +Rp637
    +sbsg20
    +g21
    +((lp638
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +S'Start'
    +p639
    +tp640
    +tp641
    +Rp642
    +(dp643
    +g10
    +g629
    +sg20
    +g21
    +((lp644
    +tp645
    +Rp646
    +sbag633
    +atp647
    +Rp648
    +sg639
    +g642
    +sbag1
    +(g2
    +g3
    +(g186
    +g70
    +tp649
    +tp650
    +Rp651
    +(dp652
    +g10
    +g436
    +sS'Member'
    +p653
    +g1
    +(g2
    +g3
    +(g186
    +g70
    +g653
    +tp654
    +tp655
    +Rp656
    +(dp657
    +g10
    +g651
    +sg20
    +g21
    +((lp658
    +tp659
    +Rp660
    +sbsg20
    +g21
    +((lp661
    +g656
    +atp662
    +Rp663
    +sbag597
    +ag488
    +ag621
    +ag1
    +(g2
    +g3
    +(g186
    +S'Attribute'
    +p664
    +tp665
    +tp666
    +Rp667
    +(dp668
    +g10
    +g436
    +sg438
    +g1
    +(g2
    +g3
    +(g186
    +g664
    +g438
    +tp669
    +tp670
    +Rp671
    +(dp672
    +g10
    +g667
    +sg20
    +g21
    +((lp673
    +tp674
    +Rp675
    +sbsg20
    +g21
    +((lp676
    +g671
    +atp677
    +Rp678
    +sbag566
    +ag1
    +(g2
    +g3
    +(g186
    +S'Builtin'
    +p679
    +tp680
    +tp681
    +Rp682
    +(dp683
    +g10
    +g436
    +sg539
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g539
    +tp684
    +tp685
    +Rp686
    +(dp687
    +g10
    +g682
    +sg20
    +g21
    +((lp688
    +tp689
    +Rp690
    +sbsg20
    +g21
    +((lp691
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g531
    +tp692
    +tp693
    +Rp694
    +(dp695
    +g10
    +g682
    +sg20
    +g21
    +((lp696
    +tp697
    +Rp698
    +sbag686
    +atp699
    +Rp700
    +sg531
    +g694
    +sbag605
    +ag542
    +ag518
    +atp701
    +Rp702
    +sg10
    +g26
    +sg443
    +g629
    +sg679
    +g682
    +sg664
    +g667
    +sg70
    +g651
    +sbsS'Punctuation'
    +p703
    +g1
    +(g2
    +g3
    +(g703
    +tp704
    +tp705
    +Rp706
    +(dp707
    +g10
    +g26
    +sg20
    +g21
    +((lp708
    +g1
    +(g2
    +g3
    +(g703
    +S'Indicator'
    +p709
    +tp710
    +tp711
    +Rp712
    +(dp713
    +g10
    +g706
    +sg20
    +g21
    +((lp714
    +tp715
    +Rp716
    +sbatp717
    +Rp718
    +sg709
    +g712
    +sbsg4
    +g13
    +sg29
    +g52
    +sg70
    +g1
    +(g2
    +g3
    +(g70
    +tp719
    +tp720
    +Rp721
    +(dp722
    +g10
    +g26
    +sg20
    +g21
    +((lp723
    +tp724
    +Rp725
    +sbsg383
    +g1
    +(g2
    +g3
    +(g383
    +tp726
    +tp727
    +Rp728
    +(dp729
    +g10
    +g26
    +sg20
    +g21
    +((lp730
    +tp731
    +Rp732
    +sbsS'Token'
    +p733
    +g26
    +sg210
    +g1
    +(g2
    +g3
    +(g210
    +tp734
    +tp735
    +Rp736
    +(dp737
    +g10
    +g26
    +sg20
    +g21
    +((lp738
    +tp739
    +Rp740
    +sbsg20
    +g21
    +((lp741
    +g436
    +ag721
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p742
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g10
    +g26
    +sg539
    +g1
    +(g2
    +g3
    +(g742
    +g539
    +tp747
    +tp748
    +Rp749
    +(dp750
    +g10
    +g745
    +sg20
    +g21
    +((lp751
    +tp752
    +Rp753
    +sbsS'Control'
    +p754
    +g1
    +(g2
    +g3
    +(g742
    +g754
    +tp755
    +tp756
    +Rp757
    +(dp758
    +g10
    +g745
    +sg20
    +g21
    +((lp759
    +tp760
    +Rp761
    +sbsg563
    +g1
    +(g2
    +g3
    +(g742
    +g563
    +tp762
    +tp763
    +Rp764
    +(dp765
    +g10
    +g745
    +sg20
    +g21
    +((lp766
    +tp767
    +Rp768
    +sbsg618
    +g1
    +(g2
    +g3
    +(g742
    +g618
    +tp769
    +tp770
    +Rp771
    +(dp772
    +g10
    +g745
    +sg20
    +g21
    +((lp773
    +tp774
    +Rp775
    +sbsS'PreProc'
    +p776
    +g1
    +(g2
    +g3
    +(g742
    +g776
    +tp777
    +tp778
    +Rp779
    +(dp780
    +g10
    +g745
    +sg20
    +g21
    +((lp781
    +tp782
    +Rp783
    +sbsg531
    +g1
    +(g2
    +g3
    +(g742
    +g531
    +tp784
    +tp785
    +Rp786
    +(dp787
    +g10
    +g745
    +sg20
    +g21
    +((lp788
    +tp789
    +Rp790
    +sbsS'Reserved'
    +p791
    +g1
    +(g2
    +g3
    +(g742
    +g791
    +tp792
    +tp793
    +Rp794
    +(dp795
    +g10
    +g745
    +sg20
    +g21
    +((lp796
    +tp797
    +Rp798
    +sbsg20
    +g21
    +((lp799
    +g771
    +ag1
    +(g2
    +g3
    +(g742
    +g424
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g10
    +g745
    +sg20
    +g21
    +((lp804
    +tp805
    +Rp806
    +sbag757
    +ag1
    +(g2
    +g3
    +(g742
    +S'Declaration'
    +p807
    +tp808
    +tp809
    +Rp810
    +(dp811
    +g10
    +g745
    +sg20
    +g21
    +((lp812
    +tp813
    +Rp814
    +sbag1
    +(g2
    +g3
    +(g742
    +g742
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g10
    +g745
    +sg20
    +g21
    +((lp819
    +tp820
    +Rp821
    +sbag786
    +ag764
    +ag749
    +ag794
    +ag779
    +atp822
    +Rp823
    +sg742
    +g817
    +sg807
    +g810
    +sg424
    +g802
    +sbag325
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p824
    +tp825
    +tp826
    +Rp827
    +(dp828
    +S'Beer'
    +p829
    +g1
    +(g2
    +g3
    +(g824
    +g829
    +tp830
    +tp831
    +Rp832
    +(dp833
    +g10
    +g827
    +sg20
    +g21
    +((lp834
    +tp835
    +Rp836
    +sbsS'Whitespace'
    +p837
    +g1
    +(g2
    +g3
    +(g824
    +g837
    +tp838
    +tp839
    +Rp840
    +(dp841
    +g10
    +g827
    +sg20
    +g21
    +((lp842
    +tp843
    +Rp844
    +sbsg10
    +g26
    +sS'Root'
    +p845
    +g1
    +(g2
    +g3
    +(g824
    +g845
    +tp846
    +tp847
    +Rp848
    +(dp849
    +g10
    +g827
    +sg20
    +g21
    +((lp850
    +tp851
    +Rp852
    +sbsg114
    +g1
    +(g2
    +g3
    +(g824
    +g114
    +tp853
    +tp854
    +Rp855
    +(dp856
    +g10
    +g827
    +sg20
    +g21
    +((lp857
    +tp858
    +Rp859
    +sbsg703
    +g1
    +(g2
    +g3
    +(g824
    +g703
    +tp860
    +tp861
    +Rp862
    +(dp863
    +g10
    +g827
    +sg20
    +g21
    +((lp864
    +tp865
    +Rp866
    +sbsg20
    +g21
    +((lp867
    +g848
    +ag855
    +ag862
    +ag840
    +ag832
    +ag1
    +(g2
    +g3
    +(g824
    +S'Rag'
    +p868
    +tp869
    +tp870
    +Rp871
    +(dp872
    +g10
    +g827
    +sg20
    +g21
    +((lp873
    +tp874
    +Rp875
    +sbatp876
    +Rp877
    +sg868
    +g871
    +sbag413
    +ag736
    +ag706
    +ag13
    +ag728
    +ag52
    +atp878
    +Rp879
    +sg742
    +g745
    +sg824
    +g827
    +sbsS'Special'
    +p880
    +g1
    +(g2
    +g3
    +(g4
    +g880
    +tp881
    +tp882
    +Rp883
    +(dp884
    +g10
    +g13
    +sg20
    +g21
    +((lp885
    +tp886
    +Rp887
    +sbsS'Hashbang'
    +p888
    +g1
    +(g2
    +g3
    +(g4
    +g888
    +tp889
    +tp890
    +Rp891
    +(dp892
    +g10
    +g13
    +sg20
    +g21
    +((lp893
    +tp894
    +Rp895
    +sbsS'Preproc'
    +p896
    +g1
    +(g2
    +g3
    +(g4
    +g896
    +tp897
    +tp898
    +Rp899
    +(dp900
    +g10
    +g13
    +sg20
    +g21
    +((lp901
    +tp902
    +Rp903
    +sbsg227
    +g1
    +(g2
    +g3
    +(g4
    +g227
    +tp904
    +tp905
    +Rp906
    +(dp907
    +g10
    +g13
    +sg20
    +g21
    +((lp908
    +tp909
    +Rp910
    +sbsS'Directive'
    +p911
    +g1
    +(g2
    +g3
    +(g4
    +g911
    +tp912
    +tp913
    +Rp914
    +(dp915
    +g10
    +g13
    +sg20
    +g21
    +((lp916
    +tp917
    +Rp918
    +sbsg219
    +g1
    +(g2
    +g3
    +(g4
    +g219
    +tp919
    +tp920
    +Rp921
    +(dp922
    +g10
    +g13
    +sg20
    +g21
    +((lp923
    +tp924
    +Rp925
    +sbsS'Singleline'
    +p926
    +g1
    +(g2
    +g3
    +(g4
    +g926
    +tp927
    +tp928
    +Rp929
    +(dp930
    +g10
    +g13
    +sg20
    +g21
    +((lp931
    +tp932
    +Rp933
    +sbsg5
    +g8
    +sg20
    +g21
    +((lp934
    +g921
    +ag914
    +ag891
    +ag18
    +ag929
    +ag899
    +ag8
    +ag906
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p935
    +tp936
    +tp937
    +Rp938
    +(dp939
    +g10
    +g13
    +sg20
    +g21
    +((lp940
    +tp941
    +Rp942
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p943
    +tp944
    +tp945
    +Rp946
    +(dp947
    +g10
    +g13
    +sg20
    +g21
    +((lp948
    +tp949
    +Rp950
    +sbag883
    +atp951
    +Rp952
    +sg935
    +g938
    +sg943
    +g946
    +sbsg20
    +g21
    +((lp953
    +tp954
    +Rp955
    +sbV<#
    +p956
    +tp957
    +a(g8
    +V\u000a
    +p958
    +tp959
    +a(g222
    +V.SYNOPSIS
    +p960
    +tp961
    +a(g8
    +V\u000aRuns a T-SQL Query and optional outputs results to a delimited file
    +p962
    +tp963
    +a(g8
    +V.
    +p964
    +tp965
    +a(g8
    +V\u000a
    +p966
    +tp967
    +a(g222
    +V.DESCRIPTION
    +p968
    +tp969
    +a(g8
    +V\u000aInvoke-Sql script will run a T-SQL query or stored procedure and optionally outputs a delimited file
    +p970
    +tp971
    +a(g8
    +g964
    +tp972
    +a(g8
    +V\u000a
    +p973
    +tp974
    +a(g222
    +V.EXAMPLE
    +p975
    +tp976
    +a(g8
    +V\u000aPowerShell
    +p977
    +tp978
    +a(g8
    +g964
    +tp979
    +a(g8
    +Vexe -File "C:\u005cScripts\u005cInvoke-Sql
    +p980
    +tp981
    +a(g8
    +g964
    +tp982
    +a(g8
    +Vps1" -ServerInstance "Z003\u005csqlprod2" -Database orders -Query "EXEC usp_accounts '12445678'"\u000aThis example connects to Z003\u005csqlprod2
    +p983
    +tp984
    +a(g8
    +g964
    +tp985
    +a(g8
    +VOrders and executes a stored procedure which does not return a result set\u000a
    +p986
    +tp987
    +a(g222
    +V.EXAMPLE
    +p988
    +tp989
    +a(g8
    +V\u000aPowerShell
    +p990
    +tp991
    +a(g8
    +g964
    +tp992
    +a(g8
    +Vexe -File "C:\u005cScripts\u005cInvoke-Sql
    +p993
    +tp994
    +a(g8
    +g964
    +tp995
    +a(g8
    +Vps1" -ServerInstance "Z003\u005csqlprod2" -Database orders -Query "SELECT * FROM dbo
    +p996
    +tp997
    +a(g8
    +g964
    +tp998
    +a(g8
    +Vaccounts" -FilePath "C:\u005cScripts\u005caccounts
    +p999
    +tp1000
    +a(g8
    +g964
    +tp1001
    +a(g8
    +Vtxt" -Delimiter ","\u000aThis example connects to Z003\u005csqlprod2
    +p1002
    +tp1003
    +a(g8
    +g964
    +tp1004
    +a(g8
    +VOrders and selects the records from the accounts tables, the data is outputed to a CSV file\u000a
    +p1005
    +tp1006
    +a(g222
    +V.NOTES
    +p1007
    +tp1008
    +a(g8
    +V\u000aVersion History\u000av1
    +p1009
    +tp1010
    +a(g8
    +g964
    +tp1011
    +a(g8
    +V0   - Chad Miller - 12/14/2010 - Initial release\u000aIMPORTANT!!! The EventLog source which is set to the application needs to be registered with\u000athe Event log:\u000aNew-EventLog -LogName Application -Source  $Application\u000a
    +p1012
    +tp1013
    +a(g8
    +V#>
    +p1014
    +tp1015
    +a(g827
    +V\u000a
    +p1016
    +tp1017
    +a(g745
    +Vparam
    +p1018
    +tp1019
    +a(g706
    +V(
    +p1020
    +tp1021
    +a(g827
    +V\u000a
    +p1022
    +tp1023
    +a(g13
    +V#ServerInstance is Mandatory!
    +p1024
    +tp1025
    +a(g827
    +V\u000a
    +p1026
    +tp1027
    +a(g706
    +V[
    +p1028
    +tp1029
    +a(g745
    +VParameter
    +p1030
    +tp1031
    +a(g706
    +g1020
    +tp1032
    +a(g745
    +VPosition
    +p1033
    +tp1034
    +a(g706
    +V=
    +p1035
    +tp1036
    +a(g436
    +V0
    +p1037
    +tp1038
    +a(g706
    +V,
    +p1039
    +tp1040
    +a(g827
    +V 
    +p1041
    +tp1042
    +a(g745
    +VMandatory
    +p1043
    +tp1044
    +a(g706
    +g1035
    +tp1045
    +a(g441
    +V$false
    +p1046
    +tp1047
    +a(g706
    +V)
    +p1048
    +tp1049
    +a(g706
    +V]
    +p1050
    +tp1051
    +a(g827
    +g1041
    +tp1052
    +a(g566
    +V[string]
    +p1053
    +tp1054
    +a(g441
    +V$ServerInstance
    +p1055
    +tp1056
    +a(g706
    +g1039
    +tp1057
    +a(g827
    +V\u000a
    +p1058
    +tp1059
    +a(g13
    +V#Database is Mandatory!
    +p1060
    +tp1061
    +a(g827
    +V\u000a
    +p1062
    +tp1063
    +a(g706
    +g1028
    +tp1064
    +a(g745
    +VParameter
    +p1065
    +tp1066
    +a(g706
    +g1020
    +tp1067
    +a(g745
    +VPosition
    +p1068
    +tp1069
    +a(g706
    +g1035
    +tp1070
    +a(g436
    +V1
    +p1071
    +tp1072
    +a(g706
    +g1039
    +tp1073
    +a(g827
    +g1041
    +tp1074
    +a(g745
    +VMandatory
    +p1075
    +tp1076
    +a(g706
    +g1035
    +tp1077
    +a(g441
    +V$false
    +p1078
    +tp1079
    +a(g706
    +g1048
    +tp1080
    +a(g706
    +g1050
    +tp1081
    +a(g827
    +g1041
    +tp1082
    +a(g566
    +V[string]
    +p1083
    +tp1084
    +a(g441
    +V$Database
    +p1085
    +tp1086
    +a(g706
    +g1039
    +tp1087
    +a(g827
    +V\u000a
    +p1088
    +tp1089
    +a(g13
    +V#Query is Mandatory!
    +p1090
    +tp1091
    +a(g827
    +V\u000a
    +p1092
    +tp1093
    +a(g706
    +g1028
    +tp1094
    +a(g745
    +VParameter
    +p1095
    +tp1096
    +a(g706
    +g1020
    +tp1097
    +a(g745
    +VPosition
    +p1098
    +tp1099
    +a(g706
    +g1035
    +tp1100
    +a(g436
    +V2
    +p1101
    +tp1102
    +a(g706
    +g1039
    +tp1103
    +a(g827
    +g1041
    +tp1104
    +a(g745
    +VMandatory
    +p1105
    +tp1106
    +a(g706
    +g1035
    +tp1107
    +a(g441
    +V$false
    +p1108
    +tp1109
    +a(g706
    +g1048
    +tp1110
    +a(g706
    +g1050
    +tp1111
    +a(g827
    +g1041
    +tp1112
    +a(g566
    +V[string]
    +p1113
    +tp1114
    +a(g441
    +V$Query
    +p1115
    +tp1116
    +a(g706
    +g1039
    +tp1117
    +a(g827
    +V\u000a
    +p1118
    +tp1119
    +a(g706
    +g1028
    +tp1120
    +a(g745
    +VParameter
    +p1121
    +tp1122
    +a(g706
    +g1020
    +tp1123
    +a(g745
    +VPosition
    +p1124
    +tp1125
    +a(g706
    +g1035
    +tp1126
    +a(g436
    +V3
    +p1127
    +tp1128
    +a(g706
    +g1039
    +tp1129
    +a(g827
    +g1041
    +tp1130
    +a(g745
    +VMandatory
    +p1131
    +tp1132
    +a(g706
    +g1035
    +tp1133
    +a(g441
    +V$false
    +p1134
    +tp1135
    +a(g706
    +g1048
    +tp1136
    +a(g706
    +g1050
    +tp1137
    +a(g827
    +g1041
    +tp1138
    +a(g566
    +V[string]
    +p1139
    +tp1140
    +a(g441
    +V$Application
    +p1141
    +tp1142
    +a(g706
    +g1035
    +tp1143
    +a(g157
    +V"
    +p1144
    +tp1145
    +a(g157
    +VInvoke-Sql.ps1
    +p1146
    +tp1147
    +a(g157
    +g1144
    +tp1148
    +a(g706
    +g1039
    +tp1149
    +a(g827
    +V\u000a
    +p1150
    +tp1151
    +a(g706
    +g1028
    +tp1152
    +a(g745
    +VParameter
    +p1153
    +tp1154
    +a(g706
    +g1020
    +tp1155
    +a(g745
    +VPosition
    +p1156
    +tp1157
    +a(g706
    +g1035
    +tp1158
    +a(g436
    +V4
    +p1159
    +tp1160
    +a(g706
    +g1039
    +tp1161
    +a(g827
    +g1041
    +tp1162
    +a(g745
    +VMandatory
    +p1163
    +tp1164
    +a(g706
    +g1035
    +tp1165
    +a(g441
    +V$false
    +p1166
    +tp1167
    +a(g706
    +g1048
    +tp1168
    +a(g706
    +g1050
    +tp1169
    +a(g827
    +g1041
    +tp1170
    +a(g566
    +V[string]
    +p1171
    +tp1172
    +a(g441
    +V$FilePath
    +p1173
    +tp1174
    +a(g706
    +g1039
    +tp1175
    +a(g827
    +V\u000a
    +p1176
    +tp1177
    +a(g706
    +g1028
    +tp1178
    +a(g745
    +VParameter
    +p1179
    +tp1180
    +a(g706
    +g1020
    +tp1181
    +a(g745
    +VPosition
    +p1182
    +tp1183
    +a(g706
    +g1035
    +tp1184
    +a(g436
    +V7
    +p1185
    +tp1186
    +a(g706
    +g1039
    +tp1187
    +a(g827
    +g1041
    +tp1188
    +a(g745
    +VMandatory
    +p1189
    +tp1190
    +a(g706
    +g1035
    +tp1191
    +a(g441
    +V$false
    +p1192
    +tp1193
    +a(g706
    +g1048
    +tp1194
    +a(g706
    +g1050
    +tp1195
    +a(g827
    +g1041
    +tp1196
    +a(g566
    +V[string]
    +p1197
    +tp1198
    +a(g441
    +V$Delimiter
    +p1199
    +tp1200
    +a(g706
    +g1035
    +tp1201
    +a(g157
    +g1144
    +tp1202
    +a(g157
    +V|
    +p1203
    +tp1204
    +a(g157
    +g1144
    +tp1205
    +a(g706
    +g1039
    +tp1206
    +a(g827
    +V\u000a
    +p1207
    +tp1208
    +a(g13
    +V#If UserName isn't supplied a trusted connection will be used
    +p1209
    +tp1210
    +a(g827
    +V\u000a
    +p1211
    +tp1212
    +a(g706
    +g1028
    +tp1213
    +a(g745
    +VParameter
    +p1214
    +tp1215
    +a(g706
    +g1020
    +tp1216
    +a(g745
    +VPosition
    +p1217
    +tp1218
    +a(g706
    +g1035
    +tp1219
    +a(g436
    +V5
    +p1220
    +tp1221
    +a(g706
    +g1039
    +tp1222
    +a(g827
    +g1041
    +tp1223
    +a(g745
    +VMandatory
    +p1224
    +tp1225
    +a(g706
    +g1035
    +tp1226
    +a(g441
    +V$false
    +p1227
    +tp1228
    +a(g706
    +g1048
    +tp1229
    +a(g706
    +g1050
    +tp1230
    +a(g827
    +g1041
    +tp1231
    +a(g566
    +V[string]
    +p1232
    +tp1233
    +a(g441
    +V$UserName
    +p1234
    +tp1235
    +a(g706
    +g1039
    +tp1236
    +a(g827
    +V\u000a
    +p1237
    +tp1238
    +a(g706
    +g1028
    +tp1239
    +a(g745
    +VParameter
    +p1240
    +tp1241
    +a(g706
    +g1020
    +tp1242
    +a(g745
    +VPosition
    +p1243
    +tp1244
    +a(g706
    +g1035
    +tp1245
    +a(g436
    +V6
    +p1246
    +tp1247
    +a(g706
    +g1039
    +tp1248
    +a(g827
    +g1041
    +tp1249
    +a(g745
    +VMandatory
    +p1250
    +tp1251
    +a(g706
    +g1035
    +tp1252
    +a(g441
    +V$false
    +p1253
    +tp1254
    +a(g706
    +g1048
    +tp1255
    +a(g706
    +g1050
    +tp1256
    +a(g827
    +g1041
    +tp1257
    +a(g566
    +V[string]
    +p1258
    +tp1259
    +a(g441
    +V$Password
    +p1260
    +tp1261
    +a(g706
    +g1039
    +tp1262
    +a(g827
    +V\u000a
    +p1263
    +tp1264
    +a(g706
    +g1028
    +tp1265
    +a(g745
    +VParameter
    +p1266
    +tp1267
    +a(g706
    +g1020
    +tp1268
    +a(g745
    +VPosition
    +p1269
    +tp1270
    +a(g706
    +g1035
    +tp1271
    +a(g436
    +V8
    +p1272
    +tp1273
    +a(g706
    +g1039
    +tp1274
    +a(g827
    +g1041
    +tp1275
    +a(g745
    +VMandatory
    +p1276
    +tp1277
    +a(g706
    +g1035
    +tp1278
    +a(g441
    +V$false
    +p1279
    +tp1280
    +a(g706
    +g1048
    +tp1281
    +a(g706
    +g1050
    +tp1282
    +a(g827
    +g1041
    +tp1283
    +a(g566
    +V[Int32]
    +p1284
    +tp1285
    +a(g441
    +V$QueryTimeout
    +p1286
    +tp1287
    +a(g706
    +g1035
    +tp1288
    +a(g436
    +V600
    +p1289
    +tp1290
    +a(g706
    +g1039
    +tp1291
    +a(g827
    +V\u000a
    +p1292
    +tp1293
    +a(g706
    +g1028
    +tp1294
    +a(g745
    +VParameter
    +p1295
    +tp1296
    +a(g706
    +g1020
    +tp1297
    +a(g745
    +VPosition
    +p1298
    +tp1299
    +a(g706
    +g1035
    +tp1300
    +a(g436
    +V9
    +p1301
    +tp1302
    +a(g706
    +g1039
    +tp1303
    +a(g827
    +g1041
    +tp1304
    +a(g745
    +VMandatory
    +p1305
    +tp1306
    +a(g706
    +g1035
    +tp1307
    +a(g441
    +V$false
    +p1308
    +tp1309
    +a(g706
    +g1048
    +tp1310
    +a(g706
    +g1050
    +tp1311
    +a(g827
    +g1041
    +tp1312
    +a(g566
    +V[Int32]
    +p1313
    +tp1314
    +a(g441
    +V$ConnectionTimeout
    +p1315
    +tp1316
    +a(g706
    +g1035
    +tp1317
    +a(g436
    +V15
    +p1318
    +tp1319
    +a(g827
    +V\u000a
    +p1320
    +tp1321
    +a(g706
    +g1048
    +tp1322
    +a(g827
    +V\u000a \u000a \u000a
    +p1323
    +tp1324
    +a(g13
    +V#This must be run as administrator on Windows 2008 and higher!
    +p1325
    +tp1326
    +a(g827
    +V\u000a
    +p1327
    +tp1328
    +a(g682
    +VNew-EventLog
    +p1329
    +tp1330
    +a(g827
    +g1041
    +tp1331
    +a(g436
    +V-LogName
    +p1332
    +tp1333
    +a(g827
    +g1041
    +tp1334
    +a(g436
    +VApplication
    +p1335
    +tp1336
    +a(g827
    +g1041
    +tp1337
    +a(g436
    +V-Source
    +p1338
    +tp1339
    +a(g827
    +g1041
    +tp1340
    +a(g441
    +V$Application
    +p1341
    +tp1342
    +a(g827
    +g1041
    +tp1343
    +a(g436
    +V-EA
    +p1344
    +tp1345
    +a(g827
    +g1041
    +tp1346
    +a(g436
    +VSilentlyContinue
    +p1347
    +tp1348
    +a(g827
    +V\u000a
    +p1349
    +tp1350
    +a(g441
    +V$Error
    +p1351
    +tp1352
    +a(g706
    +g964
    +tp1353
    +a(g436
    +VClear
    +p1354
    +tp1355
    +a(g706
    +g1020
    +tp1356
    +a(g706
    +g1048
    +tp1357
    +a(g827
    +V\u000a \u000a
    +p1358
    +tp1359
    +a(g13
    +V#######################
    +p1360
    +tp1361
    +a(g827
    +V\u000a
    +p1362
    +tp1363
    +a(g745
    +Vfunction
    +p1364
    +tp1365
    +a(g827
    +g1041
    +tp1366
    +a(g682
    +VInvoke-SqlCmd2
    +p1367
    +tp1368
    +a(g827
    +V\u000a
    +p1369
    +tp1370
    +a(g706
    +V{
    +p1371
    +tp1372
    +a(g827
    +V\u000a    
    +p1373
    +tp1374
    +a(g745
    +Vparam
    +p1375
    +tp1376
    +a(g706
    +g1020
    +tp1377
    +a(g827
    +V\u000a    
    +p1378
    +tp1379
    +a(g706
    +g1028
    +tp1380
    +a(g745
    +VParameter
    +p1381
    +tp1382
    +a(g706
    +g1020
    +tp1383
    +a(g745
    +VPosition
    +p1384
    +tp1385
    +a(g706
    +g1035
    +tp1386
    +a(g436
    +g1037
    +tp1387
    +a(g706
    +g1039
    +tp1388
    +a(g827
    +g1041
    +tp1389
    +a(g745
    +VMandatory
    +p1390
    +tp1391
    +a(g706
    +g1035
    +tp1392
    +a(g441
    +V$true
    +p1393
    +tp1394
    +a(g706
    +g1048
    +tp1395
    +a(g706
    +g1050
    +tp1396
    +a(g827
    +g1041
    +tp1397
    +a(g566
    +V[string]
    +p1398
    +tp1399
    +a(g441
    +V$ServerInstance
    +p1400
    +tp1401
    +a(g706
    +g1039
    +tp1402
    +a(g827
    +V\u000a    
    +p1403
    +tp1404
    +a(g706
    +g1028
    +tp1405
    +a(g745
    +VParameter
    +p1406
    +tp1407
    +a(g706
    +g1020
    +tp1408
    +a(g745
    +VPosition
    +p1409
    +tp1410
    +a(g706
    +g1035
    +tp1411
    +a(g436
    +g1071
    +tp1412
    +a(g706
    +g1039
    +tp1413
    +a(g827
    +g1041
    +tp1414
    +a(g745
    +VMandatory
    +p1415
    +tp1416
    +a(g706
    +g1035
    +tp1417
    +a(g441
    +V$true
    +p1418
    +tp1419
    +a(g706
    +g1048
    +tp1420
    +a(g706
    +g1050
    +tp1421
    +a(g827
    +g1041
    +tp1422
    +a(g566
    +V[string]
    +p1423
    +tp1424
    +a(g441
    +V$Database
    +p1425
    +tp1426
    +a(g706
    +g1039
    +tp1427
    +a(g827
    +V\u000a    
    +p1428
    +tp1429
    +a(g706
    +g1028
    +tp1430
    +a(g745
    +VParameter
    +p1431
    +tp1432
    +a(g706
    +g1020
    +tp1433
    +a(g745
    +VPosition
    +p1434
    +tp1435
    +a(g706
    +g1035
    +tp1436
    +a(g436
    +g1101
    +tp1437
    +a(g706
    +g1039
    +tp1438
    +a(g827
    +g1041
    +tp1439
    +a(g745
    +VMandatory
    +p1440
    +tp1441
    +a(g706
    +g1035
    +tp1442
    +a(g441
    +V$true
    +p1443
    +tp1444
    +a(g706
    +g1048
    +tp1445
    +a(g706
    +g1050
    +tp1446
    +a(g827
    +g1041
    +tp1447
    +a(g566
    +V[string]
    +p1448
    +tp1449
    +a(g441
    +V$Query
    +p1450
    +tp1451
    +a(g706
    +g1039
    +tp1452
    +a(g827
    +V\u000a    
    +p1453
    +tp1454
    +a(g706
    +g1028
    +tp1455
    +a(g745
    +VParameter
    +p1456
    +tp1457
    +a(g706
    +g1020
    +tp1458
    +a(g745
    +VPosition
    +p1459
    +tp1460
    +a(g706
    +g1035
    +tp1461
    +a(g436
    +g1127
    +tp1462
    +a(g706
    +g1039
    +tp1463
    +a(g827
    +g1041
    +tp1464
    +a(g745
    +VMandatory
    +p1465
    +tp1466
    +a(g706
    +g1035
    +tp1467
    +a(g441
    +V$false
    +p1468
    +tp1469
    +a(g706
    +g1048
    +tp1470
    +a(g706
    +g1050
    +tp1471
    +a(g827
    +g1041
    +tp1472
    +a(g566
    +V[string]
    +p1473
    +tp1474
    +a(g441
    +V$UserName
    +p1475
    +tp1476
    +a(g706
    +g1039
    +tp1477
    +a(g827
    +V\u000a    
    +p1478
    +tp1479
    +a(g706
    +g1028
    +tp1480
    +a(g745
    +VParameter
    +p1481
    +tp1482
    +a(g706
    +g1020
    +tp1483
    +a(g745
    +VPosition
    +p1484
    +tp1485
    +a(g706
    +g1035
    +tp1486
    +a(g436
    +g1159
    +tp1487
    +a(g706
    +g1039
    +tp1488
    +a(g827
    +g1041
    +tp1489
    +a(g745
    +VMandatory
    +p1490
    +tp1491
    +a(g706
    +g1035
    +tp1492
    +a(g441
    +V$false
    +p1493
    +tp1494
    +a(g706
    +g1048
    +tp1495
    +a(g706
    +g1050
    +tp1496
    +a(g827
    +g1041
    +tp1497
    +a(g566
    +V[string]
    +p1498
    +tp1499
    +a(g441
    +V$Password
    +p1500
    +tp1501
    +a(g706
    +g1039
    +tp1502
    +a(g827
    +V\u000a    
    +p1503
    +tp1504
    +a(g706
    +g1028
    +tp1505
    +a(g745
    +VParameter
    +p1506
    +tp1507
    +a(g706
    +g1020
    +tp1508
    +a(g745
    +VPosition
    +p1509
    +tp1510
    +a(g706
    +g1035
    +tp1511
    +a(g436
    +g1220
    +tp1512
    +a(g706
    +g1039
    +tp1513
    +a(g827
    +g1041
    +tp1514
    +a(g745
    +VMandatory
    +p1515
    +tp1516
    +a(g706
    +g1035
    +tp1517
    +a(g441
    +V$false
    +p1518
    +tp1519
    +a(g706
    +g1048
    +tp1520
    +a(g706
    +g1050
    +tp1521
    +a(g827
    +g1041
    +tp1522
    +a(g566
    +V[Int32]
    +p1523
    +tp1524
    +a(g441
    +V$QueryTimeout
    +p1525
    +tp1526
    +a(g706
    +g1039
    +tp1527
    +a(g827
    +V\u000a    
    +p1528
    +tp1529
    +a(g706
    +g1028
    +tp1530
    +a(g745
    +VParameter
    +p1531
    +tp1532
    +a(g706
    +g1020
    +tp1533
    +a(g745
    +VPosition
    +p1534
    +tp1535
    +a(g706
    +g1035
    +tp1536
    +a(g436
    +g1246
    +tp1537
    +a(g706
    +g1039
    +tp1538
    +a(g827
    +g1041
    +tp1539
    +a(g745
    +VMandatory
    +p1540
    +tp1541
    +a(g706
    +g1035
    +tp1542
    +a(g441
    +V$false
    +p1543
    +tp1544
    +a(g706
    +g1048
    +tp1545
    +a(g706
    +g1050
    +tp1546
    +a(g827
    +g1041
    +tp1547
    +a(g566
    +V[Int32]
    +p1548
    +tp1549
    +a(g441
    +V$ConnectionTimeout
    +p1550
    +tp1551
    +a(g827
    +V\u000a    
    +p1552
    +tp1553
    +a(g706
    +g1048
    +tp1554
    +a(g827
    +V\u000a \u000a    
    +p1555
    +tp1556
    +a(g745
    +Vtry
    +p1557
    +tp1558
    +a(g827
    +g1041
    +tp1559
    +a(g706
    +g1371
    +tp1560
    +a(g827
    +V\u000a        
    +p1561
    +tp1562
    +a(g745
    +Vif
    +p1563
    +tp1564
    +a(g827
    +g1041
    +tp1565
    +a(g706
    +g1020
    +tp1566
    +a(g441
    +V$Username
    +p1567
    +tp1568
    +a(g706
    +g1048
    +tp1569
    +a(g827
    +V\u000a        
    +p1570
    +tp1571
    +a(g706
    +g1371
    +tp1572
    +a(g827
    +g1041
    +tp1573
    +a(g441
    +V$ConnectionString
    +p1574
    +tp1575
    +a(g827
    +g1041
    +tp1576
    +a(g706
    +g1035
    +tp1577
    +a(g827
    +g1041
    +tp1578
    +a(g157
    +g1144
    +tp1579
    +a(g157
    +VServer={0};Database={1};User ID={2};Password={3};Trusted_Connection=False;Connect Timeout={4}
    +p1580
    +tp1581
    +a(g157
    +g1144
    +tp1582
    +a(g827
    +g1041
    +tp1583
    +a(g413
    +V-f
    +p1584
    +tp1585
    +a(g827
    +g1041
    +tp1586
    +a(g441
    +V$ServerInstance
    +p1587
    +tp1588
    +a(g706
    +g1039
    +tp1589
    +a(g441
    +V$Database
    +p1590
    +tp1591
    +a(g706
    +g1039
    +tp1592
    +a(g441
    +V$Username
    +p1593
    +tp1594
    +a(g706
    +g1039
    +tp1595
    +a(g441
    +V$Password
    +p1596
    +tp1597
    +a(g706
    +g1039
    +tp1598
    +a(g441
    +V$ConnectionTimeout
    +p1599
    +tp1600
    +a(g827
    +g1041
    +tp1601
    +a(g706
    +V}
    +p1602
    +tp1603
    +a(g827
    +V\u000a        
    +p1604
    +tp1605
    +a(g745
    +Velse
    +p1606
    +tp1607
    +a(g827
    +V\u000a        
    +p1608
    +tp1609
    +a(g706
    +g1371
    +tp1610
    +a(g827
    +g1041
    +tp1611
    +a(g441
    +V$ConnectionString
    +p1612
    +tp1613
    +a(g827
    +g1041
    +tp1614
    +a(g706
    +g1035
    +tp1615
    +a(g827
    +g1041
    +tp1616
    +a(g157
    +g1144
    +tp1617
    +a(g157
    +VServer={0};Database={1};Integrated Security=True;Connect Timeout={2}
    +p1618
    +tp1619
    +a(g157
    +g1144
    +tp1620
    +a(g827
    +g1041
    +tp1621
    +a(g413
    +V-f
    +p1622
    +tp1623
    +a(g827
    +g1041
    +tp1624
    +a(g441
    +V$ServerInstance
    +p1625
    +tp1626
    +a(g706
    +g1039
    +tp1627
    +a(g441
    +V$Database
    +p1628
    +tp1629
    +a(g706
    +g1039
    +tp1630
    +a(g441
    +V$ConnectionTimeout
    +p1631
    +tp1632
    +a(g827
    +g1041
    +tp1633
    +a(g706
    +g1602
    +tp1634
    +a(g827
    +V\u000a        
    +p1635
    +tp1636
    +a(g441
    +V$conn
    +p1637
    +tp1638
    +a(g706
    +g1035
    +tp1639
    +a(g682
    +Vnew-object
    +p1640
    +tp1641
    +a(g827
    +g1041
    +tp1642
    +a(g436
    +VSystem
    +p1643
    +tp1644
    +a(g706
    +g964
    +tp1645
    +a(g436
    +VData
    +p1646
    +tp1647
    +a(g706
    +g964
    +tp1648
    +a(g436
    +VSqlClient
    +p1649
    +tp1650
    +a(g706
    +g964
    +tp1651
    +a(g436
    +VSQLConnection
    +p1652
    +tp1653
    +a(g827
    +V\u000a        
    +p1654
    +tp1655
    +a(g441
    +V$conn
    +p1656
    +tp1657
    +a(g706
    +g964
    +tp1658
    +a(g436
    +VConnectionString
    +p1659
    +tp1660
    +a(g706
    +g1035
    +tp1661
    +a(g441
    +V$ConnectionString
    +p1662
    +tp1663
    +a(g827
    +V\u000a        
    +p1664
    +tp1665
    +a(g441
    +V$conn
    +p1666
    +tp1667
    +a(g706
    +g964
    +tp1668
    +a(g436
    +VOpen
    +p1669
    +tp1670
    +a(g706
    +g1020
    +tp1671
    +a(g706
    +g1048
    +tp1672
    +a(g827
    +V\u000a        
    +p1673
    +tp1674
    +a(g441
    +V$cmd
    +p1675
    +tp1676
    +a(g706
    +g1035
    +tp1677
    +a(g682
    +Vnew-object
    +p1678
    +tp1679
    +a(g827
    +g1041
    +tp1680
    +a(g436
    +Vsystem
    +p1681
    +tp1682
    +a(g706
    +g964
    +tp1683
    +a(g436
    +VData
    +p1684
    +tp1685
    +a(g706
    +g964
    +tp1686
    +a(g436
    +VSqlClient
    +p1687
    +tp1688
    +a(g706
    +g964
    +tp1689
    +a(g436
    +VSqlCommand
    +p1690
    +tp1691
    +a(g706
    +g1020
    +tp1692
    +a(g441
    +V$Query
    +p1693
    +tp1694
    +a(g706
    +g1039
    +tp1695
    +a(g441
    +V$conn
    +p1696
    +tp1697
    +a(g706
    +g1048
    +tp1698
    +a(g827
    +V\u000a        
    +p1699
    +tp1700
    +a(g441
    +V$cmd
    +p1701
    +tp1702
    +a(g706
    +g964
    +tp1703
    +a(g436
    +VCommandTimeout
    +p1704
    +tp1705
    +a(g706
    +g1035
    +tp1706
    +a(g441
    +V$QueryTimeout
    +p1707
    +tp1708
    +a(g827
    +V\u000a        
    +p1709
    +tp1710
    +a(g441
    +V$ds
    +p1711
    +tp1712
    +a(g706
    +g1035
    +tp1713
    +a(g682
    +VNew-Object
    +p1714
    +tp1715
    +a(g827
    +g1041
    +tp1716
    +a(g436
    +Vsystem
    +p1717
    +tp1718
    +a(g706
    +g964
    +tp1719
    +a(g436
    +VData
    +p1720
    +tp1721
    +a(g706
    +g964
    +tp1722
    +a(g436
    +VDataSet
    +p1723
    +tp1724
    +a(g827
    +V\u000a        
    +p1725
    +tp1726
    +a(g441
    +V$da
    +p1727
    +tp1728
    +a(g706
    +g1035
    +tp1729
    +a(g682
    +VNew-Object
    +p1730
    +tp1731
    +a(g827
    +g1041
    +tp1732
    +a(g436
    +Vsystem
    +p1733
    +tp1734
    +a(g706
    +g964
    +tp1735
    +a(g436
    +VData
    +p1736
    +tp1737
    +a(g706
    +g964
    +tp1738
    +a(g436
    +VSqlClient
    +p1739
    +tp1740
    +a(g706
    +g964
    +tp1741
    +a(g436
    +VSqlDataAdapter
    +p1742
    +tp1743
    +a(g706
    +g1020
    +tp1744
    +a(g441
    +V$cmd
    +p1745
    +tp1746
    +a(g706
    +g1048
    +tp1747
    +a(g827
    +V\u000a        
    +p1748
    +tp1749
    +a(g566
    +V[void]
    +p1750
    +tp1751
    +a(g441
    +V$da
    +p1752
    +tp1753
    +a(g706
    +g964
    +tp1754
    +a(g436
    +Vfill
    +p1755
    +tp1756
    +a(g706
    +g1020
    +tp1757
    +a(g441
    +V$ds
    +p1758
    +tp1759
    +a(g706
    +g1048
    +tp1760
    +a(g827
    +V\u000a        
    +p1761
    +tp1762
    +a(g682
    +VWrite-Output
    +p1763
    +tp1764
    +a(g827
    +g1041
    +tp1765
    +a(g706
    +g1020
    +tp1766
    +a(g441
    +V$ds
    +p1767
    +tp1768
    +a(g706
    +g964
    +tp1769
    +a(g436
    +VTables
    +p1770
    +tp1771
    +a(g706
    +g1028
    +tp1772
    +a(g436
    +g1037
    +tp1773
    +a(g706
    +g1050
    +tp1774
    +a(g706
    +g1048
    +tp1775
    +a(g827
    +V\u000a    
    +p1776
    +tp1777
    +a(g706
    +g1602
    +tp1778
    +a(g827
    +V\u000a    
    +p1779
    +tp1780
    +a(g745
    +Vfinally
    +p1781
    +tp1782
    +a(g827
    +g1041
    +tp1783
    +a(g706
    +g1371
    +tp1784
    +a(g827
    +V\u000a        
    +p1785
    +tp1786
    +a(g441
    +V$conn
    +p1787
    +tp1788
    +a(g706
    +g964
    +tp1789
    +a(g436
    +VDispose
    +p1790
    +tp1791
    +a(g706
    +g1020
    +tp1792
    +a(g706
    +g1048
    +tp1793
    +a(g827
    +V\u000a    
    +p1794
    +tp1795
    +a(g706
    +g1602
    +tp1796
    +a(g827
    +V\u000a \u000a
    +p1797
    +tp1798
    +a(g706
    +g1602
    +tp1799
    +a(g827
    +g1041
    +tp1800
    +a(g13
    +V#Invoke-SqlCmd2
    +p1801
    +tp1802
    +a(g827
    +V\u000a \u000a
    +p1803
    +tp1804
    +a(g13
    +V#######################
    +p1805
    +tp1806
    +a(g827
    +V\u000a
    +p1807
    +tp1808
    +a(g13
    +V#       MAIN          #
    +p1809
    +tp1810
    +a(g827
    +V\u000a
    +p1811
    +tp1812
    +a(g13
    +V#######################
    +p1813
    +tp1814
    +a(g827
    +V\u000a
    +p1815
    +tp1816
    +a(g745
    +Vif
    +p1817
    +tp1818
    +a(g827
    +g1041
    +tp1819
    +a(g706
    +g1020
    +tp1820
    +a(g441
    +V$PSBoundParameters
    +p1821
    +tp1822
    +a(g706
    +g964
    +tp1823
    +a(g436
    +VCount
    +p1824
    +tp1825
    +a(g827
    +g1041
    +tp1826
    +a(g413
    +V-eq
    +p1827
    +tp1828
    +a(g827
    +g1041
    +tp1829
    +a(g436
    +g1037
    +tp1830
    +a(g706
    +g1048
    +tp1831
    +a(g827
    +V\u000a
    +p1832
    +tp1833
    +a(g706
    +g1371
    +tp1834
    +a(g827
    +V\u000a 
    +p1835
    +tp1836
    +a(g682
    +Vget-help
    +p1837
    +tp1838
    +a(g827
    +g1041
    +tp1839
    +a(g441
    +V$myInvocation
    +p1840
    +tp1841
    +a(g706
    +g964
    +tp1842
    +a(g436
    +VMyCommand
    +p1843
    +tp1844
    +a(g706
    +g964
    +tp1845
    +a(g436
    +VPath
    +p1846
    +tp1847
    +a(g827
    +g1041
    +tp1848
    +a(g436
    +V-full
    +p1849
    +tp1850
    +a(g827
    +V\u000a 
    +p1851
    +tp1852
    +a(g745
    +Vbreak
    +p1853
    +tp1854
    +a(g827
    +V\u000a
    +p1855
    +tp1856
    +a(g706
    +g1602
    +tp1857
    +a(g827
    +V\u000a \u000a
    +p1858
    +tp1859
    +a(g745
    +Vtry
    +p1860
    +tp1861
    +a(g827
    +g1041
    +tp1862
    +a(g706
    +g1371
    +tp1863
    +a(g827
    +V\u000a    
    +p1864
    +tp1865
    +a(g441
    +V$msg
    +p1866
    +tp1867
    +a(g827
    +g1041
    +tp1868
    +a(g706
    +g1035
    +tp1869
    +a(g827
    +g1041
    +tp1870
    +a(g441
    +V$null
    +p1871
    +tp1872
    +a(g827
    +V\u000a    
    +p1873
    +tp1874
    +a(g441
    +V$msg
    +p1875
    +tp1876
    +a(g827
    +g1041
    +tp1877
    +a(g706
    +V+
    +p1878
    +tp1879
    +a(g706
    +g1035
    +tp1880
    +a(g827
    +g1041
    +tp1881
    +a(g157
    +g1144
    +tp1882
    +a(g157
    +VApplication/Job Name: 
    +p1883
    +tp1884
    +a(g157
    +V$
    +p1885
    +tp1886
    +a(g157
    +VApplication
    +p1887
    +tp1888
    +a(g213
    +V`n
    +p1889
    +tp1890
    +a(g157
    +g1144
    +tp1891
    +a(g827
    +V\u000a    
    +p1892
    +tp1893
    +a(g441
    +V$msg
    +p1894
    +tp1895
    +a(g827
    +g1041
    +tp1896
    +a(g706
    +g1878
    +tp1897
    +a(g706
    +g1035
    +tp1898
    +a(g827
    +g1041
    +tp1899
    +a(g157
    +g1144
    +tp1900
    +a(g157
    +VQuery: 
    +p1901
    +tp1902
    +a(g157
    +g1885
    +tp1903
    +a(g157
    +VQuery
    +p1904
    +tp1905
    +a(g213
    +V`n
    +p1906
    +tp1907
    +a(g157
    +g1144
    +tp1908
    +a(g827
    +V\u000a    
    +p1909
    +tp1910
    +a(g441
    +V$msg
    +p1911
    +tp1912
    +a(g827
    +g1041
    +tp1913
    +a(g706
    +g1878
    +tp1914
    +a(g706
    +g1035
    +tp1915
    +a(g827
    +g1041
    +tp1916
    +a(g157
    +g1144
    +tp1917
    +a(g157
    +VServerInstance: 
    +p1918
    +tp1919
    +a(g157
    +g1885
    +tp1920
    +a(g157
    +VServerInstance
    +p1921
    +tp1922
    +a(g213
    +V`n
    +p1923
    +tp1924
    +a(g157
    +g1144
    +tp1925
    +a(g827
    +V\u000a    
    +p1926
    +tp1927
    +a(g441
    +V$msg
    +p1928
    +tp1929
    +a(g827
    +g1041
    +tp1930
    +a(g706
    +g1878
    +tp1931
    +a(g706
    +g1035
    +tp1932
    +a(g827
    +g1041
    +tp1933
    +a(g157
    +g1144
    +tp1934
    +a(g157
    +VDatabase: 
    +p1935
    +tp1936
    +a(g157
    +g1885
    +tp1937
    +a(g157
    +VDatabase
    +p1938
    +tp1939
    +a(g213
    +V`n
    +p1940
    +tp1941
    +a(g157
    +g1144
    +tp1942
    +a(g827
    +V\u000a    
    +p1943
    +tp1944
    +a(g441
    +V$msg
    +p1945
    +tp1946
    +a(g827
    +g1041
    +tp1947
    +a(g706
    +g1878
    +tp1948
    +a(g706
    +g1035
    +tp1949
    +a(g827
    +g1041
    +tp1950
    +a(g157
    +g1144
    +tp1951
    +a(g157
    +VFilePath: 
    +p1952
    +tp1953
    +a(g157
    +g1885
    +tp1954
    +a(g157
    +VFilePath
    +p1955
    +tp1956
    +a(g213
    +V`n
    +p1957
    +tp1958
    +a(g157
    +g1144
    +tp1959
    +a(g827
    +V\u000a   \u000a    
    +p1960
    +tp1961
    +a(g682
    +VWrite-EventLog
    +p1962
    +tp1963
    +a(g827
    +g1041
    +tp1964
    +a(g436
    +V-LogName
    +p1965
    +tp1966
    +a(g827
    +g1041
    +tp1967
    +a(g436
    +VApplication
    +p1968
    +tp1969
    +a(g827
    +g1041
    +tp1970
    +a(g436
    +V-Source
    +p1971
    +tp1972
    +a(g827
    +g1041
    +tp1973
    +a(g157
    +g1144
    +tp1974
    +a(g157
    +g1885
    +tp1975
    +a(g157
    +VApplication
    +p1976
    +tp1977
    +a(g157
    +g1144
    +tp1978
    +a(g827
    +g1041
    +tp1979
    +a(g436
    +V-EntryType
    +p1980
    +tp1981
    +a(g827
    +g1041
    +tp1982
    +a(g436
    +VInformation
    +p1983
    +tp1984
    +a(g827
    +g1041
    +tp1985
    +a(g436
    +V-EventId
    +p1986
    +tp1987
    +a(g827
    +g1041
    +tp1988
    +a(g436
    +V12345
    +p1989
    +tp1990
    +a(g827
    +g1041
    +tp1991
    +a(g436
    +V-Message
    +p1992
    +tp1993
    +a(g827
    +g1041
    +tp1994
    +a(g157
    +g1144
    +tp1995
    +a(g157
    +VStarting
    +p1996
    +tp1997
    +a(g213
    +V`n
    +p1998
    +tp1999
    +a(g157
    +g1885
    +tp2000
    +a(g157
    +Vmsg
    +p2001
    +tp2002
    +a(g157
    +g1144
    +tp2003
    +a(g827
    +V\u000a    
    +p2004
    +tp2005
    +a(g441
    +V$dt
    +p2006
    +tp2007
    +a(g827
    +g1041
    +tp2008
    +a(g706
    +g1035
    +tp2009
    +a(g827
    +g1041
    +tp2010
    +a(g682
    +VInvoke-SqlCmd2
    +p2011
    +tp2012
    +a(g827
    +g1041
    +tp2013
    +a(g436
    +V-ServerInstance
    +p2014
    +tp2015
    +a(g827
    +g1041
    +tp2016
    +a(g441
    +V$ServerInstance
    +p2017
    +tp2018
    +a(g827
    +g1041
    +tp2019
    +a(g436
    +V-Database
    +p2020
    +tp2021
    +a(g827
    +g1041
    +tp2022
    +a(g441
    +V$Database
    +p2023
    +tp2024
    +a(g827
    +g1041
    +tp2025
    +a(g436
    +V-Query
    +p2026
    +tp2027
    +a(g827
    +g1041
    +tp2028
    +a(g441
    +V$Query
    +p2029
    +tp2030
    +a(g827
    +g1041
    +tp2031
    +a(g436
    +V-UserName
    +p2032
    +tp2033
    +a(g827
    +g1041
    +tp2034
    +a(g441
    +V$UserName
    +p2035
    +tp2036
    +a(g827
    +g1041
    +tp2037
    +a(g436
    +V-Password
    +p2038
    +tp2039
    +a(g827
    +g1041
    +tp2040
    +a(g441
    +V$Password
    +p2041
    +tp2042
    +a(g827
    +g1041
    +tp2043
    +a(g436
    +V-QueryTimeOut
    +p2044
    +tp2045
    +a(g827
    +g1041
    +tp2046
    +a(g441
    +V$QueryTimeOut
    +p2047
    +tp2048
    +a(g827
    +g1041
    +tp2049
    +a(g436
    +V-ConnectionTimeout
    +p2050
    +tp2051
    +a(g827
    +g1041
    +tp2052
    +a(g441
    +V$ConnectionTimeout
    +p2053
    +tp2054
    +a(g827
    +V\u000a    
    +p2055
    +tp2056
    +a(g745
    +Vif
    +p2057
    +tp2058
    +a(g827
    +g1041
    +tp2059
    +a(g706
    +g1020
    +tp2060
    +a(g441
    +V$FilePath
    +p2061
    +tp2062
    +a(g706
    +g1048
    +tp2063
    +a(g827
    +V\u000a    
    +p2064
    +tp2065
    +a(g706
    +g1371
    +tp2066
    +a(g827
    +V\u000a        
    +p2067
    +tp2068
    +a(g745
    +Vif
    +p2069
    +tp2070
    +a(g827
    +g1041
    +tp2071
    +a(g706
    +g1020
    +tp2072
    +a(g441
    +V$dt
    +p2073
    +tp2074
    +a(g706
    +g1048
    +tp2075
    +a(g827
    +V\u000a        
    +p2076
    +tp2077
    +a(g706
    +g1371
    +tp2078
    +a(g827
    +g1041
    +tp2079
    +a(g441
    +V$dt
    +p2080
    +tp2081
    +a(g827
    +g1041
    +tp2082
    +a(g706
    +g1203
    +tp2083
    +a(g827
    +g1041
    +tp2084
    +a(g682
    +Vexport-csv
    +p2085
    +tp2086
    +a(g827
    +g1041
    +tp2087
    +a(g436
    +V-Delimiter
    +p2088
    +tp2089
    +a(g827
    +g1041
    +tp2090
    +a(g441
    +V$Delimiter
    +p2091
    +tp2092
    +a(g827
    +g1041
    +tp2093
    +a(g436
    +V-Path
    +p2094
    +tp2095
    +a(g827
    +g1041
    +tp2096
    +a(g441
    +V$FilePath
    +p2097
    +tp2098
    +a(g827
    +g1041
    +tp2099
    +a(g436
    +V-NoTypeInformation
    +p2100
    +tp2101
    +a(g827
    +g1041
    +tp2102
    +a(g706
    +g1602
    +tp2103
    +a(g827
    +V\u000a        
    +p2104
    +tp2105
    +a(g745
    +Velse
    +p2106
    +tp2107
    +a(g827
    +g1041
    +tp2108
    +a(g13
    +V#Query Returned No Output!
    +p2109
    +tp2110
    +a(g827
    +V\u000a        
    +p2111
    +tp2112
    +a(g706
    +g1371
    +tp2113
    +a(g682
    +VWrite-EventLog
    +p2114
    +tp2115
    +a(g827
    +g1041
    +tp2116
    +a(g436
    +V-LogName
    +p2117
    +tp2118
    +a(g827
    +g1041
    +tp2119
    +a(g436
    +VApplication
    +p2120
    +tp2121
    +a(g827
    +g1041
    +tp2122
    +a(g436
    +V-Source
    +p2123
    +tp2124
    +a(g827
    +g1041
    +tp2125
    +a(g157
    +g1144
    +tp2126
    +a(g157
    +g1885
    +tp2127
    +a(g157
    +VApplication
    +p2128
    +tp2129
    +a(g157
    +g1144
    +tp2130
    +a(g827
    +g1041
    +tp2131
    +a(g436
    +V-EntryType
    +p2132
    +tp2133
    +a(g827
    +g1041
    +tp2134
    +a(g436
    +VWarning
    +p2135
    +tp2136
    +a(g827
    +g1041
    +tp2137
    +a(g436
    +V-EventId
    +p2138
    +tp2139
    +a(g827
    +g1041
    +tp2140
    +a(g436
    +V12345
    +p2141
    +tp2142
    +a(g827
    +g1041
    +tp2143
    +a(g436
    +V-Message
    +p2144
    +tp2145
    +a(g827
    +g1041
    +tp2146
    +a(g157
    +g1144
    +tp2147
    +a(g157
    +VNoOutput
    +p2148
    +tp2149
    +a(g213
    +V`n
    +p2150
    +tp2151
    +a(g157
    +g1885
    +tp2152
    +a(g157
    +Vmsg
    +p2153
    +tp2154
    +a(g157
    +g1144
    +tp2155
    +a(g827
    +g1041
    +tp2156
    +a(g706
    +g1602
    +tp2157
    +a(g827
    +V\u000a    
    +p2158
    +tp2159
    +a(g706
    +g1602
    +tp2160
    +a(g827
    +V\u000a \u000a    
    +p2161
    +tp2162
    +a(g682
    +VWrite-EventLog
    +p2163
    +tp2164
    +a(g827
    +g1041
    +tp2165
    +a(g436
    +V-LogName
    +p2166
    +tp2167
    +a(g827
    +g1041
    +tp2168
    +a(g436
    +VApplication
    +p2169
    +tp2170
    +a(g827
    +g1041
    +tp2171
    +a(g436
    +V-Source
    +p2172
    +tp2173
    +a(g827
    +g1041
    +tp2174
    +a(g157
    +g1144
    +tp2175
    +a(g157
    +g1885
    +tp2176
    +a(g157
    +VApplication
    +p2177
    +tp2178
    +a(g157
    +g1144
    +tp2179
    +a(g827
    +g1041
    +tp2180
    +a(g436
    +V-EntryType
    +p2181
    +tp2182
    +a(g827
    +g1041
    +tp2183
    +a(g436
    +VInformation
    +p2184
    +tp2185
    +a(g827
    +g1041
    +tp2186
    +a(g436
    +V-EventId
    +p2187
    +tp2188
    +a(g827
    +g1041
    +tp2189
    +a(g436
    +V12345
    +p2190
    +tp2191
    +a(g827
    +g1041
    +tp2192
    +a(g436
    +V-Message
    +p2193
    +tp2194
    +a(g827
    +g1041
    +tp2195
    +a(g157
    +g1144
    +tp2196
    +a(g157
    +VCompleted
    +p2197
    +tp2198
    +a(g213
    +V`n
    +p2199
    +tp2200
    +a(g157
    +g1885
    +tp2201
    +a(g157
    +Vmsg
    +p2202
    +tp2203
    +a(g157
    +g1144
    +tp2204
    +a(g827
    +V\u000a
    +p2205
    +tp2206
    +a(g706
    +g1602
    +tp2207
    +a(g827
    +V\u000a
    +p2208
    +tp2209
    +a(g745
    +Vcatch
    +p2210
    +tp2211
    +a(g827
    +g1041
    +tp2212
    +a(g706
    +g1371
    +tp2213
    +a(g827
    +V\u000a    
    +p2214
    +tp2215
    +a(g441
    +V$Exception
    +p2216
    +tp2217
    +a(g827
    +g1041
    +tp2218
    +a(g706
    +g1035
    +tp2219
    +a(g827
    +g1041
    +tp2220
    +a(g157
    +g1144
    +tp2221
    +a(g157
    +V{0}, {1}
    +p2222
    +tp2223
    +a(g157
    +g1144
    +tp2224
    +a(g827
    +g1041
    +tp2225
    +a(g413
    +V-f
    +p2226
    +tp2227
    +a(g827
    +V  
    +p2228
    +tp2229
    +a(g441
    +V$_
    +p2230
    +tp2231
    +a(g706
    +g964
    +tp2232
    +a(g436
    +VException
    +p2233
    +tp2234
    +a(g706
    +g964
    +tp2235
    +a(g436
    +VGetType
    +p2236
    +tp2237
    +a(g706
    +g1020
    +tp2238
    +a(g706
    +g1048
    +tp2239
    +a(g706
    +g964
    +tp2240
    +a(g436
    +VFullName
    +p2241
    +tp2242
    +a(g706
    +g1039
    +tp2243
    +a(g706
    +g1885
    +tp2244
    +a(g706
    +g1020
    +tp2245
    +a(g827
    +g1041
    +tp2246
    +a(g441
    +V$_
    +p2247
    +tp2248
    +a(g706
    +g964
    +tp2249
    +a(g436
    +VException
    +p2250
    +tp2251
    +a(g706
    +g964
    +tp2252
    +a(g436
    +VMessage
    +p2253
    +tp2254
    +a(g827
    +g1041
    +tp2255
    +a(g413
    +V-replace
    +p2256
    +tp2257
    +a(g827
    +g1041
    +tp2258
    +a(g157
    +g1144
    +tp2259
    +a(g157
    +V'
    +p2260
    +tp2261
    +a(g157
    +g1144
    +tp2262
    +a(g827
    +g1041
    +tp2263
    +a(g706
    +g1048
    +tp2264
    +a(g827
    +V\u000a    
    +p2265
    +tp2266
    +a(g682
    +VWrite-EventLog
    +p2267
    +tp2268
    +a(g827
    +g1041
    +tp2269
    +a(g436
    +V-LogName
    +p2270
    +tp2271
    +a(g827
    +g1041
    +tp2272
    +a(g436
    +VApplication
    +p2273
    +tp2274
    +a(g827
    +g1041
    +tp2275
    +a(g436
    +V-Source
    +p2276
    +tp2277
    +a(g827
    +g1041
    +tp2278
    +a(g157
    +g1144
    +tp2279
    +a(g157
    +g1885
    +tp2280
    +a(g157
    +VApplication
    +p2281
    +tp2282
    +a(g157
    +g1144
    +tp2283
    +a(g827
    +g1041
    +tp2284
    +a(g436
    +V-EntryType
    +p2285
    +tp2286
    +a(g827
    +g1041
    +tp2287
    +a(g436
    +VError
    +p2288
    +tp2289
    +a(g827
    +g1041
    +tp2290
    +a(g436
    +V-EventId
    +p2291
    +tp2292
    +a(g827
    +g1041
    +tp2293
    +a(g436
    +V12345
    +p2294
    +tp2295
    +a(g827
    +g1041
    +tp2296
    +a(g436
    +V-Message
    +p2297
    +tp2298
    +a(g827
    +g1041
    +tp2299
    +a(g157
    +g1144
    +tp2300
    +a(g157
    +VError
    +p2301
    +tp2302
    +a(g213
    +V`n
    +p2303
    +tp2304
    +a(g157
    +g1885
    +tp2305
    +a(g157
    +Vmsg
    +p2306
    +tp2307
    +a(g213
    +V`n
    +p2308
    +tp2309
    +a(g157
    +g1885
    +tp2310
    +a(g157
    +VException
    +p2311
    +tp2312
    +a(g157
    +g1144
    +tp2313
    +a(g827
    +V\u000a    
    +p2314
    +tp2315
    +a(g745
    +Vthrow
    +p2316
    +tp2317
    +a(g827
    +V\u000a
    +p2318
    +tp2319
    +a(g706
    +g1602
    +tp2320
    +a(g827
    +V\u000a
    +p2321
    +tp2322
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.psl b/tests/examplefiles/output/test.psl
    new file mode 100644
    index 0000000..4946c6c
    --- /dev/null
    +++ b/tests/examplefiles/output/test.psl
    @@ -0,0 +1,7183 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +S'Single'
    +p5
    +tp6
    +tp7
    +Rp8
    +(dp9
    +S'parent'
    +p10
    +g1
    +(g2
    +g3
    +(g4
    +tp11
    +tp12
    +Rp13
    +(dp14
    +S'Multi'
    +p15
    +g1
    +(g2
    +g3
    +(g4
    +g15
    +tp16
    +tp17
    +Rp18
    +(dp19
    +g10
    +g13
    +sS'subtypes'
    +p20
    +c__builtin__
    +set
    +p21
    +((lp22
    +tp23
    +Rp24
    +sbsg10
    +g1
    +(g2
    +g3
    +(ttp25
    +Rp26
    +(dp27
    +S'Number'
    +p28
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p29
    +g28
    +tp30
    +tp31
    +Rp32
    +(dp33
    +S'Integer'
    +p34
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g10
    +g32
    +sS'Long'
    +p39
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +g39
    +tp40
    +tp41
    +Rp42
    +(dp43
    +g10
    +g37
    +sg20
    +g21
    +((lp44
    +tp45
    +Rp46
    +sbsg20
    +g21
    +((lp47
    +g42
    +atp48
    +Rp49
    +sbsg10
    +g1
    +(g2
    +g3
    +(g29
    +tp50
    +tp51
    +Rp52
    +(dp53
    +S'Scalar'
    +p54
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +tp55
    +tp56
    +Rp57
    +(dp58
    +g10
    +g52
    +sg20
    +g21
    +((lp59
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +S'Plain'
    +p60
    +tp61
    +tp62
    +Rp63
    +(dp64
    +g10
    +g57
    +sg20
    +g21
    +((lp65
    +tp66
    +Rp67
    +sbatp68
    +Rp69
    +sg60
    +g63
    +sbsg28
    +g32
    +sg10
    +g26
    +sS'Other'
    +p70
    +g1
    +(g2
    +g3
    +(g29
    +g70
    +tp71
    +tp72
    +Rp73
    +(dp74
    +g10
    +g52
    +sg20
    +g21
    +((lp75
    +tp76
    +Rp77
    +sbsS'Char'
    +p78
    +g1
    +(g2
    +g3
    +(g29
    +g78
    +tp79
    +tp80
    +Rp81
    +(dp82
    +g10
    +g52
    +sg20
    +g21
    +((lp83
    +tp84
    +Rp85
    +sbsS'String'
    +p86
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +tp87
    +tp88
    +Rp89
    +(dp90
    +g78
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g78
    +tp91
    +tp92
    +Rp93
    +(dp94
    +g10
    +g89
    +sg20
    +g21
    +((lp95
    +tp96
    +Rp97
    +sbsS'Backtick'
    +p98
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g98
    +tp99
    +tp100
    +Rp101
    +(dp102
    +g10
    +g89
    +sg20
    +g21
    +((lp103
    +tp104
    +Rp105
    +sbsS'Heredoc'
    +p106
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g106
    +tp107
    +tp108
    +Rp109
    +(dp110
    +g10
    +g89
    +sg20
    +g21
    +((lp111
    +tp112
    +Rp113
    +sbsS'Symbol'
    +p114
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g114
    +tp115
    +tp116
    +Rp117
    +(dp118
    +g10
    +g89
    +sg20
    +g21
    +((lp119
    +tp120
    +Rp121
    +sbsS'Interpol'
    +p122
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g122
    +tp123
    +tp124
    +Rp125
    +(dp126
    +g10
    +g89
    +sg20
    +g21
    +((lp127
    +tp128
    +Rp129
    +sbsS'Delimiter'
    +p130
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g130
    +tp131
    +tp132
    +Rp133
    +(dp134
    +g10
    +g89
    +sg20
    +g21
    +((lp135
    +tp136
    +Rp137
    +sbsS'Boolean'
    +p138
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g138
    +tp139
    +tp140
    +Rp141
    +(dp142
    +g10
    +g89
    +sg20
    +g21
    +((lp143
    +tp144
    +Rp145
    +sbsS'Character'
    +p146
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g146
    +tp147
    +tp148
    +Rp149
    +(dp150
    +g10
    +g89
    +sg20
    +g21
    +((lp151
    +tp152
    +Rp153
    +sbsS'Double'
    +p154
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g154
    +tp155
    +tp156
    +Rp157
    +(dp158
    +g10
    +g89
    +sg20
    +g21
    +((lp159
    +tp160
    +Rp161
    +sbsS'Delimeter'
    +p162
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g162
    +tp163
    +tp164
    +Rp165
    +(dp166
    +g10
    +g89
    +sg20
    +g21
    +((lp167
    +tp168
    +Rp169
    +sbsS'Atom'
    +p170
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g170
    +tp171
    +tp172
    +Rp173
    +(dp174
    +g10
    +g89
    +sg20
    +g21
    +((lp175
    +tp176
    +Rp177
    +sbsS'Affix'
    +p178
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g178
    +tp179
    +tp180
    +Rp181
    +(dp182
    +g10
    +g89
    +sg20
    +g21
    +((lp183
    +tp184
    +Rp185
    +sbsS'Name'
    +p186
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g186
    +tp187
    +tp188
    +Rp189
    +(dp190
    +g10
    +g89
    +sg20
    +g21
    +((lp191
    +tp192
    +Rp193
    +sbsS'Regex'
    +p194
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g194
    +tp195
    +tp196
    +Rp197
    +(dp198
    +g10
    +g89
    +sg20
    +g21
    +((lp199
    +tp200
    +Rp201
    +sbsS'Interp'
    +p202
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g202
    +tp203
    +tp204
    +Rp205
    +(dp206
    +g10
    +g89
    +sg20
    +g21
    +((lp207
    +tp208
    +Rp209
    +sbsS'Escape'
    +p210
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g210
    +tp211
    +tp212
    +Rp213
    +(dp214
    +g10
    +g89
    +sg20
    +g21
    +((lp215
    +tp216
    +Rp217
    +sbsg20
    +g21
    +((lp218
    +g133
    +ag117
    +ag197
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Doc'
    +p219
    +tp220
    +tp221
    +Rp222
    +(dp223
    +g10
    +g89
    +sg20
    +g21
    +((lp224
    +tp225
    +Rp226
    +sbag149
    +ag141
    +ag157
    +ag125
    +ag173
    +ag165
    +ag189
    +ag213
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +g5
    +tp227
    +tp228
    +Rp229
    +(dp230
    +g10
    +g89
    +sg20
    +g21
    +((lp231
    +tp232
    +Rp233
    +sbag1
    +(g2
    +g3
    +(g29
    +g86
    +g70
    +tp234
    +tp235
    +Rp236
    +(dp237
    +g10
    +g89
    +sg20
    +g21
    +((lp238
    +tp239
    +Rp240
    +sbag205
    +ag101
    +ag181
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Moment'
    +p241
    +tp242
    +tp243
    +Rp244
    +(dp245
    +g10
    +g89
    +sg20
    +g21
    +((lp246
    +tp247
    +Rp248
    +sbag93
    +ag109
    +atp249
    +Rp250
    +sg5
    +g229
    +sg241
    +g244
    +sg10
    +g52
    +sg70
    +g236
    +sg219
    +g222
    +sbsg20
    +g21
    +((lp251
    +g89
    +ag81
    +ag73
    +ag1
    +(g2
    +g3
    +(g29
    +S'Date'
    +p252
    +tp253
    +tp254
    +Rp255
    +(dp256
    +g10
    +g52
    +sg20
    +g21
    +((lp257
    +tp258
    +Rp259
    +sbag57
    +ag32
    +atp260
    +Rp261
    +sg252
    +g255
    +sbsS'Bin'
    +p262
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g262
    +tp263
    +tp264
    +Rp265
    +(dp266
    +g10
    +g32
    +sg20
    +g21
    +((lp267
    +tp268
    +Rp269
    +sbsS'Radix'
    +p270
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g270
    +tp271
    +tp272
    +Rp273
    +(dp274
    +g10
    +g32
    +sg20
    +g21
    +((lp275
    +tp276
    +Rp277
    +sbsS'Oct'
    +p278
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g278
    +tp279
    +tp280
    +Rp281
    +(dp282
    +g10
    +g32
    +sg20
    +g21
    +((lp283
    +tp284
    +Rp285
    +sbsS'Dec'
    +p286
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g286
    +tp287
    +tp288
    +Rp289
    +(dp290
    +g10
    +g32
    +sg20
    +g21
    +((lp291
    +tp292
    +Rp293
    +sbsS'Hex'
    +p294
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g294
    +tp295
    +tp296
    +Rp297
    +(dp298
    +g10
    +g32
    +sg20
    +g21
    +((lp299
    +tp300
    +Rp301
    +sbsg20
    +g21
    +((lp302
    +g37
    +ag273
    +ag289
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Decimal'
    +p303
    +tp304
    +tp305
    +Rp306
    +(dp307
    +g10
    +g32
    +sg20
    +g21
    +((lp308
    +tp309
    +Rp310
    +sbag265
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Float'
    +p311
    +tp312
    +tp313
    +Rp314
    +(dp315
    +g10
    +g32
    +sg20
    +g21
    +((lp316
    +tp317
    +Rp318
    +sbag281
    +ag297
    +atp319
    +Rp320
    +sg303
    +g306
    +sg311
    +g314
    +sbsS'Generic'
    +p321
    +g1
    +(g2
    +g3
    +(g321
    +tp322
    +tp323
    +Rp324
    +(dp325
    +g10
    +g26
    +sS'Deleted'
    +p326
    +g1
    +(g2
    +g3
    +(g321
    +g326
    +tp327
    +tp328
    +Rp329
    +(dp330
    +g10
    +g324
    +sg20
    +g21
    +((lp331
    +tp332
    +Rp333
    +sbsS'Subheading'
    +p334
    +g1
    +(g2
    +g3
    +(g321
    +g334
    +tp335
    +tp336
    +Rp337
    +(dp338
    +g10
    +g324
    +sg20
    +g21
    +((lp339
    +tp340
    +Rp341
    +sbsS'Heading'
    +p342
    +g1
    +(g2
    +g3
    +(g321
    +g342
    +tp343
    +tp344
    +Rp345
    +(dp346
    +g10
    +g324
    +sg20
    +g21
    +((lp347
    +tp348
    +Rp349
    +sbsS'Emph'
    +p350
    +g1
    +(g2
    +g3
    +(g321
    +g350
    +tp351
    +tp352
    +Rp353
    +(dp354
    +g10
    +g324
    +sg20
    +g21
    +((lp355
    +tp356
    +Rp357
    +sbsS'Prompt'
    +p358
    +g1
    +(g2
    +g3
    +(g321
    +g358
    +tp359
    +tp360
    +Rp361
    +(dp362
    +g10
    +g324
    +sg20
    +g21
    +((lp363
    +tp364
    +Rp365
    +sbsS'Inserted'
    +p366
    +g1
    +(g2
    +g3
    +(g321
    +g366
    +tp367
    +tp368
    +Rp369
    +(dp370
    +g10
    +g324
    +sg20
    +g21
    +((lp371
    +tp372
    +Rp373
    +sbsS'Strong'
    +p374
    +g1
    +(g2
    +g3
    +(g321
    +g374
    +tp375
    +tp376
    +Rp377
    +(dp378
    +g10
    +g324
    +sg20
    +g21
    +((lp379
    +tp380
    +Rp381
    +sbsS'Error'
    +p382
    +g1
    +(g2
    +g3
    +(g321
    +g382
    +tp383
    +tp384
    +Rp385
    +(dp386
    +g10
    +g324
    +sg20
    +g21
    +((lp387
    +tp388
    +Rp389
    +sbsS'Traceback'
    +p390
    +g1
    +(g2
    +g3
    +(g321
    +g390
    +tp391
    +tp392
    +Rp393
    +(dp394
    +g10
    +g324
    +sg20
    +g21
    +((lp395
    +tp396
    +Rp397
    +sbsg20
    +g21
    +((lp398
    +g345
    +ag337
    +ag1
    +(g2
    +g3
    +(g321
    +S'Output'
    +p399
    +tp400
    +tp401
    +Rp402
    +(dp403
    +g10
    +g324
    +sg20
    +g21
    +((lp404
    +tp405
    +Rp406
    +sbag377
    +ag353
    +ag385
    +ag393
    +ag369
    +ag361
    +ag329
    +atp407
    +Rp408
    +sg399
    +g402
    +sbsS'Operator'
    +p409
    +g1
    +(g2
    +g3
    +(g409
    +tp410
    +tp411
    +Rp412
    +(dp413
    +g10
    +g26
    +sS'DBS'
    +p414
    +g1
    +(g2
    +g3
    +(g409
    +g414
    +tp415
    +tp416
    +Rp417
    +(dp418
    +g10
    +g412
    +sg20
    +g21
    +((lp419
    +tp420
    +Rp421
    +sbsg20
    +g21
    +((lp422
    +g417
    +ag1
    +(g2
    +g3
    +(g409
    +S'Word'
    +p423
    +tp424
    +tp425
    +Rp426
    +(dp427
    +g10
    +g412
    +sg20
    +g21
    +((lp428
    +tp429
    +Rp430
    +sbatp431
    +Rp432
    +sg423
    +g426
    +sbsg86
    +g89
    +sg186
    +g1
    +(g2
    +g3
    +(g186
    +tp433
    +tp434
    +Rp435
    +(dp436
    +S'Variable'
    +p437
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +tp438
    +tp439
    +Rp440
    +(dp441
    +g10
    +g435
    +sS'Class'
    +p442
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g442
    +tp443
    +tp444
    +Rp445
    +(dp446
    +g10
    +g440
    +sg20
    +g21
    +((lp447
    +tp448
    +Rp449
    +sbsS'Anonymous'
    +p450
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g450
    +tp451
    +tp452
    +Rp453
    +(dp454
    +g10
    +g440
    +sg20
    +g21
    +((lp455
    +tp456
    +Rp457
    +sbsS'Instance'
    +p458
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g458
    +tp459
    +tp460
    +Rp461
    +(dp462
    +g10
    +g440
    +sg20
    +g21
    +((lp463
    +tp464
    +Rp465
    +sbsS'Global'
    +p466
    +g1
    +(g2
    +g3
    +(g186
    +g437
    +g466
    +tp467
    +tp468
    +Rp469
    +(dp470
    +g10
    +g440
    +sg20
    +g21
    +((lp471
    +tp472
    +Rp473
    +sbsg20
    +g21
    +((lp474
    +g453
    +ag461
    +ag1
    +(g2
    +g3
    +(g186
    +g437
    +S'Magic'
    +p475
    +tp476
    +tp477
    +Rp478
    +(dp479
    +g10
    +g440
    +sg20
    +g21
    +((lp480
    +tp481
    +Rp482
    +sbag469
    +ag445
    +atp483
    +Rp484
    +sg475
    +g478
    +sbsg409
    +g1
    +(g2
    +g3
    +(g186
    +g409
    +tp485
    +tp486
    +Rp487
    +(dp488
    +g10
    +g435
    +sg20
    +g21
    +((lp489
    +tp490
    +Rp491
    +sbsS'Decorator'
    +p492
    +g1
    +(g2
    +g3
    +(g186
    +g492
    +tp493
    +tp494
    +Rp495
    +(dp496
    +g10
    +g435
    +sg20
    +g21
    +((lp497
    +tp498
    +Rp499
    +sbsS'Entity'
    +p500
    +g1
    +(g2
    +g3
    +(g186
    +g500
    +tp501
    +tp502
    +Rp503
    +(dp504
    +g10
    +g435
    +sg414
    +g1
    +(g2
    +g3
    +(g186
    +g500
    +g414
    +tp505
    +tp506
    +Rp507
    +(dp508
    +g10
    +g503
    +sg20
    +g21
    +((lp509
    +tp510
    +Rp511
    +sbsg20
    +g21
    +((lp512
    +g507
    +atp513
    +Rp514
    +sbsg114
    +g1
    +(g2
    +g3
    +(g186
    +g114
    +tp515
    +tp516
    +Rp517
    +(dp518
    +g10
    +g435
    +sg20
    +g21
    +((lp519
    +tp520
    +Rp521
    +sbsS'Property'
    +p522
    +g1
    +(g2
    +g3
    +(g186
    +g522
    +tp523
    +tp524
    +Rp525
    +(dp526
    +g10
    +g435
    +sg20
    +g21
    +((lp527
    +tp528
    +Rp529
    +sbsS'Pseudo'
    +p530
    +g1
    +(g2
    +g3
    +(g186
    +g530
    +tp531
    +tp532
    +Rp533
    +(dp534
    +g10
    +g435
    +sg20
    +g21
    +((lp535
    +tp536
    +Rp537
    +sbsS'Type'
    +p538
    +g1
    +(g2
    +g3
    +(g186
    +g538
    +tp539
    +tp540
    +Rp541
    +(dp542
    +g10
    +g435
    +sg20
    +g21
    +((lp543
    +tp544
    +Rp545
    +sbsS'Classes'
    +p546
    +g1
    +(g2
    +g3
    +(g186
    +g546
    +tp547
    +tp548
    +Rp549
    +(dp550
    +g10
    +g435
    +sg20
    +g21
    +((lp551
    +tp552
    +Rp553
    +sbsS'Tag'
    +p554
    +g1
    +(g2
    +g3
    +(g186
    +g554
    +tp555
    +tp556
    +Rp557
    +(dp558
    +g10
    +g435
    +sg20
    +g21
    +((lp559
    +tp560
    +Rp561
    +sbsS'Constant'
    +p562
    +g1
    +(g2
    +g3
    +(g186
    +g562
    +tp563
    +tp564
    +Rp565
    +(dp566
    +g10
    +g435
    +sg20
    +g21
    +((lp567
    +tp568
    +Rp569
    +sbsS'Function'
    +p570
    +g1
    +(g2
    +g3
    +(g186
    +g570
    +tp571
    +tp572
    +Rp573
    +(dp574
    +g10
    +g435
    +sg20
    +g21
    +((lp575
    +g1
    +(g2
    +g3
    +(g186
    +g570
    +g475
    +tp576
    +tp577
    +Rp578
    +(dp579
    +g10
    +g573
    +sg20
    +g21
    +((lp580
    +tp581
    +Rp582
    +sbatp583
    +Rp584
    +sg475
    +g578
    +sbsS'Blubb'
    +p585
    +g1
    +(g2
    +g3
    +(g186
    +g585
    +tp586
    +tp587
    +Rp588
    +(dp589
    +g10
    +g435
    +sg20
    +g21
    +((lp590
    +tp591
    +Rp592
    +sbsS'Label'
    +p593
    +g1
    +(g2
    +g3
    +(g186
    +g593
    +tp594
    +tp595
    +Rp596
    +(dp597
    +g10
    +g435
    +sg20
    +g21
    +((lp598
    +tp599
    +Rp600
    +sbsS'Field'
    +p601
    +g1
    +(g2
    +g3
    +(g186
    +g601
    +tp602
    +tp603
    +Rp604
    +(dp605
    +g10
    +g435
    +sg20
    +g21
    +((lp606
    +tp607
    +Rp608
    +sbsS'Exception'
    +p609
    +g1
    +(g2
    +g3
    +(g186
    +g609
    +tp610
    +tp611
    +Rp612
    +(dp613
    +g10
    +g435
    +sg20
    +g21
    +((lp614
    +tp615
    +Rp616
    +sbsS'Namespace'
    +p617
    +g1
    +(g2
    +g3
    +(g186
    +g617
    +tp618
    +tp619
    +Rp620
    +(dp621
    +g10
    +g435
    +sg20
    +g21
    +((lp622
    +tp623
    +Rp624
    +sbsg20
    +g21
    +((lp625
    +g495
    +ag588
    +ag533
    +ag503
    +ag440
    +ag612
    +ag525
    +ag557
    +ag573
    +ag549
    +ag1
    +(g2
    +g3
    +(g186
    +g442
    +tp626
    +tp627
    +Rp628
    +(dp629
    +g10
    +g435
    +sg414
    +g1
    +(g2
    +g3
    +(g186
    +g442
    +g414
    +tp630
    +tp631
    +Rp632
    +(dp633
    +g10
    +g628
    +sg20
    +g21
    +((lp634
    +tp635
    +Rp636
    +sbsg20
    +g21
    +((lp637
    +g1
    +(g2
    +g3
    +(g186
    +g442
    +S'Start'
    +p638
    +tp639
    +tp640
    +Rp641
    +(dp642
    +g10
    +g628
    +sg20
    +g21
    +((lp643
    +tp644
    +Rp645
    +sbag632
    +atp646
    +Rp647
    +sg638
    +g641
    +sbag1
    +(g2
    +g3
    +(g186
    +g70
    +tp648
    +tp649
    +Rp650
    +(dp651
    +g10
    +g435
    +sS'Member'
    +p652
    +g1
    +(g2
    +g3
    +(g186
    +g70
    +g652
    +tp653
    +tp654
    +Rp655
    +(dp656
    +g10
    +g650
    +sg20
    +g21
    +((lp657
    +tp658
    +Rp659
    +sbsg20
    +g21
    +((lp660
    +g655
    +atp661
    +Rp662
    +sbag596
    +ag487
    +ag620
    +ag1
    +(g2
    +g3
    +(g186
    +S'Attribute'
    +p663
    +tp664
    +tp665
    +Rp666
    +(dp667
    +g10
    +g435
    +sg437
    +g1
    +(g2
    +g3
    +(g186
    +g663
    +g437
    +tp668
    +tp669
    +Rp670
    +(dp671
    +g10
    +g666
    +sg20
    +g21
    +((lp672
    +tp673
    +Rp674
    +sbsg20
    +g21
    +((lp675
    +g670
    +atp676
    +Rp677
    +sbag565
    +ag1
    +(g2
    +g3
    +(g186
    +S'Builtin'
    +p678
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g10
    +g435
    +sg538
    +g1
    +(g2
    +g3
    +(g186
    +g678
    +g538
    +tp683
    +tp684
    +Rp685
    +(dp686
    +g10
    +g681
    +sg20
    +g21
    +((lp687
    +tp688
    +Rp689
    +sbsg20
    +g21
    +((lp690
    +g1
    +(g2
    +g3
    +(g186
    +g678
    +g530
    +tp691
    +tp692
    +Rp693
    +(dp694
    +g10
    +g681
    +sg20
    +g21
    +((lp695
    +tp696
    +Rp697
    +sbag685
    +atp698
    +Rp699
    +sg530
    +g693
    +sbag604
    +ag541
    +ag517
    +atp700
    +Rp701
    +sg10
    +g26
    +sg442
    +g628
    +sg678
    +g681
    +sg663
    +g666
    +sg70
    +g650
    +sbsS'Punctuation'
    +p702
    +g1
    +(g2
    +g3
    +(g702
    +tp703
    +tp704
    +Rp705
    +(dp706
    +g10
    +g26
    +sg20
    +g21
    +((lp707
    +g1
    +(g2
    +g3
    +(g702
    +S'Indicator'
    +p708
    +tp709
    +tp710
    +Rp711
    +(dp712
    +g10
    +g705
    +sg20
    +g21
    +((lp713
    +tp714
    +Rp715
    +sbatp716
    +Rp717
    +sg708
    +g711
    +sbsg4
    +g13
    +sg29
    +g52
    +sg70
    +g1
    +(g2
    +g3
    +(g70
    +tp718
    +tp719
    +Rp720
    +(dp721
    +g10
    +g26
    +sg20
    +g21
    +((lp722
    +tp723
    +Rp724
    +sbsg382
    +g1
    +(g2
    +g3
    +(g382
    +tp725
    +tp726
    +Rp727
    +(dp728
    +g10
    +g26
    +sg20
    +g21
    +((lp729
    +tp730
    +Rp731
    +sbsS'Token'
    +p732
    +g26
    +sg210
    +g1
    +(g2
    +g3
    +(g210
    +tp733
    +tp734
    +Rp735
    +(dp736
    +g10
    +g26
    +sg20
    +g21
    +((lp737
    +tp738
    +Rp739
    +sbsg20
    +g21
    +((lp740
    +g435
    +ag720
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p741
    +tp742
    +tp743
    +Rp744
    +(dp745
    +g10
    +g26
    +sg538
    +g1
    +(g2
    +g3
    +(g741
    +g538
    +tp746
    +tp747
    +Rp748
    +(dp749
    +g10
    +g744
    +sg20
    +g21
    +((lp750
    +tp751
    +Rp752
    +sbsS'Control'
    +p753
    +g1
    +(g2
    +g3
    +(g741
    +g753
    +tp754
    +tp755
    +Rp756
    +(dp757
    +g10
    +g744
    +sg20
    +g21
    +((lp758
    +tp759
    +Rp760
    +sbsg562
    +g1
    +(g2
    +g3
    +(g741
    +g562
    +tp761
    +tp762
    +Rp763
    +(dp764
    +g10
    +g744
    +sg20
    +g21
    +((lp765
    +tp766
    +Rp767
    +sbsg617
    +g1
    +(g2
    +g3
    +(g741
    +g617
    +tp768
    +tp769
    +Rp770
    +(dp771
    +g10
    +g744
    +sg20
    +g21
    +((lp772
    +tp773
    +Rp774
    +sbsS'PreProc'
    +p775
    +g1
    +(g2
    +g3
    +(g741
    +g775
    +tp776
    +tp777
    +Rp778
    +(dp779
    +g10
    +g744
    +sg20
    +g21
    +((lp780
    +tp781
    +Rp782
    +sbsg530
    +g1
    +(g2
    +g3
    +(g741
    +g530
    +tp783
    +tp784
    +Rp785
    +(dp786
    +g10
    +g744
    +sg20
    +g21
    +((lp787
    +tp788
    +Rp789
    +sbsS'Reserved'
    +p790
    +g1
    +(g2
    +g3
    +(g741
    +g790
    +tp791
    +tp792
    +Rp793
    +(dp794
    +g10
    +g744
    +sg20
    +g21
    +((lp795
    +tp796
    +Rp797
    +sbsg20
    +g21
    +((lp798
    +g770
    +ag1
    +(g2
    +g3
    +(g741
    +g423
    +tp799
    +tp800
    +Rp801
    +(dp802
    +g10
    +g744
    +sg20
    +g21
    +((lp803
    +tp804
    +Rp805
    +sbag756
    +ag1
    +(g2
    +g3
    +(g741
    +S'Declaration'
    +p806
    +tp807
    +tp808
    +Rp809
    +(dp810
    +g10
    +g744
    +sg20
    +g21
    +((lp811
    +tp812
    +Rp813
    +sbag1
    +(g2
    +g3
    +(g741
    +g741
    +tp814
    +tp815
    +Rp816
    +(dp817
    +g10
    +g744
    +sg20
    +g21
    +((lp818
    +tp819
    +Rp820
    +sbag785
    +ag763
    +ag748
    +ag793
    +ag778
    +atp821
    +Rp822
    +sg741
    +g816
    +sg806
    +g809
    +sg423
    +g801
    +sbag324
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p823
    +tp824
    +tp825
    +Rp826
    +(dp827
    +S'Beer'
    +p828
    +g1
    +(g2
    +g3
    +(g823
    +g828
    +tp829
    +tp830
    +Rp831
    +(dp832
    +g10
    +g826
    +sg20
    +g21
    +((lp833
    +tp834
    +Rp835
    +sbsS'Whitespace'
    +p836
    +g1
    +(g2
    +g3
    +(g823
    +g836
    +tp837
    +tp838
    +Rp839
    +(dp840
    +g10
    +g826
    +sg20
    +g21
    +((lp841
    +tp842
    +Rp843
    +sbsg10
    +g26
    +sS'Root'
    +p844
    +g1
    +(g2
    +g3
    +(g823
    +g844
    +tp845
    +tp846
    +Rp847
    +(dp848
    +g10
    +g826
    +sg20
    +g21
    +((lp849
    +tp850
    +Rp851
    +sbsg114
    +g1
    +(g2
    +g3
    +(g823
    +g114
    +tp852
    +tp853
    +Rp854
    +(dp855
    +g10
    +g826
    +sg20
    +g21
    +((lp856
    +tp857
    +Rp858
    +sbsg702
    +g1
    +(g2
    +g3
    +(g823
    +g702
    +tp859
    +tp860
    +Rp861
    +(dp862
    +g10
    +g826
    +sg20
    +g21
    +((lp863
    +tp864
    +Rp865
    +sbsg20
    +g21
    +((lp866
    +g847
    +ag854
    +ag861
    +ag839
    +ag831
    +ag1
    +(g2
    +g3
    +(g823
    +S'Rag'
    +p867
    +tp868
    +tp869
    +Rp870
    +(dp871
    +g10
    +g826
    +sg20
    +g21
    +((lp872
    +tp873
    +Rp874
    +sbatp875
    +Rp876
    +sg867
    +g870
    +sbag412
    +ag735
    +ag705
    +ag13
    +ag727
    +ag52
    +atp877
    +Rp878
    +sg741
    +g744
    +sg823
    +g826
    +sbsS'Special'
    +p879
    +g1
    +(g2
    +g3
    +(g4
    +g879
    +tp880
    +tp881
    +Rp882
    +(dp883
    +g10
    +g13
    +sg20
    +g21
    +((lp884
    +tp885
    +Rp886
    +sbsS'Hashbang'
    +p887
    +g1
    +(g2
    +g3
    +(g4
    +g887
    +tp888
    +tp889
    +Rp890
    +(dp891
    +g10
    +g13
    +sg20
    +g21
    +((lp892
    +tp893
    +Rp894
    +sbsS'Preproc'
    +p895
    +g1
    +(g2
    +g3
    +(g4
    +g895
    +tp896
    +tp897
    +Rp898
    +(dp899
    +g10
    +g13
    +sg20
    +g21
    +((lp900
    +tp901
    +Rp902
    +sbsg5
    +g8
    +sS'Directive'
    +p903
    +g1
    +(g2
    +g3
    +(g4
    +g903
    +tp904
    +tp905
    +Rp906
    +(dp907
    +g10
    +g13
    +sg20
    +g21
    +((lp908
    +tp909
    +Rp910
    +sbsg219
    +g1
    +(g2
    +g3
    +(g4
    +g219
    +tp911
    +tp912
    +Rp913
    +(dp914
    +g10
    +g13
    +sg20
    +g21
    +((lp915
    +tp916
    +Rp917
    +sbsS'Singleline'
    +p918
    +g1
    +(g2
    +g3
    +(g4
    +g918
    +tp919
    +tp920
    +Rp921
    +(dp922
    +g10
    +g13
    +sg20
    +g21
    +((lp923
    +tp924
    +Rp925
    +sbsS'Multiline'
    +p926
    +g1
    +(g2
    +g3
    +(g4
    +g926
    +tp927
    +tp928
    +Rp929
    +(dp930
    +g10
    +g13
    +sg20
    +g21
    +((lp931
    +tp932
    +Rp933
    +sbsg20
    +g21
    +((lp934
    +g913
    +ag906
    +ag890
    +ag18
    +ag921
    +ag898
    +ag929
    +ag8
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p935
    +tp936
    +tp937
    +Rp938
    +(dp939
    +g10
    +g13
    +sg20
    +g21
    +((lp940
    +tp941
    +Rp942
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p943
    +tp944
    +tp945
    +Rp946
    +(dp947
    +g10
    +g13
    +sg20
    +g21
    +((lp948
    +tp949
    +Rp950
    +sbag882
    +atp951
    +Rp952
    +sg935
    +g938
    +sg943
    +g946
    +sbsg20
    +g21
    +((lp953
    +tp954
    +Rp955
    +sbV//  This is a comment\u000a
    +p956
    +tp957
    +a(g826
    +V\u000a
    +p958
    +tp959
    +a(g8
    +V//  1. Basics\u000a
    +p960
    +tp961
    +a(g826
    +V\u000a
    +p962
    +tp963
    +a(g8
    +V//  Functions\u000a
    +p964
    +tp965
    +a(g793
    +Vfunc
    +p966
    +tp967
    +a(g826
    +V 
    +p968
    +tp969
    +a(g435
    +VAdd
    +p970
    +tp971
    +a(g705
    +V(
    +p972
    +tp973
    +a(g435
    +VX
    +p974
    +tp975
    +a(g826
    +g968
    +tp976
    +a(g705
    +V:
    +p977
    +tp978
    +a(g826
    +g968
    +tp979
    +a(g435
    +VUniv_Integer
    +p980
    +tp981
    +a(g705
    +V;
    +p982
    +tp983
    +a(g826
    +g968
    +tp984
    +a(g435
    +VY
    +p985
    +tp986
    +a(g826
    +g968
    +tp987
    +a(g705
    +g977
    +tp988
    +a(g826
    +g968
    +tp989
    +a(g435
    +VUniv_Integer
    +p990
    +tp991
    +a(g705
    +V)
    +p992
    +tp993
    +a(g826
    +g968
    +tp994
    +a(g412
    +V-
    +p995
    +tp996
    +a(g705
    +V>
    +p997
    +tp998
    +a(g826
    +g968
    +tp999
    +a(g435
    +VUniv_Integer
    +p1000
    +tp1001
    +a(g826
    +g968
    +tp1002
    +a(g793
    +Vis
    +p1003
    +tp1004
    +a(g826
    +V\u000a
    +p1005
    +tp1006
    +a(g826
    +V   
    +p1007
    +tp1008
    +a(g793
    +Vreturn
    +p1009
    +tp1010
    +a(g826
    +g968
    +tp1011
    +a(g435
    +g974
    +tp1012
    +a(g826
    +g968
    +tp1013
    +a(g412
    +V+
    +p1014
    +tp1015
    +a(g826
    +g968
    +tp1016
    +a(g435
    +g985
    +tp1017
    +a(g705
    +g982
    +tp1018
    +a(g826
    +V\u000a
    +p1019
    +tp1020
    +a(g793
    +Vend
    +p1021
    +tp1022
    +a(g826
    +g968
    +tp1023
    +a(g793
    +Vfunc
    +p1024
    +tp1025
    +a(g826
    +g968
    +tp1026
    +a(g435
    +VAdd
    +p1027
    +tp1028
    +a(g705
    +g982
    +tp1029
    +a(g826
    +V\u000a
    +p1030
    +tp1031
    +a(g8
    +V//  End of line semi-colons are optional\u000a
    +p1032
    +tp1033
    +a(g8
    +V//  +, +=, -, -=, *, *=, /, /=\u000a
    +p1034
    +tp1035
    +a(g8
    +V//  all do what you'd expect (/ is integer division)\u000a
    +p1036
    +tp1037
    +a(g826
    +V\u000a
    +p1038
    +tp1039
    +a(g8
    +V//  If you find Univ_Integer to be too verbose you can import Short_Names\u000a
    +p1040
    +tp1041
    +a(g8
    +V//  which defines aliases like Int for Univ_Integer and String for Univ_String\u000a
    +p1042
    +tp1043
    +a(g793
    +Vimport
    +p1044
    +tp1045
    +a(g826
    +g968
    +tp1046
    +a(g435
    +VPSL
    +p1047
    +tp1048
    +a(g705
    +g977
    +tp1049
    +a(g705
    +g977
    +tp1050
    +a(g435
    +VShort_Names
    +p1051
    +tp1052
    +a(g705
    +g977
    +tp1053
    +a(g705
    +g977
    +tp1054
    +a(g412
    +V*
    +p1055
    +tp1056
    +a(g705
    +V,
    +p1057
    +tp1058
    +a(g826
    +g968
    +tp1059
    +a(g412
    +g1055
    +tp1060
    +a(g826
    +V\u000a\u000a
    +p1061
    +tp1062
    +a(g793
    +Vfunc
    +p1063
    +tp1064
    +a(g826
    +g968
    +tp1065
    +a(g435
    +VGreetings
    +p1066
    +tp1067
    +a(g705
    +g972
    +tp1068
    +a(g705
    +g992
    +tp1069
    +a(g826
    +g968
    +tp1070
    +a(g793
    +Vis
    +p1071
    +tp1072
    +a(g826
    +V\u000a
    +p1073
    +tp1074
    +a(g826
    +V   
    +p1075
    +tp1076
    +a(g793
    +Vconst
    +p1077
    +tp1078
    +a(g826
    +g968
    +tp1079
    +a(g435
    +VS
    +p1080
    +tp1081
    +a(g826
    +g968
    +tp1082
    +a(g705
    +g977
    +tp1083
    +a(g826
    +g968
    +tp1084
    +a(g435
    +VString
    +p1085
    +tp1086
    +a(g826
    +g968
    +tp1087
    +a(g412
    +V:=
    +p1088
    +tp1089
    +a(g826
    +g968
    +tp1090
    +a(g89
    +V"Hello, World!"
    +p1091
    +tp1092
    +a(g826
    +V\u000a
    +p1093
    +tp1094
    +a(g826
    +V   
    +p1095
    +tp1096
    +a(g435
    +VPrintln
    +p1097
    +tp1098
    +a(g705
    +g972
    +tp1099
    +a(g435
    +g1080
    +tp1100
    +a(g705
    +g992
    +tp1101
    +a(g826
    +V\u000a
    +p1102
    +tp1103
    +a(g793
    +Vend
    +p1104
    +tp1105
    +a(g826
    +g968
    +tp1106
    +a(g793
    +Vfunc
    +p1107
    +tp1108
    +a(g826
    +g968
    +tp1109
    +a(g435
    +VGreetings
    +p1110
    +tp1111
    +a(g826
    +V\u000a
    +p1112
    +tp1113
    +a(g8
    +V//  All declarations are 'const', 'var', or 'ref'\u000a
    +p1114
    +tp1115
    +a(g8
    +V//  Assignment is :=, equality checks are ==, and != is not equals\u000a
    +p1116
    +tp1117
    +a(g826
    +V\u000a
    +p1118
    +tp1119
    +a(g793
    +Vfunc
    +p1120
    +tp1121
    +a(g826
    +g968
    +tp1122
    +a(g435
    +VBoolean_Examples
    +p1123
    +tp1124
    +a(g705
    +g972
    +tp1125
    +a(g435
    +VB
    +p1126
    +tp1127
    +a(g826
    +g968
    +tp1128
    +a(g705
    +g977
    +tp1129
    +a(g826
    +g968
    +tp1130
    +a(g435
    +VBool
    +p1131
    +tp1132
    +a(g705
    +g992
    +tp1133
    +a(g826
    +g968
    +tp1134
    +a(g793
    +Vis
    +p1135
    +tp1136
    +a(g826
    +V\u000a
    +p1137
    +tp1138
    +a(g826
    +V   
    +p1139
    +tp1140
    +a(g793
    +Vconst
    +p1141
    +tp1142
    +a(g826
    +g968
    +tp1143
    +a(g435
    +VAnd
    +p1144
    +tp1145
    +a(g826
    +g968
    +tp1146
    +a(g412
    +V:=
    +p1147
    +tp1148
    +a(g826
    +g968
    +tp1149
    +a(g435
    +g1126
    +tp1150
    +a(g826
    +g968
    +tp1151
    +a(g426
    +Vand
    +p1152
    +tp1153
    +a(g826
    +g968
    +tp1154
    +a(g52
    +V#true
    +p1155
    +tp1156
    +a(g826
    +V           
    +p1157
    +tp1158
    +a(g8
    +V//  Parallel execution of operands\u000a
    +p1159
    +tp1160
    +a(g826
    +V   
    +p1161
    +tp1162
    +a(g793
    +Vconst
    +p1163
    +tp1164
    +a(g826
    +g968
    +tp1165
    +a(g435
    +VAnd_Then
    +p1166
    +tp1167
    +a(g826
    +g968
    +tp1168
    +a(g412
    +V:=
    +p1169
    +tp1170
    +a(g826
    +g968
    +tp1171
    +a(g435
    +g1126
    +tp1172
    +a(g826
    +g968
    +tp1173
    +a(g426
    +Vand then
    +p1174
    +tp1175
    +a(g826
    +g968
    +tp1176
    +a(g52
    +V#true
    +p1177
    +tp1178
    +a(g826
    +g968
    +tp1179
    +a(g8
    +V//  Short-Circuit\u000a
    +p1180
    +tp1181
    +a(g826
    +V   
    +p1182
    +tp1183
    +a(g793
    +Vconst
    +p1184
    +tp1185
    +a(g826
    +g968
    +tp1186
    +a(g435
    +VOr
    +p1187
    +tp1188
    +a(g826
    +g968
    +tp1189
    +a(g412
    +V:=
    +p1190
    +tp1191
    +a(g826
    +g968
    +tp1192
    +a(g435
    +g1126
    +tp1193
    +a(g826
    +g968
    +tp1194
    +a(g426
    +Vor
    +p1195
    +tp1196
    +a(g826
    +g968
    +tp1197
    +a(g52
    +V#false
    +p1198
    +tp1199
    +a(g826
    +V            
    +p1200
    +tp1201
    +a(g8
    +V//  Parallel execution of operands\u000a
    +p1202
    +tp1203
    +a(g826
    +V   
    +p1204
    +tp1205
    +a(g793
    +Vconst
    +p1206
    +tp1207
    +a(g826
    +g968
    +tp1208
    +a(g435
    +VOr_Else
    +p1209
    +tp1210
    +a(g826
    +g968
    +tp1211
    +a(g412
    +V:=
    +p1212
    +tp1213
    +a(g826
    +g968
    +tp1214
    +a(g435
    +g1126
    +tp1215
    +a(g826
    +g968
    +tp1216
    +a(g426
    +Vor else
    +p1217
    +tp1218
    +a(g826
    +g968
    +tp1219
    +a(g52
    +V#false
    +p1220
    +tp1221
    +a(g826
    +V  
    +p1222
    +tp1223
    +a(g8
    +V//  Short-Cirtuit\u000a
    +p1224
    +tp1225
    +a(g826
    +V   
    +p1226
    +tp1227
    +a(g793
    +Vconst
    +p1228
    +tp1229
    +a(g826
    +g968
    +tp1230
    +a(g435
    +VXor
    +p1231
    +tp1232
    +a(g826
    +g968
    +tp1233
    +a(g412
    +V:=
    +p1234
    +tp1235
    +a(g826
    +g968
    +tp1236
    +a(g435
    +g1126
    +tp1237
    +a(g826
    +g968
    +tp1238
    +a(g426
    +Vxor
    +p1239
    +tp1240
    +a(g826
    +g968
    +tp1241
    +a(g52
    +V#true
    +p1242
    +tp1243
    +a(g826
    +V\u000a
    +p1244
    +tp1245
    +a(g826
    +V   
    +p1246
    +tp1247
    +a(g793
    +Vvar
    +p1248
    +tp1249
    +a(g826
    +g968
    +tp1250
    +a(g435
    +VResult
    +p1251
    +tp1252
    +a(g826
    +g968
    +tp1253
    +a(g705
    +g977
    +tp1254
    +a(g826
    +g968
    +tp1255
    +a(g435
    +VBool
    +p1256
    +tp1257
    +a(g826
    +g968
    +tp1258
    +a(g412
    +V:=
    +p1259
    +tp1260
    +a(g826
    +g968
    +tp1261
    +a(g52
    +V#true
    +p1262
    +tp1263
    +a(g705
    +g982
    +tp1264
    +a(g826
    +V\u000a
    +p1265
    +tp1266
    +a(g826
    +V   
    +p1267
    +tp1268
    +a(g435
    +VResult
    +p1269
    +tp1270
    +a(g826
    +g968
    +tp1271
    +a(g426
    +Vand=
    +p1272
    +tp1273
    +a(g826
    +g968
    +tp1274
    +a(g52
    +V#false
    +p1275
    +tp1276
    +a(g705
    +g982
    +tp1277
    +a(g826
    +V\u000a
    +p1278
    +tp1279
    +a(g826
    +V   
    +p1280
    +tp1281
    +a(g435
    +VResult
    +p1282
    +tp1283
    +a(g826
    +g968
    +tp1284
    +a(g426
    +Vor=
    +p1285
    +tp1286
    +a(g826
    +g968
    +tp1287
    +a(g52
    +V#true
    +p1288
    +tp1289
    +a(g705
    +g982
    +tp1290
    +a(g826
    +V\u000a
    +p1291
    +tp1292
    +a(g826
    +V   
    +p1293
    +tp1294
    +a(g435
    +VResult
    +p1295
    +tp1296
    +a(g826
    +g968
    +tp1297
    +a(g426
    +Vxor=
    +p1298
    +tp1299
    +a(g826
    +g968
    +tp1300
    +a(g52
    +V#false
    +p1301
    +tp1302
    +a(g705
    +g982
    +tp1303
    +a(g826
    +V\u000a
    +p1304
    +tp1305
    +a(g793
    +Vend
    +p1306
    +tp1307
    +a(g826
    +g968
    +tp1308
    +a(g793
    +Vfunc
    +p1309
    +tp1310
    +a(g826
    +g968
    +tp1311
    +a(g435
    +VBoolean_Examples
    +p1312
    +tp1313
    +a(g826
    +V\u000a
    +p1314
    +tp1315
    +a(g8
    +V//  Booleans are a special type of enumeration\u000a
    +p1316
    +tp1317
    +a(g8
    +V//  All enumerations are preceded by a sharp '#'\u000a
    +p1318
    +tp1319
    +a(g826
    +V\u000a
    +p1320
    +tp1321
    +a(g793
    +Vfunc
    +p1322
    +tp1323
    +a(g826
    +g968
    +tp1324
    +a(g435
    +VFib
    +p1325
    +tp1326
    +a(g705
    +g972
    +tp1327
    +a(g435
    +VN
    +p1328
    +tp1329
    +a(g826
    +g968
    +tp1330
    +a(g705
    +g977
    +tp1331
    +a(g826
    +g968
    +tp1332
    +a(g435
    +VInt
    +p1333
    +tp1334
    +a(g705
    +g992
    +tp1335
    +a(g826
    +g968
    +tp1336
    +a(g705
    +V{
    +p1337
    +tp1338
    +a(g435
    +g1328
    +tp1339
    +a(g826
    +g968
    +tp1340
    +a(g412
    +V>=
    +p1341
    +tp1342
    +a(g826
    +g968
    +tp1343
    +a(g37
    +V0
    +p1344
    +tp1345
    +a(g705
    +V}
    +p1346
    +tp1347
    +a(g826
    +g968
    +tp1348
    +a(g412
    +g995
    +tp1349
    +a(g705
    +g997
    +tp1350
    +a(g826
    +g968
    +tp1351
    +a(g435
    +VInt
    +p1352
    +tp1353
    +a(g826
    +g968
    +tp1354
    +a(g793
    +Vis
    +p1355
    +tp1356
    +a(g826
    +V\u000a
    +p1357
    +tp1358
    +a(g826
    +V   
    +p1359
    +tp1360
    +a(g793
    +Vif
    +p1361
    +tp1362
    +a(g826
    +g968
    +tp1363
    +a(g435
    +g1328
    +tp1364
    +a(g826
    +g968
    +tp1365
    +a(g412
    +V<=
    +p1366
    +tp1367
    +a(g826
    +g968
    +tp1368
    +a(g37
    +V1
    +p1369
    +tp1370
    +a(g826
    +g968
    +tp1371
    +a(g793
    +Vthen
    +p1372
    +tp1373
    +a(g826
    +V\u000a
    +p1374
    +tp1375
    +a(g826
    +V      
    +p1376
    +tp1377
    +a(g793
    +Vreturn
    +p1378
    +tp1379
    +a(g826
    +g968
    +tp1380
    +a(g435
    +g1328
    +tp1381
    +a(g826
    +V\u000a
    +p1382
    +tp1383
    +a(g826
    +V   
    +p1384
    +tp1385
    +a(g793
    +Velse
    +p1386
    +tp1387
    +a(g826
    +V\u000a
    +p1388
    +tp1389
    +a(g826
    +V      
    +p1390
    +tp1391
    +a(g8
    +V//  Left and right side of '+' are computed in Parallel here\u000a
    +p1392
    +tp1393
    +a(g826
    +V      
    +p1394
    +tp1395
    +a(g793
    +Vreturn
    +p1396
    +tp1397
    +a(g826
    +g968
    +tp1398
    +a(g435
    +VFib
    +p1399
    +tp1400
    +a(g705
    +g972
    +tp1401
    +a(g435
    +g1328
    +tp1402
    +a(g826
    +g968
    +tp1403
    +a(g412
    +g995
    +tp1404
    +a(g826
    +g968
    +tp1405
    +a(g37
    +g1369
    +tp1406
    +a(g705
    +g992
    +tp1407
    +a(g826
    +g968
    +tp1408
    +a(g412
    +g1014
    +tp1409
    +a(g826
    +g968
    +tp1410
    +a(g435
    +VFib
    +p1411
    +tp1412
    +a(g705
    +g972
    +tp1413
    +a(g435
    +g1328
    +tp1414
    +a(g826
    +g968
    +tp1415
    +a(g412
    +g995
    +tp1416
    +a(g826
    +g968
    +tp1417
    +a(g37
    +V2
    +p1418
    +tp1419
    +a(g705
    +g992
    +tp1420
    +a(g826
    +V\u000a
    +p1421
    +tp1422
    +a(g826
    +V   
    +p1423
    +tp1424
    +a(g793
    +Vend
    +p1425
    +tp1426
    +a(g826
    +g968
    +tp1427
    +a(g793
    +Vif
    +p1428
    +tp1429
    +a(g826
    +V\u000a
    +p1430
    +tp1431
    +a(g793
    +Vend
    +p1432
    +tp1433
    +a(g826
    +g968
    +tp1434
    +a(g793
    +Vfunc
    +p1435
    +tp1436
    +a(g826
    +g968
    +tp1437
    +a(g435
    +VFib
    +p1438
    +tp1439
    +a(g826
    +V\u000a
    +p1440
    +tp1441
    +a(g8
    +V//  '{N >= 0}' is a precondition to this function\u000a
    +p1442
    +tp1443
    +a(g8
    +V//  Preconditions are built in to the language and checked by the compiler\u000a
    +p1444
    +tp1445
    +a(g826
    +V\u000a
    +p1446
    +tp1447
    +a(g8
    +V//  ParaSail does not have mutable global variables\u000a
    +p1448
    +tp1449
    +a(g8
    +V//  Instead, use 'var' parameters\u000a
    +p1450
    +tp1451
    +a(g793
    +Vfunc
    +p1452
    +tp1453
    +a(g826
    +g968
    +tp1454
    +a(g435
    +VIncrement_All
    +p1455
    +tp1456
    +a(g705
    +g972
    +tp1457
    +a(g793
    +Vvar
    +p1458
    +tp1459
    +a(g826
    +g968
    +tp1460
    +a(g435
    +VNums
    +p1461
    +tp1462
    +a(g826
    +g968
    +tp1463
    +a(g705
    +g977
    +tp1464
    +a(g826
    +g968
    +tp1465
    +a(g435
    +VVector
    +p1466
    +tp1467
    +a(g705
    +V<
    +p1468
    +tp1469
    +a(g435
    +VInt
    +p1470
    +tp1471
    +a(g705
    +g997
    +tp1472
    +a(g705
    +g992
    +tp1473
    +a(g826
    +g968
    +tp1474
    +a(g793
    +Vis
    +p1475
    +tp1476
    +a(g826
    +V\u000a
    +p1477
    +tp1478
    +a(g826
    +V   
    +p1479
    +tp1480
    +a(g793
    +Vfor
    +p1481
    +tp1482
    +a(g826
    +g968
    +tp1483
    +a(g793
    +Veach
    +p1484
    +tp1485
    +a(g826
    +g968
    +tp1486
    +a(g435
    +VElem
    +p1487
    +tp1488
    +a(g826
    +g968
    +tp1489
    +a(g793
    +Vof
    +p1490
    +tp1491
    +a(g826
    +g968
    +tp1492
    +a(g435
    +VNums
    +p1493
    +tp1494
    +a(g826
    +g968
    +tp1495
    +a(g793
    +Vconcurrent
    +p1496
    +tp1497
    +a(g826
    +g968
    +tp1498
    +a(g793
    +Vloop
    +p1499
    +tp1500
    +a(g826
    +V\u000a
    +p1501
    +tp1502
    +a(g826
    +V      
    +p1503
    +tp1504
    +a(g435
    +VElem
    +p1505
    +tp1506
    +a(g826
    +g968
    +tp1507
    +a(g412
    +V+=
    +p1508
    +tp1509
    +a(g826
    +g968
    +tp1510
    +a(g37
    +g1369
    +tp1511
    +a(g826
    +V\u000a
    +p1512
    +tp1513
    +a(g826
    +V   
    +p1514
    +tp1515
    +a(g793
    +Vend
    +p1516
    +tp1517
    +a(g826
    +g968
    +tp1518
    +a(g793
    +Vloop
    +p1519
    +tp1520
    +a(g826
    +V\u000a
    +p1521
    +tp1522
    +a(g793
    +Vend
    +p1523
    +tp1524
    +a(g826
    +g968
    +tp1525
    +a(g793
    +Vfunc
    +p1526
    +tp1527
    +a(g826
    +g968
    +tp1528
    +a(g435
    +VIncrement_All
    +p1529
    +tp1530
    +a(g826
    +V\u000a
    +p1531
    +tp1532
    +a(g8
    +V//  The 'concurrent' keyword in the loop header tells the compiler that\u000a
    +p1533
    +tp1534
    +a(g8
    +V//  iterations of the loop can happen in any order.\u000a
    +p1535
    +tp1536
    +a(g8
    +V//  It will choose the most optimal number of threads to use.\u000a
    +p1537
    +tp1538
    +a(g8
    +V//  Other options are 'forward' and 'reverse'.\u000a
    +p1539
    +tp1540
    +a(g826
    +V\u000a
    +p1541
    +tp1542
    +a(g793
    +Vfunc
    +p1543
    +tp1544
    +a(g826
    +g968
    +tp1545
    +a(g435
    +VSum_Of_Squares
    +p1546
    +tp1547
    +a(g705
    +g972
    +tp1548
    +a(g435
    +g1328
    +tp1549
    +a(g826
    +g968
    +tp1550
    +a(g705
    +g977
    +tp1551
    +a(g826
    +g968
    +tp1552
    +a(g435
    +VInt
    +p1553
    +tp1554
    +a(g705
    +g992
    +tp1555
    +a(g826
    +g968
    +tp1556
    +a(g412
    +g995
    +tp1557
    +a(g705
    +g997
    +tp1558
    +a(g826
    +g968
    +tp1559
    +a(g435
    +VInt
    +p1560
    +tp1561
    +a(g826
    +g968
    +tp1562
    +a(g793
    +Vis
    +p1563
    +tp1564
    +a(g826
    +V\u000a
    +p1565
    +tp1566
    +a(g826
    +V   
    +p1567
    +tp1568
    +a(g8
    +V//  The type of Sum is inferred\u000a
    +p1569
    +tp1570
    +a(g826
    +V   
    +p1571
    +tp1572
    +a(g793
    +Vvar
    +p1573
    +tp1574
    +a(g826
    +g968
    +tp1575
    +a(g435
    +VSum
    +p1576
    +tp1577
    +a(g826
    +g968
    +tp1578
    +a(g412
    +V:=
    +p1579
    +tp1580
    +a(g826
    +g968
    +tp1581
    +a(g37
    +g1344
    +tp1582
    +a(g826
    +V\u000a
    +p1583
    +tp1584
    +a(g826
    +V   
    +p1585
    +tp1586
    +a(g793
    +Vfor
    +p1587
    +tp1588
    +a(g826
    +g968
    +tp1589
    +a(g435
    +VI
    +p1590
    +tp1591
    +a(g826
    +g968
    +tp1592
    +a(g793
    +Vin
    +p1593
    +tp1594
    +a(g826
    +g968
    +tp1595
    +a(g37
    +g1369
    +tp1596
    +a(g826
    +g968
    +tp1597
    +a(g412
    +V..
    +p1598
    +tp1599
    +a(g826
    +g968
    +tp1600
    +a(g435
    +g1328
    +tp1601
    +a(g826
    +g968
    +tp1602
    +a(g793
    +Vforward
    +p1603
    +tp1604
    +a(g826
    +g968
    +tp1605
    +a(g793
    +Vloop
    +p1606
    +tp1607
    +a(g826
    +V\u000a
    +p1608
    +tp1609
    +a(g826
    +V      
    +p1610
    +tp1611
    +a(g435
    +VSum
    +p1612
    +tp1613
    +a(g826
    +g968
    +tp1614
    +a(g412
    +V+=
    +p1615
    +tp1616
    +a(g826
    +g968
    +tp1617
    +a(g435
    +g1590
    +tp1618
    +a(g826
    +g968
    +tp1619
    +a(g412
    +V**
    +p1620
    +tp1621
    +a(g826
    +g968
    +tp1622
    +a(g37
    +g1418
    +tp1623
    +a(g826
    +g968
    +tp1624
    +a(g8
    +V//  ** is exponentiation\u000a
    +p1625
    +tp1626
    +a(g826
    +V   
    +p1627
    +tp1628
    +a(g793
    +Vend
    +p1629
    +tp1630
    +a(g826
    +g968
    +tp1631
    +a(g793
    +Vloop
    +p1632
    +tp1633
    +a(g826
    +V\u000a
    +p1634
    +tp1635
    +a(g793
    +Vend
    +p1636
    +tp1637
    +a(g826
    +g968
    +tp1638
    +a(g793
    +Vfunc
    +p1639
    +tp1640
    +a(g826
    +g968
    +tp1641
    +a(g435
    +VSum_Of_Squares
    +p1642
    +tp1643
    +a(g826
    +V\u000a\u000a
    +p1644
    +tp1645
    +a(g793
    +Vfunc
    +p1646
    +tp1647
    +a(g826
    +g968
    +tp1648
    +a(g435
    +VSum_Of
    +p1649
    +tp1650
    +a(g705
    +g972
    +tp1651
    +a(g435
    +g1328
    +tp1652
    +a(g826
    +g968
    +tp1653
    +a(g705
    +g977
    +tp1654
    +a(g826
    +g968
    +tp1655
    +a(g435
    +VInt
    +p1656
    +tp1657
    +a(g705
    +g982
    +tp1658
    +a(g826
    +g968
    +tp1659
    +a(g435
    +VMap
    +p1660
    +tp1661
    +a(g826
    +g968
    +tp1662
    +a(g705
    +g977
    +tp1663
    +a(g826
    +g968
    +tp1664
    +a(g793
    +Vfunc
    +p1665
    +tp1666
    +a(g826
    +g968
    +tp1667
    +a(g705
    +g972
    +tp1668
    +a(g435
    +VInt
    +p1669
    +tp1670
    +a(g705
    +g992
    +tp1671
    +a(g826
    +g968
    +tp1672
    +a(g412
    +g995
    +tp1673
    +a(g705
    +g997
    +tp1674
    +a(g826
    +g968
    +tp1675
    +a(g435
    +VInt
    +p1676
    +tp1677
    +a(g705
    +g992
    +tp1678
    +a(g826
    +g968
    +tp1679
    +a(g412
    +g995
    +tp1680
    +a(g705
    +g997
    +tp1681
    +a(g826
    +g968
    +tp1682
    +a(g435
    +VInt
    +p1683
    +tp1684
    +a(g826
    +g968
    +tp1685
    +a(g793
    +Vis
    +p1686
    +tp1687
    +a(g826
    +V\u000a
    +p1688
    +tp1689
    +a(g826
    +V   
    +p1690
    +tp1691
    +a(g793
    +Vreturn
    +p1692
    +tp1693
    +a(g826
    +g968
    +tp1694
    +a(g705
    +g972
    +tp1695
    +a(g793
    +Vfor
    +p1696
    +tp1697
    +a(g826
    +g968
    +tp1698
    +a(g435
    +g1590
    +tp1699
    +a(g826
    +g968
    +tp1700
    +a(g793
    +Vin
    +p1701
    +tp1702
    +a(g826
    +g968
    +tp1703
    +a(g37
    +g1369
    +tp1704
    +a(g826
    +g968
    +tp1705
    +a(g412
    +V..
    +p1706
    +tp1707
    +a(g826
    +g968
    +tp1708
    +a(g435
    +g1328
    +tp1709
    +a(g826
    +g968
    +tp1710
    +a(g412
    +V=>
    +p1711
    +tp1712
    +a(g826
    +g968
    +tp1713
    +a(g705
    +g1468
    +tp1714
    +a(g37
    +g1344
    +tp1715
    +a(g705
    +g997
    +tp1716
    +a(g826
    +g968
    +tp1717
    +a(g412
    +g1014
    +tp1718
    +a(g826
    +g968
    +tp1719
    +a(g435
    +VMap
    +p1720
    +tp1721
    +a(g705
    +g972
    +tp1722
    +a(g435
    +g1590
    +tp1723
    +a(g705
    +g992
    +tp1724
    +a(g705
    +g992
    +tp1725
    +a(g826
    +V\u000a
    +p1726
    +tp1727
    +a(g793
    +Vend
    +p1728
    +tp1729
    +a(g826
    +g968
    +tp1730
    +a(g793
    +Vfunc
    +p1731
    +tp1732
    +a(g826
    +g968
    +tp1733
    +a(g435
    +VSum_Of
    +p1734
    +tp1735
    +a(g826
    +V\u000a
    +p1736
    +tp1737
    +a(g8
    +V//  It has functional aspects as well\u000a
    +p1738
    +tp1739
    +a(g8
    +V//  Here, we're taking an (Int) -> Int function as a parameter\u000a
    +p1740
    +tp1741
    +a(g8
    +V//  and using the inherently parallel map-reduce.\u000a
    +p1742
    +tp1743
    +a(g8
    +V//  Initial value is enclosed with angle brackets\u000a
    +p1744
    +tp1745
    +a(g826
    +V\u000a
    +p1746
    +tp1747
    +a(g793
    +Vfunc
    +p1748
    +tp1749
    +a(g826
    +g968
    +tp1750
    +a(g435
    +Vmain
    +p1751
    +tp1752
    +a(g705
    +g972
    +tp1753
    +a(g435
    +VArgs
    +p1754
    +tp1755
    +a(g826
    +g968
    +tp1756
    +a(g705
    +g977
    +tp1757
    +a(g826
    +g968
    +tp1758
    +a(g435
    +VBasic_Array
    +p1759
    +tp1760
    +a(g705
    +g1468
    +tp1761
    +a(g435
    +VString
    +p1762
    +tp1763
    +a(g705
    +g997
    +tp1764
    +a(g705
    +g992
    +tp1765
    +a(g826
    +g968
    +tp1766
    +a(g793
    +Vis
    +p1767
    +tp1768
    +a(g826
    +V\u000a
    +p1769
    +tp1770
    +a(g826
    +V   
    +p1771
    +tp1772
    +a(g435
    +VGreetings
    +p1773
    +tp1774
    +a(g705
    +g972
    +tp1775
    +a(g705
    +g992
    +tp1776
    +a(g826
    +g968
    +tp1777
    +a(g8
    +V//  Hello World\u000a
    +p1778
    +tp1779
    +a(g826
    +V   
    +p1780
    +tp1781
    +a(g435
    +VPrintln
    +p1782
    +tp1783
    +a(g705
    +g972
    +tp1784
    +a(g435
    +VFib
    +p1785
    +tp1786
    +a(g705
    +g972
    +tp1787
    +a(g37
    +V5
    +p1788
    +tp1789
    +a(g705
    +g992
    +tp1790
    +a(g705
    +g992
    +tp1791
    +a(g826
    +g968
    +tp1792
    +a(g8
    +V//  5\u000a
    +p1793
    +tp1794
    +a(g826
    +V   
    +p1795
    +tp1796
    +a(g8
    +V//  Container Comprehension\u000a
    +p1797
    +tp1798
    +a(g826
    +V   
    +p1799
    +tp1800
    +a(g793
    +Vvar
    +p1801
    +tp1802
    +a(g826
    +g968
    +tp1803
    +a(g435
    +VVec
    +p1804
    +tp1805
    +a(g826
    +g968
    +tp1806
    +a(g705
    +g977
    +tp1807
    +a(g826
    +g968
    +tp1808
    +a(g435
    +VVector
    +p1809
    +tp1810
    +a(g705
    +g1468
    +tp1811
    +a(g435
    +VInt
    +p1812
    +tp1813
    +a(g705
    +g997
    +tp1814
    +a(g826
    +g968
    +tp1815
    +a(g412
    +V:=
    +p1816
    +tp1817
    +a(g826
    +g968
    +tp1818
    +a(g705
    +V[
    +p1819
    +tp1820
    +a(g793
    +Vfor
    +p1821
    +tp1822
    +a(g826
    +g968
    +tp1823
    +a(g435
    +g1590
    +tp1824
    +a(g826
    +g968
    +tp1825
    +a(g793
    +Vin
    +p1826
    +tp1827
    +a(g826
    +g968
    +tp1828
    +a(g37
    +g1344
    +tp1829
    +a(g826
    +g968
    +tp1830
    +a(g412
    +V..
    +p1831
    +tp1832
    +a(g826
    +g968
    +tp1833
    +a(g37
    +V10
    +p1834
    +tp1835
    +a(g826
    +g968
    +tp1836
    +a(g705
    +g1337
    +tp1837
    +a(g435
    +g1590
    +tp1838
    +a(g826
    +g968
    +tp1839
    +a(g426
    +Vmod
    +p1840
    +tp1841
    +a(g826
    +g968
    +tp1842
    +a(g37
    +g1418
    +tp1843
    +a(g826
    +g968
    +tp1844
    +a(g412
    +V==
    +p1845
    +tp1846
    +a(g826
    +g968
    +tp1847
    +a(g37
    +g1344
    +tp1848
    +a(g705
    +g1346
    +tp1849
    +a(g826
    +g968
    +tp1850
    +a(g412
    +V=>
    +p1851
    +tp1852
    +a(g826
    +g968
    +tp1853
    +a(g435
    +g1590
    +tp1854
    +a(g826
    +g968
    +tp1855
    +a(g412
    +V**
    +p1856
    +tp1857
    +a(g826
    +g968
    +tp1858
    +a(g37
    +g1418
    +tp1859
    +a(g705
    +V]
    +p1860
    +tp1861
    +a(g826
    +V\u000a
    +p1862
    +tp1863
    +a(g826
    +V   
    +p1864
    +tp1865
    +a(g8
    +V//  Vec = [0, 4, 16, 36, 64, 100]\u000a
    +p1866
    +tp1867
    +a(g826
    +V   
    +p1868
    +tp1869
    +a(g435
    +VIncrement_All
    +p1870
    +tp1871
    +a(g705
    +g972
    +tp1872
    +a(g435
    +VVec
    +p1873
    +tp1874
    +a(g705
    +g992
    +tp1875
    +a(g826
    +V\u000a
    +p1876
    +tp1877
    +a(g826
    +V   
    +p1878
    +tp1879
    +a(g8
    +V//  Vec = [1, 5, 17, 37, 65, 101]\u000a
    +p1880
    +tp1881
    +a(g826
    +V   
    +p1882
    +tp1883
    +a(g8
    +V//  '|' is an overloaded operator.\u000a
    +p1884
    +tp1885
    +a(g826
    +V   
    +p1886
    +tp1887
    +a(g8
    +V//  It's usually used for concatenation or adding to a container\u000a
    +p1888
    +tp1889
    +a(g826
    +V   
    +p1890
    +tp1891
    +a(g435
    +VPrintln
    +p1892
    +tp1893
    +a(g705
    +g972
    +tp1894
    +a(g89
    +V"First: "
    +p1895
    +tp1896
    +a(g826
    +g968
    +tp1897
    +a(g412
    +V|
    +p1898
    +tp1899
    +a(g826
    +g968
    +tp1900
    +a(g435
    +VVec
    +p1901
    +tp1902
    +a(g705
    +g1819
    +tp1903
    +a(g37
    +g1369
    +tp1904
    +a(g705
    +g1860
    +tp1905
    +a(g826
    +g968
    +tp1906
    +a(g412
    +g1898
    +tp1907
    +a(g826
    +g968
    +tp1908
    +a(g89
    +V", Last: "
    +p1909
    +tp1910
    +a(g826
    +g968
    +tp1911
    +a(g412
    +g1898
    +tp1912
    +a(g826
    +g968
    +tp1913
    +a(g435
    +VVec
    +p1914
    +tp1915
    +a(g705
    +g1819
    +tp1916
    +a(g435
    +VLength
    +p1917
    +tp1918
    +a(g705
    +g972
    +tp1919
    +a(g435
    +VVec
    +p1920
    +tp1921
    +a(g705
    +g992
    +tp1922
    +a(g705
    +g1860
    +tp1923
    +a(g705
    +g992
    +tp1924
    +a(g705
    +g982
    +tp1925
    +a(g826
    +V\u000a
    +p1926
    +tp1927
    +a(g826
    +V   
    +p1928
    +tp1929
    +a(g8
    +V//  Vectors are 1 indexed, 0 indexed ZVectors are also available\u000a
    +p1930
    +tp1931
    +a(g826
    +V   
    +p1932
    +tp1933
    +a(g826
    +V\u000a
    +p1934
    +tp1935
    +a(g826
    +V   
    +p1936
    +tp1937
    +a(g435
    +VPrintln
    +p1938
    +tp1939
    +a(g705
    +g972
    +tp1940
    +a(g435
    +VSum_Of_Squares
    +p1941
    +tp1942
    +a(g705
    +g972
    +tp1943
    +a(g37
    +V3
    +p1944
    +tp1945
    +a(g705
    +g992
    +tp1946
    +a(g705
    +g992
    +tp1947
    +a(g826
    +V\u000a
    +p1948
    +tp1949
    +a(g826
    +V   
    +p1950
    +tp1951
    +a(g826
    +V\u000a
    +p1952
    +tp1953
    +a(g826
    +V   
    +p1954
    +tp1955
    +a(g8
    +V//  Sum of fibs!\u000a
    +p1956
    +tp1957
    +a(g826
    +V   
    +p1958
    +tp1959
    +a(g435
    +VPrintln
    +p1960
    +tp1961
    +a(g705
    +g972
    +tp1962
    +a(g435
    +VSum_Of
    +p1963
    +tp1964
    +a(g705
    +g972
    +tp1965
    +a(g37
    +V10
    +p1966
    +tp1967
    +a(g705
    +g1057
    +tp1968
    +a(g826
    +g968
    +tp1969
    +a(g435
    +VFib
    +p1970
    +tp1971
    +a(g705
    +g992
    +tp1972
    +a(g705
    +g992
    +tp1973
    +a(g826
    +V\u000a
    +p1974
    +tp1975
    +a(g793
    +Vend
    +p1976
    +tp1977
    +a(g826
    +g968
    +tp1978
    +a(g793
    +Vfunc
    +p1979
    +tp1980
    +a(g826
    +g968
    +tp1981
    +a(g435
    +Vmain
    +p1982
    +tp1983
    +a(g826
    +V\u000a\u000a
    +p1984
    +tp1985
    +a(g8
    +V//  Preceding a type with 'optional' allows it to take the value 'null'\u000a
    +p1986
    +tp1987
    +a(g793
    +Vfunc
    +p1988
    +tp1989
    +a(g826
    +g968
    +tp1990
    +a(g435
    +VDivide
    +p1991
    +tp1992
    +a(g705
    +g972
    +tp1993
    +a(g435
    +VA
    +p1994
    +tp1995
    +a(g705
    +g1057
    +tp1996
    +a(g826
    +g968
    +tp1997
    +a(g435
    +g1126
    +tp1998
    +a(g705
    +g1057
    +tp1999
    +a(g826
    +g968
    +tp2000
    +a(g435
    +VC
    +p2001
    +tp2002
    +a(g826
    +g968
    +tp2003
    +a(g705
    +g977
    +tp2004
    +a(g826
    +g968
    +tp2005
    +a(g435
    +VReal
    +p2006
    +tp2007
    +a(g705
    +g992
    +tp2008
    +a(g826
    +g968
    +tp2009
    +a(g412
    +g995
    +tp2010
    +a(g705
    +g997
    +tp2011
    +a(g826
    +g968
    +tp2012
    +a(g793
    +Voptional
    +p2013
    +tp2014
    +a(g826
    +g968
    +tp2015
    +a(g435
    +VReal
    +p2016
    +tp2017
    +a(g826
    +g968
    +tp2018
    +a(g793
    +Vis
    +p2019
    +tp2020
    +a(g826
    +V\u000a
    +p2021
    +tp2022
    +a(g826
    +V   
    +p2023
    +tp2024
    +a(g8
    +V//  Real is the floating point type\u000a
    +p2025
    +tp2026
    +a(g826
    +V   
    +p2027
    +tp2028
    +a(g793
    +Vconst
    +p2029
    +tp2030
    +a(g826
    +g968
    +tp2031
    +a(g435
    +VEpsilon
    +p2032
    +tp2033
    +a(g826
    +g968
    +tp2034
    +a(g412
    +V:=
    +p2035
    +tp2036
    +a(g826
    +g968
    +tp2037
    +a(g314
    +V1.0e-6
    +p2038
    +tp2039
    +a(g705
    +g982
    +tp2040
    +a(g826
    +V\u000a
    +p2041
    +tp2042
    +a(g826
    +V   
    +p2043
    +tp2044
    +a(g793
    +Vif
    +p2045
    +tp2046
    +a(g826
    +g968
    +tp2047
    +a(g435
    +g1126
    +tp2048
    +a(g826
    +g968
    +tp2049
    +a(g793
    +Vin
    +p2050
    +tp2051
    +a(g826
    +g968
    +tp2052
    +a(g412
    +g995
    +tp2053
    +a(g435
    +VEpsilon
    +p2054
    +tp2055
    +a(g826
    +g968
    +tp2056
    +a(g412
    +V..
    +p2057
    +tp2058
    +a(g826
    +g968
    +tp2059
    +a(g435
    +VEpsilon
    +p2060
    +tp2061
    +a(g826
    +g968
    +tp2062
    +a(g793
    +Vthen
    +p2063
    +tp2064
    +a(g826
    +V\u000a
    +p2065
    +tp2066
    +a(g826
    +V      
    +p2067
    +tp2068
    +a(g793
    +Vreturn
    +p2069
    +tp2070
    +a(g826
    +g968
    +tp2071
    +a(g793
    +Vnull
    +p2072
    +tp2073
    +a(g826
    +V\u000a
    +p2074
    +tp2075
    +a(g826
    +V   
    +p2076
    +tp2077
    +a(g793
    +Velsif
    +p2078
    +tp2079
    +a(g826
    +g968
    +tp2080
    +a(g435
    +g2001
    +tp2081
    +a(g826
    +g968
    +tp2082
    +a(g793
    +Vin
    +p2083
    +tp2084
    +a(g826
    +g968
    +tp2085
    +a(g412
    +g995
    +tp2086
    +a(g435
    +VEpsilon
    +p2087
    +tp2088
    +a(g826
    +g968
    +tp2089
    +a(g412
    +V..
    +p2090
    +tp2091
    +a(g826
    +g968
    +tp2092
    +a(g435
    +VEpsilon
    +p2093
    +tp2094
    +a(g826
    +g968
    +tp2095
    +a(g793
    +Vthen
    +p2096
    +tp2097
    +a(g826
    +V\u000a
    +p2098
    +tp2099
    +a(g826
    +V      
    +p2100
    +tp2101
    +a(g793
    +Vreturn
    +p2102
    +tp2103
    +a(g826
    +g968
    +tp2104
    +a(g793
    +Vnull
    +p2105
    +tp2106
    +a(g826
    +V\u000a
    +p2107
    +tp2108
    +a(g826
    +V   
    +p2109
    +tp2110
    +a(g793
    +Velse
    +p2111
    +tp2112
    +a(g826
    +V\u000a
    +p2113
    +tp2114
    +a(g826
    +V      
    +p2115
    +tp2116
    +a(g793
    +Vreturn
    +p2117
    +tp2118
    +a(g826
    +g968
    +tp2119
    +a(g435
    +g1994
    +tp2120
    +a(g826
    +g968
    +tp2121
    +a(g412
    +V/
    +p2122
    +tp2123
    +a(g826
    +g968
    +tp2124
    +a(g435
    +g1126
    +tp2125
    +a(g826
    +g968
    +tp2126
    +a(g412
    +g1014
    +tp2127
    +a(g826
    +g968
    +tp2128
    +a(g435
    +g1994
    +tp2129
    +a(g826
    +g968
    +tp2130
    +a(g412
    +g2122
    +tp2131
    +a(g826
    +g968
    +tp2132
    +a(g435
    +g2001
    +tp2133
    +a(g826
    +V\u000a
    +p2134
    +tp2135
    +a(g826
    +V   
    +p2136
    +tp2137
    +a(g793
    +Vend
    +p2138
    +tp2139
    +a(g826
    +g968
    +tp2140
    +a(g793
    +Vif
    +p2141
    +tp2142
    +a(g826
    +V\u000a
    +p2143
    +tp2144
    +a(g793
    +Vend
    +p2145
    +tp2146
    +a(g826
    +g968
    +tp2147
    +a(g793
    +Vfunc
    +p2148
    +tp2149
    +a(g826
    +g968
    +tp2150
    +a(g435
    +VDivide
    +p2151
    +tp2152
    +a(g826
    +V\u000a\u000a
    +p2153
    +tp2154
    +a(g8
    +V//  2. Modules\u000a
    +p2155
    +tp2156
    +a(g8
    +V//  Modules are composed of an interface and a class\u000a
    +p2157
    +tp2158
    +a(g8
    +V//  ParaSail has object orientation features\u000a
    +p2159
    +tp2160
    +a(g826
    +V\u000a
    +p2161
    +tp2162
    +a(g8
    +V//  modules can be defined as 'concurrent'\u000a
    +p2163
    +tp2164
    +a(g8
    +V//  which allows 'locked' and 'queued' parameters\u000a
    +p2165
    +tp2166
    +a(g793
    +Vconcurrent
    +p2167
    +tp2168
    +a(g826
    +g968
    +tp2169
    +a(g793
    +Vinterface
    +p2170
    +tp2171
    +a(g826
    +g968
    +tp2172
    +a(g435
    +VLocked_Box
    +p2173
    +tp2174
    +a(g705
    +g1468
    +tp2175
    +a(g435
    +VContent_Type
    +p2176
    +tp2177
    +a(g826
    +g968
    +tp2178
    +a(g793
    +Vis
    +p2179
    +tp2180
    +a(g826
    +g968
    +tp2181
    +a(g435
    +VAssignable
    +p2182
    +tp2183
    +a(g705
    +g1468
    +tp2184
    +a(g412
    +V>>
    +p2185
    +tp2186
    +a(g826
    +g968
    +tp2187
    +a(g793
    +Vis
    +p2188
    +tp2189
    +a(g826
    +V\u000a
    +p2190
    +tp2191
    +a(g826
    +V   
    +p2192
    +tp2193
    +a(g8
    +V// Create a box with the given content\u000a
    +p2194
    +tp2195
    +a(g826
    +V   
    +p2196
    +tp2197
    +a(g793
    +Vfunc
    +p2198
    +tp2199
    +a(g826
    +g968
    +tp2200
    +a(g435
    +VCreate
    +p2201
    +tp2202
    +a(g705
    +g972
    +tp2203
    +a(g435
    +g2001
    +tp2204
    +a(g826
    +g968
    +tp2205
    +a(g705
    +g977
    +tp2206
    +a(g826
    +g968
    +tp2207
    +a(g793
    +Voptional
    +p2208
    +tp2209
    +a(g826
    +g968
    +tp2210
    +a(g435
    +VContent_Type
    +p2211
    +tp2212
    +a(g705
    +g992
    +tp2213
    +a(g826
    +g968
    +tp2214
    +a(g412
    +g995
    +tp2215
    +a(g705
    +g997
    +tp2216
    +a(g826
    +g968
    +tp2217
    +a(g435
    +VLocked_Box
    +p2218
    +tp2219
    +a(g705
    +g982
    +tp2220
    +a(g826
    +V\u000a\u000a
    +p2221
    +tp2222
    +a(g826
    +V   
    +p2223
    +tp2224
    +a(g8
    +V// Put something into the box\u000a
    +p2225
    +tp2226
    +a(g826
    +V   
    +p2227
    +tp2228
    +a(g793
    +Vfunc
    +p2229
    +tp2230
    +a(g826
    +g968
    +tp2231
    +a(g435
    +VPut
    +p2232
    +tp2233
    +a(g705
    +g972
    +tp2234
    +a(g793
    +Vlocked
    +p2235
    +tp2236
    +a(g826
    +g968
    +tp2237
    +a(g793
    +Vvar
    +p2238
    +tp2239
    +a(g826
    +g968
    +tp2240
    +a(g435
    +g1126
    +tp2241
    +a(g826
    +g968
    +tp2242
    +a(g705
    +g977
    +tp2243
    +a(g826
    +g968
    +tp2244
    +a(g435
    +VLocked_Box
    +p2245
    +tp2246
    +a(g705
    +g982
    +tp2247
    +a(g826
    +g968
    +tp2248
    +a(g435
    +g2001
    +tp2249
    +a(g826
    +g968
    +tp2250
    +a(g705
    +g977
    +tp2251
    +a(g826
    +g968
    +tp2252
    +a(g435
    +VContent_Type
    +p2253
    +tp2254
    +a(g705
    +g992
    +tp2255
    +a(g705
    +g982
    +tp2256
    +a(g826
    +V\u000a\u000a
    +p2257
    +tp2258
    +a(g826
    +V   
    +p2259
    +tp2260
    +a(g8
    +V// Get a copy of current content\u000a
    +p2261
    +tp2262
    +a(g826
    +V   
    +p2263
    +tp2264
    +a(g793
    +Vfunc
    +p2265
    +tp2266
    +a(g826
    +g968
    +tp2267
    +a(g435
    +VContent
    +p2268
    +tp2269
    +a(g705
    +g972
    +tp2270
    +a(g793
    +Vlocked
    +p2271
    +tp2272
    +a(g826
    +g968
    +tp2273
    +a(g435
    +g1126
    +tp2274
    +a(g826
    +g968
    +tp2275
    +a(g705
    +g977
    +tp2276
    +a(g826
    +g968
    +tp2277
    +a(g435
    +VLocked_Box
    +p2278
    +tp2279
    +a(g705
    +g992
    +tp2280
    +a(g826
    +g968
    +tp2281
    +a(g412
    +g995
    +tp2282
    +a(g705
    +g997
    +tp2283
    +a(g826
    +g968
    +tp2284
    +a(g793
    +Voptional
    +p2285
    +tp2286
    +a(g826
    +g968
    +tp2287
    +a(g435
    +VContent_Type
    +p2288
    +tp2289
    +a(g705
    +g982
    +tp2290
    +a(g826
    +V\u000a\u000a
    +p2291
    +tp2292
    +a(g826
    +V   
    +p2293
    +tp2294
    +a(g8
    +V// Remove current content, leaving it null\u000a
    +p2295
    +tp2296
    +a(g826
    +V   
    +p2297
    +tp2298
    +a(g793
    +Vfunc
    +p2299
    +tp2300
    +a(g826
    +g968
    +tp2301
    +a(g435
    +VRemove
    +p2302
    +tp2303
    +a(g705
    +g972
    +tp2304
    +a(g793
    +Vlocked
    +p2305
    +tp2306
    +a(g826
    +g968
    +tp2307
    +a(g793
    +Vvar
    +p2308
    +tp2309
    +a(g826
    +g968
    +tp2310
    +a(g435
    +g1126
    +tp2311
    +a(g826
    +g968
    +tp2312
    +a(g705
    +g977
    +tp2313
    +a(g826
    +g968
    +tp2314
    +a(g435
    +VLocked_Box
    +p2315
    +tp2316
    +a(g705
    +g992
    +tp2317
    +a(g826
    +g968
    +tp2318
    +a(g412
    +g995
    +tp2319
    +a(g705
    +g997
    +tp2320
    +a(g826
    +g968
    +tp2321
    +a(g793
    +Voptional
    +p2322
    +tp2323
    +a(g826
    +g968
    +tp2324
    +a(g435
    +VContent_Type
    +p2325
    +tp2326
    +a(g705
    +g982
    +tp2327
    +a(g826
    +V\u000a\u000a
    +p2328
    +tp2329
    +a(g826
    +V   
    +p2330
    +tp2331
    +a(g8
    +V// Wait until content is non-null, then return it, leaving it null.\u000a
    +p2332
    +tp2333
    +a(g826
    +V   
    +p2334
    +tp2335
    +a(g793
    +Vfunc
    +p2336
    +tp2337
    +a(g826
    +g968
    +tp2338
    +a(g435
    +VGet
    +p2339
    +tp2340
    +a(g705
    +g972
    +tp2341
    +a(g793
    +Vqueued
    +p2342
    +tp2343
    +a(g826
    +g968
    +tp2344
    +a(g793
    +Vvar
    +p2345
    +tp2346
    +a(g826
    +g968
    +tp2347
    +a(g435
    +g1126
    +tp2348
    +a(g826
    +g968
    +tp2349
    +a(g705
    +g977
    +tp2350
    +a(g826
    +g968
    +tp2351
    +a(g435
    +VLocked_Box
    +p2352
    +tp2353
    +a(g705
    +g992
    +tp2354
    +a(g826
    +g968
    +tp2355
    +a(g412
    +g995
    +tp2356
    +a(g705
    +g997
    +tp2357
    +a(g826
    +g968
    +tp2358
    +a(g435
    +VContent_Type
    +p2359
    +tp2360
    +a(g705
    +g982
    +tp2361
    +a(g826
    +V\u000a
    +p2362
    +tp2363
    +a(g793
    +Vend
    +p2364
    +tp2365
    +a(g826
    +g968
    +tp2366
    +a(g793
    +Vinterface
    +p2367
    +tp2368
    +a(g826
    +g968
    +tp2369
    +a(g435
    +VLocked_Box
    +p2370
    +tp2371
    +a(g705
    +g982
    +tp2372
    +a(g826
    +V\u000a\u000a
    +p2373
    +tp2374
    +a(g793
    +Vconcurrent
    +p2375
    +tp2376
    +a(g826
    +g968
    +tp2377
    +a(g793
    +Vclass
    +p2378
    +tp2379
    +a(g826
    +g968
    +tp2380
    +a(g435
    +VLocked_Box
    +p2381
    +tp2382
    +a(g826
    +g968
    +tp2383
    +a(g793
    +Vis
    +p2384
    +tp2385
    +a(g826
    +V\u000a
    +p2386
    +tp2387
    +a(g826
    +V   
    +p2388
    +tp2389
    +a(g793
    +Vvar
    +p2390
    +tp2391
    +a(g826
    +g968
    +tp2392
    +a(g435
    +VContent
    +p2393
    +tp2394
    +a(g826
    +g968
    +tp2395
    +a(g705
    +g977
    +tp2396
    +a(g826
    +g968
    +tp2397
    +a(g793
    +Voptional
    +p2398
    +tp2399
    +a(g826
    +g968
    +tp2400
    +a(g435
    +VContent_Type
    +p2401
    +tp2402
    +a(g705
    +g982
    +tp2403
    +a(g826
    +V\u000a
    +p2404
    +tp2405
    +a(g793
    +Vexports
    +p2406
    +tp2407
    +a(g826
    +V\u000a
    +p2408
    +tp2409
    +a(g826
    +V   
    +p2410
    +tp2411
    +a(g793
    +Vfunc
    +p2412
    +tp2413
    +a(g826
    +g968
    +tp2414
    +a(g435
    +VCreate
    +p2415
    +tp2416
    +a(g705
    +g972
    +tp2417
    +a(g435
    +g2001
    +tp2418
    +a(g826
    +g968
    +tp2419
    +a(g705
    +g977
    +tp2420
    +a(g826
    +g968
    +tp2421
    +a(g793
    +Voptional
    +p2422
    +tp2423
    +a(g826
    +g968
    +tp2424
    +a(g435
    +VContent_Type
    +p2425
    +tp2426
    +a(g705
    +g992
    +tp2427
    +a(g826
    +g968
    +tp2428
    +a(g412
    +g995
    +tp2429
    +a(g705
    +g997
    +tp2430
    +a(g826
    +g968
    +tp2431
    +a(g435
    +VLocked_Box
    +p2432
    +tp2433
    +a(g826
    +g968
    +tp2434
    +a(g793
    +Vis
    +p2435
    +tp2436
    +a(g826
    +V\u000a
    +p2437
    +tp2438
    +a(g826
    +V      
    +p2439
    +tp2440
    +a(g793
    +Vreturn
    +p2441
    +tp2442
    +a(g826
    +g968
    +tp2443
    +a(g705
    +g972
    +tp2444
    +a(g435
    +VContent
    +p2445
    +tp2446
    +a(g826
    +g968
    +tp2447
    +a(g412
    +V=>
    +p2448
    +tp2449
    +a(g826
    +g968
    +tp2450
    +a(g435
    +g2001
    +tp2451
    +a(g705
    +g992
    +tp2452
    +a(g705
    +g982
    +tp2453
    +a(g826
    +V\u000a
    +p2454
    +tp2455
    +a(g826
    +V   
    +p2456
    +tp2457
    +a(g793
    +Vend
    +p2458
    +tp2459
    +a(g826
    +g968
    +tp2460
    +a(g793
    +Vfunc
    +p2461
    +tp2462
    +a(g826
    +g968
    +tp2463
    +a(g435
    +VCreate
    +p2464
    +tp2465
    +a(g705
    +g982
    +tp2466
    +a(g826
    +V\u000a\u000a
    +p2467
    +tp2468
    +a(g826
    +V   
    +p2469
    +tp2470
    +a(g793
    +Vfunc
    +p2471
    +tp2472
    +a(g826
    +g968
    +tp2473
    +a(g435
    +VPut
    +p2474
    +tp2475
    +a(g705
    +g972
    +tp2476
    +a(g793
    +Vlocked
    +p2477
    +tp2478
    +a(g826
    +g968
    +tp2479
    +a(g793
    +Vvar
    +p2480
    +tp2481
    +a(g826
    +g968
    +tp2482
    +a(g435
    +g1126
    +tp2483
    +a(g826
    +g968
    +tp2484
    +a(g705
    +g977
    +tp2485
    +a(g826
    +g968
    +tp2486
    +a(g435
    +VLocked_Box
    +p2487
    +tp2488
    +a(g705
    +g982
    +tp2489
    +a(g826
    +g968
    +tp2490
    +a(g435
    +g2001
    +tp2491
    +a(g826
    +g968
    +tp2492
    +a(g705
    +g977
    +tp2493
    +a(g826
    +g968
    +tp2494
    +a(g435
    +VContent_Type
    +p2495
    +tp2496
    +a(g705
    +g992
    +tp2497
    +a(g826
    +g968
    +tp2498
    +a(g793
    +Vis
    +p2499
    +tp2500
    +a(g826
    +V\u000a
    +p2501
    +tp2502
    +a(g826
    +V      
    +p2503
    +tp2504
    +a(g435
    +g1126
    +tp2505
    +a(g705
    +V.
    +p2506
    +tp2507
    +a(g435
    +VContent
    +p2508
    +tp2509
    +a(g826
    +g968
    +tp2510
    +a(g412
    +V:=
    +p2511
    +tp2512
    +a(g826
    +g968
    +tp2513
    +a(g435
    +g2001
    +tp2514
    +a(g705
    +g982
    +tp2515
    +a(g826
    +V\u000a
    +p2516
    +tp2517
    +a(g826
    +V   
    +p2518
    +tp2519
    +a(g793
    +Vend
    +p2520
    +tp2521
    +a(g826
    +g968
    +tp2522
    +a(g793
    +Vfunc
    +p2523
    +tp2524
    +a(g826
    +g968
    +tp2525
    +a(g435
    +VPut
    +p2526
    +tp2527
    +a(g705
    +g982
    +tp2528
    +a(g826
    +V\u000a\u000a
    +p2529
    +tp2530
    +a(g826
    +V   
    +p2531
    +tp2532
    +a(g793
    +Vfunc
    +p2533
    +tp2534
    +a(g826
    +g968
    +tp2535
    +a(g435
    +VContent
    +p2536
    +tp2537
    +a(g705
    +g972
    +tp2538
    +a(g793
    +Vlocked
    +p2539
    +tp2540
    +a(g826
    +g968
    +tp2541
    +a(g435
    +g1126
    +tp2542
    +a(g826
    +g968
    +tp2543
    +a(g705
    +g977
    +tp2544
    +a(g826
    +g968
    +tp2545
    +a(g435
    +VLocked_Box
    +p2546
    +tp2547
    +a(g705
    +g992
    +tp2548
    +a(g826
    +g968
    +tp2549
    +a(g412
    +g995
    +tp2550
    +a(g705
    +g997
    +tp2551
    +a(g826
    +g968
    +tp2552
    +a(g793
    +Voptional
    +p2553
    +tp2554
    +a(g826
    +g968
    +tp2555
    +a(g435
    +VContent_Type
    +p2556
    +tp2557
    +a(g826
    +g968
    +tp2558
    +a(g793
    +Vis
    +p2559
    +tp2560
    +a(g826
    +V\u000a
    +p2561
    +tp2562
    +a(g826
    +V      
    +p2563
    +tp2564
    +a(g793
    +Vreturn
    +p2565
    +tp2566
    +a(g826
    +g968
    +tp2567
    +a(g435
    +g1126
    +tp2568
    +a(g705
    +g2506
    +tp2569
    +a(g435
    +VContent
    +p2570
    +tp2571
    +a(g705
    +g982
    +tp2572
    +a(g826
    +V\u000a
    +p2573
    +tp2574
    +a(g826
    +V   
    +p2575
    +tp2576
    +a(g793
    +Vend
    +p2577
    +tp2578
    +a(g826
    +g968
    +tp2579
    +a(g793
    +Vfunc
    +p2580
    +tp2581
    +a(g826
    +g968
    +tp2582
    +a(g435
    +VContent
    +p2583
    +tp2584
    +a(g705
    +g982
    +tp2585
    +a(g826
    +V\u000a\u000a
    +p2586
    +tp2587
    +a(g826
    +V   
    +p2588
    +tp2589
    +a(g793
    +Vfunc
    +p2590
    +tp2591
    +a(g826
    +g968
    +tp2592
    +a(g435
    +VRemove
    +p2593
    +tp2594
    +a(g705
    +g972
    +tp2595
    +a(g793
    +Vlocked
    +p2596
    +tp2597
    +a(g826
    +g968
    +tp2598
    +a(g793
    +Vvar
    +p2599
    +tp2600
    +a(g826
    +g968
    +tp2601
    +a(g435
    +g1126
    +tp2602
    +a(g826
    +g968
    +tp2603
    +a(g705
    +g977
    +tp2604
    +a(g826
    +g968
    +tp2605
    +a(g435
    +VLocked_Box
    +p2606
    +tp2607
    +a(g705
    +g992
    +tp2608
    +a(g826
    +g968
    +tp2609
    +a(g412
    +g995
    +tp2610
    +a(g705
    +g997
    +tp2611
    +a(g826
    +g968
    +tp2612
    +a(g435
    +VResult
    +p2613
    +tp2614
    +a(g826
    +g968
    +tp2615
    +a(g705
    +g977
    +tp2616
    +a(g826
    +g968
    +tp2617
    +a(g793
    +Voptional
    +p2618
    +tp2619
    +a(g826
    +g968
    +tp2620
    +a(g435
    +VContent_Type
    +p2621
    +tp2622
    +a(g826
    +g968
    +tp2623
    +a(g793
    +Vis
    +p2624
    +tp2625
    +a(g826
    +V\u000a
    +p2626
    +tp2627
    +a(g826
    +V      
    +p2628
    +tp2629
    +a(g8
    +V// '<==' is the move operator\u000a
    +p2630
    +tp2631
    +a(g826
    +V      
    +p2632
    +tp2633
    +a(g8
    +V// It moves the right operand into the left operand,\u000a
    +p2634
    +tp2635
    +a(g826
    +V      
    +p2636
    +tp2637
    +a(g8
    +V// leaving the right null.\u000a
    +p2638
    +tp2639
    +a(g826
    +V      
    +p2640
    +tp2641
    +a(g435
    +VResult
    +p2642
    +tp2643
    +a(g826
    +g968
    +tp2644
    +a(g412
    +V<==
    +p2645
    +tp2646
    +a(g826
    +g968
    +tp2647
    +a(g435
    +g1126
    +tp2648
    +a(g705
    +g2506
    +tp2649
    +a(g435
    +VContent
    +p2650
    +tp2651
    +a(g705
    +g982
    +tp2652
    +a(g826
    +V\u000a
    +p2653
    +tp2654
    +a(g826
    +V   
    +p2655
    +tp2656
    +a(g793
    +Vend
    +p2657
    +tp2658
    +a(g826
    +g968
    +tp2659
    +a(g793
    +Vfunc
    +p2660
    +tp2661
    +a(g826
    +g968
    +tp2662
    +a(g435
    +VRemove
    +p2663
    +tp2664
    +a(g705
    +g982
    +tp2665
    +a(g826
    +V\u000a\u000a
    +p2666
    +tp2667
    +a(g826
    +V   
    +p2668
    +tp2669
    +a(g793
    +Vfunc
    +p2670
    +tp2671
    +a(g826
    +g968
    +tp2672
    +a(g435
    +VGet
    +p2673
    +tp2674
    +a(g705
    +g972
    +tp2675
    +a(g793
    +Vqueued
    +p2676
    +tp2677
    +a(g826
    +g968
    +tp2678
    +a(g793
    +Vvar
    +p2679
    +tp2680
    +a(g826
    +g968
    +tp2681
    +a(g435
    +g1126
    +tp2682
    +a(g826
    +g968
    +tp2683
    +a(g705
    +g977
    +tp2684
    +a(g826
    +g968
    +tp2685
    +a(g435
    +VLocked_Box
    +p2686
    +tp2687
    +a(g705
    +g992
    +tp2688
    +a(g826
    +g968
    +tp2689
    +a(g412
    +g995
    +tp2690
    +a(g705
    +g997
    +tp2691
    +a(g826
    +g968
    +tp2692
    +a(g435
    +VResult
    +p2693
    +tp2694
    +a(g826
    +g968
    +tp2695
    +a(g705
    +g977
    +tp2696
    +a(g826
    +g968
    +tp2697
    +a(g435
    +VContent_Type
    +p2698
    +tp2699
    +a(g826
    +g968
    +tp2700
    +a(g793
    +Vis
    +p2701
    +tp2702
    +a(g826
    +V\u000a
    +p2703
    +tp2704
    +a(g826
    +V      
    +p2705
    +tp2706
    +a(g793
    +Vqueued
    +p2707
    +tp2708
    +a(g826
    +g968
    +tp2709
    +a(g793
    +Vuntil
    +p2710
    +tp2711
    +a(g826
    +g968
    +tp2712
    +a(g435
    +g1126
    +tp2713
    +a(g705
    +g2506
    +tp2714
    +a(g435
    +VContent
    +p2715
    +tp2716
    +a(g826
    +g968
    +tp2717
    +a(g426
    +Vnot null
    +p2718
    +tp2719
    +a(g826
    +g968
    +tp2720
    +a(g793
    +Vthen
    +p2721
    +tp2722
    +a(g826
    +V\u000a
    +p2723
    +tp2724
    +a(g826
    +V      
    +p2725
    +tp2726
    +a(g435
    +VResult
    +p2727
    +tp2728
    +a(g826
    +g968
    +tp2729
    +a(g412
    +V<==
    +p2730
    +tp2731
    +a(g826
    +g968
    +tp2732
    +a(g435
    +g1126
    +tp2733
    +a(g705
    +g2506
    +tp2734
    +a(g435
    +VContent
    +p2735
    +tp2736
    +a(g705
    +g982
    +tp2737
    +a(g826
    +V\u000a
    +p2738
    +tp2739
    +a(g826
    +V   
    +p2740
    +tp2741
    +a(g793
    +Vend
    +p2742
    +tp2743
    +a(g826
    +g968
    +tp2744
    +a(g793
    +Vfunc
    +p2745
    +tp2746
    +a(g826
    +g968
    +tp2747
    +a(g435
    +VGet
    +p2748
    +tp2749
    +a(g705
    +g982
    +tp2750
    +a(g826
    +V\u000a
    +p2751
    +tp2752
    +a(g793
    +Vend
    +p2753
    +tp2754
    +a(g826
    +g968
    +tp2755
    +a(g793
    +Vclass
    +p2756
    +tp2757
    +a(g826
    +g968
    +tp2758
    +a(g435
    +VLocked_Box
    +p2759
    +tp2760
    +a(g705
    +g982
    +tp2761
    +a(g826
    +V\u000a\u000a
    +p2762
    +tp2763
    +a(g793
    +Vfunc
    +p2764
    +tp2765
    +a(g826
    +g968
    +tp2766
    +a(g435
    +VUse_Box
    +p2767
    +tp2768
    +a(g705
    +g972
    +tp2769
    +a(g435
    +VSeed
    +p2770
    +tp2771
    +a(g826
    +g968
    +tp2772
    +a(g705
    +g977
    +tp2773
    +a(g826
    +g968
    +tp2774
    +a(g435
    +VUniv_Integer
    +p2775
    +tp2776
    +a(g705
    +g992
    +tp2777
    +a(g826
    +g968
    +tp2778
    +a(g793
    +Vis
    +p2779
    +tp2780
    +a(g826
    +V\u000a
    +p2781
    +tp2782
    +a(g826
    +V   
    +p2783
    +tp2784
    +a(g793
    +Vvar
    +p2785
    +tp2786
    +a(g826
    +g968
    +tp2787
    +a(g435
    +VU_Box
    +p2788
    +tp2789
    +a(g826
    +g968
    +tp2790
    +a(g705
    +g977
    +tp2791
    +a(g826
    +g968
    +tp2792
    +a(g435
    +VLocked_Box
    +p2793
    +tp2794
    +a(g705
    +g1468
    +tp2795
    +a(g435
    +VUniv_Integer
    +p2796
    +tp2797
    +a(g705
    +g997
    +tp2798
    +a(g826
    +g968
    +tp2799
    +a(g412
    +V:=
    +p2800
    +tp2801
    +a(g826
    +g968
    +tp2802
    +a(g435
    +VCreate
    +p2803
    +tp2804
    +a(g705
    +g972
    +tp2805
    +a(g793
    +Vnull
    +p2806
    +tp2807
    +a(g705
    +g992
    +tp2808
    +a(g705
    +g982
    +tp2809
    +a(g826
    +V\u000a
    +p2810
    +tp2811
    +a(g826
    +V   
    +p2812
    +tp2813
    +a(g8
    +V//  The type of 'Ran' can be left out because\u000a
    +p2814
    +tp2815
    +a(g826
    +V   
    +p2816
    +tp2817
    +a(g8
    +V//  it is inferred from the return type of Random::Start\u000a
    +p2818
    +tp2819
    +a(g826
    +V   
    +p2820
    +tp2821
    +a(g793
    +Vvar
    +p2822
    +tp2823
    +a(g826
    +g968
    +tp2824
    +a(g435
    +VRan
    +p2825
    +tp2826
    +a(g826
    +g968
    +tp2827
    +a(g412
    +V:=
    +p2828
    +tp2829
    +a(g826
    +g968
    +tp2830
    +a(g435
    +VRandom
    +p2831
    +tp2832
    +a(g705
    +g977
    +tp2833
    +a(g705
    +g977
    +tp2834
    +a(g435
    +VStart
    +p2835
    +tp2836
    +a(g705
    +g972
    +tp2837
    +a(g435
    +VSeed
    +p2838
    +tp2839
    +a(g705
    +g992
    +tp2840
    +a(g705
    +g982
    +tp2841
    +a(g826
    +V\u000a\u000a
    +p2842
    +tp2843
    +a(g826
    +V   
    +p2844
    +tp2845
    +a(g435
    +VPrintln
    +p2846
    +tp2847
    +a(g705
    +g972
    +tp2848
    +a(g89
    +V"Starting 100 pico-threads trying to put something in the box"
    +p2849
    +tp2850
    +a(g705
    +g992
    +tp2851
    +a(g705
    +g982
    +tp2852
    +a(g826
    +V\u000a
    +p2853
    +tp2854
    +a(g826
    +V   
    +p2855
    +tp2856
    +a(g435
    +VPrintln
    +p2857
    +tp2858
    +a(g705
    +g972
    +tp2859
    +a(g89
    +V" or take something out."
    +p2860
    +tp2861
    +a(g705
    +g992
    +tp2862
    +a(g705
    +g982
    +tp2863
    +a(g826
    +V\u000a
    +p2864
    +tp2865
    +a(g826
    +V   
    +p2866
    +tp2867
    +a(g793
    +Vfor
    +p2868
    +tp2869
    +a(g826
    +g968
    +tp2870
    +a(g435
    +g1590
    +tp2871
    +a(g826
    +g968
    +tp2872
    +a(g793
    +Vin
    +p2873
    +tp2874
    +a(g826
    +g968
    +tp2875
    +a(g37
    +g1369
    +tp2876
    +a(g412
    +V..
    +p2877
    +tp2878
    +a(g37
    +V100
    +p2879
    +tp2880
    +a(g826
    +g968
    +tp2881
    +a(g793
    +Vconcurrent
    +p2882
    +tp2883
    +a(g826
    +g968
    +tp2884
    +a(g793
    +Vloop
    +p2885
    +tp2886
    +a(g826
    +V\u000a
    +p2887
    +tp2888
    +a(g826
    +V      
    +p2889
    +tp2890
    +a(g793
    +Vif
    +p2891
    +tp2892
    +a(g826
    +g968
    +tp2893
    +a(g435
    +g1590
    +tp2894
    +a(g826
    +g968
    +tp2895
    +a(g705
    +g1468
    +tp2896
    +a(g826
    +g968
    +tp2897
    +a(g37
    +V30
    +p2898
    +tp2899
    +a(g826
    +g968
    +tp2900
    +a(g793
    +Vthen
    +p2901
    +tp2902
    +a(g826
    +V\u000a
    +p2903
    +tp2904
    +a(g826
    +V         
    +p2905
    +tp2906
    +a(g435
    +VPrintln
    +p2907
    +tp2908
    +a(g705
    +g972
    +tp2909
    +a(g89
    +V"Getting out "
    +p2910
    +tp2911
    +a(g826
    +g968
    +tp2912
    +a(g412
    +g1898
    +tp2913
    +a(g826
    +g968
    +tp2914
    +a(g435
    +VGet
    +p2915
    +tp2916
    +a(g705
    +g972
    +tp2917
    +a(g435
    +VU_Box
    +p2918
    +tp2919
    +a(g705
    +g992
    +tp2920
    +a(g705
    +g992
    +tp2921
    +a(g705
    +g982
    +tp2922
    +a(g826
    +V\u000a
    +p2923
    +tp2924
    +a(g826
    +V      
    +p2925
    +tp2926
    +a(g793
    +Velse
    +p2927
    +tp2928
    +a(g826
    +V\u000a
    +p2929
    +tp2930
    +a(g826
    +V         
    +p2931
    +tp2932
    +a(g435
    +VPrintln
    +p2933
    +tp2934
    +a(g705
    +g972
    +tp2935
    +a(g89
    +V"Putting in "
    +p2936
    +tp2937
    +a(g826
    +g968
    +tp2938
    +a(g412
    +g1898
    +tp2939
    +a(g826
    +g968
    +tp2940
    +a(g435
    +g1590
    +tp2941
    +a(g705
    +g992
    +tp2942
    +a(g705
    +g982
    +tp2943
    +a(g826
    +V\u000a
    +p2944
    +tp2945
    +a(g826
    +V         
    +p2946
    +tp2947
    +a(g435
    +VU_Box
    +p2948
    +tp2949
    +a(g705
    +g2506
    +tp2950
    +a(g435
    +VPut
    +p2951
    +tp2952
    +a(g705
    +g972
    +tp2953
    +a(g435
    +g1590
    +tp2954
    +a(g705
    +g992
    +tp2955
    +a(g705
    +g982
    +tp2956
    +a(g826
    +V\u000a\u000a
    +p2957
    +tp2958
    +a(g826
    +V         
    +p2959
    +tp2960
    +a(g8
    +V//  The first parameter can be moved to the front with a dot\u000a
    +p2961
    +tp2962
    +a(g826
    +V         
    +p2963
    +tp2964
    +a(g8
    +V//  X.Foo(Y) is equivalent to Foo(X, Y)\u000a
    +p2965
    +tp2966
    +a(g826
    +V      
    +p2967
    +tp2968
    +a(g793
    +Vend
    +p2969
    +tp2970
    +a(g826
    +g968
    +tp2971
    +a(g793
    +Vif
    +p2972
    +tp2973
    +a(g705
    +g982
    +tp2974
    +a(g826
    +V\u000a
    +p2975
    +tp2976
    +a(g826
    +V   
    +p2977
    +tp2978
    +a(g793
    +Vend
    +p2979
    +tp2980
    +a(g826
    +g968
    +tp2981
    +a(g793
    +Vloop
    +p2982
    +tp2983
    +a(g705
    +g982
    +tp2984
    +a(g826
    +V\u000a\u000a
    +p2985
    +tp2986
    +a(g826
    +V   
    +p2987
    +tp2988
    +a(g435
    +VPrintln
    +p2989
    +tp2990
    +a(g705
    +g972
    +tp2991
    +a(g89
    +V"And the winner is: "
    +p2992
    +tp2993
    +a(g826
    +g968
    +tp2994
    +a(g412
    +g1898
    +tp2995
    +a(g826
    +g968
    +tp2996
    +a(g435
    +VRemove
    +p2997
    +tp2998
    +a(g705
    +g972
    +tp2999
    +a(g435
    +VU_Box
    +p3000
    +tp3001
    +a(g705
    +g992
    +tp3002
    +a(g705
    +g992
    +tp3003
    +a(g705
    +g982
    +tp3004
    +a(g826
    +V\u000a
    +p3005
    +tp3006
    +a(g826
    +V   
    +p3007
    +tp3008
    +a(g435
    +VPrintln
    +p3009
    +tp3010
    +a(g705
    +g972
    +tp3011
    +a(g89
    +V"And the box is now "
    +p3012
    +tp3013
    +a(g826
    +g968
    +tp3014
    +a(g412
    +g1898
    +tp3015
    +a(g826
    +g968
    +tp3016
    +a(g435
    +VContent
    +p3017
    +tp3018
    +a(g705
    +g972
    +tp3019
    +a(g435
    +VU_Box
    +p3020
    +tp3021
    +a(g705
    +g992
    +tp3022
    +a(g705
    +g992
    +tp3023
    +a(g705
    +g982
    +tp3024
    +a(g826
    +V\u000a
    +p3025
    +tp3026
    +a(g793
    +Vend
    +p3027
    +tp3028
    +a(g826
    +g968
    +tp3029
    +a(g793
    +Vfunc
    +p3030
    +tp3031
    +a(g826
    +g968
    +tp3032
    +a(g435
    +VUse_Box
    +p3033
    +tp3034
    +a(g705
    +g982
    +tp3035
    +a(g826
    +V\u000a
    +p3036
    +tp3037
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.pwn b/tests/examplefiles/output/test.pwn
    new file mode 100644
    index 0000000..a3abfe5
    --- /dev/null
    +++ b/tests/examplefiles/output/test.pwn
    @@ -0,0 +1,8522 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +S'Preproc'
    +p5
    +tp6
    +tp7
    +Rp8
    +(dp9
    +S'parent'
    +p10
    +g1
    +(g2
    +g3
    +(g4
    +tp11
    +tp12
    +Rp13
    +(dp14
    +S'Multi'
    +p15
    +g1
    +(g2
    +g3
    +(g4
    +g15
    +tp16
    +tp17
    +Rp18
    +(dp19
    +g10
    +g13
    +sS'subtypes'
    +p20
    +c__builtin__
    +set
    +p21
    +((lp22
    +tp23
    +Rp24
    +sbsg10
    +g1
    +(g2
    +g3
    +(ttp25
    +Rp26
    +(dp27
    +S'Number'
    +p28
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p29
    +g28
    +tp30
    +tp31
    +Rp32
    +(dp33
    +S'Integer'
    +p34
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g10
    +g32
    +sS'Long'
    +p39
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g34
    +g39
    +tp40
    +tp41
    +Rp42
    +(dp43
    +g10
    +g37
    +sg20
    +g21
    +((lp44
    +tp45
    +Rp46
    +sbsg20
    +g21
    +((lp47
    +g42
    +atp48
    +Rp49
    +sbsg10
    +g1
    +(g2
    +g3
    +(g29
    +tp50
    +tp51
    +Rp52
    +(dp53
    +S'Scalar'
    +p54
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +tp55
    +tp56
    +Rp57
    +(dp58
    +g10
    +g52
    +sg20
    +g21
    +((lp59
    +g1
    +(g2
    +g3
    +(g29
    +g54
    +S'Plain'
    +p60
    +tp61
    +tp62
    +Rp63
    +(dp64
    +g10
    +g57
    +sg20
    +g21
    +((lp65
    +tp66
    +Rp67
    +sbatp68
    +Rp69
    +sg60
    +g63
    +sbsg28
    +g32
    +sg10
    +g26
    +sS'Other'
    +p70
    +g1
    +(g2
    +g3
    +(g29
    +g70
    +tp71
    +tp72
    +Rp73
    +(dp74
    +g10
    +g52
    +sg20
    +g21
    +((lp75
    +tp76
    +Rp77
    +sbsS'Char'
    +p78
    +g1
    +(g2
    +g3
    +(g29
    +g78
    +tp79
    +tp80
    +Rp81
    +(dp82
    +g10
    +g52
    +sg20
    +g21
    +((lp83
    +tp84
    +Rp85
    +sbsS'String'
    +p86
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +tp87
    +tp88
    +Rp89
    +(dp90
    +g78
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g78
    +tp91
    +tp92
    +Rp93
    +(dp94
    +g10
    +g89
    +sg20
    +g21
    +((lp95
    +tp96
    +Rp97
    +sbsS'Backtick'
    +p98
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g98
    +tp99
    +tp100
    +Rp101
    +(dp102
    +g10
    +g89
    +sg20
    +g21
    +((lp103
    +tp104
    +Rp105
    +sbsS'Heredoc'
    +p106
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g106
    +tp107
    +tp108
    +Rp109
    +(dp110
    +g10
    +g89
    +sg20
    +g21
    +((lp111
    +tp112
    +Rp113
    +sbsS'Symbol'
    +p114
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g114
    +tp115
    +tp116
    +Rp117
    +(dp118
    +g10
    +g89
    +sg20
    +g21
    +((lp119
    +tp120
    +Rp121
    +sbsS'Interpol'
    +p122
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g122
    +tp123
    +tp124
    +Rp125
    +(dp126
    +g10
    +g89
    +sg20
    +g21
    +((lp127
    +tp128
    +Rp129
    +sbsS'Delimiter'
    +p130
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g130
    +tp131
    +tp132
    +Rp133
    +(dp134
    +g10
    +g89
    +sg20
    +g21
    +((lp135
    +tp136
    +Rp137
    +sbsS'Boolean'
    +p138
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g138
    +tp139
    +tp140
    +Rp141
    +(dp142
    +g10
    +g89
    +sg20
    +g21
    +((lp143
    +tp144
    +Rp145
    +sbsS'Character'
    +p146
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g146
    +tp147
    +tp148
    +Rp149
    +(dp150
    +g10
    +g89
    +sg20
    +g21
    +((lp151
    +tp152
    +Rp153
    +sbsS'Double'
    +p154
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g154
    +tp155
    +tp156
    +Rp157
    +(dp158
    +g10
    +g89
    +sg20
    +g21
    +((lp159
    +tp160
    +Rp161
    +sbsS'Delimeter'
    +p162
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g162
    +tp163
    +tp164
    +Rp165
    +(dp166
    +g10
    +g89
    +sg20
    +g21
    +((lp167
    +tp168
    +Rp169
    +sbsS'Atom'
    +p170
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g170
    +tp171
    +tp172
    +Rp173
    +(dp174
    +g10
    +g89
    +sg20
    +g21
    +((lp175
    +tp176
    +Rp177
    +sbsS'Affix'
    +p178
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g178
    +tp179
    +tp180
    +Rp181
    +(dp182
    +g10
    +g89
    +sg20
    +g21
    +((lp183
    +tp184
    +Rp185
    +sbsS'Name'
    +p186
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g186
    +tp187
    +tp188
    +Rp189
    +(dp190
    +g10
    +g89
    +sg20
    +g21
    +((lp191
    +tp192
    +Rp193
    +sbsS'Regex'
    +p194
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g194
    +tp195
    +tp196
    +Rp197
    +(dp198
    +g10
    +g89
    +sg20
    +g21
    +((lp199
    +tp200
    +Rp201
    +sbsS'Interp'
    +p202
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g202
    +tp203
    +tp204
    +Rp205
    +(dp206
    +g10
    +g89
    +sg20
    +g21
    +((lp207
    +tp208
    +Rp209
    +sbsS'Escape'
    +p210
    +g1
    +(g2
    +g3
    +(g29
    +g86
    +g210
    +tp211
    +tp212
    +Rp213
    +(dp214
    +g10
    +g89
    +sg20
    +g21
    +((lp215
    +tp216
    +Rp217
    +sbsg20
    +g21
    +((lp218
    +g133
    +ag117
    +ag197
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Doc'
    +p219
    +tp220
    +tp221
    +Rp222
    +(dp223
    +g10
    +g89
    +sg20
    +g21
    +((lp224
    +tp225
    +Rp226
    +sbag149
    +ag141
    +ag157
    +ag125
    +ag173
    +ag165
    +ag189
    +ag213
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Single'
    +p227
    +tp228
    +tp229
    +Rp230
    +(dp231
    +g10
    +g89
    +sg20
    +g21
    +((lp232
    +tp233
    +Rp234
    +sbag1
    +(g2
    +g3
    +(g29
    +g86
    +g70
    +tp235
    +tp236
    +Rp237
    +(dp238
    +g10
    +g89
    +sg20
    +g21
    +((lp239
    +tp240
    +Rp241
    +sbag205
    +ag101
    +ag181
    +ag1
    +(g2
    +g3
    +(g29
    +g86
    +S'Moment'
    +p242
    +tp243
    +tp244
    +Rp245
    +(dp246
    +g10
    +g89
    +sg20
    +g21
    +((lp247
    +tp248
    +Rp249
    +sbag93
    +ag109
    +atp250
    +Rp251
    +sg227
    +g230
    +sg242
    +g245
    +sg10
    +g52
    +sg70
    +g237
    +sg219
    +g222
    +sbsg20
    +g21
    +((lp252
    +g89
    +ag81
    +ag73
    +ag1
    +(g2
    +g3
    +(g29
    +S'Date'
    +p253
    +tp254
    +tp255
    +Rp256
    +(dp257
    +g10
    +g52
    +sg20
    +g21
    +((lp258
    +tp259
    +Rp260
    +sbag57
    +ag32
    +atp261
    +Rp262
    +sg253
    +g256
    +sbsS'Bin'
    +p263
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g263
    +tp264
    +tp265
    +Rp266
    +(dp267
    +g10
    +g32
    +sg20
    +g21
    +((lp268
    +tp269
    +Rp270
    +sbsS'Radix'
    +p271
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g271
    +tp272
    +tp273
    +Rp274
    +(dp275
    +g10
    +g32
    +sg20
    +g21
    +((lp276
    +tp277
    +Rp278
    +sbsS'Oct'
    +p279
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g279
    +tp280
    +tp281
    +Rp282
    +(dp283
    +g10
    +g32
    +sg20
    +g21
    +((lp284
    +tp285
    +Rp286
    +sbsS'Dec'
    +p287
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g287
    +tp288
    +tp289
    +Rp290
    +(dp291
    +g10
    +g32
    +sg20
    +g21
    +((lp292
    +tp293
    +Rp294
    +sbsS'Hex'
    +p295
    +g1
    +(g2
    +g3
    +(g29
    +g28
    +g295
    +tp296
    +tp297
    +Rp298
    +(dp299
    +g10
    +g32
    +sg20
    +g21
    +((lp300
    +tp301
    +Rp302
    +sbsg20
    +g21
    +((lp303
    +g37
    +ag274
    +ag290
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Decimal'
    +p304
    +tp305
    +tp306
    +Rp307
    +(dp308
    +g10
    +g32
    +sg20
    +g21
    +((lp309
    +tp310
    +Rp311
    +sbag266
    +ag1
    +(g2
    +g3
    +(g29
    +g28
    +S'Float'
    +p312
    +tp313
    +tp314
    +Rp315
    +(dp316
    +g10
    +g32
    +sg20
    +g21
    +((lp317
    +tp318
    +Rp319
    +sbag282
    +ag298
    +atp320
    +Rp321
    +sg304
    +g307
    +sg312
    +g315
    +sbsS'Generic'
    +p322
    +g1
    +(g2
    +g3
    +(g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g10
    +g26
    +sS'Deleted'
    +p327
    +g1
    +(g2
    +g3
    +(g322
    +g327
    +tp328
    +tp329
    +Rp330
    +(dp331
    +g10
    +g325
    +sg20
    +g21
    +((lp332
    +tp333
    +Rp334
    +sbsS'Subheading'
    +p335
    +g1
    +(g2
    +g3
    +(g322
    +g335
    +tp336
    +tp337
    +Rp338
    +(dp339
    +g10
    +g325
    +sg20
    +g21
    +((lp340
    +tp341
    +Rp342
    +sbsS'Heading'
    +p343
    +g1
    +(g2
    +g3
    +(g322
    +g343
    +tp344
    +tp345
    +Rp346
    +(dp347
    +g10
    +g325
    +sg20
    +g21
    +((lp348
    +tp349
    +Rp350
    +sbsS'Emph'
    +p351
    +g1
    +(g2
    +g3
    +(g322
    +g351
    +tp352
    +tp353
    +Rp354
    +(dp355
    +g10
    +g325
    +sg20
    +g21
    +((lp356
    +tp357
    +Rp358
    +sbsS'Prompt'
    +p359
    +g1
    +(g2
    +g3
    +(g322
    +g359
    +tp360
    +tp361
    +Rp362
    +(dp363
    +g10
    +g325
    +sg20
    +g21
    +((lp364
    +tp365
    +Rp366
    +sbsS'Inserted'
    +p367
    +g1
    +(g2
    +g3
    +(g322
    +g367
    +tp368
    +tp369
    +Rp370
    +(dp371
    +g10
    +g325
    +sg20
    +g21
    +((lp372
    +tp373
    +Rp374
    +sbsS'Strong'
    +p375
    +g1
    +(g2
    +g3
    +(g322
    +g375
    +tp376
    +tp377
    +Rp378
    +(dp379
    +g10
    +g325
    +sg20
    +g21
    +((lp380
    +tp381
    +Rp382
    +sbsS'Error'
    +p383
    +g1
    +(g2
    +g3
    +(g322
    +g383
    +tp384
    +tp385
    +Rp386
    +(dp387
    +g10
    +g325
    +sg20
    +g21
    +((lp388
    +tp389
    +Rp390
    +sbsS'Traceback'
    +p391
    +g1
    +(g2
    +g3
    +(g322
    +g391
    +tp392
    +tp393
    +Rp394
    +(dp395
    +g10
    +g325
    +sg20
    +g21
    +((lp396
    +tp397
    +Rp398
    +sbsg20
    +g21
    +((lp399
    +g346
    +ag338
    +ag1
    +(g2
    +g3
    +(g322
    +S'Output'
    +p400
    +tp401
    +tp402
    +Rp403
    +(dp404
    +g10
    +g325
    +sg20
    +g21
    +((lp405
    +tp406
    +Rp407
    +sbag378
    +ag354
    +ag386
    +ag394
    +ag370
    +ag362
    +ag330
    +atp408
    +Rp409
    +sg400
    +g403
    +sbsS'Operator'
    +p410
    +g1
    +(g2
    +g3
    +(g410
    +tp411
    +tp412
    +Rp413
    +(dp414
    +g10
    +g26
    +sS'DBS'
    +p415
    +g1
    +(g2
    +g3
    +(g410
    +g415
    +tp416
    +tp417
    +Rp418
    +(dp419
    +g10
    +g413
    +sg20
    +g21
    +((lp420
    +tp421
    +Rp422
    +sbsg20
    +g21
    +((lp423
    +g418
    +ag1
    +(g2
    +g3
    +(g410
    +S'Word'
    +p424
    +tp425
    +tp426
    +Rp427
    +(dp428
    +g10
    +g413
    +sg20
    +g21
    +((lp429
    +tp430
    +Rp431
    +sbatp432
    +Rp433
    +sg424
    +g427
    +sbsg86
    +g89
    +sg186
    +g1
    +(g2
    +g3
    +(g186
    +tp434
    +tp435
    +Rp436
    +(dp437
    +S'Variable'
    +p438
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g10
    +g436
    +sS'Class'
    +p443
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g443
    +tp444
    +tp445
    +Rp446
    +(dp447
    +g10
    +g441
    +sg20
    +g21
    +((lp448
    +tp449
    +Rp450
    +sbsS'Anonymous'
    +p451
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g451
    +tp452
    +tp453
    +Rp454
    +(dp455
    +g10
    +g441
    +sg20
    +g21
    +((lp456
    +tp457
    +Rp458
    +sbsS'Instance'
    +p459
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g459
    +tp460
    +tp461
    +Rp462
    +(dp463
    +g10
    +g441
    +sg20
    +g21
    +((lp464
    +tp465
    +Rp466
    +sbsS'Global'
    +p467
    +g1
    +(g2
    +g3
    +(g186
    +g438
    +g467
    +tp468
    +tp469
    +Rp470
    +(dp471
    +g10
    +g441
    +sg20
    +g21
    +((lp472
    +tp473
    +Rp474
    +sbsg20
    +g21
    +((lp475
    +g454
    +ag462
    +ag1
    +(g2
    +g3
    +(g186
    +g438
    +S'Magic'
    +p476
    +tp477
    +tp478
    +Rp479
    +(dp480
    +g10
    +g441
    +sg20
    +g21
    +((lp481
    +tp482
    +Rp483
    +sbag470
    +ag446
    +atp484
    +Rp485
    +sg476
    +g479
    +sbsg410
    +g1
    +(g2
    +g3
    +(g186
    +g410
    +tp486
    +tp487
    +Rp488
    +(dp489
    +g10
    +g436
    +sg20
    +g21
    +((lp490
    +tp491
    +Rp492
    +sbsS'Decorator'
    +p493
    +g1
    +(g2
    +g3
    +(g186
    +g493
    +tp494
    +tp495
    +Rp496
    +(dp497
    +g10
    +g436
    +sg20
    +g21
    +((lp498
    +tp499
    +Rp500
    +sbsS'Entity'
    +p501
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +tp502
    +tp503
    +Rp504
    +(dp505
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g501
    +g415
    +tp506
    +tp507
    +Rp508
    +(dp509
    +g10
    +g504
    +sg20
    +g21
    +((lp510
    +tp511
    +Rp512
    +sbsg20
    +g21
    +((lp513
    +g508
    +atp514
    +Rp515
    +sbsg114
    +g1
    +(g2
    +g3
    +(g186
    +g114
    +tp516
    +tp517
    +Rp518
    +(dp519
    +g10
    +g436
    +sg20
    +g21
    +((lp520
    +tp521
    +Rp522
    +sbsS'Property'
    +p523
    +g1
    +(g2
    +g3
    +(g186
    +g523
    +tp524
    +tp525
    +Rp526
    +(dp527
    +g10
    +g436
    +sg20
    +g21
    +((lp528
    +tp529
    +Rp530
    +sbsS'Pseudo'
    +p531
    +g1
    +(g2
    +g3
    +(g186
    +g531
    +tp532
    +tp533
    +Rp534
    +(dp535
    +g10
    +g436
    +sg20
    +g21
    +((lp536
    +tp537
    +Rp538
    +sbsS'Type'
    +p539
    +g1
    +(g2
    +g3
    +(g186
    +g539
    +tp540
    +tp541
    +Rp542
    +(dp543
    +g10
    +g436
    +sg20
    +g21
    +((lp544
    +tp545
    +Rp546
    +sbsS'Classes'
    +p547
    +g1
    +(g2
    +g3
    +(g186
    +g547
    +tp548
    +tp549
    +Rp550
    +(dp551
    +g10
    +g436
    +sg20
    +g21
    +((lp552
    +tp553
    +Rp554
    +sbsS'Tag'
    +p555
    +g1
    +(g2
    +g3
    +(g186
    +g555
    +tp556
    +tp557
    +Rp558
    +(dp559
    +g10
    +g436
    +sg20
    +g21
    +((lp560
    +tp561
    +Rp562
    +sbsS'Constant'
    +p563
    +g1
    +(g2
    +g3
    +(g186
    +g563
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g10
    +g436
    +sg20
    +g21
    +((lp568
    +tp569
    +Rp570
    +sbsS'Function'
    +p571
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +tp572
    +tp573
    +Rp574
    +(dp575
    +g10
    +g436
    +sg20
    +g21
    +((lp576
    +g1
    +(g2
    +g3
    +(g186
    +g571
    +g476
    +tp577
    +tp578
    +Rp579
    +(dp580
    +g10
    +g574
    +sg20
    +g21
    +((lp581
    +tp582
    +Rp583
    +sbatp584
    +Rp585
    +sg476
    +g579
    +sbsS'Blubb'
    +p586
    +g1
    +(g2
    +g3
    +(g186
    +g586
    +tp587
    +tp588
    +Rp589
    +(dp590
    +g10
    +g436
    +sg20
    +g21
    +((lp591
    +tp592
    +Rp593
    +sbsS'Label'
    +p594
    +g1
    +(g2
    +g3
    +(g186
    +g594
    +tp595
    +tp596
    +Rp597
    +(dp598
    +g10
    +g436
    +sg20
    +g21
    +((lp599
    +tp600
    +Rp601
    +sbsS'Field'
    +p602
    +g1
    +(g2
    +g3
    +(g186
    +g602
    +tp603
    +tp604
    +Rp605
    +(dp606
    +g10
    +g436
    +sg20
    +g21
    +((lp607
    +tp608
    +Rp609
    +sbsS'Exception'
    +p610
    +g1
    +(g2
    +g3
    +(g186
    +g610
    +tp611
    +tp612
    +Rp613
    +(dp614
    +g10
    +g436
    +sg20
    +g21
    +((lp615
    +tp616
    +Rp617
    +sbsS'Namespace'
    +p618
    +g1
    +(g2
    +g3
    +(g186
    +g618
    +tp619
    +tp620
    +Rp621
    +(dp622
    +g10
    +g436
    +sg20
    +g21
    +((lp623
    +tp624
    +Rp625
    +sbsg20
    +g21
    +((lp626
    +g496
    +ag589
    +ag534
    +ag504
    +ag441
    +ag613
    +ag526
    +ag558
    +ag574
    +ag550
    +ag1
    +(g2
    +g3
    +(g186
    +g443
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g10
    +g436
    +sg415
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +g415
    +tp631
    +tp632
    +Rp633
    +(dp634
    +g10
    +g629
    +sg20
    +g21
    +((lp635
    +tp636
    +Rp637
    +sbsg20
    +g21
    +((lp638
    +g1
    +(g2
    +g3
    +(g186
    +g443
    +S'Start'
    +p639
    +tp640
    +tp641
    +Rp642
    +(dp643
    +g10
    +g629
    +sg20
    +g21
    +((lp644
    +tp645
    +Rp646
    +sbag633
    +atp647
    +Rp648
    +sg639
    +g642
    +sbag1
    +(g2
    +g3
    +(g186
    +g70
    +tp649
    +tp650
    +Rp651
    +(dp652
    +g10
    +g436
    +sS'Member'
    +p653
    +g1
    +(g2
    +g3
    +(g186
    +g70
    +g653
    +tp654
    +tp655
    +Rp656
    +(dp657
    +g10
    +g651
    +sg20
    +g21
    +((lp658
    +tp659
    +Rp660
    +sbsg20
    +g21
    +((lp661
    +g656
    +atp662
    +Rp663
    +sbag597
    +ag488
    +ag621
    +ag1
    +(g2
    +g3
    +(g186
    +S'Attribute'
    +p664
    +tp665
    +tp666
    +Rp667
    +(dp668
    +g10
    +g436
    +sg438
    +g1
    +(g2
    +g3
    +(g186
    +g664
    +g438
    +tp669
    +tp670
    +Rp671
    +(dp672
    +g10
    +g667
    +sg20
    +g21
    +((lp673
    +tp674
    +Rp675
    +sbsg20
    +g21
    +((lp676
    +g671
    +atp677
    +Rp678
    +sbag566
    +ag1
    +(g2
    +g3
    +(g186
    +S'Builtin'
    +p679
    +tp680
    +tp681
    +Rp682
    +(dp683
    +g10
    +g436
    +sg539
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g539
    +tp684
    +tp685
    +Rp686
    +(dp687
    +g10
    +g682
    +sg20
    +g21
    +((lp688
    +tp689
    +Rp690
    +sbsg20
    +g21
    +((lp691
    +g1
    +(g2
    +g3
    +(g186
    +g679
    +g531
    +tp692
    +tp693
    +Rp694
    +(dp695
    +g10
    +g682
    +sg20
    +g21
    +((lp696
    +tp697
    +Rp698
    +sbag686
    +atp699
    +Rp700
    +sg531
    +g694
    +sbag605
    +ag542
    +ag518
    +atp701
    +Rp702
    +sg10
    +g26
    +sg443
    +g629
    +sg679
    +g682
    +sg664
    +g667
    +sg70
    +g651
    +sbsS'Punctuation'
    +p703
    +g1
    +(g2
    +g3
    +(g703
    +tp704
    +tp705
    +Rp706
    +(dp707
    +g10
    +g26
    +sg20
    +g21
    +((lp708
    +g1
    +(g2
    +g3
    +(g703
    +S'Indicator'
    +p709
    +tp710
    +tp711
    +Rp712
    +(dp713
    +g10
    +g706
    +sg20
    +g21
    +((lp714
    +tp715
    +Rp716
    +sbatp717
    +Rp718
    +sg709
    +g712
    +sbsg4
    +g13
    +sg29
    +g52
    +sg70
    +g1
    +(g2
    +g3
    +(g70
    +tp719
    +tp720
    +Rp721
    +(dp722
    +g10
    +g26
    +sg20
    +g21
    +((lp723
    +tp724
    +Rp725
    +sbsg383
    +g1
    +(g2
    +g3
    +(g383
    +tp726
    +tp727
    +Rp728
    +(dp729
    +g10
    +g26
    +sg20
    +g21
    +((lp730
    +tp731
    +Rp732
    +sbsS'Token'
    +p733
    +g26
    +sg210
    +g1
    +(g2
    +g3
    +(g210
    +tp734
    +tp735
    +Rp736
    +(dp737
    +g10
    +g26
    +sg20
    +g21
    +((lp738
    +tp739
    +Rp740
    +sbsg20
    +g21
    +((lp741
    +g436
    +ag721
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p742
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g10
    +g26
    +sg539
    +g1
    +(g2
    +g3
    +(g742
    +g539
    +tp747
    +tp748
    +Rp749
    +(dp750
    +g10
    +g745
    +sg20
    +g21
    +((lp751
    +tp752
    +Rp753
    +sbsS'Control'
    +p754
    +g1
    +(g2
    +g3
    +(g742
    +g754
    +tp755
    +tp756
    +Rp757
    +(dp758
    +g10
    +g745
    +sg20
    +g21
    +((lp759
    +tp760
    +Rp761
    +sbsg563
    +g1
    +(g2
    +g3
    +(g742
    +g563
    +tp762
    +tp763
    +Rp764
    +(dp765
    +g10
    +g745
    +sg20
    +g21
    +((lp766
    +tp767
    +Rp768
    +sbsg618
    +g1
    +(g2
    +g3
    +(g742
    +g618
    +tp769
    +tp770
    +Rp771
    +(dp772
    +g10
    +g745
    +sg20
    +g21
    +((lp773
    +tp774
    +Rp775
    +sbsS'PreProc'
    +p776
    +g1
    +(g2
    +g3
    +(g742
    +g776
    +tp777
    +tp778
    +Rp779
    +(dp780
    +g10
    +g745
    +sg20
    +g21
    +((lp781
    +tp782
    +Rp783
    +sbsg531
    +g1
    +(g2
    +g3
    +(g742
    +g531
    +tp784
    +tp785
    +Rp786
    +(dp787
    +g10
    +g745
    +sg20
    +g21
    +((lp788
    +tp789
    +Rp790
    +sbsS'Reserved'
    +p791
    +g1
    +(g2
    +g3
    +(g742
    +g791
    +tp792
    +tp793
    +Rp794
    +(dp795
    +g10
    +g745
    +sg20
    +g21
    +((lp796
    +tp797
    +Rp798
    +sbsg20
    +g21
    +((lp799
    +g771
    +ag1
    +(g2
    +g3
    +(g742
    +g424
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g10
    +g745
    +sg20
    +g21
    +((lp804
    +tp805
    +Rp806
    +sbag757
    +ag1
    +(g2
    +g3
    +(g742
    +S'Declaration'
    +p807
    +tp808
    +tp809
    +Rp810
    +(dp811
    +g10
    +g745
    +sg20
    +g21
    +((lp812
    +tp813
    +Rp814
    +sbag1
    +(g2
    +g3
    +(g742
    +g742
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g10
    +g745
    +sg20
    +g21
    +((lp819
    +tp820
    +Rp821
    +sbag786
    +ag764
    +ag749
    +ag794
    +ag779
    +atp822
    +Rp823
    +sg742
    +g817
    +sg807
    +g810
    +sg424
    +g802
    +sbag325
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p824
    +tp825
    +tp826
    +Rp827
    +(dp828
    +S'Beer'
    +p829
    +g1
    +(g2
    +g3
    +(g824
    +g829
    +tp830
    +tp831
    +Rp832
    +(dp833
    +g10
    +g827
    +sg20
    +g21
    +((lp834
    +tp835
    +Rp836
    +sbsS'Whitespace'
    +p837
    +g1
    +(g2
    +g3
    +(g824
    +g837
    +tp838
    +tp839
    +Rp840
    +(dp841
    +g10
    +g827
    +sg20
    +g21
    +((lp842
    +tp843
    +Rp844
    +sbsg10
    +g26
    +sS'Root'
    +p845
    +g1
    +(g2
    +g3
    +(g824
    +g845
    +tp846
    +tp847
    +Rp848
    +(dp849
    +g10
    +g827
    +sg20
    +g21
    +((lp850
    +tp851
    +Rp852
    +sbsg114
    +g1
    +(g2
    +g3
    +(g824
    +g114
    +tp853
    +tp854
    +Rp855
    +(dp856
    +g10
    +g827
    +sg20
    +g21
    +((lp857
    +tp858
    +Rp859
    +sbsg703
    +g1
    +(g2
    +g3
    +(g824
    +g703
    +tp860
    +tp861
    +Rp862
    +(dp863
    +g10
    +g827
    +sg20
    +g21
    +((lp864
    +tp865
    +Rp866
    +sbsg20
    +g21
    +((lp867
    +g848
    +ag855
    +ag862
    +ag840
    +ag832
    +ag1
    +(g2
    +g3
    +(g824
    +S'Rag'
    +p868
    +tp869
    +tp870
    +Rp871
    +(dp872
    +g10
    +g827
    +sg20
    +g21
    +((lp873
    +tp874
    +Rp875
    +sbatp876
    +Rp877
    +sg868
    +g871
    +sbag413
    +ag736
    +ag706
    +ag13
    +ag728
    +ag52
    +atp878
    +Rp879
    +sg742
    +g745
    +sg824
    +g827
    +sbsS'Special'
    +p880
    +g1
    +(g2
    +g3
    +(g4
    +g880
    +tp881
    +tp882
    +Rp883
    +(dp884
    +g10
    +g13
    +sg20
    +g21
    +((lp885
    +tp886
    +Rp887
    +sbsS'Hashbang'
    +p888
    +g1
    +(g2
    +g3
    +(g4
    +g888
    +tp889
    +tp890
    +Rp891
    +(dp892
    +g10
    +g13
    +sg20
    +g21
    +((lp893
    +tp894
    +Rp895
    +sbsg5
    +g8
    +sg227
    +g1
    +(g2
    +g3
    +(g4
    +g227
    +tp896
    +tp897
    +Rp898
    +(dp899
    +g10
    +g13
    +sg20
    +g21
    +((lp900
    +tp901
    +Rp902
    +sbsS'Directive'
    +p903
    +g1
    +(g2
    +g3
    +(g4
    +g903
    +tp904
    +tp905
    +Rp906
    +(dp907
    +g10
    +g13
    +sg20
    +g21
    +((lp908
    +tp909
    +Rp910
    +sbsg219
    +g1
    +(g2
    +g3
    +(g4
    +g219
    +tp911
    +tp912
    +Rp913
    +(dp914
    +g10
    +g13
    +sg20
    +g21
    +((lp915
    +tp916
    +Rp917
    +sbsS'Singleline'
    +p918
    +g1
    +(g2
    +g3
    +(g4
    +g918
    +tp919
    +tp920
    +Rp921
    +(dp922
    +g10
    +g13
    +sg20
    +g21
    +((lp923
    +tp924
    +Rp925
    +sbsS'Multiline'
    +p926
    +g1
    +(g2
    +g3
    +(g4
    +g926
    +tp927
    +tp928
    +Rp929
    +(dp930
    +g10
    +g13
    +sg20
    +g21
    +((lp931
    +tp932
    +Rp933
    +sbsg20
    +g21
    +((lp934
    +g913
    +ag906
    +ag891
    +ag18
    +ag921
    +ag8
    +ag929
    +ag898
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p935
    +tp936
    +tp937
    +Rp938
    +(dp939
    +g10
    +g13
    +sg20
    +g21
    +((lp940
    +tp941
    +Rp942
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p943
    +tp944
    +tp945
    +Rp946
    +(dp947
    +g10
    +g13
    +sg20
    +g21
    +((lp948
    +tp949
    +Rp950
    +sbag883
    +atp951
    +Rp952
    +sg935
    +g938
    +sg943
    +g946
    +sbsg20
    +g21
    +((lp953
    +tp954
    +Rp955
    +sbV#
    +p956
    +tp957
    +a(g8
    +Vinclude 
    +p958
    +tp959
    +a(g8
    +V\u000a
    +p960
    +tp961
    +a(g827
    +V\u000a
    +p962
    +tp963
    +a(g898
    +V// Single line comment\u000a
    +p964
    +tp965
    +a(g929
    +V/* Multi line\u000a   comment */
    +p966
    +tp967
    +a(g827
    +V\u000a
    +p968
    +tp969
    +a(g827
    +V\u000a
    +p970
    +tp971
    +a(g898
    +V/// documentation\u000a
    +p972
    +tp973
    +a(g929
    +V/**\u000a\u000a	documentation multi line\u000a	\u000a**/
    +p974
    +tp975
    +a(g827
    +V\u000a
    +p976
    +tp977
    +a(g827
    +V\u000a
    +p978
    +tp979
    +a(g745
    +Vpublic
    +p980
    +tp981
    +a(g827
    +V 
    +p982
    +tp983
    +a(g436
    +VOnGameModeInit
    +p984
    +tp985
    +a(g706
    +V(
    +p986
    +tp987
    +a(g706
    +V)
    +p988
    +tp989
    +a(g827
    +g982
    +tp990
    +a(g706
    +V{
    +p991
    +tp992
    +a(g827
    +V\u000a
    +p993
    +tp994
    +a(g827
    +V    
    +p995
    +tp996
    +a(g436
    +Vprintf
    +p997
    +tp998
    +a(g706
    +g986
    +tp999
    +a(g89
    +V"
    +p1000
    +tp1001
    +a(g89
    +VHello, World!
    +p1002
    +tp1003
    +a(g89
    +g1000
    +tp1004
    +a(g706
    +g988
    +tp1005
    +a(g706
    +V;
    +p1006
    +tp1007
    +a(g827
    +V\u000a
    +p1008
    +tp1009
    +a(g706
    +V}
    +p1010
    +tp1011
    +a(g827
    +V\u000a
    +p1012
    +tp1013
    +a(g827
    +V\u000a
    +p1014
    +tp1015
    +a(g745
    +Venum
    +p1016
    +tp1017
    +a(g827
    +g982
    +tp1018
    +a(g436
    +Vinfo
    +p1019
    +tp1020
    +a(g827
    +g982
    +tp1021
    +a(g706
    +g991
    +tp1022
    +a(g827
    +V\u000a
    +p1023
    +tp1024
    +a(g827
    +V	
    +p1025
    +tp1026
    +a(g749
    +VFloat
    +p1027
    +tp1028
    +a(g413
    +V:
    +p1029
    +tp1030
    +a(g436
    +Vex
    +p1031
    +tp1032
    +a(g706
    +g1006
    +tp1033
    +a(g827
    +V\u000a
    +p1034
    +tp1035
    +a(g827
    +g1025
    +tp1036
    +a(g436
    +Vexa
    +p1037
    +tp1038
    +a(g706
    +V,
    +p1039
    +tp1040
    +a(g827
    +V\u000a
    +p1041
    +tp1042
    +a(g827
    +g1025
    +tp1043
    +a(g436
    +Vexam
    +p1044
    +tp1045
    +a(g706
    +V[
    +p1046
    +tp1047
    +a(g37
    +V5
    +p1048
    +tp1049
    +a(g706
    +V]
    +p1050
    +tp1051
    +a(g706
    +g1039
    +tp1052
    +a(g827
    +V\u000a
    +p1053
    +tp1054
    +a(g706
    +g1010
    +tp1055
    +a(g827
    +V\u000a
    +p1056
    +tp1057
    +a(g745
    +Vnew
    +p1058
    +tp1059
    +a(g827
    +g982
    +tp1060
    +a(g436
    +Varr
    +p1061
    +tp1062
    +a(g706
    +g1046
    +tp1063
    +a(g37
    +g1048
    +tp1064
    +a(g706
    +g1050
    +tp1065
    +a(g706
    +g1046
    +tp1066
    +a(g436
    +Vinfo
    +p1067
    +tp1068
    +a(g706
    +g1050
    +tp1069
    +a(g706
    +g1006
    +tp1070
    +a(g827
    +V\u000a
    +p1071
    +tp1072
    +a(g827
    +V\u000a
    +p1073
    +tp1074
    +a(g436
    +Vstock
    +p1075
    +tp1076
    +a(g827
    +g982
    +tp1077
    +a(g749
    +VFloat
    +p1078
    +tp1079
    +a(g413
    +g1029
    +tp1080
    +a(g436
    +Vtest_func
    +p1081
    +tp1082
    +a(g706
    +g986
    +tp1083
    +a(g706
    +g988
    +tp1084
    +a(g827
    +V\u000a
    +p1085
    +tp1086
    +a(g706
    +g991
    +tp1087
    +a(g827
    +V\u000a
    +p1088
    +tp1089
    +a(g827
    +g1025
    +tp1090
    +a(g745
    +Vnew
    +p1091
    +tp1092
    +a(g827
    +g982
    +tp1093
    +a(g436
    +Va
    +p1094
    +tp1095
    +a(g827
    +g982
    +tp1096
    +a(g413
    +V=
    +p1097
    +tp1098
    +a(g827
    +g982
    +tp1099
    +a(g37
    +g1048
    +tp1100
    +a(g706
    +g1039
    +tp1101
    +a(g827
    +g982
    +tp1102
    +a(g749
    +VFloat
    +p1103
    +tp1104
    +a(g413
    +g1029
    +tp1105
    +a(g436
    +Vb
    +p1106
    +tp1107
    +a(g827
    +g982
    +tp1108
    +a(g413
    +g1097
    +tp1109
    +a(g827
    +g982
    +tp1110
    +a(g315
    +V10.3
    +p1111
    +tp1112
    +a(g706
    +g1006
    +tp1113
    +a(g827
    +V\u000a
    +p1114
    +tp1115
    +a(g827
    +g1025
    +tp1116
    +a(g745
    +Vif
    +p1117
    +tp1118
    +a(g827
    +g982
    +tp1119
    +a(g706
    +g986
    +tp1120
    +a(g436
    +g1094
    +tp1121
    +a(g827
    +g982
    +tp1122
    +a(g413
    +g1097
    +tp1123
    +a(g413
    +g1097
    +tp1124
    +a(g827
    +g982
    +tp1125
    +a(g436
    +g1106
    +tp1126
    +a(g706
    +g988
    +tp1127
    +a(g827
    +g982
    +tp1128
    +a(g706
    +g991
    +tp1129
    +a(g827
    +V\u000a
    +p1130
    +tp1131
    +a(g827
    +V		\u000a	
    +p1132
    +tp1133
    +a(g706
    +g1010
    +tp1134
    +a(g827
    +g982
    +tp1135
    +a(g745
    +Velse
    +p1136
    +tp1137
    +a(g827
    +g982
    +tp1138
    +a(g706
    +g991
    +tp1139
    +a(g827
    +V\u000a
    +p1140
    +tp1141
    +a(g827
    +V		\u000a	
    +p1142
    +tp1143
    +a(g706
    +g1010
    +tp1144
    +a(g827
    +V\u000a
    +p1145
    +tp1146
    +a(g827
    +V	\u000a	
    +p1147
    +tp1148
    +a(g745
    +Vfor
    +p1149
    +tp1150
    +a(g827
    +g982
    +tp1151
    +a(g706
    +g986
    +tp1152
    +a(g745
    +Vnew
    +p1153
    +tp1154
    +a(g827
    +g982
    +tp1155
    +a(g436
    +Vi
    +p1156
    +tp1157
    +a(g827
    +g982
    +tp1158
    +a(g413
    +g1097
    +tp1159
    +a(g827
    +g982
    +tp1160
    +a(g37
    +V0
    +p1161
    +tp1162
    +a(g706
    +g1006
    +tp1163
    +a(g827
    +g982
    +tp1164
    +a(g436
    +g1156
    +tp1165
    +a(g827
    +g982
    +tp1166
    +a(g413
    +V<
    +p1167
    +tp1168
    +a(g827
    +g982
    +tp1169
    +a(g37
    +V10
    +p1170
    +tp1171
    +a(g706
    +g1006
    +tp1172
    +a(g827
    +g982
    +tp1173
    +a(g436
    +g1156
    +tp1174
    +a(g413
    +V+
    +p1175
    +tp1176
    +a(g413
    +g1175
    +tp1177
    +a(g706
    +g988
    +tp1178
    +a(g827
    +g982
    +tp1179
    +a(g706
    +g991
    +tp1180
    +a(g827
    +V\u000a
    +p1181
    +tp1182
    +a(g827
    +V		
    +p1183
    +tp1184
    +a(g745
    +Vcontinue
    +p1185
    +tp1186
    +a(g706
    +g1006
    +tp1187
    +a(g827
    +V\u000a
    +p1188
    +tp1189
    +a(g827
    +g1025
    +tp1190
    +a(g706
    +g1010
    +tp1191
    +a(g827
    +V\u000a
    +p1192
    +tp1193
    +a(g827
    +V	\u000a	
    +p1194
    +tp1195
    +a(g745
    +Vdo
    +p1196
    +tp1197
    +a(g827
    +g982
    +tp1198
    +a(g706
    +g991
    +tp1199
    +a(g827
    +V\u000a
    +p1200
    +tp1201
    +a(g827
    +V		
    +p1202
    +tp1203
    +a(g436
    +g1094
    +tp1204
    +a(g413
    +V-
    +p1205
    +tp1206
    +a(g413
    +g1205
    +tp1207
    +a(g706
    +g1006
    +tp1208
    +a(g827
    +V\u000a
    +p1209
    +tp1210
    +a(g827
    +g1025
    +tp1211
    +a(g706
    +g1010
    +tp1212
    +a(g827
    +g982
    +tp1213
    +a(g745
    +Vwhile
    +p1214
    +tp1215
    +a(g827
    +g982
    +tp1216
    +a(g706
    +g986
    +tp1217
    +a(g436
    +g1094
    +tp1218
    +a(g827
    +g982
    +tp1219
    +a(g413
    +V>
    +p1220
    +tp1221
    +a(g827
    +g982
    +tp1222
    +a(g37
    +g1161
    +tp1223
    +a(g706
    +g988
    +tp1224
    +a(g706
    +g1006
    +tp1225
    +a(g827
    +V\u000a
    +p1226
    +tp1227
    +a(g827
    +V	\u000a	
    +p1228
    +tp1229
    +a(g745
    +Vwhile
    +p1230
    +tp1231
    +a(g827
    +g982
    +tp1232
    +a(g706
    +g986
    +tp1233
    +a(g436
    +g1094
    +tp1234
    +a(g827
    +g982
    +tp1235
    +a(g413
    +g1167
    +tp1236
    +a(g827
    +g982
    +tp1237
    +a(g37
    +g1048
    +tp1238
    +a(g706
    +g988
    +tp1239
    +a(g827
    +g982
    +tp1240
    +a(g706
    +g991
    +tp1241
    +a(g827
    +V\u000a
    +p1242
    +tp1243
    +a(g827
    +V		
    +p1244
    +tp1245
    +a(g436
    +g1094
    +tp1246
    +a(g413
    +g1175
    +tp1247
    +a(g413
    +g1175
    +tp1248
    +a(g706
    +g1006
    +tp1249
    +a(g827
    +V\u000a
    +p1250
    +tp1251
    +a(g827
    +V		
    +p1252
    +tp1253
    +a(g745
    +Vbreak
    +p1254
    +tp1255
    +a(g706
    +g1006
    +tp1256
    +a(g827
    +V\u000a
    +p1257
    +tp1258
    +a(g827
    +g1025
    +tp1259
    +a(g706
    +g1010
    +tp1260
    +a(g827
    +V\u000a
    +p1261
    +tp1262
    +a(g827
    +V	\u000a	
    +p1263
    +tp1264
    +a(g745
    +Vswitch
    +p1265
    +tp1266
    +a(g827
    +g982
    +tp1267
    +a(g706
    +g986
    +tp1268
    +a(g436
    +g1094
    +tp1269
    +a(g706
    +g988
    +tp1270
    +a(g827
    +g982
    +tp1271
    +a(g706
    +g991
    +tp1272
    +a(g827
    +V\u000a
    +p1273
    +tp1274
    +a(g827
    +V		
    +p1275
    +tp1276
    +a(g745
    +Vcase
    +p1277
    +tp1278
    +a(g827
    +g982
    +tp1279
    +a(g37
    +g1161
    +tp1280
    +a(g413
    +g1029
    +tp1281
    +a(g827
    +g982
    +tp1282
    +a(g706
    +g991
    +tp1283
    +a(g827
    +V\u000a
    +p1284
    +tp1285
    +a(g827
    +V		
    +p1286
    +tp1287
    +a(g706
    +g1010
    +tp1288
    +a(g827
    +V\u000a
    +p1289
    +tp1290
    +a(g827
    +V		
    +p1291
    +tp1292
    +a(g745
    +Vcase
    +p1293
    +tp1294
    +a(g827
    +g982
    +tp1295
    +a(g315
    +V0.
    +p1296
    +tp1297
    +a(g315
    +V.4
    +p1298
    +tp1299
    +a(g413
    +g1029
    +tp1300
    +a(g827
    +g982
    +tp1301
    +a(g706
    +g991
    +tp1302
    +a(g827
    +V\u000a
    +p1303
    +tp1304
    +a(g827
    +V		
    +p1305
    +tp1306
    +a(g706
    +g1010
    +tp1307
    +a(g827
    +V\u000a
    +p1308
    +tp1309
    +a(g827
    +V		
    +p1310
    +tp1311
    +a(g745
    +Vcase
    +p1312
    +tp1313
    +a(g827
    +g982
    +tp1314
    +a(g37
    +g1048
    +tp1315
    +a(g706
    +g1039
    +tp1316
    +a(g827
    +g982
    +tp1317
    +a(g37
    +V6
    +p1318
    +tp1319
    +a(g413
    +g1029
    +tp1320
    +a(g827
    +g982
    +tp1321
    +a(g706
    +g991
    +tp1322
    +a(g827
    +V\u000a
    +p1323
    +tp1324
    +a(g827
    +V		
    +p1325
    +tp1326
    +a(g706
    +g1010
    +tp1327
    +a(g827
    +V\u000a
    +p1328
    +tp1329
    +a(g827
    +g1025
    +tp1330
    +a(g706
    +g1010
    +tp1331
    +a(g827
    +V\u000a
    +p1332
    +tp1333
    +a(g827
    +V	\u000a	
    +p1334
    +tp1335
    +a(g745
    +Vstatic
    +p1336
    +tp1337
    +a(g827
    +g982
    +tp1338
    +a(g436
    +Vx
    +p1339
    +tp1340
    +a(g706
    +g1006
    +tp1341
    +a(g827
    +V\u000a
    +p1342
    +tp1343
    +a(g827
    +g1025
    +tp1344
    +a(g745
    +Vnew
    +p1345
    +tp1346
    +a(g827
    +g982
    +tp1347
    +a(g436
    +Vxx
    +p1348
    +tp1349
    +a(g827
    +g982
    +tp1350
    +a(g413
    +g1097
    +tp1351
    +a(g827
    +g982
    +tp1352
    +a(g436
    +g1094
    +tp1353
    +a(g827
    +g982
    +tp1354
    +a(g413
    +g1220
    +tp1355
    +a(g827
    +g982
    +tp1356
    +a(g37
    +g1048
    +tp1357
    +a(g827
    +g982
    +tp1358
    +a(g413
    +V?
    +p1359
    +tp1360
    +a(g827
    +g982
    +tp1361
    +a(g37
    +g1048
    +tp1362
    +a(g827
    +g982
    +tp1363
    +a(g413
    +g1029
    +tp1364
    +a(g827
    +g982
    +tp1365
    +a(g37
    +g1161
    +tp1366
    +a(g706
    +g1006
    +tp1367
    +a(g827
    +V\u000a
    +p1368
    +tp1369
    +a(g827
    +g1025
    +tp1370
    +a(g745
    +Vnew
    +p1371
    +tp1372
    +a(g827
    +g982
    +tp1373
    +a(g436
    +Varray
    +p1374
    +tp1375
    +a(g706
    +g1046
    +tp1376
    +a(g745
    +Vsizeof
    +p1377
    +tp1378
    +a(g827
    +g982
    +tp1379
    +a(g436
    +Varr
    +p1380
    +tp1381
    +a(g706
    +g1050
    +tp1382
    +a(g827
    +g982
    +tp1383
    +a(g413
    +g1097
    +tp1384
    +a(g827
    +g982
    +tp1385
    +a(g706
    +g991
    +tp1386
    +a(g37
    +g1161
    +tp1387
    +a(g706
    +g1010
    +tp1388
    +a(g706
    +g1006
    +tp1389
    +a(g827
    +V\u000a
    +p1390
    +tp1391
    +a(g827
    +g1025
    +tp1392
    +a(g745
    +Vtagof
    +p1393
    +tp1394
    +a(g827
    +g982
    +tp1395
    +a(g436
    +g1094
    +tp1396
    +a(g706
    +g1006
    +tp1397
    +a(g827
    +V\u000a
    +p1398
    +tp1399
    +a(g827
    +g1025
    +tp1400
    +a(g745
    +Vstate
    +p1401
    +tp1402
    +a(g827
    +g982
    +tp1403
    +a(g436
    +g1094
    +tp1404
    +a(g706
    +g1006
    +tp1405
    +a(g827
    +V\u000a
    +p1406
    +tp1407
    +a(g827
    +g1025
    +tp1408
    +a(g745
    +Vgoto
    +p1409
    +tp1410
    +a(g827
    +g982
    +tp1411
    +a(g436
    +Vlabel
    +p1412
    +tp1413
    +a(g706
    +g1006
    +tp1414
    +a(g827
    +V\u000a
    +p1415
    +tp1416
    +a(g827
    +g1025
    +tp1417
    +a(g745
    +Vnew
    +p1418
    +tp1419
    +a(g827
    +g982
    +tp1420
    +a(g436
    +Vbyte
    +p1421
    +tp1422
    +a(g706
    +g1046
    +tp1423
    +a(g37
    +V2
    +p1424
    +tp1425
    +a(g827
    +g982
    +tp1426
    +a(g745
    +Vchar
    +p1427
    +tp1428
    +a(g706
    +g1050
    +tp1429
    +a(g706
    +g1006
    +tp1430
    +a(g827
    +V\u000a
    +p1431
    +tp1432
    +a(g827
    +g1025
    +tp1433
    +a(g436
    +Vbyte
    +p1434
    +tp1435
    +a(g706
    +g991
    +tp1436
    +a(g37
    +g1161
    +tp1437
    +a(g706
    +g1010
    +tp1438
    +a(g827
    +g982
    +tp1439
    +a(g413
    +g1097
    +tp1440
    +a(g827
    +g982
    +tp1441
    +a(g93
    +V'a'
    +p1442
    +tp1443
    +a(g706
    +g1006
    +tp1444
    +a(g827
    +V\u000a
    +p1445
    +tp1446
    +a(g827
    +V	\u000a	
    +p1447
    +tp1448
    +a(g745
    +Vreturn
    +p1449
    +tp1450
    +a(g827
    +g982
    +tp1451
    +a(g706
    +g986
    +tp1452
    +a(g436
    +Vfloat
    +p1453
    +tp1454
    +a(g706
    +g986
    +tp1455
    +a(g436
    +g1094
    +tp1456
    +a(g706
    +g988
    +tp1457
    +a(g827
    +g982
    +tp1458
    +a(g413
    +g1175
    +tp1459
    +a(g827
    +g982
    +tp1460
    +a(g436
    +g1106
    +tp1461
    +a(g706
    +g988
    +tp1462
    +a(g706
    +g1006
    +tp1463
    +a(g827
    +V\u000a
    +p1464
    +tp1465
    +a(g706
    +g1010
    +tp1466
    +a(g827
    +V\u000a
    +p1467
    +tp1468
    +a(g827
    +V\u000a
    +p1469
    +tp1470
    +a(g827
    +V\u000a
    +p1471
    +tp1472
    +a(g898
    +V// float.inc\u000a
    +p1473
    +tp1474
    +a(g929
    +V/* Float arithmetic\u000a *\u000a * (c) Copyright 1999, Artran, Inc.\u000a * Written by Greg Garner (gmg@artran.com)\u000a * Modified in March 2001 to include user defined\u000a * operators for the floating point functions.\u000a *\u000a * This file is provided as is (no warranties).\u000a */
    +p1475
    +tp1476
    +a(g827
    +V\u000a
    +p1477
    +tp1478
    +a(g8
    +g956
    +tp1479
    +a(g8
    +Vif defined _Float_included
    +p1480
    +tp1481
    +a(g8
    +V\u000a
    +p1482
    +tp1483
    +a(g8
    +V  #
    +p1484
    +tp1485
    +a(g8
    +Vendinput
    +p1486
    +tp1487
    +a(g8
    +V\u000a
    +p1488
    +tp1489
    +a(g8
    +g956
    +tp1490
    +a(g8
    +Vendif
    +p1491
    +tp1492
    +a(g8
    +V\u000a
    +p1493
    +tp1494
    +a(g8
    +g956
    +tp1495
    +a(g8
    +Vdefine _Float_included
    +p1496
    +tp1497
    +a(g8
    +V\u000a
    +p1498
    +tp1499
    +a(g8
    +g956
    +tp1500
    +a(g8
    +Vpragma library Float
    +p1501
    +tp1502
    +a(g8
    +V\u000a
    +p1503
    +tp1504
    +a(g827
    +V\u000a
    +p1505
    +tp1506
    +a(g929
    +V/* Different methods of rounding */
    +p1507
    +tp1508
    +a(g827
    +V\u000a
    +p1509
    +tp1510
    +a(g745
    +Venum
    +p1511
    +tp1512
    +a(g827
    +g982
    +tp1513
    +a(g436
    +Vfloatround_method
    +p1514
    +tp1515
    +a(g827
    +g982
    +tp1516
    +a(g706
    +g991
    +tp1517
    +a(g827
    +V\u000a
    +p1518
    +tp1519
    +a(g827
    +V  
    +p1520
    +tp1521
    +a(g436
    +Vfloatround_round
    +p1522
    +tp1523
    +a(g706
    +g1039
    +tp1524
    +a(g827
    +V\u000a
    +p1525
    +tp1526
    +a(g827
    +V  
    +p1527
    +tp1528
    +a(g436
    +Vfloatround_floor
    +p1529
    +tp1530
    +a(g706
    +g1039
    +tp1531
    +a(g827
    +V\u000a
    +p1532
    +tp1533
    +a(g827
    +V  
    +p1534
    +tp1535
    +a(g436
    +Vfloatround_ceil
    +p1536
    +tp1537
    +a(g706
    +g1039
    +tp1538
    +a(g827
    +V\u000a
    +p1539
    +tp1540
    +a(g827
    +V  
    +p1541
    +tp1542
    +a(g436
    +Vfloatround_tozero
    +p1543
    +tp1544
    +a(g706
    +g1039
    +tp1545
    +a(g827
    +V\u000a
    +p1546
    +tp1547
    +a(g827
    +V  
    +p1548
    +tp1549
    +a(g436
    +Vfloatround_unbiased
    +p1550
    +tp1551
    +a(g827
    +V\u000a
    +p1552
    +tp1553
    +a(g706
    +g1010
    +tp1554
    +a(g827
    +V\u000a
    +p1555
    +tp1556
    +a(g745
    +Venum
    +p1557
    +tp1558
    +a(g827
    +g982
    +tp1559
    +a(g436
    +Vanglemode
    +p1560
    +tp1561
    +a(g827
    +g982
    +tp1562
    +a(g706
    +g991
    +tp1563
    +a(g827
    +V\u000a
    +p1564
    +tp1565
    +a(g827
    +V  
    +p1566
    +tp1567
    +a(g436
    +Vradian
    +p1568
    +tp1569
    +a(g706
    +g1039
    +tp1570
    +a(g827
    +V\u000a
    +p1571
    +tp1572
    +a(g827
    +V  
    +p1573
    +tp1574
    +a(g436
    +Vdegrees
    +p1575
    +tp1576
    +a(g706
    +g1039
    +tp1577
    +a(g827
    +V\u000a
    +p1578
    +tp1579
    +a(g827
    +V  
    +p1580
    +tp1581
    +a(g436
    +Vgrades
    +p1582
    +tp1583
    +a(g827
    +V\u000a
    +p1584
    +tp1585
    +a(g706
    +g1010
    +tp1586
    +a(g827
    +V\u000a
    +p1587
    +tp1588
    +a(g827
    +V\u000a
    +p1589
    +tp1590
    +a(g929
    +V/**************************************************/
    +p1591
    +tp1592
    +a(g827
    +V\u000a
    +p1593
    +tp1594
    +a(g929
    +V/* Convert an integer into a floating point value */
    +p1595
    +tp1596
    +a(g827
    +V\u000a
    +p1597
    +tp1598
    +a(g436
    +Vnative
    +p1599
    +tp1600
    +a(g827
    +g982
    +tp1601
    +a(g749
    +VFloat
    +p1602
    +tp1603
    +a(g413
    +g1029
    +tp1604
    +a(g436
    +Vfloat
    +p1605
    +tp1606
    +a(g706
    +g986
    +tp1607
    +a(g436
    +Vvalue
    +p1608
    +tp1609
    +a(g706
    +g988
    +tp1610
    +a(g706
    +g1006
    +tp1611
    +a(g827
    +V\u000a
    +p1612
    +tp1613
    +a(g827
    +V\u000a
    +p1614
    +tp1615
    +a(g929
    +V/**************************************************/
    +p1616
    +tp1617
    +a(g827
    +V\u000a
    +p1618
    +tp1619
    +a(g929
    +V/* Convert a string into a floating point value */
    +p1620
    +tp1621
    +a(g827
    +V\u000a
    +p1622
    +tp1623
    +a(g436
    +Vnative
    +p1624
    +tp1625
    +a(g827
    +g982
    +tp1626
    +a(g749
    +VFloat
    +p1627
    +tp1628
    +a(g413
    +g1029
    +tp1629
    +a(g436
    +Vfloatstr
    +p1630
    +tp1631
    +a(g706
    +g986
    +tp1632
    +a(g745
    +Vconst
    +p1633
    +tp1634
    +a(g827
    +g982
    +tp1635
    +a(g436
    +Vstring
    +p1636
    +tp1637
    +a(g706
    +g1046
    +tp1638
    +a(g706
    +g1050
    +tp1639
    +a(g706
    +g988
    +tp1640
    +a(g706
    +g1006
    +tp1641
    +a(g827
    +V\u000a
    +p1642
    +tp1643
    +a(g827
    +V\u000a
    +p1644
    +tp1645
    +a(g929
    +V/**************************************************/
    +p1646
    +tp1647
    +a(g827
    +V\u000a
    +p1648
    +tp1649
    +a(g929
    +V/* Multiple two floats together */
    +p1650
    +tp1651
    +a(g827
    +V\u000a
    +p1652
    +tp1653
    +a(g436
    +Vnative
    +p1654
    +tp1655
    +a(g827
    +g982
    +tp1656
    +a(g749
    +VFloat
    +p1657
    +tp1658
    +a(g413
    +g1029
    +tp1659
    +a(g436
    +Vfloatmul
    +p1660
    +tp1661
    +a(g706
    +g986
    +tp1662
    +a(g749
    +VFloat
    +p1663
    +tp1664
    +a(g413
    +g1029
    +tp1665
    +a(g436
    +Voper1
    +p1666
    +tp1667
    +a(g706
    +g1039
    +tp1668
    +a(g827
    +g982
    +tp1669
    +a(g749
    +VFloat
    +p1670
    +tp1671
    +a(g413
    +g1029
    +tp1672
    +a(g436
    +Voper2
    +p1673
    +tp1674
    +a(g706
    +g988
    +tp1675
    +a(g706
    +g1006
    +tp1676
    +a(g827
    +V\u000a
    +p1677
    +tp1678
    +a(g827
    +V\u000a
    +p1679
    +tp1680
    +a(g929
    +V/**************************************************/
    +p1681
    +tp1682
    +a(g827
    +V\u000a
    +p1683
    +tp1684
    +a(g929
    +V/* Divide the dividend float by the divisor float */
    +p1685
    +tp1686
    +a(g827
    +V\u000a
    +p1687
    +tp1688
    +a(g436
    +Vnative
    +p1689
    +tp1690
    +a(g827
    +g982
    +tp1691
    +a(g749
    +VFloat
    +p1692
    +tp1693
    +a(g413
    +g1029
    +tp1694
    +a(g436
    +Vfloatdiv
    +p1695
    +tp1696
    +a(g706
    +g986
    +tp1697
    +a(g749
    +VFloat
    +p1698
    +tp1699
    +a(g413
    +g1029
    +tp1700
    +a(g436
    +Vdividend
    +p1701
    +tp1702
    +a(g706
    +g1039
    +tp1703
    +a(g827
    +g982
    +tp1704
    +a(g749
    +VFloat
    +p1705
    +tp1706
    +a(g413
    +g1029
    +tp1707
    +a(g436
    +Vdivisor
    +p1708
    +tp1709
    +a(g706
    +g988
    +tp1710
    +a(g706
    +g1006
    +tp1711
    +a(g827
    +V\u000a
    +p1712
    +tp1713
    +a(g827
    +V\u000a
    +p1714
    +tp1715
    +a(g929
    +V/**************************************************/
    +p1716
    +tp1717
    +a(g827
    +V\u000a
    +p1718
    +tp1719
    +a(g929
    +V/* Add two floats together */
    +p1720
    +tp1721
    +a(g827
    +V\u000a
    +p1722
    +tp1723
    +a(g436
    +Vnative
    +p1724
    +tp1725
    +a(g827
    +g982
    +tp1726
    +a(g749
    +VFloat
    +p1727
    +tp1728
    +a(g413
    +g1029
    +tp1729
    +a(g436
    +Vfloatadd
    +p1730
    +tp1731
    +a(g706
    +g986
    +tp1732
    +a(g749
    +VFloat
    +p1733
    +tp1734
    +a(g413
    +g1029
    +tp1735
    +a(g436
    +Voper1
    +p1736
    +tp1737
    +a(g706
    +g1039
    +tp1738
    +a(g827
    +g982
    +tp1739
    +a(g749
    +VFloat
    +p1740
    +tp1741
    +a(g413
    +g1029
    +tp1742
    +a(g436
    +Voper2
    +p1743
    +tp1744
    +a(g706
    +g988
    +tp1745
    +a(g706
    +g1006
    +tp1746
    +a(g827
    +V\u000a
    +p1747
    +tp1748
    +a(g827
    +V\u000a
    +p1749
    +tp1750
    +a(g929
    +V/**************************************************/
    +p1751
    +tp1752
    +a(g827
    +V\u000a
    +p1753
    +tp1754
    +a(g929
    +V/* Subtract oper2 float from oper1 float */
    +p1755
    +tp1756
    +a(g827
    +V\u000a
    +p1757
    +tp1758
    +a(g436
    +Vnative
    +p1759
    +tp1760
    +a(g827
    +g982
    +tp1761
    +a(g749
    +VFloat
    +p1762
    +tp1763
    +a(g413
    +g1029
    +tp1764
    +a(g436
    +Vfloatsub
    +p1765
    +tp1766
    +a(g706
    +g986
    +tp1767
    +a(g749
    +VFloat
    +p1768
    +tp1769
    +a(g413
    +g1029
    +tp1770
    +a(g436
    +Voper1
    +p1771
    +tp1772
    +a(g706
    +g1039
    +tp1773
    +a(g827
    +g982
    +tp1774
    +a(g749
    +VFloat
    +p1775
    +tp1776
    +a(g413
    +g1029
    +tp1777
    +a(g436
    +Voper2
    +p1778
    +tp1779
    +a(g706
    +g988
    +tp1780
    +a(g706
    +g1006
    +tp1781
    +a(g827
    +V\u000a
    +p1782
    +tp1783
    +a(g827
    +V\u000a
    +p1784
    +tp1785
    +a(g929
    +V/**************************************************/
    +p1786
    +tp1787
    +a(g827
    +V\u000a
    +p1788
    +tp1789
    +a(g929
    +V/* Return the fractional part of a float */
    +p1790
    +tp1791
    +a(g827
    +V\u000a
    +p1792
    +tp1793
    +a(g436
    +Vnative
    +p1794
    +tp1795
    +a(g827
    +g982
    +tp1796
    +a(g749
    +VFloat
    +p1797
    +tp1798
    +a(g413
    +g1029
    +tp1799
    +a(g436
    +Vfloatfract
    +p1800
    +tp1801
    +a(g706
    +g986
    +tp1802
    +a(g749
    +VFloat
    +p1803
    +tp1804
    +a(g413
    +g1029
    +tp1805
    +a(g436
    +Vvalue
    +p1806
    +tp1807
    +a(g706
    +g988
    +tp1808
    +a(g706
    +g1006
    +tp1809
    +a(g827
    +V\u000a
    +p1810
    +tp1811
    +a(g827
    +V\u000a
    +p1812
    +tp1813
    +a(g929
    +V/**************************************************/
    +p1814
    +tp1815
    +a(g827
    +V\u000a
    +p1816
    +tp1817
    +a(g929
    +V/* Round a float into a integer value */
    +p1818
    +tp1819
    +a(g827
    +V\u000a
    +p1820
    +tp1821
    +a(g436
    +Vnative
    +p1822
    +tp1823
    +a(g827
    +g982
    +tp1824
    +a(g436
    +Vfloatround
    +p1825
    +tp1826
    +a(g706
    +g986
    +tp1827
    +a(g749
    +VFloat
    +p1828
    +tp1829
    +a(g413
    +g1029
    +tp1830
    +a(g436
    +Vvalue
    +p1831
    +tp1832
    +a(g706
    +g1039
    +tp1833
    +a(g827
    +g982
    +tp1834
    +a(g436
    +Vfloatround_method
    +p1835
    +tp1836
    +a(g413
    +g1029
    +tp1837
    +a(g436
    +Vmethod
    +p1838
    +tp1839
    +a(g413
    +g1097
    +tp1840
    +a(g436
    +Vfloatround_round
    +p1841
    +tp1842
    +a(g706
    +g988
    +tp1843
    +a(g706
    +g1006
    +tp1844
    +a(g827
    +V\u000a
    +p1845
    +tp1846
    +a(g827
    +V\u000a
    +p1847
    +tp1848
    +a(g929
    +V/**************************************************/
    +p1849
    +tp1850
    +a(g827
    +V\u000a
    +p1851
    +tp1852
    +a(g929
    +V/* Compare two integers. If the two elements are equal, return 0.\u000a   If the first argument is greater than the second argument, return 1,\u000a   If the first argument is less than the second argument, return -1. */
    +p1853
    +tp1854
    +a(g827
    +V\u000a
    +p1855
    +tp1856
    +a(g436
    +Vnative
    +p1857
    +tp1858
    +a(g827
    +g982
    +tp1859
    +a(g436
    +Vfloatcmp
    +p1860
    +tp1861
    +a(g706
    +g986
    +tp1862
    +a(g749
    +VFloat
    +p1863
    +tp1864
    +a(g413
    +g1029
    +tp1865
    +a(g436
    +Voper1
    +p1866
    +tp1867
    +a(g706
    +g1039
    +tp1868
    +a(g827
    +g982
    +tp1869
    +a(g749
    +VFloat
    +p1870
    +tp1871
    +a(g413
    +g1029
    +tp1872
    +a(g436
    +Voper2
    +p1873
    +tp1874
    +a(g706
    +g988
    +tp1875
    +a(g706
    +g1006
    +tp1876
    +a(g827
    +V\u000a
    +p1877
    +tp1878
    +a(g827
    +V\u000a
    +p1879
    +tp1880
    +a(g929
    +V/**************************************************/
    +p1881
    +tp1882
    +a(g827
    +V\u000a
    +p1883
    +tp1884
    +a(g929
    +V/* Return the square root of the input value, same as floatpower(value, 0.5) */
    +p1885
    +tp1886
    +a(g827
    +V\u000a
    +p1887
    +tp1888
    +a(g436
    +Vnative
    +p1889
    +tp1890
    +a(g827
    +g982
    +tp1891
    +a(g749
    +VFloat
    +p1892
    +tp1893
    +a(g413
    +g1029
    +tp1894
    +a(g436
    +Vfloatsqroot
    +p1895
    +tp1896
    +a(g706
    +g986
    +tp1897
    +a(g749
    +VFloat
    +p1898
    +tp1899
    +a(g413
    +g1029
    +tp1900
    +a(g436
    +Vvalue
    +p1901
    +tp1902
    +a(g706
    +g988
    +tp1903
    +a(g706
    +g1006
    +tp1904
    +a(g827
    +V\u000a
    +p1905
    +tp1906
    +a(g827
    +V\u000a
    +p1907
    +tp1908
    +a(g929
    +V/**************************************************/
    +p1909
    +tp1910
    +a(g827
    +V\u000a
    +p1911
    +tp1912
    +a(g929
    +V/* Return the value raised to the power of the exponent */
    +p1913
    +tp1914
    +a(g827
    +V\u000a
    +p1915
    +tp1916
    +a(g436
    +Vnative
    +p1917
    +tp1918
    +a(g827
    +g982
    +tp1919
    +a(g749
    +VFloat
    +p1920
    +tp1921
    +a(g413
    +g1029
    +tp1922
    +a(g436
    +Vfloatpower
    +p1923
    +tp1924
    +a(g706
    +g986
    +tp1925
    +a(g749
    +VFloat
    +p1926
    +tp1927
    +a(g413
    +g1029
    +tp1928
    +a(g436
    +Vvalue
    +p1929
    +tp1930
    +a(g706
    +g1039
    +tp1931
    +a(g827
    +g982
    +tp1932
    +a(g749
    +VFloat
    +p1933
    +tp1934
    +a(g413
    +g1029
    +tp1935
    +a(g436
    +Vexponent
    +p1936
    +tp1937
    +a(g706
    +g988
    +tp1938
    +a(g706
    +g1006
    +tp1939
    +a(g827
    +V\u000a
    +p1940
    +tp1941
    +a(g827
    +V\u000a
    +p1942
    +tp1943
    +a(g929
    +V/**************************************************/
    +p1944
    +tp1945
    +a(g827
    +V\u000a
    +p1946
    +tp1947
    +a(g929
    +V/* Return the logarithm */
    +p1948
    +tp1949
    +a(g827
    +V\u000a
    +p1950
    +tp1951
    +a(g436
    +Vnative
    +p1952
    +tp1953
    +a(g827
    +g982
    +tp1954
    +a(g749
    +VFloat
    +p1955
    +tp1956
    +a(g413
    +g1029
    +tp1957
    +a(g436
    +Vfloatlog
    +p1958
    +tp1959
    +a(g706
    +g986
    +tp1960
    +a(g749
    +VFloat
    +p1961
    +tp1962
    +a(g413
    +g1029
    +tp1963
    +a(g436
    +Vvalue
    +p1964
    +tp1965
    +a(g706
    +g1039
    +tp1966
    +a(g827
    +g982
    +tp1967
    +a(g749
    +VFloat
    +p1968
    +tp1969
    +a(g413
    +g1029
    +tp1970
    +a(g436
    +Vbase
    +p1971
    +tp1972
    +a(g413
    +g1097
    +tp1973
    +a(g315
    +V10.0
    +p1974
    +tp1975
    +a(g706
    +g988
    +tp1976
    +a(g706
    +g1006
    +tp1977
    +a(g827
    +V\u000a
    +p1978
    +tp1979
    +a(g827
    +V\u000a
    +p1980
    +tp1981
    +a(g929
    +V/**************************************************/
    +p1982
    +tp1983
    +a(g827
    +V\u000a
    +p1984
    +tp1985
    +a(g929
    +V/* Return the sine, cosine or tangent. The input angle may be in radian,\u000a   degrees or grades. */
    +p1986
    +tp1987
    +a(g827
    +V\u000a
    +p1988
    +tp1989
    +a(g436
    +Vnative
    +p1990
    +tp1991
    +a(g827
    +g982
    +tp1992
    +a(g749
    +VFloat
    +p1993
    +tp1994
    +a(g413
    +g1029
    +tp1995
    +a(g436
    +Vfloatsin
    +p1996
    +tp1997
    +a(g706
    +g986
    +tp1998
    +a(g749
    +VFloat
    +p1999
    +tp2000
    +a(g413
    +g1029
    +tp2001
    +a(g436
    +Vvalue
    +p2002
    +tp2003
    +a(g706
    +g1039
    +tp2004
    +a(g827
    +g982
    +tp2005
    +a(g436
    +Vanglemode
    +p2006
    +tp2007
    +a(g413
    +g1029
    +tp2008
    +a(g436
    +Vmode
    +p2009
    +tp2010
    +a(g413
    +g1097
    +tp2011
    +a(g436
    +Vradian
    +p2012
    +tp2013
    +a(g706
    +g988
    +tp2014
    +a(g706
    +g1006
    +tp2015
    +a(g827
    +V\u000a
    +p2016
    +tp2017
    +a(g436
    +Vnative
    +p2018
    +tp2019
    +a(g827
    +g982
    +tp2020
    +a(g749
    +VFloat
    +p2021
    +tp2022
    +a(g413
    +g1029
    +tp2023
    +a(g436
    +Vfloatcos
    +p2024
    +tp2025
    +a(g706
    +g986
    +tp2026
    +a(g749
    +VFloat
    +p2027
    +tp2028
    +a(g413
    +g1029
    +tp2029
    +a(g436
    +Vvalue
    +p2030
    +tp2031
    +a(g706
    +g1039
    +tp2032
    +a(g827
    +g982
    +tp2033
    +a(g436
    +Vanglemode
    +p2034
    +tp2035
    +a(g413
    +g1029
    +tp2036
    +a(g436
    +Vmode
    +p2037
    +tp2038
    +a(g413
    +g1097
    +tp2039
    +a(g436
    +Vradian
    +p2040
    +tp2041
    +a(g706
    +g988
    +tp2042
    +a(g706
    +g1006
    +tp2043
    +a(g827
    +V\u000a
    +p2044
    +tp2045
    +a(g436
    +Vnative
    +p2046
    +tp2047
    +a(g827
    +g982
    +tp2048
    +a(g749
    +VFloat
    +p2049
    +tp2050
    +a(g413
    +g1029
    +tp2051
    +a(g436
    +Vfloattan
    +p2052
    +tp2053
    +a(g706
    +g986
    +tp2054
    +a(g749
    +VFloat
    +p2055
    +tp2056
    +a(g413
    +g1029
    +tp2057
    +a(g436
    +Vvalue
    +p2058
    +tp2059
    +a(g706
    +g1039
    +tp2060
    +a(g827
    +g982
    +tp2061
    +a(g436
    +Vanglemode
    +p2062
    +tp2063
    +a(g413
    +g1029
    +tp2064
    +a(g436
    +Vmode
    +p2065
    +tp2066
    +a(g413
    +g1097
    +tp2067
    +a(g436
    +Vradian
    +p2068
    +tp2069
    +a(g706
    +g988
    +tp2070
    +a(g706
    +g1006
    +tp2071
    +a(g827
    +V\u000a
    +p2072
    +tp2073
    +a(g827
    +V\u000a
    +p2074
    +tp2075
    +a(g929
    +V/**************************************************/
    +p2076
    +tp2077
    +a(g827
    +V\u000a
    +p2078
    +tp2079
    +a(g929
    +V/* Return the absolute value */
    +p2080
    +tp2081
    +a(g827
    +V\u000a
    +p2082
    +tp2083
    +a(g436
    +Vnative
    +p2084
    +tp2085
    +a(g827
    +g982
    +tp2086
    +a(g749
    +VFloat
    +p2087
    +tp2088
    +a(g413
    +g1029
    +tp2089
    +a(g436
    +Vfloatabs
    +p2090
    +tp2091
    +a(g706
    +g986
    +tp2092
    +a(g749
    +VFloat
    +p2093
    +tp2094
    +a(g413
    +g1029
    +tp2095
    +a(g436
    +Vvalue
    +p2096
    +tp2097
    +a(g706
    +g988
    +tp2098
    +a(g706
    +g1006
    +tp2099
    +a(g827
    +V\u000a
    +p2100
    +tp2101
    +a(g8
    +V\u000a\u000a/**************************************************/\u000a#
    +p2102
    +tp2103
    +a(g8
    +Vpragma rational Float
    +p2104
    +tp2105
    +a(g8
    +V\u000a
    +p2106
    +tp2107
    +a(g827
    +V\u000a
    +p2108
    +tp2109
    +a(g929
    +V/* user defined operators */
    +p2110
    +tp2111
    +a(g827
    +V\u000a
    +p2112
    +tp2113
    +a(g436
    +Vnative
    +p2114
    +tp2115
    +a(g827
    +g982
    +tp2116
    +a(g749
    +VFloat
    +p2117
    +tp2118
    +a(g413
    +g1029
    +tp2119
    +a(g745
    +Voperator
    +p2120
    +tp2121
    +a(g413
    +V*
    +p2122
    +tp2123
    +a(g706
    +g986
    +tp2124
    +a(g749
    +VFloat
    +p2125
    +tp2126
    +a(g413
    +g1029
    +tp2127
    +a(g436
    +Voper1
    +p2128
    +tp2129
    +a(g706
    +g1039
    +tp2130
    +a(g827
    +g982
    +tp2131
    +a(g749
    +VFloat
    +p2132
    +tp2133
    +a(g413
    +g1029
    +tp2134
    +a(g436
    +Voper2
    +p2135
    +tp2136
    +a(g706
    +g988
    +tp2137
    +a(g827
    +g982
    +tp2138
    +a(g413
    +g1097
    +tp2139
    +a(g827
    +g982
    +tp2140
    +a(g436
    +Vfloatmul
    +p2141
    +tp2142
    +a(g706
    +g1006
    +tp2143
    +a(g827
    +V\u000a
    +p2144
    +tp2145
    +a(g436
    +Vnative
    +p2146
    +tp2147
    +a(g827
    +g982
    +tp2148
    +a(g749
    +VFloat
    +p2149
    +tp2150
    +a(g413
    +g1029
    +tp2151
    +a(g745
    +Voperator
    +p2152
    +tp2153
    +a(g413
    +V/
    +p2154
    +tp2155
    +a(g706
    +g986
    +tp2156
    +a(g749
    +VFloat
    +p2157
    +tp2158
    +a(g413
    +g1029
    +tp2159
    +a(g436
    +Voper1
    +p2160
    +tp2161
    +a(g706
    +g1039
    +tp2162
    +a(g827
    +g982
    +tp2163
    +a(g749
    +VFloat
    +p2164
    +tp2165
    +a(g413
    +g1029
    +tp2166
    +a(g436
    +Voper2
    +p2167
    +tp2168
    +a(g706
    +g988
    +tp2169
    +a(g827
    +g982
    +tp2170
    +a(g413
    +g1097
    +tp2171
    +a(g827
    +g982
    +tp2172
    +a(g436
    +Vfloatdiv
    +p2173
    +tp2174
    +a(g706
    +g1006
    +tp2175
    +a(g827
    +V\u000a
    +p2176
    +tp2177
    +a(g436
    +Vnative
    +p2178
    +tp2179
    +a(g827
    +g982
    +tp2180
    +a(g749
    +VFloat
    +p2181
    +tp2182
    +a(g413
    +g1029
    +tp2183
    +a(g745
    +Voperator
    +p2184
    +tp2185
    +a(g413
    +g1175
    +tp2186
    +a(g706
    +g986
    +tp2187
    +a(g749
    +VFloat
    +p2188
    +tp2189
    +a(g413
    +g1029
    +tp2190
    +a(g436
    +Voper1
    +p2191
    +tp2192
    +a(g706
    +g1039
    +tp2193
    +a(g827
    +g982
    +tp2194
    +a(g749
    +VFloat
    +p2195
    +tp2196
    +a(g413
    +g1029
    +tp2197
    +a(g436
    +Voper2
    +p2198
    +tp2199
    +a(g706
    +g988
    +tp2200
    +a(g827
    +g982
    +tp2201
    +a(g413
    +g1097
    +tp2202
    +a(g827
    +g982
    +tp2203
    +a(g436
    +Vfloatadd
    +p2204
    +tp2205
    +a(g706
    +g1006
    +tp2206
    +a(g827
    +V\u000a
    +p2207
    +tp2208
    +a(g436
    +Vnative
    +p2209
    +tp2210
    +a(g827
    +g982
    +tp2211
    +a(g749
    +VFloat
    +p2212
    +tp2213
    +a(g413
    +g1029
    +tp2214
    +a(g745
    +Voperator
    +p2215
    +tp2216
    +a(g413
    +g1205
    +tp2217
    +a(g706
    +g986
    +tp2218
    +a(g749
    +VFloat
    +p2219
    +tp2220
    +a(g413
    +g1029
    +tp2221
    +a(g436
    +Voper1
    +p2222
    +tp2223
    +a(g706
    +g1039
    +tp2224
    +a(g827
    +g982
    +tp2225
    +a(g749
    +VFloat
    +p2226
    +tp2227
    +a(g413
    +g1029
    +tp2228
    +a(g436
    +Voper2
    +p2229
    +tp2230
    +a(g706
    +g988
    +tp2231
    +a(g827
    +g982
    +tp2232
    +a(g413
    +g1097
    +tp2233
    +a(g827
    +g982
    +tp2234
    +a(g436
    +Vfloatsub
    +p2235
    +tp2236
    +a(g706
    +g1006
    +tp2237
    +a(g827
    +V\u000a
    +p2238
    +tp2239
    +a(g436
    +Vnative
    +p2240
    +tp2241
    +a(g827
    +g982
    +tp2242
    +a(g749
    +VFloat
    +p2243
    +tp2244
    +a(g413
    +g1029
    +tp2245
    +a(g745
    +Voperator
    +p2246
    +tp2247
    +a(g413
    +g1097
    +tp2248
    +a(g706
    +g986
    +tp2249
    +a(g436
    +Voper
    +p2250
    +tp2251
    +a(g706
    +g988
    +tp2252
    +a(g827
    +g982
    +tp2253
    +a(g413
    +g1097
    +tp2254
    +a(g827
    +g982
    +tp2255
    +a(g436
    +Vfloat
    +p2256
    +tp2257
    +a(g706
    +g1006
    +tp2258
    +a(g827
    +V\u000a
    +p2259
    +tp2260
    +a(g827
    +V\u000a
    +p2261
    +tp2262
    +a(g436
    +Vstock
    +p2263
    +tp2264
    +a(g827
    +g982
    +tp2265
    +a(g749
    +VFloat
    +p2266
    +tp2267
    +a(g413
    +g1029
    +tp2268
    +a(g745
    +Voperator
    +p2269
    +tp2270
    +a(g413
    +g1175
    +tp2271
    +a(g413
    +g1175
    +tp2272
    +a(g706
    +g986
    +tp2273
    +a(g749
    +VFloat
    +p2274
    +tp2275
    +a(g413
    +g1029
    +tp2276
    +a(g436
    +Voper
    +p2277
    +tp2278
    +a(g706
    +g988
    +tp2279
    +a(g827
    +V\u000a
    +p2280
    +tp2281
    +a(g827
    +V    
    +p2282
    +tp2283
    +a(g745
    +Vreturn
    +p2284
    +tp2285
    +a(g827
    +g982
    +tp2286
    +a(g436
    +Voper
    +p2287
    +tp2288
    +a(g413
    +g1175
    +tp2289
    +a(g315
    +V1.0
    +p2290
    +tp2291
    +a(g706
    +g1006
    +tp2292
    +a(g827
    +V\u000a
    +p2293
    +tp2294
    +a(g827
    +V\u000a
    +p2295
    +tp2296
    +a(g436
    +Vstock
    +p2297
    +tp2298
    +a(g827
    +g982
    +tp2299
    +a(g749
    +VFloat
    +p2300
    +tp2301
    +a(g413
    +g1029
    +tp2302
    +a(g745
    +Voperator
    +p2303
    +tp2304
    +a(g413
    +g1205
    +tp2305
    +a(g413
    +g1205
    +tp2306
    +a(g706
    +g986
    +tp2307
    +a(g749
    +VFloat
    +p2308
    +tp2309
    +a(g413
    +g1029
    +tp2310
    +a(g436
    +Voper
    +p2311
    +tp2312
    +a(g706
    +g988
    +tp2313
    +a(g827
    +V\u000a
    +p2314
    +tp2315
    +a(g827
    +V    
    +p2316
    +tp2317
    +a(g745
    +Vreturn
    +p2318
    +tp2319
    +a(g827
    +g982
    +tp2320
    +a(g436
    +Voper
    +p2321
    +tp2322
    +a(g413
    +g1205
    +tp2323
    +a(g315
    +V1.0
    +p2324
    +tp2325
    +a(g706
    +g1006
    +tp2326
    +a(g827
    +V\u000a
    +p2327
    +tp2328
    +a(g827
    +V\u000a
    +p2329
    +tp2330
    +a(g436
    +Vstock
    +p2331
    +tp2332
    +a(g827
    +g982
    +tp2333
    +a(g749
    +VFloat
    +p2334
    +tp2335
    +a(g413
    +g1029
    +tp2336
    +a(g745
    +Voperator
    +p2337
    +tp2338
    +a(g413
    +g1205
    +tp2339
    +a(g706
    +g986
    +tp2340
    +a(g749
    +VFloat
    +p2341
    +tp2342
    +a(g413
    +g1029
    +tp2343
    +a(g436
    +Voper
    +p2344
    +tp2345
    +a(g706
    +g988
    +tp2346
    +a(g827
    +V\u000a
    +p2347
    +tp2348
    +a(g827
    +V    
    +p2349
    +tp2350
    +a(g745
    +Vreturn
    +p2351
    +tp2352
    +a(g827
    +g982
    +tp2353
    +a(g436
    +Voper
    +p2354
    +tp2355
    +a(g413
    +V^
    +p2356
    +tp2357
    +a(g749
    +VFloat
    +p2358
    +tp2359
    +a(g413
    +g1029
    +tp2360
    +a(g436
    +Vcellmin
    +p2361
    +tp2362
    +a(g706
    +g1006
    +tp2363
    +a(g827
    +V                  
    +p2364
    +tp2365
    +a(g929
    +V/* IEEE values are sign/magnitude */
    +p2366
    +tp2367
    +a(g827
    +V\u000a
    +p2368
    +tp2369
    +a(g827
    +V\u000a
    +p2370
    +tp2371
    +a(g436
    +Vstock
    +p2372
    +tp2373
    +a(g827
    +g982
    +tp2374
    +a(g749
    +VFloat
    +p2375
    +tp2376
    +a(g413
    +g1029
    +tp2377
    +a(g745
    +Voperator
    +p2378
    +tp2379
    +a(g413
    +g2122
    +tp2380
    +a(g706
    +g986
    +tp2381
    +a(g749
    +VFloat
    +p2382
    +tp2383
    +a(g413
    +g1029
    +tp2384
    +a(g436
    +Voper1
    +p2385
    +tp2386
    +a(g706
    +g1039
    +tp2387
    +a(g827
    +g982
    +tp2388
    +a(g436
    +Voper2
    +p2389
    +tp2390
    +a(g706
    +g988
    +tp2391
    +a(g827
    +V\u000a
    +p2392
    +tp2393
    +a(g827
    +V    
    +p2394
    +tp2395
    +a(g745
    +Vreturn
    +p2396
    +tp2397
    +a(g827
    +g982
    +tp2398
    +a(g436
    +Vfloatmul
    +p2399
    +tp2400
    +a(g706
    +g986
    +tp2401
    +a(g436
    +Voper1
    +p2402
    +tp2403
    +a(g706
    +g1039
    +tp2404
    +a(g827
    +g982
    +tp2405
    +a(g436
    +Vfloat
    +p2406
    +tp2407
    +a(g706
    +g986
    +tp2408
    +a(g436
    +Voper2
    +p2409
    +tp2410
    +a(g706
    +g988
    +tp2411
    +a(g706
    +g988
    +tp2412
    +a(g706
    +g1006
    +tp2413
    +a(g827
    +V       
    +p2414
    +tp2415
    +a(g929
    +V/* "*" is commutative */
    +p2416
    +tp2417
    +a(g827
    +V\u000a
    +p2418
    +tp2419
    +a(g827
    +V\u000a
    +p2420
    +tp2421
    +a(g436
    +Vstock
    +p2422
    +tp2423
    +a(g827
    +g982
    +tp2424
    +a(g749
    +VFloat
    +p2425
    +tp2426
    +a(g413
    +g1029
    +tp2427
    +a(g745
    +Voperator
    +p2428
    +tp2429
    +a(g413
    +g2154
    +tp2430
    +a(g706
    +g986
    +tp2431
    +a(g749
    +VFloat
    +p2432
    +tp2433
    +a(g413
    +g1029
    +tp2434
    +a(g436
    +Voper1
    +p2435
    +tp2436
    +a(g706
    +g1039
    +tp2437
    +a(g827
    +g982
    +tp2438
    +a(g436
    +Voper2
    +p2439
    +tp2440
    +a(g706
    +g988
    +tp2441
    +a(g827
    +V\u000a
    +p2442
    +tp2443
    +a(g827
    +V    
    +p2444
    +tp2445
    +a(g745
    +Vreturn
    +p2446
    +tp2447
    +a(g827
    +g982
    +tp2448
    +a(g436
    +Vfloatdiv
    +p2449
    +tp2450
    +a(g706
    +g986
    +tp2451
    +a(g436
    +Voper1
    +p2452
    +tp2453
    +a(g706
    +g1039
    +tp2454
    +a(g827
    +g982
    +tp2455
    +a(g436
    +Vfloat
    +p2456
    +tp2457
    +a(g706
    +g986
    +tp2458
    +a(g436
    +Voper2
    +p2459
    +tp2460
    +a(g706
    +g988
    +tp2461
    +a(g706
    +g988
    +tp2462
    +a(g706
    +g1006
    +tp2463
    +a(g827
    +V\u000a
    +p2464
    +tp2465
    +a(g827
    +V\u000a
    +p2466
    +tp2467
    +a(g436
    +Vstock
    +p2468
    +tp2469
    +a(g827
    +g982
    +tp2470
    +a(g749
    +VFloat
    +p2471
    +tp2472
    +a(g413
    +g1029
    +tp2473
    +a(g745
    +Voperator
    +p2474
    +tp2475
    +a(g413
    +g2154
    +tp2476
    +a(g706
    +g986
    +tp2477
    +a(g436
    +Voper1
    +p2478
    +tp2479
    +a(g706
    +g1039
    +tp2480
    +a(g827
    +g982
    +tp2481
    +a(g749
    +VFloat
    +p2482
    +tp2483
    +a(g413
    +g1029
    +tp2484
    +a(g436
    +Voper2
    +p2485
    +tp2486
    +a(g706
    +g988
    +tp2487
    +a(g827
    +V\u000a
    +p2488
    +tp2489
    +a(g827
    +V    
    +p2490
    +tp2491
    +a(g745
    +Vreturn
    +p2492
    +tp2493
    +a(g827
    +g982
    +tp2494
    +a(g436
    +Vfloatdiv
    +p2495
    +tp2496
    +a(g706
    +g986
    +tp2497
    +a(g436
    +Vfloat
    +p2498
    +tp2499
    +a(g706
    +g986
    +tp2500
    +a(g436
    +Voper1
    +p2501
    +tp2502
    +a(g706
    +g988
    +tp2503
    +a(g706
    +g1039
    +tp2504
    +a(g827
    +g982
    +tp2505
    +a(g436
    +Voper2
    +p2506
    +tp2507
    +a(g706
    +g988
    +tp2508
    +a(g706
    +g1006
    +tp2509
    +a(g827
    +V\u000a
    +p2510
    +tp2511
    +a(g827
    +V\u000a
    +p2512
    +tp2513
    +a(g436
    +Vstock
    +p2514
    +tp2515
    +a(g827
    +g982
    +tp2516
    +a(g749
    +VFloat
    +p2517
    +tp2518
    +a(g413
    +g1029
    +tp2519
    +a(g745
    +Voperator
    +p2520
    +tp2521
    +a(g413
    +g1175
    +tp2522
    +a(g706
    +g986
    +tp2523
    +a(g749
    +VFloat
    +p2524
    +tp2525
    +a(g413
    +g1029
    +tp2526
    +a(g436
    +Voper1
    +p2527
    +tp2528
    +a(g706
    +g1039
    +tp2529
    +a(g827
    +g982
    +tp2530
    +a(g436
    +Voper2
    +p2531
    +tp2532
    +a(g706
    +g988
    +tp2533
    +a(g827
    +V\u000a
    +p2534
    +tp2535
    +a(g827
    +V    
    +p2536
    +tp2537
    +a(g745
    +Vreturn
    +p2538
    +tp2539
    +a(g827
    +g982
    +tp2540
    +a(g436
    +Vfloatadd
    +p2541
    +tp2542
    +a(g706
    +g986
    +tp2543
    +a(g436
    +Voper1
    +p2544
    +tp2545
    +a(g706
    +g1039
    +tp2546
    +a(g827
    +g982
    +tp2547
    +a(g436
    +Vfloat
    +p2548
    +tp2549
    +a(g706
    +g986
    +tp2550
    +a(g436
    +Voper2
    +p2551
    +tp2552
    +a(g706
    +g988
    +tp2553
    +a(g706
    +g988
    +tp2554
    +a(g706
    +g1006
    +tp2555
    +a(g827
    +V       
    +p2556
    +tp2557
    +a(g929
    +V/* "+" is commutative */
    +p2558
    +tp2559
    +a(g827
    +V\u000a
    +p2560
    +tp2561
    +a(g827
    +V\u000a
    +p2562
    +tp2563
    +a(g436
    +Vstock
    +p2564
    +tp2565
    +a(g827
    +g982
    +tp2566
    +a(g749
    +VFloat
    +p2567
    +tp2568
    +a(g413
    +g1029
    +tp2569
    +a(g745
    +Voperator
    +p2570
    +tp2571
    +a(g413
    +g1205
    +tp2572
    +a(g706
    +g986
    +tp2573
    +a(g749
    +VFloat
    +p2574
    +tp2575
    +a(g413
    +g1029
    +tp2576
    +a(g436
    +Voper1
    +p2577
    +tp2578
    +a(g706
    +g1039
    +tp2579
    +a(g827
    +g982
    +tp2580
    +a(g436
    +Voper2
    +p2581
    +tp2582
    +a(g706
    +g988
    +tp2583
    +a(g827
    +V\u000a
    +p2584
    +tp2585
    +a(g827
    +V    
    +p2586
    +tp2587
    +a(g745
    +Vreturn
    +p2588
    +tp2589
    +a(g827
    +g982
    +tp2590
    +a(g436
    +Vfloatsub
    +p2591
    +tp2592
    +a(g706
    +g986
    +tp2593
    +a(g436
    +Voper1
    +p2594
    +tp2595
    +a(g706
    +g1039
    +tp2596
    +a(g827
    +g982
    +tp2597
    +a(g436
    +Vfloat
    +p2598
    +tp2599
    +a(g706
    +g986
    +tp2600
    +a(g436
    +Voper2
    +p2601
    +tp2602
    +a(g706
    +g988
    +tp2603
    +a(g706
    +g988
    +tp2604
    +a(g706
    +g1006
    +tp2605
    +a(g827
    +V\u000a
    +p2606
    +tp2607
    +a(g827
    +V\u000a
    +p2608
    +tp2609
    +a(g436
    +Vstock
    +p2610
    +tp2611
    +a(g827
    +g982
    +tp2612
    +a(g749
    +VFloat
    +p2613
    +tp2614
    +a(g413
    +g1029
    +tp2615
    +a(g745
    +Voperator
    +p2616
    +tp2617
    +a(g413
    +g1205
    +tp2618
    +a(g706
    +g986
    +tp2619
    +a(g436
    +Voper1
    +p2620
    +tp2621
    +a(g706
    +g1039
    +tp2622
    +a(g827
    +g982
    +tp2623
    +a(g749
    +VFloat
    +p2624
    +tp2625
    +a(g413
    +g1029
    +tp2626
    +a(g436
    +Voper2
    +p2627
    +tp2628
    +a(g706
    +g988
    +tp2629
    +a(g827
    +V\u000a
    +p2630
    +tp2631
    +a(g827
    +V    
    +p2632
    +tp2633
    +a(g745
    +Vreturn
    +p2634
    +tp2635
    +a(g827
    +g982
    +tp2636
    +a(g436
    +Vfloatsub
    +p2637
    +tp2638
    +a(g706
    +g986
    +tp2639
    +a(g436
    +Vfloat
    +p2640
    +tp2641
    +a(g706
    +g986
    +tp2642
    +a(g436
    +Voper1
    +p2643
    +tp2644
    +a(g706
    +g988
    +tp2645
    +a(g706
    +g1039
    +tp2646
    +a(g827
    +g982
    +tp2647
    +a(g436
    +Voper2
    +p2648
    +tp2649
    +a(g706
    +g988
    +tp2650
    +a(g706
    +g1006
    +tp2651
    +a(g827
    +V\u000a
    +p2652
    +tp2653
    +a(g827
    +V\u000a
    +p2654
    +tp2655
    +a(g436
    +Vstock
    +p2656
    +tp2657
    +a(g827
    +g982
    +tp2658
    +a(g749
    +Vbool
    +p2659
    +tp2660
    +a(g413
    +g1029
    +tp2661
    +a(g745
    +Voperator
    +p2662
    +tp2663
    +a(g413
    +g1097
    +tp2664
    +a(g413
    +g1097
    +tp2665
    +a(g706
    +g986
    +tp2666
    +a(g749
    +VFloat
    +p2667
    +tp2668
    +a(g413
    +g1029
    +tp2669
    +a(g436
    +Voper1
    +p2670
    +tp2671
    +a(g706
    +g1039
    +tp2672
    +a(g827
    +g982
    +tp2673
    +a(g749
    +VFloat
    +p2674
    +tp2675
    +a(g413
    +g1029
    +tp2676
    +a(g436
    +Voper2
    +p2677
    +tp2678
    +a(g706
    +g988
    +tp2679
    +a(g827
    +V\u000a
    +p2680
    +tp2681
    +a(g827
    +V    
    +p2682
    +tp2683
    +a(g745
    +Vreturn
    +p2684
    +tp2685
    +a(g827
    +g982
    +tp2686
    +a(g436
    +Vfloatcmp
    +p2687
    +tp2688
    +a(g706
    +g986
    +tp2689
    +a(g436
    +Voper1
    +p2690
    +tp2691
    +a(g706
    +g1039
    +tp2692
    +a(g827
    +g982
    +tp2693
    +a(g436
    +Voper2
    +p2694
    +tp2695
    +a(g706
    +g988
    +tp2696
    +a(g827
    +g982
    +tp2697
    +a(g413
    +g1097
    +tp2698
    +a(g413
    +g1097
    +tp2699
    +a(g827
    +g982
    +tp2700
    +a(g37
    +g1161
    +tp2701
    +a(g706
    +g1006
    +tp2702
    +a(g827
    +V\u000a
    +p2703
    +tp2704
    +a(g827
    +V\u000a
    +p2705
    +tp2706
    +a(g436
    +Vstock
    +p2707
    +tp2708
    +a(g827
    +g982
    +tp2709
    +a(g749
    +Vbool
    +p2710
    +tp2711
    +a(g413
    +g1029
    +tp2712
    +a(g745
    +Voperator
    +p2713
    +tp2714
    +a(g413
    +g1097
    +tp2715
    +a(g413
    +g1097
    +tp2716
    +a(g706
    +g986
    +tp2717
    +a(g749
    +VFloat
    +p2718
    +tp2719
    +a(g413
    +g1029
    +tp2720
    +a(g436
    +Voper1
    +p2721
    +tp2722
    +a(g706
    +g1039
    +tp2723
    +a(g827
    +g982
    +tp2724
    +a(g436
    +Voper2
    +p2725
    +tp2726
    +a(g706
    +g988
    +tp2727
    +a(g827
    +V\u000a
    +p2728
    +tp2729
    +a(g827
    +V    
    +p2730
    +tp2731
    +a(g745
    +Vreturn
    +p2732
    +tp2733
    +a(g827
    +g982
    +tp2734
    +a(g436
    +Vfloatcmp
    +p2735
    +tp2736
    +a(g706
    +g986
    +tp2737
    +a(g436
    +Voper1
    +p2738
    +tp2739
    +a(g706
    +g1039
    +tp2740
    +a(g827
    +g982
    +tp2741
    +a(g436
    +Vfloat
    +p2742
    +tp2743
    +a(g706
    +g986
    +tp2744
    +a(g436
    +Voper2
    +p2745
    +tp2746
    +a(g706
    +g988
    +tp2747
    +a(g706
    +g988
    +tp2748
    +a(g827
    +g982
    +tp2749
    +a(g413
    +g1097
    +tp2750
    +a(g413
    +g1097
    +tp2751
    +a(g827
    +g982
    +tp2752
    +a(g37
    +g1161
    +tp2753
    +a(g706
    +g1006
    +tp2754
    +a(g827
    +V  
    +p2755
    +tp2756
    +a(g929
    +V/* "==" is commutative */
    +p2757
    +tp2758
    +a(g827
    +V\u000a
    +p2759
    +tp2760
    +a(g827
    +V\u000a
    +p2761
    +tp2762
    +a(g436
    +Vstock
    +p2763
    +tp2764
    +a(g827
    +g982
    +tp2765
    +a(g749
    +Vbool
    +p2766
    +tp2767
    +a(g413
    +g1029
    +tp2768
    +a(g745
    +Voperator
    +p2769
    +tp2770
    +a(g413
    +V!
    +p2771
    +tp2772
    +a(g413
    +g1097
    +tp2773
    +a(g706
    +g986
    +tp2774
    +a(g749
    +VFloat
    +p2775
    +tp2776
    +a(g413
    +g1029
    +tp2777
    +a(g436
    +Voper1
    +p2778
    +tp2779
    +a(g706
    +g1039
    +tp2780
    +a(g827
    +g982
    +tp2781
    +a(g749
    +VFloat
    +p2782
    +tp2783
    +a(g413
    +g1029
    +tp2784
    +a(g436
    +Voper2
    +p2785
    +tp2786
    +a(g706
    +g988
    +tp2787
    +a(g827
    +V\u000a
    +p2788
    +tp2789
    +a(g827
    +V    
    +p2790
    +tp2791
    +a(g745
    +Vreturn
    +p2792
    +tp2793
    +a(g827
    +g982
    +tp2794
    +a(g436
    +Vfloatcmp
    +p2795
    +tp2796
    +a(g706
    +g986
    +tp2797
    +a(g436
    +Voper1
    +p2798
    +tp2799
    +a(g706
    +g1039
    +tp2800
    +a(g827
    +g982
    +tp2801
    +a(g436
    +Voper2
    +p2802
    +tp2803
    +a(g706
    +g988
    +tp2804
    +a(g827
    +g982
    +tp2805
    +a(g413
    +g2771
    +tp2806
    +a(g413
    +g1097
    +tp2807
    +a(g827
    +g982
    +tp2808
    +a(g37
    +g1161
    +tp2809
    +a(g706
    +g1006
    +tp2810
    +a(g827
    +V\u000a
    +p2811
    +tp2812
    +a(g827
    +V\u000a
    +p2813
    +tp2814
    +a(g436
    +Vstock
    +p2815
    +tp2816
    +a(g827
    +g982
    +tp2817
    +a(g749
    +Vbool
    +p2818
    +tp2819
    +a(g413
    +g1029
    +tp2820
    +a(g745
    +Voperator
    +p2821
    +tp2822
    +a(g413
    +g2771
    +tp2823
    +a(g413
    +g1097
    +tp2824
    +a(g706
    +g986
    +tp2825
    +a(g749
    +VFloat
    +p2826
    +tp2827
    +a(g413
    +g1029
    +tp2828
    +a(g436
    +Voper1
    +p2829
    +tp2830
    +a(g706
    +g1039
    +tp2831
    +a(g827
    +g982
    +tp2832
    +a(g436
    +Voper2
    +p2833
    +tp2834
    +a(g706
    +g988
    +tp2835
    +a(g827
    +V\u000a
    +p2836
    +tp2837
    +a(g827
    +V    
    +p2838
    +tp2839
    +a(g745
    +Vreturn
    +p2840
    +tp2841
    +a(g827
    +g982
    +tp2842
    +a(g436
    +Vfloatcmp
    +p2843
    +tp2844
    +a(g706
    +g986
    +tp2845
    +a(g436
    +Voper1
    +p2846
    +tp2847
    +a(g706
    +g1039
    +tp2848
    +a(g827
    +g982
    +tp2849
    +a(g436
    +Vfloat
    +p2850
    +tp2851
    +a(g706
    +g986
    +tp2852
    +a(g436
    +Voper2
    +p2853
    +tp2854
    +a(g706
    +g988
    +tp2855
    +a(g706
    +g988
    +tp2856
    +a(g827
    +g982
    +tp2857
    +a(g413
    +g2771
    +tp2858
    +a(g413
    +g1097
    +tp2859
    +a(g827
    +g982
    +tp2860
    +a(g37
    +g1161
    +tp2861
    +a(g706
    +g1006
    +tp2862
    +a(g827
    +V  
    +p2863
    +tp2864
    +a(g929
    +V/* "!=" is commutative */
    +p2865
    +tp2866
    +a(g827
    +V\u000a
    +p2867
    +tp2868
    +a(g827
    +V\u000a
    +p2869
    +tp2870
    +a(g436
    +Vstock
    +p2871
    +tp2872
    +a(g827
    +g982
    +tp2873
    +a(g749
    +Vbool
    +p2874
    +tp2875
    +a(g413
    +g1029
    +tp2876
    +a(g745
    +Voperator
    +p2877
    +tp2878
    +a(g413
    +g1220
    +tp2879
    +a(g706
    +g986
    +tp2880
    +a(g749
    +VFloat
    +p2881
    +tp2882
    +a(g413
    +g1029
    +tp2883
    +a(g436
    +Voper1
    +p2884
    +tp2885
    +a(g706
    +g1039
    +tp2886
    +a(g827
    +g982
    +tp2887
    +a(g749
    +VFloat
    +p2888
    +tp2889
    +a(g413
    +g1029
    +tp2890
    +a(g436
    +Voper2
    +p2891
    +tp2892
    +a(g706
    +g988
    +tp2893
    +a(g827
    +V\u000a
    +p2894
    +tp2895
    +a(g827
    +V    
    +p2896
    +tp2897
    +a(g745
    +Vreturn
    +p2898
    +tp2899
    +a(g827
    +g982
    +tp2900
    +a(g436
    +Vfloatcmp
    +p2901
    +tp2902
    +a(g706
    +g986
    +tp2903
    +a(g436
    +Voper1
    +p2904
    +tp2905
    +a(g706
    +g1039
    +tp2906
    +a(g827
    +g982
    +tp2907
    +a(g436
    +Voper2
    +p2908
    +tp2909
    +a(g706
    +g988
    +tp2910
    +a(g827
    +g982
    +tp2911
    +a(g413
    +g1220
    +tp2912
    +a(g827
    +g982
    +tp2913
    +a(g37
    +g1161
    +tp2914
    +a(g706
    +g1006
    +tp2915
    +a(g827
    +V\u000a
    +p2916
    +tp2917
    +a(g827
    +V\u000a
    +p2918
    +tp2919
    +a(g436
    +Vstock
    +p2920
    +tp2921
    +a(g827
    +g982
    +tp2922
    +a(g749
    +Vbool
    +p2923
    +tp2924
    +a(g413
    +g1029
    +tp2925
    +a(g745
    +Voperator
    +p2926
    +tp2927
    +a(g413
    +g1220
    +tp2928
    +a(g706
    +g986
    +tp2929
    +a(g749
    +VFloat
    +p2930
    +tp2931
    +a(g413
    +g1029
    +tp2932
    +a(g436
    +Voper1
    +p2933
    +tp2934
    +a(g706
    +g1039
    +tp2935
    +a(g827
    +g982
    +tp2936
    +a(g436
    +Voper2
    +p2937
    +tp2938
    +a(g706
    +g988
    +tp2939
    +a(g827
    +V\u000a
    +p2940
    +tp2941
    +a(g827
    +V    
    +p2942
    +tp2943
    +a(g745
    +Vreturn
    +p2944
    +tp2945
    +a(g827
    +g982
    +tp2946
    +a(g436
    +Vfloatcmp
    +p2947
    +tp2948
    +a(g706
    +g986
    +tp2949
    +a(g436
    +Voper1
    +p2950
    +tp2951
    +a(g706
    +g1039
    +tp2952
    +a(g827
    +g982
    +tp2953
    +a(g436
    +Vfloat
    +p2954
    +tp2955
    +a(g706
    +g986
    +tp2956
    +a(g436
    +Voper2
    +p2957
    +tp2958
    +a(g706
    +g988
    +tp2959
    +a(g706
    +g988
    +tp2960
    +a(g827
    +g982
    +tp2961
    +a(g413
    +g1220
    +tp2962
    +a(g827
    +g982
    +tp2963
    +a(g37
    +g1161
    +tp2964
    +a(g706
    +g1006
    +tp2965
    +a(g827
    +V\u000a
    +p2966
    +tp2967
    +a(g827
    +V\u000a
    +p2968
    +tp2969
    +a(g436
    +Vstock
    +p2970
    +tp2971
    +a(g827
    +g982
    +tp2972
    +a(g749
    +Vbool
    +p2973
    +tp2974
    +a(g413
    +g1029
    +tp2975
    +a(g745
    +Voperator
    +p2976
    +tp2977
    +a(g413
    +g1220
    +tp2978
    +a(g706
    +g986
    +tp2979
    +a(g436
    +Voper1
    +p2980
    +tp2981
    +a(g706
    +g1039
    +tp2982
    +a(g827
    +g982
    +tp2983
    +a(g749
    +VFloat
    +p2984
    +tp2985
    +a(g413
    +g1029
    +tp2986
    +a(g436
    +Voper2
    +p2987
    +tp2988
    +a(g706
    +g988
    +tp2989
    +a(g827
    +V\u000a
    +p2990
    +tp2991
    +a(g827
    +V    
    +p2992
    +tp2993
    +a(g745
    +Vreturn
    +p2994
    +tp2995
    +a(g827
    +g982
    +tp2996
    +a(g436
    +Vfloatcmp
    +p2997
    +tp2998
    +a(g706
    +g986
    +tp2999
    +a(g436
    +Vfloat
    +p3000
    +tp3001
    +a(g706
    +g986
    +tp3002
    +a(g436
    +Voper1
    +p3003
    +tp3004
    +a(g706
    +g988
    +tp3005
    +a(g706
    +g1039
    +tp3006
    +a(g827
    +g982
    +tp3007
    +a(g436
    +Voper2
    +p3008
    +tp3009
    +a(g706
    +g988
    +tp3010
    +a(g827
    +g982
    +tp3011
    +a(g413
    +g1220
    +tp3012
    +a(g827
    +g982
    +tp3013
    +a(g37
    +g1161
    +tp3014
    +a(g706
    +g1006
    +tp3015
    +a(g827
    +V\u000a
    +p3016
    +tp3017
    +a(g827
    +V\u000a
    +p3018
    +tp3019
    +a(g436
    +Vstock
    +p3020
    +tp3021
    +a(g827
    +g982
    +tp3022
    +a(g749
    +Vbool
    +p3023
    +tp3024
    +a(g413
    +g1029
    +tp3025
    +a(g745
    +Voperator
    +p3026
    +tp3027
    +a(g413
    +g1220
    +tp3028
    +a(g413
    +g1097
    +tp3029
    +a(g706
    +g986
    +tp3030
    +a(g749
    +VFloat
    +p3031
    +tp3032
    +a(g413
    +g1029
    +tp3033
    +a(g436
    +Voper1
    +p3034
    +tp3035
    +a(g706
    +g1039
    +tp3036
    +a(g827
    +g982
    +tp3037
    +a(g749
    +VFloat
    +p3038
    +tp3039
    +a(g413
    +g1029
    +tp3040
    +a(g436
    +Voper2
    +p3041
    +tp3042
    +a(g706
    +g988
    +tp3043
    +a(g827
    +V\u000a
    +p3044
    +tp3045
    +a(g827
    +V    
    +p3046
    +tp3047
    +a(g745
    +Vreturn
    +p3048
    +tp3049
    +a(g827
    +g982
    +tp3050
    +a(g436
    +Vfloatcmp
    +p3051
    +tp3052
    +a(g706
    +g986
    +tp3053
    +a(g436
    +Voper1
    +p3054
    +tp3055
    +a(g706
    +g1039
    +tp3056
    +a(g827
    +g982
    +tp3057
    +a(g436
    +Voper2
    +p3058
    +tp3059
    +a(g706
    +g988
    +tp3060
    +a(g827
    +g982
    +tp3061
    +a(g413
    +g1220
    +tp3062
    +a(g413
    +g1097
    +tp3063
    +a(g827
    +g982
    +tp3064
    +a(g37
    +g1161
    +tp3065
    +a(g706
    +g1006
    +tp3066
    +a(g827
    +V\u000a
    +p3067
    +tp3068
    +a(g827
    +V\u000a
    +p3069
    +tp3070
    +a(g436
    +Vstock
    +p3071
    +tp3072
    +a(g827
    +g982
    +tp3073
    +a(g749
    +Vbool
    +p3074
    +tp3075
    +a(g413
    +g1029
    +tp3076
    +a(g745
    +Voperator
    +p3077
    +tp3078
    +a(g413
    +g1220
    +tp3079
    +a(g413
    +g1097
    +tp3080
    +a(g706
    +g986
    +tp3081
    +a(g749
    +VFloat
    +p3082
    +tp3083
    +a(g413
    +g1029
    +tp3084
    +a(g436
    +Voper1
    +p3085
    +tp3086
    +a(g706
    +g1039
    +tp3087
    +a(g827
    +g982
    +tp3088
    +a(g436
    +Voper2
    +p3089
    +tp3090
    +a(g706
    +g988
    +tp3091
    +a(g827
    +V\u000a
    +p3092
    +tp3093
    +a(g827
    +V    
    +p3094
    +tp3095
    +a(g745
    +Vreturn
    +p3096
    +tp3097
    +a(g827
    +g982
    +tp3098
    +a(g436
    +Vfloatcmp
    +p3099
    +tp3100
    +a(g706
    +g986
    +tp3101
    +a(g436
    +Voper1
    +p3102
    +tp3103
    +a(g706
    +g1039
    +tp3104
    +a(g827
    +g982
    +tp3105
    +a(g436
    +Vfloat
    +p3106
    +tp3107
    +a(g706
    +g986
    +tp3108
    +a(g436
    +Voper2
    +p3109
    +tp3110
    +a(g706
    +g988
    +tp3111
    +a(g706
    +g988
    +tp3112
    +a(g827
    +g982
    +tp3113
    +a(g413
    +g1220
    +tp3114
    +a(g413
    +g1097
    +tp3115
    +a(g827
    +g982
    +tp3116
    +a(g37
    +g1161
    +tp3117
    +a(g706
    +g1006
    +tp3118
    +a(g827
    +V\u000a
    +p3119
    +tp3120
    +a(g827
    +V\u000a
    +p3121
    +tp3122
    +a(g436
    +Vstock
    +p3123
    +tp3124
    +a(g827
    +g982
    +tp3125
    +a(g749
    +Vbool
    +p3126
    +tp3127
    +a(g413
    +g1029
    +tp3128
    +a(g745
    +Voperator
    +p3129
    +tp3130
    +a(g413
    +g1220
    +tp3131
    +a(g413
    +g1097
    +tp3132
    +a(g706
    +g986
    +tp3133
    +a(g436
    +Voper1
    +p3134
    +tp3135
    +a(g706
    +g1039
    +tp3136
    +a(g827
    +g982
    +tp3137
    +a(g749
    +VFloat
    +p3138
    +tp3139
    +a(g413
    +g1029
    +tp3140
    +a(g436
    +Voper2
    +p3141
    +tp3142
    +a(g706
    +g988
    +tp3143
    +a(g827
    +V\u000a
    +p3144
    +tp3145
    +a(g827
    +V    
    +p3146
    +tp3147
    +a(g745
    +Vreturn
    +p3148
    +tp3149
    +a(g827
    +g982
    +tp3150
    +a(g436
    +Vfloatcmp
    +p3151
    +tp3152
    +a(g706
    +g986
    +tp3153
    +a(g436
    +Vfloat
    +p3154
    +tp3155
    +a(g706
    +g986
    +tp3156
    +a(g436
    +Voper1
    +p3157
    +tp3158
    +a(g706
    +g988
    +tp3159
    +a(g706
    +g1039
    +tp3160
    +a(g827
    +g982
    +tp3161
    +a(g436
    +Voper2
    +p3162
    +tp3163
    +a(g706
    +g988
    +tp3164
    +a(g827
    +g982
    +tp3165
    +a(g413
    +g1220
    +tp3166
    +a(g413
    +g1097
    +tp3167
    +a(g827
    +g982
    +tp3168
    +a(g37
    +g1161
    +tp3169
    +a(g706
    +g1006
    +tp3170
    +a(g827
    +V\u000a
    +p3171
    +tp3172
    +a(g827
    +V\u000a
    +p3173
    +tp3174
    +a(g436
    +Vstock
    +p3175
    +tp3176
    +a(g827
    +g982
    +tp3177
    +a(g749
    +Vbool
    +p3178
    +tp3179
    +a(g413
    +g1029
    +tp3180
    +a(g745
    +Voperator
    +p3181
    +tp3182
    +a(g413
    +g1167
    +tp3183
    +a(g706
    +g986
    +tp3184
    +a(g749
    +VFloat
    +p3185
    +tp3186
    +a(g413
    +g1029
    +tp3187
    +a(g436
    +Voper1
    +p3188
    +tp3189
    +a(g706
    +g1039
    +tp3190
    +a(g827
    +g982
    +tp3191
    +a(g749
    +VFloat
    +p3192
    +tp3193
    +a(g413
    +g1029
    +tp3194
    +a(g436
    +Voper2
    +p3195
    +tp3196
    +a(g706
    +g988
    +tp3197
    +a(g827
    +V\u000a
    +p3198
    +tp3199
    +a(g827
    +V    
    +p3200
    +tp3201
    +a(g745
    +Vreturn
    +p3202
    +tp3203
    +a(g827
    +g982
    +tp3204
    +a(g436
    +Vfloatcmp
    +p3205
    +tp3206
    +a(g706
    +g986
    +tp3207
    +a(g436
    +Voper1
    +p3208
    +tp3209
    +a(g706
    +g1039
    +tp3210
    +a(g827
    +g982
    +tp3211
    +a(g436
    +Voper2
    +p3212
    +tp3213
    +a(g706
    +g988
    +tp3214
    +a(g827
    +g982
    +tp3215
    +a(g413
    +g1167
    +tp3216
    +a(g827
    +g982
    +tp3217
    +a(g37
    +g1161
    +tp3218
    +a(g706
    +g1006
    +tp3219
    +a(g827
    +V\u000a
    +p3220
    +tp3221
    +a(g827
    +V\u000a
    +p3222
    +tp3223
    +a(g436
    +Vstock
    +p3224
    +tp3225
    +a(g827
    +g982
    +tp3226
    +a(g749
    +Vbool
    +p3227
    +tp3228
    +a(g413
    +g1029
    +tp3229
    +a(g745
    +Voperator
    +p3230
    +tp3231
    +a(g413
    +g1167
    +tp3232
    +a(g706
    +g986
    +tp3233
    +a(g749
    +VFloat
    +p3234
    +tp3235
    +a(g413
    +g1029
    +tp3236
    +a(g436
    +Voper1
    +p3237
    +tp3238
    +a(g706
    +g1039
    +tp3239
    +a(g827
    +g982
    +tp3240
    +a(g436
    +Voper2
    +p3241
    +tp3242
    +a(g706
    +g988
    +tp3243
    +a(g827
    +V\u000a
    +p3244
    +tp3245
    +a(g827
    +V    
    +p3246
    +tp3247
    +a(g745
    +Vreturn
    +p3248
    +tp3249
    +a(g827
    +g982
    +tp3250
    +a(g436
    +Vfloatcmp
    +p3251
    +tp3252
    +a(g706
    +g986
    +tp3253
    +a(g436
    +Voper1
    +p3254
    +tp3255
    +a(g706
    +g1039
    +tp3256
    +a(g827
    +g982
    +tp3257
    +a(g436
    +Vfloat
    +p3258
    +tp3259
    +a(g706
    +g986
    +tp3260
    +a(g436
    +Voper2
    +p3261
    +tp3262
    +a(g706
    +g988
    +tp3263
    +a(g706
    +g988
    +tp3264
    +a(g827
    +g982
    +tp3265
    +a(g413
    +g1167
    +tp3266
    +a(g827
    +g982
    +tp3267
    +a(g37
    +g1161
    +tp3268
    +a(g706
    +g1006
    +tp3269
    +a(g827
    +V\u000a
    +p3270
    +tp3271
    +a(g827
    +V\u000a
    +p3272
    +tp3273
    +a(g436
    +Vstock
    +p3274
    +tp3275
    +a(g827
    +g982
    +tp3276
    +a(g749
    +Vbool
    +p3277
    +tp3278
    +a(g413
    +g1029
    +tp3279
    +a(g745
    +Voperator
    +p3280
    +tp3281
    +a(g413
    +g1167
    +tp3282
    +a(g706
    +g986
    +tp3283
    +a(g436
    +Voper1
    +p3284
    +tp3285
    +a(g706
    +g1039
    +tp3286
    +a(g827
    +g982
    +tp3287
    +a(g749
    +VFloat
    +p3288
    +tp3289
    +a(g413
    +g1029
    +tp3290
    +a(g436
    +Voper2
    +p3291
    +tp3292
    +a(g706
    +g988
    +tp3293
    +a(g827
    +V\u000a
    +p3294
    +tp3295
    +a(g827
    +V    
    +p3296
    +tp3297
    +a(g745
    +Vreturn
    +p3298
    +tp3299
    +a(g827
    +g982
    +tp3300
    +a(g436
    +Vfloatcmp
    +p3301
    +tp3302
    +a(g706
    +g986
    +tp3303
    +a(g436
    +Vfloat
    +p3304
    +tp3305
    +a(g706
    +g986
    +tp3306
    +a(g436
    +Voper1
    +p3307
    +tp3308
    +a(g706
    +g988
    +tp3309
    +a(g706
    +g1039
    +tp3310
    +a(g827
    +g982
    +tp3311
    +a(g436
    +Voper2
    +p3312
    +tp3313
    +a(g706
    +g988
    +tp3314
    +a(g827
    +g982
    +tp3315
    +a(g413
    +g1167
    +tp3316
    +a(g827
    +g982
    +tp3317
    +a(g37
    +g1161
    +tp3318
    +a(g706
    +g1006
    +tp3319
    +a(g827
    +V\u000a
    +p3320
    +tp3321
    +a(g827
    +V\u000a
    +p3322
    +tp3323
    +a(g436
    +Vstock
    +p3324
    +tp3325
    +a(g827
    +g982
    +tp3326
    +a(g749
    +Vbool
    +p3327
    +tp3328
    +a(g413
    +g1029
    +tp3329
    +a(g745
    +Voperator
    +p3330
    +tp3331
    +a(g413
    +g1167
    +tp3332
    +a(g413
    +g1097
    +tp3333
    +a(g706
    +g986
    +tp3334
    +a(g749
    +VFloat
    +p3335
    +tp3336
    +a(g413
    +g1029
    +tp3337
    +a(g436
    +Voper1
    +p3338
    +tp3339
    +a(g706
    +g1039
    +tp3340
    +a(g827
    +g982
    +tp3341
    +a(g749
    +VFloat
    +p3342
    +tp3343
    +a(g413
    +g1029
    +tp3344
    +a(g436
    +Voper2
    +p3345
    +tp3346
    +a(g706
    +g988
    +tp3347
    +a(g827
    +V\u000a
    +p3348
    +tp3349
    +a(g827
    +V    
    +p3350
    +tp3351
    +a(g745
    +Vreturn
    +p3352
    +tp3353
    +a(g827
    +g982
    +tp3354
    +a(g436
    +Vfloatcmp
    +p3355
    +tp3356
    +a(g706
    +g986
    +tp3357
    +a(g436
    +Voper1
    +p3358
    +tp3359
    +a(g706
    +g1039
    +tp3360
    +a(g827
    +g982
    +tp3361
    +a(g436
    +Voper2
    +p3362
    +tp3363
    +a(g706
    +g988
    +tp3364
    +a(g827
    +g982
    +tp3365
    +a(g413
    +g1167
    +tp3366
    +a(g413
    +g1097
    +tp3367
    +a(g827
    +g982
    +tp3368
    +a(g37
    +g1161
    +tp3369
    +a(g706
    +g1006
    +tp3370
    +a(g827
    +V\u000a
    +p3371
    +tp3372
    +a(g827
    +V\u000a
    +p3373
    +tp3374
    +a(g436
    +Vstock
    +p3375
    +tp3376
    +a(g827
    +g982
    +tp3377
    +a(g749
    +Vbool
    +p3378
    +tp3379
    +a(g413
    +g1029
    +tp3380
    +a(g745
    +Voperator
    +p3381
    +tp3382
    +a(g413
    +g1167
    +tp3383
    +a(g413
    +g1097
    +tp3384
    +a(g706
    +g986
    +tp3385
    +a(g749
    +VFloat
    +p3386
    +tp3387
    +a(g413
    +g1029
    +tp3388
    +a(g436
    +Voper1
    +p3389
    +tp3390
    +a(g706
    +g1039
    +tp3391
    +a(g827
    +g982
    +tp3392
    +a(g436
    +Voper2
    +p3393
    +tp3394
    +a(g706
    +g988
    +tp3395
    +a(g827
    +V\u000a
    +p3396
    +tp3397
    +a(g827
    +V    
    +p3398
    +tp3399
    +a(g745
    +Vreturn
    +p3400
    +tp3401
    +a(g827
    +g982
    +tp3402
    +a(g436
    +Vfloatcmp
    +p3403
    +tp3404
    +a(g706
    +g986
    +tp3405
    +a(g436
    +Voper1
    +p3406
    +tp3407
    +a(g706
    +g1039
    +tp3408
    +a(g827
    +g982
    +tp3409
    +a(g436
    +Vfloat
    +p3410
    +tp3411
    +a(g706
    +g986
    +tp3412
    +a(g436
    +Voper2
    +p3413
    +tp3414
    +a(g706
    +g988
    +tp3415
    +a(g706
    +g988
    +tp3416
    +a(g827
    +g982
    +tp3417
    +a(g413
    +g1167
    +tp3418
    +a(g413
    +g1097
    +tp3419
    +a(g827
    +g982
    +tp3420
    +a(g37
    +g1161
    +tp3421
    +a(g706
    +g1006
    +tp3422
    +a(g827
    +V\u000a
    +p3423
    +tp3424
    +a(g827
    +V\u000a
    +p3425
    +tp3426
    +a(g436
    +Vstock
    +p3427
    +tp3428
    +a(g827
    +g982
    +tp3429
    +a(g749
    +Vbool
    +p3430
    +tp3431
    +a(g413
    +g1029
    +tp3432
    +a(g745
    +Voperator
    +p3433
    +tp3434
    +a(g413
    +g1167
    +tp3435
    +a(g413
    +g1097
    +tp3436
    +a(g706
    +g986
    +tp3437
    +a(g436
    +Voper1
    +p3438
    +tp3439
    +a(g706
    +g1039
    +tp3440
    +a(g827
    +g982
    +tp3441
    +a(g749
    +VFloat
    +p3442
    +tp3443
    +a(g413
    +g1029
    +tp3444
    +a(g436
    +Voper2
    +p3445
    +tp3446
    +a(g706
    +g988
    +tp3447
    +a(g827
    +V\u000a
    +p3448
    +tp3449
    +a(g827
    +V    
    +p3450
    +tp3451
    +a(g745
    +Vreturn
    +p3452
    +tp3453
    +a(g827
    +g982
    +tp3454
    +a(g436
    +Vfloatcmp
    +p3455
    +tp3456
    +a(g706
    +g986
    +tp3457
    +a(g436
    +Vfloat
    +p3458
    +tp3459
    +a(g706
    +g986
    +tp3460
    +a(g436
    +Voper1
    +p3461
    +tp3462
    +a(g706
    +g988
    +tp3463
    +a(g706
    +g1039
    +tp3464
    +a(g827
    +g982
    +tp3465
    +a(g436
    +Voper2
    +p3466
    +tp3467
    +a(g706
    +g988
    +tp3468
    +a(g827
    +g982
    +tp3469
    +a(g413
    +g1167
    +tp3470
    +a(g413
    +g1097
    +tp3471
    +a(g827
    +g982
    +tp3472
    +a(g37
    +g1161
    +tp3473
    +a(g706
    +g1006
    +tp3474
    +a(g827
    +V\u000a
    +p3475
    +tp3476
    +a(g827
    +V\u000a
    +p3477
    +tp3478
    +a(g436
    +Vstock
    +p3479
    +tp3480
    +a(g827
    +g982
    +tp3481
    +a(g749
    +Vbool
    +p3482
    +tp3483
    +a(g413
    +g1029
    +tp3484
    +a(g745
    +Voperator
    +p3485
    +tp3486
    +a(g413
    +g2771
    +tp3487
    +a(g706
    +g986
    +tp3488
    +a(g749
    +VFloat
    +p3489
    +tp3490
    +a(g413
    +g1029
    +tp3491
    +a(g436
    +Voper
    +p3492
    +tp3493
    +a(g706
    +g988
    +tp3494
    +a(g827
    +V\u000a
    +p3495
    +tp3496
    +a(g827
    +V    
    +p3497
    +tp3498
    +a(g745
    +Vreturn
    +p3499
    +tp3500
    +a(g827
    +g982
    +tp3501
    +a(g706
    +g986
    +tp3502
    +a(g436
    +V_
    +p3503
    +tp3504
    +a(g413
    +g1029
    +tp3505
    +a(g436
    +Voper
    +p3506
    +tp3507
    +a(g827
    +g982
    +tp3508
    +a(g413
    +V&
    +p3509
    +tp3510
    +a(g827
    +g982
    +tp3511
    +a(g436
    +Vcellmax
    +p3512
    +tp3513
    +a(g706
    +g988
    +tp3514
    +a(g827
    +g982
    +tp3515
    +a(g413
    +g1097
    +tp3516
    +a(g413
    +g1097
    +tp3517
    +a(g827
    +g982
    +tp3518
    +a(g37
    +g1161
    +tp3519
    +a(g706
    +g1006
    +tp3520
    +a(g827
    +V\u000a
    +p3521
    +tp3522
    +a(g827
    +V\u000a
    +p3523
    +tp3524
    +a(g929
    +V/* forbidden operations */
    +p3525
    +tp3526
    +a(g827
    +V\u000a
    +p3527
    +tp3528
    +a(g436
    +Vforward
    +p3529
    +tp3530
    +a(g827
    +g982
    +tp3531
    +a(g745
    +Voperator
    +p3532
    +tp3533
    +a(g413
    +V%
    +p3534
    +tp3535
    +a(g706
    +g986
    +tp3536
    +a(g749
    +VFloat
    +p3537
    +tp3538
    +a(g413
    +g1029
    +tp3539
    +a(g436
    +Voper1
    +p3540
    +tp3541
    +a(g706
    +g1039
    +tp3542
    +a(g827
    +g982
    +tp3543
    +a(g749
    +VFloat
    +p3544
    +tp3545
    +a(g413
    +g1029
    +tp3546
    +a(g436
    +Voper2
    +p3547
    +tp3548
    +a(g706
    +g988
    +tp3549
    +a(g706
    +g1006
    +tp3550
    +a(g827
    +V\u000a
    +p3551
    +tp3552
    +a(g436
    +Vforward
    +p3553
    +tp3554
    +a(g827
    +g982
    +tp3555
    +a(g745
    +Voperator
    +p3556
    +tp3557
    +a(g413
    +g3534
    +tp3558
    +a(g706
    +g986
    +tp3559
    +a(g749
    +VFloat
    +p3560
    +tp3561
    +a(g413
    +g1029
    +tp3562
    +a(g436
    +Voper1
    +p3563
    +tp3564
    +a(g706
    +g1039
    +tp3565
    +a(g827
    +g982
    +tp3566
    +a(g436
    +Voper2
    +p3567
    +tp3568
    +a(g706
    +g988
    +tp3569
    +a(g706
    +g1006
    +tp3570
    +a(g827
    +V\u000a
    +p3571
    +tp3572
    +a(g436
    +Vforward
    +p3573
    +tp3574
    +a(g827
    +g982
    +tp3575
    +a(g745
    +Voperator
    +p3576
    +tp3577
    +a(g413
    +g3534
    +tp3578
    +a(g706
    +g986
    +tp3579
    +a(g436
    +Voper1
    +p3580
    +tp3581
    +a(g706
    +g1039
    +tp3582
    +a(g827
    +g982
    +tp3583
    +a(g749
    +VFloat
    +p3584
    +tp3585
    +a(g413
    +g1029
    +tp3586
    +a(g436
    +Voper2
    +p3587
    +tp3588
    +a(g706
    +g988
    +tp3589
    +a(g706
    +g1006
    +tp3590
    +a(g827
    +V\u000a
    +p3591
    +tp3592
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.pypylog b/tests/examplefiles/output/test.pypylog
    new file mode 100644
    index 0000000..93a57b3
    --- /dev/null
    +++ b/tests/examplefiles/output/test.pypylog
    @@ -0,0 +1,88091 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Keyword'
    +p4
    +tp5
    +tp6
    +Rp7
    +(dp8
    +S'parent'
    +p9
    +g1
    +(g2
    +g3
    +(ttp10
    +Rp11
    +(dp12
    +S'Number'
    +p13
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p14
    +g13
    +tp15
    +tp16
    +Rp17
    +(dp18
    +S'Integer'
    +p19
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +tp20
    +tp21
    +Rp22
    +(dp23
    +g9
    +g17
    +sS'Long'
    +p24
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g19
    +g24
    +tp25
    +tp26
    +Rp27
    +(dp28
    +g9
    +g22
    +sS'subtypes'
    +p29
    +c__builtin__
    +set
    +p30
    +((lp31
    +tp32
    +Rp33
    +sbsg29
    +g30
    +((lp34
    +g27
    +atp35
    +Rp36
    +sbsg9
    +g1
    +(g2
    +g3
    +(g14
    +tp37
    +tp38
    +Rp39
    +(dp40
    +S'Scalar'
    +p41
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +tp42
    +tp43
    +Rp44
    +(dp45
    +g9
    +g39
    +sg29
    +g30
    +((lp46
    +g1
    +(g2
    +g3
    +(g14
    +g41
    +S'Plain'
    +p47
    +tp48
    +tp49
    +Rp50
    +(dp51
    +g9
    +g44
    +sg29
    +g30
    +((lp52
    +tp53
    +Rp54
    +sbatp55
    +Rp56
    +sg47
    +g50
    +sbsg13
    +g17
    +sg9
    +g11
    +sS'Other'
    +p57
    +g1
    +(g2
    +g3
    +(g14
    +g57
    +tp58
    +tp59
    +Rp60
    +(dp61
    +g9
    +g39
    +sg29
    +g30
    +((lp62
    +tp63
    +Rp64
    +sbsS'Char'
    +p65
    +g1
    +(g2
    +g3
    +(g14
    +g65
    +tp66
    +tp67
    +Rp68
    +(dp69
    +g9
    +g39
    +sg29
    +g30
    +((lp70
    +tp71
    +Rp72
    +sbsS'String'
    +p73
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +tp74
    +tp75
    +Rp76
    +(dp77
    +g65
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g65
    +tp78
    +tp79
    +Rp80
    +(dp81
    +g9
    +g76
    +sg29
    +g30
    +((lp82
    +tp83
    +Rp84
    +sbsS'Backtick'
    +p85
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g85
    +tp86
    +tp87
    +Rp88
    +(dp89
    +g9
    +g76
    +sg29
    +g30
    +((lp90
    +tp91
    +Rp92
    +sbsS'Heredoc'
    +p93
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g93
    +tp94
    +tp95
    +Rp96
    +(dp97
    +g9
    +g76
    +sg29
    +g30
    +((lp98
    +tp99
    +Rp100
    +sbsS'Symbol'
    +p101
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g101
    +tp102
    +tp103
    +Rp104
    +(dp105
    +g9
    +g76
    +sg29
    +g30
    +((lp106
    +tp107
    +Rp108
    +sbsS'Interpol'
    +p109
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g109
    +tp110
    +tp111
    +Rp112
    +(dp113
    +g9
    +g76
    +sg29
    +g30
    +((lp114
    +tp115
    +Rp116
    +sbsS'Delimiter'
    +p117
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g117
    +tp118
    +tp119
    +Rp120
    +(dp121
    +g9
    +g76
    +sg29
    +g30
    +((lp122
    +tp123
    +Rp124
    +sbsS'Boolean'
    +p125
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g125
    +tp126
    +tp127
    +Rp128
    +(dp129
    +g9
    +g76
    +sg29
    +g30
    +((lp130
    +tp131
    +Rp132
    +sbsS'Character'
    +p133
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g133
    +tp134
    +tp135
    +Rp136
    +(dp137
    +g9
    +g76
    +sg29
    +g30
    +((lp138
    +tp139
    +Rp140
    +sbsS'Double'
    +p141
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g141
    +tp142
    +tp143
    +Rp144
    +(dp145
    +g9
    +g76
    +sg29
    +g30
    +((lp146
    +tp147
    +Rp148
    +sbsS'Delimeter'
    +p149
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g149
    +tp150
    +tp151
    +Rp152
    +(dp153
    +g9
    +g76
    +sg29
    +g30
    +((lp154
    +tp155
    +Rp156
    +sbsS'Atom'
    +p157
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g157
    +tp158
    +tp159
    +Rp160
    +(dp161
    +g9
    +g76
    +sg29
    +g30
    +((lp162
    +tp163
    +Rp164
    +sbsS'Affix'
    +p165
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g165
    +tp166
    +tp167
    +Rp168
    +(dp169
    +g9
    +g76
    +sg29
    +g30
    +((lp170
    +tp171
    +Rp172
    +sbsS'Name'
    +p173
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g173
    +tp174
    +tp175
    +Rp176
    +(dp177
    +g9
    +g76
    +sg29
    +g30
    +((lp178
    +tp179
    +Rp180
    +sbsS'Regex'
    +p181
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g181
    +tp182
    +tp183
    +Rp184
    +(dp185
    +g9
    +g76
    +sg29
    +g30
    +((lp186
    +tp187
    +Rp188
    +sbsS'Interp'
    +p189
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g189
    +tp190
    +tp191
    +Rp192
    +(dp193
    +g9
    +g76
    +sg29
    +g30
    +((lp194
    +tp195
    +Rp196
    +sbsS'Escape'
    +p197
    +g1
    +(g2
    +g3
    +(g14
    +g73
    +g197
    +tp198
    +tp199
    +Rp200
    +(dp201
    +g9
    +g76
    +sg29
    +g30
    +((lp202
    +tp203
    +Rp204
    +sbsg29
    +g30
    +((lp205
    +g120
    +ag104
    +ag184
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Doc'
    +p206
    +tp207
    +tp208
    +Rp209
    +(dp210
    +g9
    +g76
    +sg29
    +g30
    +((lp211
    +tp212
    +Rp213
    +sbag136
    +ag128
    +ag144
    +ag112
    +ag160
    +ag152
    +ag176
    +ag200
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Single'
    +p214
    +tp215
    +tp216
    +Rp217
    +(dp218
    +g9
    +g76
    +sg29
    +g30
    +((lp219
    +tp220
    +Rp221
    +sbag1
    +(g2
    +g3
    +(g14
    +g73
    +g57
    +tp222
    +tp223
    +Rp224
    +(dp225
    +g9
    +g76
    +sg29
    +g30
    +((lp226
    +tp227
    +Rp228
    +sbag192
    +ag88
    +ag168
    +ag1
    +(g2
    +g3
    +(g14
    +g73
    +S'Moment'
    +p229
    +tp230
    +tp231
    +Rp232
    +(dp233
    +g9
    +g76
    +sg29
    +g30
    +((lp234
    +tp235
    +Rp236
    +sbag80
    +ag96
    +atp237
    +Rp238
    +sg214
    +g217
    +sg229
    +g232
    +sg9
    +g39
    +sg57
    +g224
    +sg206
    +g209
    +sbsg29
    +g30
    +((lp239
    +g76
    +ag68
    +ag60
    +ag1
    +(g2
    +g3
    +(g14
    +S'Date'
    +p240
    +tp241
    +tp242
    +Rp243
    +(dp244
    +g9
    +g39
    +sg29
    +g30
    +((lp245
    +tp246
    +Rp247
    +sbag44
    +ag17
    +atp248
    +Rp249
    +sg240
    +g243
    +sbsS'Bin'
    +p250
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g250
    +tp251
    +tp252
    +Rp253
    +(dp254
    +g9
    +g17
    +sg29
    +g30
    +((lp255
    +tp256
    +Rp257
    +sbsS'Radix'
    +p258
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g258
    +tp259
    +tp260
    +Rp261
    +(dp262
    +g9
    +g17
    +sg29
    +g30
    +((lp263
    +tp264
    +Rp265
    +sbsS'Oct'
    +p266
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g266
    +tp267
    +tp268
    +Rp269
    +(dp270
    +g9
    +g17
    +sg29
    +g30
    +((lp271
    +tp272
    +Rp273
    +sbsS'Dec'
    +p274
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g274
    +tp275
    +tp276
    +Rp277
    +(dp278
    +g9
    +g17
    +sg29
    +g30
    +((lp279
    +tp280
    +Rp281
    +sbsS'Hex'
    +p282
    +g1
    +(g2
    +g3
    +(g14
    +g13
    +g282
    +tp283
    +tp284
    +Rp285
    +(dp286
    +g9
    +g17
    +sg29
    +g30
    +((lp287
    +tp288
    +Rp289
    +sbsg29
    +g30
    +((lp290
    +g22
    +ag261
    +ag277
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Decimal'
    +p291
    +tp292
    +tp293
    +Rp294
    +(dp295
    +g9
    +g17
    +sg29
    +g30
    +((lp296
    +tp297
    +Rp298
    +sbag253
    +ag1
    +(g2
    +g3
    +(g14
    +g13
    +S'Float'
    +p299
    +tp300
    +tp301
    +Rp302
    +(dp303
    +g9
    +g17
    +sg29
    +g30
    +((lp304
    +tp305
    +Rp306
    +sbag269
    +ag285
    +atp307
    +Rp308
    +sg291
    +g294
    +sg299
    +g302
    +sbsS'Generic'
    +p309
    +g1
    +(g2
    +g3
    +(g309
    +tp310
    +tp311
    +Rp312
    +(dp313
    +g9
    +g11
    +sS'Deleted'
    +p314
    +g1
    +(g2
    +g3
    +(g309
    +g314
    +tp315
    +tp316
    +Rp317
    +(dp318
    +g9
    +g312
    +sg29
    +g30
    +((lp319
    +tp320
    +Rp321
    +sbsS'Subheading'
    +p322
    +g1
    +(g2
    +g3
    +(g309
    +g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g9
    +g312
    +sg29
    +g30
    +((lp327
    +tp328
    +Rp329
    +sbsS'Heading'
    +p330
    +g1
    +(g2
    +g3
    +(g309
    +g330
    +tp331
    +tp332
    +Rp333
    +(dp334
    +g9
    +g312
    +sg29
    +g30
    +((lp335
    +tp336
    +Rp337
    +sbsS'Emph'
    +p338
    +g1
    +(g2
    +g3
    +(g309
    +g338
    +tp339
    +tp340
    +Rp341
    +(dp342
    +g9
    +g312
    +sg29
    +g30
    +((lp343
    +tp344
    +Rp345
    +sbsS'Prompt'
    +p346
    +g1
    +(g2
    +g3
    +(g309
    +g346
    +tp347
    +tp348
    +Rp349
    +(dp350
    +g9
    +g312
    +sg29
    +g30
    +((lp351
    +tp352
    +Rp353
    +sbsS'Inserted'
    +p354
    +g1
    +(g2
    +g3
    +(g309
    +g354
    +tp355
    +tp356
    +Rp357
    +(dp358
    +g9
    +g312
    +sg29
    +g30
    +((lp359
    +tp360
    +Rp361
    +sbsS'Strong'
    +p362
    +g1
    +(g2
    +g3
    +(g309
    +g362
    +tp363
    +tp364
    +Rp365
    +(dp366
    +g9
    +g312
    +sg29
    +g30
    +((lp367
    +tp368
    +Rp369
    +sbsS'Error'
    +p370
    +g1
    +(g2
    +g3
    +(g309
    +g370
    +tp371
    +tp372
    +Rp373
    +(dp374
    +g9
    +g312
    +sg29
    +g30
    +((lp375
    +tp376
    +Rp377
    +sbsS'Traceback'
    +p378
    +g1
    +(g2
    +g3
    +(g309
    +g378
    +tp379
    +tp380
    +Rp381
    +(dp382
    +g9
    +g312
    +sg29
    +g30
    +((lp383
    +tp384
    +Rp385
    +sbsg29
    +g30
    +((lp386
    +g333
    +ag325
    +ag1
    +(g2
    +g3
    +(g309
    +S'Output'
    +p387
    +tp388
    +tp389
    +Rp390
    +(dp391
    +g9
    +g312
    +sg29
    +g30
    +((lp392
    +tp393
    +Rp394
    +sbag365
    +ag341
    +ag373
    +ag381
    +ag357
    +ag349
    +ag317
    +atp395
    +Rp396
    +sg387
    +g390
    +sbsS'Operator'
    +p397
    +g1
    +(g2
    +g3
    +(g397
    +tp398
    +tp399
    +Rp400
    +(dp401
    +g9
    +g11
    +sS'DBS'
    +p402
    +g1
    +(g2
    +g3
    +(g397
    +g402
    +tp403
    +tp404
    +Rp405
    +(dp406
    +g9
    +g400
    +sg29
    +g30
    +((lp407
    +tp408
    +Rp409
    +sbsg29
    +g30
    +((lp410
    +g405
    +ag1
    +(g2
    +g3
    +(g397
    +S'Word'
    +p411
    +tp412
    +tp413
    +Rp414
    +(dp415
    +g9
    +g400
    +sg29
    +g30
    +((lp416
    +tp417
    +Rp418
    +sbatp419
    +Rp420
    +sg411
    +g414
    +sbsg73
    +g76
    +sg173
    +g1
    +(g2
    +g3
    +(g173
    +tp421
    +tp422
    +Rp423
    +(dp424
    +S'Variable'
    +p425
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +tp426
    +tp427
    +Rp428
    +(dp429
    +g9
    +g423
    +sS'Class'
    +p430
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g430
    +tp431
    +tp432
    +Rp433
    +(dp434
    +g9
    +g428
    +sg29
    +g30
    +((lp435
    +tp436
    +Rp437
    +sbsS'Anonymous'
    +p438
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g9
    +g428
    +sg29
    +g30
    +((lp443
    +tp444
    +Rp445
    +sbsS'Instance'
    +p446
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g446
    +tp447
    +tp448
    +Rp449
    +(dp450
    +g9
    +g428
    +sg29
    +g30
    +((lp451
    +tp452
    +Rp453
    +sbsS'Global'
    +p454
    +g1
    +(g2
    +g3
    +(g173
    +g425
    +g454
    +tp455
    +tp456
    +Rp457
    +(dp458
    +g9
    +g428
    +sg29
    +g30
    +((lp459
    +tp460
    +Rp461
    +sbsg29
    +g30
    +((lp462
    +g441
    +ag449
    +ag1
    +(g2
    +g3
    +(g173
    +g425
    +S'Magic'
    +p463
    +tp464
    +tp465
    +Rp466
    +(dp467
    +g9
    +g428
    +sg29
    +g30
    +((lp468
    +tp469
    +Rp470
    +sbag457
    +ag433
    +atp471
    +Rp472
    +sg463
    +g466
    +sbsg397
    +g1
    +(g2
    +g3
    +(g173
    +g397
    +tp473
    +tp474
    +Rp475
    +(dp476
    +g9
    +g423
    +sg29
    +g30
    +((lp477
    +tp478
    +Rp479
    +sbsS'Decorator'
    +p480
    +g1
    +(g2
    +g3
    +(g173
    +g480
    +tp481
    +tp482
    +Rp483
    +(dp484
    +g9
    +g423
    +sg29
    +g30
    +((lp485
    +tp486
    +Rp487
    +sbsS'Entity'
    +p488
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +tp489
    +tp490
    +Rp491
    +(dp492
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g488
    +g402
    +tp493
    +tp494
    +Rp495
    +(dp496
    +g9
    +g491
    +sg29
    +g30
    +((lp497
    +tp498
    +Rp499
    +sbsg29
    +g30
    +((lp500
    +g495
    +atp501
    +Rp502
    +sbsg101
    +g1
    +(g2
    +g3
    +(g173
    +g101
    +tp503
    +tp504
    +Rp505
    +(dp506
    +g9
    +g423
    +sg29
    +g30
    +((lp507
    +tp508
    +Rp509
    +sbsS'Property'
    +p510
    +g1
    +(g2
    +g3
    +(g173
    +g510
    +tp511
    +tp512
    +Rp513
    +(dp514
    +g9
    +g423
    +sg29
    +g30
    +((lp515
    +tp516
    +Rp517
    +sbsS'Pseudo'
    +p518
    +g1
    +(g2
    +g3
    +(g173
    +g518
    +tp519
    +tp520
    +Rp521
    +(dp522
    +g9
    +g423
    +sg29
    +g30
    +((lp523
    +tp524
    +Rp525
    +sbsS'Type'
    +p526
    +g1
    +(g2
    +g3
    +(g173
    +g526
    +tp527
    +tp528
    +Rp529
    +(dp530
    +g9
    +g423
    +sg29
    +g30
    +((lp531
    +tp532
    +Rp533
    +sbsS'Classes'
    +p534
    +g1
    +(g2
    +g3
    +(g173
    +g534
    +tp535
    +tp536
    +Rp537
    +(dp538
    +g9
    +g423
    +sg29
    +g30
    +((lp539
    +tp540
    +Rp541
    +sbsS'Tag'
    +p542
    +g1
    +(g2
    +g3
    +(g173
    +g542
    +tp543
    +tp544
    +Rp545
    +(dp546
    +g9
    +g423
    +sg29
    +g30
    +((lp547
    +tp548
    +Rp549
    +sbsS'Constant'
    +p550
    +g1
    +(g2
    +g3
    +(g173
    +g550
    +tp551
    +tp552
    +Rp553
    +(dp554
    +g9
    +g423
    +sg29
    +g30
    +((lp555
    +tp556
    +Rp557
    +sbsS'Function'
    +p558
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +tp559
    +tp560
    +Rp561
    +(dp562
    +g9
    +g423
    +sg29
    +g30
    +((lp563
    +g1
    +(g2
    +g3
    +(g173
    +g558
    +g463
    +tp564
    +tp565
    +Rp566
    +(dp567
    +g9
    +g561
    +sg29
    +g30
    +((lp568
    +tp569
    +Rp570
    +sbatp571
    +Rp572
    +sg463
    +g566
    +sbsS'Blubb'
    +p573
    +g1
    +(g2
    +g3
    +(g173
    +g573
    +tp574
    +tp575
    +Rp576
    +(dp577
    +g9
    +g423
    +sg29
    +g30
    +((lp578
    +tp579
    +Rp580
    +sbsS'Label'
    +p581
    +g1
    +(g2
    +g3
    +(g173
    +g581
    +tp582
    +tp583
    +Rp584
    +(dp585
    +g9
    +g423
    +sg29
    +g30
    +((lp586
    +tp587
    +Rp588
    +sbsS'Field'
    +p589
    +g1
    +(g2
    +g3
    +(g173
    +g589
    +tp590
    +tp591
    +Rp592
    +(dp593
    +g9
    +g423
    +sg29
    +g30
    +((lp594
    +tp595
    +Rp596
    +sbsS'Exception'
    +p597
    +g1
    +(g2
    +g3
    +(g173
    +g597
    +tp598
    +tp599
    +Rp600
    +(dp601
    +g9
    +g423
    +sg29
    +g30
    +((lp602
    +tp603
    +Rp604
    +sbsS'Namespace'
    +p605
    +g1
    +(g2
    +g3
    +(g173
    +g605
    +tp606
    +tp607
    +Rp608
    +(dp609
    +g9
    +g423
    +sg29
    +g30
    +((lp610
    +tp611
    +Rp612
    +sbsg29
    +g30
    +((lp613
    +g483
    +ag576
    +ag521
    +ag491
    +ag428
    +ag600
    +ag513
    +ag545
    +ag561
    +ag537
    +ag1
    +(g2
    +g3
    +(g173
    +g430
    +tp614
    +tp615
    +Rp616
    +(dp617
    +g9
    +g423
    +sg402
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +g402
    +tp618
    +tp619
    +Rp620
    +(dp621
    +g9
    +g616
    +sg29
    +g30
    +((lp622
    +tp623
    +Rp624
    +sbsg29
    +g30
    +((lp625
    +g1
    +(g2
    +g3
    +(g173
    +g430
    +S'Start'
    +p626
    +tp627
    +tp628
    +Rp629
    +(dp630
    +g9
    +g616
    +sg29
    +g30
    +((lp631
    +tp632
    +Rp633
    +sbag620
    +atp634
    +Rp635
    +sg626
    +g629
    +sbag1
    +(g2
    +g3
    +(g173
    +g57
    +tp636
    +tp637
    +Rp638
    +(dp639
    +g9
    +g423
    +sS'Member'
    +p640
    +g1
    +(g2
    +g3
    +(g173
    +g57
    +g640
    +tp641
    +tp642
    +Rp643
    +(dp644
    +g9
    +g638
    +sg29
    +g30
    +((lp645
    +tp646
    +Rp647
    +sbsg29
    +g30
    +((lp648
    +g643
    +atp649
    +Rp650
    +sbag584
    +ag475
    +ag608
    +ag1
    +(g2
    +g3
    +(g173
    +S'Attribute'
    +p651
    +tp652
    +tp653
    +Rp654
    +(dp655
    +g9
    +g423
    +sg425
    +g1
    +(g2
    +g3
    +(g173
    +g651
    +g425
    +tp656
    +tp657
    +Rp658
    +(dp659
    +g9
    +g654
    +sg29
    +g30
    +((lp660
    +tp661
    +Rp662
    +sbsg29
    +g30
    +((lp663
    +g658
    +atp664
    +Rp665
    +sbag553
    +ag1
    +(g2
    +g3
    +(g173
    +S'Builtin'
    +p666
    +tp667
    +tp668
    +Rp669
    +(dp670
    +g9
    +g423
    +sg526
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g526
    +tp671
    +tp672
    +Rp673
    +(dp674
    +g9
    +g669
    +sg29
    +g30
    +((lp675
    +tp676
    +Rp677
    +sbsg29
    +g30
    +((lp678
    +g1
    +(g2
    +g3
    +(g173
    +g666
    +g518
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g9
    +g669
    +sg29
    +g30
    +((lp683
    +tp684
    +Rp685
    +sbag673
    +atp686
    +Rp687
    +sg518
    +g681
    +sbag592
    +ag529
    +ag505
    +atp688
    +Rp689
    +sg9
    +g11
    +sg430
    +g616
    +sg666
    +g669
    +sg651
    +g654
    +sg57
    +g638
    +sbsS'Punctuation'
    +p690
    +g1
    +(g2
    +g3
    +(g690
    +tp691
    +tp692
    +Rp693
    +(dp694
    +g9
    +g11
    +sg29
    +g30
    +((lp695
    +g1
    +(g2
    +g3
    +(g690
    +S'Indicator'
    +p696
    +tp697
    +tp698
    +Rp699
    +(dp700
    +g9
    +g693
    +sg29
    +g30
    +((lp701
    +tp702
    +Rp703
    +sbatp704
    +Rp705
    +sg696
    +g699
    +sbsS'Comment'
    +p706
    +g1
    +(g2
    +g3
    +(g706
    +tp707
    +tp708
    +Rp709
    +(dp710
    +S'Multi'
    +p711
    +g1
    +(g2
    +g3
    +(g706
    +g711
    +tp712
    +tp713
    +Rp714
    +(dp715
    +g9
    +g709
    +sg29
    +g30
    +((lp716
    +tp717
    +Rp718
    +sbsg9
    +g11
    +sS'Special'
    +p719
    +g1
    +(g2
    +g3
    +(g706
    +g719
    +tp720
    +tp721
    +Rp722
    +(dp723
    +g9
    +g709
    +sg29
    +g30
    +((lp724
    +tp725
    +Rp726
    +sbsS'Hashbang'
    +p727
    +g1
    +(g2
    +g3
    +(g706
    +g727
    +tp728
    +tp729
    +Rp730
    +(dp731
    +g9
    +g709
    +sg29
    +g30
    +((lp732
    +tp733
    +Rp734
    +sbsS'Preproc'
    +p735
    +g1
    +(g2
    +g3
    +(g706
    +g735
    +tp736
    +tp737
    +Rp738
    +(dp739
    +g9
    +g709
    +sg29
    +g30
    +((lp740
    +tp741
    +Rp742
    +sbsg214
    +g1
    +(g2
    +g3
    +(g706
    +g214
    +tp743
    +tp744
    +Rp745
    +(dp746
    +g9
    +g709
    +sg29
    +g30
    +((lp747
    +tp748
    +Rp749
    +sbsS'Directive'
    +p750
    +g1
    +(g2
    +g3
    +(g706
    +g750
    +tp751
    +tp752
    +Rp753
    +(dp754
    +g9
    +g709
    +sg29
    +g30
    +((lp755
    +tp756
    +Rp757
    +sbsg206
    +g1
    +(g2
    +g3
    +(g706
    +g206
    +tp758
    +tp759
    +Rp760
    +(dp761
    +g9
    +g709
    +sg29
    +g30
    +((lp762
    +tp763
    +Rp764
    +sbsS'Singleline'
    +p765
    +g1
    +(g2
    +g3
    +(g706
    +g765
    +tp766
    +tp767
    +Rp768
    +(dp769
    +g9
    +g709
    +sg29
    +g30
    +((lp770
    +tp771
    +Rp772
    +sbsS'Multiline'
    +p773
    +g1
    +(g2
    +g3
    +(g706
    +g773
    +tp774
    +tp775
    +Rp776
    +(dp777
    +g9
    +g709
    +sg29
    +g30
    +((lp778
    +tp779
    +Rp780
    +sbsg29
    +g30
    +((lp781
    +g760
    +ag753
    +ag730
    +ag714
    +ag768
    +ag738
    +ag776
    +ag745
    +ag1
    +(g2
    +g3
    +(g706
    +S'PreprocFile'
    +p782
    +tp783
    +tp784
    +Rp785
    +(dp786
    +g9
    +g709
    +sg29
    +g30
    +((lp787
    +tp788
    +Rp789
    +sbag1
    +(g2
    +g3
    +(g706
    +S'SingleLine'
    +p790
    +tp791
    +tp792
    +Rp793
    +(dp794
    +g9
    +g709
    +sg29
    +g30
    +((lp795
    +tp796
    +Rp797
    +sbag722
    +atp798
    +Rp799
    +sg782
    +g785
    +sg790
    +g793
    +sbsg14
    +g39
    +sg57
    +g1
    +(g2
    +g3
    +(g57
    +tp800
    +tp801
    +Rp802
    +(dp803
    +g9
    +g11
    +sg29
    +g30
    +((lp804
    +tp805
    +Rp806
    +sbsg370
    +g1
    +(g2
    +g3
    +(g370
    +tp807
    +tp808
    +Rp809
    +(dp810
    +g9
    +g11
    +sg29
    +g30
    +((lp811
    +tp812
    +Rp813
    +sbsS'Token'
    +p814
    +g11
    +sg197
    +g1
    +(g2
    +g3
    +(g197
    +tp815
    +tp816
    +Rp817
    +(dp818
    +g9
    +g11
    +sg29
    +g30
    +((lp819
    +tp820
    +Rp821
    +sbsg29
    +g30
    +((lp822
    +g423
    +ag802
    +ag7
    +ag312
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p823
    +tp824
    +tp825
    +Rp826
    +(dp827
    +S'Beer'
    +p828
    +g1
    +(g2
    +g3
    +(g823
    +g828
    +tp829
    +tp830
    +Rp831
    +(dp832
    +g9
    +g826
    +sg29
    +g30
    +((lp833
    +tp834
    +Rp835
    +sbsS'Whitespace'
    +p836
    +g1
    +(g2
    +g3
    +(g823
    +g836
    +tp837
    +tp838
    +Rp839
    +(dp840
    +g9
    +g826
    +sg29
    +g30
    +((lp841
    +tp842
    +Rp843
    +sbsg9
    +g11
    +sS'Root'
    +p844
    +g1
    +(g2
    +g3
    +(g823
    +g844
    +tp845
    +tp846
    +Rp847
    +(dp848
    +g9
    +g826
    +sg29
    +g30
    +((lp849
    +tp850
    +Rp851
    +sbsg101
    +g1
    +(g2
    +g3
    +(g823
    +g101
    +tp852
    +tp853
    +Rp854
    +(dp855
    +g9
    +g826
    +sg29
    +g30
    +((lp856
    +tp857
    +Rp858
    +sbsg690
    +g1
    +(g2
    +g3
    +(g823
    +g690
    +tp859
    +tp860
    +Rp861
    +(dp862
    +g9
    +g826
    +sg29
    +g30
    +((lp863
    +tp864
    +Rp865
    +sbsg29
    +g30
    +((lp866
    +g847
    +ag854
    +ag861
    +ag839
    +ag831
    +ag1
    +(g2
    +g3
    +(g823
    +S'Rag'
    +p867
    +tp868
    +tp869
    +Rp870
    +(dp871
    +g9
    +g826
    +sg29
    +g30
    +((lp872
    +tp873
    +Rp874
    +sbatp875
    +Rp876
    +sg867
    +g870
    +sbag400
    +ag817
    +ag693
    +ag709
    +ag809
    +ag39
    +atp877
    +Rp878
    +sg4
    +g7
    +sg823
    +g826
    +sbsg526
    +g1
    +(g2
    +g3
    +(g4
    +g526
    +tp879
    +tp880
    +Rp881
    +(dp882
    +g9
    +g7
    +sg29
    +g30
    +((lp883
    +tp884
    +Rp885
    +sbsS'Control'
    +p886
    +g1
    +(g2
    +g3
    +(g4
    +g886
    +tp887
    +tp888
    +Rp889
    +(dp890
    +g9
    +g7
    +sg29
    +g30
    +((lp891
    +tp892
    +Rp893
    +sbsg550
    +g1
    +(g2
    +g3
    +(g4
    +g550
    +tp894
    +tp895
    +Rp896
    +(dp897
    +g9
    +g7
    +sg29
    +g30
    +((lp898
    +tp899
    +Rp900
    +sbsg605
    +g1
    +(g2
    +g3
    +(g4
    +g605
    +tp901
    +tp902
    +Rp903
    +(dp904
    +g9
    +g7
    +sg29
    +g30
    +((lp905
    +tp906
    +Rp907
    +sbsS'PreProc'
    +p908
    +g1
    +(g2
    +g3
    +(g4
    +g908
    +tp909
    +tp910
    +Rp911
    +(dp912
    +g9
    +g7
    +sg29
    +g30
    +((lp913
    +tp914
    +Rp915
    +sbsg518
    +g1
    +(g2
    +g3
    +(g4
    +g518
    +tp916
    +tp917
    +Rp918
    +(dp919
    +g9
    +g7
    +sg29
    +g30
    +((lp920
    +tp921
    +Rp922
    +sbsS'Reserved'
    +p923
    +g1
    +(g2
    +g3
    +(g4
    +g923
    +tp924
    +tp925
    +Rp926
    +(dp927
    +g9
    +g7
    +sg29
    +g30
    +((lp928
    +tp929
    +Rp930
    +sbsg29
    +g30
    +((lp931
    +g903
    +ag1
    +(g2
    +g3
    +(g4
    +g411
    +tp932
    +tp933
    +Rp934
    +(dp935
    +g9
    +g7
    +sg29
    +g30
    +((lp936
    +tp937
    +Rp938
    +sbag889
    +ag1
    +(g2
    +g3
    +(g4
    +S'Declaration'
    +p939
    +tp940
    +tp941
    +Rp942
    +(dp943
    +g9
    +g7
    +sg29
    +g30
    +((lp944
    +tp945
    +Rp946
    +sbag1
    +(g2
    +g3
    +(g4
    +g4
    +tp947
    +tp948
    +Rp949
    +(dp950
    +g9
    +g7
    +sg29
    +g30
    +((lp951
    +tp952
    +Rp953
    +sbag918
    +ag896
    +ag881
    +ag926
    +ag911
    +atp954
    +Rp955
    +sg4
    +g949
    +sg939
    +g942
    +sg411
    +g934
    +sbV[5ed621f277b8] {jit-backend-counts
    +p956
    +tp957
    +a(g826
    +V\u000a
    +p958
    +tp959
    +a(g7
    +V[5ed621f309bc] jit-backend-counts}
    +p960
    +tp961
    +a(g826
    +V\u000a
    +p962
    +tp963
    +a(g7
    +V[5ed622c957b0] {jit-log-opt-loop
    +p964
    +tp965
    +a(g826
    +V\u000a
    +p966
    +tp967
    +a(g709
    +V# Loop 0 : loop with 145 ops
    +p968
    +tp969
    +a(g826
    +V\u000a
    +p970
    +tp971
    +a(g693
    +V[
    +p972
    +tp973
    +a(g423
    +Vp0
    +p974
    +tp975
    +a(g693
    +V,
    +p976
    +tp977
    +a(g826
    +V 
    +p978
    +tp979
    +a(g423
    +Vp1
    +p980
    +tp981
    +a(g693
    +g976
    +tp982
    +a(g826
    +g978
    +tp983
    +a(g423
    +Vp2
    +p984
    +tp985
    +a(g693
    +g976
    +tp986
    +a(g826
    +g978
    +tp987
    +a(g423
    +Vp3
    +p988
    +tp989
    +a(g693
    +g976
    +tp990
    +a(g826
    +g978
    +tp991
    +a(g423
    +Vp4
    +p992
    +tp993
    +a(g693
    +g976
    +tp994
    +a(g826
    +g978
    +tp995
    +a(g423
    +Vp5
    +p996
    +tp997
    +a(g693
    +g976
    +tp998
    +a(g826
    +g978
    +tp999
    +a(g423
    +Vp6
    +p1000
    +tp1001
    +a(g693
    +g976
    +tp1002
    +a(g826
    +g978
    +tp1003
    +a(g423
    +Vp7
    +p1004
    +tp1005
    +a(g693
    +g976
    +tp1006
    +a(g826
    +g978
    +tp1007
    +a(g423
    +Vp8
    +p1008
    +tp1009
    +a(g693
    +g976
    +tp1010
    +a(g826
    +g978
    +tp1011
    +a(g423
    +Vp9
    +p1012
    +tp1013
    +a(g693
    +g976
    +tp1014
    +a(g826
    +g978
    +tp1015
    +a(g423
    +Vp10
    +p1016
    +tp1017
    +a(g693
    +g976
    +tp1018
    +a(g826
    +g978
    +tp1019
    +a(g423
    +Vf11
    +p1020
    +tp1021
    +a(g693
    +g976
    +tp1022
    +a(g826
    +g978
    +tp1023
    +a(g423
    +Vp12
    +p1024
    +tp1025
    +a(g693
    +g976
    +tp1026
    +a(g826
    +g978
    +tp1027
    +a(g423
    +Vp13
    +p1028
    +tp1029
    +a(g693
    +g976
    +tp1030
    +a(g826
    +g978
    +tp1031
    +a(g423
    +Vp14
    +p1032
    +tp1033
    +a(g693
    +g976
    +tp1034
    +a(g826
    +g978
    +tp1035
    +a(g423
    +Vp15
    +p1036
    +tp1037
    +a(g693
    +g976
    +tp1038
    +a(g826
    +g978
    +tp1039
    +a(g423
    +Vi16
    +p1040
    +tp1041
    +a(g693
    +g976
    +tp1042
    +a(g826
    +g978
    +tp1043
    +a(g423
    +Vf17
    +p1044
    +tp1045
    +a(g693
    +g976
    +tp1046
    +a(g826
    +g978
    +tp1047
    +a(g423
    +Vi18
    +p1048
    +tp1049
    +a(g693
    +g976
    +tp1050
    +a(g826
    +g978
    +tp1051
    +a(g423
    +Vi19
    +p1052
    +tp1053
    +a(g693
    +g976
    +tp1054
    +a(g826
    +g978
    +tp1055
    +a(g423
    +Vi20
    +p1056
    +tp1057
    +a(g693
    +g976
    +tp1058
    +a(g826
    +g978
    +tp1059
    +a(g423
    +Vi21
    +p1060
    +tp1061
    +a(g693
    +g976
    +tp1062
    +a(g826
    +g978
    +tp1063
    +a(g423
    +Vi22
    +p1064
    +tp1065
    +a(g693
    +g976
    +tp1066
    +a(g826
    +g978
    +tp1067
    +a(g423
    +Vi23
    +p1068
    +tp1069
    +a(g693
    +g976
    +tp1070
    +a(g826
    +g978
    +tp1071
    +a(g423
    +Vi24
    +p1072
    +tp1073
    +a(g693
    +g976
    +tp1074
    +a(g826
    +g978
    +tp1075
    +a(g423
    +Vi25
    +p1076
    +tp1077
    +a(g693
    +g976
    +tp1078
    +a(g826
    +g978
    +tp1079
    +a(g423
    +Vi26
    +p1080
    +tp1081
    +a(g693
    +g976
    +tp1082
    +a(g826
    +g978
    +tp1083
    +a(g423
    +Vf27
    +p1084
    +tp1085
    +a(g693
    +g976
    +tp1086
    +a(g826
    +g978
    +tp1087
    +a(g423
    +Vi28
    +p1088
    +tp1089
    +a(g693
    +g976
    +tp1090
    +a(g826
    +g978
    +tp1091
    +a(g423
    +Vf29
    +p1092
    +tp1093
    +a(g693
    +g976
    +tp1094
    +a(g826
    +g978
    +tp1095
    +a(g423
    +Vf30
    +p1096
    +tp1097
    +a(g693
    +V]
    +p1098
    +tp1099
    +a(g826
    +V\u000a
    +p1100
    +tp1101
    +a(g616
    +Vdebug_merge_point
    +p1102
    +tp1103
    +a(g693
    +V(
    +p1104
    +tp1105
    +a(g76
    +V' #125 FOR_ITER'
    +p1106
    +tp1107
    +a(g693
    +g976
    +tp1108
    +a(g826
    +g978
    +tp1109
    +a(g22
    +V0
    +p1110
    +tp1111
    +a(g693
    +V)
    +p1112
    +tp1113
    +a(g826
    +V\u000a
    +p1114
    +tp1115
    +a(g423
    +Vi32
    +p1116
    +tp1117
    +a(g826
    +g978
    +tp1118
    +a(g693
    +V=
    +p1119
    +tp1120
    +a(g826
    +g978
    +tp1121
    +a(g669
    +Vint_gt
    +p1122
    +tp1123
    +a(g693
    +g1104
    +tp1124
    +a(g423
    +Vi18
    +p1125
    +tp1126
    +a(g693
    +g976
    +tp1127
    +a(g826
    +g978
    +tp1128
    +a(g22
    +g1110
    +tp1129
    +a(g693
    +g1112
    +tp1130
    +a(g826
    +V\u000a
    +p1131
    +tp1132
    +a(g669
    +Vguard_true
    +p1133
    +tp1134
    +a(g693
    +g1104
    +tp1135
    +a(g423
    +Vi32
    +p1136
    +tp1137
    +a(g693
    +g976
    +tp1138
    +a(g826
    +g978
    +tp1139
    +a(g423
    +Vdescr
    +p1140
    +tp1141
    +a(g693
    +g1119
    +tp1142
    +a(g669
    +V
    +p1143
    +tp1144
    +a(g693
    +g1112
    +tp1145
    +a(g826
    +g978
    +tp1146
    +a(g693
    +g972
    +tp1147
    +a(g423
    +Vp1
    +p1148
    +tp1149
    +a(g693
    +g976
    +tp1150
    +a(g826
    +g978
    +tp1151
    +a(g423
    +Vp0
    +p1152
    +tp1153
    +a(g693
    +g976
    +tp1154
    +a(g826
    +g978
    +tp1155
    +a(g423
    +Vp5
    +p1156
    +tp1157
    +a(g693
    +g976
    +tp1158
    +a(g826
    +g978
    +tp1159
    +a(g423
    +Vp2
    +p1160
    +tp1161
    +a(g693
    +g976
    +tp1162
    +a(g826
    +g978
    +tp1163
    +a(g423
    +Vp3
    +p1164
    +tp1165
    +a(g693
    +g976
    +tp1166
    +a(g826
    +g978
    +tp1167
    +a(g423
    +Vp4
    +p1168
    +tp1169
    +a(g693
    +g976
    +tp1170
    +a(g826
    +g978
    +tp1171
    +a(g423
    +Vp6
    +p1172
    +tp1173
    +a(g693
    +g976
    +tp1174
    +a(g826
    +g978
    +tp1175
    +a(g423
    +Vp7
    +p1176
    +tp1177
    +a(g693
    +g976
    +tp1178
    +a(g826
    +g978
    +tp1179
    +a(g423
    +Vp8
    +p1180
    +tp1181
    +a(g693
    +g976
    +tp1182
    +a(g826
    +g978
    +tp1183
    +a(g423
    +Vp9
    +p1184
    +tp1185
    +a(g693
    +g976
    +tp1186
    +a(g826
    +g978
    +tp1187
    +a(g423
    +Vp10
    +p1188
    +tp1189
    +a(g693
    +g976
    +tp1190
    +a(g826
    +g978
    +tp1191
    +a(g423
    +Vp12
    +p1192
    +tp1193
    +a(g693
    +g976
    +tp1194
    +a(g826
    +g978
    +tp1195
    +a(g423
    +Vp13
    +p1196
    +tp1197
    +a(g693
    +g976
    +tp1198
    +a(g826
    +g978
    +tp1199
    +a(g423
    +Vp14
    +p1200
    +tp1201
    +a(g693
    +g976
    +tp1202
    +a(g826
    +g978
    +tp1203
    +a(g423
    +Vp15
    +p1204
    +tp1205
    +a(g693
    +g976
    +tp1206
    +a(g826
    +g978
    +tp1207
    +a(g423
    +Vi16
    +p1208
    +tp1209
    +a(g693
    +g976
    +tp1210
    +a(g826
    +g978
    +tp1211
    +a(g423
    +Vf17
    +p1212
    +tp1213
    +a(g693
    +g976
    +tp1214
    +a(g826
    +g978
    +tp1215
    +a(g423
    +Vf11
    +p1216
    +tp1217
    +a(g693
    +g1098
    +tp1218
    +a(g826
    +V\u000a
    +p1219
    +tp1220
    +a(g423
    +Vi33
    +p1221
    +tp1222
    +a(g826
    +g978
    +tp1223
    +a(g693
    +g1119
    +tp1224
    +a(g826
    +g978
    +tp1225
    +a(g669
    +Vint_add
    +p1226
    +tp1227
    +a(g693
    +g1104
    +tp1228
    +a(g423
    +Vi19
    +p1229
    +tp1230
    +a(g693
    +g976
    +tp1231
    +a(g826
    +g978
    +tp1232
    +a(g423
    +Vi20
    +p1233
    +tp1234
    +a(g693
    +g1112
    +tp1235
    +a(g826
    +V\u000a
    +p1236
    +tp1237
    +a(g423
    +Vi35
    +p1238
    +tp1239
    +a(g826
    +g978
    +tp1240
    +a(g693
    +g1119
    +tp1241
    +a(g826
    +g978
    +tp1242
    +a(g669
    +Vint_sub
    +p1243
    +tp1244
    +a(g693
    +g1104
    +tp1245
    +a(g423
    +Vi18
    +p1246
    +tp1247
    +a(g693
    +g976
    +tp1248
    +a(g826
    +g978
    +tp1249
    +a(g22
    +V1
    +p1250
    +tp1251
    +a(g693
    +g1112
    +tp1252
    +a(g826
    +V\u000a
    +p1253
    +tp1254
    +a(g616
    +Vdebug_merge_point
    +p1255
    +tp1256
    +a(g693
    +g1104
    +tp1257
    +a(g76
    +V' #128 STORE_FAST'
    +p1258
    +tp1259
    +a(g693
    +g976
    +tp1260
    +a(g826
    +g978
    +tp1261
    +a(g22
    +g1110
    +tp1262
    +a(g693
    +g1112
    +tp1263
    +a(g826
    +V\u000a
    +p1264
    +tp1265
    +a(g616
    +Vdebug_merge_point
    +p1266
    +tp1267
    +a(g693
    +g1104
    +tp1268
    +a(g76
    +V' #131 LOAD_FAST'
    +p1269
    +tp1270
    +a(g693
    +g976
    +tp1271
    +a(g826
    +g978
    +tp1272
    +a(g22
    +g1110
    +tp1273
    +a(g693
    +g1112
    +tp1274
    +a(g826
    +V\u000a
    +p1275
    +tp1276
    +a(g616
    +Vdebug_merge_point
    +p1277
    +tp1278
    +a(g693
    +g1104
    +tp1279
    +a(g76
    +V' #134 LOAD_FAST'
    +p1280
    +tp1281
    +a(g693
    +g976
    +tp1282
    +a(g826
    +g978
    +tp1283
    +a(g22
    +g1110
    +tp1284
    +a(g693
    +g1112
    +tp1285
    +a(g826
    +V\u000a
    +p1286
    +tp1287
    +a(g616
    +Vdebug_merge_point
    +p1288
    +tp1289
    +a(g693
    +g1104
    +tp1290
    +a(g76
    +V' #137 LOAD_FAST'
    +p1291
    +tp1292
    +a(g693
    +g976
    +tp1293
    +a(g826
    +g978
    +tp1294
    +a(g22
    +g1110
    +tp1295
    +a(g693
    +g1112
    +tp1296
    +a(g826
    +V\u000a
    +p1297
    +tp1298
    +a(g616
    +Vdebug_merge_point
    +p1299
    +tp1300
    +a(g693
    +g1104
    +tp1301
    +a(g76
    +V' #140 BINARY_MULTIPLY'
    +p1302
    +tp1303
    +a(g693
    +g976
    +tp1304
    +a(g826
    +g978
    +tp1305
    +a(g22
    +g1110
    +tp1306
    +a(g693
    +g1112
    +tp1307
    +a(g826
    +V\u000a
    +p1308
    +tp1309
    +a(g669
    +Vsetfield_gc
    +p1310
    +tp1311
    +a(g693
    +g1104
    +tp1312
    +a(g423
    +Vp5
    +p1313
    +tp1314
    +a(g693
    +g976
    +tp1315
    +a(g826
    +g978
    +tp1316
    +a(g423
    +Vi33
    +p1317
    +tp1318
    +a(g693
    +g976
    +tp1319
    +a(g826
    +g978
    +tp1320
    +a(g423
    +Vdescr
    +p1321
    +tp1322
    +a(g693
    +g1119
    +tp1323
    +a(g669
    +V
    +p1324
    +tp1325
    +a(g693
    +g1112
    +tp1326
    +a(g826
    +V\u000a
    +p1327
    +tp1328
    +a(g669
    +Vsetfield_gc
    +p1329
    +tp1330
    +a(g693
    +g1104
    +tp1331
    +a(g423
    +Vp5
    +p1332
    +tp1333
    +a(g693
    +g976
    +tp1334
    +a(g826
    +g978
    +tp1335
    +a(g423
    +Vi35
    +p1336
    +tp1337
    +a(g693
    +g976
    +tp1338
    +a(g826
    +g978
    +tp1339
    +a(g423
    +Vdescr
    +p1340
    +tp1341
    +a(g693
    +g1119
    +tp1342
    +a(g669
    +V
    +p1343
    +tp1344
    +a(g693
    +g1112
    +tp1345
    +a(g826
    +V\u000a
    +p1346
    +tp1347
    +a(g423
    +Vi36
    +p1348
    +tp1349
    +a(g826
    +g978
    +tp1350
    +a(g693
    +g1119
    +tp1351
    +a(g826
    +g978
    +tp1352
    +a(g669
    +Vint_mul_ovf
    +p1353
    +tp1354
    +a(g693
    +g1104
    +tp1355
    +a(g423
    +Vi21
    +p1356
    +tp1357
    +a(g693
    +g976
    +tp1358
    +a(g826
    +g978
    +tp1359
    +a(g423
    +Vi22
    +p1360
    +tp1361
    +a(g693
    +g1112
    +tp1362
    +a(g826
    +V\u000a
    +p1363
    +tp1364
    +a(g669
    +Vguard_no_overflow
    +p1365
    +tp1366
    +a(g693
    +g1104
    +tp1367
    +a(g693
    +g976
    +tp1368
    +a(g826
    +g978
    +tp1369
    +a(g423
    +Vdescr
    +p1370
    +tp1371
    +a(g693
    +g1119
    +tp1372
    +a(g669
    +V
    +p1373
    +tp1374
    +a(g693
    +g1112
    +tp1375
    +a(g826
    +g978
    +tp1376
    +a(g693
    +g972
    +tp1377
    +a(g423
    +Vp1
    +p1378
    +tp1379
    +a(g693
    +g976
    +tp1380
    +a(g826
    +g978
    +tp1381
    +a(g423
    +Vp0
    +p1382
    +tp1383
    +a(g693
    +g976
    +tp1384
    +a(g826
    +g978
    +tp1385
    +a(g423
    +Vp12
    +p1386
    +tp1387
    +a(g693
    +g976
    +tp1388
    +a(g826
    +g978
    +tp1389
    +a(g423
    +Vp15
    +p1390
    +tp1391
    +a(g693
    +g976
    +tp1392
    +a(g826
    +g978
    +tp1393
    +a(g423
    +Vi36
    +p1394
    +tp1395
    +a(g693
    +g976
    +tp1396
    +a(g826
    +g978
    +tp1397
    +a(g423
    +Vp2
    +p1398
    +tp1399
    +a(g693
    +g976
    +tp1400
    +a(g826
    +g978
    +tp1401
    +a(g423
    +Vp3
    +p1402
    +tp1403
    +a(g693
    +g976
    +tp1404
    +a(g826
    +g978
    +tp1405
    +a(g423
    +Vp4
    +p1406
    +tp1407
    +a(g693
    +g976
    +tp1408
    +a(g826
    +g978
    +tp1409
    +a(g423
    +Vp5
    +p1410
    +tp1411
    +a(g693
    +g976
    +tp1412
    +a(g826
    +g978
    +tp1413
    +a(g423
    +Vp14
    +p1414
    +tp1415
    +a(g693
    +g976
    +tp1416
    +a(g826
    +g978
    +tp1417
    +a(g423
    +Vp6
    +p1418
    +tp1419
    +a(g693
    +g976
    +tp1420
    +a(g826
    +g978
    +tp1421
    +a(g423
    +Vp7
    +p1422
    +tp1423
    +a(g693
    +g976
    +tp1424
    +a(g826
    +g978
    +tp1425
    +a(g423
    +Vp8
    +p1426
    +tp1427
    +a(g693
    +g976
    +tp1428
    +a(g826
    +g978
    +tp1429
    +a(g423
    +Vp9
    +p1430
    +tp1431
    +a(g693
    +g976
    +tp1432
    +a(g826
    +g978
    +tp1433
    +a(g423
    +Vp10
    +p1434
    +tp1435
    +a(g693
    +g976
    +tp1436
    +a(g826
    +g978
    +tp1437
    +a(g423
    +Vp13
    +p1438
    +tp1439
    +a(g693
    +g976
    +tp1440
    +a(g826
    +g978
    +tp1441
    +a(g423
    +Vi19
    +p1442
    +tp1443
    +a(g693
    +g976
    +tp1444
    +a(g826
    +g978
    +tp1445
    +a(g423
    +VNone
    +p1446
    +tp1447
    +a(g693
    +g976
    +tp1448
    +a(g826
    +g978
    +tp1449
    +a(g423
    +Vf17
    +p1450
    +tp1451
    +a(g693
    +g976
    +tp1452
    +a(g826
    +g978
    +tp1453
    +a(g423
    +Vf11
    +p1454
    +tp1455
    +a(g693
    +g1098
    +tp1456
    +a(g826
    +V\u000a
    +p1457
    +tp1458
    +a(g616
    +Vdebug_merge_point
    +p1459
    +tp1460
    +a(g693
    +g1104
    +tp1461
    +a(g76
    +V' #141 LOAD_FAST'
    +p1462
    +tp1463
    +a(g693
    +g976
    +tp1464
    +a(g826
    +g978
    +tp1465
    +a(g22
    +g1110
    +tp1466
    +a(g693
    +g1112
    +tp1467
    +a(g826
    +V\u000a
    +p1468
    +tp1469
    +a(g616
    +Vdebug_merge_point
    +p1470
    +tp1471
    +a(g693
    +g1104
    +tp1472
    +a(g76
    +V' #144 BINARY_ADD'
    +p1473
    +tp1474
    +a(g693
    +g976
    +tp1475
    +a(g826
    +g978
    +tp1476
    +a(g22
    +g1110
    +tp1477
    +a(g693
    +g1112
    +tp1478
    +a(g826
    +V\u000a
    +p1479
    +tp1480
    +a(g423
    +Vi38
    +p1481
    +tp1482
    +a(g826
    +g978
    +tp1483
    +a(g693
    +g1119
    +tp1484
    +a(g826
    +g978
    +tp1485
    +a(g669
    +Vint_add_ovf
    +p1486
    +tp1487
    +a(g693
    +g1104
    +tp1488
    +a(g423
    +Vi36
    +p1489
    +tp1490
    +a(g693
    +g976
    +tp1491
    +a(g826
    +g978
    +tp1492
    +a(g423
    +Vi19
    +p1493
    +tp1494
    +a(g693
    +g1112
    +tp1495
    +a(g826
    +V\u000a
    +p1496
    +tp1497
    +a(g669
    +Vguard_no_overflow
    +p1498
    +tp1499
    +a(g693
    +g1104
    +tp1500
    +a(g693
    +g976
    +tp1501
    +a(g826
    +g978
    +tp1502
    +a(g423
    +Vdescr
    +p1503
    +tp1504
    +a(g693
    +g1119
    +tp1505
    +a(g669
    +V
    +p1506
    +tp1507
    +a(g693
    +g1112
    +tp1508
    +a(g826
    +g978
    +tp1509
    +a(g693
    +g972
    +tp1510
    +a(g423
    +Vp1
    +p1511
    +tp1512
    +a(g693
    +g976
    +tp1513
    +a(g826
    +g978
    +tp1514
    +a(g423
    +Vp0
    +p1515
    +tp1516
    +a(g693
    +g976
    +tp1517
    +a(g826
    +g978
    +tp1518
    +a(g423
    +Vi38
    +p1519
    +tp1520
    +a(g693
    +g976
    +tp1521
    +a(g826
    +g978
    +tp1522
    +a(g423
    +Vp2
    +p1523
    +tp1524
    +a(g693
    +g976
    +tp1525
    +a(g826
    +g978
    +tp1526
    +a(g423
    +Vp3
    +p1527
    +tp1528
    +a(g693
    +g976
    +tp1529
    +a(g826
    +g978
    +tp1530
    +a(g423
    +Vp4
    +p1531
    +tp1532
    +a(g693
    +g976
    +tp1533
    +a(g826
    +g978
    +tp1534
    +a(g423
    +Vp5
    +p1535
    +tp1536
    +a(g693
    +g976
    +tp1537
    +a(g826
    +g978
    +tp1538
    +a(g423
    +Vp14
    +p1539
    +tp1540
    +a(g693
    +g976
    +tp1541
    +a(g826
    +g978
    +tp1542
    +a(g423
    +Vp6
    +p1543
    +tp1544
    +a(g693
    +g976
    +tp1545
    +a(g826
    +g978
    +tp1546
    +a(g423
    +Vp7
    +p1547
    +tp1548
    +a(g693
    +g976
    +tp1549
    +a(g826
    +g978
    +tp1550
    +a(g423
    +Vp8
    +p1551
    +tp1552
    +a(g693
    +g976
    +tp1553
    +a(g826
    +g978
    +tp1554
    +a(g423
    +Vp9
    +p1555
    +tp1556
    +a(g693
    +g976
    +tp1557
    +a(g826
    +g978
    +tp1558
    +a(g423
    +Vp10
    +p1559
    +tp1560
    +a(g693
    +g976
    +tp1561
    +a(g826
    +g978
    +tp1562
    +a(g423
    +Vp12
    +p1563
    +tp1564
    +a(g693
    +g976
    +tp1565
    +a(g826
    +g978
    +tp1566
    +a(g423
    +Vp13
    +p1567
    +tp1568
    +a(g693
    +g976
    +tp1569
    +a(g826
    +g978
    +tp1570
    +a(g423
    +Vp15
    +p1571
    +tp1572
    +a(g693
    +g976
    +tp1573
    +a(g826
    +g978
    +tp1574
    +a(g423
    +Vi36
    +p1575
    +tp1576
    +a(g693
    +g976
    +tp1577
    +a(g826
    +g978
    +tp1578
    +a(g423
    +Vi19
    +p1579
    +tp1580
    +a(g693
    +g976
    +tp1581
    +a(g826
    +g978
    +tp1582
    +a(g423
    +VNone
    +p1583
    +tp1584
    +a(g693
    +g976
    +tp1585
    +a(g826
    +g978
    +tp1586
    +a(g423
    +Vf17
    +p1587
    +tp1588
    +a(g693
    +g976
    +tp1589
    +a(g826
    +g978
    +tp1590
    +a(g423
    +Vf11
    +p1591
    +tp1592
    +a(g693
    +g1098
    +tp1593
    +a(g826
    +V\u000a
    +p1594
    +tp1595
    +a(g616
    +Vdebug_merge_point
    +p1596
    +tp1597
    +a(g693
    +g1104
    +tp1598
    +a(g76
    +V' #145 BINARY_SUBSCR'
    +p1599
    +tp1600
    +a(g693
    +g976
    +tp1601
    +a(g826
    +g978
    +tp1602
    +a(g22
    +g1110
    +tp1603
    +a(g693
    +g1112
    +tp1604
    +a(g826
    +V\u000a
    +p1605
    +tp1606
    +a(g423
    +Vi40
    +p1607
    +tp1608
    +a(g826
    +g978
    +tp1609
    +a(g693
    +g1119
    +tp1610
    +a(g826
    +g978
    +tp1611
    +a(g669
    +Vint_lt
    +p1612
    +tp1613
    +a(g693
    +g1104
    +tp1614
    +a(g423
    +Vi38
    +p1615
    +tp1616
    +a(g693
    +g976
    +tp1617
    +a(g826
    +g978
    +tp1618
    +a(g22
    +g1110
    +tp1619
    +a(g693
    +g1112
    +tp1620
    +a(g826
    +V\u000a
    +p1621
    +tp1622
    +a(g669
    +Vguard_false
    +p1623
    +tp1624
    +a(g693
    +g1104
    +tp1625
    +a(g423
    +Vi40
    +p1626
    +tp1627
    +a(g693
    +g976
    +tp1628
    +a(g826
    +g978
    +tp1629
    +a(g423
    +Vdescr
    +p1630
    +tp1631
    +a(g693
    +g1119
    +tp1632
    +a(g669
    +V
    +p1633
    +tp1634
    +a(g693
    +g1112
    +tp1635
    +a(g826
    +g978
    +tp1636
    +a(g693
    +g972
    +tp1637
    +a(g423
    +Vp1
    +p1638
    +tp1639
    +a(g693
    +g976
    +tp1640
    +a(g826
    +g978
    +tp1641
    +a(g423
    +Vp0
    +p1642
    +tp1643
    +a(g693
    +g976
    +tp1644
    +a(g826
    +g978
    +tp1645
    +a(g423
    +Vp14
    +p1646
    +tp1647
    +a(g693
    +g976
    +tp1648
    +a(g826
    +g978
    +tp1649
    +a(g423
    +Vi38
    +p1650
    +tp1651
    +a(g693
    +g976
    +tp1652
    +a(g826
    +g978
    +tp1653
    +a(g423
    +Vi23
    +p1654
    +tp1655
    +a(g693
    +g976
    +tp1656
    +a(g826
    +g978
    +tp1657
    +a(g423
    +Vp2
    +p1658
    +tp1659
    +a(g693
    +g976
    +tp1660
    +a(g826
    +g978
    +tp1661
    +a(g423
    +Vp3
    +p1662
    +tp1663
    +a(g693
    +g976
    +tp1664
    +a(g826
    +g978
    +tp1665
    +a(g423
    +Vp4
    +p1666
    +tp1667
    +a(g693
    +g976
    +tp1668
    +a(g826
    +g978
    +tp1669
    +a(g423
    +Vp5
    +p1670
    +tp1671
    +a(g693
    +g976
    +tp1672
    +a(g826
    +g978
    +tp1673
    +a(g423
    +Vp6
    +p1674
    +tp1675
    +a(g693
    +g976
    +tp1676
    +a(g826
    +g978
    +tp1677
    +a(g423
    +Vp7
    +p1678
    +tp1679
    +a(g693
    +g976
    +tp1680
    +a(g826
    +g978
    +tp1681
    +a(g423
    +Vp8
    +p1682
    +tp1683
    +a(g693
    +g976
    +tp1684
    +a(g826
    +g978
    +tp1685
    +a(g423
    +Vp9
    +p1686
    +tp1687
    +a(g693
    +g976
    +tp1688
    +a(g826
    +g978
    +tp1689
    +a(g423
    +Vp10
    +p1690
    +tp1691
    +a(g693
    +g976
    +tp1692
    +a(g826
    +g978
    +tp1693
    +a(g423
    +Vp12
    +p1694
    +tp1695
    +a(g693
    +g976
    +tp1696
    +a(g826
    +g978
    +tp1697
    +a(g423
    +Vp13
    +p1698
    +tp1699
    +a(g693
    +g976
    +tp1700
    +a(g826
    +g978
    +tp1701
    +a(g423
    +Vp15
    +p1702
    +tp1703
    +a(g693
    +g976
    +tp1704
    +a(g826
    +g978
    +tp1705
    +a(g423
    +VNone
    +p1706
    +tp1707
    +a(g693
    +g976
    +tp1708
    +a(g826
    +g978
    +tp1709
    +a(g423
    +Vi19
    +p1710
    +tp1711
    +a(g693
    +g976
    +tp1712
    +a(g826
    +g978
    +tp1713
    +a(g423
    +VNone
    +p1714
    +tp1715
    +a(g693
    +g976
    +tp1716
    +a(g826
    +g978
    +tp1717
    +a(g423
    +Vf17
    +p1718
    +tp1719
    +a(g693
    +g976
    +tp1720
    +a(g826
    +g978
    +tp1721
    +a(g423
    +Vf11
    +p1722
    +tp1723
    +a(g693
    +g1098
    +tp1724
    +a(g826
    +V\u000a
    +p1725
    +tp1726
    +a(g423
    +Vi41
    +p1727
    +tp1728
    +a(g826
    +g978
    +tp1729
    +a(g693
    +g1119
    +tp1730
    +a(g826
    +g978
    +tp1731
    +a(g669
    +Vint_lt
    +p1732
    +tp1733
    +a(g693
    +g1104
    +tp1734
    +a(g423
    +Vi38
    +p1735
    +tp1736
    +a(g693
    +g976
    +tp1737
    +a(g826
    +g978
    +tp1738
    +a(g423
    +Vi23
    +p1739
    +tp1740
    +a(g693
    +g1112
    +tp1741
    +a(g826
    +V\u000a
    +p1742
    +tp1743
    +a(g669
    +Vguard_true
    +p1744
    +tp1745
    +a(g693
    +g1104
    +tp1746
    +a(g423
    +Vi41
    +p1747
    +tp1748
    +a(g693
    +g976
    +tp1749
    +a(g826
    +g978
    +tp1750
    +a(g423
    +Vdescr
    +p1751
    +tp1752
    +a(g693
    +g1119
    +tp1753
    +a(g669
    +V
    +p1754
    +tp1755
    +a(g693
    +g1112
    +tp1756
    +a(g826
    +g978
    +tp1757
    +a(g693
    +g972
    +tp1758
    +a(g423
    +Vp1
    +p1759
    +tp1760
    +a(g693
    +g976
    +tp1761
    +a(g826
    +g978
    +tp1762
    +a(g423
    +Vp0
    +p1763
    +tp1764
    +a(g693
    +g976
    +tp1765
    +a(g826
    +g978
    +tp1766
    +a(g423
    +Vp14
    +p1767
    +tp1768
    +a(g693
    +g976
    +tp1769
    +a(g826
    +g978
    +tp1770
    +a(g423
    +Vi38
    +p1771
    +tp1772
    +a(g693
    +g976
    +tp1773
    +a(g826
    +g978
    +tp1774
    +a(g423
    +Vp2
    +p1775
    +tp1776
    +a(g693
    +g976
    +tp1777
    +a(g826
    +g978
    +tp1778
    +a(g423
    +Vp3
    +p1779
    +tp1780
    +a(g693
    +g976
    +tp1781
    +a(g826
    +g978
    +tp1782
    +a(g423
    +Vp4
    +p1783
    +tp1784
    +a(g693
    +g976
    +tp1785
    +a(g826
    +g978
    +tp1786
    +a(g423
    +Vp5
    +p1787
    +tp1788
    +a(g693
    +g976
    +tp1789
    +a(g826
    +g978
    +tp1790
    +a(g423
    +Vp6
    +p1791
    +tp1792
    +a(g693
    +g976
    +tp1793
    +a(g826
    +g978
    +tp1794
    +a(g423
    +Vp7
    +p1795
    +tp1796
    +a(g693
    +g976
    +tp1797
    +a(g826
    +g978
    +tp1798
    +a(g423
    +Vp8
    +p1799
    +tp1800
    +a(g693
    +g976
    +tp1801
    +a(g826
    +g978
    +tp1802
    +a(g423
    +Vp9
    +p1803
    +tp1804
    +a(g693
    +g976
    +tp1805
    +a(g826
    +g978
    +tp1806
    +a(g423
    +Vp10
    +p1807
    +tp1808
    +a(g693
    +g976
    +tp1809
    +a(g826
    +g978
    +tp1810
    +a(g423
    +Vp12
    +p1811
    +tp1812
    +a(g693
    +g976
    +tp1813
    +a(g826
    +g978
    +tp1814
    +a(g423
    +Vp13
    +p1815
    +tp1816
    +a(g693
    +g976
    +tp1817
    +a(g826
    +g978
    +tp1818
    +a(g423
    +Vp15
    +p1819
    +tp1820
    +a(g693
    +g976
    +tp1821
    +a(g826
    +g978
    +tp1822
    +a(g423
    +VNone
    +p1823
    +tp1824
    +a(g693
    +g976
    +tp1825
    +a(g826
    +g978
    +tp1826
    +a(g423
    +Vi19
    +p1827
    +tp1828
    +a(g693
    +g976
    +tp1829
    +a(g826
    +g978
    +tp1830
    +a(g423
    +VNone
    +p1831
    +tp1832
    +a(g693
    +g976
    +tp1833
    +a(g826
    +g978
    +tp1834
    +a(g423
    +Vf17
    +p1835
    +tp1836
    +a(g693
    +g976
    +tp1837
    +a(g826
    +g978
    +tp1838
    +a(g423
    +Vf11
    +p1839
    +tp1840
    +a(g693
    +g1098
    +tp1841
    +a(g826
    +V\u000a
    +p1842
    +tp1843
    +a(g423
    +Vf42
    +p1844
    +tp1845
    +a(g826
    +g978
    +tp1846
    +a(g693
    +g1119
    +tp1847
    +a(g826
    +g978
    +tp1848
    +a(g669
    +Vgetarrayitem_raw
    +p1849
    +tp1850
    +a(g693
    +g1104
    +tp1851
    +a(g423
    +Vi24
    +p1852
    +tp1853
    +a(g693
    +g976
    +tp1854
    +a(g826
    +g978
    +tp1855
    +a(g423
    +Vi38
    +p1856
    +tp1857
    +a(g693
    +g976
    +tp1858
    +a(g826
    +g978
    +tp1859
    +a(g423
    +Vdescr
    +p1860
    +tp1861
    +a(g693
    +g1119
    +tp1862
    +a(g669
    +V
    +p1863
    +tp1864
    +a(g693
    +g1112
    +tp1865
    +a(g826
    +V\u000a
    +p1866
    +tp1867
    +a(g616
    +Vdebug_merge_point
    +p1868
    +tp1869
    +a(g693
    +g1104
    +tp1870
    +a(g76
    +V' #146 STORE_FAST'
    +p1871
    +tp1872
    +a(g693
    +g976
    +tp1873
    +a(g826
    +g978
    +tp1874
    +a(g22
    +g1110
    +tp1875
    +a(g693
    +g1112
    +tp1876
    +a(g826
    +V\u000a
    +p1877
    +tp1878
    +a(g616
    +Vdebug_merge_point
    +p1879
    +tp1880
    +a(g693
    +g1104
    +tp1881
    +a(g76
    +V' #149 LOAD_FAST'
    +p1882
    +tp1883
    +a(g693
    +g976
    +tp1884
    +a(g826
    +g978
    +tp1885
    +a(g22
    +g1110
    +tp1886
    +a(g693
    +g1112
    +tp1887
    +a(g826
    +V\u000a
    +p1888
    +tp1889
    +a(g616
    +Vdebug_merge_point
    +p1890
    +tp1891
    +a(g693
    +g1104
    +tp1892
    +a(g76
    +V' #152 LOAD_FAST'
    +p1893
    +tp1894
    +a(g693
    +g976
    +tp1895
    +a(g826
    +g978
    +tp1896
    +a(g22
    +g1110
    +tp1897
    +a(g693
    +g1112
    +tp1898
    +a(g826
    +V\u000a
    +p1899
    +tp1900
    +a(g616
    +Vdebug_merge_point
    +p1901
    +tp1902
    +a(g693
    +g1104
    +tp1903
    +a(g76
    +V' #155 LOAD_CONST'
    +p1904
    +tp1905
    +a(g693
    +g976
    +tp1906
    +a(g826
    +g978
    +tp1907
    +a(g22
    +g1110
    +tp1908
    +a(g693
    +g1112
    +tp1909
    +a(g826
    +V\u000a
    +p1910
    +tp1911
    +a(g616
    +Vdebug_merge_point
    +p1912
    +tp1913
    +a(g693
    +g1104
    +tp1914
    +a(g76
    +V' #158 BINARY_SUBTRACT'
    +p1915
    +tp1916
    +a(g693
    +g976
    +tp1917
    +a(g826
    +g978
    +tp1918
    +a(g22
    +g1110
    +tp1919
    +a(g693
    +g1112
    +tp1920
    +a(g826
    +V\u000a
    +p1921
    +tp1922
    +a(g616
    +Vdebug_merge_point
    +p1923
    +tp1924
    +a(g693
    +g1104
    +tp1925
    +a(g76
    +V' #159 LOAD_FAST'
    +p1926
    +tp1927
    +a(g693
    +g976
    +tp1928
    +a(g826
    +g978
    +tp1929
    +a(g22
    +g1110
    +tp1930
    +a(g693
    +g1112
    +tp1931
    +a(g826
    +V\u000a
    +p1932
    +tp1933
    +a(g616
    +Vdebug_merge_point
    +p1934
    +tp1935
    +a(g693
    +g1104
    +tp1936
    +a(g76
    +V' #162 BINARY_MULTIPLY'
    +p1937
    +tp1938
    +a(g693
    +g976
    +tp1939
    +a(g826
    +g978
    +tp1940
    +a(g22
    +g1110
    +tp1941
    +a(g693
    +g1112
    +tp1942
    +a(g826
    +V\u000a
    +p1943
    +tp1944
    +a(g616
    +Vdebug_merge_point
    +p1945
    +tp1946
    +a(g693
    +g1104
    +tp1947
    +a(g76
    +V' #163 LOAD_FAST'
    +p1948
    +tp1949
    +a(g693
    +g976
    +tp1950
    +a(g826
    +g978
    +tp1951
    +a(g22
    +g1110
    +tp1952
    +a(g693
    +g1112
    +tp1953
    +a(g826
    +V\u000a
    +p1954
    +tp1955
    +a(g616
    +Vdebug_merge_point
    +p1956
    +tp1957
    +a(g693
    +g1104
    +tp1958
    +a(g76
    +V' #166 BINARY_ADD'
    +p1959
    +tp1960
    +a(g693
    +g976
    +tp1961
    +a(g826
    +g978
    +tp1962
    +a(g22
    +g1110
    +tp1963
    +a(g693
    +g1112
    +tp1964
    +a(g826
    +V\u000a
    +p1965
    +tp1966
    +a(g423
    +Vi43
    +p1967
    +tp1968
    +a(g826
    +g978
    +tp1969
    +a(g693
    +g1119
    +tp1970
    +a(g826
    +g978
    +tp1971
    +a(g669
    +Vint_add_ovf
    +p1972
    +tp1973
    +a(g693
    +g1104
    +tp1974
    +a(g423
    +Vi25
    +p1975
    +tp1976
    +a(g693
    +g976
    +tp1977
    +a(g826
    +g978
    +tp1978
    +a(g423
    +Vi19
    +p1979
    +tp1980
    +a(g693
    +g1112
    +tp1981
    +a(g826
    +V\u000a
    +p1982
    +tp1983
    +a(g669
    +Vguard_no_overflow
    +p1984
    +tp1985
    +a(g693
    +g1104
    +tp1986
    +a(g693
    +g976
    +tp1987
    +a(g826
    +g978
    +tp1988
    +a(g423
    +Vdescr
    +p1989
    +tp1990
    +a(g693
    +g1119
    +tp1991
    +a(g669
    +V
    +p1992
    +tp1993
    +a(g693
    +g1112
    +tp1994
    +a(g826
    +g978
    +tp1995
    +a(g693
    +g972
    +tp1996
    +a(g423
    +Vp1
    +p1997
    +tp1998
    +a(g693
    +g976
    +tp1999
    +a(g826
    +g978
    +tp2000
    +a(g423
    +Vp0
    +p2001
    +tp2002
    +a(g693
    +g976
    +tp2003
    +a(g826
    +g978
    +tp2004
    +a(g423
    +Vi43
    +p2005
    +tp2006
    +a(g693
    +g976
    +tp2007
    +a(g826
    +g978
    +tp2008
    +a(g423
    +Vp2
    +p2009
    +tp2010
    +a(g693
    +g976
    +tp2011
    +a(g826
    +g978
    +tp2012
    +a(g423
    +Vp3
    +p2013
    +tp2014
    +a(g693
    +g976
    +tp2015
    +a(g826
    +g978
    +tp2016
    +a(g423
    +Vp4
    +p2017
    +tp2018
    +a(g693
    +g976
    +tp2019
    +a(g826
    +g978
    +tp2020
    +a(g423
    +Vp5
    +p2021
    +tp2022
    +a(g693
    +g976
    +tp2023
    +a(g826
    +g978
    +tp2024
    +a(g423
    +Vp14
    +p2025
    +tp2026
    +a(g693
    +g976
    +tp2027
    +a(g826
    +g978
    +tp2028
    +a(g423
    +Vp6
    +p2029
    +tp2030
    +a(g693
    +g976
    +tp2031
    +a(g826
    +g978
    +tp2032
    +a(g423
    +Vp7
    +p2033
    +tp2034
    +a(g693
    +g976
    +tp2035
    +a(g826
    +g978
    +tp2036
    +a(g423
    +Vp8
    +p2037
    +tp2038
    +a(g693
    +g976
    +tp2039
    +a(g826
    +g978
    +tp2040
    +a(g423
    +Vp9
    +p2041
    +tp2042
    +a(g693
    +g976
    +tp2043
    +a(g826
    +g978
    +tp2044
    +a(g423
    +Vp10
    +p2045
    +tp2046
    +a(g693
    +g976
    +tp2047
    +a(g826
    +g978
    +tp2048
    +a(g423
    +Vp12
    +p2049
    +tp2050
    +a(g693
    +g976
    +tp2051
    +a(g826
    +g978
    +tp2052
    +a(g423
    +Vp13
    +p2053
    +tp2054
    +a(g693
    +g976
    +tp2055
    +a(g826
    +g978
    +tp2056
    +a(g423
    +Vp15
    +p2057
    +tp2058
    +a(g693
    +g976
    +tp2059
    +a(g826
    +g978
    +tp2060
    +a(g423
    +Vf42
    +p2061
    +tp2062
    +a(g693
    +g976
    +tp2063
    +a(g826
    +g978
    +tp2064
    +a(g423
    +Vi25
    +p2065
    +tp2066
    +a(g693
    +g976
    +tp2067
    +a(g826
    +g978
    +tp2068
    +a(g423
    +VNone
    +p2069
    +tp2070
    +a(g693
    +g976
    +tp2071
    +a(g826
    +g978
    +tp2072
    +a(g423
    +Vi19
    +p2073
    +tp2074
    +a(g693
    +g976
    +tp2075
    +a(g826
    +g978
    +tp2076
    +a(g423
    +VNone
    +p2077
    +tp2078
    +a(g693
    +g976
    +tp2079
    +a(g826
    +g978
    +tp2080
    +a(g423
    +VNone
    +p2081
    +tp2082
    +a(g693
    +g976
    +tp2083
    +a(g826
    +g978
    +tp2084
    +a(g423
    +Vf11
    +p2085
    +tp2086
    +a(g693
    +g1098
    +tp2087
    +a(g826
    +V\u000a
    +p2088
    +tp2089
    +a(g616
    +Vdebug_merge_point
    +p2090
    +tp2091
    +a(g693
    +g1104
    +tp2092
    +a(g76
    +V' #167 BINARY_SUBSCR'
    +p2093
    +tp2094
    +a(g693
    +g976
    +tp2095
    +a(g826
    +g978
    +tp2096
    +a(g22
    +g1110
    +tp2097
    +a(g693
    +g1112
    +tp2098
    +a(g826
    +V\u000a
    +p2099
    +tp2100
    +a(g423
    +Vi45
    +p2101
    +tp2102
    +a(g826
    +g978
    +tp2103
    +a(g693
    +g1119
    +tp2104
    +a(g826
    +g978
    +tp2105
    +a(g669
    +Vint_lt
    +p2106
    +tp2107
    +a(g693
    +g1104
    +tp2108
    +a(g423
    +Vi43
    +p2109
    +tp2110
    +a(g693
    +g976
    +tp2111
    +a(g826
    +g978
    +tp2112
    +a(g22
    +g1110
    +tp2113
    +a(g693
    +g1112
    +tp2114
    +a(g826
    +V\u000a
    +p2115
    +tp2116
    +a(g669
    +Vguard_false
    +p2117
    +tp2118
    +a(g693
    +g1104
    +tp2119
    +a(g423
    +Vi45
    +p2120
    +tp2121
    +a(g693
    +g976
    +tp2122
    +a(g826
    +g978
    +tp2123
    +a(g423
    +Vdescr
    +p2124
    +tp2125
    +a(g693
    +g1119
    +tp2126
    +a(g669
    +V
    +p2127
    +tp2128
    +a(g693
    +g1112
    +tp2129
    +a(g826
    +g978
    +tp2130
    +a(g693
    +g972
    +tp2131
    +a(g423
    +Vp1
    +p2132
    +tp2133
    +a(g693
    +g976
    +tp2134
    +a(g826
    +g978
    +tp2135
    +a(g423
    +Vp0
    +p2136
    +tp2137
    +a(g693
    +g976
    +tp2138
    +a(g826
    +g978
    +tp2139
    +a(g423
    +Vp14
    +p2140
    +tp2141
    +a(g693
    +g976
    +tp2142
    +a(g826
    +g978
    +tp2143
    +a(g423
    +Vi43
    +p2144
    +tp2145
    +a(g693
    +g976
    +tp2146
    +a(g826
    +g978
    +tp2147
    +a(g423
    +Vi23
    +p2148
    +tp2149
    +a(g693
    +g976
    +tp2150
    +a(g826
    +g978
    +tp2151
    +a(g423
    +Vp2
    +p2152
    +tp2153
    +a(g693
    +g976
    +tp2154
    +a(g826
    +g978
    +tp2155
    +a(g423
    +Vp3
    +p2156
    +tp2157
    +a(g693
    +g976
    +tp2158
    +a(g826
    +g978
    +tp2159
    +a(g423
    +Vp4
    +p2160
    +tp2161
    +a(g693
    +g976
    +tp2162
    +a(g826
    +g978
    +tp2163
    +a(g423
    +Vp5
    +p2164
    +tp2165
    +a(g693
    +g976
    +tp2166
    +a(g826
    +g978
    +tp2167
    +a(g423
    +Vp6
    +p2168
    +tp2169
    +a(g693
    +g976
    +tp2170
    +a(g826
    +g978
    +tp2171
    +a(g423
    +Vp7
    +p2172
    +tp2173
    +a(g693
    +g976
    +tp2174
    +a(g826
    +g978
    +tp2175
    +a(g423
    +Vp8
    +p2176
    +tp2177
    +a(g693
    +g976
    +tp2178
    +a(g826
    +g978
    +tp2179
    +a(g423
    +Vp9
    +p2180
    +tp2181
    +a(g693
    +g976
    +tp2182
    +a(g826
    +g978
    +tp2183
    +a(g423
    +Vp10
    +p2184
    +tp2185
    +a(g693
    +g976
    +tp2186
    +a(g826
    +g978
    +tp2187
    +a(g423
    +Vp12
    +p2188
    +tp2189
    +a(g693
    +g976
    +tp2190
    +a(g826
    +g978
    +tp2191
    +a(g423
    +Vp13
    +p2192
    +tp2193
    +a(g693
    +g976
    +tp2194
    +a(g826
    +g978
    +tp2195
    +a(g423
    +Vp15
    +p2196
    +tp2197
    +a(g693
    +g976
    +tp2198
    +a(g826
    +g978
    +tp2199
    +a(g423
    +Vf42
    +p2200
    +tp2201
    +a(g693
    +g976
    +tp2202
    +a(g826
    +g978
    +tp2203
    +a(g423
    +VNone
    +p2204
    +tp2205
    +a(g693
    +g976
    +tp2206
    +a(g826
    +g978
    +tp2207
    +a(g423
    +VNone
    +p2208
    +tp2209
    +a(g693
    +g976
    +tp2210
    +a(g826
    +g978
    +tp2211
    +a(g423
    +Vi19
    +p2212
    +tp2213
    +a(g693
    +g976
    +tp2214
    +a(g826
    +g978
    +tp2215
    +a(g423
    +VNone
    +p2216
    +tp2217
    +a(g693
    +g976
    +tp2218
    +a(g826
    +g978
    +tp2219
    +a(g423
    +VNone
    +p2220
    +tp2221
    +a(g693
    +g976
    +tp2222
    +a(g826
    +g978
    +tp2223
    +a(g423
    +Vf11
    +p2224
    +tp2225
    +a(g693
    +g1098
    +tp2226
    +a(g826
    +V\u000a
    +p2227
    +tp2228
    +a(g423
    +Vi46
    +p2229
    +tp2230
    +a(g826
    +g978
    +tp2231
    +a(g693
    +g1119
    +tp2232
    +a(g826
    +g978
    +tp2233
    +a(g669
    +Vint_lt
    +p2234
    +tp2235
    +a(g693
    +g1104
    +tp2236
    +a(g423
    +Vi43
    +p2237
    +tp2238
    +a(g693
    +g976
    +tp2239
    +a(g826
    +g978
    +tp2240
    +a(g423
    +Vi23
    +p2241
    +tp2242
    +a(g693
    +g1112
    +tp2243
    +a(g826
    +V\u000a
    +p2244
    +tp2245
    +a(g669
    +Vguard_true
    +p2246
    +tp2247
    +a(g693
    +g1104
    +tp2248
    +a(g423
    +Vi46
    +p2249
    +tp2250
    +a(g693
    +g976
    +tp2251
    +a(g826
    +g978
    +tp2252
    +a(g423
    +Vdescr
    +p2253
    +tp2254
    +a(g693
    +g1119
    +tp2255
    +a(g669
    +V
    +p2256
    +tp2257
    +a(g693
    +g1112
    +tp2258
    +a(g826
    +g978
    +tp2259
    +a(g693
    +g972
    +tp2260
    +a(g423
    +Vp1
    +p2261
    +tp2262
    +a(g693
    +g976
    +tp2263
    +a(g826
    +g978
    +tp2264
    +a(g423
    +Vp0
    +p2265
    +tp2266
    +a(g693
    +g976
    +tp2267
    +a(g826
    +g978
    +tp2268
    +a(g423
    +Vp14
    +p2269
    +tp2270
    +a(g693
    +g976
    +tp2271
    +a(g826
    +g978
    +tp2272
    +a(g423
    +Vi43
    +p2273
    +tp2274
    +a(g693
    +g976
    +tp2275
    +a(g826
    +g978
    +tp2276
    +a(g423
    +Vp2
    +p2277
    +tp2278
    +a(g693
    +g976
    +tp2279
    +a(g826
    +g978
    +tp2280
    +a(g423
    +Vp3
    +p2281
    +tp2282
    +a(g693
    +g976
    +tp2283
    +a(g826
    +g978
    +tp2284
    +a(g423
    +Vp4
    +p2285
    +tp2286
    +a(g693
    +g976
    +tp2287
    +a(g826
    +g978
    +tp2288
    +a(g423
    +Vp5
    +p2289
    +tp2290
    +a(g693
    +g976
    +tp2291
    +a(g826
    +g978
    +tp2292
    +a(g423
    +Vp6
    +p2293
    +tp2294
    +a(g693
    +g976
    +tp2295
    +a(g826
    +g978
    +tp2296
    +a(g423
    +Vp7
    +p2297
    +tp2298
    +a(g693
    +g976
    +tp2299
    +a(g826
    +g978
    +tp2300
    +a(g423
    +Vp8
    +p2301
    +tp2302
    +a(g693
    +g976
    +tp2303
    +a(g826
    +g978
    +tp2304
    +a(g423
    +Vp9
    +p2305
    +tp2306
    +a(g693
    +g976
    +tp2307
    +a(g826
    +g978
    +tp2308
    +a(g423
    +Vp10
    +p2309
    +tp2310
    +a(g693
    +g976
    +tp2311
    +a(g826
    +g978
    +tp2312
    +a(g423
    +Vp12
    +p2313
    +tp2314
    +a(g693
    +g976
    +tp2315
    +a(g826
    +g978
    +tp2316
    +a(g423
    +Vp13
    +p2317
    +tp2318
    +a(g693
    +g976
    +tp2319
    +a(g826
    +g978
    +tp2320
    +a(g423
    +Vp15
    +p2321
    +tp2322
    +a(g693
    +g976
    +tp2323
    +a(g826
    +g978
    +tp2324
    +a(g423
    +Vf42
    +p2325
    +tp2326
    +a(g693
    +g976
    +tp2327
    +a(g826
    +g978
    +tp2328
    +a(g423
    +VNone
    +p2329
    +tp2330
    +a(g693
    +g976
    +tp2331
    +a(g826
    +g978
    +tp2332
    +a(g423
    +VNone
    +p2333
    +tp2334
    +a(g693
    +g976
    +tp2335
    +a(g826
    +g978
    +tp2336
    +a(g423
    +Vi19
    +p2337
    +tp2338
    +a(g693
    +g976
    +tp2339
    +a(g826
    +g978
    +tp2340
    +a(g423
    +VNone
    +p2341
    +tp2342
    +a(g693
    +g976
    +tp2343
    +a(g826
    +g978
    +tp2344
    +a(g423
    +VNone
    +p2345
    +tp2346
    +a(g693
    +g976
    +tp2347
    +a(g826
    +g978
    +tp2348
    +a(g423
    +Vf11
    +p2349
    +tp2350
    +a(g693
    +g1098
    +tp2351
    +a(g826
    +V\u000a
    +p2352
    +tp2353
    +a(g423
    +Vf47
    +p2354
    +tp2355
    +a(g826
    +g978
    +tp2356
    +a(g693
    +g1119
    +tp2357
    +a(g826
    +g978
    +tp2358
    +a(g669
    +Vgetarrayitem_raw
    +p2359
    +tp2360
    +a(g693
    +g1104
    +tp2361
    +a(g423
    +Vi24
    +p2362
    +tp2363
    +a(g693
    +g976
    +tp2364
    +a(g826
    +g978
    +tp2365
    +a(g423
    +Vi43
    +p2366
    +tp2367
    +a(g693
    +g976
    +tp2368
    +a(g826
    +g978
    +tp2369
    +a(g423
    +Vdescr
    +p2370
    +tp2371
    +a(g693
    +g1119
    +tp2372
    +a(g669
    +V
    +p2373
    +tp2374
    +a(g693
    +g1112
    +tp2375
    +a(g826
    +V\u000a
    +p2376
    +tp2377
    +a(g616
    +Vdebug_merge_point
    +p2378
    +tp2379
    +a(g693
    +g1104
    +tp2380
    +a(g76
    +V' #168 LOAD_FAST'
    +p2381
    +tp2382
    +a(g693
    +g976
    +tp2383
    +a(g826
    +g978
    +tp2384
    +a(g22
    +g1110
    +tp2385
    +a(g693
    +g1112
    +tp2386
    +a(g826
    +V\u000a
    +p2387
    +tp2388
    +a(g616
    +Vdebug_merge_point
    +p2389
    +tp2390
    +a(g693
    +g1104
    +tp2391
    +a(g76
    +V' #171 LOAD_FAST'
    +p2392
    +tp2393
    +a(g693
    +g976
    +tp2394
    +a(g826
    +g978
    +tp2395
    +a(g22
    +g1110
    +tp2396
    +a(g693
    +g1112
    +tp2397
    +a(g826
    +V\u000a
    +p2398
    +tp2399
    +a(g616
    +Vdebug_merge_point
    +p2400
    +tp2401
    +a(g693
    +g1104
    +tp2402
    +a(g76
    +V' #174 LOAD_CONST'
    +p2403
    +tp2404
    +a(g693
    +g976
    +tp2405
    +a(g826
    +g978
    +tp2406
    +a(g22
    +g1110
    +tp2407
    +a(g693
    +g1112
    +tp2408
    +a(g826
    +V\u000a
    +p2409
    +tp2410
    +a(g616
    +Vdebug_merge_point
    +p2411
    +tp2412
    +a(g693
    +g1104
    +tp2413
    +a(g76
    +V' #177 BINARY_ADD'
    +p2414
    +tp2415
    +a(g693
    +g976
    +tp2416
    +a(g826
    +g978
    +tp2417
    +a(g22
    +g1110
    +tp2418
    +a(g693
    +g1112
    +tp2419
    +a(g826
    +V\u000a
    +p2420
    +tp2421
    +a(g616
    +Vdebug_merge_point
    +p2422
    +tp2423
    +a(g693
    +g1104
    +tp2424
    +a(g76
    +V' #178 LOAD_FAST'
    +p2425
    +tp2426
    +a(g693
    +g976
    +tp2427
    +a(g826
    +g978
    +tp2428
    +a(g22
    +g1110
    +tp2429
    +a(g693
    +g1112
    +tp2430
    +a(g826
    +V\u000a
    +p2431
    +tp2432
    +a(g616
    +Vdebug_merge_point
    +p2433
    +tp2434
    +a(g693
    +g1104
    +tp2435
    +a(g76
    +V' #181 BINARY_MULTIPLY'
    +p2436
    +tp2437
    +a(g693
    +g976
    +tp2438
    +a(g826
    +g978
    +tp2439
    +a(g22
    +g1110
    +tp2440
    +a(g693
    +g1112
    +tp2441
    +a(g826
    +V\u000a
    +p2442
    +tp2443
    +a(g616
    +Vdebug_merge_point
    +p2444
    +tp2445
    +a(g693
    +g1104
    +tp2446
    +a(g76
    +V' #182 LOAD_FAST'
    +p2447
    +tp2448
    +a(g693
    +g976
    +tp2449
    +a(g826
    +g978
    +tp2450
    +a(g22
    +g1110
    +tp2451
    +a(g693
    +g1112
    +tp2452
    +a(g826
    +V\u000a
    +p2453
    +tp2454
    +a(g616
    +Vdebug_merge_point
    +p2455
    +tp2456
    +a(g693
    +g1104
    +tp2457
    +a(g76
    +V' #185 BINARY_ADD'
    +p2458
    +tp2459
    +a(g693
    +g976
    +tp2460
    +a(g826
    +g978
    +tp2461
    +a(g22
    +g1110
    +tp2462
    +a(g693
    +g1112
    +tp2463
    +a(g826
    +V\u000a
    +p2464
    +tp2465
    +a(g423
    +Vi48
    +p2466
    +tp2467
    +a(g826
    +g978
    +tp2468
    +a(g693
    +g1119
    +tp2469
    +a(g826
    +g978
    +tp2470
    +a(g669
    +Vint_add_ovf
    +p2471
    +tp2472
    +a(g693
    +g1104
    +tp2473
    +a(g423
    +Vi26
    +p2474
    +tp2475
    +a(g693
    +g976
    +tp2476
    +a(g826
    +g978
    +tp2477
    +a(g423
    +Vi19
    +p2478
    +tp2479
    +a(g693
    +g1112
    +tp2480
    +a(g826
    +V\u000a
    +p2481
    +tp2482
    +a(g669
    +Vguard_no_overflow
    +p2483
    +tp2484
    +a(g693
    +g1104
    +tp2485
    +a(g693
    +g976
    +tp2486
    +a(g826
    +g978
    +tp2487
    +a(g423
    +Vdescr
    +p2488
    +tp2489
    +a(g693
    +g1119
    +tp2490
    +a(g669
    +V
    +p2491
    +tp2492
    +a(g693
    +g1112
    +tp2493
    +a(g826
    +g978
    +tp2494
    +a(g693
    +g972
    +tp2495
    +a(g423
    +Vp1
    +p2496
    +tp2497
    +a(g693
    +g976
    +tp2498
    +a(g826
    +g978
    +tp2499
    +a(g423
    +Vp0
    +p2500
    +tp2501
    +a(g693
    +g976
    +tp2502
    +a(g826
    +g978
    +tp2503
    +a(g423
    +Vi48
    +p2504
    +tp2505
    +a(g693
    +g976
    +tp2506
    +a(g826
    +g978
    +tp2507
    +a(g423
    +Vp2
    +p2508
    +tp2509
    +a(g693
    +g976
    +tp2510
    +a(g826
    +g978
    +tp2511
    +a(g423
    +Vp3
    +p2512
    +tp2513
    +a(g693
    +g976
    +tp2514
    +a(g826
    +g978
    +tp2515
    +a(g423
    +Vp4
    +p2516
    +tp2517
    +a(g693
    +g976
    +tp2518
    +a(g826
    +g978
    +tp2519
    +a(g423
    +Vp5
    +p2520
    +tp2521
    +a(g693
    +g976
    +tp2522
    +a(g826
    +g978
    +tp2523
    +a(g423
    +Vp14
    +p2524
    +tp2525
    +a(g693
    +g976
    +tp2526
    +a(g826
    +g978
    +tp2527
    +a(g423
    +Vp6
    +p2528
    +tp2529
    +a(g693
    +g976
    +tp2530
    +a(g826
    +g978
    +tp2531
    +a(g423
    +Vp7
    +p2532
    +tp2533
    +a(g693
    +g976
    +tp2534
    +a(g826
    +g978
    +tp2535
    +a(g423
    +Vp8
    +p2536
    +tp2537
    +a(g693
    +g976
    +tp2538
    +a(g826
    +g978
    +tp2539
    +a(g423
    +Vp9
    +p2540
    +tp2541
    +a(g693
    +g976
    +tp2542
    +a(g826
    +g978
    +tp2543
    +a(g423
    +Vp10
    +p2544
    +tp2545
    +a(g693
    +g976
    +tp2546
    +a(g826
    +g978
    +tp2547
    +a(g423
    +Vp12
    +p2548
    +tp2549
    +a(g693
    +g976
    +tp2550
    +a(g826
    +g978
    +tp2551
    +a(g423
    +Vp13
    +p2552
    +tp2553
    +a(g693
    +g976
    +tp2554
    +a(g826
    +g978
    +tp2555
    +a(g423
    +Vp15
    +p2556
    +tp2557
    +a(g693
    +g976
    +tp2558
    +a(g826
    +g978
    +tp2559
    +a(g423
    +Vi26
    +p2560
    +tp2561
    +a(g693
    +g976
    +tp2562
    +a(g826
    +g978
    +tp2563
    +a(g423
    +Vf47
    +p2564
    +tp2565
    +a(g693
    +g976
    +tp2566
    +a(g826
    +g978
    +tp2567
    +a(g423
    +Vf42
    +p2568
    +tp2569
    +a(g693
    +g976
    +tp2570
    +a(g826
    +g978
    +tp2571
    +a(g423
    +VNone
    +p2572
    +tp2573
    +a(g693
    +g976
    +tp2574
    +a(g826
    +g978
    +tp2575
    +a(g423
    +VNone
    +p2576
    +tp2577
    +a(g693
    +g976
    +tp2578
    +a(g826
    +g978
    +tp2579
    +a(g423
    +Vi19
    +p2580
    +tp2581
    +a(g693
    +g976
    +tp2582
    +a(g826
    +g978
    +tp2583
    +a(g423
    +VNone
    +p2584
    +tp2585
    +a(g693
    +g976
    +tp2586
    +a(g826
    +g978
    +tp2587
    +a(g423
    +VNone
    +p2588
    +tp2589
    +a(g693
    +g976
    +tp2590
    +a(g826
    +g978
    +tp2591
    +a(g423
    +Vf11
    +p2592
    +tp2593
    +a(g693
    +g1098
    +tp2594
    +a(g826
    +V\u000a
    +p2595
    +tp2596
    +a(g616
    +Vdebug_merge_point
    +p2597
    +tp2598
    +a(g693
    +g1104
    +tp2599
    +a(g76
    +V' #186 BINARY_SUBSCR'
    +p2600
    +tp2601
    +a(g693
    +g976
    +tp2602
    +a(g826
    +g978
    +tp2603
    +a(g22
    +g1110
    +tp2604
    +a(g693
    +g1112
    +tp2605
    +a(g826
    +V\u000a
    +p2606
    +tp2607
    +a(g423
    +Vi50
    +p2608
    +tp2609
    +a(g826
    +g978
    +tp2610
    +a(g693
    +g1119
    +tp2611
    +a(g826
    +g978
    +tp2612
    +a(g669
    +Vint_lt
    +p2613
    +tp2614
    +a(g693
    +g1104
    +tp2615
    +a(g423
    +Vi48
    +p2616
    +tp2617
    +a(g693
    +g976
    +tp2618
    +a(g826
    +g978
    +tp2619
    +a(g22
    +g1110
    +tp2620
    +a(g693
    +g1112
    +tp2621
    +a(g826
    +V\u000a
    +p2622
    +tp2623
    +a(g669
    +Vguard_false
    +p2624
    +tp2625
    +a(g693
    +g1104
    +tp2626
    +a(g423
    +Vi50
    +p2627
    +tp2628
    +a(g693
    +g976
    +tp2629
    +a(g826
    +g978
    +tp2630
    +a(g423
    +Vdescr
    +p2631
    +tp2632
    +a(g693
    +g1119
    +tp2633
    +a(g669
    +V
    +p2634
    +tp2635
    +a(g693
    +g1112
    +tp2636
    +a(g826
    +g978
    +tp2637
    +a(g693
    +g972
    +tp2638
    +a(g423
    +Vp1
    +p2639
    +tp2640
    +a(g693
    +g976
    +tp2641
    +a(g826
    +g978
    +tp2642
    +a(g423
    +Vp0
    +p2643
    +tp2644
    +a(g693
    +g976
    +tp2645
    +a(g826
    +g978
    +tp2646
    +a(g423
    +Vp14
    +p2647
    +tp2648
    +a(g693
    +g976
    +tp2649
    +a(g826
    +g978
    +tp2650
    +a(g423
    +Vi48
    +p2651
    +tp2652
    +a(g693
    +g976
    +tp2653
    +a(g826
    +g978
    +tp2654
    +a(g423
    +Vi23
    +p2655
    +tp2656
    +a(g693
    +g976
    +tp2657
    +a(g826
    +g978
    +tp2658
    +a(g423
    +Vp2
    +p2659
    +tp2660
    +a(g693
    +g976
    +tp2661
    +a(g826
    +g978
    +tp2662
    +a(g423
    +Vp3
    +p2663
    +tp2664
    +a(g693
    +g976
    +tp2665
    +a(g826
    +g978
    +tp2666
    +a(g423
    +Vp4
    +p2667
    +tp2668
    +a(g693
    +g976
    +tp2669
    +a(g826
    +g978
    +tp2670
    +a(g423
    +Vp5
    +p2671
    +tp2672
    +a(g693
    +g976
    +tp2673
    +a(g826
    +g978
    +tp2674
    +a(g423
    +Vp6
    +p2675
    +tp2676
    +a(g693
    +g976
    +tp2677
    +a(g826
    +g978
    +tp2678
    +a(g423
    +Vp7
    +p2679
    +tp2680
    +a(g693
    +g976
    +tp2681
    +a(g826
    +g978
    +tp2682
    +a(g423
    +Vp8
    +p2683
    +tp2684
    +a(g693
    +g976
    +tp2685
    +a(g826
    +g978
    +tp2686
    +a(g423
    +Vp9
    +p2687
    +tp2688
    +a(g693
    +g976
    +tp2689
    +a(g826
    +g978
    +tp2690
    +a(g423
    +Vp10
    +p2691
    +tp2692
    +a(g693
    +g976
    +tp2693
    +a(g826
    +g978
    +tp2694
    +a(g423
    +Vp12
    +p2695
    +tp2696
    +a(g693
    +g976
    +tp2697
    +a(g826
    +g978
    +tp2698
    +a(g423
    +Vp13
    +p2699
    +tp2700
    +a(g693
    +g976
    +tp2701
    +a(g826
    +g978
    +tp2702
    +a(g423
    +Vp15
    +p2703
    +tp2704
    +a(g693
    +g976
    +tp2705
    +a(g826
    +g978
    +tp2706
    +a(g423
    +VNone
    +p2707
    +tp2708
    +a(g693
    +g976
    +tp2709
    +a(g826
    +g978
    +tp2710
    +a(g423
    +Vf47
    +p2711
    +tp2712
    +a(g693
    +g976
    +tp2713
    +a(g826
    +g978
    +tp2714
    +a(g423
    +Vf42
    +p2715
    +tp2716
    +a(g693
    +g976
    +tp2717
    +a(g826
    +g978
    +tp2718
    +a(g423
    +VNone
    +p2719
    +tp2720
    +a(g693
    +g976
    +tp2721
    +a(g826
    +g978
    +tp2722
    +a(g423
    +VNone
    +p2723
    +tp2724
    +a(g693
    +g976
    +tp2725
    +a(g826
    +g978
    +tp2726
    +a(g423
    +Vi19
    +p2727
    +tp2728
    +a(g693
    +g976
    +tp2729
    +a(g826
    +g978
    +tp2730
    +a(g423
    +VNone
    +p2731
    +tp2732
    +a(g693
    +g976
    +tp2733
    +a(g826
    +g978
    +tp2734
    +a(g423
    +VNone
    +p2735
    +tp2736
    +a(g693
    +g976
    +tp2737
    +a(g826
    +g978
    +tp2738
    +a(g423
    +Vf11
    +p2739
    +tp2740
    +a(g693
    +g1098
    +tp2741
    +a(g826
    +V\u000a
    +p2742
    +tp2743
    +a(g423
    +Vi51
    +p2744
    +tp2745
    +a(g826
    +g978
    +tp2746
    +a(g693
    +g1119
    +tp2747
    +a(g826
    +g978
    +tp2748
    +a(g669
    +Vint_lt
    +p2749
    +tp2750
    +a(g693
    +g1104
    +tp2751
    +a(g423
    +Vi48
    +p2752
    +tp2753
    +a(g693
    +g976
    +tp2754
    +a(g826
    +g978
    +tp2755
    +a(g423
    +Vi23
    +p2756
    +tp2757
    +a(g693
    +g1112
    +tp2758
    +a(g826
    +V\u000a
    +p2759
    +tp2760
    +a(g669
    +Vguard_true
    +p2761
    +tp2762
    +a(g693
    +g1104
    +tp2763
    +a(g423
    +Vi51
    +p2764
    +tp2765
    +a(g693
    +g976
    +tp2766
    +a(g826
    +g978
    +tp2767
    +a(g423
    +Vdescr
    +p2768
    +tp2769
    +a(g693
    +g1119
    +tp2770
    +a(g669
    +V
    +p2771
    +tp2772
    +a(g693
    +g1112
    +tp2773
    +a(g826
    +g978
    +tp2774
    +a(g693
    +g972
    +tp2775
    +a(g423
    +Vp1
    +p2776
    +tp2777
    +a(g693
    +g976
    +tp2778
    +a(g826
    +g978
    +tp2779
    +a(g423
    +Vp0
    +p2780
    +tp2781
    +a(g693
    +g976
    +tp2782
    +a(g826
    +g978
    +tp2783
    +a(g423
    +Vp14
    +p2784
    +tp2785
    +a(g693
    +g976
    +tp2786
    +a(g826
    +g978
    +tp2787
    +a(g423
    +Vi48
    +p2788
    +tp2789
    +a(g693
    +g976
    +tp2790
    +a(g826
    +g978
    +tp2791
    +a(g423
    +Vp2
    +p2792
    +tp2793
    +a(g693
    +g976
    +tp2794
    +a(g826
    +g978
    +tp2795
    +a(g423
    +Vp3
    +p2796
    +tp2797
    +a(g693
    +g976
    +tp2798
    +a(g826
    +g978
    +tp2799
    +a(g423
    +Vp4
    +p2800
    +tp2801
    +a(g693
    +g976
    +tp2802
    +a(g826
    +g978
    +tp2803
    +a(g423
    +Vp5
    +p2804
    +tp2805
    +a(g693
    +g976
    +tp2806
    +a(g826
    +g978
    +tp2807
    +a(g423
    +Vp6
    +p2808
    +tp2809
    +a(g693
    +g976
    +tp2810
    +a(g826
    +g978
    +tp2811
    +a(g423
    +Vp7
    +p2812
    +tp2813
    +a(g693
    +g976
    +tp2814
    +a(g826
    +g978
    +tp2815
    +a(g423
    +Vp8
    +p2816
    +tp2817
    +a(g693
    +g976
    +tp2818
    +a(g826
    +g978
    +tp2819
    +a(g423
    +Vp9
    +p2820
    +tp2821
    +a(g693
    +g976
    +tp2822
    +a(g826
    +g978
    +tp2823
    +a(g423
    +Vp10
    +p2824
    +tp2825
    +a(g693
    +g976
    +tp2826
    +a(g826
    +g978
    +tp2827
    +a(g423
    +Vp12
    +p2828
    +tp2829
    +a(g693
    +g976
    +tp2830
    +a(g826
    +g978
    +tp2831
    +a(g423
    +Vp13
    +p2832
    +tp2833
    +a(g693
    +g976
    +tp2834
    +a(g826
    +g978
    +tp2835
    +a(g423
    +Vp15
    +p2836
    +tp2837
    +a(g693
    +g976
    +tp2838
    +a(g826
    +g978
    +tp2839
    +a(g423
    +VNone
    +p2840
    +tp2841
    +a(g693
    +g976
    +tp2842
    +a(g826
    +g978
    +tp2843
    +a(g423
    +Vf47
    +p2844
    +tp2845
    +a(g693
    +g976
    +tp2846
    +a(g826
    +g978
    +tp2847
    +a(g423
    +Vf42
    +p2848
    +tp2849
    +a(g693
    +g976
    +tp2850
    +a(g826
    +g978
    +tp2851
    +a(g423
    +VNone
    +p2852
    +tp2853
    +a(g693
    +g976
    +tp2854
    +a(g826
    +g978
    +tp2855
    +a(g423
    +VNone
    +p2856
    +tp2857
    +a(g693
    +g976
    +tp2858
    +a(g826
    +g978
    +tp2859
    +a(g423
    +Vi19
    +p2860
    +tp2861
    +a(g693
    +g976
    +tp2862
    +a(g826
    +g978
    +tp2863
    +a(g423
    +VNone
    +p2864
    +tp2865
    +a(g693
    +g976
    +tp2866
    +a(g826
    +g978
    +tp2867
    +a(g423
    +VNone
    +p2868
    +tp2869
    +a(g693
    +g976
    +tp2870
    +a(g826
    +g978
    +tp2871
    +a(g423
    +Vf11
    +p2872
    +tp2873
    +a(g693
    +g1098
    +tp2874
    +a(g826
    +V\u000a
    +p2875
    +tp2876
    +a(g423
    +Vf52
    +p2877
    +tp2878
    +a(g826
    +g978
    +tp2879
    +a(g693
    +g1119
    +tp2880
    +a(g826
    +g978
    +tp2881
    +a(g669
    +Vgetarrayitem_raw
    +p2882
    +tp2883
    +a(g693
    +g1104
    +tp2884
    +a(g423
    +Vi24
    +p2885
    +tp2886
    +a(g693
    +g976
    +tp2887
    +a(g826
    +g978
    +tp2888
    +a(g423
    +Vi48
    +p2889
    +tp2890
    +a(g693
    +g976
    +tp2891
    +a(g826
    +g978
    +tp2892
    +a(g423
    +Vdescr
    +p2893
    +tp2894
    +a(g693
    +g1119
    +tp2895
    +a(g669
    +V
    +p2896
    +tp2897
    +a(g693
    +g1112
    +tp2898
    +a(g826
    +V\u000a
    +p2899
    +tp2900
    +a(g616
    +Vdebug_merge_point
    +p2901
    +tp2902
    +a(g693
    +g1104
    +tp2903
    +a(g76
    +V' #187 BINARY_ADD'
    +p2904
    +tp2905
    +a(g693
    +g976
    +tp2906
    +a(g826
    +g978
    +tp2907
    +a(g22
    +g1110
    +tp2908
    +a(g693
    +g1112
    +tp2909
    +a(g826
    +V\u000a
    +p2910
    +tp2911
    +a(g423
    +Vf53
    +p2912
    +tp2913
    +a(g826
    +g978
    +tp2914
    +a(g693
    +g1119
    +tp2915
    +a(g826
    +g978
    +tp2916
    +a(g669
    +Vfloat_add
    +p2917
    +tp2918
    +a(g693
    +g1104
    +tp2919
    +a(g423
    +Vf47
    +p2920
    +tp2921
    +a(g693
    +g976
    +tp2922
    +a(g826
    +g978
    +tp2923
    +a(g423
    +Vf52
    +p2924
    +tp2925
    +a(g693
    +g1112
    +tp2926
    +a(g826
    +V\u000a
    +p2927
    +tp2928
    +a(g616
    +Vdebug_merge_point
    +p2929
    +tp2930
    +a(g693
    +g1104
    +tp2931
    +a(g76
    +V' #188 LOAD_FAST'
    +p2932
    +tp2933
    +a(g693
    +g976
    +tp2934
    +a(g826
    +g978
    +tp2935
    +a(g22
    +g1110
    +tp2936
    +a(g693
    +g1112
    +tp2937
    +a(g826
    +V\u000a
    +p2938
    +tp2939
    +a(g616
    +Vdebug_merge_point
    +p2940
    +tp2941
    +a(g693
    +g1104
    +tp2942
    +a(g76
    +V' #191 BINARY_MULTIPLY'
    +p2943
    +tp2944
    +a(g693
    +g976
    +tp2945
    +a(g826
    +g978
    +tp2946
    +a(g22
    +g1110
    +tp2947
    +a(g693
    +g1112
    +tp2948
    +a(g826
    +V\u000a
    +p2949
    +tp2950
    +a(g423
    +Vf54
    +p2951
    +tp2952
    +a(g826
    +g978
    +tp2953
    +a(g693
    +g1119
    +tp2954
    +a(g826
    +g978
    +tp2955
    +a(g669
    +Vfloat_mul
    +p2956
    +tp2957
    +a(g693
    +g1104
    +tp2958
    +a(g423
    +Vf53
    +p2959
    +tp2960
    +a(g693
    +g976
    +tp2961
    +a(g826
    +g978
    +tp2962
    +a(g423
    +Vf27
    +p2963
    +tp2964
    +a(g693
    +g1112
    +tp2965
    +a(g826
    +V\u000a
    +p2966
    +tp2967
    +a(g616
    +Vdebug_merge_point
    +p2968
    +tp2969
    +a(g693
    +g1104
    +tp2970
    +a(g76
    +V' #192 LOAD_FAST'
    +p2971
    +tp2972
    +a(g693
    +g976
    +tp2973
    +a(g826
    +g978
    +tp2974
    +a(g22
    +g1110
    +tp2975
    +a(g693
    +g1112
    +tp2976
    +a(g826
    +V\u000a
    +p2977
    +tp2978
    +a(g616
    +Vdebug_merge_point
    +p2979
    +tp2980
    +a(g693
    +g1104
    +tp2981
    +a(g76
    +V' #195 LOAD_FAST'
    +p2982
    +tp2983
    +a(g693
    +g976
    +tp2984
    +a(g826
    +g978
    +tp2985
    +a(g22
    +g1110
    +tp2986
    +a(g693
    +g1112
    +tp2987
    +a(g826
    +V\u000a
    +p2988
    +tp2989
    +a(g616
    +Vdebug_merge_point
    +p2990
    +tp2991
    +a(g693
    +g1104
    +tp2992
    +a(g76
    +V' #198 LOAD_FAST'
    +p2993
    +tp2994
    +a(g693
    +g976
    +tp2995
    +a(g826
    +g978
    +tp2996
    +a(g22
    +g1110
    +tp2997
    +a(g693
    +g1112
    +tp2998
    +a(g826
    +V\u000a
    +p2999
    +tp3000
    +a(g616
    +Vdebug_merge_point
    +p3001
    +tp3002
    +a(g693
    +g1104
    +tp3003
    +a(g76
    +V' #201 BINARY_MULTIPLY'
    +p3004
    +tp3005
    +a(g693
    +g976
    +tp3006
    +a(g826
    +g978
    +tp3007
    +a(g22
    +g1110
    +tp3008
    +a(g693
    +g1112
    +tp3009
    +a(g826
    +V\u000a
    +p3010
    +tp3011
    +a(g616
    +Vdebug_merge_point
    +p3012
    +tp3013
    +a(g693
    +g1104
    +tp3014
    +a(g76
    +V' #202 LOAD_FAST'
    +p3015
    +tp3016
    +a(g693
    +g976
    +tp3017
    +a(g826
    +g978
    +tp3018
    +a(g22
    +g1110
    +tp3019
    +a(g693
    +g1112
    +tp3020
    +a(g826
    +V\u000a
    +p3021
    +tp3022
    +a(g616
    +Vdebug_merge_point
    +p3023
    +tp3024
    +a(g693
    +g1104
    +tp3025
    +a(g76
    +V' #205 BINARY_ADD'
    +p3026
    +tp3027
    +a(g693
    +g976
    +tp3028
    +a(g826
    +g978
    +tp3029
    +a(g22
    +g1110
    +tp3030
    +a(g693
    +g1112
    +tp3031
    +a(g826
    +V\u000a
    +p3032
    +tp3033
    +a(g423
    +Vi55
    +p3034
    +tp3035
    +a(g826
    +g978
    +tp3036
    +a(g693
    +g1119
    +tp3037
    +a(g826
    +g978
    +tp3038
    +a(g669
    +Vint_add_ovf
    +p3039
    +tp3040
    +a(g693
    +g1104
    +tp3041
    +a(g423
    +Vi28
    +p3042
    +tp3043
    +a(g693
    +g976
    +tp3044
    +a(g826
    +g978
    +tp3045
    +a(g423
    +Vi19
    +p3046
    +tp3047
    +a(g693
    +g1112
    +tp3048
    +a(g826
    +V\u000a
    +p3049
    +tp3050
    +a(g669
    +Vguard_no_overflow
    +p3051
    +tp3052
    +a(g693
    +g1104
    +tp3053
    +a(g693
    +g976
    +tp3054
    +a(g826
    +g978
    +tp3055
    +a(g423
    +Vdescr
    +p3056
    +tp3057
    +a(g693
    +g1119
    +tp3058
    +a(g669
    +V
    +p3059
    +tp3060
    +a(g693
    +g1112
    +tp3061
    +a(g826
    +g978
    +tp3062
    +a(g693
    +g972
    +tp3063
    +a(g423
    +Vp1
    +p3064
    +tp3065
    +a(g693
    +g976
    +tp3066
    +a(g826
    +g978
    +tp3067
    +a(g423
    +Vp0
    +p3068
    +tp3069
    +a(g693
    +g976
    +tp3070
    +a(g826
    +g978
    +tp3071
    +a(g423
    +Vi55
    +p3072
    +tp3073
    +a(g693
    +g976
    +tp3074
    +a(g826
    +g978
    +tp3075
    +a(g423
    +Vp2
    +p3076
    +tp3077
    +a(g693
    +g976
    +tp3078
    +a(g826
    +g978
    +tp3079
    +a(g423
    +Vp3
    +p3080
    +tp3081
    +a(g693
    +g976
    +tp3082
    +a(g826
    +g978
    +tp3083
    +a(g423
    +Vp4
    +p3084
    +tp3085
    +a(g693
    +g976
    +tp3086
    +a(g826
    +g978
    +tp3087
    +a(g423
    +Vp5
    +p3088
    +tp3089
    +a(g693
    +g976
    +tp3090
    +a(g826
    +g978
    +tp3091
    +a(g423
    +Vp14
    +p3092
    +tp3093
    +a(g693
    +g976
    +tp3094
    +a(g826
    +g978
    +tp3095
    +a(g423
    +Vp6
    +p3096
    +tp3097
    +a(g693
    +g976
    +tp3098
    +a(g826
    +g978
    +tp3099
    +a(g423
    +Vp7
    +p3100
    +tp3101
    +a(g693
    +g976
    +tp3102
    +a(g826
    +g978
    +tp3103
    +a(g423
    +Vp8
    +p3104
    +tp3105
    +a(g693
    +g976
    +tp3106
    +a(g826
    +g978
    +tp3107
    +a(g423
    +Vp9
    +p3108
    +tp3109
    +a(g693
    +g976
    +tp3110
    +a(g826
    +g978
    +tp3111
    +a(g423
    +Vp10
    +p3112
    +tp3113
    +a(g693
    +g976
    +tp3114
    +a(g826
    +g978
    +tp3115
    +a(g423
    +Vp12
    +p3116
    +tp3117
    +a(g693
    +g976
    +tp3118
    +a(g826
    +g978
    +tp3119
    +a(g423
    +Vp13
    +p3120
    +tp3121
    +a(g693
    +g976
    +tp3122
    +a(g826
    +g978
    +tp3123
    +a(g423
    +Vp15
    +p3124
    +tp3125
    +a(g693
    +g976
    +tp3126
    +a(g826
    +g978
    +tp3127
    +a(g423
    +Vf54
    +p3128
    +tp3129
    +a(g693
    +g976
    +tp3130
    +a(g826
    +g978
    +tp3131
    +a(g423
    +Vi28
    +p3132
    +tp3133
    +a(g693
    +g976
    +tp3134
    +a(g826
    +g978
    +tp3135
    +a(g423
    +VNone
    +p3136
    +tp3137
    +a(g693
    +g976
    +tp3138
    +a(g826
    +g978
    +tp3139
    +a(g423
    +VNone
    +p3140
    +tp3141
    +a(g693
    +g976
    +tp3142
    +a(g826
    +g978
    +tp3143
    +a(g423
    +Vf42
    +p3144
    +tp3145
    +a(g693
    +g976
    +tp3146
    +a(g826
    +g978
    +tp3147
    +a(g423
    +VNone
    +p3148
    +tp3149
    +a(g693
    +g976
    +tp3150
    +a(g826
    +g978
    +tp3151
    +a(g423
    +VNone
    +p3152
    +tp3153
    +a(g693
    +g976
    +tp3154
    +a(g826
    +g978
    +tp3155
    +a(g423
    +Vi19
    +p3156
    +tp3157
    +a(g693
    +g976
    +tp3158
    +a(g826
    +g978
    +tp3159
    +a(g423
    +VNone
    +p3160
    +tp3161
    +a(g693
    +g976
    +tp3162
    +a(g826
    +g978
    +tp3163
    +a(g423
    +VNone
    +p3164
    +tp3165
    +a(g693
    +g976
    +tp3166
    +a(g826
    +g978
    +tp3167
    +a(g423
    +Vf11
    +p3168
    +tp3169
    +a(g693
    +g1098
    +tp3170
    +a(g826
    +V\u000a
    +p3171
    +tp3172
    +a(g616
    +Vdebug_merge_point
    +p3173
    +tp3174
    +a(g693
    +g1104
    +tp3175
    +a(g76
    +V' #206 LOAD_CONST'
    +p3176
    +tp3177
    +a(g693
    +g976
    +tp3178
    +a(g826
    +g978
    +tp3179
    +a(g22
    +g1110
    +tp3180
    +a(g693
    +g1112
    +tp3181
    +a(g826
    +V\u000a
    +p3182
    +tp3183
    +a(g616
    +Vdebug_merge_point
    +p3184
    +tp3185
    +a(g693
    +g1104
    +tp3186
    +a(g76
    +V' #209 BINARY_SUBTRACT'
    +p3187
    +tp3188
    +a(g693
    +g976
    +tp3189
    +a(g826
    +g978
    +tp3190
    +a(g22
    +g1110
    +tp3191
    +a(g693
    +g1112
    +tp3192
    +a(g826
    +V\u000a
    +p3193
    +tp3194
    +a(g423
    +Vi57
    +p3195
    +tp3196
    +a(g826
    +g978
    +tp3197
    +a(g693
    +g1119
    +tp3198
    +a(g826
    +g978
    +tp3199
    +a(g669
    +Vint_sub_ovf
    +p3200
    +tp3201
    +a(g693
    +g1104
    +tp3202
    +a(g423
    +Vi55
    +p3203
    +tp3204
    +a(g693
    +g976
    +tp3205
    +a(g826
    +g978
    +tp3206
    +a(g22
    +g1250
    +tp3207
    +a(g693
    +g1112
    +tp3208
    +a(g826
    +V\u000a
    +p3209
    +tp3210
    +a(g669
    +Vguard_no_overflow
    +p3211
    +tp3212
    +a(g693
    +g1104
    +tp3213
    +a(g693
    +g976
    +tp3214
    +a(g826
    +g978
    +tp3215
    +a(g423
    +Vdescr
    +p3216
    +tp3217
    +a(g693
    +g1119
    +tp3218
    +a(g669
    +V
    +p3219
    +tp3220
    +a(g693
    +g1112
    +tp3221
    +a(g826
    +g978
    +tp3222
    +a(g693
    +g972
    +tp3223
    +a(g423
    +Vp1
    +p3224
    +tp3225
    +a(g693
    +g976
    +tp3226
    +a(g826
    +g978
    +tp3227
    +a(g423
    +Vp0
    +p3228
    +tp3229
    +a(g693
    +g976
    +tp3230
    +a(g826
    +g978
    +tp3231
    +a(g423
    +Vi57
    +p3232
    +tp3233
    +a(g693
    +g976
    +tp3234
    +a(g826
    +g978
    +tp3235
    +a(g423
    +Vp2
    +p3236
    +tp3237
    +a(g693
    +g976
    +tp3238
    +a(g826
    +g978
    +tp3239
    +a(g423
    +Vp3
    +p3240
    +tp3241
    +a(g693
    +g976
    +tp3242
    +a(g826
    +g978
    +tp3243
    +a(g423
    +Vp4
    +p3244
    +tp3245
    +a(g693
    +g976
    +tp3246
    +a(g826
    +g978
    +tp3247
    +a(g423
    +Vp5
    +p3248
    +tp3249
    +a(g693
    +g976
    +tp3250
    +a(g826
    +g978
    +tp3251
    +a(g423
    +Vp14
    +p3252
    +tp3253
    +a(g693
    +g976
    +tp3254
    +a(g826
    +g978
    +tp3255
    +a(g423
    +Vp6
    +p3256
    +tp3257
    +a(g693
    +g976
    +tp3258
    +a(g826
    +g978
    +tp3259
    +a(g423
    +Vp7
    +p3260
    +tp3261
    +a(g693
    +g976
    +tp3262
    +a(g826
    +g978
    +tp3263
    +a(g423
    +Vp8
    +p3264
    +tp3265
    +a(g693
    +g976
    +tp3266
    +a(g826
    +g978
    +tp3267
    +a(g423
    +Vp9
    +p3268
    +tp3269
    +a(g693
    +g976
    +tp3270
    +a(g826
    +g978
    +tp3271
    +a(g423
    +Vp10
    +p3272
    +tp3273
    +a(g693
    +g976
    +tp3274
    +a(g826
    +g978
    +tp3275
    +a(g423
    +Vp12
    +p3276
    +tp3277
    +a(g693
    +g976
    +tp3278
    +a(g826
    +g978
    +tp3279
    +a(g423
    +Vp13
    +p3280
    +tp3281
    +a(g693
    +g976
    +tp3282
    +a(g826
    +g978
    +tp3283
    +a(g423
    +Vp15
    +p3284
    +tp3285
    +a(g693
    +g976
    +tp3286
    +a(g826
    +g978
    +tp3287
    +a(g423
    +Vi55
    +p3288
    +tp3289
    +a(g693
    +g976
    +tp3290
    +a(g826
    +g978
    +tp3291
    +a(g423
    +Vf54
    +p3292
    +tp3293
    +a(g693
    +g976
    +tp3294
    +a(g826
    +g978
    +tp3295
    +a(g423
    +VNone
    +p3296
    +tp3297
    +a(g693
    +g976
    +tp3298
    +a(g826
    +g978
    +tp3299
    +a(g423
    +VNone
    +p3300
    +tp3301
    +a(g693
    +g976
    +tp3302
    +a(g826
    +g978
    +tp3303
    +a(g423
    +VNone
    +p3304
    +tp3305
    +a(g693
    +g976
    +tp3306
    +a(g826
    +g978
    +tp3307
    +a(g423
    +Vf42
    +p3308
    +tp3309
    +a(g693
    +g976
    +tp3310
    +a(g826
    +g978
    +tp3311
    +a(g423
    +VNone
    +p3312
    +tp3313
    +a(g693
    +g976
    +tp3314
    +a(g826
    +g978
    +tp3315
    +a(g423
    +VNone
    +p3316
    +tp3317
    +a(g693
    +g976
    +tp3318
    +a(g826
    +g978
    +tp3319
    +a(g423
    +Vi19
    +p3320
    +tp3321
    +a(g693
    +g976
    +tp3322
    +a(g826
    +g978
    +tp3323
    +a(g423
    +VNone
    +p3324
    +tp3325
    +a(g693
    +g976
    +tp3326
    +a(g826
    +g978
    +tp3327
    +a(g423
    +VNone
    +p3328
    +tp3329
    +a(g693
    +g976
    +tp3330
    +a(g826
    +g978
    +tp3331
    +a(g423
    +Vf11
    +p3332
    +tp3333
    +a(g693
    +g1098
    +tp3334
    +a(g826
    +V\u000a
    +p3335
    +tp3336
    +a(g616
    +Vdebug_merge_point
    +p3337
    +tp3338
    +a(g693
    +g1104
    +tp3339
    +a(g76
    +V' #210 BINARY_SUBSCR'
    +p3340
    +tp3341
    +a(g693
    +g976
    +tp3342
    +a(g826
    +g978
    +tp3343
    +a(g22
    +g1110
    +tp3344
    +a(g693
    +g1112
    +tp3345
    +a(g826
    +V\u000a
    +p3346
    +tp3347
    +a(g423
    +Vi59
    +p3348
    +tp3349
    +a(g826
    +g978
    +tp3350
    +a(g693
    +g1119
    +tp3351
    +a(g826
    +g978
    +tp3352
    +a(g669
    +Vint_lt
    +p3353
    +tp3354
    +a(g693
    +g1104
    +tp3355
    +a(g423
    +Vi57
    +p3356
    +tp3357
    +a(g693
    +g976
    +tp3358
    +a(g826
    +g978
    +tp3359
    +a(g22
    +g1110
    +tp3360
    +a(g693
    +g1112
    +tp3361
    +a(g826
    +V\u000a
    +p3362
    +tp3363
    +a(g669
    +Vguard_false
    +p3364
    +tp3365
    +a(g693
    +g1104
    +tp3366
    +a(g423
    +Vi59
    +p3367
    +tp3368
    +a(g693
    +g976
    +tp3369
    +a(g826
    +g978
    +tp3370
    +a(g423
    +Vdescr
    +p3371
    +tp3372
    +a(g693
    +g1119
    +tp3373
    +a(g669
    +V
    +p3374
    +tp3375
    +a(g693
    +g1112
    +tp3376
    +a(g826
    +g978
    +tp3377
    +a(g693
    +g972
    +tp3378
    +a(g423
    +Vp1
    +p3379
    +tp3380
    +a(g693
    +g976
    +tp3381
    +a(g826
    +g978
    +tp3382
    +a(g423
    +Vp0
    +p3383
    +tp3384
    +a(g693
    +g976
    +tp3385
    +a(g826
    +g978
    +tp3386
    +a(g423
    +Vp14
    +p3387
    +tp3388
    +a(g693
    +g976
    +tp3389
    +a(g826
    +g978
    +tp3390
    +a(g423
    +Vi57
    +p3391
    +tp3392
    +a(g693
    +g976
    +tp3393
    +a(g826
    +g978
    +tp3394
    +a(g423
    +Vi23
    +p3395
    +tp3396
    +a(g693
    +g976
    +tp3397
    +a(g826
    +g978
    +tp3398
    +a(g423
    +Vp2
    +p3399
    +tp3400
    +a(g693
    +g976
    +tp3401
    +a(g826
    +g978
    +tp3402
    +a(g423
    +Vp3
    +p3403
    +tp3404
    +a(g693
    +g976
    +tp3405
    +a(g826
    +g978
    +tp3406
    +a(g423
    +Vp4
    +p3407
    +tp3408
    +a(g693
    +g976
    +tp3409
    +a(g826
    +g978
    +tp3410
    +a(g423
    +Vp5
    +p3411
    +tp3412
    +a(g693
    +g976
    +tp3413
    +a(g826
    +g978
    +tp3414
    +a(g423
    +Vp6
    +p3415
    +tp3416
    +a(g693
    +g976
    +tp3417
    +a(g826
    +g978
    +tp3418
    +a(g423
    +Vp7
    +p3419
    +tp3420
    +a(g693
    +g976
    +tp3421
    +a(g826
    +g978
    +tp3422
    +a(g423
    +Vp8
    +p3423
    +tp3424
    +a(g693
    +g976
    +tp3425
    +a(g826
    +g978
    +tp3426
    +a(g423
    +Vp9
    +p3427
    +tp3428
    +a(g693
    +g976
    +tp3429
    +a(g826
    +g978
    +tp3430
    +a(g423
    +Vp10
    +p3431
    +tp3432
    +a(g693
    +g976
    +tp3433
    +a(g826
    +g978
    +tp3434
    +a(g423
    +Vp12
    +p3435
    +tp3436
    +a(g693
    +g976
    +tp3437
    +a(g826
    +g978
    +tp3438
    +a(g423
    +Vp13
    +p3439
    +tp3440
    +a(g693
    +g976
    +tp3441
    +a(g826
    +g978
    +tp3442
    +a(g423
    +Vp15
    +p3443
    +tp3444
    +a(g693
    +g976
    +tp3445
    +a(g826
    +g978
    +tp3446
    +a(g423
    +VNone
    +p3447
    +tp3448
    +a(g693
    +g976
    +tp3449
    +a(g826
    +g978
    +tp3450
    +a(g423
    +Vf54
    +p3451
    +tp3452
    +a(g693
    +g976
    +tp3453
    +a(g826
    +g978
    +tp3454
    +a(g423
    +VNone
    +p3455
    +tp3456
    +a(g693
    +g976
    +tp3457
    +a(g826
    +g978
    +tp3458
    +a(g423
    +VNone
    +p3459
    +tp3460
    +a(g693
    +g976
    +tp3461
    +a(g826
    +g978
    +tp3462
    +a(g423
    +VNone
    +p3463
    +tp3464
    +a(g693
    +g976
    +tp3465
    +a(g826
    +g978
    +tp3466
    +a(g423
    +Vf42
    +p3467
    +tp3468
    +a(g693
    +g976
    +tp3469
    +a(g826
    +g978
    +tp3470
    +a(g423
    +VNone
    +p3471
    +tp3472
    +a(g693
    +g976
    +tp3473
    +a(g826
    +g978
    +tp3474
    +a(g423
    +VNone
    +p3475
    +tp3476
    +a(g693
    +g976
    +tp3477
    +a(g826
    +g978
    +tp3478
    +a(g423
    +Vi19
    +p3479
    +tp3480
    +a(g693
    +g976
    +tp3481
    +a(g826
    +g978
    +tp3482
    +a(g423
    +VNone
    +p3483
    +tp3484
    +a(g693
    +g976
    +tp3485
    +a(g826
    +g978
    +tp3486
    +a(g423
    +VNone
    +p3487
    +tp3488
    +a(g693
    +g976
    +tp3489
    +a(g826
    +g978
    +tp3490
    +a(g423
    +Vf11
    +p3491
    +tp3492
    +a(g693
    +g1098
    +tp3493
    +a(g826
    +V\u000a
    +p3494
    +tp3495
    +a(g423
    +Vi60
    +p3496
    +tp3497
    +a(g826
    +g978
    +tp3498
    +a(g693
    +g1119
    +tp3499
    +a(g826
    +g978
    +tp3500
    +a(g669
    +Vint_lt
    +p3501
    +tp3502
    +a(g693
    +g1104
    +tp3503
    +a(g423
    +Vi57
    +p3504
    +tp3505
    +a(g693
    +g976
    +tp3506
    +a(g826
    +g978
    +tp3507
    +a(g423
    +Vi23
    +p3508
    +tp3509
    +a(g693
    +g1112
    +tp3510
    +a(g826
    +V\u000a
    +p3511
    +tp3512
    +a(g669
    +Vguard_true
    +p3513
    +tp3514
    +a(g693
    +g1104
    +tp3515
    +a(g423
    +Vi60
    +p3516
    +tp3517
    +a(g693
    +g976
    +tp3518
    +a(g826
    +g978
    +tp3519
    +a(g423
    +Vdescr
    +p3520
    +tp3521
    +a(g693
    +g1119
    +tp3522
    +a(g669
    +V
    +p3523
    +tp3524
    +a(g693
    +g1112
    +tp3525
    +a(g826
    +g978
    +tp3526
    +a(g693
    +g972
    +tp3527
    +a(g423
    +Vp1
    +p3528
    +tp3529
    +a(g693
    +g976
    +tp3530
    +a(g826
    +g978
    +tp3531
    +a(g423
    +Vp0
    +p3532
    +tp3533
    +a(g693
    +g976
    +tp3534
    +a(g826
    +g978
    +tp3535
    +a(g423
    +Vp14
    +p3536
    +tp3537
    +a(g693
    +g976
    +tp3538
    +a(g826
    +g978
    +tp3539
    +a(g423
    +Vi57
    +p3540
    +tp3541
    +a(g693
    +g976
    +tp3542
    +a(g826
    +g978
    +tp3543
    +a(g423
    +Vp2
    +p3544
    +tp3545
    +a(g693
    +g976
    +tp3546
    +a(g826
    +g978
    +tp3547
    +a(g423
    +Vp3
    +p3548
    +tp3549
    +a(g693
    +g976
    +tp3550
    +a(g826
    +g978
    +tp3551
    +a(g423
    +Vp4
    +p3552
    +tp3553
    +a(g693
    +g976
    +tp3554
    +a(g826
    +g978
    +tp3555
    +a(g423
    +Vp5
    +p3556
    +tp3557
    +a(g693
    +g976
    +tp3558
    +a(g826
    +g978
    +tp3559
    +a(g423
    +Vp6
    +p3560
    +tp3561
    +a(g693
    +g976
    +tp3562
    +a(g826
    +g978
    +tp3563
    +a(g423
    +Vp7
    +p3564
    +tp3565
    +a(g693
    +g976
    +tp3566
    +a(g826
    +g978
    +tp3567
    +a(g423
    +Vp8
    +p3568
    +tp3569
    +a(g693
    +g976
    +tp3570
    +a(g826
    +g978
    +tp3571
    +a(g423
    +Vp9
    +p3572
    +tp3573
    +a(g693
    +g976
    +tp3574
    +a(g826
    +g978
    +tp3575
    +a(g423
    +Vp10
    +p3576
    +tp3577
    +a(g693
    +g976
    +tp3578
    +a(g826
    +g978
    +tp3579
    +a(g423
    +Vp12
    +p3580
    +tp3581
    +a(g693
    +g976
    +tp3582
    +a(g826
    +g978
    +tp3583
    +a(g423
    +Vp13
    +p3584
    +tp3585
    +a(g693
    +g976
    +tp3586
    +a(g826
    +g978
    +tp3587
    +a(g423
    +Vp15
    +p3588
    +tp3589
    +a(g693
    +g976
    +tp3590
    +a(g826
    +g978
    +tp3591
    +a(g423
    +VNone
    +p3592
    +tp3593
    +a(g693
    +g976
    +tp3594
    +a(g826
    +g978
    +tp3595
    +a(g423
    +Vf54
    +p3596
    +tp3597
    +a(g693
    +g976
    +tp3598
    +a(g826
    +g978
    +tp3599
    +a(g423
    +VNone
    +p3600
    +tp3601
    +a(g693
    +g976
    +tp3602
    +a(g826
    +g978
    +tp3603
    +a(g423
    +VNone
    +p3604
    +tp3605
    +a(g693
    +g976
    +tp3606
    +a(g826
    +g978
    +tp3607
    +a(g423
    +VNone
    +p3608
    +tp3609
    +a(g693
    +g976
    +tp3610
    +a(g826
    +g978
    +tp3611
    +a(g423
    +Vf42
    +p3612
    +tp3613
    +a(g693
    +g976
    +tp3614
    +a(g826
    +g978
    +tp3615
    +a(g423
    +VNone
    +p3616
    +tp3617
    +a(g693
    +g976
    +tp3618
    +a(g826
    +g978
    +tp3619
    +a(g423
    +VNone
    +p3620
    +tp3621
    +a(g693
    +g976
    +tp3622
    +a(g826
    +g978
    +tp3623
    +a(g423
    +Vi19
    +p3624
    +tp3625
    +a(g693
    +g976
    +tp3626
    +a(g826
    +g978
    +tp3627
    +a(g423
    +VNone
    +p3628
    +tp3629
    +a(g693
    +g976
    +tp3630
    +a(g826
    +g978
    +tp3631
    +a(g423
    +VNone
    +p3632
    +tp3633
    +a(g693
    +g976
    +tp3634
    +a(g826
    +g978
    +tp3635
    +a(g423
    +Vf11
    +p3636
    +tp3637
    +a(g693
    +g1098
    +tp3638
    +a(g826
    +V\u000a
    +p3639
    +tp3640
    +a(g423
    +Vf61
    +p3641
    +tp3642
    +a(g826
    +g978
    +tp3643
    +a(g693
    +g1119
    +tp3644
    +a(g826
    +g978
    +tp3645
    +a(g669
    +Vgetarrayitem_raw
    +p3646
    +tp3647
    +a(g693
    +g1104
    +tp3648
    +a(g423
    +Vi24
    +p3649
    +tp3650
    +a(g693
    +g976
    +tp3651
    +a(g826
    +g978
    +tp3652
    +a(g423
    +Vi57
    +p3653
    +tp3654
    +a(g693
    +g976
    +tp3655
    +a(g826
    +g978
    +tp3656
    +a(g423
    +Vdescr
    +p3657
    +tp3658
    +a(g693
    +g1119
    +tp3659
    +a(g669
    +V
    +p3660
    +tp3661
    +a(g693
    +g1112
    +tp3662
    +a(g826
    +V\u000a
    +p3663
    +tp3664
    +a(g616
    +Vdebug_merge_point
    +p3665
    +tp3666
    +a(g693
    +g1104
    +tp3667
    +a(g76
    +V' #211 LOAD_FAST'
    +p3668
    +tp3669
    +a(g693
    +g976
    +tp3670
    +a(g826
    +g978
    +tp3671
    +a(g22
    +g1110
    +tp3672
    +a(g693
    +g1112
    +tp3673
    +a(g826
    +V\u000a
    +p3674
    +tp3675
    +a(g616
    +Vdebug_merge_point
    +p3676
    +tp3677
    +a(g693
    +g1104
    +tp3678
    +a(g76
    +V' #214 LOAD_FAST'
    +p3679
    +tp3680
    +a(g693
    +g976
    +tp3681
    +a(g826
    +g978
    +tp3682
    +a(g22
    +g1110
    +tp3683
    +a(g693
    +g1112
    +tp3684
    +a(g826
    +V\u000a
    +p3685
    +tp3686
    +a(g616
    +Vdebug_merge_point
    +p3687
    +tp3688
    +a(g693
    +g1104
    +tp3689
    +a(g76
    +V' #217 LOAD_FAST'
    +p3690
    +tp3691
    +a(g693
    +g976
    +tp3692
    +a(g826
    +g978
    +tp3693
    +a(g22
    +g1110
    +tp3694
    +a(g693
    +g1112
    +tp3695
    +a(g826
    +V\u000a
    +p3696
    +tp3697
    +a(g616
    +Vdebug_merge_point
    +p3698
    +tp3699
    +a(g693
    +g1104
    +tp3700
    +a(g76
    +V' #220 BINARY_MULTIPLY'
    +p3701
    +tp3702
    +a(g693
    +g976
    +tp3703
    +a(g826
    +g978
    +tp3704
    +a(g22
    +g1110
    +tp3705
    +a(g693
    +g1112
    +tp3706
    +a(g826
    +V\u000a
    +p3707
    +tp3708
    +a(g616
    +Vdebug_merge_point
    +p3709
    +tp3710
    +a(g693
    +g1104
    +tp3711
    +a(g76
    +V' #221 LOAD_FAST'
    +p3712
    +tp3713
    +a(g693
    +g976
    +tp3714
    +a(g826
    +g978
    +tp3715
    +a(g22
    +g1110
    +tp3716
    +a(g693
    +g1112
    +tp3717
    +a(g826
    +V\u000a
    +p3718
    +tp3719
    +a(g616
    +Vdebug_merge_point
    +p3720
    +tp3721
    +a(g693
    +g1104
    +tp3722
    +a(g76
    +V' #224 BINARY_ADD'
    +p3723
    +tp3724
    +a(g693
    +g976
    +tp3725
    +a(g826
    +g978
    +tp3726
    +a(g22
    +g1110
    +tp3727
    +a(g693
    +g1112
    +tp3728
    +a(g826
    +V\u000a
    +p3729
    +tp3730
    +a(g616
    +Vdebug_merge_point
    +p3731
    +tp3732
    +a(g693
    +g1104
    +tp3733
    +a(g76
    +V' #225 LOAD_CONST'
    +p3734
    +tp3735
    +a(g693
    +g976
    +tp3736
    +a(g826
    +g978
    +tp3737
    +a(g22
    +g1110
    +tp3738
    +a(g693
    +g1112
    +tp3739
    +a(g826
    +V\u000a
    +p3740
    +tp3741
    +a(g616
    +Vdebug_merge_point
    +p3742
    +tp3743
    +a(g693
    +g1104
    +tp3744
    +a(g76
    +V' #228 BINARY_ADD'
    +p3745
    +tp3746
    +a(g693
    +g976
    +tp3747
    +a(g826
    +g978
    +tp3748
    +a(g22
    +g1110
    +tp3749
    +a(g693
    +g1112
    +tp3750
    +a(g826
    +V\u000a
    +p3751
    +tp3752
    +a(g423
    +Vi63
    +p3753
    +tp3754
    +a(g826
    +g978
    +tp3755
    +a(g693
    +g1119
    +tp3756
    +a(g826
    +g978
    +tp3757
    +a(g669
    +Vint_add_ovf
    +p3758
    +tp3759
    +a(g693
    +g1104
    +tp3760
    +a(g423
    +Vi55
    +p3761
    +tp3762
    +a(g693
    +g976
    +tp3763
    +a(g826
    +g978
    +tp3764
    +a(g22
    +g1250
    +tp3765
    +a(g693
    +g1112
    +tp3766
    +a(g826
    +V\u000a
    +p3767
    +tp3768
    +a(g669
    +Vguard_no_overflow
    +p3769
    +tp3770
    +a(g693
    +g1104
    +tp3771
    +a(g693
    +g976
    +tp3772
    +a(g826
    +g978
    +tp3773
    +a(g423
    +Vdescr
    +p3774
    +tp3775
    +a(g693
    +g1119
    +tp3776
    +a(g669
    +V
    +p3777
    +tp3778
    +a(g693
    +g1112
    +tp3779
    +a(g826
    +g978
    +tp3780
    +a(g693
    +g972
    +tp3781
    +a(g423
    +Vp1
    +p3782
    +tp3783
    +a(g693
    +g976
    +tp3784
    +a(g826
    +g978
    +tp3785
    +a(g423
    +Vp0
    +p3786
    +tp3787
    +a(g693
    +g976
    +tp3788
    +a(g826
    +g978
    +tp3789
    +a(g423
    +Vi63
    +p3790
    +tp3791
    +a(g693
    +g976
    +tp3792
    +a(g826
    +g978
    +tp3793
    +a(g423
    +Vp2
    +p3794
    +tp3795
    +a(g693
    +g976
    +tp3796
    +a(g826
    +g978
    +tp3797
    +a(g423
    +Vp3
    +p3798
    +tp3799
    +a(g693
    +g976
    +tp3800
    +a(g826
    +g978
    +tp3801
    +a(g423
    +Vp4
    +p3802
    +tp3803
    +a(g693
    +g976
    +tp3804
    +a(g826
    +g978
    +tp3805
    +a(g423
    +Vp5
    +p3806
    +tp3807
    +a(g693
    +g976
    +tp3808
    +a(g826
    +g978
    +tp3809
    +a(g423
    +Vp14
    +p3810
    +tp3811
    +a(g693
    +g976
    +tp3812
    +a(g826
    +g978
    +tp3813
    +a(g423
    +Vp6
    +p3814
    +tp3815
    +a(g693
    +g976
    +tp3816
    +a(g826
    +g978
    +tp3817
    +a(g423
    +Vp7
    +p3818
    +tp3819
    +a(g693
    +g976
    +tp3820
    +a(g826
    +g978
    +tp3821
    +a(g423
    +Vp8
    +p3822
    +tp3823
    +a(g693
    +g976
    +tp3824
    +a(g826
    +g978
    +tp3825
    +a(g423
    +Vp9
    +p3826
    +tp3827
    +a(g693
    +g976
    +tp3828
    +a(g826
    +g978
    +tp3829
    +a(g423
    +Vp10
    +p3830
    +tp3831
    +a(g693
    +g976
    +tp3832
    +a(g826
    +g978
    +tp3833
    +a(g423
    +Vp12
    +p3834
    +tp3835
    +a(g693
    +g976
    +tp3836
    +a(g826
    +g978
    +tp3837
    +a(g423
    +Vp13
    +p3838
    +tp3839
    +a(g693
    +g976
    +tp3840
    +a(g826
    +g978
    +tp3841
    +a(g423
    +Vp15
    +p3842
    +tp3843
    +a(g693
    +g976
    +tp3844
    +a(g826
    +g978
    +tp3845
    +a(g423
    +Vf61
    +p3846
    +tp3847
    +a(g693
    +g976
    +tp3848
    +a(g826
    +g978
    +tp3849
    +a(g423
    +Vi55
    +p3850
    +tp3851
    +a(g693
    +g976
    +tp3852
    +a(g826
    +g978
    +tp3853
    +a(g423
    +Vf54
    +p3854
    +tp3855
    +a(g693
    +g976
    +tp3856
    +a(g826
    +g978
    +tp3857
    +a(g423
    +VNone
    +p3858
    +tp3859
    +a(g693
    +g976
    +tp3860
    +a(g826
    +g978
    +tp3861
    +a(g423
    +VNone
    +p3862
    +tp3863
    +a(g693
    +g976
    +tp3864
    +a(g826
    +g978
    +tp3865
    +a(g423
    +VNone
    +p3866
    +tp3867
    +a(g693
    +g976
    +tp3868
    +a(g826
    +g978
    +tp3869
    +a(g423
    +Vf42
    +p3870
    +tp3871
    +a(g693
    +g976
    +tp3872
    +a(g826
    +g978
    +tp3873
    +a(g423
    +VNone
    +p3874
    +tp3875
    +a(g693
    +g976
    +tp3876
    +a(g826
    +g978
    +tp3877
    +a(g423
    +VNone
    +p3878
    +tp3879
    +a(g693
    +g976
    +tp3880
    +a(g826
    +g978
    +tp3881
    +a(g423
    +Vi19
    +p3882
    +tp3883
    +a(g693
    +g976
    +tp3884
    +a(g826
    +g978
    +tp3885
    +a(g423
    +VNone
    +p3886
    +tp3887
    +a(g693
    +g976
    +tp3888
    +a(g826
    +g978
    +tp3889
    +a(g423
    +VNone
    +p3890
    +tp3891
    +a(g693
    +g976
    +tp3892
    +a(g826
    +g978
    +tp3893
    +a(g423
    +Vf11
    +p3894
    +tp3895
    +a(g693
    +g1098
    +tp3896
    +a(g826
    +V\u000a
    +p3897
    +tp3898
    +a(g616
    +Vdebug_merge_point
    +p3899
    +tp3900
    +a(g693
    +g1104
    +tp3901
    +a(g76
    +V' #229 BINARY_SUBSCR'
    +p3902
    +tp3903
    +a(g693
    +g976
    +tp3904
    +a(g826
    +g978
    +tp3905
    +a(g22
    +g1110
    +tp3906
    +a(g693
    +g1112
    +tp3907
    +a(g826
    +V\u000a
    +p3908
    +tp3909
    +a(g423
    +Vi64
    +p3910
    +tp3911
    +a(g826
    +g978
    +tp3912
    +a(g693
    +g1119
    +tp3913
    +a(g826
    +g978
    +tp3914
    +a(g669
    +Vint_lt
    +p3915
    +tp3916
    +a(g693
    +g1104
    +tp3917
    +a(g423
    +Vi63
    +p3918
    +tp3919
    +a(g693
    +g976
    +tp3920
    +a(g826
    +g978
    +tp3921
    +a(g423
    +Vi23
    +p3922
    +tp3923
    +a(g693
    +g1112
    +tp3924
    +a(g826
    +V\u000a
    +p3925
    +tp3926
    +a(g669
    +Vguard_true
    +p3927
    +tp3928
    +a(g693
    +g1104
    +tp3929
    +a(g423
    +Vi64
    +p3930
    +tp3931
    +a(g693
    +g976
    +tp3932
    +a(g826
    +g978
    +tp3933
    +a(g423
    +Vdescr
    +p3934
    +tp3935
    +a(g693
    +g1119
    +tp3936
    +a(g669
    +V
    +p3937
    +tp3938
    +a(g693
    +g1112
    +tp3939
    +a(g826
    +g978
    +tp3940
    +a(g693
    +g972
    +tp3941
    +a(g423
    +Vp1
    +p3942
    +tp3943
    +a(g693
    +g976
    +tp3944
    +a(g826
    +g978
    +tp3945
    +a(g423
    +Vp0
    +p3946
    +tp3947
    +a(g693
    +g976
    +tp3948
    +a(g826
    +g978
    +tp3949
    +a(g423
    +Vp14
    +p3950
    +tp3951
    +a(g693
    +g976
    +tp3952
    +a(g826
    +g978
    +tp3953
    +a(g423
    +Vi63
    +p3954
    +tp3955
    +a(g693
    +g976
    +tp3956
    +a(g826
    +g978
    +tp3957
    +a(g423
    +Vp2
    +p3958
    +tp3959
    +a(g693
    +g976
    +tp3960
    +a(g826
    +g978
    +tp3961
    +a(g423
    +Vp3
    +p3962
    +tp3963
    +a(g693
    +g976
    +tp3964
    +a(g826
    +g978
    +tp3965
    +a(g423
    +Vp4
    +p3966
    +tp3967
    +a(g693
    +g976
    +tp3968
    +a(g826
    +g978
    +tp3969
    +a(g423
    +Vp5
    +p3970
    +tp3971
    +a(g693
    +g976
    +tp3972
    +a(g826
    +g978
    +tp3973
    +a(g423
    +Vp6
    +p3974
    +tp3975
    +a(g693
    +g976
    +tp3976
    +a(g826
    +g978
    +tp3977
    +a(g423
    +Vp7
    +p3978
    +tp3979
    +a(g693
    +g976
    +tp3980
    +a(g826
    +g978
    +tp3981
    +a(g423
    +Vp8
    +p3982
    +tp3983
    +a(g693
    +g976
    +tp3984
    +a(g826
    +g978
    +tp3985
    +a(g423
    +Vp9
    +p3986
    +tp3987
    +a(g693
    +g976
    +tp3988
    +a(g826
    +g978
    +tp3989
    +a(g423
    +Vp10
    +p3990
    +tp3991
    +a(g693
    +g976
    +tp3992
    +a(g826
    +g978
    +tp3993
    +a(g423
    +Vp12
    +p3994
    +tp3995
    +a(g693
    +g976
    +tp3996
    +a(g826
    +g978
    +tp3997
    +a(g423
    +Vp13
    +p3998
    +tp3999
    +a(g693
    +g976
    +tp4000
    +a(g826
    +g978
    +tp4001
    +a(g423
    +Vp15
    +p4002
    +tp4003
    +a(g693
    +g976
    +tp4004
    +a(g826
    +g978
    +tp4005
    +a(g423
    +Vf61
    +p4006
    +tp4007
    +a(g693
    +g976
    +tp4008
    +a(g826
    +g978
    +tp4009
    +a(g423
    +VNone
    +p4010
    +tp4011
    +a(g693
    +g976
    +tp4012
    +a(g826
    +g978
    +tp4013
    +a(g423
    +Vf54
    +p4014
    +tp4015
    +a(g693
    +g976
    +tp4016
    +a(g826
    +g978
    +tp4017
    +a(g423
    +VNone
    +p4018
    +tp4019
    +a(g693
    +g976
    +tp4020
    +a(g826
    +g978
    +tp4021
    +a(g423
    +VNone
    +p4022
    +tp4023
    +a(g693
    +g976
    +tp4024
    +a(g826
    +g978
    +tp4025
    +a(g423
    +VNone
    +p4026
    +tp4027
    +a(g693
    +g976
    +tp4028
    +a(g826
    +g978
    +tp4029
    +a(g423
    +Vf42
    +p4030
    +tp4031
    +a(g693
    +g976
    +tp4032
    +a(g826
    +g978
    +tp4033
    +a(g423
    +VNone
    +p4034
    +tp4035
    +a(g693
    +g976
    +tp4036
    +a(g826
    +g978
    +tp4037
    +a(g423
    +VNone
    +p4038
    +tp4039
    +a(g693
    +g976
    +tp4040
    +a(g826
    +g978
    +tp4041
    +a(g423
    +Vi19
    +p4042
    +tp4043
    +a(g693
    +g976
    +tp4044
    +a(g826
    +g978
    +tp4045
    +a(g423
    +VNone
    +p4046
    +tp4047
    +a(g693
    +g976
    +tp4048
    +a(g826
    +g978
    +tp4049
    +a(g423
    +VNone
    +p4050
    +tp4051
    +a(g693
    +g976
    +tp4052
    +a(g826
    +g978
    +tp4053
    +a(g423
    +Vf11
    +p4054
    +tp4055
    +a(g693
    +g1098
    +tp4056
    +a(g826
    +V\u000a
    +p4057
    +tp4058
    +a(g423
    +Vf65
    +p4059
    +tp4060
    +a(g826
    +g978
    +tp4061
    +a(g693
    +g1119
    +tp4062
    +a(g826
    +g978
    +tp4063
    +a(g669
    +Vgetarrayitem_raw
    +p4064
    +tp4065
    +a(g693
    +g1104
    +tp4066
    +a(g423
    +Vi24
    +p4067
    +tp4068
    +a(g693
    +g976
    +tp4069
    +a(g826
    +g978
    +tp4070
    +a(g423
    +Vi63
    +p4071
    +tp4072
    +a(g693
    +g976
    +tp4073
    +a(g826
    +g978
    +tp4074
    +a(g423
    +Vdescr
    +p4075
    +tp4076
    +a(g693
    +g1119
    +tp4077
    +a(g669
    +V
    +p4078
    +tp4079
    +a(g693
    +g1112
    +tp4080
    +a(g826
    +V\u000a
    +p4081
    +tp4082
    +a(g616
    +Vdebug_merge_point
    +p4083
    +tp4084
    +a(g693
    +g1104
    +tp4085
    +a(g76
    +V' #230 BINARY_ADD'
    +p4086
    +tp4087
    +a(g693
    +g976
    +tp4088
    +a(g826
    +g978
    +tp4089
    +a(g22
    +g1110
    +tp4090
    +a(g693
    +g1112
    +tp4091
    +a(g826
    +V\u000a
    +p4092
    +tp4093
    +a(g423
    +Vf66
    +p4094
    +tp4095
    +a(g826
    +g978
    +tp4096
    +a(g693
    +g1119
    +tp4097
    +a(g826
    +g978
    +tp4098
    +a(g669
    +Vfloat_add
    +p4099
    +tp4100
    +a(g693
    +g1104
    +tp4101
    +a(g423
    +Vf61
    +p4102
    +tp4103
    +a(g693
    +g976
    +tp4104
    +a(g826
    +g978
    +tp4105
    +a(g423
    +Vf65
    +p4106
    +tp4107
    +a(g693
    +g1112
    +tp4108
    +a(g826
    +V\u000a
    +p4109
    +tp4110
    +a(g616
    +Vdebug_merge_point
    +p4111
    +tp4112
    +a(g693
    +g1104
    +tp4113
    +a(g76
    +V' #231 LOAD_FAST'
    +p4114
    +tp4115
    +a(g693
    +g976
    +tp4116
    +a(g826
    +g978
    +tp4117
    +a(g22
    +g1110
    +tp4118
    +a(g693
    +g1112
    +tp4119
    +a(g826
    +V\u000a
    +p4120
    +tp4121
    +a(g616
    +Vdebug_merge_point
    +p4122
    +tp4123
    +a(g693
    +g1104
    +tp4124
    +a(g76
    +V' #234 BINARY_MULTIPLY'
    +p4125
    +tp4126
    +a(g693
    +g976
    +tp4127
    +a(g826
    +g978
    +tp4128
    +a(g22
    +g1110
    +tp4129
    +a(g693
    +g1112
    +tp4130
    +a(g826
    +V\u000a
    +p4131
    +tp4132
    +a(g423
    +Vf67
    +p4133
    +tp4134
    +a(g826
    +g978
    +tp4135
    +a(g693
    +g1119
    +tp4136
    +a(g826
    +g978
    +tp4137
    +a(g669
    +Vfloat_mul
    +p4138
    +tp4139
    +a(g693
    +g1104
    +tp4140
    +a(g423
    +Vf66
    +p4141
    +tp4142
    +a(g693
    +g976
    +tp4143
    +a(g826
    +g978
    +tp4144
    +a(g423
    +Vf29
    +p4145
    +tp4146
    +a(g693
    +g1112
    +tp4147
    +a(g826
    +V\u000a
    +p4148
    +tp4149
    +a(g616
    +Vdebug_merge_point
    +p4150
    +tp4151
    +a(g693
    +g1104
    +tp4152
    +a(g76
    +V' #235 BINARY_ADD'
    +p4153
    +tp4154
    +a(g693
    +g976
    +tp4155
    +a(g826
    +g978
    +tp4156
    +a(g22
    +g1110
    +tp4157
    +a(g693
    +g1112
    +tp4158
    +a(g826
    +V\u000a
    +p4159
    +tp4160
    +a(g423
    +Vf68
    +p4161
    +tp4162
    +a(g826
    +g978
    +tp4163
    +a(g693
    +g1119
    +tp4164
    +a(g826
    +g978
    +tp4165
    +a(g669
    +Vfloat_add
    +p4166
    +tp4167
    +a(g693
    +g1104
    +tp4168
    +a(g423
    +Vf54
    +p4169
    +tp4170
    +a(g693
    +g976
    +tp4171
    +a(g826
    +g978
    +tp4172
    +a(g423
    +Vf67
    +p4173
    +tp4174
    +a(g693
    +g1112
    +tp4175
    +a(g826
    +V\u000a
    +p4176
    +tp4177
    +a(g616
    +Vdebug_merge_point
    +p4178
    +tp4179
    +a(g693
    +g1104
    +tp4180
    +a(g76
    +V' #236 LOAD_FAST'
    +p4181
    +tp4182
    +a(g693
    +g976
    +tp4183
    +a(g826
    +g978
    +tp4184
    +a(g22
    +g1110
    +tp4185
    +a(g693
    +g1112
    +tp4186
    +a(g826
    +V\u000a
    +p4187
    +tp4188
    +a(g616
    +Vdebug_merge_point
    +p4189
    +tp4190
    +a(g693
    +g1104
    +tp4191
    +a(g76
    +V' #239 BINARY_MULTIPLY'
    +p4192
    +tp4193
    +a(g693
    +g976
    +tp4194
    +a(g826
    +g978
    +tp4195
    +a(g22
    +g1110
    +tp4196
    +a(g693
    +g1112
    +tp4197
    +a(g826
    +V\u000a
    +p4198
    +tp4199
    +a(g423
    +Vf69
    +p4200
    +tp4201
    +a(g826
    +g978
    +tp4202
    +a(g693
    +g1119
    +tp4203
    +a(g826
    +g978
    +tp4204
    +a(g669
    +Vfloat_mul
    +p4205
    +tp4206
    +a(g693
    +g1104
    +tp4207
    +a(g423
    +Vf68
    +p4208
    +tp4209
    +a(g693
    +g976
    +tp4210
    +a(g826
    +g978
    +tp4211
    +a(g423
    +Vf30
    +p4212
    +tp4213
    +a(g693
    +g1112
    +tp4214
    +a(g826
    +V\u000a
    +p4215
    +tp4216
    +a(g616
    +Vdebug_merge_point
    +p4217
    +tp4218
    +a(g693
    +g1104
    +tp4219
    +a(g76
    +V' #240 LOAD_FAST'
    +p4220
    +tp4221
    +a(g693
    +g976
    +tp4222
    +a(g826
    +g978
    +tp4223
    +a(g22
    +g1110
    +tp4224
    +a(g693
    +g1112
    +tp4225
    +a(g826
    +V\u000a
    +p4226
    +tp4227
    +a(g616
    +Vdebug_merge_point
    +p4228
    +tp4229
    +a(g693
    +g1104
    +tp4230
    +a(g76
    +V' #243 LOAD_FAST'
    +p4231
    +tp4232
    +a(g693
    +g976
    +tp4233
    +a(g826
    +g978
    +tp4234
    +a(g22
    +g1110
    +tp4235
    +a(g693
    +g1112
    +tp4236
    +a(g826
    +V\u000a
    +p4237
    +tp4238
    +a(g616
    +Vdebug_merge_point
    +p4239
    +tp4240
    +a(g693
    +g1104
    +tp4241
    +a(g76
    +V' #246 LOAD_FAST'
    +p4242
    +tp4243
    +a(g693
    +g976
    +tp4244
    +a(g826
    +g978
    +tp4245
    +a(g22
    +g1110
    +tp4246
    +a(g693
    +g1112
    +tp4247
    +a(g826
    +V\u000a
    +p4248
    +tp4249
    +a(g616
    +Vdebug_merge_point
    +p4250
    +tp4251
    +a(g693
    +g1104
    +tp4252
    +a(g76
    +V' #249 BINARY_MULTIPLY'
    +p4253
    +tp4254
    +a(g693
    +g976
    +tp4255
    +a(g826
    +g978
    +tp4256
    +a(g22
    +g1110
    +tp4257
    +a(g693
    +g1112
    +tp4258
    +a(g826
    +V\u000a
    +p4259
    +tp4260
    +a(g616
    +Vdebug_merge_point
    +p4261
    +tp4262
    +a(g693
    +g1104
    +tp4263
    +a(g76
    +V' #250 LOAD_FAST'
    +p4264
    +tp4265
    +a(g693
    +g976
    +tp4266
    +a(g826
    +g978
    +tp4267
    +a(g22
    +g1110
    +tp4268
    +a(g693
    +g1112
    +tp4269
    +a(g826
    +V\u000a
    +p4270
    +tp4271
    +a(g616
    +Vdebug_merge_point
    +p4272
    +tp4273
    +a(g693
    +g1104
    +tp4274
    +a(g76
    +V' #253 BINARY_ADD'
    +p4275
    +tp4276
    +a(g693
    +g976
    +tp4277
    +a(g826
    +g978
    +tp4278
    +a(g22
    +g1110
    +tp4279
    +a(g693
    +g1112
    +tp4280
    +a(g826
    +V\u000a
    +p4281
    +tp4282
    +a(g616
    +Vdebug_merge_point
    +p4283
    +tp4284
    +a(g693
    +g1104
    +tp4285
    +a(g76
    +V' #254 STORE_SUBSCR'
    +p4286
    +tp4287
    +a(g693
    +g976
    +tp4288
    +a(g826
    +g978
    +tp4289
    +a(g22
    +g1110
    +tp4290
    +a(g693
    +g1112
    +tp4291
    +a(g826
    +V\u000a
    +p4292
    +tp4293
    +a(g423
    +Vi70
    +p4294
    +tp4295
    +a(g826
    +g978
    +tp4296
    +a(g693
    +g1119
    +tp4297
    +a(g826
    +g978
    +tp4298
    +a(g669
    +Vint_lt
    +p4299
    +tp4300
    +a(g693
    +g1104
    +tp4301
    +a(g423
    +Vi55
    +p4302
    +tp4303
    +a(g693
    +g976
    +tp4304
    +a(g826
    +g978
    +tp4305
    +a(g423
    +Vi23
    +p4306
    +tp4307
    +a(g693
    +g1112
    +tp4308
    +a(g826
    +V\u000a
    +p4309
    +tp4310
    +a(g669
    +Vguard_true
    +p4311
    +tp4312
    +a(g693
    +g1104
    +tp4313
    +a(g423
    +Vi70
    +p4314
    +tp4315
    +a(g693
    +g976
    +tp4316
    +a(g826
    +g978
    +tp4317
    +a(g423
    +Vdescr
    +p4318
    +tp4319
    +a(g693
    +g1119
    +tp4320
    +a(g669
    +V
    +p4321
    +tp4322
    +a(g693
    +g1112
    +tp4323
    +a(g826
    +g978
    +tp4324
    +a(g693
    +g972
    +tp4325
    +a(g423
    +Vp1
    +p4326
    +tp4327
    +a(g693
    +g976
    +tp4328
    +a(g826
    +g978
    +tp4329
    +a(g423
    +Vp0
    +p4330
    +tp4331
    +a(g693
    +g976
    +tp4332
    +a(g826
    +g978
    +tp4333
    +a(g423
    +Vp14
    +p4334
    +tp4335
    +a(g693
    +g976
    +tp4336
    +a(g826
    +g978
    +tp4337
    +a(g423
    +Vi55
    +p4338
    +tp4339
    +a(g693
    +g976
    +tp4340
    +a(g826
    +g978
    +tp4341
    +a(g423
    +Vp2
    +p4342
    +tp4343
    +a(g693
    +g976
    +tp4344
    +a(g826
    +g978
    +tp4345
    +a(g423
    +Vp3
    +p4346
    +tp4347
    +a(g693
    +g976
    +tp4348
    +a(g826
    +g978
    +tp4349
    +a(g423
    +Vp4
    +p4350
    +tp4351
    +a(g693
    +g976
    +tp4352
    +a(g826
    +g978
    +tp4353
    +a(g423
    +Vp5
    +p4354
    +tp4355
    +a(g693
    +g976
    +tp4356
    +a(g826
    +g978
    +tp4357
    +a(g423
    +Vp6
    +p4358
    +tp4359
    +a(g693
    +g976
    +tp4360
    +a(g826
    +g978
    +tp4361
    +a(g423
    +Vp7
    +p4362
    +tp4363
    +a(g693
    +g976
    +tp4364
    +a(g826
    +g978
    +tp4365
    +a(g423
    +Vp8
    +p4366
    +tp4367
    +a(g693
    +g976
    +tp4368
    +a(g826
    +g978
    +tp4369
    +a(g423
    +Vp9
    +p4370
    +tp4371
    +a(g693
    +g976
    +tp4372
    +a(g826
    +g978
    +tp4373
    +a(g423
    +Vp10
    +p4374
    +tp4375
    +a(g693
    +g976
    +tp4376
    +a(g826
    +g978
    +tp4377
    +a(g423
    +Vp12
    +p4378
    +tp4379
    +a(g693
    +g976
    +tp4380
    +a(g826
    +g978
    +tp4381
    +a(g423
    +Vp13
    +p4382
    +tp4383
    +a(g693
    +g976
    +tp4384
    +a(g826
    +g978
    +tp4385
    +a(g423
    +Vp15
    +p4386
    +tp4387
    +a(g693
    +g976
    +tp4388
    +a(g826
    +g978
    +tp4389
    +a(g423
    +Vf69
    +p4390
    +tp4391
    +a(g693
    +g976
    +tp4392
    +a(g826
    +g978
    +tp4393
    +a(g423
    +VNone
    +p4394
    +tp4395
    +a(g693
    +g976
    +tp4396
    +a(g826
    +g978
    +tp4397
    +a(g423
    +VNone
    +p4398
    +tp4399
    +a(g693
    +g976
    +tp4400
    +a(g826
    +g978
    +tp4401
    +a(g423
    +VNone
    +p4402
    +tp4403
    +a(g693
    +g976
    +tp4404
    +a(g826
    +g978
    +tp4405
    +a(g423
    +VNone
    +p4406
    +tp4407
    +a(g693
    +g976
    +tp4408
    +a(g826
    +g978
    +tp4409
    +a(g423
    +VNone
    +p4410
    +tp4411
    +a(g693
    +g976
    +tp4412
    +a(g826
    +g978
    +tp4413
    +a(g423
    +VNone
    +p4414
    +tp4415
    +a(g693
    +g976
    +tp4416
    +a(g826
    +g978
    +tp4417
    +a(g423
    +Vf42
    +p4418
    +tp4419
    +a(g693
    +g976
    +tp4420
    +a(g826
    +g978
    +tp4421
    +a(g423
    +VNone
    +p4422
    +tp4423
    +a(g693
    +g976
    +tp4424
    +a(g826
    +g978
    +tp4425
    +a(g423
    +VNone
    +p4426
    +tp4427
    +a(g693
    +g976
    +tp4428
    +a(g826
    +g978
    +tp4429
    +a(g423
    +Vi19
    +p4430
    +tp4431
    +a(g693
    +g976
    +tp4432
    +a(g826
    +g978
    +tp4433
    +a(g423
    +VNone
    +p4434
    +tp4435
    +a(g693
    +g976
    +tp4436
    +a(g826
    +g978
    +tp4437
    +a(g423
    +VNone
    +p4438
    +tp4439
    +a(g693
    +g976
    +tp4440
    +a(g826
    +g978
    +tp4441
    +a(g423
    +Vf11
    +p4442
    +tp4443
    +a(g693
    +g1098
    +tp4444
    +a(g826
    +V\u000a
    +p4445
    +tp4446
    +a(g669
    +Vsetarrayitem_raw
    +p4447
    +tp4448
    +a(g693
    +g1104
    +tp4449
    +a(g423
    +Vi24
    +p4450
    +tp4451
    +a(g693
    +g976
    +tp4452
    +a(g826
    +g978
    +tp4453
    +a(g423
    +Vi55
    +p4454
    +tp4455
    +a(g693
    +g976
    +tp4456
    +a(g826
    +g978
    +tp4457
    +a(g423
    +Vf69
    +p4458
    +tp4459
    +a(g693
    +g976
    +tp4460
    +a(g826
    +g978
    +tp4461
    +a(g423
    +Vdescr
    +p4462
    +tp4463
    +a(g693
    +g1119
    +tp4464
    +a(g669
    +V
    +p4465
    +tp4466
    +a(g693
    +g1112
    +tp4467
    +a(g826
    +V\u000a
    +p4468
    +tp4469
    +a(g616
    +Vdebug_merge_point
    +p4470
    +tp4471
    +a(g693
    +g1104
    +tp4472
    +a(g76
    +V' #255 LOAD_FAST'
    +p4473
    +tp4474
    +a(g693
    +g976
    +tp4475
    +a(g826
    +g978
    +tp4476
    +a(g22
    +g1110
    +tp4477
    +a(g693
    +g1112
    +tp4478
    +a(g826
    +V\u000a
    +p4479
    +tp4480
    +a(g616
    +Vdebug_merge_point
    +p4481
    +tp4482
    +a(g693
    +g1104
    +tp4483
    +a(g76
    +V' #258 LOAD_GLOBAL'
    +p4484
    +tp4485
    +a(g693
    +g976
    +tp4486
    +a(g826
    +g978
    +tp4487
    +a(g22
    +g1110
    +tp4488
    +a(g693
    +g1112
    +tp4489
    +a(g826
    +V\u000a
    +p4490
    +tp4491
    +a(g616
    +Vdebug_merge_point
    +p4492
    +tp4493
    +a(g693
    +g1104
    +tp4494
    +a(g76
    +V' #261 LOAD_FAST'
    +p4495
    +tp4496
    +a(g693
    +g976
    +tp4497
    +a(g826
    +g978
    +tp4498
    +a(g22
    +g1110
    +tp4499
    +a(g693
    +g1112
    +tp4500
    +a(g826
    +V\u000a
    +p4501
    +tp4502
    +a(g616
    +Vdebug_merge_point
    +p4503
    +tp4504
    +a(g693
    +g1104
    +tp4505
    +a(g76
    +V' #264 LOAD_FAST'
    +p4506
    +tp4507
    +a(g693
    +g976
    +tp4508
    +a(g826
    +g978
    +tp4509
    +a(g22
    +g1110
    +tp4510
    +a(g693
    +g1112
    +tp4511
    +a(g826
    +V\u000a
    +p4512
    +tp4513
    +a(g616
    +Vdebug_merge_point
    +p4514
    +tp4515
    +a(g693
    +g1104
    +tp4516
    +a(g76
    +V' #267 LOAD_FAST'
    +p4517
    +tp4518
    +a(g693
    +g976
    +tp4519
    +a(g826
    +g978
    +tp4520
    +a(g22
    +g1110
    +tp4521
    +a(g693
    +g1112
    +tp4522
    +a(g826
    +V\u000a
    +p4523
    +tp4524
    +a(g616
    +Vdebug_merge_point
    +p4525
    +tp4526
    +a(g693
    +g1104
    +tp4527
    +a(g76
    +V' #270 BINARY_MULTIPLY'
    +p4528
    +tp4529
    +a(g693
    +g976
    +tp4530
    +a(g826
    +g978
    +tp4531
    +a(g22
    +g1110
    +tp4532
    +a(g693
    +g1112
    +tp4533
    +a(g826
    +V\u000a
    +p4534
    +tp4535
    +a(g616
    +Vdebug_merge_point
    +p4536
    +tp4537
    +a(g693
    +g1104
    +tp4538
    +a(g76
    +V' #271 LOAD_FAST'
    +p4539
    +tp4540
    +a(g693
    +g976
    +tp4541
    +a(g826
    +g978
    +tp4542
    +a(g22
    +g1110
    +tp4543
    +a(g693
    +g1112
    +tp4544
    +a(g826
    +V\u000a
    +p4545
    +tp4546
    +a(g616
    +Vdebug_merge_point
    +p4547
    +tp4548
    +a(g693
    +g1104
    +tp4549
    +a(g76
    +V' #274 BINARY_ADD'
    +p4550
    +tp4551
    +a(g693
    +g976
    +tp4552
    +a(g826
    +g978
    +tp4553
    +a(g22
    +g1110
    +tp4554
    +a(g693
    +g1112
    +tp4555
    +a(g826
    +V\u000a
    +p4556
    +tp4557
    +a(g616
    +Vdebug_merge_point
    +p4558
    +tp4559
    +a(g693
    +g1104
    +tp4560
    +a(g76
    +V' #275 BINARY_SUBSCR'
    +p4561
    +tp4562
    +a(g693
    +g976
    +tp4563
    +a(g826
    +g978
    +tp4564
    +a(g22
    +g1110
    +tp4565
    +a(g693
    +g1112
    +tp4566
    +a(g826
    +V\u000a
    +p4567
    +tp4568
    +a(g423
    +Vf71
    +p4569
    +tp4570
    +a(g826
    +g978
    +tp4571
    +a(g693
    +g1119
    +tp4572
    +a(g826
    +g978
    +tp4573
    +a(g669
    +Vgetarrayitem_raw
    +p4574
    +tp4575
    +a(g693
    +g1104
    +tp4576
    +a(g423
    +Vi24
    +p4577
    +tp4578
    +a(g693
    +g976
    +tp4579
    +a(g826
    +g978
    +tp4580
    +a(g423
    +Vi55
    +p4581
    +tp4582
    +a(g693
    +g976
    +tp4583
    +a(g826
    +g978
    +tp4584
    +a(g423
    +Vdescr
    +p4585
    +tp4586
    +a(g693
    +g1119
    +tp4587
    +a(g669
    +V
    +p4588
    +tp4589
    +a(g693
    +g1112
    +tp4590
    +a(g826
    +V\u000a
    +p4591
    +tp4592
    +a(g616
    +Vdebug_merge_point
    +p4593
    +tp4594
    +a(g693
    +g1104
    +tp4595
    +a(g76
    +V' #276 LOAD_FAST'
    +p4596
    +tp4597
    +a(g693
    +g976
    +tp4598
    +a(g826
    +g978
    +tp4599
    +a(g22
    +g1110
    +tp4600
    +a(g693
    +g1112
    +tp4601
    +a(g826
    +V\u000a
    +p4602
    +tp4603
    +a(g616
    +Vdebug_merge_point
    +p4604
    +tp4605
    +a(g693
    +g1104
    +tp4606
    +a(g76
    +V' #279 BINARY_SUBTRACT'
    +p4607
    +tp4608
    +a(g693
    +g976
    +tp4609
    +a(g826
    +g978
    +tp4610
    +a(g22
    +g1110
    +tp4611
    +a(g693
    +g1112
    +tp4612
    +a(g826
    +V\u000a
    +p4613
    +tp4614
    +a(g423
    +Vf72
    +p4615
    +tp4616
    +a(g826
    +g978
    +tp4617
    +a(g693
    +g1119
    +tp4618
    +a(g826
    +g978
    +tp4619
    +a(g669
    +Vfloat_sub
    +p4620
    +tp4621
    +a(g693
    +g1104
    +tp4622
    +a(g423
    +Vf71
    +p4623
    +tp4624
    +a(g693
    +g976
    +tp4625
    +a(g826
    +g978
    +tp4626
    +a(g423
    +Vf42
    +p4627
    +tp4628
    +a(g693
    +g1112
    +tp4629
    +a(g826
    +V\u000a
    +p4630
    +tp4631
    +a(g616
    +Vdebug_merge_point
    +p4632
    +tp4633
    +a(g693
    +g1104
    +tp4634
    +a(g76
    +V' #280 CALL_FUNCTION'
    +p4635
    +tp4636
    +a(g693
    +g976
    +tp4637
    +a(g826
    +g978
    +tp4638
    +a(g22
    +g1110
    +tp4639
    +a(g693
    +g1112
    +tp4640
    +a(g826
    +V\u000a
    +p4641
    +tp4642
    +a(g423
    +Vi73
    +p4643
    +tp4644
    +a(g826
    +g978
    +tp4645
    +a(g693
    +g1119
    +tp4646
    +a(g826
    +g978
    +tp4647
    +a(g669
    +Vforce_token
    +p4648
    +tp4649
    +a(g693
    +g1104
    +tp4650
    +a(g693
    +g1112
    +tp4651
    +a(g826
    +V\u000a
    +p4652
    +tp4653
    +a(g616
    +Vdebug_merge_point
    +p4654
    +tp4655
    +a(g693
    +g1104
    +tp4656
    +a(g76
    +V' #0 LOAD_FAST'
    +p4657
    +tp4658
    +a(g693
    +g976
    +tp4659
    +a(g826
    +g978
    +tp4660
    +a(g22
    +g1250
    +tp4661
    +a(g693
    +g1112
    +tp4662
    +a(g826
    +V\u000a
    +p4663
    +tp4664
    +a(g616
    +Vdebug_merge_point
    +p4665
    +tp4666
    +a(g693
    +g1104
    +tp4667
    +a(g76
    +V' #3 LOAD_FAST'
    +p4668
    +tp4669
    +a(g693
    +g976
    +tp4670
    +a(g826
    +g978
    +tp4671
    +a(g22
    +g1250
    +tp4672
    +a(g693
    +g1112
    +tp4673
    +a(g826
    +V\u000a
    +p4674
    +tp4675
    +a(g616
    +Vdebug_merge_point
    +p4676
    +tp4677
    +a(g693
    +g1104
    +tp4678
    +a(g76
    +V' #6 BINARY_MULTIPLY'
    +p4679
    +tp4680
    +a(g693
    +g976
    +tp4681
    +a(g826
    +g978
    +tp4682
    +a(g22
    +g1250
    +tp4683
    +a(g693
    +g1112
    +tp4684
    +a(g826
    +V\u000a
    +p4685
    +tp4686
    +a(g423
    +Vf74
    +p4687
    +tp4688
    +a(g826
    +g978
    +tp4689
    +a(g693
    +g1119
    +tp4690
    +a(g826
    +g978
    +tp4691
    +a(g669
    +Vfloat_mul
    +p4692
    +tp4693
    +a(g693
    +g1104
    +tp4694
    +a(g423
    +Vf72
    +p4695
    +tp4696
    +a(g693
    +g976
    +tp4697
    +a(g826
    +g978
    +tp4698
    +a(g423
    +Vf72
    +p4699
    +tp4700
    +a(g693
    +g1112
    +tp4701
    +a(g826
    +V\u000a
    +p4702
    +tp4703
    +a(g616
    +Vdebug_merge_point
    +p4704
    +tp4705
    +a(g693
    +g1104
    +tp4706
    +a(g76
    +V' #7 RETURN_VALUE'
    +p4707
    +tp4708
    +a(g693
    +g976
    +tp4709
    +a(g826
    +g978
    +tp4710
    +a(g22
    +g1250
    +tp4711
    +a(g693
    +g1112
    +tp4712
    +a(g826
    +V\u000a
    +p4713
    +tp4714
    +a(g616
    +Vdebug_merge_point
    +p4715
    +tp4716
    +a(g693
    +g1104
    +tp4717
    +a(g76
    +V' #283 INPLACE_ADD'
    +p4718
    +tp4719
    +a(g693
    +g976
    +tp4720
    +a(g826
    +g978
    +tp4721
    +a(g22
    +g1110
    +tp4722
    +a(g693
    +g1112
    +tp4723
    +a(g826
    +V\u000a
    +p4724
    +tp4725
    +a(g423
    +Vf75
    +p4726
    +tp4727
    +a(g826
    +g978
    +tp4728
    +a(g693
    +g1119
    +tp4729
    +a(g826
    +g978
    +tp4730
    +a(g669
    +Vfloat_add
    +p4731
    +tp4732
    +a(g693
    +g1104
    +tp4733
    +a(g423
    +Vf11
    +p4734
    +tp4735
    +a(g693
    +g976
    +tp4736
    +a(g826
    +g978
    +tp4737
    +a(g423
    +Vf74
    +p4738
    +tp4739
    +a(g693
    +g1112
    +tp4740
    +a(g826
    +V\u000a
    +p4741
    +tp4742
    +a(g616
    +Vdebug_merge_point
    +p4743
    +tp4744
    +a(g693
    +g1104
    +tp4745
    +a(g76
    +V' #284 STORE_FAST'
    +p4746
    +tp4747
    +a(g693
    +g976
    +tp4748
    +a(g826
    +g978
    +tp4749
    +a(g22
    +g1110
    +tp4750
    +a(g693
    +g1112
    +tp4751
    +a(g826
    +V\u000a
    +p4752
    +tp4753
    +a(g616
    +Vdebug_merge_point
    +p4754
    +tp4755
    +a(g693
    +g1104
    +tp4756
    +a(g76
    +V' #287 JUMP_ABSOLUTE'
    +p4757
    +tp4758
    +a(g693
    +g976
    +tp4759
    +a(g826
    +g978
    +tp4760
    +a(g22
    +g1110
    +tp4761
    +a(g693
    +g1112
    +tp4762
    +a(g826
    +V\u000a
    +p4763
    +tp4764
    +a(g423
    +Vi77
    +p4765
    +tp4766
    +a(g826
    +g978
    +tp4767
    +a(g693
    +g1119
    +tp4768
    +a(g826
    +g978
    +tp4769
    +a(g669
    +Vgetfield_raw
    +p4770
    +tp4771
    +a(g693
    +g1104
    +tp4772
    +a(g22
    +V38968960
    +p4773
    +tp4774
    +a(g693
    +g976
    +tp4775
    +a(g826
    +g978
    +tp4776
    +a(g423
    +Vdescr
    +p4777
    +tp4778
    +a(g693
    +g1119
    +tp4779
    +a(g669
    +V
    +p4780
    +tp4781
    +a(g693
    +g1112
    +tp4782
    +a(g826
    +V\u000a
    +p4783
    +tp4784
    +a(g423
    +Vi79
    +p4785
    +tp4786
    +a(g826
    +g978
    +tp4787
    +a(g693
    +g1119
    +tp4788
    +a(g826
    +g978
    +tp4789
    +a(g669
    +Vint_sub
    +p4790
    +tp4791
    +a(g693
    +g1104
    +tp4792
    +a(g423
    +Vi77
    +p4793
    +tp4794
    +a(g693
    +g976
    +tp4795
    +a(g826
    +g978
    +tp4796
    +a(g22
    +V26
    +p4797
    +tp4798
    +a(g693
    +g1112
    +tp4799
    +a(g826
    +V\u000a
    +p4800
    +tp4801
    +a(g669
    +Vsetfield_raw
    +p4802
    +tp4803
    +a(g693
    +g1104
    +tp4804
    +a(g22
    +V38968960
    +p4805
    +tp4806
    +a(g693
    +g976
    +tp4807
    +a(g826
    +g978
    +tp4808
    +a(g423
    +Vi79
    +p4809
    +tp4810
    +a(g693
    +g976
    +tp4811
    +a(g826
    +g978
    +tp4812
    +a(g423
    +Vdescr
    +p4813
    +tp4814
    +a(g693
    +g1119
    +tp4815
    +a(g669
    +V
    +p4816
    +tp4817
    +a(g693
    +g1112
    +tp4818
    +a(g826
    +V\u000a
    +p4819
    +tp4820
    +a(g423
    +Vi81
    +p4821
    +tp4822
    +a(g826
    +g978
    +tp4823
    +a(g693
    +g1119
    +tp4824
    +a(g826
    +g978
    +tp4825
    +a(g669
    +Vint_lt
    +p4826
    +tp4827
    +a(g693
    +g1104
    +tp4828
    +a(g423
    +Vi79
    +p4829
    +tp4830
    +a(g693
    +g976
    +tp4831
    +a(g826
    +g978
    +tp4832
    +a(g22
    +g1110
    +tp4833
    +a(g693
    +g1112
    +tp4834
    +a(g826
    +V\u000a
    +p4835
    +tp4836
    +a(g669
    +Vguard_false
    +p4837
    +tp4838
    +a(g693
    +g1104
    +tp4839
    +a(g423
    +Vi81
    +p4840
    +tp4841
    +a(g693
    +g976
    +tp4842
    +a(g826
    +g978
    +tp4843
    +a(g423
    +Vdescr
    +p4844
    +tp4845
    +a(g693
    +g1119
    +tp4846
    +a(g669
    +V
    +p4847
    +tp4848
    +a(g693
    +g1112
    +tp4849
    +a(g826
    +g978
    +tp4850
    +a(g693
    +g972
    +tp4851
    +a(g423
    +Vp1
    +p4852
    +tp4853
    +a(g693
    +g976
    +tp4854
    +a(g826
    +g978
    +tp4855
    +a(g423
    +Vp0
    +p4856
    +tp4857
    +a(g693
    +g976
    +tp4858
    +a(g826
    +g978
    +tp4859
    +a(g423
    +Vp2
    +p4860
    +tp4861
    +a(g693
    +g976
    +tp4862
    +a(g826
    +g978
    +tp4863
    +a(g423
    +Vp3
    +p4864
    +tp4865
    +a(g693
    +g976
    +tp4866
    +a(g826
    +g978
    +tp4867
    +a(g423
    +Vp4
    +p4868
    +tp4869
    +a(g693
    +g976
    +tp4870
    +a(g826
    +g978
    +tp4871
    +a(g423
    +Vp5
    +p4872
    +tp4873
    +a(g693
    +g976
    +tp4874
    +a(g826
    +g978
    +tp4875
    +a(g423
    +Vp6
    +p4876
    +tp4877
    +a(g693
    +g976
    +tp4878
    +a(g826
    +g978
    +tp4879
    +a(g423
    +Vp7
    +p4880
    +tp4881
    +a(g693
    +g976
    +tp4882
    +a(g826
    +g978
    +tp4883
    +a(g423
    +Vp8
    +p4884
    +tp4885
    +a(g693
    +g976
    +tp4886
    +a(g826
    +g978
    +tp4887
    +a(g423
    +Vp9
    +p4888
    +tp4889
    +a(g693
    +g976
    +tp4890
    +a(g826
    +g978
    +tp4891
    +a(g423
    +Vp10
    +p4892
    +tp4893
    +a(g693
    +g976
    +tp4894
    +a(g826
    +g978
    +tp4895
    +a(g423
    +Vp12
    +p4896
    +tp4897
    +a(g693
    +g976
    +tp4898
    +a(g826
    +g978
    +tp4899
    +a(g423
    +Vp13
    +p4900
    +tp4901
    +a(g693
    +g976
    +tp4902
    +a(g826
    +g978
    +tp4903
    +a(g423
    +Vp14
    +p4904
    +tp4905
    +a(g693
    +g976
    +tp4906
    +a(g826
    +g978
    +tp4907
    +a(g423
    +Vp15
    +p4908
    +tp4909
    +a(g693
    +g976
    +tp4910
    +a(g826
    +g978
    +tp4911
    +a(g423
    +Vf75
    +p4912
    +tp4913
    +a(g693
    +g976
    +tp4914
    +a(g826
    +g978
    +tp4915
    +a(g423
    +VNone
    +p4916
    +tp4917
    +a(g693
    +g976
    +tp4918
    +a(g826
    +g978
    +tp4919
    +a(g423
    +VNone
    +p4920
    +tp4921
    +a(g693
    +g976
    +tp4922
    +a(g826
    +g978
    +tp4923
    +a(g423
    +VNone
    +p4924
    +tp4925
    +a(g693
    +g976
    +tp4926
    +a(g826
    +g978
    +tp4927
    +a(g423
    +VNone
    +p4928
    +tp4929
    +a(g693
    +g976
    +tp4930
    +a(g826
    +g978
    +tp4931
    +a(g423
    +VNone
    +p4932
    +tp4933
    +a(g693
    +g976
    +tp4934
    +a(g826
    +g978
    +tp4935
    +a(g423
    +VNone
    +p4936
    +tp4937
    +a(g693
    +g976
    +tp4938
    +a(g826
    +g978
    +tp4939
    +a(g423
    +VNone
    +p4940
    +tp4941
    +a(g693
    +g976
    +tp4942
    +a(g826
    +g978
    +tp4943
    +a(g423
    +Vf42
    +p4944
    +tp4945
    +a(g693
    +g976
    +tp4946
    +a(g826
    +g978
    +tp4947
    +a(g423
    +VNone
    +p4948
    +tp4949
    +a(g693
    +g976
    +tp4950
    +a(g826
    +g978
    +tp4951
    +a(g423
    +VNone
    +p4952
    +tp4953
    +a(g693
    +g976
    +tp4954
    +a(g826
    +g978
    +tp4955
    +a(g423
    +Vi19
    +p4956
    +tp4957
    +a(g693
    +g976
    +tp4958
    +a(g826
    +g978
    +tp4959
    +a(g423
    +VNone
    +p4960
    +tp4961
    +a(g693
    +g976
    +tp4962
    +a(g826
    +g978
    +tp4963
    +a(g423
    +VNone
    +p4964
    +tp4965
    +a(g693
    +g976
    +tp4966
    +a(g826
    +g978
    +tp4967
    +a(g423
    +VNone
    +p4968
    +tp4969
    +a(g693
    +g1098
    +tp4970
    +a(g826
    +V\u000a
    +p4971
    +tp4972
    +a(g616
    +Vdebug_merge_point
    +p4973
    +tp4974
    +a(g693
    +g1104
    +tp4975
    +a(g76
    +V' #125 FOR_ITER'
    +p4976
    +tp4977
    +a(g693
    +g976
    +tp4978
    +a(g826
    +g978
    +tp4979
    +a(g22
    +g1110
    +tp4980
    +a(g693
    +g1112
    +tp4981
    +a(g826
    +V\u000a
    +p4982
    +tp4983
    +a(g616
    +Vjump
    +p4984
    +tp4985
    +a(g693
    +g1104
    +tp4986
    +a(g423
    +Vp0
    +p4987
    +tp4988
    +a(g693
    +g976
    +tp4989
    +a(g826
    +g978
    +tp4990
    +a(g423
    +Vp1
    +p4991
    +tp4992
    +a(g693
    +g976
    +tp4993
    +a(g826
    +g978
    +tp4994
    +a(g423
    +Vp2
    +p4995
    +tp4996
    +a(g693
    +g976
    +tp4997
    +a(g826
    +g978
    +tp4998
    +a(g423
    +Vp3
    +p4999
    +tp5000
    +a(g693
    +g976
    +tp5001
    +a(g826
    +g978
    +tp5002
    +a(g423
    +Vp4
    +p5003
    +tp5004
    +a(g693
    +g976
    +tp5005
    +a(g826
    +g978
    +tp5006
    +a(g423
    +Vp5
    +p5007
    +tp5008
    +a(g693
    +g976
    +tp5009
    +a(g826
    +g978
    +tp5010
    +a(g423
    +Vp6
    +p5011
    +tp5012
    +a(g693
    +g976
    +tp5013
    +a(g826
    +g978
    +tp5014
    +a(g423
    +Vp7
    +p5015
    +tp5016
    +a(g693
    +g976
    +tp5017
    +a(g826
    +g978
    +tp5018
    +a(g423
    +Vp8
    +p5019
    +tp5020
    +a(g693
    +g976
    +tp5021
    +a(g826
    +g978
    +tp5022
    +a(g423
    +Vp9
    +p5023
    +tp5024
    +a(g693
    +g976
    +tp5025
    +a(g826
    +g978
    +tp5026
    +a(g423
    +Vp10
    +p5027
    +tp5028
    +a(g693
    +g976
    +tp5029
    +a(g826
    +g978
    +tp5030
    +a(g423
    +Vf75
    +p5031
    +tp5032
    +a(g693
    +g976
    +tp5033
    +a(g826
    +g978
    +tp5034
    +a(g423
    +Vp12
    +p5035
    +tp5036
    +a(g693
    +g976
    +tp5037
    +a(g826
    +g978
    +tp5038
    +a(g423
    +Vp13
    +p5039
    +tp5040
    +a(g693
    +g976
    +tp5041
    +a(g826
    +g978
    +tp5042
    +a(g423
    +Vp14
    +p5043
    +tp5044
    +a(g693
    +g976
    +tp5045
    +a(g826
    +g978
    +tp5046
    +a(g423
    +Vp15
    +p5047
    +tp5048
    +a(g693
    +g976
    +tp5049
    +a(g826
    +g978
    +tp5050
    +a(g423
    +Vi19
    +p5051
    +tp5052
    +a(g693
    +g976
    +tp5053
    +a(g826
    +g978
    +tp5054
    +a(g423
    +Vf42
    +p5055
    +tp5056
    +a(g693
    +g976
    +tp5057
    +a(g826
    +g978
    +tp5058
    +a(g423
    +Vi35
    +p5059
    +tp5060
    +a(g693
    +g976
    +tp5061
    +a(g826
    +g978
    +tp5062
    +a(g423
    +Vi33
    +p5063
    +tp5064
    +a(g693
    +g976
    +tp5065
    +a(g826
    +g978
    +tp5066
    +a(g423
    +Vi20
    +p5067
    +tp5068
    +a(g693
    +g976
    +tp5069
    +a(g826
    +g978
    +tp5070
    +a(g423
    +Vi21
    +p5071
    +tp5072
    +a(g693
    +g976
    +tp5073
    +a(g826
    +g978
    +tp5074
    +a(g423
    +Vi22
    +p5075
    +tp5076
    +a(g693
    +g976
    +tp5077
    +a(g826
    +g978
    +tp5078
    +a(g423
    +Vi23
    +p5079
    +tp5080
    +a(g693
    +g976
    +tp5081
    +a(g826
    +g978
    +tp5082
    +a(g423
    +Vi24
    +p5083
    +tp5084
    +a(g693
    +g976
    +tp5085
    +a(g826
    +g978
    +tp5086
    +a(g423
    +Vi25
    +p5087
    +tp5088
    +a(g693
    +g976
    +tp5089
    +a(g826
    +g978
    +tp5090
    +a(g423
    +Vi26
    +p5091
    +tp5092
    +a(g693
    +g976
    +tp5093
    +a(g826
    +g978
    +tp5094
    +a(g423
    +Vf27
    +p5095
    +tp5096
    +a(g693
    +g976
    +tp5097
    +a(g826
    +g978
    +tp5098
    +a(g423
    +Vi36
    +p5099
    +tp5100
    +a(g693
    +g976
    +tp5101
    +a(g826
    +g978
    +tp5102
    +a(g423
    +Vf29
    +p5103
    +tp5104
    +a(g693
    +g976
    +tp5105
    +a(g826
    +g978
    +tp5106
    +a(g423
    +Vf30
    +p5107
    +tp5108
    +a(g693
    +g976
    +tp5109
    +a(g826
    +g978
    +tp5110
    +a(g423
    +Vdescr
    +p5111
    +tp5112
    +a(g693
    +g1119
    +tp5113
    +a(g669
    +V
    +p5114
    +tp5115
    +a(g693
    +g1112
    +tp5116
    +a(g826
    +V\u000a
    +p5117
    +tp5118
    +a(g7
    +V[5ed622d5187e] jit-log-opt-loop}
    +p5119
    +tp5120
    +a(g826
    +V\u000a
    +p5121
    +tp5122
    +a(g7
    +V[5ed622e116d0] {jit-log-opt-loop
    +p5123
    +tp5124
    +a(g826
    +V\u000a
    +p5125
    +tp5126
    +a(g709
    +V# Loop 1 : entry bridge with 188 ops
    +p5127
    +tp5128
    +a(g826
    +V\u000a
    +p5129
    +tp5130
    +a(g693
    +g972
    +tp5131
    +a(g423
    +Vp0
    +p5132
    +tp5133
    +a(g693
    +g976
    +tp5134
    +a(g826
    +g978
    +tp5135
    +a(g423
    +Vp1
    +p5136
    +tp5137
    +a(g693
    +g976
    +tp5138
    +a(g826
    +g978
    +tp5139
    +a(g423
    +Vp2
    +p5140
    +tp5141
    +a(g693
    +g976
    +tp5142
    +a(g826
    +g978
    +tp5143
    +a(g423
    +Vp3
    +p5144
    +tp5145
    +a(g693
    +g976
    +tp5146
    +a(g826
    +g978
    +tp5147
    +a(g423
    +Vi4
    +p5148
    +tp5149
    +a(g693
    +g976
    +tp5150
    +a(g826
    +g978
    +tp5151
    +a(g423
    +Vp5
    +p5152
    +tp5153
    +a(g693
    +g976
    +tp5154
    +a(g826
    +g978
    +tp5155
    +a(g423
    +Vi6
    +p5156
    +tp5157
    +a(g693
    +g976
    +tp5158
    +a(g826
    +g978
    +tp5159
    +a(g423
    +Vi7
    +p5160
    +tp5161
    +a(g693
    +g976
    +tp5162
    +a(g826
    +g978
    +tp5163
    +a(g423
    +Vp8
    +p5164
    +tp5165
    +a(g693
    +g976
    +tp5166
    +a(g826
    +g978
    +tp5167
    +a(g423
    +Vp9
    +p5168
    +tp5169
    +a(g693
    +g976
    +tp5170
    +a(g826
    +g978
    +tp5171
    +a(g423
    +Vp10
    +p5172
    +tp5173
    +a(g693
    +g976
    +tp5174
    +a(g826
    +g978
    +tp5175
    +a(g423
    +Vp11
    +p5176
    +tp5177
    +a(g693
    +g976
    +tp5178
    +a(g826
    +g978
    +tp5179
    +a(g423
    +Vp12
    +p5180
    +tp5181
    +a(g693
    +g976
    +tp5182
    +a(g826
    +g978
    +tp5183
    +a(g423
    +Vp13
    +p5184
    +tp5185
    +a(g693
    +g976
    +tp5186
    +a(g826
    +g978
    +tp5187
    +a(g423
    +Vp14
    +p5188
    +tp5189
    +a(g693
    +g976
    +tp5190
    +a(g826
    +g978
    +tp5191
    +a(g423
    +Vp15
    +p5192
    +tp5193
    +a(g693
    +g976
    +tp5194
    +a(g826
    +g978
    +tp5195
    +a(g423
    +Vp16
    +p5196
    +tp5197
    +a(g693
    +g976
    +tp5198
    +a(g826
    +g978
    +tp5199
    +a(g423
    +Vp17
    +p5200
    +tp5201
    +a(g693
    +g976
    +tp5202
    +a(g826
    +g978
    +tp5203
    +a(g423
    +Vp18
    +p5204
    +tp5205
    +a(g693
    +g976
    +tp5206
    +a(g826
    +g978
    +tp5207
    +a(g423
    +Vp19
    +p5208
    +tp5209
    +a(g693
    +g976
    +tp5210
    +a(g826
    +g978
    +tp5211
    +a(g423
    +Vp20
    +p5212
    +tp5213
    +a(g693
    +g976
    +tp5214
    +a(g826
    +g978
    +tp5215
    +a(g423
    +Vp21
    +p5216
    +tp5217
    +a(g693
    +g976
    +tp5218
    +a(g826
    +g978
    +tp5219
    +a(g423
    +Vp22
    +p5220
    +tp5221
    +a(g693
    +g976
    +tp5222
    +a(g826
    +g978
    +tp5223
    +a(g423
    +Vp23
    +p5224
    +tp5225
    +a(g693
    +g976
    +tp5226
    +a(g826
    +g978
    +tp5227
    +a(g423
    +Vp24
    +p5228
    +tp5229
    +a(g693
    +g976
    +tp5230
    +a(g826
    +g978
    +tp5231
    +a(g423
    +Vp25
    +p5232
    +tp5233
    +a(g693
    +g976
    +tp5234
    +a(g826
    +g978
    +tp5235
    +a(g423
    +Vp26
    +p5236
    +tp5237
    +a(g693
    +g1098
    +tp5238
    +a(g826
    +V\u000a
    +p5239
    +tp5240
    +a(g616
    +Vdebug_merge_point
    +p5241
    +tp5242
    +a(g693
    +g1104
    +tp5243
    +a(g76
    +V' #125 FOR_ITER'
    +p5244
    +tp5245
    +a(g693
    +g976
    +tp5246
    +a(g826
    +g978
    +tp5247
    +a(g22
    +g1110
    +tp5248
    +a(g693
    +g1112
    +tp5249
    +a(g826
    +V\u000a
    +p5250
    +tp5251
    +a(g669
    +Vguard_value
    +p5252
    +tp5253
    +a(g693
    +g1104
    +tp5254
    +a(g423
    +Vi4
    +p5255
    +tp5256
    +a(g693
    +g976
    +tp5257
    +a(g826
    +g978
    +tp5258
    +a(g22
    +V2
    +p5259
    +tp5260
    +a(g693
    +g976
    +tp5261
    +a(g826
    +g978
    +tp5262
    +a(g423
    +Vdescr
    +p5263
    +tp5264
    +a(g693
    +g1119
    +tp5265
    +a(g669
    +V
    +p5266
    +tp5267
    +a(g693
    +g1112
    +tp5268
    +a(g826
    +g978
    +tp5269
    +a(g693
    +g972
    +tp5270
    +a(g423
    +Vi4
    +p5271
    +tp5272
    +a(g693
    +g976
    +tp5273
    +a(g826
    +g978
    +tp5274
    +a(g423
    +Vp1
    +p5275
    +tp5276
    +a(g693
    +g976
    +tp5277
    +a(g826
    +g978
    +tp5278
    +a(g423
    +Vp0
    +p5279
    +tp5280
    +a(g693
    +g976
    +tp5281
    +a(g826
    +g978
    +tp5282
    +a(g423
    +Vp2
    +p5283
    +tp5284
    +a(g693
    +g976
    +tp5285
    +a(g826
    +g978
    +tp5286
    +a(g423
    +Vp3
    +p5287
    +tp5288
    +a(g693
    +g976
    +tp5289
    +a(g826
    +g978
    +tp5290
    +a(g423
    +Vp5
    +p5291
    +tp5292
    +a(g693
    +g976
    +tp5293
    +a(g826
    +g978
    +tp5294
    +a(g423
    +Vi6
    +p5295
    +tp5296
    +a(g693
    +g976
    +tp5297
    +a(g826
    +g978
    +tp5298
    +a(g423
    +Vi7
    +p5299
    +tp5300
    +a(g693
    +g976
    +tp5301
    +a(g826
    +g978
    +tp5302
    +a(g423
    +Vp8
    +p5303
    +tp5304
    +a(g693
    +g976
    +tp5305
    +a(g826
    +g978
    +tp5306
    +a(g423
    +Vp9
    +p5307
    +tp5308
    +a(g693
    +g976
    +tp5309
    +a(g826
    +g978
    +tp5310
    +a(g423
    +Vp10
    +p5311
    +tp5312
    +a(g693
    +g976
    +tp5313
    +a(g826
    +g978
    +tp5314
    +a(g423
    +Vp11
    +p5315
    +tp5316
    +a(g693
    +g976
    +tp5317
    +a(g826
    +g978
    +tp5318
    +a(g423
    +Vp12
    +p5319
    +tp5320
    +a(g693
    +g976
    +tp5321
    +a(g826
    +g978
    +tp5322
    +a(g423
    +Vp13
    +p5323
    +tp5324
    +a(g693
    +g976
    +tp5325
    +a(g826
    +g978
    +tp5326
    +a(g423
    +Vp14
    +p5327
    +tp5328
    +a(g693
    +g976
    +tp5329
    +a(g826
    +g978
    +tp5330
    +a(g423
    +Vp15
    +p5331
    +tp5332
    +a(g693
    +g976
    +tp5333
    +a(g826
    +g978
    +tp5334
    +a(g423
    +Vp16
    +p5335
    +tp5336
    +a(g693
    +g976
    +tp5337
    +a(g826
    +g978
    +tp5338
    +a(g423
    +Vp17
    +p5339
    +tp5340
    +a(g693
    +g976
    +tp5341
    +a(g826
    +g978
    +tp5342
    +a(g423
    +Vp18
    +p5343
    +tp5344
    +a(g693
    +g976
    +tp5345
    +a(g826
    +g978
    +tp5346
    +a(g423
    +Vp19
    +p5347
    +tp5348
    +a(g693
    +g976
    +tp5349
    +a(g826
    +g978
    +tp5350
    +a(g423
    +Vp20
    +p5351
    +tp5352
    +a(g693
    +g976
    +tp5353
    +a(g826
    +g978
    +tp5354
    +a(g423
    +Vp21
    +p5355
    +tp5356
    +a(g693
    +g976
    +tp5357
    +a(g826
    +g978
    +tp5358
    +a(g423
    +Vp22
    +p5359
    +tp5360
    +a(g693
    +g976
    +tp5361
    +a(g826
    +g978
    +tp5362
    +a(g423
    +Vp23
    +p5363
    +tp5364
    +a(g693
    +g976
    +tp5365
    +a(g826
    +g978
    +tp5366
    +a(g423
    +Vp24
    +p5367
    +tp5368
    +a(g693
    +g976
    +tp5369
    +a(g826
    +g978
    +tp5370
    +a(g423
    +Vp25
    +p5371
    +tp5372
    +a(g693
    +g976
    +tp5373
    +a(g826
    +g978
    +tp5374
    +a(g423
    +Vp26
    +p5375
    +tp5376
    +a(g693
    +g1098
    +tp5377
    +a(g826
    +V\u000a
    +p5378
    +tp5379
    +a(g669
    +Vguard_class
    +p5380
    +tp5381
    +a(g693
    +g1104
    +tp5382
    +a(g423
    +Vp9
    +p5383
    +tp5384
    +a(g693
    +g976
    +tp5385
    +a(g826
    +g978
    +tp5386
    +a(g22
    +V19861240
    +p5387
    +tp5388
    +a(g693
    +g976
    +tp5389
    +a(g826
    +g978
    +tp5390
    +a(g423
    +Vdescr
    +p5391
    +tp5392
    +a(g693
    +g1119
    +tp5393
    +a(g669
    +V
    +p5394
    +tp5395
    +a(g693
    +g1112
    +tp5396
    +a(g826
    +g978
    +tp5397
    +a(g693
    +g972
    +tp5398
    +a(g423
    +Vp1
    +p5399
    +tp5400
    +a(g693
    +g976
    +tp5401
    +a(g826
    +g978
    +tp5402
    +a(g423
    +Vp0
    +p5403
    +tp5404
    +a(g693
    +g976
    +tp5405
    +a(g826
    +g978
    +tp5406
    +a(g423
    +Vp9
    +p5407
    +tp5408
    +a(g693
    +g976
    +tp5409
    +a(g826
    +g978
    +tp5410
    +a(g423
    +Vp2
    +p5411
    +tp5412
    +a(g693
    +g976
    +tp5413
    +a(g826
    +g978
    +tp5414
    +a(g423
    +Vp3
    +p5415
    +tp5416
    +a(g693
    +g976
    +tp5417
    +a(g826
    +g978
    +tp5418
    +a(g423
    +Vp5
    +p5419
    +tp5420
    +a(g693
    +g976
    +tp5421
    +a(g826
    +g978
    +tp5422
    +a(g423
    +Vi6
    +p5423
    +tp5424
    +a(g693
    +g976
    +tp5425
    +a(g826
    +g978
    +tp5426
    +a(g423
    +Vp8
    +p5427
    +tp5428
    +a(g693
    +g976
    +tp5429
    +a(g826
    +g978
    +tp5430
    +a(g423
    +Vp10
    +p5431
    +tp5432
    +a(g693
    +g976
    +tp5433
    +a(g826
    +g978
    +tp5434
    +a(g423
    +Vp11
    +p5435
    +tp5436
    +a(g693
    +g976
    +tp5437
    +a(g826
    +g978
    +tp5438
    +a(g423
    +Vp12
    +p5439
    +tp5440
    +a(g693
    +g976
    +tp5441
    +a(g826
    +g978
    +tp5442
    +a(g423
    +Vp13
    +p5443
    +tp5444
    +a(g693
    +g976
    +tp5445
    +a(g826
    +g978
    +tp5446
    +a(g423
    +Vp14
    +p5447
    +tp5448
    +a(g693
    +g976
    +tp5449
    +a(g826
    +g978
    +tp5450
    +a(g423
    +Vp15
    +p5451
    +tp5452
    +a(g693
    +g976
    +tp5453
    +a(g826
    +g978
    +tp5454
    +a(g423
    +Vp16
    +p5455
    +tp5456
    +a(g693
    +g976
    +tp5457
    +a(g826
    +g978
    +tp5458
    +a(g423
    +Vp17
    +p5459
    +tp5460
    +a(g693
    +g976
    +tp5461
    +a(g826
    +g978
    +tp5462
    +a(g423
    +Vp18
    +p5463
    +tp5464
    +a(g693
    +g976
    +tp5465
    +a(g826
    +g978
    +tp5466
    +a(g423
    +Vp19
    +p5467
    +tp5468
    +a(g693
    +g976
    +tp5469
    +a(g826
    +g978
    +tp5470
    +a(g423
    +Vp20
    +p5471
    +tp5472
    +a(g693
    +g976
    +tp5473
    +a(g826
    +g978
    +tp5474
    +a(g423
    +Vp21
    +p5475
    +tp5476
    +a(g693
    +g976
    +tp5477
    +a(g826
    +g978
    +tp5478
    +a(g423
    +Vp22
    +p5479
    +tp5480
    +a(g693
    +g976
    +tp5481
    +a(g826
    +g978
    +tp5482
    +a(g423
    +Vp23
    +p5483
    +tp5484
    +a(g693
    +g976
    +tp5485
    +a(g826
    +g978
    +tp5486
    +a(g423
    +Vp24
    +p5487
    +tp5488
    +a(g693
    +g976
    +tp5489
    +a(g826
    +g978
    +tp5490
    +a(g423
    +Vp25
    +p5491
    +tp5492
    +a(g693
    +g976
    +tp5493
    +a(g826
    +g978
    +tp5494
    +a(g423
    +Vp26
    +p5495
    +tp5496
    +a(g693
    +g1098
    +tp5497
    +a(g826
    +V\u000a
    +p5498
    +tp5499
    +a(g423
    +Vi29
    +p5500
    +tp5501
    +a(g826
    +g978
    +tp5502
    +a(g693
    +g1119
    +tp5503
    +a(g826
    +g978
    +tp5504
    +a(g669
    +Vgetfield_gc
    +p5505
    +tp5506
    +a(g693
    +g1104
    +tp5507
    +a(g423
    +Vp9
    +p5508
    +tp5509
    +a(g693
    +g976
    +tp5510
    +a(g826
    +g978
    +tp5511
    +a(g423
    +Vdescr
    +p5512
    +tp5513
    +a(g693
    +g1119
    +tp5514
    +a(g669
    +V
    +p5515
    +tp5516
    +a(g693
    +g1112
    +tp5517
    +a(g826
    +V\u000a
    +p5518
    +tp5519
    +a(g423
    +Vi31
    +p5520
    +tp5521
    +a(g826
    +g978
    +tp5522
    +a(g693
    +g1119
    +tp5523
    +a(g826
    +g978
    +tp5524
    +a(g669
    +Vint_gt
    +p5525
    +tp5526
    +a(g693
    +g1104
    +tp5527
    +a(g423
    +Vi29
    +p5528
    +tp5529
    +a(g693
    +g976
    +tp5530
    +a(g826
    +g978
    +tp5531
    +a(g22
    +g1110
    +tp5532
    +a(g693
    +g1112
    +tp5533
    +a(g826
    +V\u000a
    +p5534
    +tp5535
    +a(g669
    +Vguard_true
    +p5536
    +tp5537
    +a(g693
    +g1104
    +tp5538
    +a(g423
    +Vi31
    +p5539
    +tp5540
    +a(g693
    +g976
    +tp5541
    +a(g826
    +g978
    +tp5542
    +a(g423
    +Vdescr
    +p5543
    +tp5544
    +a(g693
    +g1119
    +tp5545
    +a(g669
    +V
    +p5546
    +tp5547
    +a(g693
    +g1112
    +tp5548
    +a(g826
    +g978
    +tp5549
    +a(g693
    +g972
    +tp5550
    +a(g423
    +Vp1
    +p5551
    +tp5552
    +a(g693
    +g976
    +tp5553
    +a(g826
    +g978
    +tp5554
    +a(g423
    +Vp0
    +p5555
    +tp5556
    +a(g693
    +g976
    +tp5557
    +a(g826
    +g978
    +tp5558
    +a(g423
    +Vp9
    +p5559
    +tp5560
    +a(g693
    +g976
    +tp5561
    +a(g826
    +g978
    +tp5562
    +a(g423
    +Vp2
    +p5563
    +tp5564
    +a(g693
    +g976
    +tp5565
    +a(g826
    +g978
    +tp5566
    +a(g423
    +Vp3
    +p5567
    +tp5568
    +a(g693
    +g976
    +tp5569
    +a(g826
    +g978
    +tp5570
    +a(g423
    +Vp5
    +p5571
    +tp5572
    +a(g693
    +g976
    +tp5573
    +a(g826
    +g978
    +tp5574
    +a(g423
    +Vi6
    +p5575
    +tp5576
    +a(g693
    +g976
    +tp5577
    +a(g826
    +g978
    +tp5578
    +a(g423
    +Vp8
    +p5579
    +tp5580
    +a(g693
    +g976
    +tp5581
    +a(g826
    +g978
    +tp5582
    +a(g423
    +Vp10
    +p5583
    +tp5584
    +a(g693
    +g976
    +tp5585
    +a(g826
    +g978
    +tp5586
    +a(g423
    +Vp11
    +p5587
    +tp5588
    +a(g693
    +g976
    +tp5589
    +a(g826
    +g978
    +tp5590
    +a(g423
    +Vp12
    +p5591
    +tp5592
    +a(g693
    +g976
    +tp5593
    +a(g826
    +g978
    +tp5594
    +a(g423
    +Vp13
    +p5595
    +tp5596
    +a(g693
    +g976
    +tp5597
    +a(g826
    +g978
    +tp5598
    +a(g423
    +Vp14
    +p5599
    +tp5600
    +a(g693
    +g976
    +tp5601
    +a(g826
    +g978
    +tp5602
    +a(g423
    +Vp15
    +p5603
    +tp5604
    +a(g693
    +g976
    +tp5605
    +a(g826
    +g978
    +tp5606
    +a(g423
    +Vp16
    +p5607
    +tp5608
    +a(g693
    +g976
    +tp5609
    +a(g826
    +g978
    +tp5610
    +a(g423
    +Vp17
    +p5611
    +tp5612
    +a(g693
    +g976
    +tp5613
    +a(g826
    +g978
    +tp5614
    +a(g423
    +Vp18
    +p5615
    +tp5616
    +a(g693
    +g976
    +tp5617
    +a(g826
    +g978
    +tp5618
    +a(g423
    +Vp19
    +p5619
    +tp5620
    +a(g693
    +g976
    +tp5621
    +a(g826
    +g978
    +tp5622
    +a(g423
    +Vp20
    +p5623
    +tp5624
    +a(g693
    +g976
    +tp5625
    +a(g826
    +g978
    +tp5626
    +a(g423
    +Vp21
    +p5627
    +tp5628
    +a(g693
    +g976
    +tp5629
    +a(g826
    +g978
    +tp5630
    +a(g423
    +Vp22
    +p5631
    +tp5632
    +a(g693
    +g976
    +tp5633
    +a(g826
    +g978
    +tp5634
    +a(g423
    +Vp23
    +p5635
    +tp5636
    +a(g693
    +g976
    +tp5637
    +a(g826
    +g978
    +tp5638
    +a(g423
    +Vp24
    +p5639
    +tp5640
    +a(g693
    +g976
    +tp5641
    +a(g826
    +g978
    +tp5642
    +a(g423
    +Vp25
    +p5643
    +tp5644
    +a(g693
    +g976
    +tp5645
    +a(g826
    +g978
    +tp5646
    +a(g423
    +Vp26
    +p5647
    +tp5648
    +a(g693
    +g1098
    +tp5649
    +a(g826
    +V\u000a
    +p5650
    +tp5651
    +a(g423
    +Vi32
    +p5652
    +tp5653
    +a(g826
    +g978
    +tp5654
    +a(g693
    +g1119
    +tp5655
    +a(g826
    +g978
    +tp5656
    +a(g669
    +Vgetfield_gc
    +p5657
    +tp5658
    +a(g693
    +g1104
    +tp5659
    +a(g423
    +Vp9
    +p5660
    +tp5661
    +a(g693
    +g976
    +tp5662
    +a(g826
    +g978
    +tp5663
    +a(g423
    +Vdescr
    +p5664
    +tp5665
    +a(g693
    +g1119
    +tp5666
    +a(g669
    +V
    +p5667
    +tp5668
    +a(g693
    +g1112
    +tp5669
    +a(g826
    +V\u000a
    +p5670
    +tp5671
    +a(g423
    +Vi33
    +p5672
    +tp5673
    +a(g826
    +g978
    +tp5674
    +a(g693
    +g1119
    +tp5675
    +a(g826
    +g978
    +tp5676
    +a(g669
    +Vgetfield_gc
    +p5677
    +tp5678
    +a(g693
    +g1104
    +tp5679
    +a(g423
    +Vp9
    +p5680
    +tp5681
    +a(g693
    +g976
    +tp5682
    +a(g826
    +g978
    +tp5683
    +a(g423
    +Vdescr
    +p5684
    +tp5685
    +a(g693
    +g1119
    +tp5686
    +a(g669
    +V
    +p5687
    +tp5688
    +a(g693
    +g1112
    +tp5689
    +a(g826
    +V\u000a
    +p5690
    +tp5691
    +a(g423
    +Vi34
    +p5692
    +tp5693
    +a(g826
    +g978
    +tp5694
    +a(g693
    +g1119
    +tp5695
    +a(g826
    +g978
    +tp5696
    +a(g669
    +Vint_add
    +p5697
    +tp5698
    +a(g693
    +g1104
    +tp5699
    +a(g423
    +Vi32
    +p5700
    +tp5701
    +a(g693
    +g976
    +tp5702
    +a(g826
    +g978
    +tp5703
    +a(g423
    +Vi33
    +p5704
    +tp5705
    +a(g693
    +g1112
    +tp5706
    +a(g826
    +V\u000a
    +p5707
    +tp5708
    +a(g423
    +Vi36
    +p5709
    +tp5710
    +a(g826
    +g978
    +tp5711
    +a(g693
    +g1119
    +tp5712
    +a(g826
    +g978
    +tp5713
    +a(g669
    +Vint_sub
    +p5714
    +tp5715
    +a(g693
    +g1104
    +tp5716
    +a(g423
    +Vi29
    +p5717
    +tp5718
    +a(g693
    +g976
    +tp5719
    +a(g826
    +g978
    +tp5720
    +a(g22
    +g1250
    +tp5721
    +a(g693
    +g1112
    +tp5722
    +a(g826
    +V\u000a
    +p5723
    +tp5724
    +a(g669
    +Vsetfield_gc
    +p5725
    +tp5726
    +a(g693
    +g1104
    +tp5727
    +a(g423
    +Vp9
    +p5728
    +tp5729
    +a(g693
    +g976
    +tp5730
    +a(g826
    +g978
    +tp5731
    +a(g423
    +Vi34
    +p5732
    +tp5733
    +a(g693
    +g976
    +tp5734
    +a(g826
    +g978
    +tp5735
    +a(g423
    +Vdescr
    +p5736
    +tp5737
    +a(g693
    +g1119
    +tp5738
    +a(g669
    +V
    +p5739
    +tp5740
    +a(g693
    +g1112
    +tp5741
    +a(g826
    +V\u000a
    +p5742
    +tp5743
    +a(g669
    +Vsetfield_gc
    +p5744
    +tp5745
    +a(g693
    +g1104
    +tp5746
    +a(g423
    +Vp9
    +p5747
    +tp5748
    +a(g693
    +g976
    +tp5749
    +a(g826
    +g978
    +tp5750
    +a(g423
    +Vi36
    +p5751
    +tp5752
    +a(g693
    +g976
    +tp5753
    +a(g826
    +g978
    +tp5754
    +a(g423
    +Vdescr
    +p5755
    +tp5756
    +a(g693
    +g1119
    +tp5757
    +a(g669
    +V
    +p5758
    +tp5759
    +a(g693
    +g1112
    +tp5760
    +a(g826
    +V\u000a
    +p5761
    +tp5762
    +a(g669
    +Vguard_value
    +p5763
    +tp5764
    +a(g693
    +g1104
    +tp5765
    +a(g423
    +Vi6
    +p5766
    +tp5767
    +a(g693
    +g976
    +tp5768
    +a(g826
    +g978
    +tp5769
    +a(g22
    +g1110
    +tp5770
    +a(g693
    +g976
    +tp5771
    +a(g826
    +g978
    +tp5772
    +a(g423
    +Vdescr
    +p5773
    +tp5774
    +a(g693
    +g1119
    +tp5775
    +a(g669
    +V
    +p5776
    +tp5777
    +a(g693
    +g1112
    +tp5778
    +a(g826
    +g978
    +tp5779
    +a(g693
    +g972
    +tp5780
    +a(g423
    +Vi6
    +p5781
    +tp5782
    +a(g693
    +g976
    +tp5783
    +a(g826
    +g978
    +tp5784
    +a(g423
    +Vp1
    +p5785
    +tp5786
    +a(g693
    +g976
    +tp5787
    +a(g826
    +g978
    +tp5788
    +a(g423
    +Vp0
    +p5789
    +tp5790
    +a(g693
    +g976
    +tp5791
    +a(g826
    +g978
    +tp5792
    +a(g423
    +Vp2
    +p5793
    +tp5794
    +a(g693
    +g976
    +tp5795
    +a(g826
    +g978
    +tp5796
    +a(g423
    +Vp3
    +p5797
    +tp5798
    +a(g693
    +g976
    +tp5799
    +a(g826
    +g978
    +tp5800
    +a(g423
    +Vp5
    +p5801
    +tp5802
    +a(g693
    +g976
    +tp5803
    +a(g826
    +g978
    +tp5804
    +a(g423
    +Vp8
    +p5805
    +tp5806
    +a(g693
    +g976
    +tp5807
    +a(g826
    +g978
    +tp5808
    +a(g423
    +Vp9
    +p5809
    +tp5810
    +a(g693
    +g976
    +tp5811
    +a(g826
    +g978
    +tp5812
    +a(g423
    +Vp11
    +p5813
    +tp5814
    +a(g693
    +g976
    +tp5815
    +a(g826
    +g978
    +tp5816
    +a(g423
    +Vp12
    +p5817
    +tp5818
    +a(g693
    +g976
    +tp5819
    +a(g826
    +g978
    +tp5820
    +a(g423
    +Vp13
    +p5821
    +tp5822
    +a(g693
    +g976
    +tp5823
    +a(g826
    +g978
    +tp5824
    +a(g423
    +Vp14
    +p5825
    +tp5826
    +a(g693
    +g976
    +tp5827
    +a(g826
    +g978
    +tp5828
    +a(g423
    +Vp15
    +p5829
    +tp5830
    +a(g693
    +g976
    +tp5831
    +a(g826
    +g978
    +tp5832
    +a(g423
    +Vp16
    +p5833
    +tp5834
    +a(g693
    +g976
    +tp5835
    +a(g826
    +g978
    +tp5836
    +a(g423
    +Vp17
    +p5837
    +tp5838
    +a(g693
    +g976
    +tp5839
    +a(g826
    +g978
    +tp5840
    +a(g423
    +Vp18
    +p5841
    +tp5842
    +a(g693
    +g976
    +tp5843
    +a(g826
    +g978
    +tp5844
    +a(g423
    +Vp19
    +p5845
    +tp5846
    +a(g693
    +g976
    +tp5847
    +a(g826
    +g978
    +tp5848
    +a(g423
    +Vp20
    +p5849
    +tp5850
    +a(g693
    +g976
    +tp5851
    +a(g826
    +g978
    +tp5852
    +a(g423
    +Vp21
    +p5853
    +tp5854
    +a(g693
    +g976
    +tp5855
    +a(g826
    +g978
    +tp5856
    +a(g423
    +Vp22
    +p5857
    +tp5858
    +a(g693
    +g976
    +tp5859
    +a(g826
    +g978
    +tp5860
    +a(g423
    +Vp23
    +p5861
    +tp5862
    +a(g693
    +g976
    +tp5863
    +a(g826
    +g978
    +tp5864
    +a(g423
    +Vp24
    +p5865
    +tp5866
    +a(g693
    +g976
    +tp5867
    +a(g826
    +g978
    +tp5868
    +a(g423
    +Vp25
    +p5869
    +tp5870
    +a(g693
    +g976
    +tp5871
    +a(g826
    +g978
    +tp5872
    +a(g423
    +Vp26
    +p5873
    +tp5874
    +a(g693
    +g976
    +tp5875
    +a(g826
    +g978
    +tp5876
    +a(g423
    +Vi32
    +p5877
    +tp5878
    +a(g693
    +g1098
    +tp5879
    +a(g826
    +V\u000a
    +p5880
    +tp5881
    +a(g616
    +Vdebug_merge_point
    +p5882
    +tp5883
    +a(g693
    +g1104
    +tp5884
    +a(g76
    +V' #128 STORE_FAST'
    +p5885
    +tp5886
    +a(g693
    +g976
    +tp5887
    +a(g826
    +g978
    +tp5888
    +a(g22
    +g1110
    +tp5889
    +a(g693
    +g1112
    +tp5890
    +a(g826
    +V\u000a
    +p5891
    +tp5892
    +a(g616
    +Vdebug_merge_point
    +p5893
    +tp5894
    +a(g693
    +g1104
    +tp5895
    +a(g76
    +V' #131 LOAD_FAST'
    +p5896
    +tp5897
    +a(g693
    +g976
    +tp5898
    +a(g826
    +g978
    +tp5899
    +a(g22
    +g1110
    +tp5900
    +a(g693
    +g1112
    +tp5901
    +a(g826
    +V\u000a
    +p5902
    +tp5903
    +a(g669
    +Vguard_nonnull_class
    +p5904
    +tp5905
    +a(g693
    +g1104
    +tp5906
    +a(g423
    +Vp23
    +p5907
    +tp5908
    +a(g693
    +g976
    +tp5909
    +a(g826
    +g978
    +tp5910
    +a(g22
    +V19886912
    +p5911
    +tp5912
    +a(g693
    +g976
    +tp5913
    +a(g826
    +g978
    +tp5914
    +a(g423
    +Vdescr
    +p5915
    +tp5916
    +a(g693
    +g1119
    +tp5917
    +a(g669
    +V
    +p5918
    +tp5919
    +a(g693
    +g1112
    +tp5920
    +a(g826
    +g978
    +tp5921
    +a(g693
    +g972
    +tp5922
    +a(g423
    +Vp1
    +p5923
    +tp5924
    +a(g693
    +g976
    +tp5925
    +a(g826
    +g978
    +tp5926
    +a(g423
    +Vp0
    +p5927
    +tp5928
    +a(g693
    +g976
    +tp5929
    +a(g826
    +g978
    +tp5930
    +a(g423
    +Vp23
    +p5931
    +tp5932
    +a(g693
    +g976
    +tp5933
    +a(g826
    +g978
    +tp5934
    +a(g423
    +Vp2
    +p5935
    +tp5936
    +a(g693
    +g976
    +tp5937
    +a(g826
    +g978
    +tp5938
    +a(g423
    +Vp3
    +p5939
    +tp5940
    +a(g693
    +g976
    +tp5941
    +a(g826
    +g978
    +tp5942
    +a(g423
    +Vp5
    +p5943
    +tp5944
    +a(g693
    +g976
    +tp5945
    +a(g826
    +g978
    +tp5946
    +a(g423
    +Vp8
    +p5947
    +tp5948
    +a(g693
    +g976
    +tp5949
    +a(g826
    +g978
    +tp5950
    +a(g423
    +Vp9
    +p5951
    +tp5952
    +a(g693
    +g976
    +tp5953
    +a(g826
    +g978
    +tp5954
    +a(g423
    +Vp11
    +p5955
    +tp5956
    +a(g693
    +g976
    +tp5957
    +a(g826
    +g978
    +tp5958
    +a(g423
    +Vp12
    +p5959
    +tp5960
    +a(g693
    +g976
    +tp5961
    +a(g826
    +g978
    +tp5962
    +a(g423
    +Vp13
    +p5963
    +tp5964
    +a(g693
    +g976
    +tp5965
    +a(g826
    +g978
    +tp5966
    +a(g423
    +Vp14
    +p5967
    +tp5968
    +a(g693
    +g976
    +tp5969
    +a(g826
    +g978
    +tp5970
    +a(g423
    +Vp15
    +p5971
    +tp5972
    +a(g693
    +g976
    +tp5973
    +a(g826
    +g978
    +tp5974
    +a(g423
    +Vp16
    +p5975
    +tp5976
    +a(g693
    +g976
    +tp5977
    +a(g826
    +g978
    +tp5978
    +a(g423
    +Vp17
    +p5979
    +tp5980
    +a(g693
    +g976
    +tp5981
    +a(g826
    +g978
    +tp5982
    +a(g423
    +Vp18
    +p5983
    +tp5984
    +a(g693
    +g976
    +tp5985
    +a(g826
    +g978
    +tp5986
    +a(g423
    +Vp19
    +p5987
    +tp5988
    +a(g693
    +g976
    +tp5989
    +a(g826
    +g978
    +tp5990
    +a(g423
    +Vp20
    +p5991
    +tp5992
    +a(g693
    +g976
    +tp5993
    +a(g826
    +g978
    +tp5994
    +a(g423
    +Vp21
    +p5995
    +tp5996
    +a(g693
    +g976
    +tp5997
    +a(g826
    +g978
    +tp5998
    +a(g423
    +Vp22
    +p5999
    +tp6000
    +a(g693
    +g976
    +tp6001
    +a(g826
    +g978
    +tp6002
    +a(g423
    +Vp24
    +p6003
    +tp6004
    +a(g693
    +g976
    +tp6005
    +a(g826
    +g978
    +tp6006
    +a(g423
    +Vp26
    +p6007
    +tp6008
    +a(g693
    +g976
    +tp6009
    +a(g826
    +g978
    +tp6010
    +a(g423
    +Vi32
    +p6011
    +tp6012
    +a(g693
    +g1098
    +tp6013
    +a(g826
    +V\u000a
    +p6014
    +tp6015
    +a(g616
    +Vdebug_merge_point
    +p6016
    +tp6017
    +a(g693
    +g1104
    +tp6018
    +a(g76
    +V' #134 LOAD_FAST'
    +p6019
    +tp6020
    +a(g693
    +g976
    +tp6021
    +a(g826
    +g978
    +tp6022
    +a(g22
    +g1110
    +tp6023
    +a(g693
    +g1112
    +tp6024
    +a(g826
    +V\u000a
    +p6025
    +tp6026
    +a(g669
    +Vguard_nonnull_class
    +p6027
    +tp6028
    +a(g693
    +g1104
    +tp6029
    +a(g423
    +Vp24
    +p6030
    +tp6031
    +a(g693
    +g976
    +tp6032
    +a(g826
    +g978
    +tp6033
    +a(g423
    +VConstClass
    +p6034
    +tp6035
    +a(g693
    +g1104
    +tp6036
    +a(g669
    +VW_IntObject
    +p6037
    +tp6038
    +a(g693
    +g1112
    +tp6039
    +a(g693
    +g976
    +tp6040
    +a(g826
    +g978
    +tp6041
    +a(g423
    +Vdescr
    +p6042
    +tp6043
    +a(g693
    +g1119
    +tp6044
    +a(g669
    +V
    +p6045
    +tp6046
    +a(g693
    +g1112
    +tp6047
    +a(g826
    +g978
    +tp6048
    +a(g693
    +g972
    +tp6049
    +a(g423
    +Vp1
    +p6050
    +tp6051
    +a(g693
    +g976
    +tp6052
    +a(g826
    +g978
    +tp6053
    +a(g423
    +Vp0
    +p6054
    +tp6055
    +a(g693
    +g976
    +tp6056
    +a(g826
    +g978
    +tp6057
    +a(g423
    +Vp24
    +p6058
    +tp6059
    +a(g693
    +g976
    +tp6060
    +a(g826
    +g978
    +tp6061
    +a(g423
    +Vp2
    +p6062
    +tp6063
    +a(g693
    +g976
    +tp6064
    +a(g826
    +g978
    +tp6065
    +a(g423
    +Vp3
    +p6066
    +tp6067
    +a(g693
    +g976
    +tp6068
    +a(g826
    +g978
    +tp6069
    +a(g423
    +Vp5
    +p6070
    +tp6071
    +a(g693
    +g976
    +tp6072
    +a(g826
    +g978
    +tp6073
    +a(g423
    +Vp8
    +p6074
    +tp6075
    +a(g693
    +g976
    +tp6076
    +a(g826
    +g978
    +tp6077
    +a(g423
    +Vp9
    +p6078
    +tp6079
    +a(g693
    +g976
    +tp6080
    +a(g826
    +g978
    +tp6081
    +a(g423
    +Vp23
    +p6082
    +tp6083
    +a(g693
    +g976
    +tp6084
    +a(g826
    +g978
    +tp6085
    +a(g423
    +Vp11
    +p6086
    +tp6087
    +a(g693
    +g976
    +tp6088
    +a(g826
    +g978
    +tp6089
    +a(g423
    +Vp12
    +p6090
    +tp6091
    +a(g693
    +g976
    +tp6092
    +a(g826
    +g978
    +tp6093
    +a(g423
    +Vp13
    +p6094
    +tp6095
    +a(g693
    +g976
    +tp6096
    +a(g826
    +g978
    +tp6097
    +a(g423
    +Vp14
    +p6098
    +tp6099
    +a(g693
    +g976
    +tp6100
    +a(g826
    +g978
    +tp6101
    +a(g423
    +Vp15
    +p6102
    +tp6103
    +a(g693
    +g976
    +tp6104
    +a(g826
    +g978
    +tp6105
    +a(g423
    +Vp16
    +p6106
    +tp6107
    +a(g693
    +g976
    +tp6108
    +a(g826
    +g978
    +tp6109
    +a(g423
    +Vp17
    +p6110
    +tp6111
    +a(g693
    +g976
    +tp6112
    +a(g826
    +g978
    +tp6113
    +a(g423
    +Vp18
    +p6114
    +tp6115
    +a(g693
    +g976
    +tp6116
    +a(g826
    +g978
    +tp6117
    +a(g423
    +Vp19
    +p6118
    +tp6119
    +a(g693
    +g976
    +tp6120
    +a(g826
    +g978
    +tp6121
    +a(g423
    +Vp20
    +p6122
    +tp6123
    +a(g693
    +g976
    +tp6124
    +a(g826
    +g978
    +tp6125
    +a(g423
    +Vp21
    +p6126
    +tp6127
    +a(g693
    +g976
    +tp6128
    +a(g826
    +g978
    +tp6129
    +a(g423
    +Vp22
    +p6130
    +tp6131
    +a(g693
    +g976
    +tp6132
    +a(g826
    +g978
    +tp6133
    +a(g423
    +Vp26
    +p6134
    +tp6135
    +a(g693
    +g976
    +tp6136
    +a(g826
    +g978
    +tp6137
    +a(g423
    +Vi32
    +p6138
    +tp6139
    +a(g693
    +g1098
    +tp6140
    +a(g826
    +V\u000a
    +p6141
    +tp6142
    +a(g616
    +Vdebug_merge_point
    +p6143
    +tp6144
    +a(g693
    +g1104
    +tp6145
    +a(g76
    +V' #137 LOAD_FAST'
    +p6146
    +tp6147
    +a(g693
    +g976
    +tp6148
    +a(g826
    +g978
    +tp6149
    +a(g22
    +g1110
    +tp6150
    +a(g693
    +g1112
    +tp6151
    +a(g826
    +V\u000a
    +p6152
    +tp6153
    +a(g669
    +Vguard_nonnull_class
    +p6154
    +tp6155
    +a(g693
    +g1104
    +tp6156
    +a(g423
    +Vp21
    +p6157
    +tp6158
    +a(g693
    +g976
    +tp6159
    +a(g826
    +g978
    +tp6160
    +a(g423
    +VConstClass
    +p6161
    +tp6162
    +a(g693
    +g1104
    +tp6163
    +a(g669
    +VW_IntObject
    +p6164
    +tp6165
    +a(g693
    +g1112
    +tp6166
    +a(g693
    +g976
    +tp6167
    +a(g826
    +g978
    +tp6168
    +a(g423
    +Vdescr
    +p6169
    +tp6170
    +a(g693
    +g1119
    +tp6171
    +a(g669
    +V
    +p6172
    +tp6173
    +a(g693
    +g1112
    +tp6174
    +a(g826
    +g978
    +tp6175
    +a(g693
    +g972
    +tp6176
    +a(g423
    +Vp1
    +p6177
    +tp6178
    +a(g693
    +g976
    +tp6179
    +a(g826
    +g978
    +tp6180
    +a(g423
    +Vp0
    +p6181
    +tp6182
    +a(g693
    +g976
    +tp6183
    +a(g826
    +g978
    +tp6184
    +a(g423
    +Vp21
    +p6185
    +tp6186
    +a(g693
    +g976
    +tp6187
    +a(g826
    +g978
    +tp6188
    +a(g423
    +Vp2
    +p6189
    +tp6190
    +a(g693
    +g976
    +tp6191
    +a(g826
    +g978
    +tp6192
    +a(g423
    +Vp3
    +p6193
    +tp6194
    +a(g693
    +g976
    +tp6195
    +a(g826
    +g978
    +tp6196
    +a(g423
    +Vp5
    +p6197
    +tp6198
    +a(g693
    +g976
    +tp6199
    +a(g826
    +g978
    +tp6200
    +a(g423
    +Vp8
    +p6201
    +tp6202
    +a(g693
    +g976
    +tp6203
    +a(g826
    +g978
    +tp6204
    +a(g423
    +Vp9
    +p6205
    +tp6206
    +a(g693
    +g976
    +tp6207
    +a(g826
    +g978
    +tp6208
    +a(g423
    +Vp23
    +p6209
    +tp6210
    +a(g693
    +g976
    +tp6211
    +a(g826
    +g978
    +tp6212
    +a(g423
    +Vp24
    +p6213
    +tp6214
    +a(g693
    +g976
    +tp6215
    +a(g826
    +g978
    +tp6216
    +a(g423
    +Vp12
    +p6217
    +tp6218
    +a(g693
    +g976
    +tp6219
    +a(g826
    +g978
    +tp6220
    +a(g423
    +Vp13
    +p6221
    +tp6222
    +a(g693
    +g976
    +tp6223
    +a(g826
    +g978
    +tp6224
    +a(g423
    +Vp14
    +p6225
    +tp6226
    +a(g693
    +g976
    +tp6227
    +a(g826
    +g978
    +tp6228
    +a(g423
    +Vp15
    +p6229
    +tp6230
    +a(g693
    +g976
    +tp6231
    +a(g826
    +g978
    +tp6232
    +a(g423
    +Vp16
    +p6233
    +tp6234
    +a(g693
    +g976
    +tp6235
    +a(g826
    +g978
    +tp6236
    +a(g423
    +Vp17
    +p6237
    +tp6238
    +a(g693
    +g976
    +tp6239
    +a(g826
    +g978
    +tp6240
    +a(g423
    +Vp18
    +p6241
    +tp6242
    +a(g693
    +g976
    +tp6243
    +a(g826
    +g978
    +tp6244
    +a(g423
    +Vp19
    +p6245
    +tp6246
    +a(g693
    +g976
    +tp6247
    +a(g826
    +g978
    +tp6248
    +a(g423
    +Vp20
    +p6249
    +tp6250
    +a(g693
    +g976
    +tp6251
    +a(g826
    +g978
    +tp6252
    +a(g423
    +Vp22
    +p6253
    +tp6254
    +a(g693
    +g976
    +tp6255
    +a(g826
    +g978
    +tp6256
    +a(g423
    +Vp26
    +p6257
    +tp6258
    +a(g693
    +g976
    +tp6259
    +a(g826
    +g978
    +tp6260
    +a(g423
    +Vi32
    +p6261
    +tp6262
    +a(g693
    +g1098
    +tp6263
    +a(g826
    +V\u000a
    +p6264
    +tp6265
    +a(g616
    +Vdebug_merge_point
    +p6266
    +tp6267
    +a(g693
    +g1104
    +tp6268
    +a(g76
    +V' #140 BINARY_MULTIPLY'
    +p6269
    +tp6270
    +a(g693
    +g976
    +tp6271
    +a(g826
    +g978
    +tp6272
    +a(g22
    +g1110
    +tp6273
    +a(g693
    +g1112
    +tp6274
    +a(g826
    +V\u000a
    +p6275
    +tp6276
    +a(g423
    +Vi41
    +p6277
    +tp6278
    +a(g826
    +g978
    +tp6279
    +a(g693
    +g1119
    +tp6280
    +a(g826
    +g978
    +tp6281
    +a(g669
    +Vgetfield_gc_pure
    +p6282
    +tp6283
    +a(g693
    +g1104
    +tp6284
    +a(g423
    +Vp24
    +p6285
    +tp6286
    +a(g693
    +g976
    +tp6287
    +a(g826
    +g978
    +tp6288
    +a(g423
    +Vdescr
    +p6289
    +tp6290
    +a(g693
    +g1119
    +tp6291
    +a(g669
    +V
    +p6292
    +tp6293
    +a(g693
    +g1112
    +tp6294
    +a(g826
    +V\u000a
    +p6295
    +tp6296
    +a(g423
    +Vi42
    +p6297
    +tp6298
    +a(g826
    +g978
    +tp6299
    +a(g693
    +g1119
    +tp6300
    +a(g826
    +g978
    +tp6301
    +a(g669
    +Vgetfield_gc_pure
    +p6302
    +tp6303
    +a(g693
    +g1104
    +tp6304
    +a(g423
    +Vp21
    +p6305
    +tp6306
    +a(g693
    +g976
    +tp6307
    +a(g826
    +g978
    +tp6308
    +a(g423
    +Vdescr
    +p6309
    +tp6310
    +a(g693
    +g1119
    +tp6311
    +a(g669
    +V
    +p6312
    +tp6313
    +a(g693
    +g1112
    +tp6314
    +a(g826
    +V\u000a
    +p6315
    +tp6316
    +a(g423
    +Vi43
    +p6317
    +tp6318
    +a(g826
    +g978
    +tp6319
    +a(g693
    +g1119
    +tp6320
    +a(g826
    +g978
    +tp6321
    +a(g669
    +Vint_mul_ovf
    +p6322
    +tp6323
    +a(g693
    +g1104
    +tp6324
    +a(g423
    +Vi41
    +p6325
    +tp6326
    +a(g693
    +g976
    +tp6327
    +a(g826
    +g978
    +tp6328
    +a(g423
    +Vi42
    +p6329
    +tp6330
    +a(g693
    +g1112
    +tp6331
    +a(g826
    +V\u000a
    +p6332
    +tp6333
    +a(g669
    +Vguard_no_overflow
    +p6334
    +tp6335
    +a(g693
    +g1104
    +tp6336
    +a(g693
    +g976
    +tp6337
    +a(g826
    +g978
    +tp6338
    +a(g423
    +Vdescr
    +p6339
    +tp6340
    +a(g693
    +g1119
    +tp6341
    +a(g669
    +V
    +p6342
    +tp6343
    +a(g693
    +g1112
    +tp6344
    +a(g826
    +g978
    +tp6345
    +a(g693
    +g972
    +tp6346
    +a(g423
    +Vp1
    +p6347
    +tp6348
    +a(g693
    +g976
    +tp6349
    +a(g826
    +g978
    +tp6350
    +a(g423
    +Vp0
    +p6351
    +tp6352
    +a(g693
    +g976
    +tp6353
    +a(g826
    +g978
    +tp6354
    +a(g423
    +Vp21
    +p6355
    +tp6356
    +a(g693
    +g976
    +tp6357
    +a(g826
    +g978
    +tp6358
    +a(g423
    +Vp24
    +p6359
    +tp6360
    +a(g693
    +g976
    +tp6361
    +a(g826
    +g978
    +tp6362
    +a(g423
    +Vi43
    +p6363
    +tp6364
    +a(g693
    +g976
    +tp6365
    +a(g826
    +g978
    +tp6366
    +a(g423
    +Vp2
    +p6367
    +tp6368
    +a(g693
    +g976
    +tp6369
    +a(g826
    +g978
    +tp6370
    +a(g423
    +Vp3
    +p6371
    +tp6372
    +a(g693
    +g976
    +tp6373
    +a(g826
    +g978
    +tp6374
    +a(g423
    +Vp5
    +p6375
    +tp6376
    +a(g693
    +g976
    +tp6377
    +a(g826
    +g978
    +tp6378
    +a(g423
    +Vp8
    +p6379
    +tp6380
    +a(g693
    +g976
    +tp6381
    +a(g826
    +g978
    +tp6382
    +a(g423
    +Vp9
    +p6383
    +tp6384
    +a(g693
    +g976
    +tp6385
    +a(g826
    +g978
    +tp6386
    +a(g423
    +Vp23
    +p6387
    +tp6388
    +a(g693
    +g976
    +tp6389
    +a(g826
    +g978
    +tp6390
    +a(g423
    +Vp13
    +p6391
    +tp6392
    +a(g693
    +g976
    +tp6393
    +a(g826
    +g978
    +tp6394
    +a(g423
    +Vp14
    +p6395
    +tp6396
    +a(g693
    +g976
    +tp6397
    +a(g826
    +g978
    +tp6398
    +a(g423
    +Vp15
    +p6399
    +tp6400
    +a(g693
    +g976
    +tp6401
    +a(g826
    +g978
    +tp6402
    +a(g423
    +Vp16
    +p6403
    +tp6404
    +a(g693
    +g976
    +tp6405
    +a(g826
    +g978
    +tp6406
    +a(g423
    +Vp17
    +p6407
    +tp6408
    +a(g693
    +g976
    +tp6409
    +a(g826
    +g978
    +tp6410
    +a(g423
    +Vp18
    +p6411
    +tp6412
    +a(g693
    +g976
    +tp6413
    +a(g826
    +g978
    +tp6414
    +a(g423
    +Vp19
    +p6415
    +tp6416
    +a(g693
    +g976
    +tp6417
    +a(g826
    +g978
    +tp6418
    +a(g423
    +Vp20
    +p6419
    +tp6420
    +a(g693
    +g976
    +tp6421
    +a(g826
    +g978
    +tp6422
    +a(g423
    +Vp22
    +p6423
    +tp6424
    +a(g693
    +g976
    +tp6425
    +a(g826
    +g978
    +tp6426
    +a(g423
    +Vp26
    +p6427
    +tp6428
    +a(g693
    +g976
    +tp6429
    +a(g826
    +g978
    +tp6430
    +a(g423
    +Vi32
    +p6431
    +tp6432
    +a(g693
    +g1098
    +tp6433
    +a(g826
    +V\u000a
    +p6434
    +tp6435
    +a(g616
    +Vdebug_merge_point
    +p6436
    +tp6437
    +a(g693
    +g1104
    +tp6438
    +a(g76
    +V' #141 LOAD_FAST'
    +p6439
    +tp6440
    +a(g693
    +g976
    +tp6441
    +a(g826
    +g978
    +tp6442
    +a(g22
    +g1110
    +tp6443
    +a(g693
    +g1112
    +tp6444
    +a(g826
    +V\u000a
    +p6445
    +tp6446
    +a(g616
    +Vdebug_merge_point
    +p6447
    +tp6448
    +a(g693
    +g1104
    +tp6449
    +a(g76
    +V' #144 BINARY_ADD'
    +p6450
    +tp6451
    +a(g693
    +g976
    +tp6452
    +a(g826
    +g978
    +tp6453
    +a(g22
    +g1110
    +tp6454
    +a(g693
    +g1112
    +tp6455
    +a(g826
    +V\u000a
    +p6456
    +tp6457
    +a(g423
    +Vi44
    +p6458
    +tp6459
    +a(g826
    +g978
    +tp6460
    +a(g693
    +g1119
    +tp6461
    +a(g826
    +g978
    +tp6462
    +a(g669
    +Vint_add_ovf
    +p6463
    +tp6464
    +a(g693
    +g1104
    +tp6465
    +a(g423
    +Vi43
    +p6466
    +tp6467
    +a(g693
    +g976
    +tp6468
    +a(g826
    +g978
    +tp6469
    +a(g423
    +Vi32
    +p6470
    +tp6471
    +a(g693
    +g1112
    +tp6472
    +a(g826
    +V\u000a
    +p6473
    +tp6474
    +a(g669
    +Vguard_no_overflow
    +p6475
    +tp6476
    +a(g693
    +g1104
    +tp6477
    +a(g693
    +g976
    +tp6478
    +a(g826
    +g978
    +tp6479
    +a(g423
    +Vdescr
    +p6480
    +tp6481
    +a(g693
    +g1119
    +tp6482
    +a(g669
    +V
    +p6483
    +tp6484
    +a(g693
    +g1112
    +tp6485
    +a(g826
    +g978
    +tp6486
    +a(g693
    +g972
    +tp6487
    +a(g423
    +Vp1
    +p6488
    +tp6489
    +a(g693
    +g976
    +tp6490
    +a(g826
    +g978
    +tp6491
    +a(g423
    +Vp0
    +p6492
    +tp6493
    +a(g693
    +g976
    +tp6494
    +a(g826
    +g978
    +tp6495
    +a(g423
    +Vi44
    +p6496
    +tp6497
    +a(g693
    +g976
    +tp6498
    +a(g826
    +g978
    +tp6499
    +a(g423
    +Vp2
    +p6500
    +tp6501
    +a(g693
    +g976
    +tp6502
    +a(g826
    +g978
    +tp6503
    +a(g423
    +Vp3
    +p6504
    +tp6505
    +a(g693
    +g976
    +tp6506
    +a(g826
    +g978
    +tp6507
    +a(g423
    +Vp5
    +p6508
    +tp6509
    +a(g693
    +g976
    +tp6510
    +a(g826
    +g978
    +tp6511
    +a(g423
    +Vp8
    +p6512
    +tp6513
    +a(g693
    +g976
    +tp6514
    +a(g826
    +g978
    +tp6515
    +a(g423
    +Vp9
    +p6516
    +tp6517
    +a(g693
    +g976
    +tp6518
    +a(g826
    +g978
    +tp6519
    +a(g423
    +Vp23
    +p6520
    +tp6521
    +a(g693
    +g976
    +tp6522
    +a(g826
    +g978
    +tp6523
    +a(g423
    +Vp13
    +p6524
    +tp6525
    +a(g693
    +g976
    +tp6526
    +a(g826
    +g978
    +tp6527
    +a(g423
    +Vp14
    +p6528
    +tp6529
    +a(g693
    +g976
    +tp6530
    +a(g826
    +g978
    +tp6531
    +a(g423
    +Vp15
    +p6532
    +tp6533
    +a(g693
    +g976
    +tp6534
    +a(g826
    +g978
    +tp6535
    +a(g423
    +Vp16
    +p6536
    +tp6537
    +a(g693
    +g976
    +tp6538
    +a(g826
    +g978
    +tp6539
    +a(g423
    +Vp17
    +p6540
    +tp6541
    +a(g693
    +g976
    +tp6542
    +a(g826
    +g978
    +tp6543
    +a(g423
    +Vp18
    +p6544
    +tp6545
    +a(g693
    +g976
    +tp6546
    +a(g826
    +g978
    +tp6547
    +a(g423
    +Vp19
    +p6548
    +tp6549
    +a(g693
    +g976
    +tp6550
    +a(g826
    +g978
    +tp6551
    +a(g423
    +Vp20
    +p6552
    +tp6553
    +a(g693
    +g976
    +tp6554
    +a(g826
    +g978
    +tp6555
    +a(g423
    +Vp21
    +p6556
    +tp6557
    +a(g693
    +g976
    +tp6558
    +a(g826
    +g978
    +tp6559
    +a(g423
    +Vp22
    +p6560
    +tp6561
    +a(g693
    +g976
    +tp6562
    +a(g826
    +g978
    +tp6563
    +a(g423
    +Vp24
    +p6564
    +tp6565
    +a(g693
    +g976
    +tp6566
    +a(g826
    +g978
    +tp6567
    +a(g423
    +Vp26
    +p6568
    +tp6569
    +a(g693
    +g976
    +tp6570
    +a(g826
    +g978
    +tp6571
    +a(g423
    +Vi43
    +p6572
    +tp6573
    +a(g693
    +g976
    +tp6574
    +a(g826
    +g978
    +tp6575
    +a(g423
    +Vi32
    +p6576
    +tp6577
    +a(g693
    +g1098
    +tp6578
    +a(g826
    +V\u000a
    +p6579
    +tp6580
    +a(g616
    +Vdebug_merge_point
    +p6581
    +tp6582
    +a(g693
    +g1104
    +tp6583
    +a(g76
    +V' #145 BINARY_SUBSCR'
    +p6584
    +tp6585
    +a(g693
    +g976
    +tp6586
    +a(g826
    +g978
    +tp6587
    +a(g22
    +g1110
    +tp6588
    +a(g693
    +g1112
    +tp6589
    +a(g826
    +V\u000a
    +p6590
    +tp6591
    +a(g423
    +Vi45
    +p6592
    +tp6593
    +a(g826
    +g978
    +tp6594
    +a(g693
    +g1119
    +tp6595
    +a(g826
    +g978
    +tp6596
    +a(g669
    +Vgetfield_gc
    +p6597
    +tp6598
    +a(g693
    +g1104
    +tp6599
    +a(g423
    +Vp23
    +p6600
    +tp6601
    +a(g693
    +g976
    +tp6602
    +a(g826
    +g978
    +tp6603
    +a(g423
    +Vdescr
    +p6604
    +tp6605
    +a(g693
    +g1119
    +tp6606
    +a(g669
    +V
    +p6607
    +tp6608
    +a(g693
    +g1112
    +tp6609
    +a(g826
    +V\u000a
    +p6610
    +tp6611
    +a(g423
    +Vi47
    +p6612
    +tp6613
    +a(g826
    +g978
    +tp6614
    +a(g693
    +g1119
    +tp6615
    +a(g826
    +g978
    +tp6616
    +a(g669
    +Vint_lt
    +p6617
    +tp6618
    +a(g693
    +g1104
    +tp6619
    +a(g423
    +Vi44
    +p6620
    +tp6621
    +a(g693
    +g976
    +tp6622
    +a(g826
    +g978
    +tp6623
    +a(g22
    +g1110
    +tp6624
    +a(g693
    +g1112
    +tp6625
    +a(g826
    +V\u000a
    +p6626
    +tp6627
    +a(g669
    +Vguard_false
    +p6628
    +tp6629
    +a(g693
    +g1104
    +tp6630
    +a(g423
    +Vi47
    +p6631
    +tp6632
    +a(g693
    +g976
    +tp6633
    +a(g826
    +g978
    +tp6634
    +a(g423
    +Vdescr
    +p6635
    +tp6636
    +a(g693
    +g1119
    +tp6637
    +a(g669
    +V
    +p6638
    +tp6639
    +a(g693
    +g1112
    +tp6640
    +a(g826
    +g978
    +tp6641
    +a(g693
    +g972
    +tp6642
    +a(g423
    +Vp1
    +p6643
    +tp6644
    +a(g693
    +g976
    +tp6645
    +a(g826
    +g978
    +tp6646
    +a(g423
    +Vp0
    +p6647
    +tp6648
    +a(g693
    +g976
    +tp6649
    +a(g826
    +g978
    +tp6650
    +a(g423
    +Vp23
    +p6651
    +tp6652
    +a(g693
    +g976
    +tp6653
    +a(g826
    +g978
    +tp6654
    +a(g423
    +Vi44
    +p6655
    +tp6656
    +a(g693
    +g976
    +tp6657
    +a(g826
    +g978
    +tp6658
    +a(g423
    +Vi45
    +p6659
    +tp6660
    +a(g693
    +g976
    +tp6661
    +a(g826
    +g978
    +tp6662
    +a(g423
    +Vp2
    +p6663
    +tp6664
    +a(g693
    +g976
    +tp6665
    +a(g826
    +g978
    +tp6666
    +a(g423
    +Vp3
    +p6667
    +tp6668
    +a(g693
    +g976
    +tp6669
    +a(g826
    +g978
    +tp6670
    +a(g423
    +Vp5
    +p6671
    +tp6672
    +a(g693
    +g976
    +tp6673
    +a(g826
    +g978
    +tp6674
    +a(g423
    +Vp8
    +p6675
    +tp6676
    +a(g693
    +g976
    +tp6677
    +a(g826
    +g978
    +tp6678
    +a(g423
    +Vp9
    +p6679
    +tp6680
    +a(g693
    +g976
    +tp6681
    +a(g826
    +g978
    +tp6682
    +a(g423
    +Vp13
    +p6683
    +tp6684
    +a(g693
    +g976
    +tp6685
    +a(g826
    +g978
    +tp6686
    +a(g423
    +Vp14
    +p6687
    +tp6688
    +a(g693
    +g976
    +tp6689
    +a(g826
    +g978
    +tp6690
    +a(g423
    +Vp15
    +p6691
    +tp6692
    +a(g693
    +g976
    +tp6693
    +a(g826
    +g978
    +tp6694
    +a(g423
    +Vp16
    +p6695
    +tp6696
    +a(g693
    +g976
    +tp6697
    +a(g826
    +g978
    +tp6698
    +a(g423
    +Vp17
    +p6699
    +tp6700
    +a(g693
    +g976
    +tp6701
    +a(g826
    +g978
    +tp6702
    +a(g423
    +Vp18
    +p6703
    +tp6704
    +a(g693
    +g976
    +tp6705
    +a(g826
    +g978
    +tp6706
    +a(g423
    +Vp19
    +p6707
    +tp6708
    +a(g693
    +g976
    +tp6709
    +a(g826
    +g978
    +tp6710
    +a(g423
    +Vp20
    +p6711
    +tp6712
    +a(g693
    +g976
    +tp6713
    +a(g826
    +g978
    +tp6714
    +a(g423
    +Vp21
    +p6715
    +tp6716
    +a(g693
    +g976
    +tp6717
    +a(g826
    +g978
    +tp6718
    +a(g423
    +Vp22
    +p6719
    +tp6720
    +a(g693
    +g976
    +tp6721
    +a(g826
    +g978
    +tp6722
    +a(g423
    +Vp24
    +p6723
    +tp6724
    +a(g693
    +g976
    +tp6725
    +a(g826
    +g978
    +tp6726
    +a(g423
    +Vp26
    +p6727
    +tp6728
    +a(g693
    +g976
    +tp6729
    +a(g826
    +g978
    +tp6730
    +a(g423
    +VNone
    +p6731
    +tp6732
    +a(g693
    +g976
    +tp6733
    +a(g826
    +g978
    +tp6734
    +a(g423
    +Vi32
    +p6735
    +tp6736
    +a(g693
    +g1098
    +tp6737
    +a(g826
    +V\u000a
    +p6738
    +tp6739
    +a(g423
    +Vi49
    +p6740
    +tp6741
    +a(g826
    +g978
    +tp6742
    +a(g693
    +g1119
    +tp6743
    +a(g826
    +g978
    +tp6744
    +a(g669
    +Vint_lt
    +p6745
    +tp6746
    +a(g693
    +g1104
    +tp6747
    +a(g423
    +Vi44
    +p6748
    +tp6749
    +a(g693
    +g976
    +tp6750
    +a(g826
    +g978
    +tp6751
    +a(g423
    +Vi45
    +p6752
    +tp6753
    +a(g693
    +g1112
    +tp6754
    +a(g826
    +V\u000a
    +p6755
    +tp6756
    +a(g669
    +Vguard_true
    +p6757
    +tp6758
    +a(g693
    +g1104
    +tp6759
    +a(g423
    +Vi49
    +p6760
    +tp6761
    +a(g693
    +g976
    +tp6762
    +a(g826
    +g978
    +tp6763
    +a(g423
    +Vdescr
    +p6764
    +tp6765
    +a(g693
    +g1119
    +tp6766
    +a(g669
    +V
    +p6767
    +tp6768
    +a(g693
    +g1112
    +tp6769
    +a(g826
    +g978
    +tp6770
    +a(g693
    +g972
    +tp6771
    +a(g423
    +Vp1
    +p6772
    +tp6773
    +a(g693
    +g976
    +tp6774
    +a(g826
    +g978
    +tp6775
    +a(g423
    +Vp0
    +p6776
    +tp6777
    +a(g693
    +g976
    +tp6778
    +a(g826
    +g978
    +tp6779
    +a(g423
    +Vp23
    +p6780
    +tp6781
    +a(g693
    +g976
    +tp6782
    +a(g826
    +g978
    +tp6783
    +a(g423
    +Vi44
    +p6784
    +tp6785
    +a(g693
    +g976
    +tp6786
    +a(g826
    +g978
    +tp6787
    +a(g423
    +Vp2
    +p6788
    +tp6789
    +a(g693
    +g976
    +tp6790
    +a(g826
    +g978
    +tp6791
    +a(g423
    +Vp3
    +p6792
    +tp6793
    +a(g693
    +g976
    +tp6794
    +a(g826
    +g978
    +tp6795
    +a(g423
    +Vp5
    +p6796
    +tp6797
    +a(g693
    +g976
    +tp6798
    +a(g826
    +g978
    +tp6799
    +a(g423
    +Vp8
    +p6800
    +tp6801
    +a(g693
    +g976
    +tp6802
    +a(g826
    +g978
    +tp6803
    +a(g423
    +Vp9
    +p6804
    +tp6805
    +a(g693
    +g976
    +tp6806
    +a(g826
    +g978
    +tp6807
    +a(g423
    +Vp13
    +p6808
    +tp6809
    +a(g693
    +g976
    +tp6810
    +a(g826
    +g978
    +tp6811
    +a(g423
    +Vp14
    +p6812
    +tp6813
    +a(g693
    +g976
    +tp6814
    +a(g826
    +g978
    +tp6815
    +a(g423
    +Vp15
    +p6816
    +tp6817
    +a(g693
    +g976
    +tp6818
    +a(g826
    +g978
    +tp6819
    +a(g423
    +Vp16
    +p6820
    +tp6821
    +a(g693
    +g976
    +tp6822
    +a(g826
    +g978
    +tp6823
    +a(g423
    +Vp17
    +p6824
    +tp6825
    +a(g693
    +g976
    +tp6826
    +a(g826
    +g978
    +tp6827
    +a(g423
    +Vp18
    +p6828
    +tp6829
    +a(g693
    +g976
    +tp6830
    +a(g826
    +g978
    +tp6831
    +a(g423
    +Vp19
    +p6832
    +tp6833
    +a(g693
    +g976
    +tp6834
    +a(g826
    +g978
    +tp6835
    +a(g423
    +Vp20
    +p6836
    +tp6837
    +a(g693
    +g976
    +tp6838
    +a(g826
    +g978
    +tp6839
    +a(g423
    +Vp21
    +p6840
    +tp6841
    +a(g693
    +g976
    +tp6842
    +a(g826
    +g978
    +tp6843
    +a(g423
    +Vp22
    +p6844
    +tp6845
    +a(g693
    +g976
    +tp6846
    +a(g826
    +g978
    +tp6847
    +a(g423
    +Vp24
    +p6848
    +tp6849
    +a(g693
    +g976
    +tp6850
    +a(g826
    +g978
    +tp6851
    +a(g423
    +Vp26
    +p6852
    +tp6853
    +a(g693
    +g976
    +tp6854
    +a(g826
    +g978
    +tp6855
    +a(g423
    +VNone
    +p6856
    +tp6857
    +a(g693
    +g976
    +tp6858
    +a(g826
    +g978
    +tp6859
    +a(g423
    +Vi32
    +p6860
    +tp6861
    +a(g693
    +g1098
    +tp6862
    +a(g826
    +V\u000a
    +p6863
    +tp6864
    +a(g423
    +Vi50
    +p6865
    +tp6866
    +a(g826
    +g978
    +tp6867
    +a(g693
    +g1119
    +tp6868
    +a(g826
    +g978
    +tp6869
    +a(g669
    +Vgetfield_gc
    +p6870
    +tp6871
    +a(g693
    +g1104
    +tp6872
    +a(g423
    +Vp23
    +p6873
    +tp6874
    +a(g693
    +g976
    +tp6875
    +a(g826
    +g978
    +tp6876
    +a(g423
    +Vdescr
    +p6877
    +tp6878
    +a(g693
    +g1119
    +tp6879
    +a(g669
    +V
    +p6880
    +tp6881
    +a(g693
    +g1112
    +tp6882
    +a(g826
    +V\u000a
    +p6883
    +tp6884
    +a(g423
    +Vf51
    +p6885
    +tp6886
    +a(g826
    +g978
    +tp6887
    +a(g693
    +g1119
    +tp6888
    +a(g826
    +g978
    +tp6889
    +a(g669
    +Vgetarrayitem_raw
    +p6890
    +tp6891
    +a(g693
    +g1104
    +tp6892
    +a(g423
    +Vi50
    +p6893
    +tp6894
    +a(g693
    +g976
    +tp6895
    +a(g826
    +g978
    +tp6896
    +a(g423
    +Vi44
    +p6897
    +tp6898
    +a(g693
    +g976
    +tp6899
    +a(g826
    +g978
    +tp6900
    +a(g423
    +Vdescr
    +p6901
    +tp6902
    +a(g693
    +g1119
    +tp6903
    +a(g669
    +V
    +p6904
    +tp6905
    +a(g693
    +g1112
    +tp6906
    +a(g826
    +V\u000a
    +p6907
    +tp6908
    +a(g616
    +Vdebug_merge_point
    +p6909
    +tp6910
    +a(g693
    +g1104
    +tp6911
    +a(g76
    +V' #146 STORE_FAST'
    +p6912
    +tp6913
    +a(g693
    +g976
    +tp6914
    +a(g826
    +g978
    +tp6915
    +a(g22
    +g1110
    +tp6916
    +a(g693
    +g1112
    +tp6917
    +a(g826
    +V\u000a
    +p6918
    +tp6919
    +a(g616
    +Vdebug_merge_point
    +p6920
    +tp6921
    +a(g693
    +g1104
    +tp6922
    +a(g76
    +V' #149 LOAD_FAST'
    +p6923
    +tp6924
    +a(g693
    +g976
    +tp6925
    +a(g826
    +g978
    +tp6926
    +a(g22
    +g1110
    +tp6927
    +a(g693
    +g1112
    +tp6928
    +a(g826
    +V\u000a
    +p6929
    +tp6930
    +a(g616
    +Vdebug_merge_point
    +p6931
    +tp6932
    +a(g693
    +g1104
    +tp6933
    +a(g76
    +V' #152 LOAD_FAST'
    +p6934
    +tp6935
    +a(g693
    +g976
    +tp6936
    +a(g826
    +g978
    +tp6937
    +a(g22
    +g1110
    +tp6938
    +a(g693
    +g1112
    +tp6939
    +a(g826
    +V\u000a
    +p6940
    +tp6941
    +a(g616
    +Vdebug_merge_point
    +p6942
    +tp6943
    +a(g693
    +g1104
    +tp6944
    +a(g76
    +V' #155 LOAD_CONST'
    +p6945
    +tp6946
    +a(g693
    +g976
    +tp6947
    +a(g826
    +g978
    +tp6948
    +a(g22
    +g1110
    +tp6949
    +a(g693
    +g1112
    +tp6950
    +a(g826
    +V\u000a
    +p6951
    +tp6952
    +a(g669
    +Vguard_value
    +p6953
    +tp6954
    +a(g693
    +g1104
    +tp6955
    +a(g423
    +Vp2
    +p6956
    +tp6957
    +a(g693
    +g976
    +tp6958
    +a(g826
    +g978
    +tp6959
    +a(g423
    +VConstPtr
    +p6960
    +tp6961
    +a(g693
    +g1104
    +tp6962
    +a(g669
    +Vptr52
    +p6963
    +tp6964
    +a(g693
    +g1112
    +tp6965
    +a(g693
    +g976
    +tp6966
    +a(g826
    +g978
    +tp6967
    +a(g423
    +Vdescr
    +p6968
    +tp6969
    +a(g693
    +g1119
    +tp6970
    +a(g669
    +V
    +p6971
    +tp6972
    +a(g693
    +g1112
    +tp6973
    +a(g826
    +g978
    +tp6974
    +a(g693
    +g972
    +tp6975
    +a(g423
    +Vp1
    +p6976
    +tp6977
    +a(g693
    +g976
    +tp6978
    +a(g826
    +g978
    +tp6979
    +a(g423
    +Vp0
    +p6980
    +tp6981
    +a(g693
    +g976
    +tp6982
    +a(g826
    +g978
    +tp6983
    +a(g423
    +Vp2
    +p6984
    +tp6985
    +a(g693
    +g976
    +tp6986
    +a(g826
    +g978
    +tp6987
    +a(g423
    +Vp3
    +p6988
    +tp6989
    +a(g693
    +g976
    +tp6990
    +a(g826
    +g978
    +tp6991
    +a(g423
    +Vp5
    +p6992
    +tp6993
    +a(g693
    +g976
    +tp6994
    +a(g826
    +g978
    +tp6995
    +a(g423
    +Vp8
    +p6996
    +tp6997
    +a(g693
    +g976
    +tp6998
    +a(g826
    +g978
    +tp6999
    +a(g423
    +Vp9
    +p7000
    +tp7001
    +a(g693
    +g976
    +tp7002
    +a(g826
    +g978
    +tp7003
    +a(g423
    +Vp23
    +p7004
    +tp7005
    +a(g693
    +g976
    +tp7006
    +a(g826
    +g978
    +tp7007
    +a(g423
    +Vp24
    +p7008
    +tp7009
    +a(g693
    +g976
    +tp7010
    +a(g826
    +g978
    +tp7011
    +a(g423
    +Vp13
    +p7012
    +tp7013
    +a(g693
    +g976
    +tp7014
    +a(g826
    +g978
    +tp7015
    +a(g423
    +Vp14
    +p7016
    +tp7017
    +a(g693
    +g976
    +tp7018
    +a(g826
    +g978
    +tp7019
    +a(g423
    +Vp15
    +p7020
    +tp7021
    +a(g693
    +g976
    +tp7022
    +a(g826
    +g978
    +tp7023
    +a(g423
    +Vp16
    +p7024
    +tp7025
    +a(g693
    +g976
    +tp7026
    +a(g826
    +g978
    +tp7027
    +a(g423
    +Vp17
    +p7028
    +tp7029
    +a(g693
    +g976
    +tp7030
    +a(g826
    +g978
    +tp7031
    +a(g423
    +Vp18
    +p7032
    +tp7033
    +a(g693
    +g976
    +tp7034
    +a(g826
    +g978
    +tp7035
    +a(g423
    +Vp19
    +p7036
    +tp7037
    +a(g693
    +g976
    +tp7038
    +a(g826
    +g978
    +tp7039
    +a(g423
    +Vp20
    +p7040
    +tp7041
    +a(g693
    +g976
    +tp7042
    +a(g826
    +g978
    +tp7043
    +a(g423
    +Vp21
    +p7044
    +tp7045
    +a(g693
    +g976
    +tp7046
    +a(g826
    +g978
    +tp7047
    +a(g423
    +Vp22
    +p7048
    +tp7049
    +a(g693
    +g976
    +tp7050
    +a(g826
    +g978
    +tp7051
    +a(g423
    +Vf51
    +p7052
    +tp7053
    +a(g693
    +g976
    +tp7054
    +a(g826
    +g978
    +tp7055
    +a(g423
    +VNone
    +p7056
    +tp7057
    +a(g693
    +g976
    +tp7058
    +a(g826
    +g978
    +tp7059
    +a(g423
    +Vi32
    +p7060
    +tp7061
    +a(g693
    +g1098
    +tp7062
    +a(g826
    +V\u000a
    +p7063
    +tp7064
    +a(g616
    +Vdebug_merge_point
    +p7065
    +tp7066
    +a(g693
    +g1104
    +tp7067
    +a(g76
    +V' #158 BINARY_SUBTRACT'
    +p7068
    +tp7069
    +a(g693
    +g976
    +tp7070
    +a(g826
    +g978
    +tp7071
    +a(g22
    +g1110
    +tp7072
    +a(g693
    +g1112
    +tp7073
    +a(g826
    +V\u000a
    +p7074
    +tp7075
    +a(g423
    +Vi54
    +p7076
    +tp7077
    +a(g826
    +g978
    +tp7078
    +a(g693
    +g1119
    +tp7079
    +a(g826
    +g978
    +tp7080
    +a(g669
    +Vint_sub_ovf
    +p7081
    +tp7082
    +a(g693
    +g1104
    +tp7083
    +a(g423
    +Vi41
    +p7084
    +tp7085
    +a(g693
    +g976
    +tp7086
    +a(g826
    +g978
    +tp7087
    +a(g22
    +g1250
    +tp7088
    +a(g693
    +g1112
    +tp7089
    +a(g826
    +V\u000a
    +p7090
    +tp7091
    +a(g669
    +Vguard_no_overflow
    +p7092
    +tp7093
    +a(g693
    +g1104
    +tp7094
    +a(g693
    +g976
    +tp7095
    +a(g826
    +g978
    +tp7096
    +a(g423
    +Vdescr
    +p7097
    +tp7098
    +a(g693
    +g1119
    +tp7099
    +a(g669
    +V
    +p7100
    +tp7101
    +a(g693
    +g1112
    +tp7102
    +a(g826
    +g978
    +tp7103
    +a(g693
    +g972
    +tp7104
    +a(g423
    +Vp1
    +p7105
    +tp7106
    +a(g693
    +g976
    +tp7107
    +a(g826
    +g978
    +tp7108
    +a(g423
    +Vp0
    +p7109
    +tp7110
    +a(g693
    +g976
    +tp7111
    +a(g826
    +g978
    +tp7112
    +a(g423
    +Vp24
    +p7113
    +tp7114
    +a(g693
    +g976
    +tp7115
    +a(g826
    +g978
    +tp7116
    +a(g423
    +Vi54
    +p7117
    +tp7118
    +a(g693
    +g976
    +tp7119
    +a(g826
    +g978
    +tp7120
    +a(g423
    +Vp3
    +p7121
    +tp7122
    +a(g693
    +g976
    +tp7123
    +a(g826
    +g978
    +tp7124
    +a(g423
    +Vp5
    +p7125
    +tp7126
    +a(g693
    +g976
    +tp7127
    +a(g826
    +g978
    +tp7128
    +a(g423
    +Vp8
    +p7129
    +tp7130
    +a(g693
    +g976
    +tp7131
    +a(g826
    +g978
    +tp7132
    +a(g423
    +Vp9
    +p7133
    +tp7134
    +a(g693
    +g976
    +tp7135
    +a(g826
    +g978
    +tp7136
    +a(g423
    +Vp23
    +p7137
    +tp7138
    +a(g693
    +g976
    +tp7139
    +a(g826
    +g978
    +tp7140
    +a(g423
    +Vp13
    +p7141
    +tp7142
    +a(g693
    +g976
    +tp7143
    +a(g826
    +g978
    +tp7144
    +a(g423
    +Vp14
    +p7145
    +tp7146
    +a(g693
    +g976
    +tp7147
    +a(g826
    +g978
    +tp7148
    +a(g423
    +Vp15
    +p7149
    +tp7150
    +a(g693
    +g976
    +tp7151
    +a(g826
    +g978
    +tp7152
    +a(g423
    +Vp16
    +p7153
    +tp7154
    +a(g693
    +g976
    +tp7155
    +a(g826
    +g978
    +tp7156
    +a(g423
    +Vp17
    +p7157
    +tp7158
    +a(g693
    +g976
    +tp7159
    +a(g826
    +g978
    +tp7160
    +a(g423
    +Vp18
    +p7161
    +tp7162
    +a(g693
    +g976
    +tp7163
    +a(g826
    +g978
    +tp7164
    +a(g423
    +Vp19
    +p7165
    +tp7166
    +a(g693
    +g976
    +tp7167
    +a(g826
    +g978
    +tp7168
    +a(g423
    +Vp20
    +p7169
    +tp7170
    +a(g693
    +g976
    +tp7171
    +a(g826
    +g978
    +tp7172
    +a(g423
    +Vp21
    +p7173
    +tp7174
    +a(g693
    +g976
    +tp7175
    +a(g826
    +g978
    +tp7176
    +a(g423
    +Vp22
    +p7177
    +tp7178
    +a(g693
    +g976
    +tp7179
    +a(g826
    +g978
    +tp7180
    +a(g423
    +Vf51
    +p7181
    +tp7182
    +a(g693
    +g976
    +tp7183
    +a(g826
    +g978
    +tp7184
    +a(g423
    +VNone
    +p7185
    +tp7186
    +a(g693
    +g976
    +tp7187
    +a(g826
    +g978
    +tp7188
    +a(g423
    +Vi32
    +p7189
    +tp7190
    +a(g693
    +g1098
    +tp7191
    +a(g826
    +V\u000a
    +p7192
    +tp7193
    +a(g616
    +Vdebug_merge_point
    +p7194
    +tp7195
    +a(g693
    +g1104
    +tp7196
    +a(g76
    +V' #159 LOAD_FAST'
    +p7197
    +tp7198
    +a(g693
    +g976
    +tp7199
    +a(g826
    +g978
    +tp7200
    +a(g22
    +g1110
    +tp7201
    +a(g693
    +g1112
    +tp7202
    +a(g826
    +V\u000a
    +p7203
    +tp7204
    +a(g616
    +Vdebug_merge_point
    +p7205
    +tp7206
    +a(g693
    +g1104
    +tp7207
    +a(g76
    +V' #162 BINARY_MULTIPLY'
    +p7208
    +tp7209
    +a(g693
    +g976
    +tp7210
    +a(g826
    +g978
    +tp7211
    +a(g22
    +g1110
    +tp7212
    +a(g693
    +g1112
    +tp7213
    +a(g826
    +V\u000a
    +p7214
    +tp7215
    +a(g423
    +Vi55
    +p7216
    +tp7217
    +a(g826
    +g978
    +tp7218
    +a(g693
    +g1119
    +tp7219
    +a(g826
    +g978
    +tp7220
    +a(g669
    +Vint_mul_ovf
    +p7221
    +tp7222
    +a(g693
    +g1104
    +tp7223
    +a(g423
    +Vi54
    +p7224
    +tp7225
    +a(g693
    +g976
    +tp7226
    +a(g826
    +g978
    +tp7227
    +a(g423
    +Vi42
    +p7228
    +tp7229
    +a(g693
    +g1112
    +tp7230
    +a(g826
    +V\u000a
    +p7231
    +tp7232
    +a(g669
    +Vguard_no_overflow
    +p7233
    +tp7234
    +a(g693
    +g1104
    +tp7235
    +a(g693
    +g976
    +tp7236
    +a(g826
    +g978
    +tp7237
    +a(g423
    +Vdescr
    +p7238
    +tp7239
    +a(g693
    +g1119
    +tp7240
    +a(g669
    +V
    +p7241
    +tp7242
    +a(g693
    +g1112
    +tp7243
    +a(g826
    +g978
    +tp7244
    +a(g693
    +g972
    +tp7245
    +a(g423
    +Vp1
    +p7246
    +tp7247
    +a(g693
    +g976
    +tp7248
    +a(g826
    +g978
    +tp7249
    +a(g423
    +Vp0
    +p7250
    +tp7251
    +a(g693
    +g976
    +tp7252
    +a(g826
    +g978
    +tp7253
    +a(g423
    +Vp21
    +p7254
    +tp7255
    +a(g693
    +g976
    +tp7256
    +a(g826
    +g978
    +tp7257
    +a(g423
    +Vi55
    +p7258
    +tp7259
    +a(g693
    +g976
    +tp7260
    +a(g826
    +g978
    +tp7261
    +a(g423
    +Vp3
    +p7262
    +tp7263
    +a(g693
    +g976
    +tp7264
    +a(g826
    +g978
    +tp7265
    +a(g423
    +Vp5
    +p7266
    +tp7267
    +a(g693
    +g976
    +tp7268
    +a(g826
    +g978
    +tp7269
    +a(g423
    +Vp8
    +p7270
    +tp7271
    +a(g693
    +g976
    +tp7272
    +a(g826
    +g978
    +tp7273
    +a(g423
    +Vp9
    +p7274
    +tp7275
    +a(g693
    +g976
    +tp7276
    +a(g826
    +g978
    +tp7277
    +a(g423
    +Vp23
    +p7278
    +tp7279
    +a(g693
    +g976
    +tp7280
    +a(g826
    +g978
    +tp7281
    +a(g423
    +Vp13
    +p7282
    +tp7283
    +a(g693
    +g976
    +tp7284
    +a(g826
    +g978
    +tp7285
    +a(g423
    +Vp14
    +p7286
    +tp7287
    +a(g693
    +g976
    +tp7288
    +a(g826
    +g978
    +tp7289
    +a(g423
    +Vp15
    +p7290
    +tp7291
    +a(g693
    +g976
    +tp7292
    +a(g826
    +g978
    +tp7293
    +a(g423
    +Vp16
    +p7294
    +tp7295
    +a(g693
    +g976
    +tp7296
    +a(g826
    +g978
    +tp7297
    +a(g423
    +Vp17
    +p7298
    +tp7299
    +a(g693
    +g976
    +tp7300
    +a(g826
    +g978
    +tp7301
    +a(g423
    +Vp18
    +p7302
    +tp7303
    +a(g693
    +g976
    +tp7304
    +a(g826
    +g978
    +tp7305
    +a(g423
    +Vp19
    +p7306
    +tp7307
    +a(g693
    +g976
    +tp7308
    +a(g826
    +g978
    +tp7309
    +a(g423
    +Vp20
    +p7310
    +tp7311
    +a(g693
    +g976
    +tp7312
    +a(g826
    +g978
    +tp7313
    +a(g423
    +Vp22
    +p7314
    +tp7315
    +a(g693
    +g976
    +tp7316
    +a(g826
    +g978
    +tp7317
    +a(g423
    +Vp24
    +p7318
    +tp7319
    +a(g693
    +g976
    +tp7320
    +a(g826
    +g978
    +tp7321
    +a(g423
    +Vi54
    +p7322
    +tp7323
    +a(g693
    +g976
    +tp7324
    +a(g826
    +g978
    +tp7325
    +a(g423
    +Vf51
    +p7326
    +tp7327
    +a(g693
    +g976
    +tp7328
    +a(g826
    +g978
    +tp7329
    +a(g423
    +VNone
    +p7330
    +tp7331
    +a(g693
    +g976
    +tp7332
    +a(g826
    +g978
    +tp7333
    +a(g423
    +Vi32
    +p7334
    +tp7335
    +a(g693
    +g1098
    +tp7336
    +a(g826
    +V\u000a
    +p7337
    +tp7338
    +a(g616
    +Vdebug_merge_point
    +p7339
    +tp7340
    +a(g693
    +g1104
    +tp7341
    +a(g76
    +V' #163 LOAD_FAST'
    +p7342
    +tp7343
    +a(g693
    +g976
    +tp7344
    +a(g826
    +g978
    +tp7345
    +a(g22
    +g1110
    +tp7346
    +a(g693
    +g1112
    +tp7347
    +a(g826
    +V\u000a
    +p7348
    +tp7349
    +a(g616
    +Vdebug_merge_point
    +p7350
    +tp7351
    +a(g693
    +g1104
    +tp7352
    +a(g76
    +V' #166 BINARY_ADD'
    +p7353
    +tp7354
    +a(g693
    +g976
    +tp7355
    +a(g826
    +g978
    +tp7356
    +a(g22
    +g1110
    +tp7357
    +a(g693
    +g1112
    +tp7358
    +a(g826
    +V\u000a
    +p7359
    +tp7360
    +a(g423
    +Vi56
    +p7361
    +tp7362
    +a(g826
    +g978
    +tp7363
    +a(g693
    +g1119
    +tp7364
    +a(g826
    +g978
    +tp7365
    +a(g669
    +Vint_add_ovf
    +p7366
    +tp7367
    +a(g693
    +g1104
    +tp7368
    +a(g423
    +Vi55
    +p7369
    +tp7370
    +a(g693
    +g976
    +tp7371
    +a(g826
    +g978
    +tp7372
    +a(g423
    +Vi32
    +p7373
    +tp7374
    +a(g693
    +g1112
    +tp7375
    +a(g826
    +V\u000a
    +p7376
    +tp7377
    +a(g669
    +Vguard_no_overflow
    +p7378
    +tp7379
    +a(g693
    +g1104
    +tp7380
    +a(g693
    +g976
    +tp7381
    +a(g826
    +g978
    +tp7382
    +a(g423
    +Vdescr
    +p7383
    +tp7384
    +a(g693
    +g1119
    +tp7385
    +a(g669
    +V
    +p7386
    +tp7387
    +a(g693
    +g1112
    +tp7388
    +a(g826
    +g978
    +tp7389
    +a(g693
    +g972
    +tp7390
    +a(g423
    +Vp1
    +p7391
    +tp7392
    +a(g693
    +g976
    +tp7393
    +a(g826
    +g978
    +tp7394
    +a(g423
    +Vp0
    +p7395
    +tp7396
    +a(g693
    +g976
    +tp7397
    +a(g826
    +g978
    +tp7398
    +a(g423
    +Vi56
    +p7399
    +tp7400
    +a(g693
    +g976
    +tp7401
    +a(g826
    +g978
    +tp7402
    +a(g423
    +Vp3
    +p7403
    +tp7404
    +a(g693
    +g976
    +tp7405
    +a(g826
    +g978
    +tp7406
    +a(g423
    +Vp5
    +p7407
    +tp7408
    +a(g693
    +g976
    +tp7409
    +a(g826
    +g978
    +tp7410
    +a(g423
    +Vp8
    +p7411
    +tp7412
    +a(g693
    +g976
    +tp7413
    +a(g826
    +g978
    +tp7414
    +a(g423
    +Vp9
    +p7415
    +tp7416
    +a(g693
    +g976
    +tp7417
    +a(g826
    +g978
    +tp7418
    +a(g423
    +Vp23
    +p7419
    +tp7420
    +a(g693
    +g976
    +tp7421
    +a(g826
    +g978
    +tp7422
    +a(g423
    +Vp13
    +p7423
    +tp7424
    +a(g693
    +g976
    +tp7425
    +a(g826
    +g978
    +tp7426
    +a(g423
    +Vp14
    +p7427
    +tp7428
    +a(g693
    +g976
    +tp7429
    +a(g826
    +g978
    +tp7430
    +a(g423
    +Vp15
    +p7431
    +tp7432
    +a(g693
    +g976
    +tp7433
    +a(g826
    +g978
    +tp7434
    +a(g423
    +Vp16
    +p7435
    +tp7436
    +a(g693
    +g976
    +tp7437
    +a(g826
    +g978
    +tp7438
    +a(g423
    +Vp17
    +p7439
    +tp7440
    +a(g693
    +g976
    +tp7441
    +a(g826
    +g978
    +tp7442
    +a(g423
    +Vp18
    +p7443
    +tp7444
    +a(g693
    +g976
    +tp7445
    +a(g826
    +g978
    +tp7446
    +a(g423
    +Vp19
    +p7447
    +tp7448
    +a(g693
    +g976
    +tp7449
    +a(g826
    +g978
    +tp7450
    +a(g423
    +Vp20
    +p7451
    +tp7452
    +a(g693
    +g976
    +tp7453
    +a(g826
    +g978
    +tp7454
    +a(g423
    +Vp21
    +p7455
    +tp7456
    +a(g693
    +g976
    +tp7457
    +a(g826
    +g978
    +tp7458
    +a(g423
    +Vp22
    +p7459
    +tp7460
    +a(g693
    +g976
    +tp7461
    +a(g826
    +g978
    +tp7462
    +a(g423
    +Vp24
    +p7463
    +tp7464
    +a(g693
    +g976
    +tp7465
    +a(g826
    +g978
    +tp7466
    +a(g423
    +Vi55
    +p7467
    +tp7468
    +a(g693
    +g976
    +tp7469
    +a(g826
    +g978
    +tp7470
    +a(g423
    +VNone
    +p7471
    +tp7472
    +a(g693
    +g976
    +tp7473
    +a(g826
    +g978
    +tp7474
    +a(g423
    +Vf51
    +p7475
    +tp7476
    +a(g693
    +g976
    +tp7477
    +a(g826
    +g978
    +tp7478
    +a(g423
    +VNone
    +p7479
    +tp7480
    +a(g693
    +g976
    +tp7481
    +a(g826
    +g978
    +tp7482
    +a(g423
    +Vi32
    +p7483
    +tp7484
    +a(g693
    +g1098
    +tp7485
    +a(g826
    +V\u000a
    +p7486
    +tp7487
    +a(g616
    +Vdebug_merge_point
    +p7488
    +tp7489
    +a(g693
    +g1104
    +tp7490
    +a(g76
    +V' #167 BINARY_SUBSCR'
    +p7491
    +tp7492
    +a(g693
    +g976
    +tp7493
    +a(g826
    +g978
    +tp7494
    +a(g22
    +g1110
    +tp7495
    +a(g693
    +g1112
    +tp7496
    +a(g826
    +V\u000a
    +p7497
    +tp7498
    +a(g423
    +Vi58
    +p7499
    +tp7500
    +a(g826
    +g978
    +tp7501
    +a(g693
    +g1119
    +tp7502
    +a(g826
    +g978
    +tp7503
    +a(g669
    +Vint_lt
    +p7504
    +tp7505
    +a(g693
    +g1104
    +tp7506
    +a(g423
    +Vi56
    +p7507
    +tp7508
    +a(g693
    +g976
    +tp7509
    +a(g826
    +g978
    +tp7510
    +a(g22
    +g1110
    +tp7511
    +a(g693
    +g1112
    +tp7512
    +a(g826
    +V\u000a
    +p7513
    +tp7514
    +a(g669
    +Vguard_false
    +p7515
    +tp7516
    +a(g693
    +g1104
    +tp7517
    +a(g423
    +Vi58
    +p7518
    +tp7519
    +a(g693
    +g976
    +tp7520
    +a(g826
    +g978
    +tp7521
    +a(g423
    +Vdescr
    +p7522
    +tp7523
    +a(g693
    +g1119
    +tp7524
    +a(g669
    +V
    +p7525
    +tp7526
    +a(g693
    +g1112
    +tp7527
    +a(g826
    +g978
    +tp7528
    +a(g693
    +g972
    +tp7529
    +a(g423
    +Vp1
    +p7530
    +tp7531
    +a(g693
    +g976
    +tp7532
    +a(g826
    +g978
    +tp7533
    +a(g423
    +Vp0
    +p7534
    +tp7535
    +a(g693
    +g976
    +tp7536
    +a(g826
    +g978
    +tp7537
    +a(g423
    +Vp23
    +p7538
    +tp7539
    +a(g693
    +g976
    +tp7540
    +a(g826
    +g978
    +tp7541
    +a(g423
    +Vi56
    +p7542
    +tp7543
    +a(g693
    +g976
    +tp7544
    +a(g826
    +g978
    +tp7545
    +a(g423
    +Vi45
    +p7546
    +tp7547
    +a(g693
    +g976
    +tp7548
    +a(g826
    +g978
    +tp7549
    +a(g423
    +Vp3
    +p7550
    +tp7551
    +a(g693
    +g976
    +tp7552
    +a(g826
    +g978
    +tp7553
    +a(g423
    +Vp5
    +p7554
    +tp7555
    +a(g693
    +g976
    +tp7556
    +a(g826
    +g978
    +tp7557
    +a(g423
    +Vp8
    +p7558
    +tp7559
    +a(g693
    +g976
    +tp7560
    +a(g826
    +g978
    +tp7561
    +a(g423
    +Vp9
    +p7562
    +tp7563
    +a(g693
    +g976
    +tp7564
    +a(g826
    +g978
    +tp7565
    +a(g423
    +Vp13
    +p7566
    +tp7567
    +a(g693
    +g976
    +tp7568
    +a(g826
    +g978
    +tp7569
    +a(g423
    +Vp14
    +p7570
    +tp7571
    +a(g693
    +g976
    +tp7572
    +a(g826
    +g978
    +tp7573
    +a(g423
    +Vp15
    +p7574
    +tp7575
    +a(g693
    +g976
    +tp7576
    +a(g826
    +g978
    +tp7577
    +a(g423
    +Vp16
    +p7578
    +tp7579
    +a(g693
    +g976
    +tp7580
    +a(g826
    +g978
    +tp7581
    +a(g423
    +Vp17
    +p7582
    +tp7583
    +a(g693
    +g976
    +tp7584
    +a(g826
    +g978
    +tp7585
    +a(g423
    +Vp18
    +p7586
    +tp7587
    +a(g693
    +g976
    +tp7588
    +a(g826
    +g978
    +tp7589
    +a(g423
    +Vp19
    +p7590
    +tp7591
    +a(g693
    +g976
    +tp7592
    +a(g826
    +g978
    +tp7593
    +a(g423
    +Vp20
    +p7594
    +tp7595
    +a(g693
    +g976
    +tp7596
    +a(g826
    +g978
    +tp7597
    +a(g423
    +Vp21
    +p7598
    +tp7599
    +a(g693
    +g976
    +tp7600
    +a(g826
    +g978
    +tp7601
    +a(g423
    +Vp22
    +p7602
    +tp7603
    +a(g693
    +g976
    +tp7604
    +a(g826
    +g978
    +tp7605
    +a(g423
    +Vp24
    +p7606
    +tp7607
    +a(g693
    +g976
    +tp7608
    +a(g826
    +g978
    +tp7609
    +a(g423
    +VNone
    +p7610
    +tp7611
    +a(g693
    +g976
    +tp7612
    +a(g826
    +g978
    +tp7613
    +a(g423
    +VNone
    +p7614
    +tp7615
    +a(g693
    +g976
    +tp7616
    +a(g826
    +g978
    +tp7617
    +a(g423
    +Vf51
    +p7618
    +tp7619
    +a(g693
    +g976
    +tp7620
    +a(g826
    +g978
    +tp7621
    +a(g423
    +VNone
    +p7622
    +tp7623
    +a(g693
    +g976
    +tp7624
    +a(g826
    +g978
    +tp7625
    +a(g423
    +Vi32
    +p7626
    +tp7627
    +a(g693
    +g1098
    +tp7628
    +a(g826
    +V\u000a
    +p7629
    +tp7630
    +a(g423
    +Vi59
    +p7631
    +tp7632
    +a(g826
    +g978
    +tp7633
    +a(g693
    +g1119
    +tp7634
    +a(g826
    +g978
    +tp7635
    +a(g669
    +Vint_lt
    +p7636
    +tp7637
    +a(g693
    +g1104
    +tp7638
    +a(g423
    +Vi56
    +p7639
    +tp7640
    +a(g693
    +g976
    +tp7641
    +a(g826
    +g978
    +tp7642
    +a(g423
    +Vi45
    +p7643
    +tp7644
    +a(g693
    +g1112
    +tp7645
    +a(g826
    +V\u000a
    +p7646
    +tp7647
    +a(g669
    +Vguard_true
    +p7648
    +tp7649
    +a(g693
    +g1104
    +tp7650
    +a(g423
    +Vi59
    +p7651
    +tp7652
    +a(g693
    +g976
    +tp7653
    +a(g826
    +g978
    +tp7654
    +a(g423
    +Vdescr
    +p7655
    +tp7656
    +a(g693
    +g1119
    +tp7657
    +a(g669
    +V
    +p7658
    +tp7659
    +a(g693
    +g1112
    +tp7660
    +a(g826
    +g978
    +tp7661
    +a(g693
    +g972
    +tp7662
    +a(g423
    +Vp1
    +p7663
    +tp7664
    +a(g693
    +g976
    +tp7665
    +a(g826
    +g978
    +tp7666
    +a(g423
    +Vp0
    +p7667
    +tp7668
    +a(g693
    +g976
    +tp7669
    +a(g826
    +g978
    +tp7670
    +a(g423
    +Vp23
    +p7671
    +tp7672
    +a(g693
    +g976
    +tp7673
    +a(g826
    +g978
    +tp7674
    +a(g423
    +Vi56
    +p7675
    +tp7676
    +a(g693
    +g976
    +tp7677
    +a(g826
    +g978
    +tp7678
    +a(g423
    +Vp3
    +p7679
    +tp7680
    +a(g693
    +g976
    +tp7681
    +a(g826
    +g978
    +tp7682
    +a(g423
    +Vp5
    +p7683
    +tp7684
    +a(g693
    +g976
    +tp7685
    +a(g826
    +g978
    +tp7686
    +a(g423
    +Vp8
    +p7687
    +tp7688
    +a(g693
    +g976
    +tp7689
    +a(g826
    +g978
    +tp7690
    +a(g423
    +Vp9
    +p7691
    +tp7692
    +a(g693
    +g976
    +tp7693
    +a(g826
    +g978
    +tp7694
    +a(g423
    +Vp13
    +p7695
    +tp7696
    +a(g693
    +g976
    +tp7697
    +a(g826
    +g978
    +tp7698
    +a(g423
    +Vp14
    +p7699
    +tp7700
    +a(g693
    +g976
    +tp7701
    +a(g826
    +g978
    +tp7702
    +a(g423
    +Vp15
    +p7703
    +tp7704
    +a(g693
    +g976
    +tp7705
    +a(g826
    +g978
    +tp7706
    +a(g423
    +Vp16
    +p7707
    +tp7708
    +a(g693
    +g976
    +tp7709
    +a(g826
    +g978
    +tp7710
    +a(g423
    +Vp17
    +p7711
    +tp7712
    +a(g693
    +g976
    +tp7713
    +a(g826
    +g978
    +tp7714
    +a(g423
    +Vp18
    +p7715
    +tp7716
    +a(g693
    +g976
    +tp7717
    +a(g826
    +g978
    +tp7718
    +a(g423
    +Vp19
    +p7719
    +tp7720
    +a(g693
    +g976
    +tp7721
    +a(g826
    +g978
    +tp7722
    +a(g423
    +Vp20
    +p7723
    +tp7724
    +a(g693
    +g976
    +tp7725
    +a(g826
    +g978
    +tp7726
    +a(g423
    +Vp21
    +p7727
    +tp7728
    +a(g693
    +g976
    +tp7729
    +a(g826
    +g978
    +tp7730
    +a(g423
    +Vp22
    +p7731
    +tp7732
    +a(g693
    +g976
    +tp7733
    +a(g826
    +g978
    +tp7734
    +a(g423
    +Vp24
    +p7735
    +tp7736
    +a(g693
    +g976
    +tp7737
    +a(g826
    +g978
    +tp7738
    +a(g423
    +VNone
    +p7739
    +tp7740
    +a(g693
    +g976
    +tp7741
    +a(g826
    +g978
    +tp7742
    +a(g423
    +VNone
    +p7743
    +tp7744
    +a(g693
    +g976
    +tp7745
    +a(g826
    +g978
    +tp7746
    +a(g423
    +Vf51
    +p7747
    +tp7748
    +a(g693
    +g976
    +tp7749
    +a(g826
    +g978
    +tp7750
    +a(g423
    +VNone
    +p7751
    +tp7752
    +a(g693
    +g976
    +tp7753
    +a(g826
    +g978
    +tp7754
    +a(g423
    +Vi32
    +p7755
    +tp7756
    +a(g693
    +g1098
    +tp7757
    +a(g826
    +V\u000a
    +p7758
    +tp7759
    +a(g423
    +Vf60
    +p7760
    +tp7761
    +a(g826
    +g978
    +tp7762
    +a(g693
    +g1119
    +tp7763
    +a(g826
    +g978
    +tp7764
    +a(g669
    +Vgetarrayitem_raw
    +p7765
    +tp7766
    +a(g693
    +g1104
    +tp7767
    +a(g423
    +Vi50
    +p7768
    +tp7769
    +a(g693
    +g976
    +tp7770
    +a(g826
    +g978
    +tp7771
    +a(g423
    +Vi56
    +p7772
    +tp7773
    +a(g693
    +g976
    +tp7774
    +a(g826
    +g978
    +tp7775
    +a(g423
    +Vdescr
    +p7776
    +tp7777
    +a(g693
    +g1119
    +tp7778
    +a(g669
    +V
    +p7779
    +tp7780
    +a(g693
    +g1112
    +tp7781
    +a(g826
    +V\u000a
    +p7782
    +tp7783
    +a(g616
    +Vdebug_merge_point
    +p7784
    +tp7785
    +a(g693
    +g1104
    +tp7786
    +a(g76
    +V' #168 LOAD_FAST'
    +p7787
    +tp7788
    +a(g693
    +g976
    +tp7789
    +a(g826
    +g978
    +tp7790
    +a(g22
    +g1110
    +tp7791
    +a(g693
    +g1112
    +tp7792
    +a(g826
    +V\u000a
    +p7793
    +tp7794
    +a(g616
    +Vdebug_merge_point
    +p7795
    +tp7796
    +a(g693
    +g1104
    +tp7797
    +a(g76
    +V' #171 LOAD_FAST'
    +p7798
    +tp7799
    +a(g693
    +g976
    +tp7800
    +a(g826
    +g978
    +tp7801
    +a(g22
    +g1110
    +tp7802
    +a(g693
    +g1112
    +tp7803
    +a(g826
    +V\u000a
    +p7804
    +tp7805
    +a(g616
    +Vdebug_merge_point
    +p7806
    +tp7807
    +a(g693
    +g1104
    +tp7808
    +a(g76
    +V' #174 LOAD_CONST'
    +p7809
    +tp7810
    +a(g693
    +g976
    +tp7811
    +a(g826
    +g978
    +tp7812
    +a(g22
    +g1110
    +tp7813
    +a(g693
    +g1112
    +tp7814
    +a(g826
    +V\u000a
    +p7815
    +tp7816
    +a(g616
    +Vdebug_merge_point
    +p7817
    +tp7818
    +a(g693
    +g1104
    +tp7819
    +a(g76
    +V' #177 BINARY_ADD'
    +p7820
    +tp7821
    +a(g693
    +g976
    +tp7822
    +a(g826
    +g978
    +tp7823
    +a(g22
    +g1110
    +tp7824
    +a(g693
    +g1112
    +tp7825
    +a(g826
    +V\u000a
    +p7826
    +tp7827
    +a(g423
    +Vi62
    +p7828
    +tp7829
    +a(g826
    +g978
    +tp7830
    +a(g693
    +g1119
    +tp7831
    +a(g826
    +g978
    +tp7832
    +a(g669
    +Vint_add_ovf
    +p7833
    +tp7834
    +a(g693
    +g1104
    +tp7835
    +a(g423
    +Vi41
    +p7836
    +tp7837
    +a(g693
    +g976
    +tp7838
    +a(g826
    +g978
    +tp7839
    +a(g22
    +g1250
    +tp7840
    +a(g693
    +g1112
    +tp7841
    +a(g826
    +V\u000a
    +p7842
    +tp7843
    +a(g669
    +Vguard_no_overflow
    +p7844
    +tp7845
    +a(g693
    +g1104
    +tp7846
    +a(g693
    +g976
    +tp7847
    +a(g826
    +g978
    +tp7848
    +a(g423
    +Vdescr
    +p7849
    +tp7850
    +a(g693
    +g1119
    +tp7851
    +a(g669
    +V
    +p7852
    +tp7853
    +a(g693
    +g1112
    +tp7854
    +a(g826
    +g978
    +tp7855
    +a(g693
    +g972
    +tp7856
    +a(g423
    +Vp1
    +p7857
    +tp7858
    +a(g693
    +g976
    +tp7859
    +a(g826
    +g978
    +tp7860
    +a(g423
    +Vp0
    +p7861
    +tp7862
    +a(g693
    +g976
    +tp7863
    +a(g826
    +g978
    +tp7864
    +a(g423
    +Vp24
    +p7865
    +tp7866
    +a(g693
    +g976
    +tp7867
    +a(g826
    +g978
    +tp7868
    +a(g423
    +Vi62
    +p7869
    +tp7870
    +a(g693
    +g976
    +tp7871
    +a(g826
    +g978
    +tp7872
    +a(g423
    +Vp3
    +p7873
    +tp7874
    +a(g693
    +g976
    +tp7875
    +a(g826
    +g978
    +tp7876
    +a(g423
    +Vp5
    +p7877
    +tp7878
    +a(g693
    +g976
    +tp7879
    +a(g826
    +g978
    +tp7880
    +a(g423
    +Vp8
    +p7881
    +tp7882
    +a(g693
    +g976
    +tp7883
    +a(g826
    +g978
    +tp7884
    +a(g423
    +Vp9
    +p7885
    +tp7886
    +a(g693
    +g976
    +tp7887
    +a(g826
    +g978
    +tp7888
    +a(g423
    +Vp23
    +p7889
    +tp7890
    +a(g693
    +g976
    +tp7891
    +a(g826
    +g978
    +tp7892
    +a(g423
    +Vp14
    +p7893
    +tp7894
    +a(g693
    +g976
    +tp7895
    +a(g826
    +g978
    +tp7896
    +a(g423
    +Vp15
    +p7897
    +tp7898
    +a(g693
    +g976
    +tp7899
    +a(g826
    +g978
    +tp7900
    +a(g423
    +Vp16
    +p7901
    +tp7902
    +a(g693
    +g976
    +tp7903
    +a(g826
    +g978
    +tp7904
    +a(g423
    +Vp17
    +p7905
    +tp7906
    +a(g693
    +g976
    +tp7907
    +a(g826
    +g978
    +tp7908
    +a(g423
    +Vp18
    +p7909
    +tp7910
    +a(g693
    +g976
    +tp7911
    +a(g826
    +g978
    +tp7912
    +a(g423
    +Vp19
    +p7913
    +tp7914
    +a(g693
    +g976
    +tp7915
    +a(g826
    +g978
    +tp7916
    +a(g423
    +Vp20
    +p7917
    +tp7918
    +a(g693
    +g976
    +tp7919
    +a(g826
    +g978
    +tp7920
    +a(g423
    +Vp21
    +p7921
    +tp7922
    +a(g693
    +g976
    +tp7923
    +a(g826
    +g978
    +tp7924
    +a(g423
    +Vp22
    +p7925
    +tp7926
    +a(g693
    +g976
    +tp7927
    +a(g826
    +g978
    +tp7928
    +a(g423
    +Vf60
    +p7929
    +tp7930
    +a(g693
    +g976
    +tp7931
    +a(g826
    +g978
    +tp7932
    +a(g423
    +VNone
    +p7933
    +tp7934
    +a(g693
    +g976
    +tp7935
    +a(g826
    +g978
    +tp7936
    +a(g423
    +VNone
    +p7937
    +tp7938
    +a(g693
    +g976
    +tp7939
    +a(g826
    +g978
    +tp7940
    +a(g423
    +Vf51
    +p7941
    +tp7942
    +a(g693
    +g976
    +tp7943
    +a(g826
    +g978
    +tp7944
    +a(g423
    +VNone
    +p7945
    +tp7946
    +a(g693
    +g976
    +tp7947
    +a(g826
    +g978
    +tp7948
    +a(g423
    +Vi32
    +p7949
    +tp7950
    +a(g693
    +g1098
    +tp7951
    +a(g826
    +V\u000a
    +p7952
    +tp7953
    +a(g616
    +Vdebug_merge_point
    +p7954
    +tp7955
    +a(g693
    +g1104
    +tp7956
    +a(g76
    +V' #178 LOAD_FAST'
    +p7957
    +tp7958
    +a(g693
    +g976
    +tp7959
    +a(g826
    +g978
    +tp7960
    +a(g22
    +g1110
    +tp7961
    +a(g693
    +g1112
    +tp7962
    +a(g826
    +V\u000a
    +p7963
    +tp7964
    +a(g616
    +Vdebug_merge_point
    +p7965
    +tp7966
    +a(g693
    +g1104
    +tp7967
    +a(g76
    +V' #181 BINARY_MULTIPLY'
    +p7968
    +tp7969
    +a(g693
    +g976
    +tp7970
    +a(g826
    +g978
    +tp7971
    +a(g22
    +g1110
    +tp7972
    +a(g693
    +g1112
    +tp7973
    +a(g826
    +V\u000a
    +p7974
    +tp7975
    +a(g423
    +Vi63
    +p7976
    +tp7977
    +a(g826
    +g978
    +tp7978
    +a(g693
    +g1119
    +tp7979
    +a(g826
    +g978
    +tp7980
    +a(g669
    +Vint_mul_ovf
    +p7981
    +tp7982
    +a(g693
    +g1104
    +tp7983
    +a(g423
    +Vi62
    +p7984
    +tp7985
    +a(g693
    +g976
    +tp7986
    +a(g826
    +g978
    +tp7987
    +a(g423
    +Vi42
    +p7988
    +tp7989
    +a(g693
    +g1112
    +tp7990
    +a(g826
    +V\u000a
    +p7991
    +tp7992
    +a(g669
    +Vguard_no_overflow
    +p7993
    +tp7994
    +a(g693
    +g1104
    +tp7995
    +a(g693
    +g976
    +tp7996
    +a(g826
    +g978
    +tp7997
    +a(g423
    +Vdescr
    +p7998
    +tp7999
    +a(g693
    +g1119
    +tp8000
    +a(g669
    +V
    +p8001
    +tp8002
    +a(g693
    +g1112
    +tp8003
    +a(g826
    +g978
    +tp8004
    +a(g693
    +g972
    +tp8005
    +a(g423
    +Vp1
    +p8006
    +tp8007
    +a(g693
    +g976
    +tp8008
    +a(g826
    +g978
    +tp8009
    +a(g423
    +Vp0
    +p8010
    +tp8011
    +a(g693
    +g976
    +tp8012
    +a(g826
    +g978
    +tp8013
    +a(g423
    +Vp21
    +p8014
    +tp8015
    +a(g693
    +g976
    +tp8016
    +a(g826
    +g978
    +tp8017
    +a(g423
    +Vi63
    +p8018
    +tp8019
    +a(g693
    +g976
    +tp8020
    +a(g826
    +g978
    +tp8021
    +a(g423
    +Vp3
    +p8022
    +tp8023
    +a(g693
    +g976
    +tp8024
    +a(g826
    +g978
    +tp8025
    +a(g423
    +Vp5
    +p8026
    +tp8027
    +a(g693
    +g976
    +tp8028
    +a(g826
    +g978
    +tp8029
    +a(g423
    +Vp8
    +p8030
    +tp8031
    +a(g693
    +g976
    +tp8032
    +a(g826
    +g978
    +tp8033
    +a(g423
    +Vp9
    +p8034
    +tp8035
    +a(g693
    +g976
    +tp8036
    +a(g826
    +g978
    +tp8037
    +a(g423
    +Vp23
    +p8038
    +tp8039
    +a(g693
    +g976
    +tp8040
    +a(g826
    +g978
    +tp8041
    +a(g423
    +Vp14
    +p8042
    +tp8043
    +a(g693
    +g976
    +tp8044
    +a(g826
    +g978
    +tp8045
    +a(g423
    +Vp15
    +p8046
    +tp8047
    +a(g693
    +g976
    +tp8048
    +a(g826
    +g978
    +tp8049
    +a(g423
    +Vp16
    +p8050
    +tp8051
    +a(g693
    +g976
    +tp8052
    +a(g826
    +g978
    +tp8053
    +a(g423
    +Vp17
    +p8054
    +tp8055
    +a(g693
    +g976
    +tp8056
    +a(g826
    +g978
    +tp8057
    +a(g423
    +Vp18
    +p8058
    +tp8059
    +a(g693
    +g976
    +tp8060
    +a(g826
    +g978
    +tp8061
    +a(g423
    +Vp19
    +p8062
    +tp8063
    +a(g693
    +g976
    +tp8064
    +a(g826
    +g978
    +tp8065
    +a(g423
    +Vp20
    +p8066
    +tp8067
    +a(g693
    +g976
    +tp8068
    +a(g826
    +g978
    +tp8069
    +a(g423
    +Vp22
    +p8070
    +tp8071
    +a(g693
    +g976
    +tp8072
    +a(g826
    +g978
    +tp8073
    +a(g423
    +Vp24
    +p8074
    +tp8075
    +a(g693
    +g976
    +tp8076
    +a(g826
    +g978
    +tp8077
    +a(g423
    +Vi62
    +p8078
    +tp8079
    +a(g693
    +g976
    +tp8080
    +a(g826
    +g978
    +tp8081
    +a(g423
    +Vf60
    +p8082
    +tp8083
    +a(g693
    +g976
    +tp8084
    +a(g826
    +g978
    +tp8085
    +a(g423
    +VNone
    +p8086
    +tp8087
    +a(g693
    +g976
    +tp8088
    +a(g826
    +g978
    +tp8089
    +a(g423
    +VNone
    +p8090
    +tp8091
    +a(g693
    +g976
    +tp8092
    +a(g826
    +g978
    +tp8093
    +a(g423
    +Vf51
    +p8094
    +tp8095
    +a(g693
    +g976
    +tp8096
    +a(g826
    +g978
    +tp8097
    +a(g423
    +VNone
    +p8098
    +tp8099
    +a(g693
    +g976
    +tp8100
    +a(g826
    +g978
    +tp8101
    +a(g423
    +Vi32
    +p8102
    +tp8103
    +a(g693
    +g1098
    +tp8104
    +a(g826
    +V\u000a
    +p8105
    +tp8106
    +a(g616
    +Vdebug_merge_point
    +p8107
    +tp8108
    +a(g693
    +g1104
    +tp8109
    +a(g76
    +V' #182 LOAD_FAST'
    +p8110
    +tp8111
    +a(g693
    +g976
    +tp8112
    +a(g826
    +g978
    +tp8113
    +a(g22
    +g1110
    +tp8114
    +a(g693
    +g1112
    +tp8115
    +a(g826
    +V\u000a
    +p8116
    +tp8117
    +a(g616
    +Vdebug_merge_point
    +p8118
    +tp8119
    +a(g693
    +g1104
    +tp8120
    +a(g76
    +V' #185 BINARY_ADD'
    +p8121
    +tp8122
    +a(g693
    +g976
    +tp8123
    +a(g826
    +g978
    +tp8124
    +a(g22
    +g1110
    +tp8125
    +a(g693
    +g1112
    +tp8126
    +a(g826
    +V\u000a
    +p8127
    +tp8128
    +a(g423
    +Vi64
    +p8129
    +tp8130
    +a(g826
    +g978
    +tp8131
    +a(g693
    +g1119
    +tp8132
    +a(g826
    +g978
    +tp8133
    +a(g669
    +Vint_add_ovf
    +p8134
    +tp8135
    +a(g693
    +g1104
    +tp8136
    +a(g423
    +Vi63
    +p8137
    +tp8138
    +a(g693
    +g976
    +tp8139
    +a(g826
    +g978
    +tp8140
    +a(g423
    +Vi32
    +p8141
    +tp8142
    +a(g693
    +g1112
    +tp8143
    +a(g826
    +V\u000a
    +p8144
    +tp8145
    +a(g669
    +Vguard_no_overflow
    +p8146
    +tp8147
    +a(g693
    +g1104
    +tp8148
    +a(g693
    +g976
    +tp8149
    +a(g826
    +g978
    +tp8150
    +a(g423
    +Vdescr
    +p8151
    +tp8152
    +a(g693
    +g1119
    +tp8153
    +a(g669
    +V
    +p8154
    +tp8155
    +a(g693
    +g1112
    +tp8156
    +a(g826
    +g978
    +tp8157
    +a(g693
    +g972
    +tp8158
    +a(g423
    +Vp1
    +p8159
    +tp8160
    +a(g693
    +g976
    +tp8161
    +a(g826
    +g978
    +tp8162
    +a(g423
    +Vp0
    +p8163
    +tp8164
    +a(g693
    +g976
    +tp8165
    +a(g826
    +g978
    +tp8166
    +a(g423
    +Vi64
    +p8167
    +tp8168
    +a(g693
    +g976
    +tp8169
    +a(g826
    +g978
    +tp8170
    +a(g423
    +Vp3
    +p8171
    +tp8172
    +a(g693
    +g976
    +tp8173
    +a(g826
    +g978
    +tp8174
    +a(g423
    +Vp5
    +p8175
    +tp8176
    +a(g693
    +g976
    +tp8177
    +a(g826
    +g978
    +tp8178
    +a(g423
    +Vp8
    +p8179
    +tp8180
    +a(g693
    +g976
    +tp8181
    +a(g826
    +g978
    +tp8182
    +a(g423
    +Vp9
    +p8183
    +tp8184
    +a(g693
    +g976
    +tp8185
    +a(g826
    +g978
    +tp8186
    +a(g423
    +Vp23
    +p8187
    +tp8188
    +a(g693
    +g976
    +tp8189
    +a(g826
    +g978
    +tp8190
    +a(g423
    +Vp14
    +p8191
    +tp8192
    +a(g693
    +g976
    +tp8193
    +a(g826
    +g978
    +tp8194
    +a(g423
    +Vp15
    +p8195
    +tp8196
    +a(g693
    +g976
    +tp8197
    +a(g826
    +g978
    +tp8198
    +a(g423
    +Vp16
    +p8199
    +tp8200
    +a(g693
    +g976
    +tp8201
    +a(g826
    +g978
    +tp8202
    +a(g423
    +Vp17
    +p8203
    +tp8204
    +a(g693
    +g976
    +tp8205
    +a(g826
    +g978
    +tp8206
    +a(g423
    +Vp18
    +p8207
    +tp8208
    +a(g693
    +g976
    +tp8209
    +a(g826
    +g978
    +tp8210
    +a(g423
    +Vp19
    +p8211
    +tp8212
    +a(g693
    +g976
    +tp8213
    +a(g826
    +g978
    +tp8214
    +a(g423
    +Vp20
    +p8215
    +tp8216
    +a(g693
    +g976
    +tp8217
    +a(g826
    +g978
    +tp8218
    +a(g423
    +Vp21
    +p8219
    +tp8220
    +a(g693
    +g976
    +tp8221
    +a(g826
    +g978
    +tp8222
    +a(g423
    +Vp22
    +p8223
    +tp8224
    +a(g693
    +g976
    +tp8225
    +a(g826
    +g978
    +tp8226
    +a(g423
    +Vp24
    +p8227
    +tp8228
    +a(g693
    +g976
    +tp8229
    +a(g826
    +g978
    +tp8230
    +a(g423
    +Vi63
    +p8231
    +tp8232
    +a(g693
    +g976
    +tp8233
    +a(g826
    +g978
    +tp8234
    +a(g423
    +VNone
    +p8235
    +tp8236
    +a(g693
    +g976
    +tp8237
    +a(g826
    +g978
    +tp8238
    +a(g423
    +Vf60
    +p8239
    +tp8240
    +a(g693
    +g976
    +tp8241
    +a(g826
    +g978
    +tp8242
    +a(g423
    +VNone
    +p8243
    +tp8244
    +a(g693
    +g976
    +tp8245
    +a(g826
    +g978
    +tp8246
    +a(g423
    +VNone
    +p8247
    +tp8248
    +a(g693
    +g976
    +tp8249
    +a(g826
    +g978
    +tp8250
    +a(g423
    +Vf51
    +p8251
    +tp8252
    +a(g693
    +g976
    +tp8253
    +a(g826
    +g978
    +tp8254
    +a(g423
    +VNone
    +p8255
    +tp8256
    +a(g693
    +g976
    +tp8257
    +a(g826
    +g978
    +tp8258
    +a(g423
    +Vi32
    +p8259
    +tp8260
    +a(g693
    +g1098
    +tp8261
    +a(g826
    +V\u000a
    +p8262
    +tp8263
    +a(g616
    +Vdebug_merge_point
    +p8264
    +tp8265
    +a(g693
    +g1104
    +tp8266
    +a(g76
    +V' #186 BINARY_SUBSCR'
    +p8267
    +tp8268
    +a(g693
    +g976
    +tp8269
    +a(g826
    +g978
    +tp8270
    +a(g22
    +g1110
    +tp8271
    +a(g693
    +g1112
    +tp8272
    +a(g826
    +V\u000a
    +p8273
    +tp8274
    +a(g423
    +Vi66
    +p8275
    +tp8276
    +a(g826
    +g978
    +tp8277
    +a(g693
    +g1119
    +tp8278
    +a(g826
    +g978
    +tp8279
    +a(g669
    +Vint_lt
    +p8280
    +tp8281
    +a(g693
    +g1104
    +tp8282
    +a(g423
    +Vi64
    +p8283
    +tp8284
    +a(g693
    +g976
    +tp8285
    +a(g826
    +g978
    +tp8286
    +a(g22
    +g1110
    +tp8287
    +a(g693
    +g1112
    +tp8288
    +a(g826
    +V\u000a
    +p8289
    +tp8290
    +a(g669
    +Vguard_false
    +p8291
    +tp8292
    +a(g693
    +g1104
    +tp8293
    +a(g423
    +Vi66
    +p8294
    +tp8295
    +a(g693
    +g976
    +tp8296
    +a(g826
    +g978
    +tp8297
    +a(g423
    +Vdescr
    +p8298
    +tp8299
    +a(g693
    +g1119
    +tp8300
    +a(g669
    +V
    +p8301
    +tp8302
    +a(g693
    +g1112
    +tp8303
    +a(g826
    +g978
    +tp8304
    +a(g693
    +g972
    +tp8305
    +a(g423
    +Vp1
    +p8306
    +tp8307
    +a(g693
    +g976
    +tp8308
    +a(g826
    +g978
    +tp8309
    +a(g423
    +Vp0
    +p8310
    +tp8311
    +a(g693
    +g976
    +tp8312
    +a(g826
    +g978
    +tp8313
    +a(g423
    +Vp23
    +p8314
    +tp8315
    +a(g693
    +g976
    +tp8316
    +a(g826
    +g978
    +tp8317
    +a(g423
    +Vi64
    +p8318
    +tp8319
    +a(g693
    +g976
    +tp8320
    +a(g826
    +g978
    +tp8321
    +a(g423
    +Vi45
    +p8322
    +tp8323
    +a(g693
    +g976
    +tp8324
    +a(g826
    +g978
    +tp8325
    +a(g423
    +Vp3
    +p8326
    +tp8327
    +a(g693
    +g976
    +tp8328
    +a(g826
    +g978
    +tp8329
    +a(g423
    +Vp5
    +p8330
    +tp8331
    +a(g693
    +g976
    +tp8332
    +a(g826
    +g978
    +tp8333
    +a(g423
    +Vp8
    +p8334
    +tp8335
    +a(g693
    +g976
    +tp8336
    +a(g826
    +g978
    +tp8337
    +a(g423
    +Vp9
    +p8338
    +tp8339
    +a(g693
    +g976
    +tp8340
    +a(g826
    +g978
    +tp8341
    +a(g423
    +Vp14
    +p8342
    +tp8343
    +a(g693
    +g976
    +tp8344
    +a(g826
    +g978
    +tp8345
    +a(g423
    +Vp15
    +p8346
    +tp8347
    +a(g693
    +g976
    +tp8348
    +a(g826
    +g978
    +tp8349
    +a(g423
    +Vp16
    +p8350
    +tp8351
    +a(g693
    +g976
    +tp8352
    +a(g826
    +g978
    +tp8353
    +a(g423
    +Vp17
    +p8354
    +tp8355
    +a(g693
    +g976
    +tp8356
    +a(g826
    +g978
    +tp8357
    +a(g423
    +Vp18
    +p8358
    +tp8359
    +a(g693
    +g976
    +tp8360
    +a(g826
    +g978
    +tp8361
    +a(g423
    +Vp19
    +p8362
    +tp8363
    +a(g693
    +g976
    +tp8364
    +a(g826
    +g978
    +tp8365
    +a(g423
    +Vp20
    +p8366
    +tp8367
    +a(g693
    +g976
    +tp8368
    +a(g826
    +g978
    +tp8369
    +a(g423
    +Vp21
    +p8370
    +tp8371
    +a(g693
    +g976
    +tp8372
    +a(g826
    +g978
    +tp8373
    +a(g423
    +Vp22
    +p8374
    +tp8375
    +a(g693
    +g976
    +tp8376
    +a(g826
    +g978
    +tp8377
    +a(g423
    +Vp24
    +p8378
    +tp8379
    +a(g693
    +g976
    +tp8380
    +a(g826
    +g978
    +tp8381
    +a(g423
    +VNone
    +p8382
    +tp8383
    +a(g693
    +g976
    +tp8384
    +a(g826
    +g978
    +tp8385
    +a(g423
    +VNone
    +p8386
    +tp8387
    +a(g693
    +g976
    +tp8388
    +a(g826
    +g978
    +tp8389
    +a(g423
    +Vf60
    +p8390
    +tp8391
    +a(g693
    +g976
    +tp8392
    +a(g826
    +g978
    +tp8393
    +a(g423
    +VNone
    +p8394
    +tp8395
    +a(g693
    +g976
    +tp8396
    +a(g826
    +g978
    +tp8397
    +a(g423
    +VNone
    +p8398
    +tp8399
    +a(g693
    +g976
    +tp8400
    +a(g826
    +g978
    +tp8401
    +a(g423
    +Vf51
    +p8402
    +tp8403
    +a(g693
    +g976
    +tp8404
    +a(g826
    +g978
    +tp8405
    +a(g423
    +VNone
    +p8406
    +tp8407
    +a(g693
    +g976
    +tp8408
    +a(g826
    +g978
    +tp8409
    +a(g423
    +Vi32
    +p8410
    +tp8411
    +a(g693
    +g1098
    +tp8412
    +a(g826
    +V\u000a
    +p8413
    +tp8414
    +a(g423
    +Vi67
    +p8415
    +tp8416
    +a(g826
    +g978
    +tp8417
    +a(g693
    +g1119
    +tp8418
    +a(g826
    +g978
    +tp8419
    +a(g669
    +Vint_lt
    +p8420
    +tp8421
    +a(g693
    +g1104
    +tp8422
    +a(g423
    +Vi64
    +p8423
    +tp8424
    +a(g693
    +g976
    +tp8425
    +a(g826
    +g978
    +tp8426
    +a(g423
    +Vi45
    +p8427
    +tp8428
    +a(g693
    +g1112
    +tp8429
    +a(g826
    +V\u000a
    +p8430
    +tp8431
    +a(g669
    +Vguard_true
    +p8432
    +tp8433
    +a(g693
    +g1104
    +tp8434
    +a(g423
    +Vi67
    +p8435
    +tp8436
    +a(g693
    +g976
    +tp8437
    +a(g826
    +g978
    +tp8438
    +a(g423
    +Vdescr
    +p8439
    +tp8440
    +a(g693
    +g1119
    +tp8441
    +a(g669
    +V
    +p8442
    +tp8443
    +a(g693
    +g1112
    +tp8444
    +a(g826
    +g978
    +tp8445
    +a(g693
    +g972
    +tp8446
    +a(g423
    +Vp1
    +p8447
    +tp8448
    +a(g693
    +g976
    +tp8449
    +a(g826
    +g978
    +tp8450
    +a(g423
    +Vp0
    +p8451
    +tp8452
    +a(g693
    +g976
    +tp8453
    +a(g826
    +g978
    +tp8454
    +a(g423
    +Vp23
    +p8455
    +tp8456
    +a(g693
    +g976
    +tp8457
    +a(g826
    +g978
    +tp8458
    +a(g423
    +Vi64
    +p8459
    +tp8460
    +a(g693
    +g976
    +tp8461
    +a(g826
    +g978
    +tp8462
    +a(g423
    +Vp3
    +p8463
    +tp8464
    +a(g693
    +g976
    +tp8465
    +a(g826
    +g978
    +tp8466
    +a(g423
    +Vp5
    +p8467
    +tp8468
    +a(g693
    +g976
    +tp8469
    +a(g826
    +g978
    +tp8470
    +a(g423
    +Vp8
    +p8471
    +tp8472
    +a(g693
    +g976
    +tp8473
    +a(g826
    +g978
    +tp8474
    +a(g423
    +Vp9
    +p8475
    +tp8476
    +a(g693
    +g976
    +tp8477
    +a(g826
    +g978
    +tp8478
    +a(g423
    +Vp14
    +p8479
    +tp8480
    +a(g693
    +g976
    +tp8481
    +a(g826
    +g978
    +tp8482
    +a(g423
    +Vp15
    +p8483
    +tp8484
    +a(g693
    +g976
    +tp8485
    +a(g826
    +g978
    +tp8486
    +a(g423
    +Vp16
    +p8487
    +tp8488
    +a(g693
    +g976
    +tp8489
    +a(g826
    +g978
    +tp8490
    +a(g423
    +Vp17
    +p8491
    +tp8492
    +a(g693
    +g976
    +tp8493
    +a(g826
    +g978
    +tp8494
    +a(g423
    +Vp18
    +p8495
    +tp8496
    +a(g693
    +g976
    +tp8497
    +a(g826
    +g978
    +tp8498
    +a(g423
    +Vp19
    +p8499
    +tp8500
    +a(g693
    +g976
    +tp8501
    +a(g826
    +g978
    +tp8502
    +a(g423
    +Vp20
    +p8503
    +tp8504
    +a(g693
    +g976
    +tp8505
    +a(g826
    +g978
    +tp8506
    +a(g423
    +Vp21
    +p8507
    +tp8508
    +a(g693
    +g976
    +tp8509
    +a(g826
    +g978
    +tp8510
    +a(g423
    +Vp22
    +p8511
    +tp8512
    +a(g693
    +g976
    +tp8513
    +a(g826
    +g978
    +tp8514
    +a(g423
    +Vp24
    +p8515
    +tp8516
    +a(g693
    +g976
    +tp8517
    +a(g826
    +g978
    +tp8518
    +a(g423
    +VNone
    +p8519
    +tp8520
    +a(g693
    +g976
    +tp8521
    +a(g826
    +g978
    +tp8522
    +a(g423
    +VNone
    +p8523
    +tp8524
    +a(g693
    +g976
    +tp8525
    +a(g826
    +g978
    +tp8526
    +a(g423
    +Vf60
    +p8527
    +tp8528
    +a(g693
    +g976
    +tp8529
    +a(g826
    +g978
    +tp8530
    +a(g423
    +VNone
    +p8531
    +tp8532
    +a(g693
    +g976
    +tp8533
    +a(g826
    +g978
    +tp8534
    +a(g423
    +VNone
    +p8535
    +tp8536
    +a(g693
    +g976
    +tp8537
    +a(g826
    +g978
    +tp8538
    +a(g423
    +Vf51
    +p8539
    +tp8540
    +a(g693
    +g976
    +tp8541
    +a(g826
    +g978
    +tp8542
    +a(g423
    +VNone
    +p8543
    +tp8544
    +a(g693
    +g976
    +tp8545
    +a(g826
    +g978
    +tp8546
    +a(g423
    +Vi32
    +p8547
    +tp8548
    +a(g693
    +g1098
    +tp8549
    +a(g826
    +V\u000a
    +p8550
    +tp8551
    +a(g423
    +Vf68
    +p8552
    +tp8553
    +a(g826
    +g978
    +tp8554
    +a(g693
    +g1119
    +tp8555
    +a(g826
    +g978
    +tp8556
    +a(g669
    +Vgetarrayitem_raw
    +p8557
    +tp8558
    +a(g693
    +g1104
    +tp8559
    +a(g423
    +Vi50
    +p8560
    +tp8561
    +a(g693
    +g976
    +tp8562
    +a(g826
    +g978
    +tp8563
    +a(g423
    +Vi64
    +p8564
    +tp8565
    +a(g693
    +g976
    +tp8566
    +a(g826
    +g978
    +tp8567
    +a(g423
    +Vdescr
    +p8568
    +tp8569
    +a(g693
    +g1119
    +tp8570
    +a(g669
    +V
    +p8571
    +tp8572
    +a(g693
    +g1112
    +tp8573
    +a(g826
    +V\u000a
    +p8574
    +tp8575
    +a(g616
    +Vdebug_merge_point
    +p8576
    +tp8577
    +a(g693
    +g1104
    +tp8578
    +a(g76
    +V' #187 BINARY_ADD'
    +p8579
    +tp8580
    +a(g693
    +g976
    +tp8581
    +a(g826
    +g978
    +tp8582
    +a(g22
    +g1110
    +tp8583
    +a(g693
    +g1112
    +tp8584
    +a(g826
    +V\u000a
    +p8585
    +tp8586
    +a(g423
    +Vf69
    +p8587
    +tp8588
    +a(g826
    +g978
    +tp8589
    +a(g693
    +g1119
    +tp8590
    +a(g826
    +g978
    +tp8591
    +a(g669
    +Vfloat_add
    +p8592
    +tp8593
    +a(g693
    +g1104
    +tp8594
    +a(g423
    +Vf60
    +p8595
    +tp8596
    +a(g693
    +g976
    +tp8597
    +a(g826
    +g978
    +tp8598
    +a(g423
    +Vf68
    +p8599
    +tp8600
    +a(g693
    +g1112
    +tp8601
    +a(g826
    +V\u000a
    +p8602
    +tp8603
    +a(g616
    +Vdebug_merge_point
    +p8604
    +tp8605
    +a(g693
    +g1104
    +tp8606
    +a(g76
    +V' #188 LOAD_FAST'
    +p8607
    +tp8608
    +a(g693
    +g976
    +tp8609
    +a(g826
    +g978
    +tp8610
    +a(g22
    +g1110
    +tp8611
    +a(g693
    +g1112
    +tp8612
    +a(g826
    +V\u000a
    +p8613
    +tp8614
    +a(g669
    +Vguard_nonnull_class
    +p8615
    +tp8616
    +a(g693
    +g1104
    +tp8617
    +a(g423
    +Vp18
    +p8618
    +tp8619
    +a(g693
    +g976
    +tp8620
    +a(g826
    +g978
    +tp8621
    +a(g22
    +V19800744
    +p8622
    +tp8623
    +a(g693
    +g976
    +tp8624
    +a(g826
    +g978
    +tp8625
    +a(g423
    +Vdescr
    +p8626
    +tp8627
    +a(g693
    +g1119
    +tp8628
    +a(g669
    +V
    +p8629
    +tp8630
    +a(g693
    +g1112
    +tp8631
    +a(g826
    +g978
    +tp8632
    +a(g693
    +g972
    +tp8633
    +a(g423
    +Vp1
    +p8634
    +tp8635
    +a(g693
    +g976
    +tp8636
    +a(g826
    +g978
    +tp8637
    +a(g423
    +Vp0
    +p8638
    +tp8639
    +a(g693
    +g976
    +tp8640
    +a(g826
    +g978
    +tp8641
    +a(g423
    +Vp18
    +p8642
    +tp8643
    +a(g693
    +g976
    +tp8644
    +a(g826
    +g978
    +tp8645
    +a(g423
    +Vp3
    +p8646
    +tp8647
    +a(g693
    +g976
    +tp8648
    +a(g826
    +g978
    +tp8649
    +a(g423
    +Vp5
    +p8650
    +tp8651
    +a(g693
    +g976
    +tp8652
    +a(g826
    +g978
    +tp8653
    +a(g423
    +Vp8
    +p8654
    +tp8655
    +a(g693
    +g976
    +tp8656
    +a(g826
    +g978
    +tp8657
    +a(g423
    +Vp9
    +p8658
    +tp8659
    +a(g693
    +g976
    +tp8660
    +a(g826
    +g978
    +tp8661
    +a(g423
    +Vp14
    +p8662
    +tp8663
    +a(g693
    +g976
    +tp8664
    +a(g826
    +g978
    +tp8665
    +a(g423
    +Vp15
    +p8666
    +tp8667
    +a(g693
    +g976
    +tp8668
    +a(g826
    +g978
    +tp8669
    +a(g423
    +Vp16
    +p8670
    +tp8671
    +a(g693
    +g976
    +tp8672
    +a(g826
    +g978
    +tp8673
    +a(g423
    +Vp17
    +p8674
    +tp8675
    +a(g693
    +g976
    +tp8676
    +a(g826
    +g978
    +tp8677
    +a(g423
    +Vp19
    +p8678
    +tp8679
    +a(g693
    +g976
    +tp8680
    +a(g826
    +g978
    +tp8681
    +a(g423
    +Vp20
    +p8682
    +tp8683
    +a(g693
    +g976
    +tp8684
    +a(g826
    +g978
    +tp8685
    +a(g423
    +Vp21
    +p8686
    +tp8687
    +a(g693
    +g976
    +tp8688
    +a(g826
    +g978
    +tp8689
    +a(g423
    +Vp22
    +p8690
    +tp8691
    +a(g693
    +g976
    +tp8692
    +a(g826
    +g978
    +tp8693
    +a(g423
    +Vp23
    +p8694
    +tp8695
    +a(g693
    +g976
    +tp8696
    +a(g826
    +g978
    +tp8697
    +a(g423
    +Vp24
    +p8698
    +tp8699
    +a(g693
    +g976
    +tp8700
    +a(g826
    +g978
    +tp8701
    +a(g423
    +Vf69
    +p8702
    +tp8703
    +a(g693
    +g976
    +tp8704
    +a(g826
    +g978
    +tp8705
    +a(g423
    +VNone
    +p8706
    +tp8707
    +a(g693
    +g976
    +tp8708
    +a(g826
    +g978
    +tp8709
    +a(g423
    +VNone
    +p8710
    +tp8711
    +a(g693
    +g976
    +tp8712
    +a(g826
    +g978
    +tp8713
    +a(g423
    +VNone
    +p8714
    +tp8715
    +a(g693
    +g976
    +tp8716
    +a(g826
    +g978
    +tp8717
    +a(g423
    +VNone
    +p8718
    +tp8719
    +a(g693
    +g976
    +tp8720
    +a(g826
    +g978
    +tp8721
    +a(g423
    +VNone
    +p8722
    +tp8723
    +a(g693
    +g976
    +tp8724
    +a(g826
    +g978
    +tp8725
    +a(g423
    +Vf51
    +p8726
    +tp8727
    +a(g693
    +g976
    +tp8728
    +a(g826
    +g978
    +tp8729
    +a(g423
    +VNone
    +p8730
    +tp8731
    +a(g693
    +g976
    +tp8732
    +a(g826
    +g978
    +tp8733
    +a(g423
    +Vi32
    +p8734
    +tp8735
    +a(g693
    +g1098
    +tp8736
    +a(g826
    +V\u000a
    +p8737
    +tp8738
    +a(g616
    +Vdebug_merge_point
    +p8739
    +tp8740
    +a(g693
    +g1104
    +tp8741
    +a(g76
    +V' #191 BINARY_MULTIPLY'
    +p8742
    +tp8743
    +a(g693
    +g976
    +tp8744
    +a(g826
    +g978
    +tp8745
    +a(g22
    +g1110
    +tp8746
    +a(g693
    +g1112
    +tp8747
    +a(g826
    +V\u000a
    +p8748
    +tp8749
    +a(g423
    +Vf71
    +p8750
    +tp8751
    +a(g826
    +g978
    +tp8752
    +a(g693
    +g1119
    +tp8753
    +a(g826
    +g978
    +tp8754
    +a(g669
    +Vgetfield_gc_pure
    +p8755
    +tp8756
    +a(g693
    +g1104
    +tp8757
    +a(g423
    +Vp18
    +p8758
    +tp8759
    +a(g693
    +g976
    +tp8760
    +a(g826
    +g978
    +tp8761
    +a(g423
    +Vdescr
    +p8762
    +tp8763
    +a(g693
    +g1119
    +tp8764
    +a(g669
    +V
    +p8765
    +tp8766
    +a(g693
    +g1112
    +tp8767
    +a(g826
    +V\u000a
    +p8768
    +tp8769
    +a(g423
    +Vf72
    +p8770
    +tp8771
    +a(g826
    +g978
    +tp8772
    +a(g693
    +g1119
    +tp8773
    +a(g826
    +g978
    +tp8774
    +a(g669
    +Vfloat_mul
    +p8775
    +tp8776
    +a(g693
    +g1104
    +tp8777
    +a(g423
    +Vf69
    +p8778
    +tp8779
    +a(g693
    +g976
    +tp8780
    +a(g826
    +g978
    +tp8781
    +a(g423
    +Vf71
    +p8782
    +tp8783
    +a(g693
    +g1112
    +tp8784
    +a(g826
    +V\u000a
    +p8785
    +tp8786
    +a(g616
    +Vdebug_merge_point
    +p8787
    +tp8788
    +a(g693
    +g1104
    +tp8789
    +a(g76
    +V' #192 LOAD_FAST'
    +p8790
    +tp8791
    +a(g693
    +g976
    +tp8792
    +a(g826
    +g978
    +tp8793
    +a(g22
    +g1110
    +tp8794
    +a(g693
    +g1112
    +tp8795
    +a(g826
    +V\u000a
    +p8796
    +tp8797
    +a(g616
    +Vdebug_merge_point
    +p8798
    +tp8799
    +a(g693
    +g1104
    +tp8800
    +a(g76
    +V' #195 LOAD_FAST'
    +p8801
    +tp8802
    +a(g693
    +g976
    +tp8803
    +a(g826
    +g978
    +tp8804
    +a(g22
    +g1110
    +tp8805
    +a(g693
    +g1112
    +tp8806
    +a(g826
    +V\u000a
    +p8807
    +tp8808
    +a(g616
    +Vdebug_merge_point
    +p8809
    +tp8810
    +a(g693
    +g1104
    +tp8811
    +a(g76
    +V' #198 LOAD_FAST'
    +p8812
    +tp8813
    +a(g693
    +g976
    +tp8814
    +a(g826
    +g978
    +tp8815
    +a(g22
    +g1110
    +tp8816
    +a(g693
    +g1112
    +tp8817
    +a(g826
    +V\u000a
    +p8818
    +tp8819
    +a(g616
    +Vdebug_merge_point
    +p8820
    +tp8821
    +a(g693
    +g1104
    +tp8822
    +a(g76
    +V' #201 BINARY_MULTIPLY'
    +p8823
    +tp8824
    +a(g693
    +g976
    +tp8825
    +a(g826
    +g978
    +tp8826
    +a(g22
    +g1110
    +tp8827
    +a(g693
    +g1112
    +tp8828
    +a(g826
    +V\u000a
    +p8829
    +tp8830
    +a(g616
    +Vdebug_merge_point
    +p8831
    +tp8832
    +a(g693
    +g1104
    +tp8833
    +a(g76
    +V' #202 LOAD_FAST'
    +p8834
    +tp8835
    +a(g693
    +g976
    +tp8836
    +a(g826
    +g978
    +tp8837
    +a(g22
    +g1110
    +tp8838
    +a(g693
    +g1112
    +tp8839
    +a(g826
    +V\u000a
    +p8840
    +tp8841
    +a(g616
    +Vdebug_merge_point
    +p8842
    +tp8843
    +a(g693
    +g1104
    +tp8844
    +a(g76
    +V' #205 BINARY_ADD'
    +p8845
    +tp8846
    +a(g693
    +g976
    +tp8847
    +a(g826
    +g978
    +tp8848
    +a(g22
    +g1110
    +tp8849
    +a(g693
    +g1112
    +tp8850
    +a(g826
    +V\u000a
    +p8851
    +tp8852
    +a(g616
    +Vdebug_merge_point
    +p8853
    +tp8854
    +a(g693
    +g1104
    +tp8855
    +a(g76
    +V' #206 LOAD_CONST'
    +p8856
    +tp8857
    +a(g693
    +g976
    +tp8858
    +a(g826
    +g978
    +tp8859
    +a(g22
    +g1110
    +tp8860
    +a(g693
    +g1112
    +tp8861
    +a(g826
    +V\u000a
    +p8862
    +tp8863
    +a(g616
    +Vdebug_merge_point
    +p8864
    +tp8865
    +a(g693
    +g1104
    +tp8866
    +a(g76
    +V' #209 BINARY_SUBTRACT'
    +p8867
    +tp8868
    +a(g693
    +g976
    +tp8869
    +a(g826
    +g978
    +tp8870
    +a(g22
    +g1110
    +tp8871
    +a(g693
    +g1112
    +tp8872
    +a(g826
    +V\u000a
    +p8873
    +tp8874
    +a(g423
    +Vi74
    +p8875
    +tp8876
    +a(g826
    +g978
    +tp8877
    +a(g693
    +g1119
    +tp8878
    +a(g826
    +g978
    +tp8879
    +a(g669
    +Vint_sub
    +p8880
    +tp8881
    +a(g693
    +g1104
    +tp8882
    +a(g423
    +Vi44
    +p8883
    +tp8884
    +a(g693
    +g976
    +tp8885
    +a(g826
    +g978
    +tp8886
    +a(g22
    +g1250
    +tp8887
    +a(g693
    +g1112
    +tp8888
    +a(g826
    +V\u000a
    +p8889
    +tp8890
    +a(g616
    +Vdebug_merge_point
    +p8891
    +tp8892
    +a(g693
    +g1104
    +tp8893
    +a(g76
    +V' #210 BINARY_SUBSCR'
    +p8894
    +tp8895
    +a(g693
    +g976
    +tp8896
    +a(g826
    +g978
    +tp8897
    +a(g22
    +g1110
    +tp8898
    +a(g693
    +g1112
    +tp8899
    +a(g826
    +V\u000a
    +p8900
    +tp8901
    +a(g423
    +Vi76
    +p8902
    +tp8903
    +a(g826
    +g978
    +tp8904
    +a(g693
    +g1119
    +tp8905
    +a(g826
    +g978
    +tp8906
    +a(g669
    +Vint_lt
    +p8907
    +tp8908
    +a(g693
    +g1104
    +tp8909
    +a(g423
    +Vi74
    +p8910
    +tp8911
    +a(g693
    +g976
    +tp8912
    +a(g826
    +g978
    +tp8913
    +a(g22
    +g1110
    +tp8914
    +a(g693
    +g1112
    +tp8915
    +a(g826
    +V\u000a
    +p8916
    +tp8917
    +a(g669
    +Vguard_false
    +p8918
    +tp8919
    +a(g693
    +g1104
    +tp8920
    +a(g423
    +Vi76
    +p8921
    +tp8922
    +a(g693
    +g976
    +tp8923
    +a(g826
    +g978
    +tp8924
    +a(g423
    +Vdescr
    +p8925
    +tp8926
    +a(g693
    +g1119
    +tp8927
    +a(g669
    +V
    +p8928
    +tp8929
    +a(g693
    +g1112
    +tp8930
    +a(g826
    +g978
    +tp8931
    +a(g693
    +g972
    +tp8932
    +a(g423
    +Vp1
    +p8933
    +tp8934
    +a(g693
    +g976
    +tp8935
    +a(g826
    +g978
    +tp8936
    +a(g423
    +Vp0
    +p8937
    +tp8938
    +a(g693
    +g976
    +tp8939
    +a(g826
    +g978
    +tp8940
    +a(g423
    +Vp23
    +p8941
    +tp8942
    +a(g693
    +g976
    +tp8943
    +a(g826
    +g978
    +tp8944
    +a(g423
    +Vi74
    +p8945
    +tp8946
    +a(g693
    +g976
    +tp8947
    +a(g826
    +g978
    +tp8948
    +a(g423
    +Vi45
    +p8949
    +tp8950
    +a(g693
    +g976
    +tp8951
    +a(g826
    +g978
    +tp8952
    +a(g423
    +Vp3
    +p8953
    +tp8954
    +a(g693
    +g976
    +tp8955
    +a(g826
    +g978
    +tp8956
    +a(g423
    +Vp5
    +p8957
    +tp8958
    +a(g693
    +g976
    +tp8959
    +a(g826
    +g978
    +tp8960
    +a(g423
    +Vp8
    +p8961
    +tp8962
    +a(g693
    +g976
    +tp8963
    +a(g826
    +g978
    +tp8964
    +a(g423
    +Vp9
    +p8965
    +tp8966
    +a(g693
    +g976
    +tp8967
    +a(g826
    +g978
    +tp8968
    +a(g423
    +Vp14
    +p8969
    +tp8970
    +a(g693
    +g976
    +tp8971
    +a(g826
    +g978
    +tp8972
    +a(g423
    +Vp15
    +p8973
    +tp8974
    +a(g693
    +g976
    +tp8975
    +a(g826
    +g978
    +tp8976
    +a(g423
    +Vp16
    +p8977
    +tp8978
    +a(g693
    +g976
    +tp8979
    +a(g826
    +g978
    +tp8980
    +a(g423
    +Vp17
    +p8981
    +tp8982
    +a(g693
    +g976
    +tp8983
    +a(g826
    +g978
    +tp8984
    +a(g423
    +Vp18
    +p8985
    +tp8986
    +a(g693
    +g976
    +tp8987
    +a(g826
    +g978
    +tp8988
    +a(g423
    +Vp19
    +p8989
    +tp8990
    +a(g693
    +g976
    +tp8991
    +a(g826
    +g978
    +tp8992
    +a(g423
    +Vp20
    +p8993
    +tp8994
    +a(g693
    +g976
    +tp8995
    +a(g826
    +g978
    +tp8996
    +a(g423
    +Vp21
    +p8997
    +tp8998
    +a(g693
    +g976
    +tp8999
    +a(g826
    +g978
    +tp9000
    +a(g423
    +Vp22
    +p9001
    +tp9002
    +a(g693
    +g976
    +tp9003
    +a(g826
    +g978
    +tp9004
    +a(g423
    +Vp24
    +p9005
    +tp9006
    +a(g693
    +g976
    +tp9007
    +a(g826
    +g978
    +tp9008
    +a(g423
    +Vf72
    +p9009
    +tp9010
    +a(g693
    +g976
    +tp9011
    +a(g826
    +g978
    +tp9012
    +a(g423
    +VNone
    +p9013
    +tp9014
    +a(g693
    +g976
    +tp9015
    +a(g826
    +g978
    +tp9016
    +a(g423
    +VNone
    +p9017
    +tp9018
    +a(g693
    +g976
    +tp9019
    +a(g826
    +g978
    +tp9020
    +a(g423
    +VNone
    +p9021
    +tp9022
    +a(g693
    +g976
    +tp9023
    +a(g826
    +g978
    +tp9024
    +a(g423
    +VNone
    +p9025
    +tp9026
    +a(g693
    +g976
    +tp9027
    +a(g826
    +g978
    +tp9028
    +a(g423
    +VNone
    +p9029
    +tp9030
    +a(g693
    +g976
    +tp9031
    +a(g826
    +g978
    +tp9032
    +a(g423
    +VNone
    +p9033
    +tp9034
    +a(g693
    +g976
    +tp9035
    +a(g826
    +g978
    +tp9036
    +a(g423
    +Vf51
    +p9037
    +tp9038
    +a(g693
    +g976
    +tp9039
    +a(g826
    +g978
    +tp9040
    +a(g423
    +VNone
    +p9041
    +tp9042
    +a(g693
    +g976
    +tp9043
    +a(g826
    +g978
    +tp9044
    +a(g423
    +Vi32
    +p9045
    +tp9046
    +a(g693
    +g1098
    +tp9047
    +a(g826
    +V\u000a
    +p9048
    +tp9049
    +a(g423
    +Vi77
    +p9050
    +tp9051
    +a(g826
    +g978
    +tp9052
    +a(g693
    +g1119
    +tp9053
    +a(g826
    +g978
    +tp9054
    +a(g669
    +Vint_lt
    +p9055
    +tp9056
    +a(g693
    +g1104
    +tp9057
    +a(g423
    +Vi74
    +p9058
    +tp9059
    +a(g693
    +g976
    +tp9060
    +a(g826
    +g978
    +tp9061
    +a(g423
    +Vi45
    +p9062
    +tp9063
    +a(g693
    +g1112
    +tp9064
    +a(g826
    +V\u000a
    +p9065
    +tp9066
    +a(g669
    +Vguard_true
    +p9067
    +tp9068
    +a(g693
    +g1104
    +tp9069
    +a(g423
    +Vi77
    +p9070
    +tp9071
    +a(g693
    +g976
    +tp9072
    +a(g826
    +g978
    +tp9073
    +a(g423
    +Vdescr
    +p9074
    +tp9075
    +a(g693
    +g1119
    +tp9076
    +a(g669
    +V
    +p9077
    +tp9078
    +a(g693
    +g1112
    +tp9079
    +a(g826
    +g978
    +tp9080
    +a(g693
    +g972
    +tp9081
    +a(g423
    +Vp1
    +p9082
    +tp9083
    +a(g693
    +g976
    +tp9084
    +a(g826
    +g978
    +tp9085
    +a(g423
    +Vp0
    +p9086
    +tp9087
    +a(g693
    +g976
    +tp9088
    +a(g826
    +g978
    +tp9089
    +a(g423
    +Vp23
    +p9090
    +tp9091
    +a(g693
    +g976
    +tp9092
    +a(g826
    +g978
    +tp9093
    +a(g423
    +Vi74
    +p9094
    +tp9095
    +a(g693
    +g976
    +tp9096
    +a(g826
    +g978
    +tp9097
    +a(g423
    +Vp3
    +p9098
    +tp9099
    +a(g693
    +g976
    +tp9100
    +a(g826
    +g978
    +tp9101
    +a(g423
    +Vp5
    +p9102
    +tp9103
    +a(g693
    +g976
    +tp9104
    +a(g826
    +g978
    +tp9105
    +a(g423
    +Vp8
    +p9106
    +tp9107
    +a(g693
    +g976
    +tp9108
    +a(g826
    +g978
    +tp9109
    +a(g423
    +Vp9
    +p9110
    +tp9111
    +a(g693
    +g976
    +tp9112
    +a(g826
    +g978
    +tp9113
    +a(g423
    +Vp14
    +p9114
    +tp9115
    +a(g693
    +g976
    +tp9116
    +a(g826
    +g978
    +tp9117
    +a(g423
    +Vp15
    +p9118
    +tp9119
    +a(g693
    +g976
    +tp9120
    +a(g826
    +g978
    +tp9121
    +a(g423
    +Vp16
    +p9122
    +tp9123
    +a(g693
    +g976
    +tp9124
    +a(g826
    +g978
    +tp9125
    +a(g423
    +Vp17
    +p9126
    +tp9127
    +a(g693
    +g976
    +tp9128
    +a(g826
    +g978
    +tp9129
    +a(g423
    +Vp18
    +p9130
    +tp9131
    +a(g693
    +g976
    +tp9132
    +a(g826
    +g978
    +tp9133
    +a(g423
    +Vp19
    +p9134
    +tp9135
    +a(g693
    +g976
    +tp9136
    +a(g826
    +g978
    +tp9137
    +a(g423
    +Vp20
    +p9138
    +tp9139
    +a(g693
    +g976
    +tp9140
    +a(g826
    +g978
    +tp9141
    +a(g423
    +Vp21
    +p9142
    +tp9143
    +a(g693
    +g976
    +tp9144
    +a(g826
    +g978
    +tp9145
    +a(g423
    +Vp22
    +p9146
    +tp9147
    +a(g693
    +g976
    +tp9148
    +a(g826
    +g978
    +tp9149
    +a(g423
    +Vp24
    +p9150
    +tp9151
    +a(g693
    +g976
    +tp9152
    +a(g826
    +g978
    +tp9153
    +a(g423
    +Vf72
    +p9154
    +tp9155
    +a(g693
    +g976
    +tp9156
    +a(g826
    +g978
    +tp9157
    +a(g423
    +VNone
    +p9158
    +tp9159
    +a(g693
    +g976
    +tp9160
    +a(g826
    +g978
    +tp9161
    +a(g423
    +VNone
    +p9162
    +tp9163
    +a(g693
    +g976
    +tp9164
    +a(g826
    +g978
    +tp9165
    +a(g423
    +VNone
    +p9166
    +tp9167
    +a(g693
    +g976
    +tp9168
    +a(g826
    +g978
    +tp9169
    +a(g423
    +VNone
    +p9170
    +tp9171
    +a(g693
    +g976
    +tp9172
    +a(g826
    +g978
    +tp9173
    +a(g423
    +VNone
    +p9174
    +tp9175
    +a(g693
    +g976
    +tp9176
    +a(g826
    +g978
    +tp9177
    +a(g423
    +VNone
    +p9178
    +tp9179
    +a(g693
    +g976
    +tp9180
    +a(g826
    +g978
    +tp9181
    +a(g423
    +Vf51
    +p9182
    +tp9183
    +a(g693
    +g976
    +tp9184
    +a(g826
    +g978
    +tp9185
    +a(g423
    +VNone
    +p9186
    +tp9187
    +a(g693
    +g976
    +tp9188
    +a(g826
    +g978
    +tp9189
    +a(g423
    +Vi32
    +p9190
    +tp9191
    +a(g693
    +g1098
    +tp9192
    +a(g826
    +V\u000a
    +p9193
    +tp9194
    +a(g423
    +Vf78
    +p9195
    +tp9196
    +a(g826
    +g978
    +tp9197
    +a(g693
    +g1119
    +tp9198
    +a(g826
    +g978
    +tp9199
    +a(g669
    +Vgetarrayitem_raw
    +p9200
    +tp9201
    +a(g693
    +g1104
    +tp9202
    +a(g423
    +Vi50
    +p9203
    +tp9204
    +a(g693
    +g976
    +tp9205
    +a(g826
    +g978
    +tp9206
    +a(g423
    +Vi74
    +p9207
    +tp9208
    +a(g693
    +g976
    +tp9209
    +a(g826
    +g978
    +tp9210
    +a(g423
    +Vdescr
    +p9211
    +tp9212
    +a(g693
    +g1119
    +tp9213
    +a(g669
    +V
    +p9214
    +tp9215
    +a(g693
    +g1112
    +tp9216
    +a(g826
    +V\u000a
    +p9217
    +tp9218
    +a(g616
    +Vdebug_merge_point
    +p9219
    +tp9220
    +a(g693
    +g1104
    +tp9221
    +a(g76
    +V' #211 LOAD_FAST'
    +p9222
    +tp9223
    +a(g693
    +g976
    +tp9224
    +a(g826
    +g978
    +tp9225
    +a(g22
    +g1110
    +tp9226
    +a(g693
    +g1112
    +tp9227
    +a(g826
    +V\u000a
    +p9228
    +tp9229
    +a(g616
    +Vdebug_merge_point
    +p9230
    +tp9231
    +a(g693
    +g1104
    +tp9232
    +a(g76
    +V' #214 LOAD_FAST'
    +p9233
    +tp9234
    +a(g693
    +g976
    +tp9235
    +a(g826
    +g978
    +tp9236
    +a(g22
    +g1110
    +tp9237
    +a(g693
    +g1112
    +tp9238
    +a(g826
    +V\u000a
    +p9239
    +tp9240
    +a(g616
    +Vdebug_merge_point
    +p9241
    +tp9242
    +a(g693
    +g1104
    +tp9243
    +a(g76
    +V' #217 LOAD_FAST'
    +p9244
    +tp9245
    +a(g693
    +g976
    +tp9246
    +a(g826
    +g978
    +tp9247
    +a(g22
    +g1110
    +tp9248
    +a(g693
    +g1112
    +tp9249
    +a(g826
    +V\u000a
    +p9250
    +tp9251
    +a(g616
    +Vdebug_merge_point
    +p9252
    +tp9253
    +a(g693
    +g1104
    +tp9254
    +a(g76
    +V' #220 BINARY_MULTIPLY'
    +p9255
    +tp9256
    +a(g693
    +g976
    +tp9257
    +a(g826
    +g978
    +tp9258
    +a(g22
    +g1110
    +tp9259
    +a(g693
    +g1112
    +tp9260
    +a(g826
    +V\u000a
    +p9261
    +tp9262
    +a(g616
    +Vdebug_merge_point
    +p9263
    +tp9264
    +a(g693
    +g1104
    +tp9265
    +a(g76
    +V' #221 LOAD_FAST'
    +p9266
    +tp9267
    +a(g693
    +g976
    +tp9268
    +a(g826
    +g978
    +tp9269
    +a(g22
    +g1110
    +tp9270
    +a(g693
    +g1112
    +tp9271
    +a(g826
    +V\u000a
    +p9272
    +tp9273
    +a(g616
    +Vdebug_merge_point
    +p9274
    +tp9275
    +a(g693
    +g1104
    +tp9276
    +a(g76
    +V' #224 BINARY_ADD'
    +p9277
    +tp9278
    +a(g693
    +g976
    +tp9279
    +a(g826
    +g978
    +tp9280
    +a(g22
    +g1110
    +tp9281
    +a(g693
    +g1112
    +tp9282
    +a(g826
    +V\u000a
    +p9283
    +tp9284
    +a(g616
    +Vdebug_merge_point
    +p9285
    +tp9286
    +a(g693
    +g1104
    +tp9287
    +a(g76
    +V' #225 LOAD_CONST'
    +p9288
    +tp9289
    +a(g693
    +g976
    +tp9290
    +a(g826
    +g978
    +tp9291
    +a(g22
    +g1110
    +tp9292
    +a(g693
    +g1112
    +tp9293
    +a(g826
    +V\u000a
    +p9294
    +tp9295
    +a(g616
    +Vdebug_merge_point
    +p9296
    +tp9297
    +a(g693
    +g1104
    +tp9298
    +a(g76
    +V' #228 BINARY_ADD'
    +p9299
    +tp9300
    +a(g693
    +g976
    +tp9301
    +a(g826
    +g978
    +tp9302
    +a(g22
    +g1110
    +tp9303
    +a(g693
    +g1112
    +tp9304
    +a(g826
    +V\u000a
    +p9305
    +tp9306
    +a(g423
    +Vi80
    +p9307
    +tp9308
    +a(g826
    +g978
    +tp9309
    +a(g693
    +g1119
    +tp9310
    +a(g826
    +g978
    +tp9311
    +a(g669
    +Vint_add
    +p9312
    +tp9313
    +a(g693
    +g1104
    +tp9314
    +a(g423
    +Vi44
    +p9315
    +tp9316
    +a(g693
    +g976
    +tp9317
    +a(g826
    +g978
    +tp9318
    +a(g22
    +g1250
    +tp9319
    +a(g693
    +g1112
    +tp9320
    +a(g826
    +V\u000a
    +p9321
    +tp9322
    +a(g616
    +Vdebug_merge_point
    +p9323
    +tp9324
    +a(g693
    +g1104
    +tp9325
    +a(g76
    +V' #229 BINARY_SUBSCR'
    +p9326
    +tp9327
    +a(g693
    +g976
    +tp9328
    +a(g826
    +g978
    +tp9329
    +a(g22
    +g1110
    +tp9330
    +a(g693
    +g1112
    +tp9331
    +a(g826
    +V\u000a
    +p9332
    +tp9333
    +a(g423
    +Vi81
    +p9334
    +tp9335
    +a(g826
    +g978
    +tp9336
    +a(g693
    +g1119
    +tp9337
    +a(g826
    +g978
    +tp9338
    +a(g669
    +Vint_lt
    +p9339
    +tp9340
    +a(g693
    +g1104
    +tp9341
    +a(g423
    +Vi80
    +p9342
    +tp9343
    +a(g693
    +g976
    +tp9344
    +a(g826
    +g978
    +tp9345
    +a(g423
    +Vi45
    +p9346
    +tp9347
    +a(g693
    +g1112
    +tp9348
    +a(g826
    +V\u000a
    +p9349
    +tp9350
    +a(g669
    +Vguard_true
    +p9351
    +tp9352
    +a(g693
    +g1104
    +tp9353
    +a(g423
    +Vi81
    +p9354
    +tp9355
    +a(g693
    +g976
    +tp9356
    +a(g826
    +g978
    +tp9357
    +a(g423
    +Vdescr
    +p9358
    +tp9359
    +a(g693
    +g1119
    +tp9360
    +a(g669
    +V
    +p9361
    +tp9362
    +a(g693
    +g1112
    +tp9363
    +a(g826
    +g978
    +tp9364
    +a(g693
    +g972
    +tp9365
    +a(g423
    +Vp1
    +p9366
    +tp9367
    +a(g693
    +g976
    +tp9368
    +a(g826
    +g978
    +tp9369
    +a(g423
    +Vp0
    +p9370
    +tp9371
    +a(g693
    +g976
    +tp9372
    +a(g826
    +g978
    +tp9373
    +a(g423
    +Vp23
    +p9374
    +tp9375
    +a(g693
    +g976
    +tp9376
    +a(g826
    +g978
    +tp9377
    +a(g423
    +Vi80
    +p9378
    +tp9379
    +a(g693
    +g976
    +tp9380
    +a(g826
    +g978
    +tp9381
    +a(g423
    +Vp3
    +p9382
    +tp9383
    +a(g693
    +g976
    +tp9384
    +a(g826
    +g978
    +tp9385
    +a(g423
    +Vp5
    +p9386
    +tp9387
    +a(g693
    +g976
    +tp9388
    +a(g826
    +g978
    +tp9389
    +a(g423
    +Vp8
    +p9390
    +tp9391
    +a(g693
    +g976
    +tp9392
    +a(g826
    +g978
    +tp9393
    +a(g423
    +Vp9
    +p9394
    +tp9395
    +a(g693
    +g976
    +tp9396
    +a(g826
    +g978
    +tp9397
    +a(g423
    +Vp15
    +p9398
    +tp9399
    +a(g693
    +g976
    +tp9400
    +a(g826
    +g978
    +tp9401
    +a(g423
    +Vp16
    +p9402
    +tp9403
    +a(g693
    +g976
    +tp9404
    +a(g826
    +g978
    +tp9405
    +a(g423
    +Vp17
    +p9406
    +tp9407
    +a(g693
    +g976
    +tp9408
    +a(g826
    +g978
    +tp9409
    +a(g423
    +Vp18
    +p9410
    +tp9411
    +a(g693
    +g976
    +tp9412
    +a(g826
    +g978
    +tp9413
    +a(g423
    +Vp19
    +p9414
    +tp9415
    +a(g693
    +g976
    +tp9416
    +a(g826
    +g978
    +tp9417
    +a(g423
    +Vp20
    +p9418
    +tp9419
    +a(g693
    +g976
    +tp9420
    +a(g826
    +g978
    +tp9421
    +a(g423
    +Vp21
    +p9422
    +tp9423
    +a(g693
    +g976
    +tp9424
    +a(g826
    +g978
    +tp9425
    +a(g423
    +Vp22
    +p9426
    +tp9427
    +a(g693
    +g976
    +tp9428
    +a(g826
    +g978
    +tp9429
    +a(g423
    +Vp24
    +p9430
    +tp9431
    +a(g693
    +g976
    +tp9432
    +a(g826
    +g978
    +tp9433
    +a(g423
    +Vf78
    +p9434
    +tp9435
    +a(g693
    +g976
    +tp9436
    +a(g826
    +g978
    +tp9437
    +a(g423
    +Vf72
    +p9438
    +tp9439
    +a(g693
    +g976
    +tp9440
    +a(g826
    +g978
    +tp9441
    +a(g423
    +VNone
    +p9442
    +tp9443
    +a(g693
    +g976
    +tp9444
    +a(g826
    +g978
    +tp9445
    +a(g423
    +VNone
    +p9446
    +tp9447
    +a(g693
    +g976
    +tp9448
    +a(g826
    +g978
    +tp9449
    +a(g423
    +VNone
    +p9450
    +tp9451
    +a(g693
    +g976
    +tp9452
    +a(g826
    +g978
    +tp9453
    +a(g423
    +VNone
    +p9454
    +tp9455
    +a(g693
    +g976
    +tp9456
    +a(g826
    +g978
    +tp9457
    +a(g423
    +VNone
    +p9458
    +tp9459
    +a(g693
    +g976
    +tp9460
    +a(g826
    +g978
    +tp9461
    +a(g423
    +VNone
    +p9462
    +tp9463
    +a(g693
    +g976
    +tp9464
    +a(g826
    +g978
    +tp9465
    +a(g423
    +Vf51
    +p9466
    +tp9467
    +a(g693
    +g976
    +tp9468
    +a(g826
    +g978
    +tp9469
    +a(g423
    +VNone
    +p9470
    +tp9471
    +a(g693
    +g976
    +tp9472
    +a(g826
    +g978
    +tp9473
    +a(g423
    +Vi32
    +p9474
    +tp9475
    +a(g693
    +g1098
    +tp9476
    +a(g826
    +V\u000a
    +p9477
    +tp9478
    +a(g423
    +Vf82
    +p9479
    +tp9480
    +a(g826
    +g978
    +tp9481
    +a(g693
    +g1119
    +tp9482
    +a(g826
    +g978
    +tp9483
    +a(g669
    +Vgetarrayitem_raw
    +p9484
    +tp9485
    +a(g693
    +g1104
    +tp9486
    +a(g423
    +Vi50
    +p9487
    +tp9488
    +a(g693
    +g976
    +tp9489
    +a(g826
    +g978
    +tp9490
    +a(g423
    +Vi80
    +p9491
    +tp9492
    +a(g693
    +g976
    +tp9493
    +a(g826
    +g978
    +tp9494
    +a(g423
    +Vdescr
    +p9495
    +tp9496
    +a(g693
    +g1119
    +tp9497
    +a(g669
    +V
    +p9498
    +tp9499
    +a(g693
    +g1112
    +tp9500
    +a(g826
    +V\u000a
    +p9501
    +tp9502
    +a(g616
    +Vdebug_merge_point
    +p9503
    +tp9504
    +a(g693
    +g1104
    +tp9505
    +a(g76
    +V' #230 BINARY_ADD'
    +p9506
    +tp9507
    +a(g693
    +g976
    +tp9508
    +a(g826
    +g978
    +tp9509
    +a(g22
    +g1110
    +tp9510
    +a(g693
    +g1112
    +tp9511
    +a(g826
    +V\u000a
    +p9512
    +tp9513
    +a(g423
    +Vf83
    +p9514
    +tp9515
    +a(g826
    +g978
    +tp9516
    +a(g693
    +g1119
    +tp9517
    +a(g826
    +g978
    +tp9518
    +a(g669
    +Vfloat_add
    +p9519
    +tp9520
    +a(g693
    +g1104
    +tp9521
    +a(g423
    +Vf78
    +p9522
    +tp9523
    +a(g693
    +g976
    +tp9524
    +a(g826
    +g978
    +tp9525
    +a(g423
    +Vf82
    +p9526
    +tp9527
    +a(g693
    +g1112
    +tp9528
    +a(g826
    +V\u000a
    +p9529
    +tp9530
    +a(g616
    +Vdebug_merge_point
    +p9531
    +tp9532
    +a(g693
    +g1104
    +tp9533
    +a(g76
    +V' #231 LOAD_FAST'
    +p9534
    +tp9535
    +a(g693
    +g976
    +tp9536
    +a(g826
    +g978
    +tp9537
    +a(g22
    +g1110
    +tp9538
    +a(g693
    +g1112
    +tp9539
    +a(g826
    +V\u000a
    +p9540
    +tp9541
    +a(g669
    +Vguard_nonnull_class
    +p9542
    +tp9543
    +a(g693
    +g1104
    +tp9544
    +a(g423
    +Vp17
    +p9545
    +tp9546
    +a(g693
    +g976
    +tp9547
    +a(g826
    +g978
    +tp9548
    +a(g22
    +V19800744
    +p9549
    +tp9550
    +a(g693
    +g976
    +tp9551
    +a(g826
    +g978
    +tp9552
    +a(g423
    +Vdescr
    +p9553
    +tp9554
    +a(g693
    +g1119
    +tp9555
    +a(g669
    +V
    +p9556
    +tp9557
    +a(g693
    +g1112
    +tp9558
    +a(g826
    +g978
    +tp9559
    +a(g693
    +g972
    +tp9560
    +a(g423
    +Vp1
    +p9561
    +tp9562
    +a(g693
    +g976
    +tp9563
    +a(g826
    +g978
    +tp9564
    +a(g423
    +Vp0
    +p9565
    +tp9566
    +a(g693
    +g976
    +tp9567
    +a(g826
    +g978
    +tp9568
    +a(g423
    +Vp17
    +p9569
    +tp9570
    +a(g693
    +g976
    +tp9571
    +a(g826
    +g978
    +tp9572
    +a(g423
    +Vp3
    +p9573
    +tp9574
    +a(g693
    +g976
    +tp9575
    +a(g826
    +g978
    +tp9576
    +a(g423
    +Vp5
    +p9577
    +tp9578
    +a(g693
    +g976
    +tp9579
    +a(g826
    +g978
    +tp9580
    +a(g423
    +Vp8
    +p9581
    +tp9582
    +a(g693
    +g976
    +tp9583
    +a(g826
    +g978
    +tp9584
    +a(g423
    +Vp9
    +p9585
    +tp9586
    +a(g693
    +g976
    +tp9587
    +a(g826
    +g978
    +tp9588
    +a(g423
    +Vp15
    +p9589
    +tp9590
    +a(g693
    +g976
    +tp9591
    +a(g826
    +g978
    +tp9592
    +a(g423
    +Vp16
    +p9593
    +tp9594
    +a(g693
    +g976
    +tp9595
    +a(g826
    +g978
    +tp9596
    +a(g423
    +Vp18
    +p9597
    +tp9598
    +a(g693
    +g976
    +tp9599
    +a(g826
    +g978
    +tp9600
    +a(g423
    +Vp19
    +p9601
    +tp9602
    +a(g693
    +g976
    +tp9603
    +a(g826
    +g978
    +tp9604
    +a(g423
    +Vp20
    +p9605
    +tp9606
    +a(g693
    +g976
    +tp9607
    +a(g826
    +g978
    +tp9608
    +a(g423
    +Vp21
    +p9609
    +tp9610
    +a(g693
    +g976
    +tp9611
    +a(g826
    +g978
    +tp9612
    +a(g423
    +Vp22
    +p9613
    +tp9614
    +a(g693
    +g976
    +tp9615
    +a(g826
    +g978
    +tp9616
    +a(g423
    +Vp23
    +p9617
    +tp9618
    +a(g693
    +g976
    +tp9619
    +a(g826
    +g978
    +tp9620
    +a(g423
    +Vp24
    +p9621
    +tp9622
    +a(g693
    +g976
    +tp9623
    +a(g826
    +g978
    +tp9624
    +a(g423
    +Vf83
    +p9625
    +tp9626
    +a(g693
    +g976
    +tp9627
    +a(g826
    +g978
    +tp9628
    +a(g423
    +VNone
    +p9629
    +tp9630
    +a(g693
    +g976
    +tp9631
    +a(g826
    +g978
    +tp9632
    +a(g423
    +Vf72
    +p9633
    +tp9634
    +a(g693
    +g976
    +tp9635
    +a(g826
    +g978
    +tp9636
    +a(g423
    +VNone
    +p9637
    +tp9638
    +a(g693
    +g976
    +tp9639
    +a(g826
    +g978
    +tp9640
    +a(g423
    +VNone
    +p9641
    +tp9642
    +a(g693
    +g976
    +tp9643
    +a(g826
    +g978
    +tp9644
    +a(g423
    +VNone
    +p9645
    +tp9646
    +a(g693
    +g976
    +tp9647
    +a(g826
    +g978
    +tp9648
    +a(g423
    +VNone
    +p9649
    +tp9650
    +a(g693
    +g976
    +tp9651
    +a(g826
    +g978
    +tp9652
    +a(g423
    +VNone
    +p9653
    +tp9654
    +a(g693
    +g976
    +tp9655
    +a(g826
    +g978
    +tp9656
    +a(g423
    +VNone
    +p9657
    +tp9658
    +a(g693
    +g976
    +tp9659
    +a(g826
    +g978
    +tp9660
    +a(g423
    +Vf51
    +p9661
    +tp9662
    +a(g693
    +g976
    +tp9663
    +a(g826
    +g978
    +tp9664
    +a(g423
    +VNone
    +p9665
    +tp9666
    +a(g693
    +g976
    +tp9667
    +a(g826
    +g978
    +tp9668
    +a(g423
    +Vi32
    +p9669
    +tp9670
    +a(g693
    +g1098
    +tp9671
    +a(g826
    +V\u000a
    +p9672
    +tp9673
    +a(g616
    +Vdebug_merge_point
    +p9674
    +tp9675
    +a(g693
    +g1104
    +tp9676
    +a(g76
    +V' #234 BINARY_MULTIPLY'
    +p9677
    +tp9678
    +a(g693
    +g976
    +tp9679
    +a(g826
    +g978
    +tp9680
    +a(g22
    +g1110
    +tp9681
    +a(g693
    +g1112
    +tp9682
    +a(g826
    +V\u000a
    +p9683
    +tp9684
    +a(g423
    +Vf85
    +p9685
    +tp9686
    +a(g826
    +g978
    +tp9687
    +a(g693
    +g1119
    +tp9688
    +a(g826
    +g978
    +tp9689
    +a(g669
    +Vgetfield_gc_pure
    +p9690
    +tp9691
    +a(g693
    +g1104
    +tp9692
    +a(g423
    +Vp17
    +p9693
    +tp9694
    +a(g693
    +g976
    +tp9695
    +a(g826
    +g978
    +tp9696
    +a(g423
    +Vdescr
    +p9697
    +tp9698
    +a(g693
    +g1119
    +tp9699
    +a(g669
    +V
    +p9700
    +tp9701
    +a(g693
    +g1112
    +tp9702
    +a(g826
    +V\u000a
    +p9703
    +tp9704
    +a(g423
    +Vf86
    +p9705
    +tp9706
    +a(g826
    +g978
    +tp9707
    +a(g693
    +g1119
    +tp9708
    +a(g826
    +g978
    +tp9709
    +a(g669
    +Vfloat_mul
    +p9710
    +tp9711
    +a(g693
    +g1104
    +tp9712
    +a(g423
    +Vf83
    +p9713
    +tp9714
    +a(g693
    +g976
    +tp9715
    +a(g826
    +g978
    +tp9716
    +a(g423
    +Vf85
    +p9717
    +tp9718
    +a(g693
    +g1112
    +tp9719
    +a(g826
    +V\u000a
    +p9720
    +tp9721
    +a(g616
    +Vdebug_merge_point
    +p9722
    +tp9723
    +a(g693
    +g1104
    +tp9724
    +a(g76
    +V' #235 BINARY_ADD'
    +p9725
    +tp9726
    +a(g693
    +g976
    +tp9727
    +a(g826
    +g978
    +tp9728
    +a(g22
    +g1110
    +tp9729
    +a(g693
    +g1112
    +tp9730
    +a(g826
    +V\u000a
    +p9731
    +tp9732
    +a(g423
    +Vf87
    +p9733
    +tp9734
    +a(g826
    +g978
    +tp9735
    +a(g693
    +g1119
    +tp9736
    +a(g826
    +g978
    +tp9737
    +a(g669
    +Vfloat_add
    +p9738
    +tp9739
    +a(g693
    +g1104
    +tp9740
    +a(g423
    +Vf72
    +p9741
    +tp9742
    +a(g693
    +g976
    +tp9743
    +a(g826
    +g978
    +tp9744
    +a(g423
    +Vf86
    +p9745
    +tp9746
    +a(g693
    +g1112
    +tp9747
    +a(g826
    +V\u000a
    +p9748
    +tp9749
    +a(g616
    +Vdebug_merge_point
    +p9750
    +tp9751
    +a(g693
    +g1104
    +tp9752
    +a(g76
    +V' #236 LOAD_FAST'
    +p9753
    +tp9754
    +a(g693
    +g976
    +tp9755
    +a(g826
    +g978
    +tp9756
    +a(g22
    +g1110
    +tp9757
    +a(g693
    +g1112
    +tp9758
    +a(g826
    +V\u000a
    +p9759
    +tp9760
    +a(g669
    +Vguard_nonnull_class
    +p9761
    +tp9762
    +a(g693
    +g1104
    +tp9763
    +a(g423
    +Vp19
    +p9764
    +tp9765
    +a(g693
    +g976
    +tp9766
    +a(g826
    +g978
    +tp9767
    +a(g22
    +V19800744
    +p9768
    +tp9769
    +a(g693
    +g976
    +tp9770
    +a(g826
    +g978
    +tp9771
    +a(g423
    +Vdescr
    +p9772
    +tp9773
    +a(g693
    +g1119
    +tp9774
    +a(g669
    +V
    +p9775
    +tp9776
    +a(g693
    +g1112
    +tp9777
    +a(g826
    +g978
    +tp9778
    +a(g693
    +g972
    +tp9779
    +a(g423
    +Vp1
    +p9780
    +tp9781
    +a(g693
    +g976
    +tp9782
    +a(g826
    +g978
    +tp9783
    +a(g423
    +Vp0
    +p9784
    +tp9785
    +a(g693
    +g976
    +tp9786
    +a(g826
    +g978
    +tp9787
    +a(g423
    +Vp19
    +p9788
    +tp9789
    +a(g693
    +g976
    +tp9790
    +a(g826
    +g978
    +tp9791
    +a(g423
    +Vp3
    +p9792
    +tp9793
    +a(g693
    +g976
    +tp9794
    +a(g826
    +g978
    +tp9795
    +a(g423
    +Vp5
    +p9796
    +tp9797
    +a(g693
    +g976
    +tp9798
    +a(g826
    +g978
    +tp9799
    +a(g423
    +Vp8
    +p9800
    +tp9801
    +a(g693
    +g976
    +tp9802
    +a(g826
    +g978
    +tp9803
    +a(g423
    +Vp9
    +p9804
    +tp9805
    +a(g693
    +g976
    +tp9806
    +a(g826
    +g978
    +tp9807
    +a(g423
    +Vp15
    +p9808
    +tp9809
    +a(g693
    +g976
    +tp9810
    +a(g826
    +g978
    +tp9811
    +a(g423
    +Vp16
    +p9812
    +tp9813
    +a(g693
    +g976
    +tp9814
    +a(g826
    +g978
    +tp9815
    +a(g423
    +Vp17
    +p9816
    +tp9817
    +a(g693
    +g976
    +tp9818
    +a(g826
    +g978
    +tp9819
    +a(g423
    +Vp18
    +p9820
    +tp9821
    +a(g693
    +g976
    +tp9822
    +a(g826
    +g978
    +tp9823
    +a(g423
    +Vp20
    +p9824
    +tp9825
    +a(g693
    +g976
    +tp9826
    +a(g826
    +g978
    +tp9827
    +a(g423
    +Vp21
    +p9828
    +tp9829
    +a(g693
    +g976
    +tp9830
    +a(g826
    +g978
    +tp9831
    +a(g423
    +Vp22
    +p9832
    +tp9833
    +a(g693
    +g976
    +tp9834
    +a(g826
    +g978
    +tp9835
    +a(g423
    +Vp23
    +p9836
    +tp9837
    +a(g693
    +g976
    +tp9838
    +a(g826
    +g978
    +tp9839
    +a(g423
    +Vp24
    +p9840
    +tp9841
    +a(g693
    +g976
    +tp9842
    +a(g826
    +g978
    +tp9843
    +a(g423
    +Vf87
    +p9844
    +tp9845
    +a(g693
    +g976
    +tp9846
    +a(g826
    +g978
    +tp9847
    +a(g423
    +VNone
    +p9848
    +tp9849
    +a(g693
    +g976
    +tp9850
    +a(g826
    +g978
    +tp9851
    +a(g423
    +VNone
    +p9852
    +tp9853
    +a(g693
    +g976
    +tp9854
    +a(g826
    +g978
    +tp9855
    +a(g423
    +VNone
    +p9856
    +tp9857
    +a(g693
    +g976
    +tp9858
    +a(g826
    +g978
    +tp9859
    +a(g423
    +VNone
    +p9860
    +tp9861
    +a(g693
    +g976
    +tp9862
    +a(g826
    +g978
    +tp9863
    +a(g423
    +VNone
    +p9864
    +tp9865
    +a(g693
    +g976
    +tp9866
    +a(g826
    +g978
    +tp9867
    +a(g423
    +VNone
    +p9868
    +tp9869
    +a(g693
    +g976
    +tp9870
    +a(g826
    +g978
    +tp9871
    +a(g423
    +VNone
    +p9872
    +tp9873
    +a(g693
    +g976
    +tp9874
    +a(g826
    +g978
    +tp9875
    +a(g423
    +VNone
    +p9876
    +tp9877
    +a(g693
    +g976
    +tp9878
    +a(g826
    +g978
    +tp9879
    +a(g423
    +VNone
    +p9880
    +tp9881
    +a(g693
    +g976
    +tp9882
    +a(g826
    +g978
    +tp9883
    +a(g423
    +Vf51
    +p9884
    +tp9885
    +a(g693
    +g976
    +tp9886
    +a(g826
    +g978
    +tp9887
    +a(g423
    +VNone
    +p9888
    +tp9889
    +a(g693
    +g976
    +tp9890
    +a(g826
    +g978
    +tp9891
    +a(g423
    +Vi32
    +p9892
    +tp9893
    +a(g693
    +g1098
    +tp9894
    +a(g826
    +V\u000a
    +p9895
    +tp9896
    +a(g616
    +Vdebug_merge_point
    +p9897
    +tp9898
    +a(g693
    +g1104
    +tp9899
    +a(g76
    +V' #239 BINARY_MULTIPLY'
    +p9900
    +tp9901
    +a(g693
    +g976
    +tp9902
    +a(g826
    +g978
    +tp9903
    +a(g22
    +g1110
    +tp9904
    +a(g693
    +g1112
    +tp9905
    +a(g826
    +V\u000a
    +p9906
    +tp9907
    +a(g423
    +Vf89
    +p9908
    +tp9909
    +a(g826
    +g978
    +tp9910
    +a(g693
    +g1119
    +tp9911
    +a(g826
    +g978
    +tp9912
    +a(g669
    +Vgetfield_gc_pure
    +p9913
    +tp9914
    +a(g693
    +g1104
    +tp9915
    +a(g423
    +Vp19
    +p9916
    +tp9917
    +a(g693
    +g976
    +tp9918
    +a(g826
    +g978
    +tp9919
    +a(g423
    +Vdescr
    +p9920
    +tp9921
    +a(g693
    +g1119
    +tp9922
    +a(g669
    +V
    +p9923
    +tp9924
    +a(g693
    +g1112
    +tp9925
    +a(g826
    +V\u000a
    +p9926
    +tp9927
    +a(g423
    +Vf90
    +p9928
    +tp9929
    +a(g826
    +g978
    +tp9930
    +a(g693
    +g1119
    +tp9931
    +a(g826
    +g978
    +tp9932
    +a(g669
    +Vfloat_mul
    +p9933
    +tp9934
    +a(g693
    +g1104
    +tp9935
    +a(g423
    +Vf87
    +p9936
    +tp9937
    +a(g693
    +g976
    +tp9938
    +a(g826
    +g978
    +tp9939
    +a(g423
    +Vf89
    +p9940
    +tp9941
    +a(g693
    +g1112
    +tp9942
    +a(g826
    +V\u000a
    +p9943
    +tp9944
    +a(g616
    +Vdebug_merge_point
    +p9945
    +tp9946
    +a(g693
    +g1104
    +tp9947
    +a(g76
    +V' #240 LOAD_FAST'
    +p9948
    +tp9949
    +a(g693
    +g976
    +tp9950
    +a(g826
    +g978
    +tp9951
    +a(g22
    +g1110
    +tp9952
    +a(g693
    +g1112
    +tp9953
    +a(g826
    +V\u000a
    +p9954
    +tp9955
    +a(g616
    +Vdebug_merge_point
    +p9956
    +tp9957
    +a(g693
    +g1104
    +tp9958
    +a(g76
    +V' #243 LOAD_FAST'
    +p9959
    +tp9960
    +a(g693
    +g976
    +tp9961
    +a(g826
    +g978
    +tp9962
    +a(g22
    +g1110
    +tp9963
    +a(g693
    +g1112
    +tp9964
    +a(g826
    +V\u000a
    +p9965
    +tp9966
    +a(g616
    +Vdebug_merge_point
    +p9967
    +tp9968
    +a(g693
    +g1104
    +tp9969
    +a(g76
    +V' #246 LOAD_FAST'
    +p9970
    +tp9971
    +a(g693
    +g976
    +tp9972
    +a(g826
    +g978
    +tp9973
    +a(g22
    +g1110
    +tp9974
    +a(g693
    +g1112
    +tp9975
    +a(g826
    +V\u000a
    +p9976
    +tp9977
    +a(g616
    +Vdebug_merge_point
    +p9978
    +tp9979
    +a(g693
    +g1104
    +tp9980
    +a(g76
    +V' #249 BINARY_MULTIPLY'
    +p9981
    +tp9982
    +a(g693
    +g976
    +tp9983
    +a(g826
    +g978
    +tp9984
    +a(g22
    +g1110
    +tp9985
    +a(g693
    +g1112
    +tp9986
    +a(g826
    +V\u000a
    +p9987
    +tp9988
    +a(g616
    +Vdebug_merge_point
    +p9989
    +tp9990
    +a(g693
    +g1104
    +tp9991
    +a(g76
    +V' #250 LOAD_FAST'
    +p9992
    +tp9993
    +a(g693
    +g976
    +tp9994
    +a(g826
    +g978
    +tp9995
    +a(g22
    +g1110
    +tp9996
    +a(g693
    +g1112
    +tp9997
    +a(g826
    +V\u000a
    +p9998
    +tp9999
    +a(g616
    +Vdebug_merge_point
    +p10000
    +tp10001
    +a(g693
    +g1104
    +tp10002
    +a(g76
    +V' #253 BINARY_ADD'
    +p10003
    +tp10004
    +a(g693
    +g976
    +tp10005
    +a(g826
    +g978
    +tp10006
    +a(g22
    +g1110
    +tp10007
    +a(g693
    +g1112
    +tp10008
    +a(g826
    +V\u000a
    +p10009
    +tp10010
    +a(g616
    +Vdebug_merge_point
    +p10011
    +tp10012
    +a(g693
    +g1104
    +tp10013
    +a(g76
    +V' #254 STORE_SUBSCR'
    +p10014
    +tp10015
    +a(g693
    +g976
    +tp10016
    +a(g826
    +g978
    +tp10017
    +a(g22
    +g1110
    +tp10018
    +a(g693
    +g1112
    +tp10019
    +a(g826
    +V\u000a
    +p10020
    +tp10021
    +a(g669
    +Vsetarrayitem_raw
    +p10022
    +tp10023
    +a(g693
    +g1104
    +tp10024
    +a(g423
    +Vi50
    +p10025
    +tp10026
    +a(g693
    +g976
    +tp10027
    +a(g826
    +g978
    +tp10028
    +a(g423
    +Vi44
    +p10029
    +tp10030
    +a(g693
    +g976
    +tp10031
    +a(g826
    +g978
    +tp10032
    +a(g423
    +Vf90
    +p10033
    +tp10034
    +a(g693
    +g976
    +tp10035
    +a(g826
    +g978
    +tp10036
    +a(g423
    +Vdescr
    +p10037
    +tp10038
    +a(g693
    +g1119
    +tp10039
    +a(g669
    +V
    +p10040
    +tp10041
    +a(g693
    +g1112
    +tp10042
    +a(g826
    +V\u000a
    +p10043
    +tp10044
    +a(g616
    +Vdebug_merge_point
    +p10045
    +tp10046
    +a(g693
    +g1104
    +tp10047
    +a(g76
    +V' #255 LOAD_FAST'
    +p10048
    +tp10049
    +a(g693
    +g976
    +tp10050
    +a(g826
    +g978
    +tp10051
    +a(g22
    +g1110
    +tp10052
    +a(g693
    +g1112
    +tp10053
    +a(g826
    +V\u000a
    +p10054
    +tp10055
    +a(g669
    +Vguard_nonnull_class
    +p10056
    +tp10057
    +a(g693
    +g1104
    +tp10058
    +a(g423
    +Vp20
    +p10059
    +tp10060
    +a(g693
    +g976
    +tp10061
    +a(g826
    +g978
    +tp10062
    +a(g22
    +V19800744
    +p10063
    +tp10064
    +a(g693
    +g976
    +tp10065
    +a(g826
    +g978
    +tp10066
    +a(g423
    +Vdescr
    +p10067
    +tp10068
    +a(g693
    +g1119
    +tp10069
    +a(g669
    +V
    +p10070
    +tp10071
    +a(g693
    +g1112
    +tp10072
    +a(g826
    +g978
    +tp10073
    +a(g693
    +g972
    +tp10074
    +a(g423
    +Vp1
    +p10075
    +tp10076
    +a(g693
    +g976
    +tp10077
    +a(g826
    +g978
    +tp10078
    +a(g423
    +Vp0
    +p10079
    +tp10080
    +a(g693
    +g976
    +tp10081
    +a(g826
    +g978
    +tp10082
    +a(g423
    +Vp20
    +p10083
    +tp10084
    +a(g693
    +g976
    +tp10085
    +a(g826
    +g978
    +tp10086
    +a(g423
    +Vp3
    +p10087
    +tp10088
    +a(g693
    +g976
    +tp10089
    +a(g826
    +g978
    +tp10090
    +a(g423
    +Vp5
    +p10091
    +tp10092
    +a(g693
    +g976
    +tp10093
    +a(g826
    +g978
    +tp10094
    +a(g423
    +Vp8
    +p10095
    +tp10096
    +a(g693
    +g976
    +tp10097
    +a(g826
    +g978
    +tp10098
    +a(g423
    +Vp9
    +p10099
    +tp10100
    +a(g693
    +g976
    +tp10101
    +a(g826
    +g978
    +tp10102
    +a(g423
    +Vp15
    +p10103
    +tp10104
    +a(g693
    +g976
    +tp10105
    +a(g826
    +g978
    +tp10106
    +a(g423
    +Vp16
    +p10107
    +tp10108
    +a(g693
    +g976
    +tp10109
    +a(g826
    +g978
    +tp10110
    +a(g423
    +Vp17
    +p10111
    +tp10112
    +a(g693
    +g976
    +tp10113
    +a(g826
    +g978
    +tp10114
    +a(g423
    +Vp18
    +p10115
    +tp10116
    +a(g693
    +g976
    +tp10117
    +a(g826
    +g978
    +tp10118
    +a(g423
    +Vp19
    +p10119
    +tp10120
    +a(g693
    +g976
    +tp10121
    +a(g826
    +g978
    +tp10122
    +a(g423
    +Vp21
    +p10123
    +tp10124
    +a(g693
    +g976
    +tp10125
    +a(g826
    +g978
    +tp10126
    +a(g423
    +Vp22
    +p10127
    +tp10128
    +a(g693
    +g976
    +tp10129
    +a(g826
    +g978
    +tp10130
    +a(g423
    +Vp23
    +p10131
    +tp10132
    +a(g693
    +g976
    +tp10133
    +a(g826
    +g978
    +tp10134
    +a(g423
    +Vp24
    +p10135
    +tp10136
    +a(g693
    +g976
    +tp10137
    +a(g826
    +g978
    +tp10138
    +a(g423
    +VNone
    +p10139
    +tp10140
    +a(g693
    +g976
    +tp10141
    +a(g826
    +g978
    +tp10142
    +a(g423
    +VNone
    +p10143
    +tp10144
    +a(g693
    +g976
    +tp10145
    +a(g826
    +g978
    +tp10146
    +a(g423
    +VNone
    +p10147
    +tp10148
    +a(g693
    +g976
    +tp10149
    +a(g826
    +g978
    +tp10150
    +a(g423
    +VNone
    +p10151
    +tp10152
    +a(g693
    +g976
    +tp10153
    +a(g826
    +g978
    +tp10154
    +a(g423
    +VNone
    +p10155
    +tp10156
    +a(g693
    +g976
    +tp10157
    +a(g826
    +g978
    +tp10158
    +a(g423
    +VNone
    +p10159
    +tp10160
    +a(g693
    +g976
    +tp10161
    +a(g826
    +g978
    +tp10162
    +a(g423
    +VNone
    +p10163
    +tp10164
    +a(g693
    +g976
    +tp10165
    +a(g826
    +g978
    +tp10166
    +a(g423
    +VNone
    +p10167
    +tp10168
    +a(g693
    +g976
    +tp10169
    +a(g826
    +g978
    +tp10170
    +a(g423
    +VNone
    +p10171
    +tp10172
    +a(g693
    +g976
    +tp10173
    +a(g826
    +g978
    +tp10174
    +a(g423
    +VNone
    +p10175
    +tp10176
    +a(g693
    +g976
    +tp10177
    +a(g826
    +g978
    +tp10178
    +a(g423
    +Vf51
    +p10179
    +tp10180
    +a(g693
    +g976
    +tp10181
    +a(g826
    +g978
    +tp10182
    +a(g423
    +VNone
    +p10183
    +tp10184
    +a(g693
    +g976
    +tp10185
    +a(g826
    +g978
    +tp10186
    +a(g423
    +Vi32
    +p10187
    +tp10188
    +a(g693
    +g1098
    +tp10189
    +a(g826
    +V\u000a
    +p10190
    +tp10191
    +a(g616
    +Vdebug_merge_point
    +p10192
    +tp10193
    +a(g693
    +g1104
    +tp10194
    +a(g76
    +V' #258 LOAD_GLOBAL'
    +p10195
    +tp10196
    +a(g693
    +g976
    +tp10197
    +a(g826
    +g978
    +tp10198
    +a(g22
    +g1110
    +tp10199
    +a(g693
    +g1112
    +tp10200
    +a(g826
    +V\u000a
    +p10201
    +tp10202
    +a(g423
    +Vp92
    +p10203
    +tp10204
    +a(g826
    +g978
    +tp10205
    +a(g693
    +g1119
    +tp10206
    +a(g826
    +g978
    +tp10207
    +a(g669
    +Vgetfield_gc
    +p10208
    +tp10209
    +a(g693
    +g1104
    +tp10210
    +a(g423
    +Vp0
    +p10211
    +tp10212
    +a(g693
    +g976
    +tp10213
    +a(g826
    +g978
    +tp10214
    +a(g423
    +Vdescr
    +p10215
    +tp10216
    +a(g693
    +g1119
    +tp10217
    +a(g669
    +V
    +p10218
    +tp10219
    +a(g693
    +g1112
    +tp10220
    +a(g826
    +V\u000a
    +p10221
    +tp10222
    +a(g669
    +Vguard_value
    +p10223
    +tp10224
    +a(g693
    +g1104
    +tp10225
    +a(g423
    +Vp92
    +p10226
    +tp10227
    +a(g693
    +g976
    +tp10228
    +a(g826
    +g978
    +tp10229
    +a(g423
    +VConstPtr
    +p10230
    +tp10231
    +a(g693
    +g1104
    +tp10232
    +a(g669
    +Vptr93
    +p10233
    +tp10234
    +a(g693
    +g1112
    +tp10235
    +a(g693
    +g976
    +tp10236
    +a(g826
    +g978
    +tp10237
    +a(g423
    +Vdescr
    +p10238
    +tp10239
    +a(g693
    +g1119
    +tp10240
    +a(g669
    +V
    +p10241
    +tp10242
    +a(g693
    +g1112
    +tp10243
    +a(g826
    +g978
    +tp10244
    +a(g693
    +g972
    +tp10245
    +a(g423
    +Vp1
    +p10246
    +tp10247
    +a(g693
    +g976
    +tp10248
    +a(g826
    +g978
    +tp10249
    +a(g423
    +Vp0
    +p10250
    +tp10251
    +a(g693
    +g976
    +tp10252
    +a(g826
    +g978
    +tp10253
    +a(g423
    +Vp92
    +p10254
    +tp10255
    +a(g693
    +g976
    +tp10256
    +a(g826
    +g978
    +tp10257
    +a(g423
    +Vp3
    +p10258
    +tp10259
    +a(g693
    +g976
    +tp10260
    +a(g826
    +g978
    +tp10261
    +a(g423
    +Vp5
    +p10262
    +tp10263
    +a(g693
    +g976
    +tp10264
    +a(g826
    +g978
    +tp10265
    +a(g423
    +Vp8
    +p10266
    +tp10267
    +a(g693
    +g976
    +tp10268
    +a(g826
    +g978
    +tp10269
    +a(g423
    +Vp9
    +p10270
    +tp10271
    +a(g693
    +g976
    +tp10272
    +a(g826
    +g978
    +tp10273
    +a(g423
    +Vp20
    +p10274
    +tp10275
    +a(g693
    +g976
    +tp10276
    +a(g826
    +g978
    +tp10277
    +a(g423
    +Vp15
    +p10278
    +tp10279
    +a(g693
    +g976
    +tp10280
    +a(g826
    +g978
    +tp10281
    +a(g423
    +Vp16
    +p10282
    +tp10283
    +a(g693
    +g976
    +tp10284
    +a(g826
    +g978
    +tp10285
    +a(g423
    +Vp17
    +p10286
    +tp10287
    +a(g693
    +g976
    +tp10288
    +a(g826
    +g978
    +tp10289
    +a(g423
    +Vp18
    +p10290
    +tp10291
    +a(g693
    +g976
    +tp10292
    +a(g826
    +g978
    +tp10293
    +a(g423
    +Vp19
    +p10294
    +tp10295
    +a(g693
    +g976
    +tp10296
    +a(g826
    +g978
    +tp10297
    +a(g423
    +Vp21
    +p10298
    +tp10299
    +a(g693
    +g976
    +tp10300
    +a(g826
    +g978
    +tp10301
    +a(g423
    +Vp22
    +p10302
    +tp10303
    +a(g693
    +g976
    +tp10304
    +a(g826
    +g978
    +tp10305
    +a(g423
    +Vp23
    +p10306
    +tp10307
    +a(g693
    +g976
    +tp10308
    +a(g826
    +g978
    +tp10309
    +a(g423
    +Vp24
    +p10310
    +tp10311
    +a(g693
    +g976
    +tp10312
    +a(g826
    +g978
    +tp10313
    +a(g423
    +VNone
    +p10314
    +tp10315
    +a(g693
    +g976
    +tp10316
    +a(g826
    +g978
    +tp10317
    +a(g423
    +VNone
    +p10318
    +tp10319
    +a(g693
    +g976
    +tp10320
    +a(g826
    +g978
    +tp10321
    +a(g423
    +VNone
    +p10322
    +tp10323
    +a(g693
    +g976
    +tp10324
    +a(g826
    +g978
    +tp10325
    +a(g423
    +VNone
    +p10326
    +tp10327
    +a(g693
    +g976
    +tp10328
    +a(g826
    +g978
    +tp10329
    +a(g423
    +VNone
    +p10330
    +tp10331
    +a(g693
    +g976
    +tp10332
    +a(g826
    +g978
    +tp10333
    +a(g423
    +VNone
    +p10334
    +tp10335
    +a(g693
    +g976
    +tp10336
    +a(g826
    +g978
    +tp10337
    +a(g423
    +VNone
    +p10338
    +tp10339
    +a(g693
    +g976
    +tp10340
    +a(g826
    +g978
    +tp10341
    +a(g423
    +VNone
    +p10342
    +tp10343
    +a(g693
    +g976
    +tp10344
    +a(g826
    +g978
    +tp10345
    +a(g423
    +VNone
    +p10346
    +tp10347
    +a(g693
    +g976
    +tp10348
    +a(g826
    +g978
    +tp10349
    +a(g423
    +VNone
    +p10350
    +tp10351
    +a(g693
    +g976
    +tp10352
    +a(g826
    +g978
    +tp10353
    +a(g423
    +Vf51
    +p10354
    +tp10355
    +a(g693
    +g976
    +tp10356
    +a(g826
    +g978
    +tp10357
    +a(g423
    +VNone
    +p10358
    +tp10359
    +a(g693
    +g976
    +tp10360
    +a(g826
    +g978
    +tp10361
    +a(g423
    +Vi32
    +p10362
    +tp10363
    +a(g693
    +g1098
    +tp10364
    +a(g826
    +V\u000a
    +p10365
    +tp10366
    +a(g423
    +Vp94
    +p10367
    +tp10368
    +a(g826
    +g978
    +tp10369
    +a(g693
    +g1119
    +tp10370
    +a(g826
    +g978
    +tp10371
    +a(g669
    +Vgetfield_gc
    +p10372
    +tp10373
    +a(g693
    +g1104
    +tp10374
    +a(g423
    +Vp92
    +p10375
    +tp10376
    +a(g693
    +g976
    +tp10377
    +a(g826
    +g978
    +tp10378
    +a(g423
    +Vdescr
    +p10379
    +tp10380
    +a(g693
    +g1119
    +tp10381
    +a(g669
    +V
    +p10382
    +tp10383
    +a(g693
    +g1112
    +tp10384
    +a(g826
    +V\u000a
    +p10385
    +tp10386
    +a(g669
    +Vguard_isnull
    +p10387
    +tp10388
    +a(g693
    +g1104
    +tp10389
    +a(g423
    +Vp94
    +p10390
    +tp10391
    +a(g693
    +g976
    +tp10392
    +a(g826
    +g978
    +tp10393
    +a(g423
    +Vdescr
    +p10394
    +tp10395
    +a(g693
    +g1119
    +tp10396
    +a(g669
    +V
    +p10397
    +tp10398
    +a(g693
    +g1112
    +tp10399
    +a(g826
    +g978
    +tp10400
    +a(g693
    +g972
    +tp10401
    +a(g423
    +Vp1
    +p10402
    +tp10403
    +a(g693
    +g976
    +tp10404
    +a(g826
    +g978
    +tp10405
    +a(g423
    +Vp0
    +p10406
    +tp10407
    +a(g693
    +g976
    +tp10408
    +a(g826
    +g978
    +tp10409
    +a(g423
    +Vp94
    +p10410
    +tp10411
    +a(g693
    +g976
    +tp10412
    +a(g826
    +g978
    +tp10413
    +a(g423
    +Vp92
    +p10414
    +tp10415
    +a(g693
    +g976
    +tp10416
    +a(g826
    +g978
    +tp10417
    +a(g423
    +Vp3
    +p10418
    +tp10419
    +a(g693
    +g976
    +tp10420
    +a(g826
    +g978
    +tp10421
    +a(g423
    +Vp5
    +p10422
    +tp10423
    +a(g693
    +g976
    +tp10424
    +a(g826
    +g978
    +tp10425
    +a(g423
    +Vp8
    +p10426
    +tp10427
    +a(g693
    +g976
    +tp10428
    +a(g826
    +g978
    +tp10429
    +a(g423
    +Vp9
    +p10430
    +tp10431
    +a(g693
    +g976
    +tp10432
    +a(g826
    +g978
    +tp10433
    +a(g423
    +Vp20
    +p10434
    +tp10435
    +a(g693
    +g976
    +tp10436
    +a(g826
    +g978
    +tp10437
    +a(g423
    +Vp15
    +p10438
    +tp10439
    +a(g693
    +g976
    +tp10440
    +a(g826
    +g978
    +tp10441
    +a(g423
    +Vp16
    +p10442
    +tp10443
    +a(g693
    +g976
    +tp10444
    +a(g826
    +g978
    +tp10445
    +a(g423
    +Vp17
    +p10446
    +tp10447
    +a(g693
    +g976
    +tp10448
    +a(g826
    +g978
    +tp10449
    +a(g423
    +Vp18
    +p10450
    +tp10451
    +a(g693
    +g976
    +tp10452
    +a(g826
    +g978
    +tp10453
    +a(g423
    +Vp19
    +p10454
    +tp10455
    +a(g693
    +g976
    +tp10456
    +a(g826
    +g978
    +tp10457
    +a(g423
    +Vp21
    +p10458
    +tp10459
    +a(g693
    +g976
    +tp10460
    +a(g826
    +g978
    +tp10461
    +a(g423
    +Vp22
    +p10462
    +tp10463
    +a(g693
    +g976
    +tp10464
    +a(g826
    +g978
    +tp10465
    +a(g423
    +Vp23
    +p10466
    +tp10467
    +a(g693
    +g976
    +tp10468
    +a(g826
    +g978
    +tp10469
    +a(g423
    +Vp24
    +p10470
    +tp10471
    +a(g693
    +g976
    +tp10472
    +a(g826
    +g978
    +tp10473
    +a(g423
    +VNone
    +p10474
    +tp10475
    +a(g693
    +g976
    +tp10476
    +a(g826
    +g978
    +tp10477
    +a(g423
    +VNone
    +p10478
    +tp10479
    +a(g693
    +g976
    +tp10480
    +a(g826
    +g978
    +tp10481
    +a(g423
    +VNone
    +p10482
    +tp10483
    +a(g693
    +g976
    +tp10484
    +a(g826
    +g978
    +tp10485
    +a(g423
    +VNone
    +p10486
    +tp10487
    +a(g693
    +g976
    +tp10488
    +a(g826
    +g978
    +tp10489
    +a(g423
    +VNone
    +p10490
    +tp10491
    +a(g693
    +g976
    +tp10492
    +a(g826
    +g978
    +tp10493
    +a(g423
    +VNone
    +p10494
    +tp10495
    +a(g693
    +g976
    +tp10496
    +a(g826
    +g978
    +tp10497
    +a(g423
    +VNone
    +p10498
    +tp10499
    +a(g693
    +g976
    +tp10500
    +a(g826
    +g978
    +tp10501
    +a(g423
    +VNone
    +p10502
    +tp10503
    +a(g693
    +g976
    +tp10504
    +a(g826
    +g978
    +tp10505
    +a(g423
    +VNone
    +p10506
    +tp10507
    +a(g693
    +g976
    +tp10508
    +a(g826
    +g978
    +tp10509
    +a(g423
    +VNone
    +p10510
    +tp10511
    +a(g693
    +g976
    +tp10512
    +a(g826
    +g978
    +tp10513
    +a(g423
    +Vf51
    +p10514
    +tp10515
    +a(g693
    +g976
    +tp10516
    +a(g826
    +g978
    +tp10517
    +a(g423
    +VNone
    +p10518
    +tp10519
    +a(g693
    +g976
    +tp10520
    +a(g826
    +g978
    +tp10521
    +a(g423
    +Vi32
    +p10522
    +tp10523
    +a(g693
    +g1098
    +tp10524
    +a(g826
    +V\u000a
    +p10525
    +tp10526
    +a(g423
    +Vp96
    +p10527
    +tp10528
    +a(g826
    +g978
    +tp10529
    +a(g693
    +g1119
    +tp10530
    +a(g826
    +g978
    +tp10531
    +a(g669
    +Vgetfield_gc
    +p10532
    +tp10533
    +a(g693
    +g1104
    +tp10534
    +a(g423
    +VConstPtr
    +p10535
    +tp10536
    +a(g693
    +g1104
    +tp10537
    +a(g669
    +Vptr95
    +p10538
    +tp10539
    +a(g693
    +g1112
    +tp10540
    +a(g693
    +g976
    +tp10541
    +a(g826
    +g978
    +tp10542
    +a(g423
    +Vdescr
    +p10543
    +tp10544
    +a(g693
    +g1119
    +tp10545
    +a(g669
    +V
    +p10546
    +tp10547
    +a(g693
    +g1112
    +tp10548
    +a(g826
    +V\u000a
    +p10549
    +tp10550
    +a(g669
    +Vguard_nonnull_class
    +p10551
    +tp10552
    +a(g693
    +g1104
    +tp10553
    +a(g423
    +Vp96
    +p10554
    +tp10555
    +a(g693
    +g976
    +tp10556
    +a(g826
    +g978
    +tp10557
    +a(g423
    +VConstClass
    +p10558
    +tp10559
    +a(g693
    +g1104
    +tp10560
    +a(g669
    +VFunction
    +p10561
    +tp10562
    +a(g693
    +g1112
    +tp10563
    +a(g693
    +g976
    +tp10564
    +a(g826
    +g978
    +tp10565
    +a(g423
    +Vdescr
    +p10566
    +tp10567
    +a(g693
    +g1119
    +tp10568
    +a(g669
    +V
    +p10569
    +tp10570
    +a(g693
    +g1112
    +tp10571
    +a(g826
    +g978
    +tp10572
    +a(g693
    +g972
    +tp10573
    +a(g423
    +Vp1
    +p10574
    +tp10575
    +a(g693
    +g976
    +tp10576
    +a(g826
    +g978
    +tp10577
    +a(g423
    +Vp0
    +p10578
    +tp10579
    +a(g693
    +g976
    +tp10580
    +a(g826
    +g978
    +tp10581
    +a(g423
    +Vp96
    +p10582
    +tp10583
    +a(g693
    +g976
    +tp10584
    +a(g826
    +g978
    +tp10585
    +a(g423
    +Vp3
    +p10586
    +tp10587
    +a(g693
    +g976
    +tp10588
    +a(g826
    +g978
    +tp10589
    +a(g423
    +Vp5
    +p10590
    +tp10591
    +a(g693
    +g976
    +tp10592
    +a(g826
    +g978
    +tp10593
    +a(g423
    +Vp8
    +p10594
    +tp10595
    +a(g693
    +g976
    +tp10596
    +a(g826
    +g978
    +tp10597
    +a(g423
    +Vp9
    +p10598
    +tp10599
    +a(g693
    +g976
    +tp10600
    +a(g826
    +g978
    +tp10601
    +a(g423
    +Vp20
    +p10602
    +tp10603
    +a(g693
    +g976
    +tp10604
    +a(g826
    +g978
    +tp10605
    +a(g423
    +Vp15
    +p10606
    +tp10607
    +a(g693
    +g976
    +tp10608
    +a(g826
    +g978
    +tp10609
    +a(g423
    +Vp16
    +p10610
    +tp10611
    +a(g693
    +g976
    +tp10612
    +a(g826
    +g978
    +tp10613
    +a(g423
    +Vp17
    +p10614
    +tp10615
    +a(g693
    +g976
    +tp10616
    +a(g826
    +g978
    +tp10617
    +a(g423
    +Vp18
    +p10618
    +tp10619
    +a(g693
    +g976
    +tp10620
    +a(g826
    +g978
    +tp10621
    +a(g423
    +Vp19
    +p10622
    +tp10623
    +a(g693
    +g976
    +tp10624
    +a(g826
    +g978
    +tp10625
    +a(g423
    +Vp21
    +p10626
    +tp10627
    +a(g693
    +g976
    +tp10628
    +a(g826
    +g978
    +tp10629
    +a(g423
    +Vp22
    +p10630
    +tp10631
    +a(g693
    +g976
    +tp10632
    +a(g826
    +g978
    +tp10633
    +a(g423
    +Vp23
    +p10634
    +tp10635
    +a(g693
    +g976
    +tp10636
    +a(g826
    +g978
    +tp10637
    +a(g423
    +Vp24
    +p10638
    +tp10639
    +a(g693
    +g976
    +tp10640
    +a(g826
    +g978
    +tp10641
    +a(g423
    +VNone
    +p10642
    +tp10643
    +a(g693
    +g976
    +tp10644
    +a(g826
    +g978
    +tp10645
    +a(g423
    +VNone
    +p10646
    +tp10647
    +a(g693
    +g976
    +tp10648
    +a(g826
    +g978
    +tp10649
    +a(g423
    +VNone
    +p10650
    +tp10651
    +a(g693
    +g976
    +tp10652
    +a(g826
    +g978
    +tp10653
    +a(g423
    +VNone
    +p10654
    +tp10655
    +a(g693
    +g976
    +tp10656
    +a(g826
    +g978
    +tp10657
    +a(g423
    +VNone
    +p10658
    +tp10659
    +a(g693
    +g976
    +tp10660
    +a(g826
    +g978
    +tp10661
    +a(g423
    +VNone
    +p10662
    +tp10663
    +a(g693
    +g976
    +tp10664
    +a(g826
    +g978
    +tp10665
    +a(g423
    +VNone
    +p10666
    +tp10667
    +a(g693
    +g976
    +tp10668
    +a(g826
    +g978
    +tp10669
    +a(g423
    +VNone
    +p10670
    +tp10671
    +a(g693
    +g976
    +tp10672
    +a(g826
    +g978
    +tp10673
    +a(g423
    +VNone
    +p10674
    +tp10675
    +a(g693
    +g976
    +tp10676
    +a(g826
    +g978
    +tp10677
    +a(g423
    +VNone
    +p10678
    +tp10679
    +a(g693
    +g976
    +tp10680
    +a(g826
    +g978
    +tp10681
    +a(g423
    +Vf51
    +p10682
    +tp10683
    +a(g693
    +g976
    +tp10684
    +a(g826
    +g978
    +tp10685
    +a(g423
    +VNone
    +p10686
    +tp10687
    +a(g693
    +g976
    +tp10688
    +a(g826
    +g978
    +tp10689
    +a(g423
    +Vi32
    +p10690
    +tp10691
    +a(g693
    +g1098
    +tp10692
    +a(g826
    +V\u000a
    +p10693
    +tp10694
    +a(g616
    +Vdebug_merge_point
    +p10695
    +tp10696
    +a(g693
    +g1104
    +tp10697
    +a(g76
    +V' #261 LOAD_FAST'
    +p10698
    +tp10699
    +a(g693
    +g976
    +tp10700
    +a(g826
    +g978
    +tp10701
    +a(g22
    +g1110
    +tp10702
    +a(g693
    +g1112
    +tp10703
    +a(g826
    +V\u000a
    +p10704
    +tp10705
    +a(g616
    +Vdebug_merge_point
    +p10706
    +tp10707
    +a(g693
    +g1104
    +tp10708
    +a(g76
    +V' #264 LOAD_FAST'
    +p10709
    +tp10710
    +a(g693
    +g976
    +tp10711
    +a(g826
    +g978
    +tp10712
    +a(g22
    +g1110
    +tp10713
    +a(g693
    +g1112
    +tp10714
    +a(g826
    +V\u000a
    +p10715
    +tp10716
    +a(g616
    +Vdebug_merge_point
    +p10717
    +tp10718
    +a(g693
    +g1104
    +tp10719
    +a(g76
    +V' #267 LOAD_FAST'
    +p10720
    +tp10721
    +a(g693
    +g976
    +tp10722
    +a(g826
    +g978
    +tp10723
    +a(g22
    +g1110
    +tp10724
    +a(g693
    +g1112
    +tp10725
    +a(g826
    +V\u000a
    +p10726
    +tp10727
    +a(g616
    +Vdebug_merge_point
    +p10728
    +tp10729
    +a(g693
    +g1104
    +tp10730
    +a(g76
    +V' #270 BINARY_MULTIPLY'
    +p10731
    +tp10732
    +a(g693
    +g976
    +tp10733
    +a(g826
    +g978
    +tp10734
    +a(g22
    +g1110
    +tp10735
    +a(g693
    +g1112
    +tp10736
    +a(g826
    +V\u000a
    +p10737
    +tp10738
    +a(g616
    +Vdebug_merge_point
    +p10739
    +tp10740
    +a(g693
    +g1104
    +tp10741
    +a(g76
    +V' #271 LOAD_FAST'
    +p10742
    +tp10743
    +a(g693
    +g976
    +tp10744
    +a(g826
    +g978
    +tp10745
    +a(g22
    +g1110
    +tp10746
    +a(g693
    +g1112
    +tp10747
    +a(g826
    +V\u000a
    +p10748
    +tp10749
    +a(g616
    +Vdebug_merge_point
    +p10750
    +tp10751
    +a(g693
    +g1104
    +tp10752
    +a(g76
    +V' #274 BINARY_ADD'
    +p10753
    +tp10754
    +a(g693
    +g976
    +tp10755
    +a(g826
    +g978
    +tp10756
    +a(g22
    +g1110
    +tp10757
    +a(g693
    +g1112
    +tp10758
    +a(g826
    +V\u000a
    +p10759
    +tp10760
    +a(g616
    +Vdebug_merge_point
    +p10761
    +tp10762
    +a(g693
    +g1104
    +tp10763
    +a(g76
    +V' #275 BINARY_SUBSCR'
    +p10764
    +tp10765
    +a(g693
    +g976
    +tp10766
    +a(g826
    +g978
    +tp10767
    +a(g22
    +g1110
    +tp10768
    +a(g693
    +g1112
    +tp10769
    +a(g826
    +V\u000a
    +p10770
    +tp10771
    +a(g423
    +Vf98
    +p10772
    +tp10773
    +a(g826
    +g978
    +tp10774
    +a(g693
    +g1119
    +tp10775
    +a(g826
    +g978
    +tp10776
    +a(g669
    +Vgetarrayitem_raw
    +p10777
    +tp10778
    +a(g693
    +g1104
    +tp10779
    +a(g423
    +Vi50
    +p10780
    +tp10781
    +a(g693
    +g976
    +tp10782
    +a(g826
    +g978
    +tp10783
    +a(g423
    +Vi44
    +p10784
    +tp10785
    +a(g693
    +g976
    +tp10786
    +a(g826
    +g978
    +tp10787
    +a(g423
    +Vdescr
    +p10788
    +tp10789
    +a(g693
    +g1119
    +tp10790
    +a(g669
    +V
    +p10791
    +tp10792
    +a(g693
    +g1112
    +tp10793
    +a(g826
    +V\u000a
    +p10794
    +tp10795
    +a(g616
    +Vdebug_merge_point
    +p10796
    +tp10797
    +a(g693
    +g1104
    +tp10798
    +a(g76
    +V' #276 LOAD_FAST'
    +p10799
    +tp10800
    +a(g693
    +g976
    +tp10801
    +a(g826
    +g978
    +tp10802
    +a(g22
    +g1110
    +tp10803
    +a(g693
    +g1112
    +tp10804
    +a(g826
    +V\u000a
    +p10805
    +tp10806
    +a(g616
    +Vdebug_merge_point
    +p10807
    +tp10808
    +a(g693
    +g1104
    +tp10809
    +a(g76
    +V' #279 BINARY_SUBTRACT'
    +p10810
    +tp10811
    +a(g693
    +g976
    +tp10812
    +a(g826
    +g978
    +tp10813
    +a(g22
    +g1110
    +tp10814
    +a(g693
    +g1112
    +tp10815
    +a(g826
    +V\u000a
    +p10816
    +tp10817
    +a(g423
    +Vf99
    +p10818
    +tp10819
    +a(g826
    +g978
    +tp10820
    +a(g693
    +g1119
    +tp10821
    +a(g826
    +g978
    +tp10822
    +a(g669
    +Vfloat_sub
    +p10823
    +tp10824
    +a(g693
    +g1104
    +tp10825
    +a(g423
    +Vf98
    +p10826
    +tp10827
    +a(g693
    +g976
    +tp10828
    +a(g826
    +g978
    +tp10829
    +a(g423
    +Vf51
    +p10830
    +tp10831
    +a(g693
    +g1112
    +tp10832
    +a(g826
    +V\u000a
    +p10833
    +tp10834
    +a(g616
    +Vdebug_merge_point
    +p10835
    +tp10836
    +a(g693
    +g1104
    +tp10837
    +a(g76
    +V' #280 CALL_FUNCTION'
    +p10838
    +tp10839
    +a(g693
    +g976
    +tp10840
    +a(g826
    +g978
    +tp10841
    +a(g22
    +g1110
    +tp10842
    +a(g693
    +g1112
    +tp10843
    +a(g826
    +V\u000a
    +p10844
    +tp10845
    +a(g423
    +Vp100
    +p10846
    +tp10847
    +a(g826
    +g978
    +tp10848
    +a(g693
    +g1119
    +tp10849
    +a(g826
    +g978
    +tp10850
    +a(g669
    +Vgetfield_gc
    +p10851
    +tp10852
    +a(g693
    +g1104
    +tp10853
    +a(g423
    +Vp96
    +p10854
    +tp10855
    +a(g693
    +g976
    +tp10856
    +a(g826
    +g978
    +tp10857
    +a(g423
    +Vdescr
    +p10858
    +tp10859
    +a(g693
    +g1119
    +tp10860
    +a(g669
    +V
    +p10861
    +tp10862
    +a(g693
    +g1112
    +tp10863
    +a(g826
    +V\u000a
    +p10864
    +tp10865
    +a(g669
    +Vguard_value
    +p10866
    +tp10867
    +a(g693
    +g1104
    +tp10868
    +a(g423
    +Vp100
    +p10869
    +tp10870
    +a(g693
    +g976
    +tp10871
    +a(g826
    +g978
    +tp10872
    +a(g423
    +VConstPtr
    +p10873
    +tp10874
    +a(g693
    +g1104
    +tp10875
    +a(g669
    +Vptr101
    +p10876
    +tp10877
    +a(g693
    +g1112
    +tp10878
    +a(g693
    +g976
    +tp10879
    +a(g826
    +g978
    +tp10880
    +a(g423
    +Vdescr
    +p10881
    +tp10882
    +a(g693
    +g1119
    +tp10883
    +a(g669
    +V
    +p10884
    +tp10885
    +a(g693
    +g1112
    +tp10886
    +a(g826
    +g978
    +tp10887
    +a(g693
    +g972
    +tp10888
    +a(g423
    +Vp1
    +p10889
    +tp10890
    +a(g693
    +g976
    +tp10891
    +a(g826
    +g978
    +tp10892
    +a(g423
    +Vp0
    +p10893
    +tp10894
    +a(g693
    +g976
    +tp10895
    +a(g826
    +g978
    +tp10896
    +a(g423
    +Vp100
    +p10897
    +tp10898
    +a(g693
    +g976
    +tp10899
    +a(g826
    +g978
    +tp10900
    +a(g423
    +Vp96
    +p10901
    +tp10902
    +a(g693
    +g976
    +tp10903
    +a(g826
    +g978
    +tp10904
    +a(g423
    +Vp3
    +p10905
    +tp10906
    +a(g693
    +g976
    +tp10907
    +a(g826
    +g978
    +tp10908
    +a(g423
    +Vp5
    +p10909
    +tp10910
    +a(g693
    +g976
    +tp10911
    +a(g826
    +g978
    +tp10912
    +a(g423
    +Vp8
    +p10913
    +tp10914
    +a(g693
    +g976
    +tp10915
    +a(g826
    +g978
    +tp10916
    +a(g423
    +Vp9
    +p10917
    +tp10918
    +a(g693
    +g976
    +tp10919
    +a(g826
    +g978
    +tp10920
    +a(g423
    +Vp20
    +p10921
    +tp10922
    +a(g693
    +g976
    +tp10923
    +a(g826
    +g978
    +tp10924
    +a(g423
    +Vp15
    +p10925
    +tp10926
    +a(g693
    +g976
    +tp10927
    +a(g826
    +g978
    +tp10928
    +a(g423
    +Vp16
    +p10929
    +tp10930
    +a(g693
    +g976
    +tp10931
    +a(g826
    +g978
    +tp10932
    +a(g423
    +Vp17
    +p10933
    +tp10934
    +a(g693
    +g976
    +tp10935
    +a(g826
    +g978
    +tp10936
    +a(g423
    +Vp18
    +p10937
    +tp10938
    +a(g693
    +g976
    +tp10939
    +a(g826
    +g978
    +tp10940
    +a(g423
    +Vp19
    +p10941
    +tp10942
    +a(g693
    +g976
    +tp10943
    +a(g826
    +g978
    +tp10944
    +a(g423
    +Vp21
    +p10945
    +tp10946
    +a(g693
    +g976
    +tp10947
    +a(g826
    +g978
    +tp10948
    +a(g423
    +Vp22
    +p10949
    +tp10950
    +a(g693
    +g976
    +tp10951
    +a(g826
    +g978
    +tp10952
    +a(g423
    +Vp23
    +p10953
    +tp10954
    +a(g693
    +g976
    +tp10955
    +a(g826
    +g978
    +tp10956
    +a(g423
    +Vp24
    +p10957
    +tp10958
    +a(g693
    +g976
    +tp10959
    +a(g826
    +g978
    +tp10960
    +a(g423
    +Vf99
    +p10961
    +tp10962
    +a(g693
    +g976
    +tp10963
    +a(g826
    +g978
    +tp10964
    +a(g423
    +VNone
    +p10965
    +tp10966
    +a(g693
    +g976
    +tp10967
    +a(g826
    +g978
    +tp10968
    +a(g423
    +VNone
    +p10969
    +tp10970
    +a(g693
    +g976
    +tp10971
    +a(g826
    +g978
    +tp10972
    +a(g423
    +VNone
    +p10973
    +tp10974
    +a(g693
    +g976
    +tp10975
    +a(g826
    +g978
    +tp10976
    +a(g423
    +VNone
    +p10977
    +tp10978
    +a(g693
    +g976
    +tp10979
    +a(g826
    +g978
    +tp10980
    +a(g423
    +VNone
    +p10981
    +tp10982
    +a(g693
    +g976
    +tp10983
    +a(g826
    +g978
    +tp10984
    +a(g423
    +VNone
    +p10985
    +tp10986
    +a(g693
    +g976
    +tp10987
    +a(g826
    +g978
    +tp10988
    +a(g423
    +VNone
    +p10989
    +tp10990
    +a(g693
    +g976
    +tp10991
    +a(g826
    +g978
    +tp10992
    +a(g423
    +VNone
    +p10993
    +tp10994
    +a(g693
    +g976
    +tp10995
    +a(g826
    +g978
    +tp10996
    +a(g423
    +VNone
    +p10997
    +tp10998
    +a(g693
    +g976
    +tp10999
    +a(g826
    +g978
    +tp11000
    +a(g423
    +VNone
    +p11001
    +tp11002
    +a(g693
    +g976
    +tp11003
    +a(g826
    +g978
    +tp11004
    +a(g423
    +Vf51
    +p11005
    +tp11006
    +a(g693
    +g976
    +tp11007
    +a(g826
    +g978
    +tp11008
    +a(g423
    +VNone
    +p11009
    +tp11010
    +a(g693
    +g976
    +tp11011
    +a(g826
    +g978
    +tp11012
    +a(g423
    +Vi32
    +p11013
    +tp11014
    +a(g693
    +g1098
    +tp11015
    +a(g826
    +V\u000a
    +p11016
    +tp11017
    +a(g423
    +Vp102
    +p11018
    +tp11019
    +a(g826
    +g978
    +tp11020
    +a(g693
    +g1119
    +tp11021
    +a(g826
    +g978
    +tp11022
    +a(g669
    +Vgetfield_gc
    +p11023
    +tp11024
    +a(g693
    +g1104
    +tp11025
    +a(g423
    +Vp96
    +p11026
    +tp11027
    +a(g693
    +g976
    +tp11028
    +a(g826
    +g978
    +tp11029
    +a(g423
    +Vdescr
    +p11030
    +tp11031
    +a(g693
    +g1119
    +tp11032
    +a(g669
    +V
    +p11033
    +tp11034
    +a(g693
    +g1112
    +tp11035
    +a(g826
    +V\u000a
    +p11036
    +tp11037
    +a(g423
    +Vp103
    +p11038
    +tp11039
    +a(g826
    +g978
    +tp11040
    +a(g693
    +g1119
    +tp11041
    +a(g826
    +g978
    +tp11042
    +a(g669
    +Vgetfield_gc
    +p11043
    +tp11044
    +a(g693
    +g1104
    +tp11045
    +a(g423
    +Vp96
    +p11046
    +tp11047
    +a(g693
    +g976
    +tp11048
    +a(g826
    +g978
    +tp11049
    +a(g423
    +Vdescr
    +p11050
    +tp11051
    +a(g693
    +g1119
    +tp11052
    +a(g669
    +V
    +p11053
    +tp11054
    +a(g693
    +g1112
    +tp11055
    +a(g826
    +V\u000a
    +p11056
    +tp11057
    +a(g423
    +Vp105
    +p11058
    +tp11059
    +a(g826
    +g978
    +tp11060
    +a(g693
    +g1119
    +tp11061
    +a(g826
    +g978
    +tp11062
    +a(g669
    +Vcall
    +p11063
    +tp11064
    +a(g693
    +g1104
    +tp11065
    +a(g423
    +VConstClass
    +p11066
    +tp11067
    +a(g693
    +g1104
    +tp11068
    +a(g669
    +Vgetexecutioncontext
    +p11069
    +tp11070
    +a(g693
    +g1112
    +tp11071
    +a(g693
    +g976
    +tp11072
    +a(g826
    +g978
    +tp11073
    +a(g423
    +Vdescr
    +p11074
    +tp11075
    +a(g693
    +g1119
    +tp11076
    +a(g669
    +V
    +p11077
    +tp11078
    +a(g693
    +g1112
    +tp11079
    +a(g826
    +V\u000a
    +p11080
    +tp11081
    +a(g423
    +Vp106
    +p11082
    +tp11083
    +a(g826
    +g978
    +tp11084
    +a(g693
    +g1119
    +tp11085
    +a(g826
    +g978
    +tp11086
    +a(g669
    +Vgetfield_gc
    +p11087
    +tp11088
    +a(g693
    +g1104
    +tp11089
    +a(g423
    +Vp105
    +p11090
    +tp11091
    +a(g693
    +g976
    +tp11092
    +a(g826
    +g978
    +tp11093
    +a(g423
    +Vdescr
    +p11094
    +tp11095
    +a(g693
    +g1119
    +tp11096
    +a(g669
    +V
    +p11097
    +tp11098
    +a(g693
    +g1112
    +tp11099
    +a(g826
    +V\u000a
    +p11100
    +tp11101
    +a(g423
    +Vi107
    +p11102
    +tp11103
    +a(g826
    +g978
    +tp11104
    +a(g693
    +g1119
    +tp11105
    +a(g826
    +g978
    +tp11106
    +a(g669
    +Vforce_token
    +p11107
    +tp11108
    +a(g693
    +g1104
    +tp11109
    +a(g693
    +g1112
    +tp11110
    +a(g826
    +V\u000a
    +p11111
    +tp11112
    +a(g423
    +Vp108
    +p11113
    +tp11114
    +a(g826
    +g978
    +tp11115
    +a(g693
    +g1119
    +tp11116
    +a(g826
    +g978
    +tp11117
    +a(g669
    +Vgetfield_gc
    +p11118
    +tp11119
    +a(g693
    +g1104
    +tp11120
    +a(g423
    +Vp105
    +p11121
    +tp11122
    +a(g693
    +g976
    +tp11123
    +a(g826
    +g978
    +tp11124
    +a(g423
    +Vdescr
    +p11125
    +tp11126
    +a(g693
    +g1119
    +tp11127
    +a(g669
    +V
    +p11128
    +tp11129
    +a(g693
    +g1112
    +tp11130
    +a(g826
    +V\u000a
    +p11131
    +tp11132
    +a(g669
    +Vguard_isnull
    +p11133
    +tp11134
    +a(g693
    +g1104
    +tp11135
    +a(g423
    +Vp108
    +p11136
    +tp11137
    +a(g693
    +g976
    +tp11138
    +a(g826
    +g978
    +tp11139
    +a(g423
    +Vdescr
    +p11140
    +tp11141
    +a(g693
    +g1119
    +tp11142
    +a(g669
    +V
    +p11143
    +tp11144
    +a(g693
    +g1112
    +tp11145
    +a(g826
    +g978
    +tp11146
    +a(g693
    +g972
    +tp11147
    +a(g423
    +Vp1
    +p11148
    +tp11149
    +a(g693
    +g976
    +tp11150
    +a(g826
    +g978
    +tp11151
    +a(g423
    +Vp0
    +p11152
    +tp11153
    +a(g693
    +g976
    +tp11154
    +a(g826
    +g978
    +tp11155
    +a(g423
    +Vp105
    +p11156
    +tp11157
    +a(g693
    +g976
    +tp11158
    +a(g826
    +g978
    +tp11159
    +a(g423
    +Vp108
    +p11160
    +tp11161
    +a(g693
    +g976
    +tp11162
    +a(g826
    +g978
    +tp11163
    +a(g423
    +Vp3
    +p11164
    +tp11165
    +a(g693
    +g976
    +tp11166
    +a(g826
    +g978
    +tp11167
    +a(g423
    +Vp5
    +p11168
    +tp11169
    +a(g693
    +g976
    +tp11170
    +a(g826
    +g978
    +tp11171
    +a(g423
    +Vp8
    +p11172
    +tp11173
    +a(g693
    +g976
    +tp11174
    +a(g826
    +g978
    +tp11175
    +a(g423
    +Vp9
    +p11176
    +tp11177
    +a(g693
    +g976
    +tp11178
    +a(g826
    +g978
    +tp11179
    +a(g423
    +Vp20
    +p11180
    +tp11181
    +a(g693
    +g976
    +tp11182
    +a(g826
    +g978
    +tp11183
    +a(g423
    +Vp96
    +p11184
    +tp11185
    +a(g693
    +g976
    +tp11186
    +a(g826
    +g978
    +tp11187
    +a(g423
    +Vp15
    +p11188
    +tp11189
    +a(g693
    +g976
    +tp11190
    +a(g826
    +g978
    +tp11191
    +a(g423
    +Vp16
    +p11192
    +tp11193
    +a(g693
    +g976
    +tp11194
    +a(g826
    +g978
    +tp11195
    +a(g423
    +Vp17
    +p11196
    +tp11197
    +a(g693
    +g976
    +tp11198
    +a(g826
    +g978
    +tp11199
    +a(g423
    +Vp18
    +p11200
    +tp11201
    +a(g693
    +g976
    +tp11202
    +a(g826
    +g978
    +tp11203
    +a(g423
    +Vp19
    +p11204
    +tp11205
    +a(g693
    +g976
    +tp11206
    +a(g826
    +g978
    +tp11207
    +a(g423
    +Vp21
    +p11208
    +tp11209
    +a(g693
    +g976
    +tp11210
    +a(g826
    +g978
    +tp11211
    +a(g423
    +Vp22
    +p11212
    +tp11213
    +a(g693
    +g976
    +tp11214
    +a(g826
    +g978
    +tp11215
    +a(g423
    +Vp23
    +p11216
    +tp11217
    +a(g693
    +g976
    +tp11218
    +a(g826
    +g978
    +tp11219
    +a(g423
    +Vp24
    +p11220
    +tp11221
    +a(g693
    +g976
    +tp11222
    +a(g826
    +g978
    +tp11223
    +a(g423
    +Vp106
    +p11224
    +tp11225
    +a(g693
    +g976
    +tp11226
    +a(g826
    +g978
    +tp11227
    +a(g423
    +Vp102
    +p11228
    +tp11229
    +a(g693
    +g976
    +tp11230
    +a(g826
    +g978
    +tp11231
    +a(g423
    +Vi107
    +p11232
    +tp11233
    +a(g693
    +g976
    +tp11234
    +a(g826
    +g978
    +tp11235
    +a(g423
    +Vf99
    +p11236
    +tp11237
    +a(g693
    +g976
    +tp11238
    +a(g826
    +g978
    +tp11239
    +a(g423
    +VNone
    +p11240
    +tp11241
    +a(g693
    +g976
    +tp11242
    +a(g826
    +g978
    +tp11243
    +a(g423
    +VNone
    +p11244
    +tp11245
    +a(g693
    +g976
    +tp11246
    +a(g826
    +g978
    +tp11247
    +a(g423
    +VNone
    +p11248
    +tp11249
    +a(g693
    +g976
    +tp11250
    +a(g826
    +g978
    +tp11251
    +a(g423
    +VNone
    +p11252
    +tp11253
    +a(g693
    +g976
    +tp11254
    +a(g826
    +g978
    +tp11255
    +a(g423
    +VNone
    +p11256
    +tp11257
    +a(g693
    +g976
    +tp11258
    +a(g826
    +g978
    +tp11259
    +a(g423
    +VNone
    +p11260
    +tp11261
    +a(g693
    +g976
    +tp11262
    +a(g826
    +g978
    +tp11263
    +a(g423
    +VNone
    +p11264
    +tp11265
    +a(g693
    +g976
    +tp11266
    +a(g826
    +g978
    +tp11267
    +a(g423
    +VNone
    +p11268
    +tp11269
    +a(g693
    +g976
    +tp11270
    +a(g826
    +g978
    +tp11271
    +a(g423
    +VNone
    +p11272
    +tp11273
    +a(g693
    +g976
    +tp11274
    +a(g826
    +g978
    +tp11275
    +a(g423
    +VNone
    +p11276
    +tp11277
    +a(g693
    +g976
    +tp11278
    +a(g826
    +g978
    +tp11279
    +a(g423
    +Vf51
    +p11280
    +tp11281
    +a(g693
    +g976
    +tp11282
    +a(g826
    +g978
    +tp11283
    +a(g423
    +VNone
    +p11284
    +tp11285
    +a(g693
    +g976
    +tp11286
    +a(g826
    +g978
    +tp11287
    +a(g423
    +Vi32
    +p11288
    +tp11289
    +a(g693
    +g1098
    +tp11290
    +a(g826
    +V\u000a
    +p11291
    +tp11292
    +a(g423
    +Vi109
    +p11293
    +tp11294
    +a(g826
    +g978
    +tp11295
    +a(g693
    +g1119
    +tp11296
    +a(g826
    +g978
    +tp11297
    +a(g669
    +Vgetfield_gc
    +p11298
    +tp11299
    +a(g693
    +g1104
    +tp11300
    +a(g423
    +Vp105
    +p11301
    +tp11302
    +a(g693
    +g976
    +tp11303
    +a(g826
    +g978
    +tp11304
    +a(g423
    +Vdescr
    +p11305
    +tp11306
    +a(g693
    +g1119
    +tp11307
    +a(g669
    +V
    +p11308
    +tp11309
    +a(g693
    +g1112
    +tp11310
    +a(g826
    +V\u000a
    +p11311
    +tp11312
    +a(g423
    +Vi110
    +p11313
    +tp11314
    +a(g826
    +g978
    +tp11315
    +a(g693
    +g1119
    +tp11316
    +a(g826
    +g978
    +tp11317
    +a(g669
    +Vint_is_zero
    +p11318
    +tp11319
    +a(g693
    +g1104
    +tp11320
    +a(g669
    +Vi109
    +p11321
    +tp11322
    +a(g693
    +g1112
    +tp11323
    +a(g826
    +V\u000a
    +p11324
    +tp11325
    +a(g669
    +Vguard_true
    +p11326
    +tp11327
    +a(g693
    +g1104
    +tp11328
    +a(g423
    +Vi110
    +p11329
    +tp11330
    +a(g693
    +g976
    +tp11331
    +a(g826
    +g978
    +tp11332
    +a(g423
    +Vdescr
    +p11333
    +tp11334
    +a(g693
    +g1119
    +tp11335
    +a(g669
    +V
    +p11336
    +tp11337
    +a(g693
    +g1112
    +tp11338
    +a(g826
    +g978
    +tp11339
    +a(g693
    +g972
    +tp11340
    +a(g423
    +Vp1
    +p11341
    +tp11342
    +a(g693
    +g976
    +tp11343
    +a(g826
    +g978
    +tp11344
    +a(g423
    +Vp0
    +p11345
    +tp11346
    +a(g693
    +g976
    +tp11347
    +a(g826
    +g978
    +tp11348
    +a(g423
    +Vp105
    +p11349
    +tp11350
    +a(g693
    +g976
    +tp11351
    +a(g826
    +g978
    +tp11352
    +a(g423
    +Vp3
    +p11353
    +tp11354
    +a(g693
    +g976
    +tp11355
    +a(g826
    +g978
    +tp11356
    +a(g423
    +Vp5
    +p11357
    +tp11358
    +a(g693
    +g976
    +tp11359
    +a(g826
    +g978
    +tp11360
    +a(g423
    +Vp8
    +p11361
    +tp11362
    +a(g693
    +g976
    +tp11363
    +a(g826
    +g978
    +tp11364
    +a(g423
    +Vp9
    +p11365
    +tp11366
    +a(g693
    +g976
    +tp11367
    +a(g826
    +g978
    +tp11368
    +a(g423
    +Vp20
    +p11369
    +tp11370
    +a(g693
    +g976
    +tp11371
    +a(g826
    +g978
    +tp11372
    +a(g423
    +Vp96
    +p11373
    +tp11374
    +a(g693
    +g976
    +tp11375
    +a(g826
    +g978
    +tp11376
    +a(g423
    +Vp15
    +p11377
    +tp11378
    +a(g693
    +g976
    +tp11379
    +a(g826
    +g978
    +tp11380
    +a(g423
    +Vp16
    +p11381
    +tp11382
    +a(g693
    +g976
    +tp11383
    +a(g826
    +g978
    +tp11384
    +a(g423
    +Vp17
    +p11385
    +tp11386
    +a(g693
    +g976
    +tp11387
    +a(g826
    +g978
    +tp11388
    +a(g423
    +Vp18
    +p11389
    +tp11390
    +a(g693
    +g976
    +tp11391
    +a(g826
    +g978
    +tp11392
    +a(g423
    +Vp19
    +p11393
    +tp11394
    +a(g693
    +g976
    +tp11395
    +a(g826
    +g978
    +tp11396
    +a(g423
    +Vp21
    +p11397
    +tp11398
    +a(g693
    +g976
    +tp11399
    +a(g826
    +g978
    +tp11400
    +a(g423
    +Vp22
    +p11401
    +tp11402
    +a(g693
    +g976
    +tp11403
    +a(g826
    +g978
    +tp11404
    +a(g423
    +Vp23
    +p11405
    +tp11406
    +a(g693
    +g976
    +tp11407
    +a(g826
    +g978
    +tp11408
    +a(g423
    +Vp24
    +p11409
    +tp11410
    +a(g693
    +g976
    +tp11411
    +a(g826
    +g978
    +tp11412
    +a(g423
    +Vp106
    +p11413
    +tp11414
    +a(g693
    +g976
    +tp11415
    +a(g826
    +g978
    +tp11416
    +a(g423
    +Vp102
    +p11417
    +tp11418
    +a(g693
    +g976
    +tp11419
    +a(g826
    +g978
    +tp11420
    +a(g423
    +Vi107
    +p11421
    +tp11422
    +a(g693
    +g976
    +tp11423
    +a(g826
    +g978
    +tp11424
    +a(g423
    +Vf99
    +p11425
    +tp11426
    +a(g693
    +g976
    +tp11427
    +a(g826
    +g978
    +tp11428
    +a(g423
    +VNone
    +p11429
    +tp11430
    +a(g693
    +g976
    +tp11431
    +a(g826
    +g978
    +tp11432
    +a(g423
    +VNone
    +p11433
    +tp11434
    +a(g693
    +g976
    +tp11435
    +a(g826
    +g978
    +tp11436
    +a(g423
    +VNone
    +p11437
    +tp11438
    +a(g693
    +g976
    +tp11439
    +a(g826
    +g978
    +tp11440
    +a(g423
    +VNone
    +p11441
    +tp11442
    +a(g693
    +g976
    +tp11443
    +a(g826
    +g978
    +tp11444
    +a(g423
    +VNone
    +p11445
    +tp11446
    +a(g693
    +g976
    +tp11447
    +a(g826
    +g978
    +tp11448
    +a(g423
    +VNone
    +p11449
    +tp11450
    +a(g693
    +g976
    +tp11451
    +a(g826
    +g978
    +tp11452
    +a(g423
    +VNone
    +p11453
    +tp11454
    +a(g693
    +g976
    +tp11455
    +a(g826
    +g978
    +tp11456
    +a(g423
    +VNone
    +p11457
    +tp11458
    +a(g693
    +g976
    +tp11459
    +a(g826
    +g978
    +tp11460
    +a(g423
    +VNone
    +p11461
    +tp11462
    +a(g693
    +g976
    +tp11463
    +a(g826
    +g978
    +tp11464
    +a(g423
    +VNone
    +p11465
    +tp11466
    +a(g693
    +g976
    +tp11467
    +a(g826
    +g978
    +tp11468
    +a(g423
    +Vf51
    +p11469
    +tp11470
    +a(g693
    +g976
    +tp11471
    +a(g826
    +g978
    +tp11472
    +a(g423
    +VNone
    +p11473
    +tp11474
    +a(g693
    +g976
    +tp11475
    +a(g826
    +g978
    +tp11476
    +a(g423
    +Vi32
    +p11477
    +tp11478
    +a(g693
    +g1098
    +tp11479
    +a(g826
    +V\u000a
    +p11480
    +tp11481
    +a(g616
    +Vdebug_merge_point
    +p11482
    +tp11483
    +a(g693
    +g1104
    +tp11484
    +a(g76
    +V' #0 LOAD_FAST'
    +p11485
    +tp11486
    +a(g693
    +g976
    +tp11487
    +a(g826
    +g978
    +tp11488
    +a(g22
    +g1250
    +tp11489
    +a(g693
    +g1112
    +tp11490
    +a(g826
    +V\u000a
    +p11491
    +tp11492
    +a(g616
    +Vdebug_merge_point
    +p11493
    +tp11494
    +a(g693
    +g1104
    +tp11495
    +a(g76
    +V' #3 LOAD_FAST'
    +p11496
    +tp11497
    +a(g693
    +g976
    +tp11498
    +a(g826
    +g978
    +tp11499
    +a(g22
    +g1250
    +tp11500
    +a(g693
    +g1112
    +tp11501
    +a(g826
    +V\u000a
    +p11502
    +tp11503
    +a(g616
    +Vdebug_merge_point
    +p11504
    +tp11505
    +a(g693
    +g1104
    +tp11506
    +a(g76
    +V' #6 BINARY_MULTIPLY'
    +p11507
    +tp11508
    +a(g693
    +g976
    +tp11509
    +a(g826
    +g978
    +tp11510
    +a(g22
    +g1250
    +tp11511
    +a(g693
    +g1112
    +tp11512
    +a(g826
    +V\u000a
    +p11513
    +tp11514
    +a(g423
    +Vf111
    +p11515
    +tp11516
    +a(g826
    +g978
    +tp11517
    +a(g693
    +g1119
    +tp11518
    +a(g826
    +g978
    +tp11519
    +a(g669
    +Vfloat_mul
    +p11520
    +tp11521
    +a(g693
    +g1104
    +tp11522
    +a(g423
    +Vf99
    +p11523
    +tp11524
    +a(g693
    +g976
    +tp11525
    +a(g826
    +g978
    +tp11526
    +a(g423
    +Vf99
    +p11527
    +tp11528
    +a(g693
    +g1112
    +tp11529
    +a(g826
    +V\u000a
    +p11530
    +tp11531
    +a(g616
    +Vdebug_merge_point
    +p11532
    +tp11533
    +a(g693
    +g1104
    +tp11534
    +a(g76
    +V' #7 RETURN_VALUE'
    +p11535
    +tp11536
    +a(g693
    +g976
    +tp11537
    +a(g826
    +g978
    +tp11538
    +a(g22
    +g1250
    +tp11539
    +a(g693
    +g1112
    +tp11540
    +a(g826
    +V\u000a
    +p11541
    +tp11542
    +a(g423
    +Vi112
    +p11543
    +tp11544
    +a(g826
    +g978
    +tp11545
    +a(g693
    +g1119
    +tp11546
    +a(g826
    +g978
    +tp11547
    +a(g669
    +Vint_is_true
    +p11548
    +tp11549
    +a(g693
    +g1104
    +tp11550
    +a(g669
    +Vi109
    +p11551
    +tp11552
    +a(g693
    +g1112
    +tp11553
    +a(g826
    +V\u000a
    +p11554
    +tp11555
    +a(g669
    +Vguard_false
    +p11556
    +tp11557
    +a(g693
    +g1104
    +tp11558
    +a(g423
    +Vi112
    +p11559
    +tp11560
    +a(g693
    +g976
    +tp11561
    +a(g826
    +g978
    +tp11562
    +a(g423
    +Vdescr
    +p11563
    +tp11564
    +a(g693
    +g1119
    +tp11565
    +a(g669
    +V
    +p11566
    +tp11567
    +a(g693
    +g1112
    +tp11568
    +a(g826
    +g978
    +tp11569
    +a(g693
    +g972
    +tp11570
    +a(g423
    +Vp1
    +p11571
    +tp11572
    +a(g693
    +g976
    +tp11573
    +a(g826
    +g978
    +tp11574
    +a(g423
    +Vp0
    +p11575
    +tp11576
    +a(g693
    +g976
    +tp11577
    +a(g826
    +g978
    +tp11578
    +a(g423
    +Vp105
    +p11579
    +tp11580
    +a(g693
    +g976
    +tp11581
    +a(g826
    +g978
    +tp11582
    +a(g423
    +Vp3
    +p11583
    +tp11584
    +a(g693
    +g976
    +tp11585
    +a(g826
    +g978
    +tp11586
    +a(g423
    +Vp5
    +p11587
    +tp11588
    +a(g693
    +g976
    +tp11589
    +a(g826
    +g978
    +tp11590
    +a(g423
    +Vp8
    +p11591
    +tp11592
    +a(g693
    +g976
    +tp11593
    +a(g826
    +g978
    +tp11594
    +a(g423
    +Vp9
    +p11595
    +tp11596
    +a(g693
    +g976
    +tp11597
    +a(g826
    +g978
    +tp11598
    +a(g423
    +Vp20
    +p11599
    +tp11600
    +a(g693
    +g976
    +tp11601
    +a(g826
    +g978
    +tp11602
    +a(g423
    +Vp96
    +p11603
    +tp11604
    +a(g693
    +g976
    +tp11605
    +a(g826
    +g978
    +tp11606
    +a(g423
    +Vp15
    +p11607
    +tp11608
    +a(g693
    +g976
    +tp11609
    +a(g826
    +g978
    +tp11610
    +a(g423
    +Vp16
    +p11611
    +tp11612
    +a(g693
    +g976
    +tp11613
    +a(g826
    +g978
    +tp11614
    +a(g423
    +Vp17
    +p11615
    +tp11616
    +a(g693
    +g976
    +tp11617
    +a(g826
    +g978
    +tp11618
    +a(g423
    +Vp18
    +p11619
    +tp11620
    +a(g693
    +g976
    +tp11621
    +a(g826
    +g978
    +tp11622
    +a(g423
    +Vp19
    +p11623
    +tp11624
    +a(g693
    +g976
    +tp11625
    +a(g826
    +g978
    +tp11626
    +a(g423
    +Vp21
    +p11627
    +tp11628
    +a(g693
    +g976
    +tp11629
    +a(g826
    +g978
    +tp11630
    +a(g423
    +Vp22
    +p11631
    +tp11632
    +a(g693
    +g976
    +tp11633
    +a(g826
    +g978
    +tp11634
    +a(g423
    +Vp23
    +p11635
    +tp11636
    +a(g693
    +g976
    +tp11637
    +a(g826
    +g978
    +tp11638
    +a(g423
    +Vp24
    +p11639
    +tp11640
    +a(g693
    +g976
    +tp11641
    +a(g826
    +g978
    +tp11642
    +a(g423
    +Vf111
    +p11643
    +tp11644
    +a(g693
    +g976
    +tp11645
    +a(g826
    +g978
    +tp11646
    +a(g423
    +Vp106
    +p11647
    +tp11648
    +a(g693
    +g976
    +tp11649
    +a(g826
    +g978
    +tp11650
    +a(g423
    +Vp102
    +p11651
    +tp11652
    +a(g693
    +g976
    +tp11653
    +a(g826
    +g978
    +tp11654
    +a(g423
    +Vi107
    +p11655
    +tp11656
    +a(g693
    +g976
    +tp11657
    +a(g826
    +g978
    +tp11658
    +a(g423
    +Vf99
    +p11659
    +tp11660
    +a(g693
    +g976
    +tp11661
    +a(g826
    +g978
    +tp11662
    +a(g423
    +VNone
    +p11663
    +tp11664
    +a(g693
    +g976
    +tp11665
    +a(g826
    +g978
    +tp11666
    +a(g423
    +VNone
    +p11667
    +tp11668
    +a(g693
    +g976
    +tp11669
    +a(g826
    +g978
    +tp11670
    +a(g423
    +VNone
    +p11671
    +tp11672
    +a(g693
    +g976
    +tp11673
    +a(g826
    +g978
    +tp11674
    +a(g423
    +VNone
    +p11675
    +tp11676
    +a(g693
    +g976
    +tp11677
    +a(g826
    +g978
    +tp11678
    +a(g423
    +VNone
    +p11679
    +tp11680
    +a(g693
    +g976
    +tp11681
    +a(g826
    +g978
    +tp11682
    +a(g423
    +VNone
    +p11683
    +tp11684
    +a(g693
    +g976
    +tp11685
    +a(g826
    +g978
    +tp11686
    +a(g423
    +VNone
    +p11687
    +tp11688
    +a(g693
    +g976
    +tp11689
    +a(g826
    +g978
    +tp11690
    +a(g423
    +VNone
    +p11691
    +tp11692
    +a(g693
    +g976
    +tp11693
    +a(g826
    +g978
    +tp11694
    +a(g423
    +VNone
    +p11695
    +tp11696
    +a(g693
    +g976
    +tp11697
    +a(g826
    +g978
    +tp11698
    +a(g423
    +VNone
    +p11699
    +tp11700
    +a(g693
    +g976
    +tp11701
    +a(g826
    +g978
    +tp11702
    +a(g423
    +Vf51
    +p11703
    +tp11704
    +a(g693
    +g976
    +tp11705
    +a(g826
    +g978
    +tp11706
    +a(g423
    +VNone
    +p11707
    +tp11708
    +a(g693
    +g976
    +tp11709
    +a(g826
    +g978
    +tp11710
    +a(g423
    +Vi32
    +p11711
    +tp11712
    +a(g693
    +g1098
    +tp11713
    +a(g826
    +V\u000a
    +p11714
    +tp11715
    +a(g616
    +Vdebug_merge_point
    +p11716
    +tp11717
    +a(g693
    +g1104
    +tp11718
    +a(g76
    +V' #283 INPLACE_ADD'
    +p11719
    +tp11720
    +a(g693
    +g976
    +tp11721
    +a(g826
    +g978
    +tp11722
    +a(g22
    +g1110
    +tp11723
    +a(g693
    +g1112
    +tp11724
    +a(g826
    +V\u000a
    +p11725
    +tp11726
    +a(g423
    +Vf113
    +p11727
    +tp11728
    +a(g826
    +g978
    +tp11729
    +a(g693
    +g1119
    +tp11730
    +a(g826
    +g978
    +tp11731
    +a(g669
    +Vgetfield_gc_pure
    +p11732
    +tp11733
    +a(g693
    +g1104
    +tp11734
    +a(g423
    +Vp20
    +p11735
    +tp11736
    +a(g693
    +g976
    +tp11737
    +a(g826
    +g978
    +tp11738
    +a(g423
    +Vdescr
    +p11739
    +tp11740
    +a(g693
    +g1119
    +tp11741
    +a(g669
    +V
    +p11742
    +tp11743
    +a(g693
    +g1112
    +tp11744
    +a(g826
    +V\u000a
    +p11745
    +tp11746
    +a(g423
    +Vf114
    +p11747
    +tp11748
    +a(g826
    +g978
    +tp11749
    +a(g693
    +g1119
    +tp11750
    +a(g826
    +g978
    +tp11751
    +a(g669
    +Vfloat_add
    +p11752
    +tp11753
    +a(g693
    +g1104
    +tp11754
    +a(g423
    +Vf113
    +p11755
    +tp11756
    +a(g693
    +g976
    +tp11757
    +a(g826
    +g978
    +tp11758
    +a(g423
    +Vf111
    +p11759
    +tp11760
    +a(g693
    +g1112
    +tp11761
    +a(g826
    +V\u000a
    +p11762
    +tp11763
    +a(g616
    +Vdebug_merge_point
    +p11764
    +tp11765
    +a(g693
    +g1104
    +tp11766
    +a(g76
    +V' #284 STORE_FAST'
    +p11767
    +tp11768
    +a(g693
    +g976
    +tp11769
    +a(g826
    +g978
    +tp11770
    +a(g22
    +g1110
    +tp11771
    +a(g693
    +g1112
    +tp11772
    +a(g826
    +V\u000a
    +p11773
    +tp11774
    +a(g616
    +Vdebug_merge_point
    +p11775
    +tp11776
    +a(g693
    +g1104
    +tp11777
    +a(g76
    +V' #287 JUMP_ABSOLUTE'
    +p11778
    +tp11779
    +a(g693
    +g976
    +tp11780
    +a(g826
    +g978
    +tp11781
    +a(g22
    +g1110
    +tp11782
    +a(g693
    +g1112
    +tp11783
    +a(g826
    +V\u000a
    +p11784
    +tp11785
    +a(g423
    +Vi116
    +p11786
    +tp11787
    +a(g826
    +g978
    +tp11788
    +a(g693
    +g1119
    +tp11789
    +a(g826
    +g978
    +tp11790
    +a(g669
    +Vgetfield_raw
    +p11791
    +tp11792
    +a(g693
    +g1104
    +tp11793
    +a(g22
    +V38968960
    +p11794
    +tp11795
    +a(g693
    +g976
    +tp11796
    +a(g826
    +g978
    +tp11797
    +a(g423
    +Vdescr
    +p11798
    +tp11799
    +a(g693
    +g1119
    +tp11800
    +a(g669
    +V
    +p11801
    +tp11802
    +a(g693
    +g1112
    +tp11803
    +a(g826
    +V\u000a
    +p11804
    +tp11805
    +a(g423
    +Vi118
    +p11806
    +tp11807
    +a(g826
    +g978
    +tp11808
    +a(g693
    +g1119
    +tp11809
    +a(g826
    +g978
    +tp11810
    +a(g669
    +Vint_sub
    +p11811
    +tp11812
    +a(g693
    +g1104
    +tp11813
    +a(g423
    +Vi116
    +p11814
    +tp11815
    +a(g693
    +g976
    +tp11816
    +a(g826
    +g978
    +tp11817
    +a(g22
    +V26
    +p11818
    +tp11819
    +a(g693
    +g1112
    +tp11820
    +a(g826
    +V\u000a
    +p11821
    +tp11822
    +a(g669
    +Vsetfield_raw
    +p11823
    +tp11824
    +a(g693
    +g1104
    +tp11825
    +a(g22
    +V38968960
    +p11826
    +tp11827
    +a(g693
    +g976
    +tp11828
    +a(g826
    +g978
    +tp11829
    +a(g423
    +Vi118
    +p11830
    +tp11831
    +a(g693
    +g976
    +tp11832
    +a(g826
    +g978
    +tp11833
    +a(g423
    +Vdescr
    +p11834
    +tp11835
    +a(g693
    +g1119
    +tp11836
    +a(g669
    +V
    +p11837
    +tp11838
    +a(g693
    +g1112
    +tp11839
    +a(g826
    +V\u000a
    +p11840
    +tp11841
    +a(g423
    +Vi120
    +p11842
    +tp11843
    +a(g826
    +g978
    +tp11844
    +a(g693
    +g1119
    +tp11845
    +a(g826
    +g978
    +tp11846
    +a(g669
    +Vint_lt
    +p11847
    +tp11848
    +a(g693
    +g1104
    +tp11849
    +a(g423
    +Vi118
    +p11850
    +tp11851
    +a(g693
    +g976
    +tp11852
    +a(g826
    +g978
    +tp11853
    +a(g22
    +g1110
    +tp11854
    +a(g693
    +g1112
    +tp11855
    +a(g826
    +V\u000a
    +p11856
    +tp11857
    +a(g669
    +Vguard_false
    +p11858
    +tp11859
    +a(g693
    +g1104
    +tp11860
    +a(g423
    +Vi120
    +p11861
    +tp11862
    +a(g693
    +g976
    +tp11863
    +a(g826
    +g978
    +tp11864
    +a(g423
    +Vdescr
    +p11865
    +tp11866
    +a(g693
    +g1119
    +tp11867
    +a(g669
    +V
    +p11868
    +tp11869
    +a(g693
    +g1112
    +tp11870
    +a(g826
    +g978
    +tp11871
    +a(g693
    +g972
    +tp11872
    +a(g423
    +Vp1
    +p11873
    +tp11874
    +a(g693
    +g976
    +tp11875
    +a(g826
    +g978
    +tp11876
    +a(g423
    +Vp0
    +p11877
    +tp11878
    +a(g693
    +g976
    +tp11879
    +a(g826
    +g978
    +tp11880
    +a(g423
    +Vp3
    +p11881
    +tp11882
    +a(g693
    +g976
    +tp11883
    +a(g826
    +g978
    +tp11884
    +a(g423
    +Vp5
    +p11885
    +tp11886
    +a(g693
    +g976
    +tp11887
    +a(g826
    +g978
    +tp11888
    +a(g423
    +Vp8
    +p11889
    +tp11890
    +a(g693
    +g976
    +tp11891
    +a(g826
    +g978
    +tp11892
    +a(g423
    +Vp9
    +p11893
    +tp11894
    +a(g693
    +g976
    +tp11895
    +a(g826
    +g978
    +tp11896
    +a(g423
    +Vp15
    +p11897
    +tp11898
    +a(g693
    +g976
    +tp11899
    +a(g826
    +g978
    +tp11900
    +a(g423
    +Vp16
    +p11901
    +tp11902
    +a(g693
    +g976
    +tp11903
    +a(g826
    +g978
    +tp11904
    +a(g423
    +Vp17
    +p11905
    +tp11906
    +a(g693
    +g976
    +tp11907
    +a(g826
    +g978
    +tp11908
    +a(g423
    +Vp18
    +p11909
    +tp11910
    +a(g693
    +g976
    +tp11911
    +a(g826
    +g978
    +tp11912
    +a(g423
    +Vp19
    +p11913
    +tp11914
    +a(g693
    +g976
    +tp11915
    +a(g826
    +g978
    +tp11916
    +a(g423
    +Vp21
    +p11917
    +tp11918
    +a(g693
    +g976
    +tp11919
    +a(g826
    +g978
    +tp11920
    +a(g423
    +Vp22
    +p11921
    +tp11922
    +a(g693
    +g976
    +tp11923
    +a(g826
    +g978
    +tp11924
    +a(g423
    +Vp23
    +p11925
    +tp11926
    +a(g693
    +g976
    +tp11927
    +a(g826
    +g978
    +tp11928
    +a(g423
    +Vp24
    +p11929
    +tp11930
    +a(g693
    +g976
    +tp11931
    +a(g826
    +g978
    +tp11932
    +a(g423
    +Vf114
    +p11933
    +tp11934
    +a(g693
    +g976
    +tp11935
    +a(g826
    +g978
    +tp11936
    +a(g423
    +VNone
    +p11937
    +tp11938
    +a(g693
    +g976
    +tp11939
    +a(g826
    +g978
    +tp11940
    +a(g423
    +VNone
    +p11941
    +tp11942
    +a(g693
    +g976
    +tp11943
    +a(g826
    +g978
    +tp11944
    +a(g423
    +VNone
    +p11945
    +tp11946
    +a(g693
    +g976
    +tp11947
    +a(g826
    +g978
    +tp11948
    +a(g423
    +VNone
    +p11949
    +tp11950
    +a(g693
    +g976
    +tp11951
    +a(g826
    +g978
    +tp11952
    +a(g423
    +VNone
    +p11953
    +tp11954
    +a(g693
    +g976
    +tp11955
    +a(g826
    +g978
    +tp11956
    +a(g423
    +VNone
    +p11957
    +tp11958
    +a(g693
    +g976
    +tp11959
    +a(g826
    +g978
    +tp11960
    +a(g423
    +VNone
    +p11961
    +tp11962
    +a(g693
    +g976
    +tp11963
    +a(g826
    +g978
    +tp11964
    +a(g423
    +VNone
    +p11965
    +tp11966
    +a(g693
    +g976
    +tp11967
    +a(g826
    +g978
    +tp11968
    +a(g423
    +VNone
    +p11969
    +tp11970
    +a(g693
    +g976
    +tp11971
    +a(g826
    +g978
    +tp11972
    +a(g423
    +VNone
    +p11973
    +tp11974
    +a(g693
    +g976
    +tp11975
    +a(g826
    +g978
    +tp11976
    +a(g423
    +VNone
    +p11977
    +tp11978
    +a(g693
    +g976
    +tp11979
    +a(g826
    +g978
    +tp11980
    +a(g423
    +VNone
    +p11981
    +tp11982
    +a(g693
    +g976
    +tp11983
    +a(g826
    +g978
    +tp11984
    +a(g423
    +VNone
    +p11985
    +tp11986
    +a(g693
    +g976
    +tp11987
    +a(g826
    +g978
    +tp11988
    +a(g423
    +VNone
    +p11989
    +tp11990
    +a(g693
    +g976
    +tp11991
    +a(g826
    +g978
    +tp11992
    +a(g423
    +VNone
    +p11993
    +tp11994
    +a(g693
    +g976
    +tp11995
    +a(g826
    +g978
    +tp11996
    +a(g423
    +Vf51
    +p11997
    +tp11998
    +a(g693
    +g976
    +tp11999
    +a(g826
    +g978
    +tp12000
    +a(g423
    +VNone
    +p12001
    +tp12002
    +a(g693
    +g976
    +tp12003
    +a(g826
    +g978
    +tp12004
    +a(g423
    +Vi32
    +p12005
    +tp12006
    +a(g693
    +g1098
    +tp12007
    +a(g826
    +V\u000a
    +p12008
    +tp12009
    +a(g616
    +Vdebug_merge_point
    +p12010
    +tp12011
    +a(g693
    +g1104
    +tp12012
    +a(g76
    +V' #125 FOR_ITER'
    +p12013
    +tp12014
    +a(g693
    +g976
    +tp12015
    +a(g826
    +g978
    +tp12016
    +a(g22
    +g1110
    +tp12017
    +a(g693
    +g1112
    +tp12018
    +a(g826
    +V\u000a
    +p12019
    +tp12020
    +a(g616
    +Vjump
    +p12021
    +tp12022
    +a(g693
    +g1104
    +tp12023
    +a(g423
    +Vp0
    +p12024
    +tp12025
    +a(g693
    +g976
    +tp12026
    +a(g826
    +g978
    +tp12027
    +a(g423
    +Vp1
    +p12028
    +tp12029
    +a(g693
    +g976
    +tp12030
    +a(g826
    +g978
    +tp12031
    +a(g423
    +Vp3
    +p12032
    +tp12033
    +a(g693
    +g976
    +tp12034
    +a(g826
    +g978
    +tp12035
    +a(g423
    +Vp5
    +p12036
    +tp12037
    +a(g693
    +g976
    +tp12038
    +a(g826
    +g978
    +tp12039
    +a(g423
    +Vp8
    +p12040
    +tp12041
    +a(g693
    +g976
    +tp12042
    +a(g826
    +g978
    +tp12043
    +a(g423
    +Vp9
    +p12044
    +tp12045
    +a(g693
    +g976
    +tp12046
    +a(g826
    +g978
    +tp12047
    +a(g423
    +Vp15
    +p12048
    +tp12049
    +a(g693
    +g976
    +tp12050
    +a(g826
    +g978
    +tp12051
    +a(g423
    +Vp16
    +p12052
    +tp12053
    +a(g693
    +g976
    +tp12054
    +a(g826
    +g978
    +tp12055
    +a(g423
    +Vp17
    +p12056
    +tp12057
    +a(g693
    +g976
    +tp12058
    +a(g826
    +g978
    +tp12059
    +a(g423
    +Vp18
    +p12060
    +tp12061
    +a(g693
    +g976
    +tp12062
    +a(g826
    +g978
    +tp12063
    +a(g423
    +Vp19
    +p12064
    +tp12065
    +a(g693
    +g976
    +tp12066
    +a(g826
    +g978
    +tp12067
    +a(g423
    +Vf114
    +p12068
    +tp12069
    +a(g693
    +g976
    +tp12070
    +a(g826
    +g978
    +tp12071
    +a(g423
    +Vp21
    +p12072
    +tp12073
    +a(g693
    +g976
    +tp12074
    +a(g826
    +g978
    +tp12075
    +a(g423
    +Vp22
    +p12076
    +tp12077
    +a(g693
    +g976
    +tp12078
    +a(g826
    +g978
    +tp12079
    +a(g423
    +Vp23
    +p12080
    +tp12081
    +a(g693
    +g976
    +tp12082
    +a(g826
    +g978
    +tp12083
    +a(g423
    +Vp24
    +p12084
    +tp12085
    +a(g693
    +g976
    +tp12086
    +a(g826
    +g978
    +tp12087
    +a(g423
    +Vi32
    +p12088
    +tp12089
    +a(g693
    +g976
    +tp12090
    +a(g826
    +g978
    +tp12091
    +a(g423
    +Vf51
    +p12092
    +tp12093
    +a(g693
    +g976
    +tp12094
    +a(g826
    +g978
    +tp12095
    +a(g423
    +Vi36
    +p12096
    +tp12097
    +a(g693
    +g976
    +tp12098
    +a(g826
    +g978
    +tp12099
    +a(g423
    +Vi34
    +p12100
    +tp12101
    +a(g693
    +g976
    +tp12102
    +a(g826
    +g978
    +tp12103
    +a(g423
    +Vi33
    +p12104
    +tp12105
    +a(g693
    +g976
    +tp12106
    +a(g826
    +g978
    +tp12107
    +a(g423
    +Vi41
    +p12108
    +tp12109
    +a(g693
    +g976
    +tp12110
    +a(g826
    +g978
    +tp12111
    +a(g423
    +Vi42
    +p12112
    +tp12113
    +a(g693
    +g976
    +tp12114
    +a(g826
    +g978
    +tp12115
    +a(g423
    +Vi45
    +p12116
    +tp12117
    +a(g693
    +g976
    +tp12118
    +a(g826
    +g978
    +tp12119
    +a(g423
    +Vi50
    +p12120
    +tp12121
    +a(g693
    +g976
    +tp12122
    +a(g826
    +g978
    +tp12123
    +a(g423
    +Vi55
    +p12124
    +tp12125
    +a(g693
    +g976
    +tp12126
    +a(g826
    +g978
    +tp12127
    +a(g423
    +Vi63
    +p12128
    +tp12129
    +a(g693
    +g976
    +tp12130
    +a(g826
    +g978
    +tp12131
    +a(g423
    +Vf71
    +p12132
    +tp12133
    +a(g693
    +g976
    +tp12134
    +a(g826
    +g978
    +tp12135
    +a(g423
    +Vi43
    +p12136
    +tp12137
    +a(g693
    +g976
    +tp12138
    +a(g826
    +g978
    +tp12139
    +a(g423
    +Vf85
    +p12140
    +tp12141
    +a(g693
    +g976
    +tp12142
    +a(g826
    +g978
    +tp12143
    +a(g423
    +Vf89
    +p12144
    +tp12145
    +a(g693
    +g976
    +tp12146
    +a(g826
    +g978
    +tp12147
    +a(g423
    +Vdescr
    +p12148
    +tp12149
    +a(g693
    +g1119
    +tp12150
    +a(g669
    +V
    +p12151
    +tp12152
    +a(g693
    +g1112
    +tp12153
    +a(g826
    +V\u000a
    +p12154
    +tp12155
    +a(g7
    +V[5ed622ea316e] jit-log-opt-loop}
    +p12156
    +tp12157
    +a(g826
    +V\u000a
    +p12158
    +tp12159
    +a(g7
    +V[5ed62326a846] {jit-log-opt-bridge
    +p12160
    +tp12161
    +a(g826
    +V\u000a
    +p12162
    +tp12163
    +a(g709
    +V# bridge out of Guard 21 with 13 ops
    +p12164
    +tp12165
    +a(g826
    +V\u000a
    +p12166
    +tp12167
    +a(g693
    +g972
    +tp12168
    +a(g423
    +Vp0
    +p12169
    +tp12170
    +a(g693
    +g976
    +tp12171
    +a(g826
    +g978
    +tp12172
    +a(g423
    +Vp1
    +p12173
    +tp12174
    +a(g693
    +g976
    +tp12175
    +a(g826
    +g978
    +tp12176
    +a(g423
    +Vp2
    +p12177
    +tp12178
    +a(g693
    +g976
    +tp12179
    +a(g826
    +g978
    +tp12180
    +a(g423
    +Vp3
    +p12181
    +tp12182
    +a(g693
    +g976
    +tp12183
    +a(g826
    +g978
    +tp12184
    +a(g423
    +Vp4
    +p12185
    +tp12186
    +a(g693
    +g976
    +tp12187
    +a(g826
    +g978
    +tp12188
    +a(g423
    +Vp5
    +p12189
    +tp12190
    +a(g693
    +g976
    +tp12191
    +a(g826
    +g978
    +tp12192
    +a(g423
    +Vp6
    +p12193
    +tp12194
    +a(g693
    +g976
    +tp12195
    +a(g826
    +g978
    +tp12196
    +a(g423
    +Vp7
    +p12197
    +tp12198
    +a(g693
    +g976
    +tp12199
    +a(g826
    +g978
    +tp12200
    +a(g423
    +Vp8
    +p12201
    +tp12202
    +a(g693
    +g976
    +tp12203
    +a(g826
    +g978
    +tp12204
    +a(g423
    +Vp9
    +p12205
    +tp12206
    +a(g693
    +g976
    +tp12207
    +a(g826
    +g978
    +tp12208
    +a(g423
    +Vp10
    +p12209
    +tp12210
    +a(g693
    +g976
    +tp12211
    +a(g826
    +g978
    +tp12212
    +a(g423
    +Vp11
    +p12213
    +tp12214
    +a(g693
    +g976
    +tp12215
    +a(g826
    +g978
    +tp12216
    +a(g423
    +Vp12
    +p12217
    +tp12218
    +a(g693
    +g976
    +tp12219
    +a(g826
    +g978
    +tp12220
    +a(g423
    +Vp13
    +p12221
    +tp12222
    +a(g693
    +g976
    +tp12223
    +a(g826
    +g978
    +tp12224
    +a(g423
    +Vp14
    +p12225
    +tp12226
    +a(g693
    +g976
    +tp12227
    +a(g826
    +g978
    +tp12228
    +a(g423
    +Vf15
    +p12229
    +tp12230
    +a(g693
    +g976
    +tp12231
    +a(g826
    +g978
    +tp12232
    +a(g423
    +Vf16
    +p12233
    +tp12234
    +a(g693
    +g976
    +tp12235
    +a(g826
    +g978
    +tp12236
    +a(g423
    +Vi17
    +p12237
    +tp12238
    +a(g693
    +g1098
    +tp12239
    +a(g826
    +V\u000a
    +p12240
    +tp12241
    +a(g423
    +Vi18
    +p12242
    +tp12243
    +a(g826
    +g978
    +tp12244
    +a(g693
    +g1119
    +tp12245
    +a(g826
    +g978
    +tp12246
    +a(g669
    +Vforce_token
    +p12247
    +tp12248
    +a(g693
    +g1104
    +tp12249
    +a(g693
    +g1112
    +tp12250
    +a(g826
    +V\u000a
    +p12251
    +tp12252
    +a(g669
    +Vsetfield_gc
    +p12253
    +tp12254
    +a(g693
    +g1104
    +tp12255
    +a(g423
    +Vp1
    +p12256
    +tp12257
    +a(g693
    +g976
    +tp12258
    +a(g826
    +g978
    +tp12259
    +a(g423
    +Vi18
    +p12260
    +tp12261
    +a(g693
    +g976
    +tp12262
    +a(g826
    +g978
    +tp12263
    +a(g423
    +Vdescr
    +p12264
    +tp12265
    +a(g693
    +g1119
    +tp12266
    +a(g669
    +V
    +p12267
    +tp12268
    +a(g693
    +g1112
    +tp12269
    +a(g826
    +V\u000a
    +p12270
    +tp12271
    +a(g669
    +Vcall_may_force
    +p12272
    +tp12273
    +a(g693
    +g1104
    +tp12274
    +a(g423
    +VConstClass
    +p12275
    +tp12276
    +a(g693
    +g1104
    +tp12277
    +a(g669
    +Vaction_dispatcher
    +p12278
    +tp12279
    +a(g693
    +g1112
    +tp12280
    +a(g693
    +g976
    +tp12281
    +a(g826
    +g978
    +tp12282
    +a(g423
    +Vp0
    +p12283
    +tp12284
    +a(g693
    +g976
    +tp12285
    +a(g826
    +g978
    +tp12286
    +a(g423
    +Vp1
    +p12287
    +tp12288
    +a(g693
    +g976
    +tp12289
    +a(g826
    +g978
    +tp12290
    +a(g423
    +Vdescr
    +p12291
    +tp12292
    +a(g693
    +g1119
    +tp12293
    +a(g669
    +V
    +p12294
    +tp12295
    +a(g693
    +g1112
    +tp12296
    +a(g826
    +V\u000a
    +p12297
    +tp12298
    +a(g669
    +Vguard_not_forced
    +p12299
    +tp12300
    +a(g693
    +g1104
    +tp12301
    +a(g693
    +g976
    +tp12302
    +a(g826
    +g978
    +tp12303
    +a(g423
    +Vdescr
    +p12304
    +tp12305
    +a(g693
    +g1119
    +tp12306
    +a(g669
    +V
    +p12307
    +tp12308
    +a(g693
    +g1112
    +tp12309
    +a(g826
    +g978
    +tp12310
    +a(g693
    +g972
    +tp12311
    +a(g423
    +Vp0
    +p12312
    +tp12313
    +a(g693
    +g976
    +tp12314
    +a(g826
    +g978
    +tp12315
    +a(g423
    +Vp1
    +p12316
    +tp12317
    +a(g693
    +g976
    +tp12318
    +a(g826
    +g978
    +tp12319
    +a(g423
    +Vp2
    +p12320
    +tp12321
    +a(g693
    +g976
    +tp12322
    +a(g826
    +g978
    +tp12323
    +a(g423
    +Vp3
    +p12324
    +tp12325
    +a(g693
    +g976
    +tp12326
    +a(g826
    +g978
    +tp12327
    +a(g423
    +Vp4
    +p12328
    +tp12329
    +a(g693
    +g976
    +tp12330
    +a(g826
    +g978
    +tp12331
    +a(g423
    +Vp5
    +p12332
    +tp12333
    +a(g693
    +g976
    +tp12334
    +a(g826
    +g978
    +tp12335
    +a(g423
    +Vp6
    +p12336
    +tp12337
    +a(g693
    +g976
    +tp12338
    +a(g826
    +g978
    +tp12339
    +a(g423
    +Vp7
    +p12340
    +tp12341
    +a(g693
    +g976
    +tp12342
    +a(g826
    +g978
    +tp12343
    +a(g423
    +Vp8
    +p12344
    +tp12345
    +a(g693
    +g976
    +tp12346
    +a(g826
    +g978
    +tp12347
    +a(g423
    +Vp9
    +p12348
    +tp12349
    +a(g693
    +g976
    +tp12350
    +a(g826
    +g978
    +tp12351
    +a(g423
    +Vp10
    +p12352
    +tp12353
    +a(g693
    +g976
    +tp12354
    +a(g826
    +g978
    +tp12355
    +a(g423
    +Vp11
    +p12356
    +tp12357
    +a(g693
    +g976
    +tp12358
    +a(g826
    +g978
    +tp12359
    +a(g423
    +Vp12
    +p12360
    +tp12361
    +a(g693
    +g976
    +tp12362
    +a(g826
    +g978
    +tp12363
    +a(g423
    +Vp13
    +p12364
    +tp12365
    +a(g693
    +g976
    +tp12366
    +a(g826
    +g978
    +tp12367
    +a(g423
    +Vp14
    +p12368
    +tp12369
    +a(g693
    +g976
    +tp12370
    +a(g826
    +g978
    +tp12371
    +a(g423
    +Vi17
    +p12372
    +tp12373
    +a(g693
    +g976
    +tp12374
    +a(g826
    +g978
    +tp12375
    +a(g423
    +Vf16
    +p12376
    +tp12377
    +a(g693
    +g976
    +tp12378
    +a(g826
    +g978
    +tp12379
    +a(g423
    +Vf15
    +p12380
    +tp12381
    +a(g693
    +g1098
    +tp12382
    +a(g826
    +V\u000a
    +p12383
    +tp12384
    +a(g669
    +Vguard_no_exception
    +p12385
    +tp12386
    +a(g693
    +g1104
    +tp12387
    +a(g693
    +g976
    +tp12388
    +a(g826
    +g978
    +tp12389
    +a(g423
    +Vdescr
    +p12390
    +tp12391
    +a(g693
    +g1119
    +tp12392
    +a(g669
    +V
    +p12393
    +tp12394
    +a(g693
    +g1112
    +tp12395
    +a(g826
    +g978
    +tp12396
    +a(g693
    +g972
    +tp12397
    +a(g423
    +Vp0
    +p12398
    +tp12399
    +a(g693
    +g976
    +tp12400
    +a(g826
    +g978
    +tp12401
    +a(g423
    +Vp1
    +p12402
    +tp12403
    +a(g693
    +g976
    +tp12404
    +a(g826
    +g978
    +tp12405
    +a(g423
    +Vp2
    +p12406
    +tp12407
    +a(g693
    +g976
    +tp12408
    +a(g826
    +g978
    +tp12409
    +a(g423
    +Vp3
    +p12410
    +tp12411
    +a(g693
    +g976
    +tp12412
    +a(g826
    +g978
    +tp12413
    +a(g423
    +Vp4
    +p12414
    +tp12415
    +a(g693
    +g976
    +tp12416
    +a(g826
    +g978
    +tp12417
    +a(g423
    +Vp5
    +p12418
    +tp12419
    +a(g693
    +g976
    +tp12420
    +a(g826
    +g978
    +tp12421
    +a(g423
    +Vp6
    +p12422
    +tp12423
    +a(g693
    +g976
    +tp12424
    +a(g826
    +g978
    +tp12425
    +a(g423
    +Vp7
    +p12426
    +tp12427
    +a(g693
    +g976
    +tp12428
    +a(g826
    +g978
    +tp12429
    +a(g423
    +Vp8
    +p12430
    +tp12431
    +a(g693
    +g976
    +tp12432
    +a(g826
    +g978
    +tp12433
    +a(g423
    +Vp9
    +p12434
    +tp12435
    +a(g693
    +g976
    +tp12436
    +a(g826
    +g978
    +tp12437
    +a(g423
    +Vp10
    +p12438
    +tp12439
    +a(g693
    +g976
    +tp12440
    +a(g826
    +g978
    +tp12441
    +a(g423
    +Vp11
    +p12442
    +tp12443
    +a(g693
    +g976
    +tp12444
    +a(g826
    +g978
    +tp12445
    +a(g423
    +Vp12
    +p12446
    +tp12447
    +a(g693
    +g976
    +tp12448
    +a(g826
    +g978
    +tp12449
    +a(g423
    +Vp13
    +p12450
    +tp12451
    +a(g693
    +g976
    +tp12452
    +a(g826
    +g978
    +tp12453
    +a(g423
    +Vp14
    +p12454
    +tp12455
    +a(g693
    +g976
    +tp12456
    +a(g826
    +g978
    +tp12457
    +a(g423
    +Vi17
    +p12458
    +tp12459
    +a(g693
    +g976
    +tp12460
    +a(g826
    +g978
    +tp12461
    +a(g423
    +Vf16
    +p12462
    +tp12463
    +a(g693
    +g976
    +tp12464
    +a(g826
    +g978
    +tp12465
    +a(g423
    +Vf15
    +p12466
    +tp12467
    +a(g693
    +g1098
    +tp12468
    +a(g826
    +V\u000a
    +p12469
    +tp12470
    +a(g616
    +Vdebug_merge_point
    +p12471
    +tp12472
    +a(g693
    +g1104
    +tp12473
    +a(g76
    +V' #125 FOR_ITER'
    +p12474
    +tp12475
    +a(g693
    +g976
    +tp12476
    +a(g826
    +g978
    +tp12477
    +a(g22
    +g1110
    +tp12478
    +a(g693
    +g1112
    +tp12479
    +a(g826
    +V\u000a
    +p12480
    +tp12481
    +a(g423
    +Vp21
    +p12482
    +tp12483
    +a(g826
    +g978
    +tp12484
    +a(g693
    +g1119
    +tp12485
    +a(g826
    +g978
    +tp12486
    +a(g669
    +Vnew_with_vtable
    +p12487
    +tp12488
    +a(g693
    +g1104
    +tp12489
    +a(g669
    +V19800744
    +p12490
    +tp12491
    +a(g693
    +g1112
    +tp12492
    +a(g826
    +V\u000a
    +p12493
    +tp12494
    +a(g669
    +Vsetfield_gc
    +p12495
    +tp12496
    +a(g693
    +g1104
    +tp12497
    +a(g423
    +Vp21
    +p12498
    +tp12499
    +a(g693
    +g976
    +tp12500
    +a(g826
    +g978
    +tp12501
    +a(g423
    +Vf15
    +p12502
    +tp12503
    +a(g693
    +g976
    +tp12504
    +a(g826
    +g978
    +tp12505
    +a(g423
    +Vdescr
    +p12506
    +tp12507
    +a(g693
    +g1119
    +tp12508
    +a(g669
    +V
    +p12509
    +tp12510
    +a(g693
    +g1112
    +tp12511
    +a(g826
    +V\u000a
    +p12512
    +tp12513
    +a(g423
    +Vp23
    +p12514
    +tp12515
    +a(g826
    +g978
    +tp12516
    +a(g693
    +g1119
    +tp12517
    +a(g826
    +g978
    +tp12518
    +a(g669
    +Vnew_with_vtable
    +p12519
    +tp12520
    +a(g693
    +g1104
    +tp12521
    +a(g423
    +VConstClass
    +p12522
    +tp12523
    +a(g693
    +g1104
    +tp12524
    +a(g669
    +VW_IntObject
    +p12525
    +tp12526
    +a(g693
    +g1112
    +tp12527
    +a(g693
    +g1112
    +tp12528
    +a(g826
    +V\u000a
    +p12529
    +tp12530
    +a(g669
    +Vsetfield_gc
    +p12531
    +tp12532
    +a(g693
    +g1104
    +tp12533
    +a(g423
    +Vp23
    +p12534
    +tp12535
    +a(g693
    +g976
    +tp12536
    +a(g826
    +g978
    +tp12537
    +a(g423
    +Vi17
    +p12538
    +tp12539
    +a(g693
    +g976
    +tp12540
    +a(g826
    +g978
    +tp12541
    +a(g423
    +Vdescr
    +p12542
    +tp12543
    +a(g693
    +g1119
    +tp12544
    +a(g669
    +V
    +p12545
    +tp12546
    +a(g693
    +g1112
    +tp12547
    +a(g826
    +V\u000a
    +p12548
    +tp12549
    +a(g423
    +Vp25
    +p12550
    +tp12551
    +a(g826
    +g978
    +tp12552
    +a(g693
    +g1119
    +tp12553
    +a(g826
    +g978
    +tp12554
    +a(g669
    +Vnew_with_vtable
    +p12555
    +tp12556
    +a(g693
    +g1104
    +tp12557
    +a(g669
    +V19800744
    +p12558
    +tp12559
    +a(g693
    +g1112
    +tp12560
    +a(g826
    +V\u000a
    +p12561
    +tp12562
    +a(g669
    +Vsetfield_gc
    +p12563
    +tp12564
    +a(g693
    +g1104
    +tp12565
    +a(g423
    +Vp25
    +p12566
    +tp12567
    +a(g693
    +g976
    +tp12568
    +a(g826
    +g978
    +tp12569
    +a(g423
    +Vf16
    +p12570
    +tp12571
    +a(g693
    +g976
    +tp12572
    +a(g826
    +g978
    +tp12573
    +a(g423
    +Vdescr
    +p12574
    +tp12575
    +a(g693
    +g1119
    +tp12576
    +a(g669
    +V
    +p12577
    +tp12578
    +a(g693
    +g1112
    +tp12579
    +a(g826
    +V\u000a
    +p12580
    +tp12581
    +a(g616
    +Vjump
    +p12582
    +tp12583
    +a(g693
    +g1104
    +tp12584
    +a(g423
    +Vp1
    +p12585
    +tp12586
    +a(g693
    +g976
    +tp12587
    +a(g826
    +g978
    +tp12588
    +a(g423
    +Vp0
    +p12589
    +tp12590
    +a(g693
    +g976
    +tp12591
    +a(g826
    +g978
    +tp12592
    +a(g423
    +VConstPtr
    +p12593
    +tp12594
    +a(g693
    +g1104
    +tp12595
    +a(g669
    +Vptr26
    +p12596
    +tp12597
    +a(g693
    +g1112
    +tp12598
    +a(g693
    +g976
    +tp12599
    +a(g826
    +g978
    +tp12600
    +a(g423
    +Vp2
    +p12601
    +tp12602
    +a(g693
    +g976
    +tp12603
    +a(g826
    +g978
    +tp12604
    +a(g22
    +g5259
    +tp12605
    +a(g693
    +g976
    +tp12606
    +a(g826
    +g978
    +tp12607
    +a(g423
    +Vp3
    +p12608
    +tp12609
    +a(g693
    +g976
    +tp12610
    +a(g826
    +g978
    +tp12611
    +a(g22
    +g1110
    +tp12612
    +a(g693
    +g976
    +tp12613
    +a(g826
    +g978
    +tp12614
    +a(g22
    +V125
    +p12615
    +tp12616
    +a(g693
    +g976
    +tp12617
    +a(g826
    +g978
    +tp12618
    +a(g423
    +Vp4
    +p12619
    +tp12620
    +a(g693
    +g976
    +tp12621
    +a(g826
    +g978
    +tp12622
    +a(g423
    +Vp5
    +p12623
    +tp12624
    +a(g693
    +g976
    +tp12625
    +a(g826
    +g978
    +tp12626
    +a(g423
    +VConstPtr
    +p12627
    +tp12628
    +a(g693
    +g1104
    +tp12629
    +a(g669
    +Vptr30
    +p12630
    +tp12631
    +a(g693
    +g1112
    +tp12632
    +a(g693
    +g976
    +tp12633
    +a(g826
    +g978
    +tp12634
    +a(g423
    +VConstPtr
    +p12635
    +tp12636
    +a(g693
    +g1104
    +tp12637
    +a(g669
    +Vptr31
    +p12638
    +tp12639
    +a(g693
    +g1112
    +tp12640
    +a(g693
    +g976
    +tp12641
    +a(g826
    +g978
    +tp12642
    +a(g423
    +VConstPtr
    +p12643
    +tp12644
    +a(g693
    +g1104
    +tp12645
    +a(g669
    +Vptr32
    +p12646
    +tp12647
    +a(g693
    +g1112
    +tp12648
    +a(g693
    +g976
    +tp12649
    +a(g826
    +g978
    +tp12650
    +a(g423
    +VConstPtr
    +p12651
    +tp12652
    +a(g693
    +g1104
    +tp12653
    +a(g669
    +Vptr33
    +p12654
    +tp12655
    +a(g693
    +g1112
    +tp12656
    +a(g693
    +g976
    +tp12657
    +a(g826
    +g978
    +tp12658
    +a(g423
    +VConstPtr
    +p12659
    +tp12660
    +a(g693
    +g1104
    +tp12661
    +a(g669
    +Vptr34
    +p12662
    +tp12663
    +a(g693
    +g1112
    +tp12664
    +a(g693
    +g976
    +tp12665
    +a(g826
    +g978
    +tp12666
    +a(g423
    +Vp6
    +p12667
    +tp12668
    +a(g693
    +g976
    +tp12669
    +a(g826
    +g978
    +tp12670
    +a(g423
    +Vp7
    +p12671
    +tp12672
    +a(g693
    +g976
    +tp12673
    +a(g826
    +g978
    +tp12674
    +a(g423
    +Vp8
    +p12675
    +tp12676
    +a(g693
    +g976
    +tp12677
    +a(g826
    +g978
    +tp12678
    +a(g423
    +Vp9
    +p12679
    +tp12680
    +a(g693
    +g976
    +tp12681
    +a(g826
    +g978
    +tp12682
    +a(g423
    +Vp10
    +p12683
    +tp12684
    +a(g693
    +g976
    +tp12685
    +a(g826
    +g978
    +tp12686
    +a(g423
    +Vp21
    +p12687
    +tp12688
    +a(g693
    +g976
    +tp12689
    +a(g826
    +g978
    +tp12690
    +a(g423
    +Vp11
    +p12691
    +tp12692
    +a(g693
    +g976
    +tp12693
    +a(g826
    +g978
    +tp12694
    +a(g423
    +Vp12
    +p12695
    +tp12696
    +a(g693
    +g976
    +tp12697
    +a(g826
    +g978
    +tp12698
    +a(g423
    +Vp13
    +p12699
    +tp12700
    +a(g693
    +g976
    +tp12701
    +a(g826
    +g978
    +tp12702
    +a(g423
    +Vp14
    +p12703
    +tp12704
    +a(g693
    +g976
    +tp12705
    +a(g826
    +g978
    +tp12706
    +a(g423
    +Vp23
    +p12707
    +tp12708
    +a(g693
    +g976
    +tp12709
    +a(g826
    +g978
    +tp12710
    +a(g423
    +Vp25
    +p12711
    +tp12712
    +a(g693
    +g976
    +tp12713
    +a(g826
    +g978
    +tp12714
    +a(g423
    +Vdescr
    +p12715
    +tp12716
    +a(g693
    +g1119
    +tp12717
    +a(g669
    +V
    +p12718
    +tp12719
    +a(g693
    +g1112
    +tp12720
    +a(g826
    +V\u000a
    +p12721
    +tp12722
    +a(g7
    +V[5ed62327d096] jit-log-opt-bridge}
    +p12723
    +tp12724
    +a(g826
    +V\u000a
    +p12725
    +tp12726
    +a(g7
    +V[5ed623eb929c] {jit-log-opt-bridge
    +p12727
    +tp12728
    +a(g826
    +V\u000a
    +p12729
    +tp12730
    +a(g709
    +V# bridge out of Guard 3 with 260 ops
    +p12731
    +tp12732
    +a(g826
    +V\u000a
    +p12733
    +tp12734
    +a(g693
    +g972
    +tp12735
    +a(g423
    +Vp0
    +p12736
    +tp12737
    +a(g693
    +g976
    +tp12738
    +a(g826
    +g978
    +tp12739
    +a(g423
    +Vp1
    +p12740
    +tp12741
    +a(g693
    +g976
    +tp12742
    +a(g826
    +g978
    +tp12743
    +a(g423
    +Vp2
    +p12744
    +tp12745
    +a(g693
    +g976
    +tp12746
    +a(g826
    +g978
    +tp12747
    +a(g423
    +Vp3
    +p12748
    +tp12749
    +a(g693
    +g976
    +tp12750
    +a(g826
    +g978
    +tp12751
    +a(g423
    +Vp4
    +p12752
    +tp12753
    +a(g693
    +g976
    +tp12754
    +a(g826
    +g978
    +tp12755
    +a(g423
    +Vp5
    +p12756
    +tp12757
    +a(g693
    +g976
    +tp12758
    +a(g826
    +g978
    +tp12759
    +a(g423
    +Vp6
    +p12760
    +tp12761
    +a(g693
    +g976
    +tp12762
    +a(g826
    +g978
    +tp12763
    +a(g423
    +Vp7
    +p12764
    +tp12765
    +a(g693
    +g976
    +tp12766
    +a(g826
    +g978
    +tp12767
    +a(g423
    +Vp8
    +p12768
    +tp12769
    +a(g693
    +g976
    +tp12770
    +a(g826
    +g978
    +tp12771
    +a(g423
    +Vp9
    +p12772
    +tp12773
    +a(g693
    +g976
    +tp12774
    +a(g826
    +g978
    +tp12775
    +a(g423
    +Vp10
    +p12776
    +tp12777
    +a(g693
    +g976
    +tp12778
    +a(g826
    +g978
    +tp12779
    +a(g423
    +Vp11
    +p12780
    +tp12781
    +a(g693
    +g976
    +tp12782
    +a(g826
    +g978
    +tp12783
    +a(g423
    +Vp12
    +p12784
    +tp12785
    +a(g693
    +g976
    +tp12786
    +a(g826
    +g978
    +tp12787
    +a(g423
    +Vp13
    +p12788
    +tp12789
    +a(g693
    +g976
    +tp12790
    +a(g826
    +g978
    +tp12791
    +a(g423
    +Vp14
    +p12792
    +tp12793
    +a(g693
    +g976
    +tp12794
    +a(g826
    +g978
    +tp12795
    +a(g423
    +Vi15
    +p12796
    +tp12797
    +a(g693
    +g976
    +tp12798
    +a(g826
    +g978
    +tp12799
    +a(g423
    +Vf16
    +p12800
    +tp12801
    +a(g693
    +g976
    +tp12802
    +a(g826
    +g978
    +tp12803
    +a(g423
    +Vf17
    +p12804
    +tp12805
    +a(g693
    +g1098
    +tp12806
    +a(g826
    +V\u000a
    +p12807
    +tp12808
    +a(g616
    +Vdebug_merge_point
    +p12809
    +tp12810
    +a(g693
    +g1104
    +tp12811
    +a(g76
    +V' #290 POP_BLOCK'
    +p12812
    +tp12813
    +a(g693
    +g976
    +tp12814
    +a(g826
    +g978
    +tp12815
    +a(g22
    +g1110
    +tp12816
    +a(g693
    +g1112
    +tp12817
    +a(g826
    +V\u000a
    +p12818
    +tp12819
    +a(g423
    +Vp18
    +p12820
    +tp12821
    +a(g826
    +g978
    +tp12822
    +a(g693
    +g1119
    +tp12823
    +a(g826
    +g978
    +tp12824
    +a(g669
    +Vgetfield_gc
    +p12825
    +tp12826
    +a(g693
    +g1104
    +tp12827
    +a(g423
    +Vp3
    +p12828
    +tp12829
    +a(g693
    +g976
    +tp12830
    +a(g826
    +g978
    +tp12831
    +a(g423
    +Vdescr
    +p12832
    +tp12833
    +a(g693
    +g1119
    +tp12834
    +a(g669
    +V
    +p12835
    +tp12836
    +a(g693
    +g1112
    +tp12837
    +a(g826
    +V\u000a
    +p12838
    +tp12839
    +a(g669
    +Vguard_class
    +p12840
    +tp12841
    +a(g693
    +g1104
    +tp12842
    +a(g423
    +Vp3
    +p12843
    +tp12844
    +a(g693
    +g976
    +tp12845
    +a(g826
    +g978
    +tp12846
    +a(g22
    +V19865144
    +p12847
    +tp12848
    +a(g693
    +g976
    +tp12849
    +a(g826
    +g978
    +tp12850
    +a(g423
    +Vdescr
    +p12851
    +tp12852
    +a(g693
    +g1119
    +tp12853
    +a(g669
    +V
    +p12854
    +tp12855
    +a(g693
    +g1112
    +tp12856
    +a(g826
    +g978
    +tp12857
    +a(g693
    +g972
    +tp12858
    +a(g423
    +Vp0
    +p12859
    +tp12860
    +a(g693
    +g976
    +tp12861
    +a(g826
    +g978
    +tp12862
    +a(g423
    +Vp1
    +p12863
    +tp12864
    +a(g693
    +g976
    +tp12865
    +a(g826
    +g978
    +tp12866
    +a(g423
    +Vp3
    +p12867
    +tp12868
    +a(g693
    +g976
    +tp12869
    +a(g826
    +g978
    +tp12870
    +a(g423
    +Vp18
    +p12871
    +tp12872
    +a(g693
    +g976
    +tp12873
    +a(g826
    +g978
    +tp12874
    +a(g423
    +Vp4
    +p12875
    +tp12876
    +a(g693
    +g976
    +tp12877
    +a(g826
    +g978
    +tp12878
    +a(g423
    +Vp5
    +p12879
    +tp12880
    +a(g693
    +g976
    +tp12881
    +a(g826
    +g978
    +tp12882
    +a(g423
    +Vp6
    +p12883
    +tp12884
    +a(g693
    +g976
    +tp12885
    +a(g826
    +g978
    +tp12886
    +a(g423
    +Vp7
    +p12887
    +tp12888
    +a(g693
    +g976
    +tp12889
    +a(g826
    +g978
    +tp12890
    +a(g423
    +Vp8
    +p12891
    +tp12892
    +a(g693
    +g976
    +tp12893
    +a(g826
    +g978
    +tp12894
    +a(g423
    +Vp9
    +p12895
    +tp12896
    +a(g693
    +g976
    +tp12897
    +a(g826
    +g978
    +tp12898
    +a(g423
    +Vp10
    +p12899
    +tp12900
    +a(g693
    +g976
    +tp12901
    +a(g826
    +g978
    +tp12902
    +a(g423
    +Vp11
    +p12903
    +tp12904
    +a(g693
    +g976
    +tp12905
    +a(g826
    +g978
    +tp12906
    +a(g423
    +Vp12
    +p12907
    +tp12908
    +a(g693
    +g976
    +tp12909
    +a(g826
    +g978
    +tp12910
    +a(g423
    +Vp13
    +p12911
    +tp12912
    +a(g693
    +g976
    +tp12913
    +a(g826
    +g978
    +tp12914
    +a(g423
    +Vp14
    +p12915
    +tp12916
    +a(g693
    +g976
    +tp12917
    +a(g826
    +g978
    +tp12918
    +a(g423
    +Vi15
    +p12919
    +tp12920
    +a(g693
    +g976
    +tp12921
    +a(g826
    +g978
    +tp12922
    +a(g423
    +Vf16
    +p12923
    +tp12924
    +a(g693
    +g976
    +tp12925
    +a(g826
    +g978
    +tp12926
    +a(g423
    +Vf17
    +p12927
    +tp12928
    +a(g693
    +g1098
    +tp12929
    +a(g826
    +V\u000a
    +p12930
    +tp12931
    +a(g423
    +Vi20
    +p12932
    +tp12933
    +a(g826
    +g978
    +tp12934
    +a(g693
    +g1119
    +tp12935
    +a(g826
    +g978
    +tp12936
    +a(g669
    +Vgetfield_gc
    +p12937
    +tp12938
    +a(g693
    +g1104
    +tp12939
    +a(g423
    +Vp3
    +p12940
    +tp12941
    +a(g693
    +g976
    +tp12942
    +a(g826
    +g978
    +tp12943
    +a(g423
    +Vdescr
    +p12944
    +tp12945
    +a(g693
    +g1119
    +tp12946
    +a(g669
    +V
    +p12947
    +tp12948
    +a(g693
    +g1112
    +tp12949
    +a(g826
    +V\u000a
    +p12950
    +tp12951
    +a(g669
    +Vguard_value
    +p12952
    +tp12953
    +a(g693
    +g1104
    +tp12954
    +a(g423
    +Vi20
    +p12955
    +tp12956
    +a(g693
    +g976
    +tp12957
    +a(g826
    +g978
    +tp12958
    +a(g22
    +g1250
    +tp12959
    +a(g693
    +g976
    +tp12960
    +a(g826
    +g978
    +tp12961
    +a(g423
    +Vdescr
    +p12962
    +tp12963
    +a(g693
    +g1119
    +tp12964
    +a(g669
    +V
    +p12965
    +tp12966
    +a(g693
    +g1112
    +tp12967
    +a(g826
    +g978
    +tp12968
    +a(g693
    +g972
    +tp12969
    +a(g423
    +Vp0
    +p12970
    +tp12971
    +a(g693
    +g976
    +tp12972
    +a(g826
    +g978
    +tp12973
    +a(g423
    +Vp1
    +p12974
    +tp12975
    +a(g693
    +g976
    +tp12976
    +a(g826
    +g978
    +tp12977
    +a(g423
    +Vi20
    +p12978
    +tp12979
    +a(g693
    +g976
    +tp12980
    +a(g826
    +g978
    +tp12981
    +a(g423
    +Vp18
    +p12982
    +tp12983
    +a(g693
    +g976
    +tp12984
    +a(g826
    +g978
    +tp12985
    +a(g423
    +Vp4
    +p12986
    +tp12987
    +a(g693
    +g976
    +tp12988
    +a(g826
    +g978
    +tp12989
    +a(g423
    +Vp5
    +p12990
    +tp12991
    +a(g693
    +g976
    +tp12992
    +a(g826
    +g978
    +tp12993
    +a(g423
    +Vp6
    +p12994
    +tp12995
    +a(g693
    +g976
    +tp12996
    +a(g826
    +g978
    +tp12997
    +a(g423
    +Vp7
    +p12998
    +tp12999
    +a(g693
    +g976
    +tp13000
    +a(g826
    +g978
    +tp13001
    +a(g423
    +Vp8
    +p13002
    +tp13003
    +a(g693
    +g976
    +tp13004
    +a(g826
    +g978
    +tp13005
    +a(g423
    +Vp9
    +p13006
    +tp13007
    +a(g693
    +g976
    +tp13008
    +a(g826
    +g978
    +tp13009
    +a(g423
    +Vp10
    +p13010
    +tp13011
    +a(g693
    +g976
    +tp13012
    +a(g826
    +g978
    +tp13013
    +a(g423
    +Vp11
    +p13014
    +tp13015
    +a(g693
    +g976
    +tp13016
    +a(g826
    +g978
    +tp13017
    +a(g423
    +Vp12
    +p13018
    +tp13019
    +a(g693
    +g976
    +tp13020
    +a(g826
    +g978
    +tp13021
    +a(g423
    +Vp13
    +p13022
    +tp13023
    +a(g693
    +g976
    +tp13024
    +a(g826
    +g978
    +tp13025
    +a(g423
    +Vp14
    +p13026
    +tp13027
    +a(g693
    +g976
    +tp13028
    +a(g826
    +g978
    +tp13029
    +a(g423
    +Vi15
    +p13030
    +tp13031
    +a(g693
    +g976
    +tp13032
    +a(g826
    +g978
    +tp13033
    +a(g423
    +Vf16
    +p13034
    +tp13035
    +a(g693
    +g976
    +tp13036
    +a(g826
    +g978
    +tp13037
    +a(g423
    +Vf17
    +p13038
    +tp13039
    +a(g693
    +g1098
    +tp13040
    +a(g826
    +V\u000a
    +p13041
    +tp13042
    +a(g616
    +Vdebug_merge_point
    +p13043
    +tp13044
    +a(g693
    +g1104
    +tp13045
    +a(g76
    +V' #291 JUMP_ABSOLUTE'
    +p13046
    +tp13047
    +a(g693
    +g976
    +tp13048
    +a(g826
    +g978
    +tp13049
    +a(g22
    +g1110
    +tp13050
    +a(g693
    +g1112
    +tp13051
    +a(g826
    +V\u000a
    +p13052
    +tp13053
    +a(g423
    +Vi23
    +p13054
    +tp13055
    +a(g826
    +g978
    +tp13056
    +a(g693
    +g1119
    +tp13057
    +a(g826
    +g978
    +tp13058
    +a(g669
    +Vgetfield_raw
    +p13059
    +tp13060
    +a(g693
    +g1104
    +tp13061
    +a(g22
    +V38968960
    +p13062
    +tp13063
    +a(g693
    +g976
    +tp13064
    +a(g826
    +g978
    +tp13065
    +a(g423
    +Vdescr
    +p13066
    +tp13067
    +a(g693
    +g1119
    +tp13068
    +a(g669
    +V
    +p13069
    +tp13070
    +a(g693
    +g1112
    +tp13071
    +a(g826
    +V\u000a
    +p13072
    +tp13073
    +a(g423
    +Vi25
    +p13074
    +tp13075
    +a(g826
    +g978
    +tp13076
    +a(g693
    +g1119
    +tp13077
    +a(g826
    +g978
    +tp13078
    +a(g669
    +Vint_sub
    +p13079
    +tp13080
    +a(g693
    +g1104
    +tp13081
    +a(g423
    +Vi23
    +p13082
    +tp13083
    +a(g693
    +g976
    +tp13084
    +a(g826
    +g978
    +tp13085
    +a(g22
    +g1250
    +tp13086
    +a(g693
    +g1112
    +tp13087
    +a(g826
    +V\u000a
    +p13088
    +tp13089
    +a(g669
    +Vsetfield_raw
    +p13090
    +tp13091
    +a(g693
    +g1104
    +tp13092
    +a(g22
    +V38968960
    +p13093
    +tp13094
    +a(g693
    +g976
    +tp13095
    +a(g826
    +g978
    +tp13096
    +a(g423
    +Vi25
    +p13097
    +tp13098
    +a(g693
    +g976
    +tp13099
    +a(g826
    +g978
    +tp13100
    +a(g423
    +Vdescr
    +p13101
    +tp13102
    +a(g693
    +g1119
    +tp13103
    +a(g669
    +V
    +p13104
    +tp13105
    +a(g693
    +g1112
    +tp13106
    +a(g826
    +V\u000a
    +p13107
    +tp13108
    +a(g423
    +Vi27
    +p13109
    +tp13110
    +a(g826
    +g978
    +tp13111
    +a(g693
    +g1119
    +tp13112
    +a(g826
    +g978
    +tp13113
    +a(g669
    +Vint_lt
    +p13114
    +tp13115
    +a(g693
    +g1104
    +tp13116
    +a(g423
    +Vi25
    +p13117
    +tp13118
    +a(g693
    +g976
    +tp13119
    +a(g826
    +g978
    +tp13120
    +a(g22
    +g1110
    +tp13121
    +a(g693
    +g1112
    +tp13122
    +a(g826
    +V\u000a
    +p13123
    +tp13124
    +a(g669
    +Vguard_false
    +p13125
    +tp13126
    +a(g693
    +g1104
    +tp13127
    +a(g423
    +Vi27
    +p13128
    +tp13129
    +a(g693
    +g976
    +tp13130
    +a(g826
    +g978
    +tp13131
    +a(g423
    +Vdescr
    +p13132
    +tp13133
    +a(g693
    +g1119
    +tp13134
    +a(g669
    +V
    +p13135
    +tp13136
    +a(g693
    +g1112
    +tp13137
    +a(g826
    +g978
    +tp13138
    +a(g693
    +g972
    +tp13139
    +a(g423
    +Vp0
    +p13140
    +tp13141
    +a(g693
    +g976
    +tp13142
    +a(g826
    +g978
    +tp13143
    +a(g423
    +Vp1
    +p13144
    +tp13145
    +a(g693
    +g976
    +tp13146
    +a(g826
    +g978
    +tp13147
    +a(g423
    +Vp18
    +p13148
    +tp13149
    +a(g693
    +g976
    +tp13150
    +a(g826
    +g978
    +tp13151
    +a(g423
    +Vp4
    +p13152
    +tp13153
    +a(g693
    +g976
    +tp13154
    +a(g826
    +g978
    +tp13155
    +a(g423
    +Vp5
    +p13156
    +tp13157
    +a(g693
    +g976
    +tp13158
    +a(g826
    +g978
    +tp13159
    +a(g423
    +Vp6
    +p13160
    +tp13161
    +a(g693
    +g976
    +tp13162
    +a(g826
    +g978
    +tp13163
    +a(g423
    +Vp7
    +p13164
    +tp13165
    +a(g693
    +g976
    +tp13166
    +a(g826
    +g978
    +tp13167
    +a(g423
    +Vp8
    +p13168
    +tp13169
    +a(g693
    +g976
    +tp13170
    +a(g826
    +g978
    +tp13171
    +a(g423
    +Vp9
    +p13172
    +tp13173
    +a(g693
    +g976
    +tp13174
    +a(g826
    +g978
    +tp13175
    +a(g423
    +Vp10
    +p13176
    +tp13177
    +a(g693
    +g976
    +tp13178
    +a(g826
    +g978
    +tp13179
    +a(g423
    +Vp11
    +p13180
    +tp13181
    +a(g693
    +g976
    +tp13182
    +a(g826
    +g978
    +tp13183
    +a(g423
    +Vp12
    +p13184
    +tp13185
    +a(g693
    +g976
    +tp13186
    +a(g826
    +g978
    +tp13187
    +a(g423
    +Vp13
    +p13188
    +tp13189
    +a(g693
    +g976
    +tp13190
    +a(g826
    +g978
    +tp13191
    +a(g423
    +Vp14
    +p13192
    +tp13193
    +a(g693
    +g976
    +tp13194
    +a(g826
    +g978
    +tp13195
    +a(g423
    +Vi15
    +p13196
    +tp13197
    +a(g693
    +g976
    +tp13198
    +a(g826
    +g978
    +tp13199
    +a(g423
    +Vf16
    +p13200
    +tp13201
    +a(g693
    +g976
    +tp13202
    +a(g826
    +g978
    +tp13203
    +a(g423
    +Vf17
    +p13204
    +tp13205
    +a(g693
    +g1098
    +tp13206
    +a(g826
    +V\u000a
    +p13207
    +tp13208
    +a(g616
    +Vdebug_merge_point
    +p13209
    +tp13210
    +a(g693
    +g1104
    +tp13211
    +a(g76
    +V' #99 FOR_ITER'
    +p13212
    +tp13213
    +a(g693
    +g976
    +tp13214
    +a(g826
    +g978
    +tp13215
    +a(g22
    +g1110
    +tp13216
    +a(g693
    +g1112
    +tp13217
    +a(g826
    +V\u000a
    +p13218
    +tp13219
    +a(g669
    +Vguard_class
    +p13220
    +tp13221
    +a(g693
    +g1104
    +tp13222
    +a(g423
    +Vp5
    +p13223
    +tp13224
    +a(g693
    +g976
    +tp13225
    +a(g826
    +g978
    +tp13226
    +a(g22
    +V19861240
    +p13227
    +tp13228
    +a(g693
    +g976
    +tp13229
    +a(g826
    +g978
    +tp13230
    +a(g423
    +Vdescr
    +p13231
    +tp13232
    +a(g693
    +g1119
    +tp13233
    +a(g669
    +V
    +p13234
    +tp13235
    +a(g693
    +g1112
    +tp13236
    +a(g826
    +g978
    +tp13237
    +a(g693
    +g972
    +tp13238
    +a(g423
    +Vp0
    +p13239
    +tp13240
    +a(g693
    +g976
    +tp13241
    +a(g826
    +g978
    +tp13242
    +a(g423
    +Vp1
    +p13243
    +tp13244
    +a(g693
    +g976
    +tp13245
    +a(g826
    +g978
    +tp13246
    +a(g423
    +Vp5
    +p13247
    +tp13248
    +a(g693
    +g976
    +tp13249
    +a(g826
    +g978
    +tp13250
    +a(g423
    +Vp18
    +p13251
    +tp13252
    +a(g693
    +g976
    +tp13253
    +a(g826
    +g978
    +tp13254
    +a(g423
    +Vp4
    +p13255
    +tp13256
    +a(g693
    +g976
    +tp13257
    +a(g826
    +g978
    +tp13258
    +a(g423
    +Vp6
    +p13259
    +tp13260
    +a(g693
    +g976
    +tp13261
    +a(g826
    +g978
    +tp13262
    +a(g423
    +Vp7
    +p13263
    +tp13264
    +a(g693
    +g976
    +tp13265
    +a(g826
    +g978
    +tp13266
    +a(g423
    +Vp8
    +p13267
    +tp13268
    +a(g693
    +g976
    +tp13269
    +a(g826
    +g978
    +tp13270
    +a(g423
    +Vp9
    +p13271
    +tp13272
    +a(g693
    +g976
    +tp13273
    +a(g826
    +g978
    +tp13274
    +a(g423
    +Vp10
    +p13275
    +tp13276
    +a(g693
    +g976
    +tp13277
    +a(g826
    +g978
    +tp13278
    +a(g423
    +Vp11
    +p13279
    +tp13280
    +a(g693
    +g976
    +tp13281
    +a(g826
    +g978
    +tp13282
    +a(g423
    +Vp12
    +p13283
    +tp13284
    +a(g693
    +g976
    +tp13285
    +a(g826
    +g978
    +tp13286
    +a(g423
    +Vp13
    +p13287
    +tp13288
    +a(g693
    +g976
    +tp13289
    +a(g826
    +g978
    +tp13290
    +a(g423
    +Vp14
    +p13291
    +tp13292
    +a(g693
    +g976
    +tp13293
    +a(g826
    +g978
    +tp13294
    +a(g423
    +Vi15
    +p13295
    +tp13296
    +a(g693
    +g976
    +tp13297
    +a(g826
    +g978
    +tp13298
    +a(g423
    +Vf16
    +p13299
    +tp13300
    +a(g693
    +g976
    +tp13301
    +a(g826
    +g978
    +tp13302
    +a(g423
    +Vf17
    +p13303
    +tp13304
    +a(g693
    +g1098
    +tp13305
    +a(g826
    +V\u000a
    +p13306
    +tp13307
    +a(g423
    +Vi29
    +p13308
    +tp13309
    +a(g826
    +g978
    +tp13310
    +a(g693
    +g1119
    +tp13311
    +a(g826
    +g978
    +tp13312
    +a(g669
    +Vgetfield_gc
    +p13313
    +tp13314
    +a(g693
    +g1104
    +tp13315
    +a(g423
    +Vp5
    +p13316
    +tp13317
    +a(g693
    +g976
    +tp13318
    +a(g826
    +g978
    +tp13319
    +a(g423
    +Vdescr
    +p13320
    +tp13321
    +a(g693
    +g1119
    +tp13322
    +a(g669
    +V
    +p13323
    +tp13324
    +a(g693
    +g1112
    +tp13325
    +a(g826
    +V\u000a
    +p13326
    +tp13327
    +a(g423
    +Vi31
    +p13328
    +tp13329
    +a(g826
    +g978
    +tp13330
    +a(g693
    +g1119
    +tp13331
    +a(g826
    +g978
    +tp13332
    +a(g669
    +Vint_gt
    +p13333
    +tp13334
    +a(g693
    +g1104
    +tp13335
    +a(g423
    +Vi29
    +p13336
    +tp13337
    +a(g693
    +g976
    +tp13338
    +a(g826
    +g978
    +tp13339
    +a(g22
    +g1110
    +tp13340
    +a(g693
    +g1112
    +tp13341
    +a(g826
    +V\u000a
    +p13342
    +tp13343
    +a(g669
    +Vguard_true
    +p13344
    +tp13345
    +a(g693
    +g1104
    +tp13346
    +a(g423
    +Vi31
    +p13347
    +tp13348
    +a(g693
    +g976
    +tp13349
    +a(g826
    +g978
    +tp13350
    +a(g423
    +Vdescr
    +p13351
    +tp13352
    +a(g693
    +g1119
    +tp13353
    +a(g669
    +V
    +p13354
    +tp13355
    +a(g693
    +g1112
    +tp13356
    +a(g826
    +g978
    +tp13357
    +a(g693
    +g972
    +tp13358
    +a(g423
    +Vp0
    +p13359
    +tp13360
    +a(g693
    +g976
    +tp13361
    +a(g826
    +g978
    +tp13362
    +a(g423
    +Vp1
    +p13363
    +tp13364
    +a(g693
    +g976
    +tp13365
    +a(g826
    +g978
    +tp13366
    +a(g423
    +Vp5
    +p13367
    +tp13368
    +a(g693
    +g976
    +tp13369
    +a(g826
    +g978
    +tp13370
    +a(g423
    +Vp18
    +p13371
    +tp13372
    +a(g693
    +g976
    +tp13373
    +a(g826
    +g978
    +tp13374
    +a(g423
    +Vp4
    +p13375
    +tp13376
    +a(g693
    +g976
    +tp13377
    +a(g826
    +g978
    +tp13378
    +a(g423
    +Vp6
    +p13379
    +tp13380
    +a(g693
    +g976
    +tp13381
    +a(g826
    +g978
    +tp13382
    +a(g423
    +Vp7
    +p13383
    +tp13384
    +a(g693
    +g976
    +tp13385
    +a(g826
    +g978
    +tp13386
    +a(g423
    +Vp8
    +p13387
    +tp13388
    +a(g693
    +g976
    +tp13389
    +a(g826
    +g978
    +tp13390
    +a(g423
    +Vp9
    +p13391
    +tp13392
    +a(g693
    +g976
    +tp13393
    +a(g826
    +g978
    +tp13394
    +a(g423
    +Vp10
    +p13395
    +tp13396
    +a(g693
    +g976
    +tp13397
    +a(g826
    +g978
    +tp13398
    +a(g423
    +Vp11
    +p13399
    +tp13400
    +a(g693
    +g976
    +tp13401
    +a(g826
    +g978
    +tp13402
    +a(g423
    +Vp12
    +p13403
    +tp13404
    +a(g693
    +g976
    +tp13405
    +a(g826
    +g978
    +tp13406
    +a(g423
    +Vp13
    +p13407
    +tp13408
    +a(g693
    +g976
    +tp13409
    +a(g826
    +g978
    +tp13410
    +a(g423
    +Vp14
    +p13411
    +tp13412
    +a(g693
    +g976
    +tp13413
    +a(g826
    +g978
    +tp13414
    +a(g423
    +Vi15
    +p13415
    +tp13416
    +a(g693
    +g976
    +tp13417
    +a(g826
    +g978
    +tp13418
    +a(g423
    +Vf16
    +p13419
    +tp13420
    +a(g693
    +g976
    +tp13421
    +a(g826
    +g978
    +tp13422
    +a(g423
    +Vf17
    +p13423
    +tp13424
    +a(g693
    +g1098
    +tp13425
    +a(g826
    +V\u000a
    +p13426
    +tp13427
    +a(g423
    +Vi32
    +p13428
    +tp13429
    +a(g826
    +g978
    +tp13430
    +a(g693
    +g1119
    +tp13431
    +a(g826
    +g978
    +tp13432
    +a(g669
    +Vgetfield_gc
    +p13433
    +tp13434
    +a(g693
    +g1104
    +tp13435
    +a(g423
    +Vp5
    +p13436
    +tp13437
    +a(g693
    +g976
    +tp13438
    +a(g826
    +g978
    +tp13439
    +a(g423
    +Vdescr
    +p13440
    +tp13441
    +a(g693
    +g1119
    +tp13442
    +a(g669
    +V
    +p13443
    +tp13444
    +a(g693
    +g1112
    +tp13445
    +a(g826
    +V\u000a
    +p13446
    +tp13447
    +a(g423
    +Vi33
    +p13448
    +tp13449
    +a(g826
    +g978
    +tp13450
    +a(g693
    +g1119
    +tp13451
    +a(g826
    +g978
    +tp13452
    +a(g669
    +Vgetfield_gc
    +p13453
    +tp13454
    +a(g693
    +g1104
    +tp13455
    +a(g423
    +Vp5
    +p13456
    +tp13457
    +a(g693
    +g976
    +tp13458
    +a(g826
    +g978
    +tp13459
    +a(g423
    +Vdescr
    +p13460
    +tp13461
    +a(g693
    +g1119
    +tp13462
    +a(g669
    +V
    +p13463
    +tp13464
    +a(g693
    +g1112
    +tp13465
    +a(g826
    +V\u000a
    +p13466
    +tp13467
    +a(g423
    +Vi34
    +p13468
    +tp13469
    +a(g826
    +g978
    +tp13470
    +a(g693
    +g1119
    +tp13471
    +a(g826
    +g978
    +tp13472
    +a(g669
    +Vint_add
    +p13473
    +tp13474
    +a(g693
    +g1104
    +tp13475
    +a(g423
    +Vi32
    +p13476
    +tp13477
    +a(g693
    +g976
    +tp13478
    +a(g826
    +g978
    +tp13479
    +a(g423
    +Vi33
    +p13480
    +tp13481
    +a(g693
    +g1112
    +tp13482
    +a(g826
    +V\u000a
    +p13483
    +tp13484
    +a(g423
    +Vi36
    +p13485
    +tp13486
    +a(g826
    +g978
    +tp13487
    +a(g693
    +g1119
    +tp13488
    +a(g826
    +g978
    +tp13489
    +a(g669
    +Vint_sub
    +p13490
    +tp13491
    +a(g693
    +g1104
    +tp13492
    +a(g423
    +Vi29
    +p13493
    +tp13494
    +a(g693
    +g976
    +tp13495
    +a(g826
    +g978
    +tp13496
    +a(g22
    +g1250
    +tp13497
    +a(g693
    +g1112
    +tp13498
    +a(g826
    +V\u000a
    +p13499
    +tp13500
    +a(g616
    +Vdebug_merge_point
    +p13501
    +tp13502
    +a(g693
    +g1104
    +tp13503
    +a(g76
    +V' #102 STORE_FAST'
    +p13504
    +tp13505
    +a(g693
    +g976
    +tp13506
    +a(g826
    +g978
    +tp13507
    +a(g22
    +g1110
    +tp13508
    +a(g693
    +g1112
    +tp13509
    +a(g826
    +V\u000a
    +p13510
    +tp13511
    +a(g616
    +Vdebug_merge_point
    +p13512
    +tp13513
    +a(g693
    +g1104
    +tp13514
    +a(g76
    +V' #105 SETUP_LOOP'
    +p13515
    +tp13516
    +a(g693
    +g976
    +tp13517
    +a(g826
    +g978
    +tp13518
    +a(g22
    +g1110
    +tp13519
    +a(g693
    +g1112
    +tp13520
    +a(g826
    +V\u000a
    +p13521
    +tp13522
    +a(g616
    +Vdebug_merge_point
    +p13523
    +tp13524
    +a(g693
    +g1104
    +tp13525
    +a(g76
    +V' #108 LOAD_GLOBAL'
    +p13526
    +tp13527
    +a(g693
    +g976
    +tp13528
    +a(g826
    +g978
    +tp13529
    +a(g22
    +g1110
    +tp13530
    +a(g693
    +g1112
    +tp13531
    +a(g826
    +V\u000a
    +p13532
    +tp13533
    +a(g423
    +Vp37
    +p13534
    +tp13535
    +a(g826
    +g978
    +tp13536
    +a(g693
    +g1119
    +tp13537
    +a(g826
    +g978
    +tp13538
    +a(g669
    +Vgetfield_gc
    +p13539
    +tp13540
    +a(g693
    +g1104
    +tp13541
    +a(g423
    +Vp1
    +p13542
    +tp13543
    +a(g693
    +g976
    +tp13544
    +a(g826
    +g978
    +tp13545
    +a(g423
    +Vdescr
    +p13546
    +tp13547
    +a(g693
    +g1119
    +tp13548
    +a(g669
    +V
    +p13549
    +tp13550
    +a(g693
    +g1112
    +tp13551
    +a(g826
    +V\u000a
    +p13552
    +tp13553
    +a(g669
    +Vsetfield_gc
    +p13554
    +tp13555
    +a(g693
    +g1104
    +tp13556
    +a(g423
    +Vp5
    +p13557
    +tp13558
    +a(g693
    +g976
    +tp13559
    +a(g826
    +g978
    +tp13560
    +a(g423
    +Vi34
    +p13561
    +tp13562
    +a(g693
    +g976
    +tp13563
    +a(g826
    +g978
    +tp13564
    +a(g423
    +Vdescr
    +p13565
    +tp13566
    +a(g693
    +g1119
    +tp13567
    +a(g669
    +V
    +p13568
    +tp13569
    +a(g693
    +g1112
    +tp13570
    +a(g826
    +V\u000a
    +p13571
    +tp13572
    +a(g669
    +Vsetfield_gc
    +p13573
    +tp13574
    +a(g693
    +g1104
    +tp13575
    +a(g423
    +Vp5
    +p13576
    +tp13577
    +a(g693
    +g976
    +tp13578
    +a(g826
    +g978
    +tp13579
    +a(g423
    +Vi36
    +p13580
    +tp13581
    +a(g693
    +g976
    +tp13582
    +a(g826
    +g978
    +tp13583
    +a(g423
    +Vdescr
    +p13584
    +tp13585
    +a(g693
    +g1119
    +tp13586
    +a(g669
    +V
    +p13587
    +tp13588
    +a(g693
    +g1112
    +tp13589
    +a(g826
    +V\u000a
    +p13590
    +tp13591
    +a(g669
    +Vguard_value
    +p13592
    +tp13593
    +a(g693
    +g1104
    +tp13594
    +a(g423
    +Vp37
    +p13595
    +tp13596
    +a(g693
    +g976
    +tp13597
    +a(g826
    +g978
    +tp13598
    +a(g423
    +VConstPtr
    +p13599
    +tp13600
    +a(g693
    +g1104
    +tp13601
    +a(g669
    +Vptr38
    +p13602
    +tp13603
    +a(g693
    +g1112
    +tp13604
    +a(g693
    +g976
    +tp13605
    +a(g826
    +g978
    +tp13606
    +a(g423
    +Vdescr
    +p13607
    +tp13608
    +a(g693
    +g1119
    +tp13609
    +a(g669
    +V
    +p13610
    +tp13611
    +a(g693
    +g1112
    +tp13612
    +a(g826
    +g978
    +tp13613
    +a(g693
    +g972
    +tp13614
    +a(g423
    +Vp0
    +p13615
    +tp13616
    +a(g693
    +g976
    +tp13617
    +a(g826
    +g978
    +tp13618
    +a(g423
    +Vp1
    +p13619
    +tp13620
    +a(g693
    +g976
    +tp13621
    +a(g826
    +g978
    +tp13622
    +a(g423
    +Vp37
    +p13623
    +tp13624
    +a(g693
    +g976
    +tp13625
    +a(g826
    +g978
    +tp13626
    +a(g423
    +Vp4
    +p13627
    +tp13628
    +a(g693
    +g976
    +tp13629
    +a(g826
    +g978
    +tp13630
    +a(g423
    +Vp5
    +p13631
    +tp13632
    +a(g693
    +g976
    +tp13633
    +a(g826
    +g978
    +tp13634
    +a(g423
    +Vp6
    +p13635
    +tp13636
    +a(g693
    +g976
    +tp13637
    +a(g826
    +g978
    +tp13638
    +a(g423
    +Vp7
    +p13639
    +tp13640
    +a(g693
    +g976
    +tp13641
    +a(g826
    +g978
    +tp13642
    +a(g423
    +Vp8
    +p13643
    +tp13644
    +a(g693
    +g976
    +tp13645
    +a(g826
    +g978
    +tp13646
    +a(g423
    +Vp9
    +p13647
    +tp13648
    +a(g693
    +g976
    +tp13649
    +a(g826
    +g978
    +tp13650
    +a(g423
    +Vp10
    +p13651
    +tp13652
    +a(g693
    +g976
    +tp13653
    +a(g826
    +g978
    +tp13654
    +a(g423
    +Vp11
    +p13655
    +tp13656
    +a(g693
    +g976
    +tp13657
    +a(g826
    +g978
    +tp13658
    +a(g423
    +Vp12
    +p13659
    +tp13660
    +a(g693
    +g976
    +tp13661
    +a(g826
    +g978
    +tp13662
    +a(g423
    +Vp13
    +p13663
    +tp13664
    +a(g693
    +g976
    +tp13665
    +a(g826
    +g978
    +tp13666
    +a(g423
    +Vi32
    +p13667
    +tp13668
    +a(g693
    +g976
    +tp13669
    +a(g826
    +g978
    +tp13670
    +a(g423
    +Vp18
    +p13671
    +tp13672
    +a(g693
    +g976
    +tp13673
    +a(g826
    +g978
    +tp13674
    +a(g423
    +Vi15
    +p13675
    +tp13676
    +a(g693
    +g976
    +tp13677
    +a(g826
    +g978
    +tp13678
    +a(g423
    +Vf16
    +p13679
    +tp13680
    +a(g693
    +g976
    +tp13681
    +a(g826
    +g978
    +tp13682
    +a(g423
    +Vf17
    +p13683
    +tp13684
    +a(g693
    +g1098
    +tp13685
    +a(g826
    +V\u000a
    +p13686
    +tp13687
    +a(g423
    +Vp39
    +p13688
    +tp13689
    +a(g826
    +g978
    +tp13690
    +a(g693
    +g1119
    +tp13691
    +a(g826
    +g978
    +tp13692
    +a(g669
    +Vgetfield_gc
    +p13693
    +tp13694
    +a(g693
    +g1104
    +tp13695
    +a(g423
    +Vp37
    +p13696
    +tp13697
    +a(g693
    +g976
    +tp13698
    +a(g826
    +g978
    +tp13699
    +a(g423
    +Vdescr
    +p13700
    +tp13701
    +a(g693
    +g1119
    +tp13702
    +a(g669
    +V
    +p13703
    +tp13704
    +a(g693
    +g1112
    +tp13705
    +a(g826
    +V\u000a
    +p13706
    +tp13707
    +a(g669
    +Vguard_isnull
    +p13708
    +tp13709
    +a(g693
    +g1104
    +tp13710
    +a(g423
    +Vp39
    +p13711
    +tp13712
    +a(g693
    +g976
    +tp13713
    +a(g826
    +g978
    +tp13714
    +a(g423
    +Vdescr
    +p13715
    +tp13716
    +a(g693
    +g1119
    +tp13717
    +a(g669
    +V
    +p13718
    +tp13719
    +a(g693
    +g1112
    +tp13720
    +a(g826
    +g978
    +tp13721
    +a(g693
    +g972
    +tp13722
    +a(g423
    +Vp0
    +p13723
    +tp13724
    +a(g693
    +g976
    +tp13725
    +a(g826
    +g978
    +tp13726
    +a(g423
    +Vp1
    +p13727
    +tp13728
    +a(g693
    +g976
    +tp13729
    +a(g826
    +g978
    +tp13730
    +a(g423
    +Vp39
    +p13731
    +tp13732
    +a(g693
    +g976
    +tp13733
    +a(g826
    +g978
    +tp13734
    +a(g423
    +Vp37
    +p13735
    +tp13736
    +a(g693
    +g976
    +tp13737
    +a(g826
    +g978
    +tp13738
    +a(g423
    +Vp4
    +p13739
    +tp13740
    +a(g693
    +g976
    +tp13741
    +a(g826
    +g978
    +tp13742
    +a(g423
    +Vp5
    +p13743
    +tp13744
    +a(g693
    +g976
    +tp13745
    +a(g826
    +g978
    +tp13746
    +a(g423
    +Vp6
    +p13747
    +tp13748
    +a(g693
    +g976
    +tp13749
    +a(g826
    +g978
    +tp13750
    +a(g423
    +Vp7
    +p13751
    +tp13752
    +a(g693
    +g976
    +tp13753
    +a(g826
    +g978
    +tp13754
    +a(g423
    +Vp8
    +p13755
    +tp13756
    +a(g693
    +g976
    +tp13757
    +a(g826
    +g978
    +tp13758
    +a(g423
    +Vp9
    +p13759
    +tp13760
    +a(g693
    +g976
    +tp13761
    +a(g826
    +g978
    +tp13762
    +a(g423
    +Vp10
    +p13763
    +tp13764
    +a(g693
    +g976
    +tp13765
    +a(g826
    +g978
    +tp13766
    +a(g423
    +Vp11
    +p13767
    +tp13768
    +a(g693
    +g976
    +tp13769
    +a(g826
    +g978
    +tp13770
    +a(g423
    +Vp12
    +p13771
    +tp13772
    +a(g693
    +g976
    +tp13773
    +a(g826
    +g978
    +tp13774
    +a(g423
    +Vp13
    +p13775
    +tp13776
    +a(g693
    +g976
    +tp13777
    +a(g826
    +g978
    +tp13778
    +a(g423
    +Vi32
    +p13779
    +tp13780
    +a(g693
    +g976
    +tp13781
    +a(g826
    +g978
    +tp13782
    +a(g423
    +Vp18
    +p13783
    +tp13784
    +a(g693
    +g976
    +tp13785
    +a(g826
    +g978
    +tp13786
    +a(g423
    +Vi15
    +p13787
    +tp13788
    +a(g693
    +g976
    +tp13789
    +a(g826
    +g978
    +tp13790
    +a(g423
    +Vf16
    +p13791
    +tp13792
    +a(g693
    +g976
    +tp13793
    +a(g826
    +g978
    +tp13794
    +a(g423
    +Vf17
    +p13795
    +tp13796
    +a(g693
    +g1098
    +tp13797
    +a(g826
    +V\u000a
    +p13798
    +tp13799
    +a(g423
    +Vp41
    +p13800
    +tp13801
    +a(g826
    +g978
    +tp13802
    +a(g693
    +g1119
    +tp13803
    +a(g826
    +g978
    +tp13804
    +a(g669
    +Vgetfield_gc
    +p13805
    +tp13806
    +a(g693
    +g1104
    +tp13807
    +a(g423
    +VConstPtr
    +p13808
    +tp13809
    +a(g693
    +g1104
    +tp13810
    +a(g669
    +Vptr40
    +p13811
    +tp13812
    +a(g693
    +g1112
    +tp13813
    +a(g693
    +g976
    +tp13814
    +a(g826
    +g978
    +tp13815
    +a(g423
    +Vdescr
    +p13816
    +tp13817
    +a(g693
    +g1119
    +tp13818
    +a(g669
    +V
    +p13819
    +tp13820
    +a(g693
    +g1112
    +tp13821
    +a(g826
    +V\u000a
    +p13822
    +tp13823
    +a(g669
    +Vguard_isnull
    +p13824
    +tp13825
    +a(g693
    +g1104
    +tp13826
    +a(g423
    +Vp41
    +p13827
    +tp13828
    +a(g693
    +g976
    +tp13829
    +a(g826
    +g978
    +tp13830
    +a(g423
    +Vdescr
    +p13831
    +tp13832
    +a(g693
    +g1119
    +tp13833
    +a(g669
    +V
    +p13834
    +tp13835
    +a(g693
    +g1112
    +tp13836
    +a(g826
    +g978
    +tp13837
    +a(g693
    +g972
    +tp13838
    +a(g423
    +Vp0
    +p13839
    +tp13840
    +a(g693
    +g976
    +tp13841
    +a(g826
    +g978
    +tp13842
    +a(g423
    +Vp1
    +p13843
    +tp13844
    +a(g693
    +g976
    +tp13845
    +a(g826
    +g978
    +tp13846
    +a(g423
    +Vp41
    +p13847
    +tp13848
    +a(g693
    +g976
    +tp13849
    +a(g826
    +g978
    +tp13850
    +a(g423
    +Vp4
    +p13851
    +tp13852
    +a(g693
    +g976
    +tp13853
    +a(g826
    +g978
    +tp13854
    +a(g423
    +Vp5
    +p13855
    +tp13856
    +a(g693
    +g976
    +tp13857
    +a(g826
    +g978
    +tp13858
    +a(g423
    +Vp6
    +p13859
    +tp13860
    +a(g693
    +g976
    +tp13861
    +a(g826
    +g978
    +tp13862
    +a(g423
    +Vp7
    +p13863
    +tp13864
    +a(g693
    +g976
    +tp13865
    +a(g826
    +g978
    +tp13866
    +a(g423
    +Vp8
    +p13867
    +tp13868
    +a(g693
    +g976
    +tp13869
    +a(g826
    +g978
    +tp13870
    +a(g423
    +Vp9
    +p13871
    +tp13872
    +a(g693
    +g976
    +tp13873
    +a(g826
    +g978
    +tp13874
    +a(g423
    +Vp10
    +p13875
    +tp13876
    +a(g693
    +g976
    +tp13877
    +a(g826
    +g978
    +tp13878
    +a(g423
    +Vp11
    +p13879
    +tp13880
    +a(g693
    +g976
    +tp13881
    +a(g826
    +g978
    +tp13882
    +a(g423
    +Vp12
    +p13883
    +tp13884
    +a(g693
    +g976
    +tp13885
    +a(g826
    +g978
    +tp13886
    +a(g423
    +Vp13
    +p13887
    +tp13888
    +a(g693
    +g976
    +tp13889
    +a(g826
    +g978
    +tp13890
    +a(g423
    +Vi32
    +p13891
    +tp13892
    +a(g693
    +g976
    +tp13893
    +a(g826
    +g978
    +tp13894
    +a(g423
    +Vp18
    +p13895
    +tp13896
    +a(g693
    +g976
    +tp13897
    +a(g826
    +g978
    +tp13898
    +a(g423
    +Vi15
    +p13899
    +tp13900
    +a(g693
    +g976
    +tp13901
    +a(g826
    +g978
    +tp13902
    +a(g423
    +Vf16
    +p13903
    +tp13904
    +a(g693
    +g976
    +tp13905
    +a(g826
    +g978
    +tp13906
    +a(g423
    +Vf17
    +p13907
    +tp13908
    +a(g693
    +g1098
    +tp13909
    +a(g826
    +V\u000a
    +p13910
    +tp13911
    +a(g423
    +Vp43
    +p13912
    +tp13913
    +a(g826
    +g978
    +tp13914
    +a(g693
    +g1119
    +tp13915
    +a(g826
    +g978
    +tp13916
    +a(g669
    +Vgetfield_gc
    +p13917
    +tp13918
    +a(g693
    +g1104
    +tp13919
    +a(g423
    +VConstPtr
    +p13920
    +tp13921
    +a(g693
    +g1104
    +tp13922
    +a(g669
    +Vptr42
    +p13923
    +tp13924
    +a(g693
    +g1112
    +tp13925
    +a(g693
    +g976
    +tp13926
    +a(g826
    +g978
    +tp13927
    +a(g423
    +Vdescr
    +p13928
    +tp13929
    +a(g693
    +g1119
    +tp13930
    +a(g669
    +V
    +p13931
    +tp13932
    +a(g693
    +g1112
    +tp13933
    +a(g826
    +V\u000a
    +p13934
    +tp13935
    +a(g669
    +Vguard_value
    +p13936
    +tp13937
    +a(g693
    +g1104
    +tp13938
    +a(g423
    +Vp43
    +p13939
    +tp13940
    +a(g693
    +g976
    +tp13941
    +a(g826
    +g978
    +tp13942
    +a(g423
    +VConstPtr
    +p13943
    +tp13944
    +a(g693
    +g1104
    +tp13945
    +a(g669
    +Vptr44
    +p13946
    +tp13947
    +a(g693
    +g1112
    +tp13948
    +a(g693
    +g976
    +tp13949
    +a(g826
    +g978
    +tp13950
    +a(g423
    +Vdescr
    +p13951
    +tp13952
    +a(g693
    +g1119
    +tp13953
    +a(g669
    +V
    +p13954
    +tp13955
    +a(g693
    +g1112
    +tp13956
    +a(g826
    +g978
    +tp13957
    +a(g693
    +g972
    +tp13958
    +a(g423
    +Vp0
    +p13959
    +tp13960
    +a(g693
    +g976
    +tp13961
    +a(g826
    +g978
    +tp13962
    +a(g423
    +Vp1
    +p13963
    +tp13964
    +a(g693
    +g976
    +tp13965
    +a(g826
    +g978
    +tp13966
    +a(g423
    +Vp43
    +p13967
    +tp13968
    +a(g693
    +g976
    +tp13969
    +a(g826
    +g978
    +tp13970
    +a(g423
    +Vp4
    +p13971
    +tp13972
    +a(g693
    +g976
    +tp13973
    +a(g826
    +g978
    +tp13974
    +a(g423
    +Vp5
    +p13975
    +tp13976
    +a(g693
    +g976
    +tp13977
    +a(g826
    +g978
    +tp13978
    +a(g423
    +Vp6
    +p13979
    +tp13980
    +a(g693
    +g976
    +tp13981
    +a(g826
    +g978
    +tp13982
    +a(g423
    +Vp7
    +p13983
    +tp13984
    +a(g693
    +g976
    +tp13985
    +a(g826
    +g978
    +tp13986
    +a(g423
    +Vp8
    +p13987
    +tp13988
    +a(g693
    +g976
    +tp13989
    +a(g826
    +g978
    +tp13990
    +a(g423
    +Vp9
    +p13991
    +tp13992
    +a(g693
    +g976
    +tp13993
    +a(g826
    +g978
    +tp13994
    +a(g423
    +Vp10
    +p13995
    +tp13996
    +a(g693
    +g976
    +tp13997
    +a(g826
    +g978
    +tp13998
    +a(g423
    +Vp11
    +p13999
    +tp14000
    +a(g693
    +g976
    +tp14001
    +a(g826
    +g978
    +tp14002
    +a(g423
    +Vp12
    +p14003
    +tp14004
    +a(g693
    +g976
    +tp14005
    +a(g826
    +g978
    +tp14006
    +a(g423
    +Vp13
    +p14007
    +tp14008
    +a(g693
    +g976
    +tp14009
    +a(g826
    +g978
    +tp14010
    +a(g423
    +Vi32
    +p14011
    +tp14012
    +a(g693
    +g976
    +tp14013
    +a(g826
    +g978
    +tp14014
    +a(g423
    +Vp18
    +p14015
    +tp14016
    +a(g693
    +g976
    +tp14017
    +a(g826
    +g978
    +tp14018
    +a(g423
    +Vi15
    +p14019
    +tp14020
    +a(g693
    +g976
    +tp14021
    +a(g826
    +g978
    +tp14022
    +a(g423
    +Vf16
    +p14023
    +tp14024
    +a(g693
    +g976
    +tp14025
    +a(g826
    +g978
    +tp14026
    +a(g423
    +Vf17
    +p14027
    +tp14028
    +a(g693
    +g1098
    +tp14029
    +a(g826
    +V\u000a
    +p14030
    +tp14031
    +a(g423
    +Vp45
    +p14032
    +tp14033
    +a(g826
    +g978
    +tp14034
    +a(g693
    +g1119
    +tp14035
    +a(g826
    +g978
    +tp14036
    +a(g669
    +Vgetfield_gc
    +p14037
    +tp14038
    +a(g693
    +g1104
    +tp14039
    +a(g423
    +Vp43
    +p14040
    +tp14041
    +a(g693
    +g976
    +tp14042
    +a(g826
    +g978
    +tp14043
    +a(g423
    +Vdescr
    +p14044
    +tp14045
    +a(g693
    +g1119
    +tp14046
    +a(g669
    +V
    +p14047
    +tp14048
    +a(g693
    +g1112
    +tp14049
    +a(g826
    +V\u000a
    +p14050
    +tp14051
    +a(g669
    +Vguard_isnull
    +p14052
    +tp14053
    +a(g693
    +g1104
    +tp14054
    +a(g423
    +Vp45
    +p14055
    +tp14056
    +a(g693
    +g976
    +tp14057
    +a(g826
    +g978
    +tp14058
    +a(g423
    +Vdescr
    +p14059
    +tp14060
    +a(g693
    +g1119
    +tp14061
    +a(g669
    +V
    +p14062
    +tp14063
    +a(g693
    +g1112
    +tp14064
    +a(g826
    +g978
    +tp14065
    +a(g693
    +g972
    +tp14066
    +a(g423
    +Vp0
    +p14067
    +tp14068
    +a(g693
    +g976
    +tp14069
    +a(g826
    +g978
    +tp14070
    +a(g423
    +Vp1
    +p14071
    +tp14072
    +a(g693
    +g976
    +tp14073
    +a(g826
    +g978
    +tp14074
    +a(g423
    +Vp45
    +p14075
    +tp14076
    +a(g693
    +g976
    +tp14077
    +a(g826
    +g978
    +tp14078
    +a(g423
    +Vp43
    +p14079
    +tp14080
    +a(g693
    +g976
    +tp14081
    +a(g826
    +g978
    +tp14082
    +a(g423
    +Vp4
    +p14083
    +tp14084
    +a(g693
    +g976
    +tp14085
    +a(g826
    +g978
    +tp14086
    +a(g423
    +Vp5
    +p14087
    +tp14088
    +a(g693
    +g976
    +tp14089
    +a(g826
    +g978
    +tp14090
    +a(g423
    +Vp6
    +p14091
    +tp14092
    +a(g693
    +g976
    +tp14093
    +a(g826
    +g978
    +tp14094
    +a(g423
    +Vp7
    +p14095
    +tp14096
    +a(g693
    +g976
    +tp14097
    +a(g826
    +g978
    +tp14098
    +a(g423
    +Vp8
    +p14099
    +tp14100
    +a(g693
    +g976
    +tp14101
    +a(g826
    +g978
    +tp14102
    +a(g423
    +Vp9
    +p14103
    +tp14104
    +a(g693
    +g976
    +tp14105
    +a(g826
    +g978
    +tp14106
    +a(g423
    +Vp10
    +p14107
    +tp14108
    +a(g693
    +g976
    +tp14109
    +a(g826
    +g978
    +tp14110
    +a(g423
    +Vp11
    +p14111
    +tp14112
    +a(g693
    +g976
    +tp14113
    +a(g826
    +g978
    +tp14114
    +a(g423
    +Vp12
    +p14115
    +tp14116
    +a(g693
    +g976
    +tp14117
    +a(g826
    +g978
    +tp14118
    +a(g423
    +Vp13
    +p14119
    +tp14120
    +a(g693
    +g976
    +tp14121
    +a(g826
    +g978
    +tp14122
    +a(g423
    +Vi32
    +p14123
    +tp14124
    +a(g693
    +g976
    +tp14125
    +a(g826
    +g978
    +tp14126
    +a(g423
    +Vp18
    +p14127
    +tp14128
    +a(g693
    +g976
    +tp14129
    +a(g826
    +g978
    +tp14130
    +a(g423
    +Vi15
    +p14131
    +tp14132
    +a(g693
    +g976
    +tp14133
    +a(g826
    +g978
    +tp14134
    +a(g423
    +Vf16
    +p14135
    +tp14136
    +a(g693
    +g976
    +tp14137
    +a(g826
    +g978
    +tp14138
    +a(g423
    +Vf17
    +p14139
    +tp14140
    +a(g693
    +g1098
    +tp14141
    +a(g826
    +V\u000a
    +p14142
    +tp14143
    +a(g423
    +Vp47
    +p14144
    +tp14145
    +a(g826
    +g978
    +tp14146
    +a(g693
    +g1119
    +tp14147
    +a(g826
    +g978
    +tp14148
    +a(g669
    +Vgetfield_gc
    +p14149
    +tp14150
    +a(g693
    +g1104
    +tp14151
    +a(g423
    +VConstPtr
    +p14152
    +tp14153
    +a(g693
    +g1104
    +tp14154
    +a(g669
    +Vptr46
    +p14155
    +tp14156
    +a(g693
    +g1112
    +tp14157
    +a(g693
    +g976
    +tp14158
    +a(g826
    +g978
    +tp14159
    +a(g423
    +Vdescr
    +p14160
    +tp14161
    +a(g693
    +g1119
    +tp14162
    +a(g669
    +V
    +p14163
    +tp14164
    +a(g693
    +g1112
    +tp14165
    +a(g826
    +V\u000a
    +p14166
    +tp14167
    +a(g669
    +Vguard_value
    +p14168
    +tp14169
    +a(g693
    +g1104
    +tp14170
    +a(g423
    +Vp47
    +p14171
    +tp14172
    +a(g693
    +g976
    +tp14173
    +a(g826
    +g978
    +tp14174
    +a(g423
    +VConstPtr
    +p14175
    +tp14176
    +a(g693
    +g1104
    +tp14177
    +a(g669
    +Vptr48
    +p14178
    +tp14179
    +a(g693
    +g1112
    +tp14180
    +a(g693
    +g976
    +tp14181
    +a(g826
    +g978
    +tp14182
    +a(g423
    +Vdescr
    +p14183
    +tp14184
    +a(g693
    +g1119
    +tp14185
    +a(g669
    +V
    +p14186
    +tp14187
    +a(g693
    +g1112
    +tp14188
    +a(g826
    +g978
    +tp14189
    +a(g693
    +g972
    +tp14190
    +a(g423
    +Vp0
    +p14191
    +tp14192
    +a(g693
    +g976
    +tp14193
    +a(g826
    +g978
    +tp14194
    +a(g423
    +Vp1
    +p14195
    +tp14196
    +a(g693
    +g976
    +tp14197
    +a(g826
    +g978
    +tp14198
    +a(g423
    +Vp47
    +p14199
    +tp14200
    +a(g693
    +g976
    +tp14201
    +a(g826
    +g978
    +tp14202
    +a(g423
    +Vp4
    +p14203
    +tp14204
    +a(g693
    +g976
    +tp14205
    +a(g826
    +g978
    +tp14206
    +a(g423
    +Vp5
    +p14207
    +tp14208
    +a(g693
    +g976
    +tp14209
    +a(g826
    +g978
    +tp14210
    +a(g423
    +Vp6
    +p14211
    +tp14212
    +a(g693
    +g976
    +tp14213
    +a(g826
    +g978
    +tp14214
    +a(g423
    +Vp7
    +p14215
    +tp14216
    +a(g693
    +g976
    +tp14217
    +a(g826
    +g978
    +tp14218
    +a(g423
    +Vp8
    +p14219
    +tp14220
    +a(g693
    +g976
    +tp14221
    +a(g826
    +g978
    +tp14222
    +a(g423
    +Vp9
    +p14223
    +tp14224
    +a(g693
    +g976
    +tp14225
    +a(g826
    +g978
    +tp14226
    +a(g423
    +Vp10
    +p14227
    +tp14228
    +a(g693
    +g976
    +tp14229
    +a(g826
    +g978
    +tp14230
    +a(g423
    +Vp11
    +p14231
    +tp14232
    +a(g693
    +g976
    +tp14233
    +a(g826
    +g978
    +tp14234
    +a(g423
    +Vp12
    +p14235
    +tp14236
    +a(g693
    +g976
    +tp14237
    +a(g826
    +g978
    +tp14238
    +a(g423
    +Vp13
    +p14239
    +tp14240
    +a(g693
    +g976
    +tp14241
    +a(g826
    +g978
    +tp14242
    +a(g423
    +Vi32
    +p14243
    +tp14244
    +a(g693
    +g976
    +tp14245
    +a(g826
    +g978
    +tp14246
    +a(g423
    +Vp18
    +p14247
    +tp14248
    +a(g693
    +g976
    +tp14249
    +a(g826
    +g978
    +tp14250
    +a(g423
    +Vi15
    +p14251
    +tp14252
    +a(g693
    +g976
    +tp14253
    +a(g826
    +g978
    +tp14254
    +a(g423
    +Vf16
    +p14255
    +tp14256
    +a(g693
    +g976
    +tp14257
    +a(g826
    +g978
    +tp14258
    +a(g423
    +Vf17
    +p14259
    +tp14260
    +a(g693
    +g1098
    +tp14261
    +a(g826
    +V\u000a
    +p14262
    +tp14263
    +a(g616
    +Vdebug_merge_point
    +p14264
    +tp14265
    +a(g693
    +g1104
    +tp14266
    +a(g76
    +V' #111 LOAD_CONST'
    +p14267
    +tp14268
    +a(g693
    +g976
    +tp14269
    +a(g826
    +g978
    +tp14270
    +a(g22
    +g1110
    +tp14271
    +a(g693
    +g1112
    +tp14272
    +a(g826
    +V\u000a
    +p14273
    +tp14274
    +a(g616
    +Vdebug_merge_point
    +p14275
    +tp14276
    +a(g693
    +g1104
    +tp14277
    +a(g76
    +V' #114 LOAD_FAST'
    +p14278
    +tp14279
    +a(g693
    +g976
    +tp14280
    +a(g826
    +g978
    +tp14281
    +a(g22
    +g1110
    +tp14282
    +a(g693
    +g1112
    +tp14283
    +a(g826
    +V\u000a
    +p14284
    +tp14285
    +a(g669
    +Vguard_nonnull_class
    +p14286
    +tp14287
    +a(g693
    +g1104
    +tp14288
    +a(g423
    +Vp12
    +p14289
    +tp14290
    +a(g693
    +g976
    +tp14291
    +a(g826
    +g978
    +tp14292
    +a(g423
    +VConstClass
    +p14293
    +tp14294
    +a(g693
    +g1104
    +tp14295
    +a(g669
    +VW_IntObject
    +p14296
    +tp14297
    +a(g693
    +g1112
    +tp14298
    +a(g693
    +g976
    +tp14299
    +a(g826
    +g978
    +tp14300
    +a(g423
    +Vdescr
    +p14301
    +tp14302
    +a(g693
    +g1119
    +tp14303
    +a(g669
    +V
    +p14304
    +tp14305
    +a(g693
    +g1112
    +tp14306
    +a(g826
    +g978
    +tp14307
    +a(g693
    +g972
    +tp14308
    +a(g423
    +Vp0
    +p14309
    +tp14310
    +a(g693
    +g976
    +tp14311
    +a(g826
    +g978
    +tp14312
    +a(g423
    +Vp1
    +p14313
    +tp14314
    +a(g693
    +g976
    +tp14315
    +a(g826
    +g978
    +tp14316
    +a(g423
    +Vp12
    +p14317
    +tp14318
    +a(g693
    +g976
    +tp14319
    +a(g826
    +g978
    +tp14320
    +a(g423
    +Vp4
    +p14321
    +tp14322
    +a(g693
    +g976
    +tp14323
    +a(g826
    +g978
    +tp14324
    +a(g423
    +Vp5
    +p14325
    +tp14326
    +a(g693
    +g976
    +tp14327
    +a(g826
    +g978
    +tp14328
    +a(g423
    +Vp47
    +p14329
    +tp14330
    +a(g693
    +g976
    +tp14331
    +a(g826
    +g978
    +tp14332
    +a(g423
    +Vp6
    +p14333
    +tp14334
    +a(g693
    +g976
    +tp14335
    +a(g826
    +g978
    +tp14336
    +a(g423
    +Vp7
    +p14337
    +tp14338
    +a(g693
    +g976
    +tp14339
    +a(g826
    +g978
    +tp14340
    +a(g423
    +Vp8
    +p14341
    +tp14342
    +a(g693
    +g976
    +tp14343
    +a(g826
    +g978
    +tp14344
    +a(g423
    +Vp9
    +p14345
    +tp14346
    +a(g693
    +g976
    +tp14347
    +a(g826
    +g978
    +tp14348
    +a(g423
    +Vp10
    +p14349
    +tp14350
    +a(g693
    +g976
    +tp14351
    +a(g826
    +g978
    +tp14352
    +a(g423
    +Vp11
    +p14353
    +tp14354
    +a(g693
    +g976
    +tp14355
    +a(g826
    +g978
    +tp14356
    +a(g423
    +Vp13
    +p14357
    +tp14358
    +a(g693
    +g976
    +tp14359
    +a(g826
    +g978
    +tp14360
    +a(g423
    +Vi32
    +p14361
    +tp14362
    +a(g693
    +g976
    +tp14363
    +a(g826
    +g978
    +tp14364
    +a(g423
    +Vp18
    +p14365
    +tp14366
    +a(g693
    +g976
    +tp14367
    +a(g826
    +g978
    +tp14368
    +a(g423
    +Vi15
    +p14369
    +tp14370
    +a(g693
    +g976
    +tp14371
    +a(g826
    +g978
    +tp14372
    +a(g423
    +Vf16
    +p14373
    +tp14374
    +a(g693
    +g976
    +tp14375
    +a(g826
    +g978
    +tp14376
    +a(g423
    +Vf17
    +p14377
    +tp14378
    +a(g693
    +g1098
    +tp14379
    +a(g826
    +V\u000a
    +p14380
    +tp14381
    +a(g616
    +Vdebug_merge_point
    +p14382
    +tp14383
    +a(g693
    +g1104
    +tp14384
    +a(g76
    +V' #117 LOAD_CONST'
    +p14385
    +tp14386
    +a(g693
    +g976
    +tp14387
    +a(g826
    +g978
    +tp14388
    +a(g22
    +g1110
    +tp14389
    +a(g693
    +g1112
    +tp14390
    +a(g826
    +V\u000a
    +p14391
    +tp14392
    +a(g616
    +Vdebug_merge_point
    +p14393
    +tp14394
    +a(g693
    +g1104
    +tp14395
    +a(g76
    +V' #120 BINARY_SUBTRACT'
    +p14396
    +tp14397
    +a(g693
    +g976
    +tp14398
    +a(g826
    +g978
    +tp14399
    +a(g22
    +g1110
    +tp14400
    +a(g693
    +g1112
    +tp14401
    +a(g826
    +V\u000a
    +p14402
    +tp14403
    +a(g423
    +Vi50
    +p14404
    +tp14405
    +a(g826
    +g978
    +tp14406
    +a(g693
    +g1119
    +tp14407
    +a(g826
    +g978
    +tp14408
    +a(g669
    +Vgetfield_gc_pure
    +p14409
    +tp14410
    +a(g693
    +g1104
    +tp14411
    +a(g423
    +Vp12
    +p14412
    +tp14413
    +a(g693
    +g976
    +tp14414
    +a(g826
    +g978
    +tp14415
    +a(g423
    +Vdescr
    +p14416
    +tp14417
    +a(g693
    +g1119
    +tp14418
    +a(g669
    +V
    +p14419
    +tp14420
    +a(g693
    +g1112
    +tp14421
    +a(g826
    +V\u000a
    +p14422
    +tp14423
    +a(g423
    +Vi52
    +p14424
    +tp14425
    +a(g826
    +g978
    +tp14426
    +a(g693
    +g1119
    +tp14427
    +a(g826
    +g978
    +tp14428
    +a(g669
    +Vint_sub_ovf
    +p14429
    +tp14430
    +a(g693
    +g1104
    +tp14431
    +a(g423
    +Vi50
    +p14432
    +tp14433
    +a(g693
    +g976
    +tp14434
    +a(g826
    +g978
    +tp14435
    +a(g22
    +g1250
    +tp14436
    +a(g693
    +g1112
    +tp14437
    +a(g826
    +V\u000a
    +p14438
    +tp14439
    +a(g669
    +Vguard_no_overflow
    +p14440
    +tp14441
    +a(g693
    +g1104
    +tp14442
    +a(g693
    +g976
    +tp14443
    +a(g826
    +g978
    +tp14444
    +a(g423
    +Vdescr
    +p14445
    +tp14446
    +a(g693
    +g1119
    +tp14447
    +a(g669
    +V
    +p14448
    +tp14449
    +a(g693
    +g1112
    +tp14450
    +a(g826
    +g978
    +tp14451
    +a(g693
    +g972
    +tp14452
    +a(g423
    +Vp0
    +p14453
    +tp14454
    +a(g693
    +g976
    +tp14455
    +a(g826
    +g978
    +tp14456
    +a(g423
    +Vp1
    +p14457
    +tp14458
    +a(g693
    +g976
    +tp14459
    +a(g826
    +g978
    +tp14460
    +a(g423
    +Vp12
    +p14461
    +tp14462
    +a(g693
    +g976
    +tp14463
    +a(g826
    +g978
    +tp14464
    +a(g423
    +Vi52
    +p14465
    +tp14466
    +a(g693
    +g976
    +tp14467
    +a(g826
    +g978
    +tp14468
    +a(g423
    +Vp4
    +p14469
    +tp14470
    +a(g693
    +g976
    +tp14471
    +a(g826
    +g978
    +tp14472
    +a(g423
    +Vp5
    +p14473
    +tp14474
    +a(g693
    +g976
    +tp14475
    +a(g826
    +g978
    +tp14476
    +a(g423
    +Vp47
    +p14477
    +tp14478
    +a(g693
    +g976
    +tp14479
    +a(g826
    +g978
    +tp14480
    +a(g423
    +Vp6
    +p14481
    +tp14482
    +a(g693
    +g976
    +tp14483
    +a(g826
    +g978
    +tp14484
    +a(g423
    +Vp7
    +p14485
    +tp14486
    +a(g693
    +g976
    +tp14487
    +a(g826
    +g978
    +tp14488
    +a(g423
    +Vp8
    +p14489
    +tp14490
    +a(g693
    +g976
    +tp14491
    +a(g826
    +g978
    +tp14492
    +a(g423
    +Vp9
    +p14493
    +tp14494
    +a(g693
    +g976
    +tp14495
    +a(g826
    +g978
    +tp14496
    +a(g423
    +Vp10
    +p14497
    +tp14498
    +a(g693
    +g976
    +tp14499
    +a(g826
    +g978
    +tp14500
    +a(g423
    +Vp11
    +p14501
    +tp14502
    +a(g693
    +g976
    +tp14503
    +a(g826
    +g978
    +tp14504
    +a(g423
    +Vp13
    +p14505
    +tp14506
    +a(g693
    +g976
    +tp14507
    +a(g826
    +g978
    +tp14508
    +a(g423
    +Vi32
    +p14509
    +tp14510
    +a(g693
    +g976
    +tp14511
    +a(g826
    +g978
    +tp14512
    +a(g423
    +Vp18
    +p14513
    +tp14514
    +a(g693
    +g976
    +tp14515
    +a(g826
    +g978
    +tp14516
    +a(g423
    +Vi15
    +p14517
    +tp14518
    +a(g693
    +g976
    +tp14519
    +a(g826
    +g978
    +tp14520
    +a(g423
    +Vf16
    +p14521
    +tp14522
    +a(g693
    +g976
    +tp14523
    +a(g826
    +g978
    +tp14524
    +a(g423
    +Vf17
    +p14525
    +tp14526
    +a(g693
    +g1098
    +tp14527
    +a(g826
    +V\u000a
    +p14528
    +tp14529
    +a(g616
    +Vdebug_merge_point
    +p14530
    +tp14531
    +a(g693
    +g1104
    +tp14532
    +a(g76
    +V' #121 CALL_FUNCTION'
    +p14533
    +tp14534
    +a(g693
    +g976
    +tp14535
    +a(g826
    +g978
    +tp14536
    +a(g22
    +g1110
    +tp14537
    +a(g693
    +g1112
    +tp14538
    +a(g826
    +V\u000a
    +p14539
    +tp14540
    +a(g423
    +Vp54
    +p14541
    +tp14542
    +a(g826
    +g978
    +tp14543
    +a(g693
    +g1119
    +tp14544
    +a(g826
    +g978
    +tp14545
    +a(g669
    +Vgetfield_gc
    +p14546
    +tp14547
    +a(g693
    +g1104
    +tp14548
    +a(g423
    +VConstPtr
    +p14549
    +tp14550
    +a(g693
    +g1104
    +tp14551
    +a(g669
    +Vptr53
    +p14552
    +tp14553
    +a(g693
    +g1112
    +tp14554
    +a(g693
    +g976
    +tp14555
    +a(g826
    +g978
    +tp14556
    +a(g423
    +Vdescr
    +p14557
    +tp14558
    +a(g693
    +g1119
    +tp14559
    +a(g669
    +V
    +p14560
    +tp14561
    +a(g693
    +g1112
    +tp14562
    +a(g826
    +V\u000a
    +p14563
    +tp14564
    +a(g423
    +Vp55
    +p14565
    +tp14566
    +a(g826
    +g978
    +tp14567
    +a(g693
    +g1119
    +tp14568
    +a(g826
    +g978
    +tp14569
    +a(g669
    +Vgetfield_gc
    +p14570
    +tp14571
    +a(g693
    +g1104
    +tp14572
    +a(g423
    +VConstPtr
    +p14573
    +tp14574
    +a(g693
    +g1104
    +tp14575
    +a(g669
    +Vptr53
    +p14576
    +tp14577
    +a(g693
    +g1112
    +tp14578
    +a(g693
    +g976
    +tp14579
    +a(g826
    +g978
    +tp14580
    +a(g423
    +Vdescr
    +p14581
    +tp14582
    +a(g693
    +g1119
    +tp14583
    +a(g669
    +V
    +p14584
    +tp14585
    +a(g693
    +g1112
    +tp14586
    +a(g826
    +V\u000a
    +p14587
    +tp14588
    +a(g423
    +Vi56
    +p14589
    +tp14590
    +a(g826
    +g978
    +tp14591
    +a(g693
    +g1119
    +tp14592
    +a(g826
    +g978
    +tp14593
    +a(g669
    +Vgetfield_gc_pure
    +p14594
    +tp14595
    +a(g693
    +g1104
    +tp14596
    +a(g423
    +Vp55
    +p14597
    +tp14598
    +a(g693
    +g976
    +tp14599
    +a(g826
    +g978
    +tp14600
    +a(g423
    +Vdescr
    +p14601
    +tp14602
    +a(g693
    +g1119
    +tp14603
    +a(g669
    +V
    +p14604
    +tp14605
    +a(g693
    +g1112
    +tp14606
    +a(g826
    +V\u000a
    +p14607
    +tp14608
    +a(g669
    +Vguard_false
    +p14609
    +tp14610
    +a(g693
    +g1104
    +tp14611
    +a(g423
    +Vi56
    +p14612
    +tp14613
    +a(g693
    +g976
    +tp14614
    +a(g826
    +g978
    +tp14615
    +a(g423
    +Vdescr
    +p14616
    +tp14617
    +a(g693
    +g1119
    +tp14618
    +a(g669
    +V
    +p14619
    +tp14620
    +a(g693
    +g1112
    +tp14621
    +a(g826
    +g978
    +tp14622
    +a(g693
    +g972
    +tp14623
    +a(g423
    +Vp0
    +p14624
    +tp14625
    +a(g693
    +g976
    +tp14626
    +a(g826
    +g978
    +tp14627
    +a(g423
    +Vp1
    +p14628
    +tp14629
    +a(g693
    +g976
    +tp14630
    +a(g826
    +g978
    +tp14631
    +a(g423
    +Vp54
    +p14632
    +tp14633
    +a(g693
    +g976
    +tp14634
    +a(g826
    +g978
    +tp14635
    +a(g423
    +Vp55
    +p14636
    +tp14637
    +a(g693
    +g976
    +tp14638
    +a(g826
    +g978
    +tp14639
    +a(g423
    +Vp4
    +p14640
    +tp14641
    +a(g693
    +g976
    +tp14642
    +a(g826
    +g978
    +tp14643
    +a(g423
    +Vp5
    +p14644
    +tp14645
    +a(g693
    +g976
    +tp14646
    +a(g826
    +g978
    +tp14647
    +a(g423
    +Vp6
    +p14648
    +tp14649
    +a(g693
    +g976
    +tp14650
    +a(g826
    +g978
    +tp14651
    +a(g423
    +Vp7
    +p14652
    +tp14653
    +a(g693
    +g976
    +tp14654
    +a(g826
    +g978
    +tp14655
    +a(g423
    +Vp8
    +p14656
    +tp14657
    +a(g693
    +g976
    +tp14658
    +a(g826
    +g978
    +tp14659
    +a(g423
    +Vp9
    +p14660
    +tp14661
    +a(g693
    +g976
    +tp14662
    +a(g826
    +g978
    +tp14663
    +a(g423
    +Vp10
    +p14664
    +tp14665
    +a(g693
    +g976
    +tp14666
    +a(g826
    +g978
    +tp14667
    +a(g423
    +Vp11
    +p14668
    +tp14669
    +a(g693
    +g976
    +tp14670
    +a(g826
    +g978
    +tp14671
    +a(g423
    +Vp12
    +p14672
    +tp14673
    +a(g693
    +g976
    +tp14674
    +a(g826
    +g978
    +tp14675
    +a(g423
    +Vp13
    +p14676
    +tp14677
    +a(g693
    +g976
    +tp14678
    +a(g826
    +g978
    +tp14679
    +a(g423
    +Vi52
    +p14680
    +tp14681
    +a(g693
    +g976
    +tp14682
    +a(g826
    +g978
    +tp14683
    +a(g423
    +Vi32
    +p14684
    +tp14685
    +a(g693
    +g976
    +tp14686
    +a(g826
    +g978
    +tp14687
    +a(g423
    +Vp18
    +p14688
    +tp14689
    +a(g693
    +g976
    +tp14690
    +a(g826
    +g978
    +tp14691
    +a(g423
    +Vi15
    +p14692
    +tp14693
    +a(g693
    +g976
    +tp14694
    +a(g826
    +g978
    +tp14695
    +a(g423
    +Vf16
    +p14696
    +tp14697
    +a(g693
    +g976
    +tp14698
    +a(g826
    +g978
    +tp14699
    +a(g423
    +Vf17
    +p14700
    +tp14701
    +a(g693
    +g1098
    +tp14702
    +a(g826
    +V\u000a
    +p14703
    +tp14704
    +a(g423
    +Vp57
    +p14705
    +tp14706
    +a(g826
    +g978
    +tp14707
    +a(g693
    +g1119
    +tp14708
    +a(g826
    +g978
    +tp14709
    +a(g669
    +Vgetfield_gc_pure
    +p14710
    +tp14711
    +a(g693
    +g1104
    +tp14712
    +a(g423
    +Vp55
    +p14713
    +tp14714
    +a(g693
    +g976
    +tp14715
    +a(g826
    +g978
    +tp14716
    +a(g423
    +Vdescr
    +p14717
    +tp14718
    +a(g693
    +g1119
    +tp14719
    +a(g669
    +V
    +p14720
    +tp14721
    +a(g693
    +g1112
    +tp14722
    +a(g826
    +V\u000a
    +p14723
    +tp14724
    +a(g423
    +Vi58
    +p14725
    +tp14726
    +a(g826
    +g978
    +tp14727
    +a(g693
    +g1119
    +tp14728
    +a(g826
    +g978
    +tp14729
    +a(g669
    +Varraylen_gc
    +p14730
    +tp14731
    +a(g693
    +g1104
    +tp14732
    +a(g423
    +Vp57
    +p14733
    +tp14734
    +a(g693
    +g976
    +tp14735
    +a(g826
    +g978
    +tp14736
    +a(g423
    +Vdescr
    +p14737
    +tp14738
    +a(g693
    +g1119
    +tp14739
    +a(g669
    +V
    +p14740
    +tp14741
    +a(g693
    +g1112
    +tp14742
    +a(g826
    +V\u000a
    +p14743
    +tp14744
    +a(g423
    +Vi60
    +p14745
    +tp14746
    +a(g826
    +g978
    +tp14747
    +a(g693
    +g1119
    +tp14748
    +a(g826
    +g978
    +tp14749
    +a(g669
    +Vint_sub
    +p14750
    +tp14751
    +a(g693
    +g1104
    +tp14752
    +a(g22
    +V4
    +p14753
    +tp14754
    +a(g693
    +g976
    +tp14755
    +a(g826
    +g978
    +tp14756
    +a(g423
    +Vi58
    +p14757
    +tp14758
    +a(g693
    +g1112
    +tp14759
    +a(g826
    +V\u000a
    +p14760
    +tp14761
    +a(g423
    +Vi62
    +p14762
    +tp14763
    +a(g826
    +g978
    +tp14764
    +a(g693
    +g1119
    +tp14765
    +a(g826
    +g978
    +tp14766
    +a(g669
    +Vint_ge
    +p14767
    +tp14768
    +a(g693
    +g1104
    +tp14769
    +a(g22
    +V3
    +p14770
    +tp14771
    +a(g693
    +g976
    +tp14772
    +a(g826
    +g978
    +tp14773
    +a(g423
    +Vi60
    +p14774
    +tp14775
    +a(g693
    +g1112
    +tp14776
    +a(g826
    +V\u000a
    +p14777
    +tp14778
    +a(g669
    +Vguard_true
    +p14779
    +tp14780
    +a(g693
    +g1104
    +tp14781
    +a(g423
    +Vi62
    +p14782
    +tp14783
    +a(g693
    +g976
    +tp14784
    +a(g826
    +g978
    +tp14785
    +a(g423
    +Vdescr
    +p14786
    +tp14787
    +a(g693
    +g1119
    +tp14788
    +a(g669
    +V
    +p14789
    +tp14790
    +a(g693
    +g1112
    +tp14791
    +a(g826
    +g978
    +tp14792
    +a(g693
    +g972
    +tp14793
    +a(g423
    +Vp0
    +p14794
    +tp14795
    +a(g693
    +g976
    +tp14796
    +a(g826
    +g978
    +tp14797
    +a(g423
    +Vp1
    +p14798
    +tp14799
    +a(g693
    +g976
    +tp14800
    +a(g826
    +g978
    +tp14801
    +a(g423
    +Vp54
    +p14802
    +tp14803
    +a(g693
    +g976
    +tp14804
    +a(g826
    +g978
    +tp14805
    +a(g423
    +Vi60
    +p14806
    +tp14807
    +a(g693
    +g976
    +tp14808
    +a(g826
    +g978
    +tp14809
    +a(g423
    +Vp55
    +p14810
    +tp14811
    +a(g693
    +g976
    +tp14812
    +a(g826
    +g978
    +tp14813
    +a(g423
    +Vp4
    +p14814
    +tp14815
    +a(g693
    +g976
    +tp14816
    +a(g826
    +g978
    +tp14817
    +a(g423
    +Vp5
    +p14818
    +tp14819
    +a(g693
    +g976
    +tp14820
    +a(g826
    +g978
    +tp14821
    +a(g423
    +Vp6
    +p14822
    +tp14823
    +a(g693
    +g976
    +tp14824
    +a(g826
    +g978
    +tp14825
    +a(g423
    +Vp7
    +p14826
    +tp14827
    +a(g693
    +g976
    +tp14828
    +a(g826
    +g978
    +tp14829
    +a(g423
    +Vp8
    +p14830
    +tp14831
    +a(g693
    +g976
    +tp14832
    +a(g826
    +g978
    +tp14833
    +a(g423
    +Vp9
    +p14834
    +tp14835
    +a(g693
    +g976
    +tp14836
    +a(g826
    +g978
    +tp14837
    +a(g423
    +Vp10
    +p14838
    +tp14839
    +a(g693
    +g976
    +tp14840
    +a(g826
    +g978
    +tp14841
    +a(g423
    +Vp11
    +p14842
    +tp14843
    +a(g693
    +g976
    +tp14844
    +a(g826
    +g978
    +tp14845
    +a(g423
    +Vp12
    +p14846
    +tp14847
    +a(g693
    +g976
    +tp14848
    +a(g826
    +g978
    +tp14849
    +a(g423
    +Vp13
    +p14850
    +tp14851
    +a(g693
    +g976
    +tp14852
    +a(g826
    +g978
    +tp14853
    +a(g423
    +Vi52
    +p14854
    +tp14855
    +a(g693
    +g976
    +tp14856
    +a(g826
    +g978
    +tp14857
    +a(g423
    +Vi32
    +p14858
    +tp14859
    +a(g693
    +g976
    +tp14860
    +a(g826
    +g978
    +tp14861
    +a(g423
    +Vp18
    +p14862
    +tp14863
    +a(g693
    +g976
    +tp14864
    +a(g826
    +g978
    +tp14865
    +a(g423
    +Vi15
    +p14866
    +tp14867
    +a(g693
    +g976
    +tp14868
    +a(g826
    +g978
    +tp14869
    +a(g423
    +Vf16
    +p14870
    +tp14871
    +a(g693
    +g976
    +tp14872
    +a(g826
    +g978
    +tp14873
    +a(g423
    +Vf17
    +p14874
    +tp14875
    +a(g693
    +g1098
    +tp14876
    +a(g826
    +V\u000a
    +p14877
    +tp14878
    +a(g423
    +Vi63
    +p14879
    +tp14880
    +a(g826
    +g978
    +tp14881
    +a(g693
    +g1119
    +tp14882
    +a(g826
    +g978
    +tp14883
    +a(g669
    +Vint_sub
    +p14884
    +tp14885
    +a(g693
    +g1104
    +tp14886
    +a(g22
    +g14770
    +tp14887
    +a(g693
    +g976
    +tp14888
    +a(g826
    +g978
    +tp14889
    +a(g423
    +Vi60
    +p14890
    +tp14891
    +a(g693
    +g1112
    +tp14892
    +a(g826
    +V\u000a
    +p14893
    +tp14894
    +a(g423
    +Vi64
    +p14895
    +tp14896
    +a(g826
    +g978
    +tp14897
    +a(g693
    +g1119
    +tp14898
    +a(g826
    +g978
    +tp14899
    +a(g669
    +Vgetfield_gc_pure
    +p14900
    +tp14901
    +a(g693
    +g1104
    +tp14902
    +a(g423
    +Vp55
    +p14903
    +tp14904
    +a(g693
    +g976
    +tp14905
    +a(g826
    +g978
    +tp14906
    +a(g423
    +Vdescr
    +p14907
    +tp14908
    +a(g693
    +g1119
    +tp14909
    +a(g669
    +V
    +p14910
    +tp14911
    +a(g693
    +g1112
    +tp14912
    +a(g826
    +V\u000a
    +p14913
    +tp14914
    +a(g669
    +Vguard_false
    +p14915
    +tp14916
    +a(g693
    +g1104
    +tp14917
    +a(g423
    +Vi64
    +p14918
    +tp14919
    +a(g693
    +g976
    +tp14920
    +a(g826
    +g978
    +tp14921
    +a(g423
    +Vdescr
    +p14922
    +tp14923
    +a(g693
    +g1119
    +tp14924
    +a(g669
    +V
    +p14925
    +tp14926
    +a(g693
    +g1112
    +tp14927
    +a(g826
    +g978
    +tp14928
    +a(g693
    +g972
    +tp14929
    +a(g423
    +Vp0
    +p14930
    +tp14931
    +a(g693
    +g976
    +tp14932
    +a(g826
    +g978
    +tp14933
    +a(g423
    +Vp1
    +p14934
    +tp14935
    +a(g693
    +g976
    +tp14936
    +a(g826
    +g978
    +tp14937
    +a(g423
    +Vp54
    +p14938
    +tp14939
    +a(g693
    +g976
    +tp14940
    +a(g826
    +g978
    +tp14941
    +a(g423
    +Vi63
    +p14942
    +tp14943
    +a(g693
    +g976
    +tp14944
    +a(g826
    +g978
    +tp14945
    +a(g423
    +Vi60
    +p14946
    +tp14947
    +a(g693
    +g976
    +tp14948
    +a(g826
    +g978
    +tp14949
    +a(g423
    +Vp55
    +p14950
    +tp14951
    +a(g693
    +g976
    +tp14952
    +a(g826
    +g978
    +tp14953
    +a(g423
    +Vp4
    +p14954
    +tp14955
    +a(g693
    +g976
    +tp14956
    +a(g826
    +g978
    +tp14957
    +a(g423
    +Vp5
    +p14958
    +tp14959
    +a(g693
    +g976
    +tp14960
    +a(g826
    +g978
    +tp14961
    +a(g423
    +Vp6
    +p14962
    +tp14963
    +a(g693
    +g976
    +tp14964
    +a(g826
    +g978
    +tp14965
    +a(g423
    +Vp7
    +p14966
    +tp14967
    +a(g693
    +g976
    +tp14968
    +a(g826
    +g978
    +tp14969
    +a(g423
    +Vp8
    +p14970
    +tp14971
    +a(g693
    +g976
    +tp14972
    +a(g826
    +g978
    +tp14973
    +a(g423
    +Vp9
    +p14974
    +tp14975
    +a(g693
    +g976
    +tp14976
    +a(g826
    +g978
    +tp14977
    +a(g423
    +Vp10
    +p14978
    +tp14979
    +a(g693
    +g976
    +tp14980
    +a(g826
    +g978
    +tp14981
    +a(g423
    +Vp11
    +p14982
    +tp14983
    +a(g693
    +g976
    +tp14984
    +a(g826
    +g978
    +tp14985
    +a(g423
    +Vp12
    +p14986
    +tp14987
    +a(g693
    +g976
    +tp14988
    +a(g826
    +g978
    +tp14989
    +a(g423
    +Vp13
    +p14990
    +tp14991
    +a(g693
    +g976
    +tp14992
    +a(g826
    +g978
    +tp14993
    +a(g423
    +Vi52
    +p14994
    +tp14995
    +a(g693
    +g976
    +tp14996
    +a(g826
    +g978
    +tp14997
    +a(g423
    +Vi32
    +p14998
    +tp14999
    +a(g693
    +g976
    +tp15000
    +a(g826
    +g978
    +tp15001
    +a(g423
    +Vp18
    +p15002
    +tp15003
    +a(g693
    +g976
    +tp15004
    +a(g826
    +g978
    +tp15005
    +a(g423
    +Vi15
    +p15006
    +tp15007
    +a(g693
    +g976
    +tp15008
    +a(g826
    +g978
    +tp15009
    +a(g423
    +Vf16
    +p15010
    +tp15011
    +a(g693
    +g976
    +tp15012
    +a(g826
    +g978
    +tp15013
    +a(g423
    +Vf17
    +p15014
    +tp15015
    +a(g693
    +g1098
    +tp15016
    +a(g826
    +V\u000a
    +p15017
    +tp15018
    +a(g423
    +Vp65
    +p15019
    +tp15020
    +a(g826
    +g978
    +tp15021
    +a(g693
    +g1119
    +tp15022
    +a(g826
    +g978
    +tp15023
    +a(g669
    +Vgetfield_gc_pure
    +p15024
    +tp15025
    +a(g693
    +g1104
    +tp15026
    +a(g423
    +Vp55
    +p15027
    +tp15028
    +a(g693
    +g976
    +tp15029
    +a(g826
    +g978
    +tp15030
    +a(g423
    +Vdescr
    +p15031
    +tp15032
    +a(g693
    +g1119
    +tp15033
    +a(g669
    +V
    +p15034
    +tp15035
    +a(g693
    +g1112
    +tp15036
    +a(g826
    +V\u000a
    +p15037
    +tp15038
    +a(g423
    +Vp66
    +p15039
    +tp15040
    +a(g826
    +g978
    +tp15041
    +a(g693
    +g1119
    +tp15042
    +a(g826
    +g978
    +tp15043
    +a(g669
    +Vgetarrayitem_gc
    +p15044
    +tp15045
    +a(g693
    +g1104
    +tp15046
    +a(g423
    +Vp65
    +p15047
    +tp15048
    +a(g693
    +g976
    +tp15049
    +a(g826
    +g978
    +tp15050
    +a(g423
    +Vi63
    +p15051
    +tp15052
    +a(g693
    +g976
    +tp15053
    +a(g826
    +g978
    +tp15054
    +a(g423
    +Vdescr
    +p15055
    +tp15056
    +a(g693
    +g1119
    +tp15057
    +a(g669
    +V
    +p15058
    +tp15059
    +a(g693
    +g1112
    +tp15060
    +a(g826
    +V\u000a
    +p15061
    +tp15062
    +a(g669
    +Vguard_class
    +p15063
    +tp15064
    +a(g693
    +g1104
    +tp15065
    +a(g423
    +Vp66
    +p15066
    +tp15067
    +a(g693
    +g976
    +tp15068
    +a(g826
    +g978
    +tp15069
    +a(g423
    +VConstClass
    +p15070
    +tp15071
    +a(g693
    +g1104
    +tp15072
    +a(g669
    +VW_IntObject
    +p15073
    +tp15074
    +a(g693
    +g1112
    +tp15075
    +a(g693
    +g976
    +tp15076
    +a(g826
    +g978
    +tp15077
    +a(g423
    +Vdescr
    +p15078
    +tp15079
    +a(g693
    +g1119
    +tp15080
    +a(g669
    +V
    +p15081
    +tp15082
    +a(g693
    +g1112
    +tp15083
    +a(g826
    +g978
    +tp15084
    +a(g693
    +g972
    +tp15085
    +a(g423
    +Vp0
    +p15086
    +tp15087
    +a(g693
    +g976
    +tp15088
    +a(g826
    +g978
    +tp15089
    +a(g423
    +Vp1
    +p15090
    +tp15091
    +a(g693
    +g976
    +tp15092
    +a(g826
    +g978
    +tp15093
    +a(g423
    +Vp66
    +p15094
    +tp15095
    +a(g693
    +g976
    +tp15096
    +a(g826
    +g978
    +tp15097
    +a(g423
    +Vp4
    +p15098
    +tp15099
    +a(g693
    +g976
    +tp15100
    +a(g826
    +g978
    +tp15101
    +a(g423
    +Vp5
    +p15102
    +tp15103
    +a(g693
    +g976
    +tp15104
    +a(g826
    +g978
    +tp15105
    +a(g423
    +Vp6
    +p15106
    +tp15107
    +a(g693
    +g976
    +tp15108
    +a(g826
    +g978
    +tp15109
    +a(g423
    +Vp7
    +p15110
    +tp15111
    +a(g693
    +g976
    +tp15112
    +a(g826
    +g978
    +tp15113
    +a(g423
    +Vp8
    +p15114
    +tp15115
    +a(g693
    +g976
    +tp15116
    +a(g826
    +g978
    +tp15117
    +a(g423
    +Vp9
    +p15118
    +tp15119
    +a(g693
    +g976
    +tp15120
    +a(g826
    +g978
    +tp15121
    +a(g423
    +Vp10
    +p15122
    +tp15123
    +a(g693
    +g976
    +tp15124
    +a(g826
    +g978
    +tp15125
    +a(g423
    +Vp11
    +p15126
    +tp15127
    +a(g693
    +g976
    +tp15128
    +a(g826
    +g978
    +tp15129
    +a(g423
    +Vp12
    +p15130
    +tp15131
    +a(g693
    +g976
    +tp15132
    +a(g826
    +g978
    +tp15133
    +a(g423
    +Vp13
    +p15134
    +tp15135
    +a(g693
    +g976
    +tp15136
    +a(g826
    +g978
    +tp15137
    +a(g423
    +Vi52
    +p15138
    +tp15139
    +a(g693
    +g976
    +tp15140
    +a(g826
    +g978
    +tp15141
    +a(g423
    +Vi32
    +p15142
    +tp15143
    +a(g693
    +g976
    +tp15144
    +a(g826
    +g978
    +tp15145
    +a(g423
    +Vp18
    +p15146
    +tp15147
    +a(g693
    +g976
    +tp15148
    +a(g826
    +g978
    +tp15149
    +a(g423
    +Vi15
    +p15150
    +tp15151
    +a(g693
    +g976
    +tp15152
    +a(g826
    +g978
    +tp15153
    +a(g423
    +Vf16
    +p15154
    +tp15155
    +a(g693
    +g976
    +tp15156
    +a(g826
    +g978
    +tp15157
    +a(g423
    +Vf17
    +p15158
    +tp15159
    +a(g693
    +g1098
    +tp15160
    +a(g826
    +V\u000a
    +p15161
    +tp15162
    +a(g423
    +Vi68
    +p15163
    +tp15164
    +a(g826
    +g978
    +tp15165
    +a(g693
    +g1119
    +tp15166
    +a(g826
    +g978
    +tp15167
    +a(g669
    +Vgetfield_gc_pure
    +p15168
    +tp15169
    +a(g693
    +g1104
    +tp15170
    +a(g423
    +Vp66
    +p15171
    +tp15172
    +a(g693
    +g976
    +tp15173
    +a(g826
    +g978
    +tp15174
    +a(g423
    +Vdescr
    +p15175
    +tp15176
    +a(g693
    +g1119
    +tp15177
    +a(g669
    +V
    +p15178
    +tp15179
    +a(g693
    +g1112
    +tp15180
    +a(g826
    +V\u000a
    +p15181
    +tp15182
    +a(g423
    +Vi69
    +p15183
    +tp15184
    +a(g826
    +g978
    +tp15185
    +a(g693
    +g1119
    +tp15186
    +a(g826
    +g978
    +tp15187
    +a(g669
    +Vint_is_zero
    +p15188
    +tp15189
    +a(g693
    +g1104
    +tp15190
    +a(g669
    +Vi68
    +p15191
    +tp15192
    +a(g693
    +g1112
    +tp15193
    +a(g826
    +V\u000a
    +p15194
    +tp15195
    +a(g669
    +Vguard_false
    +p15196
    +tp15197
    +a(g693
    +g1104
    +tp15198
    +a(g423
    +Vi69
    +p15199
    +tp15200
    +a(g693
    +g976
    +tp15201
    +a(g826
    +g978
    +tp15202
    +a(g423
    +Vdescr
    +p15203
    +tp15204
    +a(g693
    +g1119
    +tp15205
    +a(g669
    +V
    +p15206
    +tp15207
    +a(g693
    +g1112
    +tp15208
    +a(g826
    +g978
    +tp15209
    +a(g693
    +g972
    +tp15210
    +a(g423
    +Vp0
    +p15211
    +tp15212
    +a(g693
    +g976
    +tp15213
    +a(g826
    +g978
    +tp15214
    +a(g423
    +Vp1
    +p15215
    +tp15216
    +a(g693
    +g976
    +tp15217
    +a(g826
    +g978
    +tp15218
    +a(g423
    +Vi68
    +p15219
    +tp15220
    +a(g693
    +g976
    +tp15221
    +a(g826
    +g978
    +tp15222
    +a(g423
    +Vi52
    +p15223
    +tp15224
    +a(g693
    +g976
    +tp15225
    +a(g826
    +g978
    +tp15226
    +a(g423
    +Vp4
    +p15227
    +tp15228
    +a(g693
    +g976
    +tp15229
    +a(g826
    +g978
    +tp15230
    +a(g423
    +Vp5
    +p15231
    +tp15232
    +a(g693
    +g976
    +tp15233
    +a(g826
    +g978
    +tp15234
    +a(g423
    +Vp6
    +p15235
    +tp15236
    +a(g693
    +g976
    +tp15237
    +a(g826
    +g978
    +tp15238
    +a(g423
    +Vp7
    +p15239
    +tp15240
    +a(g693
    +g976
    +tp15241
    +a(g826
    +g978
    +tp15242
    +a(g423
    +Vp8
    +p15243
    +tp15244
    +a(g693
    +g976
    +tp15245
    +a(g826
    +g978
    +tp15246
    +a(g423
    +Vp9
    +p15247
    +tp15248
    +a(g693
    +g976
    +tp15249
    +a(g826
    +g978
    +tp15250
    +a(g423
    +Vp10
    +p15251
    +tp15252
    +a(g693
    +g976
    +tp15253
    +a(g826
    +g978
    +tp15254
    +a(g423
    +Vp11
    +p15255
    +tp15256
    +a(g693
    +g976
    +tp15257
    +a(g826
    +g978
    +tp15258
    +a(g423
    +Vp12
    +p15259
    +tp15260
    +a(g693
    +g976
    +tp15261
    +a(g826
    +g978
    +tp15262
    +a(g423
    +Vp13
    +p15263
    +tp15264
    +a(g693
    +g976
    +tp15265
    +a(g826
    +g978
    +tp15266
    +a(g423
    +Vp66
    +p15267
    +tp15268
    +a(g693
    +g976
    +tp15269
    +a(g826
    +g978
    +tp15270
    +a(g423
    +VNone
    +p15271
    +tp15272
    +a(g693
    +g976
    +tp15273
    +a(g826
    +g978
    +tp15274
    +a(g423
    +Vi32
    +p15275
    +tp15276
    +a(g693
    +g976
    +tp15277
    +a(g826
    +g978
    +tp15278
    +a(g423
    +Vp18
    +p15279
    +tp15280
    +a(g693
    +g976
    +tp15281
    +a(g826
    +g978
    +tp15282
    +a(g423
    +Vi15
    +p15283
    +tp15284
    +a(g693
    +g976
    +tp15285
    +a(g826
    +g978
    +tp15286
    +a(g423
    +Vf16
    +p15287
    +tp15288
    +a(g693
    +g976
    +tp15289
    +a(g826
    +g978
    +tp15290
    +a(g423
    +Vf17
    +p15291
    +tp15292
    +a(g693
    +g1098
    +tp15293
    +a(g826
    +V\u000a
    +p15294
    +tp15295
    +a(g423
    +Vi72
    +p15296
    +tp15297
    +a(g826
    +g978
    +tp15298
    +a(g693
    +g1119
    +tp15299
    +a(g826
    +g978
    +tp15300
    +a(g669
    +Vint_lt
    +p15301
    +tp15302
    +a(g693
    +g1104
    +tp15303
    +a(g423
    +Vi68
    +p15304
    +tp15305
    +a(g693
    +g976
    +tp15306
    +a(g826
    +g978
    +tp15307
    +a(g22
    +g1110
    +tp15308
    +a(g693
    +g1112
    +tp15309
    +a(g826
    +V\u000a
    +p15310
    +tp15311
    +a(g669
    +Vguard_false
    +p15312
    +tp15313
    +a(g693
    +g1104
    +tp15314
    +a(g423
    +Vi72
    +p15315
    +tp15316
    +a(g693
    +g976
    +tp15317
    +a(g826
    +g978
    +tp15318
    +a(g423
    +Vdescr
    +p15319
    +tp15320
    +a(g693
    +g1119
    +tp15321
    +a(g669
    +V
    +p15322
    +tp15323
    +a(g693
    +g1112
    +tp15324
    +a(g826
    +g978
    +tp15325
    +a(g693
    +g972
    +tp15326
    +a(g423
    +Vp0
    +p15327
    +tp15328
    +a(g693
    +g976
    +tp15329
    +a(g826
    +g978
    +tp15330
    +a(g423
    +Vp1
    +p15331
    +tp15332
    +a(g693
    +g976
    +tp15333
    +a(g826
    +g978
    +tp15334
    +a(g423
    +Vi68
    +p15335
    +tp15336
    +a(g693
    +g976
    +tp15337
    +a(g826
    +g978
    +tp15338
    +a(g423
    +Vi52
    +p15339
    +tp15340
    +a(g693
    +g976
    +tp15341
    +a(g826
    +g978
    +tp15342
    +a(g423
    +Vp4
    +p15343
    +tp15344
    +a(g693
    +g976
    +tp15345
    +a(g826
    +g978
    +tp15346
    +a(g423
    +Vp5
    +p15347
    +tp15348
    +a(g693
    +g976
    +tp15349
    +a(g826
    +g978
    +tp15350
    +a(g423
    +Vp6
    +p15351
    +tp15352
    +a(g693
    +g976
    +tp15353
    +a(g826
    +g978
    +tp15354
    +a(g423
    +Vp7
    +p15355
    +tp15356
    +a(g693
    +g976
    +tp15357
    +a(g826
    +g978
    +tp15358
    +a(g423
    +Vp8
    +p15359
    +tp15360
    +a(g693
    +g976
    +tp15361
    +a(g826
    +g978
    +tp15362
    +a(g423
    +Vp9
    +p15363
    +tp15364
    +a(g693
    +g976
    +tp15365
    +a(g826
    +g978
    +tp15366
    +a(g423
    +Vp10
    +p15367
    +tp15368
    +a(g693
    +g976
    +tp15369
    +a(g826
    +g978
    +tp15370
    +a(g423
    +Vp11
    +p15371
    +tp15372
    +a(g693
    +g976
    +tp15373
    +a(g826
    +g978
    +tp15374
    +a(g423
    +Vp12
    +p15375
    +tp15376
    +a(g693
    +g976
    +tp15377
    +a(g826
    +g978
    +tp15378
    +a(g423
    +Vp13
    +p15379
    +tp15380
    +a(g693
    +g976
    +tp15381
    +a(g826
    +g978
    +tp15382
    +a(g423
    +Vp66
    +p15383
    +tp15384
    +a(g693
    +g976
    +tp15385
    +a(g826
    +g978
    +tp15386
    +a(g423
    +VNone
    +p15387
    +tp15388
    +a(g693
    +g976
    +tp15389
    +a(g826
    +g978
    +tp15390
    +a(g423
    +Vi32
    +p15391
    +tp15392
    +a(g693
    +g976
    +tp15393
    +a(g826
    +g978
    +tp15394
    +a(g423
    +Vp18
    +p15395
    +tp15396
    +a(g693
    +g976
    +tp15397
    +a(g826
    +g978
    +tp15398
    +a(g423
    +Vi15
    +p15399
    +tp15400
    +a(g693
    +g976
    +tp15401
    +a(g826
    +g978
    +tp15402
    +a(g423
    +Vf16
    +p15403
    +tp15404
    +a(g693
    +g976
    +tp15405
    +a(g826
    +g978
    +tp15406
    +a(g423
    +Vf17
    +p15407
    +tp15408
    +a(g693
    +g1098
    +tp15409
    +a(g826
    +V\u000a
    +p15410
    +tp15411
    +a(g423
    +Vi74
    +p15412
    +tp15413
    +a(g826
    +g978
    +tp15414
    +a(g693
    +g1119
    +tp15415
    +a(g826
    +g978
    +tp15416
    +a(g669
    +Vint_lt
    +p15417
    +tp15418
    +a(g693
    +g1104
    +tp15419
    +a(g22
    +g1250
    +tp15420
    +a(g693
    +g976
    +tp15421
    +a(g826
    +g978
    +tp15422
    +a(g423
    +Vi52
    +p15423
    +tp15424
    +a(g693
    +g1112
    +tp15425
    +a(g826
    +V\u000a
    +p15426
    +tp15427
    +a(g669
    +Vguard_true
    +p15428
    +tp15429
    +a(g693
    +g1104
    +tp15430
    +a(g423
    +Vi74
    +p15431
    +tp15432
    +a(g693
    +g976
    +tp15433
    +a(g826
    +g978
    +tp15434
    +a(g423
    +Vdescr
    +p15435
    +tp15436
    +a(g693
    +g1119
    +tp15437
    +a(g669
    +V
    +p15438
    +tp15439
    +a(g693
    +g1112
    +tp15440
    +a(g826
    +g978
    +tp15441
    +a(g693
    +g972
    +tp15442
    +a(g423
    +Vp0
    +p15443
    +tp15444
    +a(g693
    +g976
    +tp15445
    +a(g826
    +g978
    +tp15446
    +a(g423
    +Vp1
    +p15447
    +tp15448
    +a(g693
    +g976
    +tp15449
    +a(g826
    +g978
    +tp15450
    +a(g423
    +Vi68
    +p15451
    +tp15452
    +a(g693
    +g976
    +tp15453
    +a(g826
    +g978
    +tp15454
    +a(g423
    +Vi52
    +p15455
    +tp15456
    +a(g693
    +g976
    +tp15457
    +a(g826
    +g978
    +tp15458
    +a(g423
    +Vp4
    +p15459
    +tp15460
    +a(g693
    +g976
    +tp15461
    +a(g826
    +g978
    +tp15462
    +a(g423
    +Vp5
    +p15463
    +tp15464
    +a(g693
    +g976
    +tp15465
    +a(g826
    +g978
    +tp15466
    +a(g423
    +Vp6
    +p15467
    +tp15468
    +a(g693
    +g976
    +tp15469
    +a(g826
    +g978
    +tp15470
    +a(g423
    +Vp7
    +p15471
    +tp15472
    +a(g693
    +g976
    +tp15473
    +a(g826
    +g978
    +tp15474
    +a(g423
    +Vp8
    +p15475
    +tp15476
    +a(g693
    +g976
    +tp15477
    +a(g826
    +g978
    +tp15478
    +a(g423
    +Vp9
    +p15479
    +tp15480
    +a(g693
    +g976
    +tp15481
    +a(g826
    +g978
    +tp15482
    +a(g423
    +Vp10
    +p15483
    +tp15484
    +a(g693
    +g976
    +tp15485
    +a(g826
    +g978
    +tp15486
    +a(g423
    +Vp11
    +p15487
    +tp15488
    +a(g693
    +g976
    +tp15489
    +a(g826
    +g978
    +tp15490
    +a(g423
    +Vp12
    +p15491
    +tp15492
    +a(g693
    +g976
    +tp15493
    +a(g826
    +g978
    +tp15494
    +a(g423
    +Vp13
    +p15495
    +tp15496
    +a(g693
    +g976
    +tp15497
    +a(g826
    +g978
    +tp15498
    +a(g423
    +Vp66
    +p15499
    +tp15500
    +a(g693
    +g976
    +tp15501
    +a(g826
    +g978
    +tp15502
    +a(g423
    +VNone
    +p15503
    +tp15504
    +a(g693
    +g976
    +tp15505
    +a(g826
    +g978
    +tp15506
    +a(g423
    +Vi32
    +p15507
    +tp15508
    +a(g693
    +g976
    +tp15509
    +a(g826
    +g978
    +tp15510
    +a(g423
    +Vp18
    +p15511
    +tp15512
    +a(g693
    +g976
    +tp15513
    +a(g826
    +g978
    +tp15514
    +a(g423
    +Vi15
    +p15515
    +tp15516
    +a(g693
    +g976
    +tp15517
    +a(g826
    +g978
    +tp15518
    +a(g423
    +Vf16
    +p15519
    +tp15520
    +a(g693
    +g976
    +tp15521
    +a(g826
    +g978
    +tp15522
    +a(g423
    +Vf17
    +p15523
    +tp15524
    +a(g693
    +g1098
    +tp15525
    +a(g826
    +V\u000a
    +p15526
    +tp15527
    +a(g423
    +Vi75
    +p15528
    +tp15529
    +a(g826
    +g978
    +tp15530
    +a(g693
    +g1119
    +tp15531
    +a(g826
    +g978
    +tp15532
    +a(g669
    +Vint_sub
    +p15533
    +tp15534
    +a(g693
    +g1104
    +tp15535
    +a(g423
    +Vi52
    +p15536
    +tp15537
    +a(g693
    +g976
    +tp15538
    +a(g826
    +g978
    +tp15539
    +a(g22
    +g1250
    +tp15540
    +a(g693
    +g1112
    +tp15541
    +a(g826
    +V\u000a
    +p15542
    +tp15543
    +a(g423
    +Vi77
    +p15544
    +tp15545
    +a(g826
    +g978
    +tp15546
    +a(g693
    +g1119
    +tp15547
    +a(g826
    +g978
    +tp15548
    +a(g669
    +Vint_sub
    +p15549
    +tp15550
    +a(g693
    +g1104
    +tp15551
    +a(g423
    +Vi75
    +p15552
    +tp15553
    +a(g693
    +g976
    +tp15554
    +a(g826
    +g978
    +tp15555
    +a(g22
    +g1250
    +tp15556
    +a(g693
    +g1112
    +tp15557
    +a(g826
    +V\u000a
    +p15558
    +tp15559
    +a(g423
    +Vi78
    +p15560
    +tp15561
    +a(g826
    +g978
    +tp15562
    +a(g693
    +g1119
    +tp15563
    +a(g826
    +g978
    +tp15564
    +a(g669
    +Vuint_floordiv
    +p15565
    +tp15566
    +a(g693
    +g1104
    +tp15567
    +a(g423
    +Vi77
    +p15568
    +tp15569
    +a(g693
    +g976
    +tp15570
    +a(g826
    +g978
    +tp15571
    +a(g423
    +Vi68
    +p15572
    +tp15573
    +a(g693
    +g1112
    +tp15574
    +a(g826
    +V\u000a
    +p15575
    +tp15576
    +a(g423
    +Vi80
    +p15577
    +tp15578
    +a(g826
    +g978
    +tp15579
    +a(g693
    +g1119
    +tp15580
    +a(g826
    +g978
    +tp15581
    +a(g669
    +Vint_add
    +p15582
    +tp15583
    +a(g693
    +g1104
    +tp15584
    +a(g423
    +Vi78
    +p15585
    +tp15586
    +a(g693
    +g976
    +tp15587
    +a(g826
    +g978
    +tp15588
    +a(g22
    +g1250
    +tp15589
    +a(g693
    +g1112
    +tp15590
    +a(g826
    +V\u000a
    +p15591
    +tp15592
    +a(g423
    +Vi82
    +p15593
    +tp15594
    +a(g826
    +g978
    +tp15595
    +a(g693
    +g1119
    +tp15596
    +a(g826
    +g978
    +tp15597
    +a(g669
    +Vint_lt
    +p15598
    +tp15599
    +a(g693
    +g1104
    +tp15600
    +a(g423
    +Vi80
    +p15601
    +tp15602
    +a(g693
    +g976
    +tp15603
    +a(g826
    +g978
    +tp15604
    +a(g22
    +g1110
    +tp15605
    +a(g693
    +g1112
    +tp15606
    +a(g826
    +V\u000a
    +p15607
    +tp15608
    +a(g669
    +Vguard_false
    +p15609
    +tp15610
    +a(g693
    +g1104
    +tp15611
    +a(g423
    +Vi82
    +p15612
    +tp15613
    +a(g693
    +g976
    +tp15614
    +a(g826
    +g978
    +tp15615
    +a(g423
    +Vdescr
    +p15616
    +tp15617
    +a(g693
    +g1119
    +tp15618
    +a(g669
    +V
    +p15619
    +tp15620
    +a(g693
    +g1112
    +tp15621
    +a(g826
    +g978
    +tp15622
    +a(g693
    +g972
    +tp15623
    +a(g423
    +Vp0
    +p15624
    +tp15625
    +a(g693
    +g976
    +tp15626
    +a(g826
    +g978
    +tp15627
    +a(g423
    +Vp1
    +p15628
    +tp15629
    +a(g693
    +g976
    +tp15630
    +a(g826
    +g978
    +tp15631
    +a(g423
    +Vi68
    +p15632
    +tp15633
    +a(g693
    +g976
    +tp15634
    +a(g826
    +g978
    +tp15635
    +a(g423
    +Vi80
    +p15636
    +tp15637
    +a(g693
    +g976
    +tp15638
    +a(g826
    +g978
    +tp15639
    +a(g423
    +Vp4
    +p15640
    +tp15641
    +a(g693
    +g976
    +tp15642
    +a(g826
    +g978
    +tp15643
    +a(g423
    +Vp5
    +p15644
    +tp15645
    +a(g693
    +g976
    +tp15646
    +a(g826
    +g978
    +tp15647
    +a(g423
    +Vp6
    +p15648
    +tp15649
    +a(g693
    +g976
    +tp15650
    +a(g826
    +g978
    +tp15651
    +a(g423
    +Vp7
    +p15652
    +tp15653
    +a(g693
    +g976
    +tp15654
    +a(g826
    +g978
    +tp15655
    +a(g423
    +Vp8
    +p15656
    +tp15657
    +a(g693
    +g976
    +tp15658
    +a(g826
    +g978
    +tp15659
    +a(g423
    +Vp9
    +p15660
    +tp15661
    +a(g693
    +g976
    +tp15662
    +a(g826
    +g978
    +tp15663
    +a(g423
    +Vp10
    +p15664
    +tp15665
    +a(g693
    +g976
    +tp15666
    +a(g826
    +g978
    +tp15667
    +a(g423
    +Vp11
    +p15668
    +tp15669
    +a(g693
    +g976
    +tp15670
    +a(g826
    +g978
    +tp15671
    +a(g423
    +Vp12
    +p15672
    +tp15673
    +a(g693
    +g976
    +tp15674
    +a(g826
    +g978
    +tp15675
    +a(g423
    +Vp13
    +p15676
    +tp15677
    +a(g693
    +g976
    +tp15678
    +a(g826
    +g978
    +tp15679
    +a(g423
    +Vp66
    +p15680
    +tp15681
    +a(g693
    +g976
    +tp15682
    +a(g826
    +g978
    +tp15683
    +a(g423
    +Vi52
    +p15684
    +tp15685
    +a(g693
    +g976
    +tp15686
    +a(g826
    +g978
    +tp15687
    +a(g423
    +Vi32
    +p15688
    +tp15689
    +a(g693
    +g976
    +tp15690
    +a(g826
    +g978
    +tp15691
    +a(g423
    +Vp18
    +p15692
    +tp15693
    +a(g693
    +g976
    +tp15694
    +a(g826
    +g978
    +tp15695
    +a(g423
    +Vi15
    +p15696
    +tp15697
    +a(g693
    +g976
    +tp15698
    +a(g826
    +g978
    +tp15699
    +a(g423
    +Vf16
    +p15700
    +tp15701
    +a(g693
    +g976
    +tp15702
    +a(g826
    +g978
    +tp15703
    +a(g423
    +Vf17
    +p15704
    +tp15705
    +a(g693
    +g1098
    +tp15706
    +a(g826
    +V\u000a
    +p15707
    +tp15708
    +a(g616
    +Vdebug_merge_point
    +p15709
    +tp15710
    +a(g693
    +g1104
    +tp15711
    +a(g76
    +V' #124 GET_ITER'
    +p15712
    +tp15713
    +a(g693
    +g976
    +tp15714
    +a(g826
    +g978
    +tp15715
    +a(g22
    +g1110
    +tp15716
    +a(g693
    +g1112
    +tp15717
    +a(g826
    +V\u000a
    +p15718
    +tp15719
    +a(g616
    +Vdebug_merge_point
    +p15720
    +tp15721
    +a(g693
    +g1104
    +tp15722
    +a(g76
    +V' #125 FOR_ITER'
    +p15723
    +tp15724
    +a(g693
    +g976
    +tp15725
    +a(g826
    +g978
    +tp15726
    +a(g22
    +g1110
    +tp15727
    +a(g693
    +g1112
    +tp15728
    +a(g826
    +V\u000a
    +p15729
    +tp15730
    +a(g423
    +Vi84
    +p15731
    +tp15732
    +a(g826
    +g978
    +tp15733
    +a(g693
    +g1119
    +tp15734
    +a(g826
    +g978
    +tp15735
    +a(g669
    +Vint_gt
    +p15736
    +tp15737
    +a(g693
    +g1104
    +tp15738
    +a(g423
    +Vi80
    +p15739
    +tp15740
    +a(g693
    +g976
    +tp15741
    +a(g826
    +g978
    +tp15742
    +a(g22
    +g1110
    +tp15743
    +a(g693
    +g1112
    +tp15744
    +a(g826
    +V\u000a
    +p15745
    +tp15746
    +a(g669
    +Vguard_true
    +p15747
    +tp15748
    +a(g693
    +g1104
    +tp15749
    +a(g423
    +Vi84
    +p15750
    +tp15751
    +a(g693
    +g976
    +tp15752
    +a(g826
    +g978
    +tp15753
    +a(g423
    +Vdescr
    +p15754
    +tp15755
    +a(g693
    +g1119
    +tp15756
    +a(g669
    +V
    +p15757
    +tp15758
    +a(g693
    +g1112
    +tp15759
    +a(g826
    +g978
    +tp15760
    +a(g693
    +g972
    +tp15761
    +a(g423
    +Vp0
    +p15762
    +tp15763
    +a(g693
    +g976
    +tp15764
    +a(g826
    +g978
    +tp15765
    +a(g423
    +Vp1
    +p15766
    +tp15767
    +a(g693
    +g976
    +tp15768
    +a(g826
    +g978
    +tp15769
    +a(g423
    +Vp4
    +p15770
    +tp15771
    +a(g693
    +g976
    +tp15772
    +a(g826
    +g978
    +tp15773
    +a(g423
    +Vp5
    +p15774
    +tp15775
    +a(g693
    +g976
    +tp15776
    +a(g826
    +g978
    +tp15777
    +a(g423
    +Vp6
    +p15778
    +tp15779
    +a(g693
    +g976
    +tp15780
    +a(g826
    +g978
    +tp15781
    +a(g423
    +Vp7
    +p15782
    +tp15783
    +a(g693
    +g976
    +tp15784
    +a(g826
    +g978
    +tp15785
    +a(g423
    +Vp8
    +p15786
    +tp15787
    +a(g693
    +g976
    +tp15788
    +a(g826
    +g978
    +tp15789
    +a(g423
    +Vp9
    +p15790
    +tp15791
    +a(g693
    +g976
    +tp15792
    +a(g826
    +g978
    +tp15793
    +a(g423
    +Vp10
    +p15794
    +tp15795
    +a(g693
    +g976
    +tp15796
    +a(g826
    +g978
    +tp15797
    +a(g423
    +Vp11
    +p15798
    +tp15799
    +a(g693
    +g976
    +tp15800
    +a(g826
    +g978
    +tp15801
    +a(g423
    +Vp12
    +p15802
    +tp15803
    +a(g693
    +g976
    +tp15804
    +a(g826
    +g978
    +tp15805
    +a(g423
    +Vp13
    +p15806
    +tp15807
    +a(g693
    +g976
    +tp15808
    +a(g826
    +g978
    +tp15809
    +a(g423
    +Vi80
    +p15810
    +tp15811
    +a(g693
    +g976
    +tp15812
    +a(g826
    +g978
    +tp15813
    +a(g423
    +Vi68
    +p15814
    +tp15815
    +a(g693
    +g976
    +tp15816
    +a(g826
    +g978
    +tp15817
    +a(g423
    +VNone
    +p15818
    +tp15819
    +a(g693
    +g976
    +tp15820
    +a(g826
    +g978
    +tp15821
    +a(g423
    +VNone
    +p15822
    +tp15823
    +a(g693
    +g976
    +tp15824
    +a(g826
    +g978
    +tp15825
    +a(g423
    +Vi32
    +p15826
    +tp15827
    +a(g693
    +g976
    +tp15828
    +a(g826
    +g978
    +tp15829
    +a(g423
    +Vp18
    +p15830
    +tp15831
    +a(g693
    +g976
    +tp15832
    +a(g826
    +g978
    +tp15833
    +a(g423
    +Vi15
    +p15834
    +tp15835
    +a(g693
    +g976
    +tp15836
    +a(g826
    +g978
    +tp15837
    +a(g423
    +Vf16
    +p15838
    +tp15839
    +a(g693
    +g976
    +tp15840
    +a(g826
    +g978
    +tp15841
    +a(g423
    +Vf17
    +p15842
    +tp15843
    +a(g693
    +g1098
    +tp15844
    +a(g826
    +V\u000a
    +p15845
    +tp15846
    +a(g423
    +Vi85
    +p15847
    +tp15848
    +a(g826
    +g978
    +tp15849
    +a(g693
    +g1119
    +tp15850
    +a(g826
    +g978
    +tp15851
    +a(g669
    +Vint_add
    +p15852
    +tp15853
    +a(g693
    +g1104
    +tp15854
    +a(g22
    +g1250
    +tp15855
    +a(g693
    +g976
    +tp15856
    +a(g826
    +g978
    +tp15857
    +a(g423
    +Vi68
    +p15858
    +tp15859
    +a(g693
    +g1112
    +tp15860
    +a(g826
    +V\u000a
    +p15861
    +tp15862
    +a(g616
    +Vdebug_merge_point
    +p15863
    +tp15864
    +a(g693
    +g1104
    +tp15865
    +a(g76
    +V' #128 STORE_FAST'
    +p15866
    +tp15867
    +a(g693
    +g976
    +tp15868
    +a(g826
    +g978
    +tp15869
    +a(g22
    +g1110
    +tp15870
    +a(g693
    +g1112
    +tp15871
    +a(g826
    +V\u000a
    +p15872
    +tp15873
    +a(g616
    +Vdebug_merge_point
    +p15874
    +tp15875
    +a(g693
    +g1104
    +tp15876
    +a(g76
    +V' #131 LOAD_FAST'
    +p15877
    +tp15878
    +a(g693
    +g976
    +tp15879
    +a(g826
    +g978
    +tp15880
    +a(g22
    +g1110
    +tp15881
    +a(g693
    +g1112
    +tp15882
    +a(g826
    +V\u000a
    +p15883
    +tp15884
    +a(g669
    +Vguard_nonnull_class
    +p15885
    +tp15886
    +a(g693
    +g1104
    +tp15887
    +a(g423
    +Vp13
    +p15888
    +tp15889
    +a(g693
    +g976
    +tp15890
    +a(g826
    +g978
    +tp15891
    +a(g22
    +V19886912
    +p15892
    +tp15893
    +a(g693
    +g976
    +tp15894
    +a(g826
    +g978
    +tp15895
    +a(g423
    +Vdescr
    +p15896
    +tp15897
    +a(g693
    +g1119
    +tp15898
    +a(g669
    +V
    +p15899
    +tp15900
    +a(g693
    +g1112
    +tp15901
    +a(g826
    +g978
    +tp15902
    +a(g693
    +g972
    +tp15903
    +a(g423
    +Vp0
    +p15904
    +tp15905
    +a(g693
    +g976
    +tp15906
    +a(g826
    +g978
    +tp15907
    +a(g423
    +Vp1
    +p15908
    +tp15909
    +a(g693
    +g976
    +tp15910
    +a(g826
    +g978
    +tp15911
    +a(g423
    +Vp13
    +p15912
    +tp15913
    +a(g693
    +g976
    +tp15914
    +a(g826
    +g978
    +tp15915
    +a(g423
    +Vp4
    +p15916
    +tp15917
    +a(g693
    +g976
    +tp15918
    +a(g826
    +g978
    +tp15919
    +a(g423
    +Vp5
    +p15920
    +tp15921
    +a(g693
    +g976
    +tp15922
    +a(g826
    +g978
    +tp15923
    +a(g423
    +Vp6
    +p15924
    +tp15925
    +a(g693
    +g976
    +tp15926
    +a(g826
    +g978
    +tp15927
    +a(g423
    +Vp7
    +p15928
    +tp15929
    +a(g693
    +g976
    +tp15930
    +a(g826
    +g978
    +tp15931
    +a(g423
    +Vp8
    +p15932
    +tp15933
    +a(g693
    +g976
    +tp15934
    +a(g826
    +g978
    +tp15935
    +a(g423
    +Vp9
    +p15936
    +tp15937
    +a(g693
    +g976
    +tp15938
    +a(g826
    +g978
    +tp15939
    +a(g423
    +Vp10
    +p15940
    +tp15941
    +a(g693
    +g976
    +tp15942
    +a(g826
    +g978
    +tp15943
    +a(g423
    +Vp11
    +p15944
    +tp15945
    +a(g693
    +g976
    +tp15946
    +a(g826
    +g978
    +tp15947
    +a(g423
    +Vp12
    +p15948
    +tp15949
    +a(g693
    +g976
    +tp15950
    +a(g826
    +g978
    +tp15951
    +a(g423
    +Vi78
    +p15952
    +tp15953
    +a(g693
    +g976
    +tp15954
    +a(g826
    +g978
    +tp15955
    +a(g423
    +Vi85
    +p15956
    +tp15957
    +a(g693
    +g976
    +tp15958
    +a(g826
    +g978
    +tp15959
    +a(g423
    +VNone
    +p15960
    +tp15961
    +a(g693
    +g976
    +tp15962
    +a(g826
    +g978
    +tp15963
    +a(g423
    +Vi68
    +p15964
    +tp15965
    +a(g693
    +g976
    +tp15966
    +a(g826
    +g978
    +tp15967
    +a(g423
    +VNone
    +p15968
    +tp15969
    +a(g693
    +g976
    +tp15970
    +a(g826
    +g978
    +tp15971
    +a(g423
    +VNone
    +p15972
    +tp15973
    +a(g693
    +g976
    +tp15974
    +a(g826
    +g978
    +tp15975
    +a(g423
    +Vi32
    +p15976
    +tp15977
    +a(g693
    +g976
    +tp15978
    +a(g826
    +g978
    +tp15979
    +a(g423
    +Vp18
    +p15980
    +tp15981
    +a(g693
    +g976
    +tp15982
    +a(g826
    +g978
    +tp15983
    +a(g423
    +VNone
    +p15984
    +tp15985
    +a(g693
    +g976
    +tp15986
    +a(g826
    +g978
    +tp15987
    +a(g423
    +Vf16
    +p15988
    +tp15989
    +a(g693
    +g976
    +tp15990
    +a(g826
    +g978
    +tp15991
    +a(g423
    +Vf17
    +p15992
    +tp15993
    +a(g693
    +g1098
    +tp15994
    +a(g826
    +V\u000a
    +p15995
    +tp15996
    +a(g616
    +Vdebug_merge_point
    +p15997
    +tp15998
    +a(g693
    +g1104
    +tp15999
    +a(g76
    +V' #134 LOAD_FAST'
    +p16000
    +tp16001
    +a(g693
    +g976
    +tp16002
    +a(g826
    +g978
    +tp16003
    +a(g22
    +g1110
    +tp16004
    +a(g693
    +g1112
    +tp16005
    +a(g826
    +V\u000a
    +p16006
    +tp16007
    +a(g616
    +Vdebug_merge_point
    +p16008
    +tp16009
    +a(g693
    +g1104
    +tp16010
    +a(g76
    +V' #137 LOAD_FAST'
    +p16011
    +tp16012
    +a(g693
    +g976
    +tp16013
    +a(g826
    +g978
    +tp16014
    +a(g22
    +g1110
    +tp16015
    +a(g693
    +g1112
    +tp16016
    +a(g826
    +V\u000a
    +p16017
    +tp16018
    +a(g669
    +Vguard_nonnull_class
    +p16019
    +tp16020
    +a(g693
    +g1104
    +tp16021
    +a(g423
    +Vp11
    +p16022
    +tp16023
    +a(g693
    +g976
    +tp16024
    +a(g826
    +g978
    +tp16025
    +a(g423
    +VConstClass
    +p16026
    +tp16027
    +a(g693
    +g1104
    +tp16028
    +a(g669
    +VW_IntObject
    +p16029
    +tp16030
    +a(g693
    +g1112
    +tp16031
    +a(g693
    +g976
    +tp16032
    +a(g826
    +g978
    +tp16033
    +a(g423
    +Vdescr
    +p16034
    +tp16035
    +a(g693
    +g1119
    +tp16036
    +a(g669
    +V
    +p16037
    +tp16038
    +a(g693
    +g1112
    +tp16039
    +a(g826
    +g978
    +tp16040
    +a(g693
    +g972
    +tp16041
    +a(g423
    +Vp0
    +p16042
    +tp16043
    +a(g693
    +g976
    +tp16044
    +a(g826
    +g978
    +tp16045
    +a(g423
    +Vp1
    +p16046
    +tp16047
    +a(g693
    +g976
    +tp16048
    +a(g826
    +g978
    +tp16049
    +a(g423
    +Vp11
    +p16050
    +tp16051
    +a(g693
    +g976
    +tp16052
    +a(g826
    +g978
    +tp16053
    +a(g423
    +Vp4
    +p16054
    +tp16055
    +a(g693
    +g976
    +tp16056
    +a(g826
    +g978
    +tp16057
    +a(g423
    +Vp5
    +p16058
    +tp16059
    +a(g693
    +g976
    +tp16060
    +a(g826
    +g978
    +tp16061
    +a(g423
    +Vp13
    +p16062
    +tp16063
    +a(g693
    +g976
    +tp16064
    +a(g826
    +g978
    +tp16065
    +a(g423
    +Vp6
    +p16066
    +tp16067
    +a(g693
    +g976
    +tp16068
    +a(g826
    +g978
    +tp16069
    +a(g423
    +Vp7
    +p16070
    +tp16071
    +a(g693
    +g976
    +tp16072
    +a(g826
    +g978
    +tp16073
    +a(g423
    +Vp8
    +p16074
    +tp16075
    +a(g693
    +g976
    +tp16076
    +a(g826
    +g978
    +tp16077
    +a(g423
    +Vp9
    +p16078
    +tp16079
    +a(g693
    +g976
    +tp16080
    +a(g826
    +g978
    +tp16081
    +a(g423
    +Vp10
    +p16082
    +tp16083
    +a(g693
    +g976
    +tp16084
    +a(g826
    +g978
    +tp16085
    +a(g423
    +Vp12
    +p16086
    +tp16087
    +a(g693
    +g976
    +tp16088
    +a(g826
    +g978
    +tp16089
    +a(g423
    +Vi78
    +p16090
    +tp16091
    +a(g693
    +g976
    +tp16092
    +a(g826
    +g978
    +tp16093
    +a(g423
    +Vi85
    +p16094
    +tp16095
    +a(g693
    +g976
    +tp16096
    +a(g826
    +g978
    +tp16097
    +a(g423
    +VNone
    +p16098
    +tp16099
    +a(g693
    +g976
    +tp16100
    +a(g826
    +g978
    +tp16101
    +a(g423
    +Vi68
    +p16102
    +tp16103
    +a(g693
    +g976
    +tp16104
    +a(g826
    +g978
    +tp16105
    +a(g423
    +VNone
    +p16106
    +tp16107
    +a(g693
    +g976
    +tp16108
    +a(g826
    +g978
    +tp16109
    +a(g423
    +VNone
    +p16110
    +tp16111
    +a(g693
    +g976
    +tp16112
    +a(g826
    +g978
    +tp16113
    +a(g423
    +Vi32
    +p16114
    +tp16115
    +a(g693
    +g976
    +tp16116
    +a(g826
    +g978
    +tp16117
    +a(g423
    +Vp18
    +p16118
    +tp16119
    +a(g693
    +g976
    +tp16120
    +a(g826
    +g978
    +tp16121
    +a(g423
    +VNone
    +p16122
    +tp16123
    +a(g693
    +g976
    +tp16124
    +a(g826
    +g978
    +tp16125
    +a(g423
    +Vf16
    +p16126
    +tp16127
    +a(g693
    +g976
    +tp16128
    +a(g826
    +g978
    +tp16129
    +a(g423
    +Vf17
    +p16130
    +tp16131
    +a(g693
    +g1098
    +tp16132
    +a(g826
    +V\u000a
    +p16133
    +tp16134
    +a(g616
    +Vdebug_merge_point
    +p16135
    +tp16136
    +a(g693
    +g1104
    +tp16137
    +a(g76
    +V' #140 BINARY_MULTIPLY'
    +p16138
    +tp16139
    +a(g693
    +g976
    +tp16140
    +a(g826
    +g978
    +tp16141
    +a(g22
    +g1110
    +tp16142
    +a(g693
    +g1112
    +tp16143
    +a(g826
    +V\u000a
    +p16144
    +tp16145
    +a(g423
    +Vi88
    +p16146
    +tp16147
    +a(g826
    +g978
    +tp16148
    +a(g693
    +g1119
    +tp16149
    +a(g826
    +g978
    +tp16150
    +a(g669
    +Vgetfield_gc_pure
    +p16151
    +tp16152
    +a(g693
    +g1104
    +tp16153
    +a(g423
    +Vp11
    +p16154
    +tp16155
    +a(g693
    +g976
    +tp16156
    +a(g826
    +g978
    +tp16157
    +a(g423
    +Vdescr
    +p16158
    +tp16159
    +a(g693
    +g1119
    +tp16160
    +a(g669
    +V
    +p16161
    +tp16162
    +a(g693
    +g1112
    +tp16163
    +a(g826
    +V\u000a
    +p16164
    +tp16165
    +a(g423
    +Vi89
    +p16166
    +tp16167
    +a(g826
    +g978
    +tp16168
    +a(g693
    +g1119
    +tp16169
    +a(g826
    +g978
    +tp16170
    +a(g669
    +Vint_mul_ovf
    +p16171
    +tp16172
    +a(g693
    +g1104
    +tp16173
    +a(g423
    +Vi32
    +p16174
    +tp16175
    +a(g693
    +g976
    +tp16176
    +a(g826
    +g978
    +tp16177
    +a(g423
    +Vi88
    +p16178
    +tp16179
    +a(g693
    +g1112
    +tp16180
    +a(g826
    +V\u000a
    +p16181
    +tp16182
    +a(g669
    +Vguard_no_overflow
    +p16183
    +tp16184
    +a(g693
    +g1104
    +tp16185
    +a(g693
    +g976
    +tp16186
    +a(g826
    +g978
    +tp16187
    +a(g423
    +Vdescr
    +p16188
    +tp16189
    +a(g693
    +g1119
    +tp16190
    +a(g669
    +V
    +p16191
    +tp16192
    +a(g693
    +g1112
    +tp16193
    +a(g826
    +g978
    +tp16194
    +a(g693
    +g972
    +tp16195
    +a(g423
    +Vp0
    +p16196
    +tp16197
    +a(g693
    +g976
    +tp16198
    +a(g826
    +g978
    +tp16199
    +a(g423
    +Vp1
    +p16200
    +tp16201
    +a(g693
    +g976
    +tp16202
    +a(g826
    +g978
    +tp16203
    +a(g423
    +Vp11
    +p16204
    +tp16205
    +a(g693
    +g976
    +tp16206
    +a(g826
    +g978
    +tp16207
    +a(g423
    +Vi89
    +p16208
    +tp16209
    +a(g693
    +g976
    +tp16210
    +a(g826
    +g978
    +tp16211
    +a(g423
    +Vp4
    +p16212
    +tp16213
    +a(g693
    +g976
    +tp16214
    +a(g826
    +g978
    +tp16215
    +a(g423
    +Vp5
    +p16216
    +tp16217
    +a(g693
    +g976
    +tp16218
    +a(g826
    +g978
    +tp16219
    +a(g423
    +Vp13
    +p16220
    +tp16221
    +a(g693
    +g976
    +tp16222
    +a(g826
    +g978
    +tp16223
    +a(g423
    +Vp6
    +p16224
    +tp16225
    +a(g693
    +g976
    +tp16226
    +a(g826
    +g978
    +tp16227
    +a(g423
    +Vp7
    +p16228
    +tp16229
    +a(g693
    +g976
    +tp16230
    +a(g826
    +g978
    +tp16231
    +a(g423
    +Vp8
    +p16232
    +tp16233
    +a(g693
    +g976
    +tp16234
    +a(g826
    +g978
    +tp16235
    +a(g423
    +Vp9
    +p16236
    +tp16237
    +a(g693
    +g976
    +tp16238
    +a(g826
    +g978
    +tp16239
    +a(g423
    +Vp10
    +p16240
    +tp16241
    +a(g693
    +g976
    +tp16242
    +a(g826
    +g978
    +tp16243
    +a(g423
    +Vp12
    +p16244
    +tp16245
    +a(g693
    +g976
    +tp16246
    +a(g826
    +g978
    +tp16247
    +a(g423
    +Vi78
    +p16248
    +tp16249
    +a(g693
    +g976
    +tp16250
    +a(g826
    +g978
    +tp16251
    +a(g423
    +Vi85
    +p16252
    +tp16253
    +a(g693
    +g976
    +tp16254
    +a(g826
    +g978
    +tp16255
    +a(g423
    +VNone
    +p16256
    +tp16257
    +a(g693
    +g976
    +tp16258
    +a(g826
    +g978
    +tp16259
    +a(g423
    +Vi68
    +p16260
    +tp16261
    +a(g693
    +g976
    +tp16262
    +a(g826
    +g978
    +tp16263
    +a(g423
    +VNone
    +p16264
    +tp16265
    +a(g693
    +g976
    +tp16266
    +a(g826
    +g978
    +tp16267
    +a(g423
    +VNone
    +p16268
    +tp16269
    +a(g693
    +g976
    +tp16270
    +a(g826
    +g978
    +tp16271
    +a(g423
    +Vi32
    +p16272
    +tp16273
    +a(g693
    +g976
    +tp16274
    +a(g826
    +g978
    +tp16275
    +a(g423
    +Vp18
    +p16276
    +tp16277
    +a(g693
    +g976
    +tp16278
    +a(g826
    +g978
    +tp16279
    +a(g423
    +VNone
    +p16280
    +tp16281
    +a(g693
    +g976
    +tp16282
    +a(g826
    +g978
    +tp16283
    +a(g423
    +Vf16
    +p16284
    +tp16285
    +a(g693
    +g976
    +tp16286
    +a(g826
    +g978
    +tp16287
    +a(g423
    +Vf17
    +p16288
    +tp16289
    +a(g693
    +g1098
    +tp16290
    +a(g826
    +V\u000a
    +p16291
    +tp16292
    +a(g616
    +Vdebug_merge_point
    +p16293
    +tp16294
    +a(g693
    +g1104
    +tp16295
    +a(g76
    +V' #141 LOAD_FAST'
    +p16296
    +tp16297
    +a(g693
    +g976
    +tp16298
    +a(g826
    +g978
    +tp16299
    +a(g22
    +g1110
    +tp16300
    +a(g693
    +g1112
    +tp16301
    +a(g826
    +V\u000a
    +p16302
    +tp16303
    +a(g616
    +Vdebug_merge_point
    +p16304
    +tp16305
    +a(g693
    +g1104
    +tp16306
    +a(g76
    +V' #144 BINARY_ADD'
    +p16307
    +tp16308
    +a(g693
    +g976
    +tp16309
    +a(g826
    +g978
    +tp16310
    +a(g22
    +g1110
    +tp16311
    +a(g693
    +g1112
    +tp16312
    +a(g826
    +V\u000a
    +p16313
    +tp16314
    +a(g423
    +Vi90
    +p16315
    +tp16316
    +a(g826
    +g978
    +tp16317
    +a(g693
    +g1119
    +tp16318
    +a(g826
    +g978
    +tp16319
    +a(g669
    +Vint_add_ovf
    +p16320
    +tp16321
    +a(g693
    +g1104
    +tp16322
    +a(g423
    +Vi89
    +p16323
    +tp16324
    +a(g693
    +g976
    +tp16325
    +a(g826
    +g978
    +tp16326
    +a(g22
    +g1250
    +tp16327
    +a(g693
    +g1112
    +tp16328
    +a(g826
    +V\u000a
    +p16329
    +tp16330
    +a(g669
    +Vguard_no_overflow
    +p16331
    +tp16332
    +a(g693
    +g1104
    +tp16333
    +a(g693
    +g976
    +tp16334
    +a(g826
    +g978
    +tp16335
    +a(g423
    +Vdescr
    +p16336
    +tp16337
    +a(g693
    +g1119
    +tp16338
    +a(g669
    +V
    +p16339
    +tp16340
    +a(g693
    +g1112
    +tp16341
    +a(g826
    +g978
    +tp16342
    +a(g693
    +g972
    +tp16343
    +a(g423
    +Vp0
    +p16344
    +tp16345
    +a(g693
    +g976
    +tp16346
    +a(g826
    +g978
    +tp16347
    +a(g423
    +Vp1
    +p16348
    +tp16349
    +a(g693
    +g976
    +tp16350
    +a(g826
    +g978
    +tp16351
    +a(g423
    +Vi90
    +p16352
    +tp16353
    +a(g693
    +g976
    +tp16354
    +a(g826
    +g978
    +tp16355
    +a(g423
    +Vp4
    +p16356
    +tp16357
    +a(g693
    +g976
    +tp16358
    +a(g826
    +g978
    +tp16359
    +a(g423
    +Vp5
    +p16360
    +tp16361
    +a(g693
    +g976
    +tp16362
    +a(g826
    +g978
    +tp16363
    +a(g423
    +Vp13
    +p16364
    +tp16365
    +a(g693
    +g976
    +tp16366
    +a(g826
    +g978
    +tp16367
    +a(g423
    +Vp6
    +p16368
    +tp16369
    +a(g693
    +g976
    +tp16370
    +a(g826
    +g978
    +tp16371
    +a(g423
    +Vp7
    +p16372
    +tp16373
    +a(g693
    +g976
    +tp16374
    +a(g826
    +g978
    +tp16375
    +a(g423
    +Vp8
    +p16376
    +tp16377
    +a(g693
    +g976
    +tp16378
    +a(g826
    +g978
    +tp16379
    +a(g423
    +Vp9
    +p16380
    +tp16381
    +a(g693
    +g976
    +tp16382
    +a(g826
    +g978
    +tp16383
    +a(g423
    +Vp10
    +p16384
    +tp16385
    +a(g693
    +g976
    +tp16386
    +a(g826
    +g978
    +tp16387
    +a(g423
    +Vp11
    +p16388
    +tp16389
    +a(g693
    +g976
    +tp16390
    +a(g826
    +g978
    +tp16391
    +a(g423
    +Vp12
    +p16392
    +tp16393
    +a(g693
    +g976
    +tp16394
    +a(g826
    +g978
    +tp16395
    +a(g423
    +Vi89
    +p16396
    +tp16397
    +a(g693
    +g976
    +tp16398
    +a(g826
    +g978
    +tp16399
    +a(g423
    +Vi78
    +p16400
    +tp16401
    +a(g693
    +g976
    +tp16402
    +a(g826
    +g978
    +tp16403
    +a(g423
    +Vi85
    +p16404
    +tp16405
    +a(g693
    +g976
    +tp16406
    +a(g826
    +g978
    +tp16407
    +a(g423
    +VNone
    +p16408
    +tp16409
    +a(g693
    +g976
    +tp16410
    +a(g826
    +g978
    +tp16411
    +a(g423
    +Vi68
    +p16412
    +tp16413
    +a(g693
    +g976
    +tp16414
    +a(g826
    +g978
    +tp16415
    +a(g423
    +VNone
    +p16416
    +tp16417
    +a(g693
    +g976
    +tp16418
    +a(g826
    +g978
    +tp16419
    +a(g423
    +VNone
    +p16420
    +tp16421
    +a(g693
    +g976
    +tp16422
    +a(g826
    +g978
    +tp16423
    +a(g423
    +Vi32
    +p16424
    +tp16425
    +a(g693
    +g976
    +tp16426
    +a(g826
    +g978
    +tp16427
    +a(g423
    +Vp18
    +p16428
    +tp16429
    +a(g693
    +g976
    +tp16430
    +a(g826
    +g978
    +tp16431
    +a(g423
    +VNone
    +p16432
    +tp16433
    +a(g693
    +g976
    +tp16434
    +a(g826
    +g978
    +tp16435
    +a(g423
    +Vf16
    +p16436
    +tp16437
    +a(g693
    +g976
    +tp16438
    +a(g826
    +g978
    +tp16439
    +a(g423
    +Vf17
    +p16440
    +tp16441
    +a(g693
    +g1098
    +tp16442
    +a(g826
    +V\u000a
    +p16443
    +tp16444
    +a(g616
    +Vdebug_merge_point
    +p16445
    +tp16446
    +a(g693
    +g1104
    +tp16447
    +a(g76
    +V' #145 BINARY_SUBSCR'
    +p16448
    +tp16449
    +a(g693
    +g976
    +tp16450
    +a(g826
    +g978
    +tp16451
    +a(g22
    +g1110
    +tp16452
    +a(g693
    +g1112
    +tp16453
    +a(g826
    +V\u000a
    +p16454
    +tp16455
    +a(g423
    +Vi91
    +p16456
    +tp16457
    +a(g826
    +g978
    +tp16458
    +a(g693
    +g1119
    +tp16459
    +a(g826
    +g978
    +tp16460
    +a(g669
    +Vgetfield_gc
    +p16461
    +tp16462
    +a(g693
    +g1104
    +tp16463
    +a(g423
    +Vp13
    +p16464
    +tp16465
    +a(g693
    +g976
    +tp16466
    +a(g826
    +g978
    +tp16467
    +a(g423
    +Vdescr
    +p16468
    +tp16469
    +a(g693
    +g1119
    +tp16470
    +a(g669
    +V
    +p16471
    +tp16472
    +a(g693
    +g1112
    +tp16473
    +a(g826
    +V\u000a
    +p16474
    +tp16475
    +a(g423
    +Vi93
    +p16476
    +tp16477
    +a(g826
    +g978
    +tp16478
    +a(g693
    +g1119
    +tp16479
    +a(g826
    +g978
    +tp16480
    +a(g669
    +Vint_lt
    +p16481
    +tp16482
    +a(g693
    +g1104
    +tp16483
    +a(g423
    +Vi90
    +p16484
    +tp16485
    +a(g693
    +g976
    +tp16486
    +a(g826
    +g978
    +tp16487
    +a(g22
    +g1110
    +tp16488
    +a(g693
    +g1112
    +tp16489
    +a(g826
    +V\u000a
    +p16490
    +tp16491
    +a(g669
    +Vguard_false
    +p16492
    +tp16493
    +a(g693
    +g1104
    +tp16494
    +a(g423
    +Vi93
    +p16495
    +tp16496
    +a(g693
    +g976
    +tp16497
    +a(g826
    +g978
    +tp16498
    +a(g423
    +Vdescr
    +p16499
    +tp16500
    +a(g693
    +g1119
    +tp16501
    +a(g669
    +V
    +p16502
    +tp16503
    +a(g693
    +g1112
    +tp16504
    +a(g826
    +g978
    +tp16505
    +a(g693
    +g972
    +tp16506
    +a(g423
    +Vp0
    +p16507
    +tp16508
    +a(g693
    +g976
    +tp16509
    +a(g826
    +g978
    +tp16510
    +a(g423
    +Vp1
    +p16511
    +tp16512
    +a(g693
    +g976
    +tp16513
    +a(g826
    +g978
    +tp16514
    +a(g423
    +Vp13
    +p16515
    +tp16516
    +a(g693
    +g976
    +tp16517
    +a(g826
    +g978
    +tp16518
    +a(g423
    +Vi90
    +p16519
    +tp16520
    +a(g693
    +g976
    +tp16521
    +a(g826
    +g978
    +tp16522
    +a(g423
    +Vi91
    +p16523
    +tp16524
    +a(g693
    +g976
    +tp16525
    +a(g826
    +g978
    +tp16526
    +a(g423
    +Vp4
    +p16527
    +tp16528
    +a(g693
    +g976
    +tp16529
    +a(g826
    +g978
    +tp16530
    +a(g423
    +Vp5
    +p16531
    +tp16532
    +a(g693
    +g976
    +tp16533
    +a(g826
    +g978
    +tp16534
    +a(g423
    +Vp6
    +p16535
    +tp16536
    +a(g693
    +g976
    +tp16537
    +a(g826
    +g978
    +tp16538
    +a(g423
    +Vp7
    +p16539
    +tp16540
    +a(g693
    +g976
    +tp16541
    +a(g826
    +g978
    +tp16542
    +a(g423
    +Vp8
    +p16543
    +tp16544
    +a(g693
    +g976
    +tp16545
    +a(g826
    +g978
    +tp16546
    +a(g423
    +Vp9
    +p16547
    +tp16548
    +a(g693
    +g976
    +tp16549
    +a(g826
    +g978
    +tp16550
    +a(g423
    +Vp10
    +p16551
    +tp16552
    +a(g693
    +g976
    +tp16553
    +a(g826
    +g978
    +tp16554
    +a(g423
    +Vp11
    +p16555
    +tp16556
    +a(g693
    +g976
    +tp16557
    +a(g826
    +g978
    +tp16558
    +a(g423
    +Vp12
    +p16559
    +tp16560
    +a(g693
    +g976
    +tp16561
    +a(g826
    +g978
    +tp16562
    +a(g423
    +VNone
    +p16563
    +tp16564
    +a(g693
    +g976
    +tp16565
    +a(g826
    +g978
    +tp16566
    +a(g423
    +Vi78
    +p16567
    +tp16568
    +a(g693
    +g976
    +tp16569
    +a(g826
    +g978
    +tp16570
    +a(g423
    +Vi85
    +p16571
    +tp16572
    +a(g693
    +g976
    +tp16573
    +a(g826
    +g978
    +tp16574
    +a(g423
    +VNone
    +p16575
    +tp16576
    +a(g693
    +g976
    +tp16577
    +a(g826
    +g978
    +tp16578
    +a(g423
    +Vi68
    +p16579
    +tp16580
    +a(g693
    +g976
    +tp16581
    +a(g826
    +g978
    +tp16582
    +a(g423
    +VNone
    +p16583
    +tp16584
    +a(g693
    +g976
    +tp16585
    +a(g826
    +g978
    +tp16586
    +a(g423
    +VNone
    +p16587
    +tp16588
    +a(g693
    +g976
    +tp16589
    +a(g826
    +g978
    +tp16590
    +a(g423
    +Vi32
    +p16591
    +tp16592
    +a(g693
    +g976
    +tp16593
    +a(g826
    +g978
    +tp16594
    +a(g423
    +Vp18
    +p16595
    +tp16596
    +a(g693
    +g976
    +tp16597
    +a(g826
    +g978
    +tp16598
    +a(g423
    +VNone
    +p16599
    +tp16600
    +a(g693
    +g976
    +tp16601
    +a(g826
    +g978
    +tp16602
    +a(g423
    +Vf16
    +p16603
    +tp16604
    +a(g693
    +g976
    +tp16605
    +a(g826
    +g978
    +tp16606
    +a(g423
    +Vf17
    +p16607
    +tp16608
    +a(g693
    +g1098
    +tp16609
    +a(g826
    +V\u000a
    +p16610
    +tp16611
    +a(g423
    +Vi94
    +p16612
    +tp16613
    +a(g826
    +g978
    +tp16614
    +a(g693
    +g1119
    +tp16615
    +a(g826
    +g978
    +tp16616
    +a(g669
    +Vint_lt
    +p16617
    +tp16618
    +a(g693
    +g1104
    +tp16619
    +a(g423
    +Vi90
    +p16620
    +tp16621
    +a(g693
    +g976
    +tp16622
    +a(g826
    +g978
    +tp16623
    +a(g423
    +Vi91
    +p16624
    +tp16625
    +a(g693
    +g1112
    +tp16626
    +a(g826
    +V\u000a
    +p16627
    +tp16628
    +a(g669
    +Vguard_true
    +p16629
    +tp16630
    +a(g693
    +g1104
    +tp16631
    +a(g423
    +Vi94
    +p16632
    +tp16633
    +a(g693
    +g976
    +tp16634
    +a(g826
    +g978
    +tp16635
    +a(g423
    +Vdescr
    +p16636
    +tp16637
    +a(g693
    +g1119
    +tp16638
    +a(g669
    +V
    +p16639
    +tp16640
    +a(g693
    +g1112
    +tp16641
    +a(g826
    +g978
    +tp16642
    +a(g693
    +g972
    +tp16643
    +a(g423
    +Vp0
    +p16644
    +tp16645
    +a(g693
    +g976
    +tp16646
    +a(g826
    +g978
    +tp16647
    +a(g423
    +Vp1
    +p16648
    +tp16649
    +a(g693
    +g976
    +tp16650
    +a(g826
    +g978
    +tp16651
    +a(g423
    +Vp13
    +p16652
    +tp16653
    +a(g693
    +g976
    +tp16654
    +a(g826
    +g978
    +tp16655
    +a(g423
    +Vi90
    +p16656
    +tp16657
    +a(g693
    +g976
    +tp16658
    +a(g826
    +g978
    +tp16659
    +a(g423
    +Vp4
    +p16660
    +tp16661
    +a(g693
    +g976
    +tp16662
    +a(g826
    +g978
    +tp16663
    +a(g423
    +Vp5
    +p16664
    +tp16665
    +a(g693
    +g976
    +tp16666
    +a(g826
    +g978
    +tp16667
    +a(g423
    +Vp6
    +p16668
    +tp16669
    +a(g693
    +g976
    +tp16670
    +a(g826
    +g978
    +tp16671
    +a(g423
    +Vp7
    +p16672
    +tp16673
    +a(g693
    +g976
    +tp16674
    +a(g826
    +g978
    +tp16675
    +a(g423
    +Vp8
    +p16676
    +tp16677
    +a(g693
    +g976
    +tp16678
    +a(g826
    +g978
    +tp16679
    +a(g423
    +Vp9
    +p16680
    +tp16681
    +a(g693
    +g976
    +tp16682
    +a(g826
    +g978
    +tp16683
    +a(g423
    +Vp10
    +p16684
    +tp16685
    +a(g693
    +g976
    +tp16686
    +a(g826
    +g978
    +tp16687
    +a(g423
    +Vp11
    +p16688
    +tp16689
    +a(g693
    +g976
    +tp16690
    +a(g826
    +g978
    +tp16691
    +a(g423
    +Vp12
    +p16692
    +tp16693
    +a(g693
    +g976
    +tp16694
    +a(g826
    +g978
    +tp16695
    +a(g423
    +VNone
    +p16696
    +tp16697
    +a(g693
    +g976
    +tp16698
    +a(g826
    +g978
    +tp16699
    +a(g423
    +Vi78
    +p16700
    +tp16701
    +a(g693
    +g976
    +tp16702
    +a(g826
    +g978
    +tp16703
    +a(g423
    +Vi85
    +p16704
    +tp16705
    +a(g693
    +g976
    +tp16706
    +a(g826
    +g978
    +tp16707
    +a(g423
    +VNone
    +p16708
    +tp16709
    +a(g693
    +g976
    +tp16710
    +a(g826
    +g978
    +tp16711
    +a(g423
    +Vi68
    +p16712
    +tp16713
    +a(g693
    +g976
    +tp16714
    +a(g826
    +g978
    +tp16715
    +a(g423
    +VNone
    +p16716
    +tp16717
    +a(g693
    +g976
    +tp16718
    +a(g826
    +g978
    +tp16719
    +a(g423
    +VNone
    +p16720
    +tp16721
    +a(g693
    +g976
    +tp16722
    +a(g826
    +g978
    +tp16723
    +a(g423
    +Vi32
    +p16724
    +tp16725
    +a(g693
    +g976
    +tp16726
    +a(g826
    +g978
    +tp16727
    +a(g423
    +Vp18
    +p16728
    +tp16729
    +a(g693
    +g976
    +tp16730
    +a(g826
    +g978
    +tp16731
    +a(g423
    +VNone
    +p16732
    +tp16733
    +a(g693
    +g976
    +tp16734
    +a(g826
    +g978
    +tp16735
    +a(g423
    +Vf16
    +p16736
    +tp16737
    +a(g693
    +g976
    +tp16738
    +a(g826
    +g978
    +tp16739
    +a(g423
    +Vf17
    +p16740
    +tp16741
    +a(g693
    +g1098
    +tp16742
    +a(g826
    +V\u000a
    +p16743
    +tp16744
    +a(g423
    +Vi95
    +p16745
    +tp16746
    +a(g826
    +g978
    +tp16747
    +a(g693
    +g1119
    +tp16748
    +a(g826
    +g978
    +tp16749
    +a(g669
    +Vgetfield_gc
    +p16750
    +tp16751
    +a(g693
    +g1104
    +tp16752
    +a(g423
    +Vp13
    +p16753
    +tp16754
    +a(g693
    +g976
    +tp16755
    +a(g826
    +g978
    +tp16756
    +a(g423
    +Vdescr
    +p16757
    +tp16758
    +a(g693
    +g1119
    +tp16759
    +a(g669
    +V
    +p16760
    +tp16761
    +a(g693
    +g1112
    +tp16762
    +a(g826
    +V\u000a
    +p16763
    +tp16764
    +a(g423
    +Vf96
    +p16765
    +tp16766
    +a(g826
    +g978
    +tp16767
    +a(g693
    +g1119
    +tp16768
    +a(g826
    +g978
    +tp16769
    +a(g669
    +Vgetarrayitem_raw
    +p16770
    +tp16771
    +a(g693
    +g1104
    +tp16772
    +a(g423
    +Vi95
    +p16773
    +tp16774
    +a(g693
    +g976
    +tp16775
    +a(g826
    +g978
    +tp16776
    +a(g423
    +Vi90
    +p16777
    +tp16778
    +a(g693
    +g976
    +tp16779
    +a(g826
    +g978
    +tp16780
    +a(g423
    +Vdescr
    +p16781
    +tp16782
    +a(g693
    +g1119
    +tp16783
    +a(g669
    +V
    +p16784
    +tp16785
    +a(g693
    +g1112
    +tp16786
    +a(g826
    +V\u000a
    +p16787
    +tp16788
    +a(g616
    +Vdebug_merge_point
    +p16789
    +tp16790
    +a(g693
    +g1104
    +tp16791
    +a(g76
    +V' #146 STORE_FAST'
    +p16792
    +tp16793
    +a(g693
    +g976
    +tp16794
    +a(g826
    +g978
    +tp16795
    +a(g22
    +g1110
    +tp16796
    +a(g693
    +g1112
    +tp16797
    +a(g826
    +V\u000a
    +p16798
    +tp16799
    +a(g616
    +Vdebug_merge_point
    +p16800
    +tp16801
    +a(g693
    +g1104
    +tp16802
    +a(g76
    +V' #149 LOAD_FAST'
    +p16803
    +tp16804
    +a(g693
    +g976
    +tp16805
    +a(g826
    +g978
    +tp16806
    +a(g22
    +g1110
    +tp16807
    +a(g693
    +g1112
    +tp16808
    +a(g826
    +V\u000a
    +p16809
    +tp16810
    +a(g616
    +Vdebug_merge_point
    +p16811
    +tp16812
    +a(g693
    +g1104
    +tp16813
    +a(g76
    +V' #152 LOAD_FAST'
    +p16814
    +tp16815
    +a(g693
    +g976
    +tp16816
    +a(g826
    +g978
    +tp16817
    +a(g22
    +g1110
    +tp16818
    +a(g693
    +g1112
    +tp16819
    +a(g826
    +V\u000a
    +p16820
    +tp16821
    +a(g616
    +Vdebug_merge_point
    +p16822
    +tp16823
    +a(g693
    +g1104
    +tp16824
    +a(g76
    +V' #155 LOAD_CONST'
    +p16825
    +tp16826
    +a(g693
    +g976
    +tp16827
    +a(g826
    +g978
    +tp16828
    +a(g22
    +g1110
    +tp16829
    +a(g693
    +g1112
    +tp16830
    +a(g826
    +V\u000a
    +p16831
    +tp16832
    +a(g616
    +Vdebug_merge_point
    +p16833
    +tp16834
    +a(g693
    +g1104
    +tp16835
    +a(g76
    +V' #158 BINARY_SUBTRACT'
    +p16836
    +tp16837
    +a(g693
    +g976
    +tp16838
    +a(g826
    +g978
    +tp16839
    +a(g22
    +g1110
    +tp16840
    +a(g693
    +g1112
    +tp16841
    +a(g826
    +V\u000a
    +p16842
    +tp16843
    +a(g423
    +Vi98
    +p16844
    +tp16845
    +a(g826
    +g978
    +tp16846
    +a(g693
    +g1119
    +tp16847
    +a(g826
    +g978
    +tp16848
    +a(g669
    +Vint_sub_ovf
    +p16849
    +tp16850
    +a(g693
    +g1104
    +tp16851
    +a(g423
    +Vi32
    +p16852
    +tp16853
    +a(g693
    +g976
    +tp16854
    +a(g826
    +g978
    +tp16855
    +a(g22
    +g1250
    +tp16856
    +a(g693
    +g1112
    +tp16857
    +a(g826
    +V\u000a
    +p16858
    +tp16859
    +a(g669
    +Vguard_no_overflow
    +p16860
    +tp16861
    +a(g693
    +g1104
    +tp16862
    +a(g693
    +g976
    +tp16863
    +a(g826
    +g978
    +tp16864
    +a(g423
    +Vdescr
    +p16865
    +tp16866
    +a(g693
    +g1119
    +tp16867
    +a(g669
    +V
    +p16868
    +tp16869
    +a(g693
    +g1112
    +tp16870
    +a(g826
    +g978
    +tp16871
    +a(g693
    +g972
    +tp16872
    +a(g423
    +Vp0
    +p16873
    +tp16874
    +a(g693
    +g976
    +tp16875
    +a(g826
    +g978
    +tp16876
    +a(g423
    +Vp1
    +p16877
    +tp16878
    +a(g693
    +g976
    +tp16879
    +a(g826
    +g978
    +tp16880
    +a(g423
    +Vi98
    +p16881
    +tp16882
    +a(g693
    +g976
    +tp16883
    +a(g826
    +g978
    +tp16884
    +a(g423
    +Vp4
    +p16885
    +tp16886
    +a(g693
    +g976
    +tp16887
    +a(g826
    +g978
    +tp16888
    +a(g423
    +Vp5
    +p16889
    +tp16890
    +a(g693
    +g976
    +tp16891
    +a(g826
    +g978
    +tp16892
    +a(g423
    +Vp13
    +p16893
    +tp16894
    +a(g693
    +g976
    +tp16895
    +a(g826
    +g978
    +tp16896
    +a(g423
    +Vp6
    +p16897
    +tp16898
    +a(g693
    +g976
    +tp16899
    +a(g826
    +g978
    +tp16900
    +a(g423
    +Vp7
    +p16901
    +tp16902
    +a(g693
    +g976
    +tp16903
    +a(g826
    +g978
    +tp16904
    +a(g423
    +Vp8
    +p16905
    +tp16906
    +a(g693
    +g976
    +tp16907
    +a(g826
    +g978
    +tp16908
    +a(g423
    +Vp9
    +p16909
    +tp16910
    +a(g693
    +g976
    +tp16911
    +a(g826
    +g978
    +tp16912
    +a(g423
    +Vp10
    +p16913
    +tp16914
    +a(g693
    +g976
    +tp16915
    +a(g826
    +g978
    +tp16916
    +a(g423
    +Vp11
    +p16917
    +tp16918
    +a(g693
    +g976
    +tp16919
    +a(g826
    +g978
    +tp16920
    +a(g423
    +Vp12
    +p16921
    +tp16922
    +a(g693
    +g976
    +tp16923
    +a(g826
    +g978
    +tp16924
    +a(g423
    +Vf96
    +p16925
    +tp16926
    +a(g693
    +g976
    +tp16927
    +a(g826
    +g978
    +tp16928
    +a(g423
    +VNone
    +p16929
    +tp16930
    +a(g693
    +g976
    +tp16931
    +a(g826
    +g978
    +tp16932
    +a(g423
    +Vi78
    +p16933
    +tp16934
    +a(g693
    +g976
    +tp16935
    +a(g826
    +g978
    +tp16936
    +a(g423
    +Vi85
    +p16937
    +tp16938
    +a(g693
    +g976
    +tp16939
    +a(g826
    +g978
    +tp16940
    +a(g423
    +VNone
    +p16941
    +tp16942
    +a(g693
    +g976
    +tp16943
    +a(g826
    +g978
    +tp16944
    +a(g423
    +Vi68
    +p16945
    +tp16946
    +a(g693
    +g976
    +tp16947
    +a(g826
    +g978
    +tp16948
    +a(g423
    +VNone
    +p16949
    +tp16950
    +a(g693
    +g976
    +tp16951
    +a(g826
    +g978
    +tp16952
    +a(g423
    +VNone
    +p16953
    +tp16954
    +a(g693
    +g976
    +tp16955
    +a(g826
    +g978
    +tp16956
    +a(g423
    +Vi32
    +p16957
    +tp16958
    +a(g693
    +g976
    +tp16959
    +a(g826
    +g978
    +tp16960
    +a(g423
    +Vp18
    +p16961
    +tp16962
    +a(g693
    +g976
    +tp16963
    +a(g826
    +g978
    +tp16964
    +a(g423
    +VNone
    +p16965
    +tp16966
    +a(g693
    +g976
    +tp16967
    +a(g826
    +g978
    +tp16968
    +a(g423
    +VNone
    +p16969
    +tp16970
    +a(g693
    +g976
    +tp16971
    +a(g826
    +g978
    +tp16972
    +a(g423
    +Vf17
    +p16973
    +tp16974
    +a(g693
    +g1098
    +tp16975
    +a(g826
    +V\u000a
    +p16976
    +tp16977
    +a(g616
    +Vdebug_merge_point
    +p16978
    +tp16979
    +a(g693
    +g1104
    +tp16980
    +a(g76
    +V' #159 LOAD_FAST'
    +p16981
    +tp16982
    +a(g693
    +g976
    +tp16983
    +a(g826
    +g978
    +tp16984
    +a(g22
    +g1110
    +tp16985
    +a(g693
    +g1112
    +tp16986
    +a(g826
    +V\u000a
    +p16987
    +tp16988
    +a(g616
    +Vdebug_merge_point
    +p16989
    +tp16990
    +a(g693
    +g1104
    +tp16991
    +a(g76
    +V' #162 BINARY_MULTIPLY'
    +p16992
    +tp16993
    +a(g693
    +g976
    +tp16994
    +a(g826
    +g978
    +tp16995
    +a(g22
    +g1110
    +tp16996
    +a(g693
    +g1112
    +tp16997
    +a(g826
    +V\u000a
    +p16998
    +tp16999
    +a(g423
    +Vi99
    +p17000
    +tp17001
    +a(g826
    +g978
    +tp17002
    +a(g693
    +g1119
    +tp17003
    +a(g826
    +g978
    +tp17004
    +a(g669
    +Vint_mul_ovf
    +p17005
    +tp17006
    +a(g693
    +g1104
    +tp17007
    +a(g423
    +Vi98
    +p17008
    +tp17009
    +a(g693
    +g976
    +tp17010
    +a(g826
    +g978
    +tp17011
    +a(g423
    +Vi88
    +p17012
    +tp17013
    +a(g693
    +g1112
    +tp17014
    +a(g826
    +V\u000a
    +p17015
    +tp17016
    +a(g669
    +Vguard_no_overflow
    +p17017
    +tp17018
    +a(g693
    +g1104
    +tp17019
    +a(g693
    +g976
    +tp17020
    +a(g826
    +g978
    +tp17021
    +a(g423
    +Vdescr
    +p17022
    +tp17023
    +a(g693
    +g1119
    +tp17024
    +a(g669
    +V
    +p17025
    +tp17026
    +a(g693
    +g1112
    +tp17027
    +a(g826
    +g978
    +tp17028
    +a(g693
    +g972
    +tp17029
    +a(g423
    +Vp0
    +p17030
    +tp17031
    +a(g693
    +g976
    +tp17032
    +a(g826
    +g978
    +tp17033
    +a(g423
    +Vp1
    +p17034
    +tp17035
    +a(g693
    +g976
    +tp17036
    +a(g826
    +g978
    +tp17037
    +a(g423
    +Vp11
    +p17038
    +tp17039
    +a(g693
    +g976
    +tp17040
    +a(g826
    +g978
    +tp17041
    +a(g423
    +Vi99
    +p17042
    +tp17043
    +a(g693
    +g976
    +tp17044
    +a(g826
    +g978
    +tp17045
    +a(g423
    +Vp4
    +p17046
    +tp17047
    +a(g693
    +g976
    +tp17048
    +a(g826
    +g978
    +tp17049
    +a(g423
    +Vp5
    +p17050
    +tp17051
    +a(g693
    +g976
    +tp17052
    +a(g826
    +g978
    +tp17053
    +a(g423
    +Vp13
    +p17054
    +tp17055
    +a(g693
    +g976
    +tp17056
    +a(g826
    +g978
    +tp17057
    +a(g423
    +Vp6
    +p17058
    +tp17059
    +a(g693
    +g976
    +tp17060
    +a(g826
    +g978
    +tp17061
    +a(g423
    +Vp7
    +p17062
    +tp17063
    +a(g693
    +g976
    +tp17064
    +a(g826
    +g978
    +tp17065
    +a(g423
    +Vp8
    +p17066
    +tp17067
    +a(g693
    +g976
    +tp17068
    +a(g826
    +g978
    +tp17069
    +a(g423
    +Vp9
    +p17070
    +tp17071
    +a(g693
    +g976
    +tp17072
    +a(g826
    +g978
    +tp17073
    +a(g423
    +Vp10
    +p17074
    +tp17075
    +a(g693
    +g976
    +tp17076
    +a(g826
    +g978
    +tp17077
    +a(g423
    +Vp12
    +p17078
    +tp17079
    +a(g693
    +g976
    +tp17080
    +a(g826
    +g978
    +tp17081
    +a(g423
    +Vi98
    +p17082
    +tp17083
    +a(g693
    +g976
    +tp17084
    +a(g826
    +g978
    +tp17085
    +a(g423
    +Vf96
    +p17086
    +tp17087
    +a(g693
    +g976
    +tp17088
    +a(g826
    +g978
    +tp17089
    +a(g423
    +VNone
    +p17090
    +tp17091
    +a(g693
    +g976
    +tp17092
    +a(g826
    +g978
    +tp17093
    +a(g423
    +Vi78
    +p17094
    +tp17095
    +a(g693
    +g976
    +tp17096
    +a(g826
    +g978
    +tp17097
    +a(g423
    +Vi85
    +p17098
    +tp17099
    +a(g693
    +g976
    +tp17100
    +a(g826
    +g978
    +tp17101
    +a(g423
    +VNone
    +p17102
    +tp17103
    +a(g693
    +g976
    +tp17104
    +a(g826
    +g978
    +tp17105
    +a(g423
    +Vi68
    +p17106
    +tp17107
    +a(g693
    +g976
    +tp17108
    +a(g826
    +g978
    +tp17109
    +a(g423
    +VNone
    +p17110
    +tp17111
    +a(g693
    +g976
    +tp17112
    +a(g826
    +g978
    +tp17113
    +a(g423
    +VNone
    +p17114
    +tp17115
    +a(g693
    +g976
    +tp17116
    +a(g826
    +g978
    +tp17117
    +a(g423
    +Vi32
    +p17118
    +tp17119
    +a(g693
    +g976
    +tp17120
    +a(g826
    +g978
    +tp17121
    +a(g423
    +Vp18
    +p17122
    +tp17123
    +a(g693
    +g976
    +tp17124
    +a(g826
    +g978
    +tp17125
    +a(g423
    +VNone
    +p17126
    +tp17127
    +a(g693
    +g976
    +tp17128
    +a(g826
    +g978
    +tp17129
    +a(g423
    +VNone
    +p17130
    +tp17131
    +a(g693
    +g976
    +tp17132
    +a(g826
    +g978
    +tp17133
    +a(g423
    +Vf17
    +p17134
    +tp17135
    +a(g693
    +g1098
    +tp17136
    +a(g826
    +V\u000a
    +p17137
    +tp17138
    +a(g616
    +Vdebug_merge_point
    +p17139
    +tp17140
    +a(g693
    +g1104
    +tp17141
    +a(g76
    +V' #163 LOAD_FAST'
    +p17142
    +tp17143
    +a(g693
    +g976
    +tp17144
    +a(g826
    +g978
    +tp17145
    +a(g22
    +g1110
    +tp17146
    +a(g693
    +g1112
    +tp17147
    +a(g826
    +V\u000a
    +p17148
    +tp17149
    +a(g616
    +Vdebug_merge_point
    +p17150
    +tp17151
    +a(g693
    +g1104
    +tp17152
    +a(g76
    +V' #166 BINARY_ADD'
    +p17153
    +tp17154
    +a(g693
    +g976
    +tp17155
    +a(g826
    +g978
    +tp17156
    +a(g22
    +g1110
    +tp17157
    +a(g693
    +g1112
    +tp17158
    +a(g826
    +V\u000a
    +p17159
    +tp17160
    +a(g423
    +Vi100
    +p17161
    +tp17162
    +a(g826
    +g978
    +tp17163
    +a(g693
    +g1119
    +tp17164
    +a(g826
    +g978
    +tp17165
    +a(g669
    +Vint_add_ovf
    +p17166
    +tp17167
    +a(g693
    +g1104
    +tp17168
    +a(g423
    +Vi99
    +p17169
    +tp17170
    +a(g693
    +g976
    +tp17171
    +a(g826
    +g978
    +tp17172
    +a(g22
    +g1250
    +tp17173
    +a(g693
    +g1112
    +tp17174
    +a(g826
    +V\u000a
    +p17175
    +tp17176
    +a(g669
    +Vguard_no_overflow
    +p17177
    +tp17178
    +a(g693
    +g1104
    +tp17179
    +a(g693
    +g976
    +tp17180
    +a(g826
    +g978
    +tp17181
    +a(g423
    +Vdescr
    +p17182
    +tp17183
    +a(g693
    +g1119
    +tp17184
    +a(g669
    +V
    +p17185
    +tp17186
    +a(g693
    +g1112
    +tp17187
    +a(g826
    +g978
    +tp17188
    +a(g693
    +g972
    +tp17189
    +a(g423
    +Vp0
    +p17190
    +tp17191
    +a(g693
    +g976
    +tp17192
    +a(g826
    +g978
    +tp17193
    +a(g423
    +Vp1
    +p17194
    +tp17195
    +a(g693
    +g976
    +tp17196
    +a(g826
    +g978
    +tp17197
    +a(g423
    +Vi100
    +p17198
    +tp17199
    +a(g693
    +g976
    +tp17200
    +a(g826
    +g978
    +tp17201
    +a(g423
    +Vp4
    +p17202
    +tp17203
    +a(g693
    +g976
    +tp17204
    +a(g826
    +g978
    +tp17205
    +a(g423
    +Vp5
    +p17206
    +tp17207
    +a(g693
    +g976
    +tp17208
    +a(g826
    +g978
    +tp17209
    +a(g423
    +Vp13
    +p17210
    +tp17211
    +a(g693
    +g976
    +tp17212
    +a(g826
    +g978
    +tp17213
    +a(g423
    +Vp6
    +p17214
    +tp17215
    +a(g693
    +g976
    +tp17216
    +a(g826
    +g978
    +tp17217
    +a(g423
    +Vp7
    +p17218
    +tp17219
    +a(g693
    +g976
    +tp17220
    +a(g826
    +g978
    +tp17221
    +a(g423
    +Vp8
    +p17222
    +tp17223
    +a(g693
    +g976
    +tp17224
    +a(g826
    +g978
    +tp17225
    +a(g423
    +Vp9
    +p17226
    +tp17227
    +a(g693
    +g976
    +tp17228
    +a(g826
    +g978
    +tp17229
    +a(g423
    +Vp10
    +p17230
    +tp17231
    +a(g693
    +g976
    +tp17232
    +a(g826
    +g978
    +tp17233
    +a(g423
    +Vp11
    +p17234
    +tp17235
    +a(g693
    +g976
    +tp17236
    +a(g826
    +g978
    +tp17237
    +a(g423
    +Vp12
    +p17238
    +tp17239
    +a(g693
    +g976
    +tp17240
    +a(g826
    +g978
    +tp17241
    +a(g423
    +Vi99
    +p17242
    +tp17243
    +a(g693
    +g976
    +tp17244
    +a(g826
    +g978
    +tp17245
    +a(g423
    +VNone
    +p17246
    +tp17247
    +a(g693
    +g976
    +tp17248
    +a(g826
    +g978
    +tp17249
    +a(g423
    +Vf96
    +p17250
    +tp17251
    +a(g693
    +g976
    +tp17252
    +a(g826
    +g978
    +tp17253
    +a(g423
    +VNone
    +p17254
    +tp17255
    +a(g693
    +g976
    +tp17256
    +a(g826
    +g978
    +tp17257
    +a(g423
    +Vi78
    +p17258
    +tp17259
    +a(g693
    +g976
    +tp17260
    +a(g826
    +g978
    +tp17261
    +a(g423
    +Vi85
    +p17262
    +tp17263
    +a(g693
    +g976
    +tp17264
    +a(g826
    +g978
    +tp17265
    +a(g423
    +VNone
    +p17266
    +tp17267
    +a(g693
    +g976
    +tp17268
    +a(g826
    +g978
    +tp17269
    +a(g423
    +Vi68
    +p17270
    +tp17271
    +a(g693
    +g976
    +tp17272
    +a(g826
    +g978
    +tp17273
    +a(g423
    +VNone
    +p17274
    +tp17275
    +a(g693
    +g976
    +tp17276
    +a(g826
    +g978
    +tp17277
    +a(g423
    +VNone
    +p17278
    +tp17279
    +a(g693
    +g976
    +tp17280
    +a(g826
    +g978
    +tp17281
    +a(g423
    +Vi32
    +p17282
    +tp17283
    +a(g693
    +g976
    +tp17284
    +a(g826
    +g978
    +tp17285
    +a(g423
    +Vp18
    +p17286
    +tp17287
    +a(g693
    +g976
    +tp17288
    +a(g826
    +g978
    +tp17289
    +a(g423
    +VNone
    +p17290
    +tp17291
    +a(g693
    +g976
    +tp17292
    +a(g826
    +g978
    +tp17293
    +a(g423
    +VNone
    +p17294
    +tp17295
    +a(g693
    +g976
    +tp17296
    +a(g826
    +g978
    +tp17297
    +a(g423
    +Vf17
    +p17298
    +tp17299
    +a(g693
    +g1098
    +tp17300
    +a(g826
    +V\u000a
    +p17301
    +tp17302
    +a(g616
    +Vdebug_merge_point
    +p17303
    +tp17304
    +a(g693
    +g1104
    +tp17305
    +a(g76
    +V' #167 BINARY_SUBSCR'
    +p17306
    +tp17307
    +a(g693
    +g976
    +tp17308
    +a(g826
    +g978
    +tp17309
    +a(g22
    +g1110
    +tp17310
    +a(g693
    +g1112
    +tp17311
    +a(g826
    +V\u000a
    +p17312
    +tp17313
    +a(g423
    +Vi102
    +p17314
    +tp17315
    +a(g826
    +g978
    +tp17316
    +a(g693
    +g1119
    +tp17317
    +a(g826
    +g978
    +tp17318
    +a(g669
    +Vint_lt
    +p17319
    +tp17320
    +a(g693
    +g1104
    +tp17321
    +a(g423
    +Vi100
    +p17322
    +tp17323
    +a(g693
    +g976
    +tp17324
    +a(g826
    +g978
    +tp17325
    +a(g22
    +g1110
    +tp17326
    +a(g693
    +g1112
    +tp17327
    +a(g826
    +V\u000a
    +p17328
    +tp17329
    +a(g669
    +Vguard_false
    +p17330
    +tp17331
    +a(g693
    +g1104
    +tp17332
    +a(g423
    +Vi102
    +p17333
    +tp17334
    +a(g693
    +g976
    +tp17335
    +a(g826
    +g978
    +tp17336
    +a(g423
    +Vdescr
    +p17337
    +tp17338
    +a(g693
    +g1119
    +tp17339
    +a(g669
    +V
    +p17340
    +tp17341
    +a(g693
    +g1112
    +tp17342
    +a(g826
    +g978
    +tp17343
    +a(g693
    +g972
    +tp17344
    +a(g423
    +Vp0
    +p17345
    +tp17346
    +a(g693
    +g976
    +tp17347
    +a(g826
    +g978
    +tp17348
    +a(g423
    +Vp1
    +p17349
    +tp17350
    +a(g693
    +g976
    +tp17351
    +a(g826
    +g978
    +tp17352
    +a(g423
    +Vp13
    +p17353
    +tp17354
    +a(g693
    +g976
    +tp17355
    +a(g826
    +g978
    +tp17356
    +a(g423
    +Vi100
    +p17357
    +tp17358
    +a(g693
    +g976
    +tp17359
    +a(g826
    +g978
    +tp17360
    +a(g423
    +Vi91
    +p17361
    +tp17362
    +a(g693
    +g976
    +tp17363
    +a(g826
    +g978
    +tp17364
    +a(g423
    +Vp4
    +p17365
    +tp17366
    +a(g693
    +g976
    +tp17367
    +a(g826
    +g978
    +tp17368
    +a(g423
    +Vp5
    +p17369
    +tp17370
    +a(g693
    +g976
    +tp17371
    +a(g826
    +g978
    +tp17372
    +a(g423
    +Vp6
    +p17373
    +tp17374
    +a(g693
    +g976
    +tp17375
    +a(g826
    +g978
    +tp17376
    +a(g423
    +Vp7
    +p17377
    +tp17378
    +a(g693
    +g976
    +tp17379
    +a(g826
    +g978
    +tp17380
    +a(g423
    +Vp8
    +p17381
    +tp17382
    +a(g693
    +g976
    +tp17383
    +a(g826
    +g978
    +tp17384
    +a(g423
    +Vp9
    +p17385
    +tp17386
    +a(g693
    +g976
    +tp17387
    +a(g826
    +g978
    +tp17388
    +a(g423
    +Vp10
    +p17389
    +tp17390
    +a(g693
    +g976
    +tp17391
    +a(g826
    +g978
    +tp17392
    +a(g423
    +Vp11
    +p17393
    +tp17394
    +a(g693
    +g976
    +tp17395
    +a(g826
    +g978
    +tp17396
    +a(g423
    +Vp12
    +p17397
    +tp17398
    +a(g693
    +g976
    +tp17399
    +a(g826
    +g978
    +tp17400
    +a(g423
    +VNone
    +p17401
    +tp17402
    +a(g693
    +g976
    +tp17403
    +a(g826
    +g978
    +tp17404
    +a(g423
    +VNone
    +p17405
    +tp17406
    +a(g693
    +g976
    +tp17407
    +a(g826
    +g978
    +tp17408
    +a(g423
    +Vf96
    +p17409
    +tp17410
    +a(g693
    +g976
    +tp17411
    +a(g826
    +g978
    +tp17412
    +a(g423
    +VNone
    +p17413
    +tp17414
    +a(g693
    +g976
    +tp17415
    +a(g826
    +g978
    +tp17416
    +a(g423
    +Vi78
    +p17417
    +tp17418
    +a(g693
    +g976
    +tp17419
    +a(g826
    +g978
    +tp17420
    +a(g423
    +Vi85
    +p17421
    +tp17422
    +a(g693
    +g976
    +tp17423
    +a(g826
    +g978
    +tp17424
    +a(g423
    +VNone
    +p17425
    +tp17426
    +a(g693
    +g976
    +tp17427
    +a(g826
    +g978
    +tp17428
    +a(g423
    +Vi68
    +p17429
    +tp17430
    +a(g693
    +g976
    +tp17431
    +a(g826
    +g978
    +tp17432
    +a(g423
    +VNone
    +p17433
    +tp17434
    +a(g693
    +g976
    +tp17435
    +a(g826
    +g978
    +tp17436
    +a(g423
    +VNone
    +p17437
    +tp17438
    +a(g693
    +g976
    +tp17439
    +a(g826
    +g978
    +tp17440
    +a(g423
    +Vi32
    +p17441
    +tp17442
    +a(g693
    +g976
    +tp17443
    +a(g826
    +g978
    +tp17444
    +a(g423
    +Vp18
    +p17445
    +tp17446
    +a(g693
    +g976
    +tp17447
    +a(g826
    +g978
    +tp17448
    +a(g423
    +VNone
    +p17449
    +tp17450
    +a(g693
    +g976
    +tp17451
    +a(g826
    +g978
    +tp17452
    +a(g423
    +VNone
    +p17453
    +tp17454
    +a(g693
    +g976
    +tp17455
    +a(g826
    +g978
    +tp17456
    +a(g423
    +Vf17
    +p17457
    +tp17458
    +a(g693
    +g1098
    +tp17459
    +a(g826
    +V\u000a
    +p17460
    +tp17461
    +a(g423
    +Vi103
    +p17462
    +tp17463
    +a(g826
    +g978
    +tp17464
    +a(g693
    +g1119
    +tp17465
    +a(g826
    +g978
    +tp17466
    +a(g669
    +Vint_lt
    +p17467
    +tp17468
    +a(g693
    +g1104
    +tp17469
    +a(g423
    +Vi100
    +p17470
    +tp17471
    +a(g693
    +g976
    +tp17472
    +a(g826
    +g978
    +tp17473
    +a(g423
    +Vi91
    +p17474
    +tp17475
    +a(g693
    +g1112
    +tp17476
    +a(g826
    +V\u000a
    +p17477
    +tp17478
    +a(g669
    +Vguard_true
    +p17479
    +tp17480
    +a(g693
    +g1104
    +tp17481
    +a(g423
    +Vi103
    +p17482
    +tp17483
    +a(g693
    +g976
    +tp17484
    +a(g826
    +g978
    +tp17485
    +a(g423
    +Vdescr
    +p17486
    +tp17487
    +a(g693
    +g1119
    +tp17488
    +a(g669
    +V
    +p17489
    +tp17490
    +a(g693
    +g1112
    +tp17491
    +a(g826
    +g978
    +tp17492
    +a(g693
    +g972
    +tp17493
    +a(g423
    +Vp0
    +p17494
    +tp17495
    +a(g693
    +g976
    +tp17496
    +a(g826
    +g978
    +tp17497
    +a(g423
    +Vp1
    +p17498
    +tp17499
    +a(g693
    +g976
    +tp17500
    +a(g826
    +g978
    +tp17501
    +a(g423
    +Vp13
    +p17502
    +tp17503
    +a(g693
    +g976
    +tp17504
    +a(g826
    +g978
    +tp17505
    +a(g423
    +Vi100
    +p17506
    +tp17507
    +a(g693
    +g976
    +tp17508
    +a(g826
    +g978
    +tp17509
    +a(g423
    +Vp4
    +p17510
    +tp17511
    +a(g693
    +g976
    +tp17512
    +a(g826
    +g978
    +tp17513
    +a(g423
    +Vp5
    +p17514
    +tp17515
    +a(g693
    +g976
    +tp17516
    +a(g826
    +g978
    +tp17517
    +a(g423
    +Vp6
    +p17518
    +tp17519
    +a(g693
    +g976
    +tp17520
    +a(g826
    +g978
    +tp17521
    +a(g423
    +Vp7
    +p17522
    +tp17523
    +a(g693
    +g976
    +tp17524
    +a(g826
    +g978
    +tp17525
    +a(g423
    +Vp8
    +p17526
    +tp17527
    +a(g693
    +g976
    +tp17528
    +a(g826
    +g978
    +tp17529
    +a(g423
    +Vp9
    +p17530
    +tp17531
    +a(g693
    +g976
    +tp17532
    +a(g826
    +g978
    +tp17533
    +a(g423
    +Vp10
    +p17534
    +tp17535
    +a(g693
    +g976
    +tp17536
    +a(g826
    +g978
    +tp17537
    +a(g423
    +Vp11
    +p17538
    +tp17539
    +a(g693
    +g976
    +tp17540
    +a(g826
    +g978
    +tp17541
    +a(g423
    +Vp12
    +p17542
    +tp17543
    +a(g693
    +g976
    +tp17544
    +a(g826
    +g978
    +tp17545
    +a(g423
    +VNone
    +p17546
    +tp17547
    +a(g693
    +g976
    +tp17548
    +a(g826
    +g978
    +tp17549
    +a(g423
    +VNone
    +p17550
    +tp17551
    +a(g693
    +g976
    +tp17552
    +a(g826
    +g978
    +tp17553
    +a(g423
    +Vf96
    +p17554
    +tp17555
    +a(g693
    +g976
    +tp17556
    +a(g826
    +g978
    +tp17557
    +a(g423
    +VNone
    +p17558
    +tp17559
    +a(g693
    +g976
    +tp17560
    +a(g826
    +g978
    +tp17561
    +a(g423
    +Vi78
    +p17562
    +tp17563
    +a(g693
    +g976
    +tp17564
    +a(g826
    +g978
    +tp17565
    +a(g423
    +Vi85
    +p17566
    +tp17567
    +a(g693
    +g976
    +tp17568
    +a(g826
    +g978
    +tp17569
    +a(g423
    +VNone
    +p17570
    +tp17571
    +a(g693
    +g976
    +tp17572
    +a(g826
    +g978
    +tp17573
    +a(g423
    +Vi68
    +p17574
    +tp17575
    +a(g693
    +g976
    +tp17576
    +a(g826
    +g978
    +tp17577
    +a(g423
    +VNone
    +p17578
    +tp17579
    +a(g693
    +g976
    +tp17580
    +a(g826
    +g978
    +tp17581
    +a(g423
    +VNone
    +p17582
    +tp17583
    +a(g693
    +g976
    +tp17584
    +a(g826
    +g978
    +tp17585
    +a(g423
    +Vi32
    +p17586
    +tp17587
    +a(g693
    +g976
    +tp17588
    +a(g826
    +g978
    +tp17589
    +a(g423
    +Vp18
    +p17590
    +tp17591
    +a(g693
    +g976
    +tp17592
    +a(g826
    +g978
    +tp17593
    +a(g423
    +VNone
    +p17594
    +tp17595
    +a(g693
    +g976
    +tp17596
    +a(g826
    +g978
    +tp17597
    +a(g423
    +VNone
    +p17598
    +tp17599
    +a(g693
    +g976
    +tp17600
    +a(g826
    +g978
    +tp17601
    +a(g423
    +Vf17
    +p17602
    +tp17603
    +a(g693
    +g1098
    +tp17604
    +a(g826
    +V\u000a
    +p17605
    +tp17606
    +a(g423
    +Vf104
    +p17607
    +tp17608
    +a(g826
    +g978
    +tp17609
    +a(g693
    +g1119
    +tp17610
    +a(g826
    +g978
    +tp17611
    +a(g669
    +Vgetarrayitem_raw
    +p17612
    +tp17613
    +a(g693
    +g1104
    +tp17614
    +a(g423
    +Vi95
    +p17615
    +tp17616
    +a(g693
    +g976
    +tp17617
    +a(g826
    +g978
    +tp17618
    +a(g423
    +Vi100
    +p17619
    +tp17620
    +a(g693
    +g976
    +tp17621
    +a(g826
    +g978
    +tp17622
    +a(g423
    +Vdescr
    +p17623
    +tp17624
    +a(g693
    +g1119
    +tp17625
    +a(g669
    +V
    +p17626
    +tp17627
    +a(g693
    +g1112
    +tp17628
    +a(g826
    +V\u000a
    +p17629
    +tp17630
    +a(g616
    +Vdebug_merge_point
    +p17631
    +tp17632
    +a(g693
    +g1104
    +tp17633
    +a(g76
    +V' #168 LOAD_FAST'
    +p17634
    +tp17635
    +a(g693
    +g976
    +tp17636
    +a(g826
    +g978
    +tp17637
    +a(g22
    +g1110
    +tp17638
    +a(g693
    +g1112
    +tp17639
    +a(g826
    +V\u000a
    +p17640
    +tp17641
    +a(g616
    +Vdebug_merge_point
    +p17642
    +tp17643
    +a(g693
    +g1104
    +tp17644
    +a(g76
    +V' #171 LOAD_FAST'
    +p17645
    +tp17646
    +a(g693
    +g976
    +tp17647
    +a(g826
    +g978
    +tp17648
    +a(g22
    +g1110
    +tp17649
    +a(g693
    +g1112
    +tp17650
    +a(g826
    +V\u000a
    +p17651
    +tp17652
    +a(g616
    +Vdebug_merge_point
    +p17653
    +tp17654
    +a(g693
    +g1104
    +tp17655
    +a(g76
    +V' #174 LOAD_CONST'
    +p17656
    +tp17657
    +a(g693
    +g976
    +tp17658
    +a(g826
    +g978
    +tp17659
    +a(g22
    +g1110
    +tp17660
    +a(g693
    +g1112
    +tp17661
    +a(g826
    +V\u000a
    +p17662
    +tp17663
    +a(g616
    +Vdebug_merge_point
    +p17664
    +tp17665
    +a(g693
    +g1104
    +tp17666
    +a(g76
    +V' #177 BINARY_ADD'
    +p17667
    +tp17668
    +a(g693
    +g976
    +tp17669
    +a(g826
    +g978
    +tp17670
    +a(g22
    +g1110
    +tp17671
    +a(g693
    +g1112
    +tp17672
    +a(g826
    +V\u000a
    +p17673
    +tp17674
    +a(g423
    +Vi106
    +p17675
    +tp17676
    +a(g826
    +g978
    +tp17677
    +a(g693
    +g1119
    +tp17678
    +a(g826
    +g978
    +tp17679
    +a(g669
    +Vint_add_ovf
    +p17680
    +tp17681
    +a(g693
    +g1104
    +tp17682
    +a(g423
    +Vi32
    +p17683
    +tp17684
    +a(g693
    +g976
    +tp17685
    +a(g826
    +g978
    +tp17686
    +a(g22
    +g1250
    +tp17687
    +a(g693
    +g1112
    +tp17688
    +a(g826
    +V\u000a
    +p17689
    +tp17690
    +a(g669
    +Vguard_no_overflow
    +p17691
    +tp17692
    +a(g693
    +g1104
    +tp17693
    +a(g693
    +g976
    +tp17694
    +a(g826
    +g978
    +tp17695
    +a(g423
    +Vdescr
    +p17696
    +tp17697
    +a(g693
    +g1119
    +tp17698
    +a(g669
    +V
    +p17699
    +tp17700
    +a(g693
    +g1112
    +tp17701
    +a(g826
    +g978
    +tp17702
    +a(g693
    +g972
    +tp17703
    +a(g423
    +Vp0
    +p17704
    +tp17705
    +a(g693
    +g976
    +tp17706
    +a(g826
    +g978
    +tp17707
    +a(g423
    +Vp1
    +p17708
    +tp17709
    +a(g693
    +g976
    +tp17710
    +a(g826
    +g978
    +tp17711
    +a(g423
    +Vi106
    +p17712
    +tp17713
    +a(g693
    +g976
    +tp17714
    +a(g826
    +g978
    +tp17715
    +a(g423
    +Vp4
    +p17716
    +tp17717
    +a(g693
    +g976
    +tp17718
    +a(g826
    +g978
    +tp17719
    +a(g423
    +Vp5
    +p17720
    +tp17721
    +a(g693
    +g976
    +tp17722
    +a(g826
    +g978
    +tp17723
    +a(g423
    +Vp13
    +p17724
    +tp17725
    +a(g693
    +g976
    +tp17726
    +a(g826
    +g978
    +tp17727
    +a(g423
    +Vp6
    +p17728
    +tp17729
    +a(g693
    +g976
    +tp17730
    +a(g826
    +g978
    +tp17731
    +a(g423
    +Vp7
    +p17732
    +tp17733
    +a(g693
    +g976
    +tp17734
    +a(g826
    +g978
    +tp17735
    +a(g423
    +Vp8
    +p17736
    +tp17737
    +a(g693
    +g976
    +tp17738
    +a(g826
    +g978
    +tp17739
    +a(g423
    +Vp9
    +p17740
    +tp17741
    +a(g693
    +g976
    +tp17742
    +a(g826
    +g978
    +tp17743
    +a(g423
    +Vp10
    +p17744
    +tp17745
    +a(g693
    +g976
    +tp17746
    +a(g826
    +g978
    +tp17747
    +a(g423
    +Vp11
    +p17748
    +tp17749
    +a(g693
    +g976
    +tp17750
    +a(g826
    +g978
    +tp17751
    +a(g423
    +Vp12
    +p17752
    +tp17753
    +a(g693
    +g976
    +tp17754
    +a(g826
    +g978
    +tp17755
    +a(g423
    +Vf104
    +p17756
    +tp17757
    +a(g693
    +g976
    +tp17758
    +a(g826
    +g978
    +tp17759
    +a(g423
    +VNone
    +p17760
    +tp17761
    +a(g693
    +g976
    +tp17762
    +a(g826
    +g978
    +tp17763
    +a(g423
    +VNone
    +p17764
    +tp17765
    +a(g693
    +g976
    +tp17766
    +a(g826
    +g978
    +tp17767
    +a(g423
    +Vf96
    +p17768
    +tp17769
    +a(g693
    +g976
    +tp17770
    +a(g826
    +g978
    +tp17771
    +a(g423
    +VNone
    +p17772
    +tp17773
    +a(g693
    +g976
    +tp17774
    +a(g826
    +g978
    +tp17775
    +a(g423
    +Vi78
    +p17776
    +tp17777
    +a(g693
    +g976
    +tp17778
    +a(g826
    +g978
    +tp17779
    +a(g423
    +Vi85
    +p17780
    +tp17781
    +a(g693
    +g976
    +tp17782
    +a(g826
    +g978
    +tp17783
    +a(g423
    +VNone
    +p17784
    +tp17785
    +a(g693
    +g976
    +tp17786
    +a(g826
    +g978
    +tp17787
    +a(g423
    +Vi68
    +p17788
    +tp17789
    +a(g693
    +g976
    +tp17790
    +a(g826
    +g978
    +tp17791
    +a(g423
    +VNone
    +p17792
    +tp17793
    +a(g693
    +g976
    +tp17794
    +a(g826
    +g978
    +tp17795
    +a(g423
    +VNone
    +p17796
    +tp17797
    +a(g693
    +g976
    +tp17798
    +a(g826
    +g978
    +tp17799
    +a(g423
    +Vi32
    +p17800
    +tp17801
    +a(g693
    +g976
    +tp17802
    +a(g826
    +g978
    +tp17803
    +a(g423
    +Vp18
    +p17804
    +tp17805
    +a(g693
    +g976
    +tp17806
    +a(g826
    +g978
    +tp17807
    +a(g423
    +VNone
    +p17808
    +tp17809
    +a(g693
    +g976
    +tp17810
    +a(g826
    +g978
    +tp17811
    +a(g423
    +VNone
    +p17812
    +tp17813
    +a(g693
    +g976
    +tp17814
    +a(g826
    +g978
    +tp17815
    +a(g423
    +Vf17
    +p17816
    +tp17817
    +a(g693
    +g1098
    +tp17818
    +a(g826
    +V\u000a
    +p17819
    +tp17820
    +a(g616
    +Vdebug_merge_point
    +p17821
    +tp17822
    +a(g693
    +g1104
    +tp17823
    +a(g76
    +V' #178 LOAD_FAST'
    +p17824
    +tp17825
    +a(g693
    +g976
    +tp17826
    +a(g826
    +g978
    +tp17827
    +a(g22
    +g1110
    +tp17828
    +a(g693
    +g1112
    +tp17829
    +a(g826
    +V\u000a
    +p17830
    +tp17831
    +a(g616
    +Vdebug_merge_point
    +p17832
    +tp17833
    +a(g693
    +g1104
    +tp17834
    +a(g76
    +V' #181 BINARY_MULTIPLY'
    +p17835
    +tp17836
    +a(g693
    +g976
    +tp17837
    +a(g826
    +g978
    +tp17838
    +a(g22
    +g1110
    +tp17839
    +a(g693
    +g1112
    +tp17840
    +a(g826
    +V\u000a
    +p17841
    +tp17842
    +a(g423
    +Vi107
    +p17843
    +tp17844
    +a(g826
    +g978
    +tp17845
    +a(g693
    +g1119
    +tp17846
    +a(g826
    +g978
    +tp17847
    +a(g669
    +Vint_mul_ovf
    +p17848
    +tp17849
    +a(g693
    +g1104
    +tp17850
    +a(g423
    +Vi106
    +p17851
    +tp17852
    +a(g693
    +g976
    +tp17853
    +a(g826
    +g978
    +tp17854
    +a(g423
    +Vi88
    +p17855
    +tp17856
    +a(g693
    +g1112
    +tp17857
    +a(g826
    +V\u000a
    +p17858
    +tp17859
    +a(g669
    +Vguard_no_overflow
    +p17860
    +tp17861
    +a(g693
    +g1104
    +tp17862
    +a(g693
    +g976
    +tp17863
    +a(g826
    +g978
    +tp17864
    +a(g423
    +Vdescr
    +p17865
    +tp17866
    +a(g693
    +g1119
    +tp17867
    +a(g669
    +V
    +p17868
    +tp17869
    +a(g693
    +g1112
    +tp17870
    +a(g826
    +g978
    +tp17871
    +a(g693
    +g972
    +tp17872
    +a(g423
    +Vp0
    +p17873
    +tp17874
    +a(g693
    +g976
    +tp17875
    +a(g826
    +g978
    +tp17876
    +a(g423
    +Vp1
    +p17877
    +tp17878
    +a(g693
    +g976
    +tp17879
    +a(g826
    +g978
    +tp17880
    +a(g423
    +Vp11
    +p17881
    +tp17882
    +a(g693
    +g976
    +tp17883
    +a(g826
    +g978
    +tp17884
    +a(g423
    +Vi107
    +p17885
    +tp17886
    +a(g693
    +g976
    +tp17887
    +a(g826
    +g978
    +tp17888
    +a(g423
    +Vp4
    +p17889
    +tp17890
    +a(g693
    +g976
    +tp17891
    +a(g826
    +g978
    +tp17892
    +a(g423
    +Vp5
    +p17893
    +tp17894
    +a(g693
    +g976
    +tp17895
    +a(g826
    +g978
    +tp17896
    +a(g423
    +Vp13
    +p17897
    +tp17898
    +a(g693
    +g976
    +tp17899
    +a(g826
    +g978
    +tp17900
    +a(g423
    +Vp6
    +p17901
    +tp17902
    +a(g693
    +g976
    +tp17903
    +a(g826
    +g978
    +tp17904
    +a(g423
    +Vp7
    +p17905
    +tp17906
    +a(g693
    +g976
    +tp17907
    +a(g826
    +g978
    +tp17908
    +a(g423
    +Vp8
    +p17909
    +tp17910
    +a(g693
    +g976
    +tp17911
    +a(g826
    +g978
    +tp17912
    +a(g423
    +Vp9
    +p17913
    +tp17914
    +a(g693
    +g976
    +tp17915
    +a(g826
    +g978
    +tp17916
    +a(g423
    +Vp10
    +p17917
    +tp17918
    +a(g693
    +g976
    +tp17919
    +a(g826
    +g978
    +tp17920
    +a(g423
    +Vp12
    +p17921
    +tp17922
    +a(g693
    +g976
    +tp17923
    +a(g826
    +g978
    +tp17924
    +a(g423
    +Vi106
    +p17925
    +tp17926
    +a(g693
    +g976
    +tp17927
    +a(g826
    +g978
    +tp17928
    +a(g423
    +Vf104
    +p17929
    +tp17930
    +a(g693
    +g976
    +tp17931
    +a(g826
    +g978
    +tp17932
    +a(g423
    +VNone
    +p17933
    +tp17934
    +a(g693
    +g976
    +tp17935
    +a(g826
    +g978
    +tp17936
    +a(g423
    +VNone
    +p17937
    +tp17938
    +a(g693
    +g976
    +tp17939
    +a(g826
    +g978
    +tp17940
    +a(g423
    +Vf96
    +p17941
    +tp17942
    +a(g693
    +g976
    +tp17943
    +a(g826
    +g978
    +tp17944
    +a(g423
    +VNone
    +p17945
    +tp17946
    +a(g693
    +g976
    +tp17947
    +a(g826
    +g978
    +tp17948
    +a(g423
    +Vi78
    +p17949
    +tp17950
    +a(g693
    +g976
    +tp17951
    +a(g826
    +g978
    +tp17952
    +a(g423
    +Vi85
    +p17953
    +tp17954
    +a(g693
    +g976
    +tp17955
    +a(g826
    +g978
    +tp17956
    +a(g423
    +VNone
    +p17957
    +tp17958
    +a(g693
    +g976
    +tp17959
    +a(g826
    +g978
    +tp17960
    +a(g423
    +Vi68
    +p17961
    +tp17962
    +a(g693
    +g976
    +tp17963
    +a(g826
    +g978
    +tp17964
    +a(g423
    +VNone
    +p17965
    +tp17966
    +a(g693
    +g976
    +tp17967
    +a(g826
    +g978
    +tp17968
    +a(g423
    +VNone
    +p17969
    +tp17970
    +a(g693
    +g976
    +tp17971
    +a(g826
    +g978
    +tp17972
    +a(g423
    +Vi32
    +p17973
    +tp17974
    +a(g693
    +g976
    +tp17975
    +a(g826
    +g978
    +tp17976
    +a(g423
    +Vp18
    +p17977
    +tp17978
    +a(g693
    +g976
    +tp17979
    +a(g826
    +g978
    +tp17980
    +a(g423
    +VNone
    +p17981
    +tp17982
    +a(g693
    +g976
    +tp17983
    +a(g826
    +g978
    +tp17984
    +a(g423
    +VNone
    +p17985
    +tp17986
    +a(g693
    +g976
    +tp17987
    +a(g826
    +g978
    +tp17988
    +a(g423
    +Vf17
    +p17989
    +tp17990
    +a(g693
    +g1098
    +tp17991
    +a(g826
    +V\u000a
    +p17992
    +tp17993
    +a(g616
    +Vdebug_merge_point
    +p17994
    +tp17995
    +a(g693
    +g1104
    +tp17996
    +a(g76
    +V' #182 LOAD_FAST'
    +p17997
    +tp17998
    +a(g693
    +g976
    +tp17999
    +a(g826
    +g978
    +tp18000
    +a(g22
    +g1110
    +tp18001
    +a(g693
    +g1112
    +tp18002
    +a(g826
    +V\u000a
    +p18003
    +tp18004
    +a(g616
    +Vdebug_merge_point
    +p18005
    +tp18006
    +a(g693
    +g1104
    +tp18007
    +a(g76
    +V' #185 BINARY_ADD'
    +p18008
    +tp18009
    +a(g693
    +g976
    +tp18010
    +a(g826
    +g978
    +tp18011
    +a(g22
    +g1110
    +tp18012
    +a(g693
    +g1112
    +tp18013
    +a(g826
    +V\u000a
    +p18014
    +tp18015
    +a(g423
    +Vi108
    +p18016
    +tp18017
    +a(g826
    +g978
    +tp18018
    +a(g693
    +g1119
    +tp18019
    +a(g826
    +g978
    +tp18020
    +a(g669
    +Vint_add_ovf
    +p18021
    +tp18022
    +a(g693
    +g1104
    +tp18023
    +a(g423
    +Vi107
    +p18024
    +tp18025
    +a(g693
    +g976
    +tp18026
    +a(g826
    +g978
    +tp18027
    +a(g22
    +g1250
    +tp18028
    +a(g693
    +g1112
    +tp18029
    +a(g826
    +V\u000a
    +p18030
    +tp18031
    +a(g669
    +Vguard_no_overflow
    +p18032
    +tp18033
    +a(g693
    +g1104
    +tp18034
    +a(g693
    +g976
    +tp18035
    +a(g826
    +g978
    +tp18036
    +a(g423
    +Vdescr
    +p18037
    +tp18038
    +a(g693
    +g1119
    +tp18039
    +a(g669
    +V
    +p18040
    +tp18041
    +a(g693
    +g1112
    +tp18042
    +a(g826
    +g978
    +tp18043
    +a(g693
    +g972
    +tp18044
    +a(g423
    +Vp0
    +p18045
    +tp18046
    +a(g693
    +g976
    +tp18047
    +a(g826
    +g978
    +tp18048
    +a(g423
    +Vp1
    +p18049
    +tp18050
    +a(g693
    +g976
    +tp18051
    +a(g826
    +g978
    +tp18052
    +a(g423
    +Vi108
    +p18053
    +tp18054
    +a(g693
    +g976
    +tp18055
    +a(g826
    +g978
    +tp18056
    +a(g423
    +Vp4
    +p18057
    +tp18058
    +a(g693
    +g976
    +tp18059
    +a(g826
    +g978
    +tp18060
    +a(g423
    +Vp5
    +p18061
    +tp18062
    +a(g693
    +g976
    +tp18063
    +a(g826
    +g978
    +tp18064
    +a(g423
    +Vp13
    +p18065
    +tp18066
    +a(g693
    +g976
    +tp18067
    +a(g826
    +g978
    +tp18068
    +a(g423
    +Vp6
    +p18069
    +tp18070
    +a(g693
    +g976
    +tp18071
    +a(g826
    +g978
    +tp18072
    +a(g423
    +Vp7
    +p18073
    +tp18074
    +a(g693
    +g976
    +tp18075
    +a(g826
    +g978
    +tp18076
    +a(g423
    +Vp8
    +p18077
    +tp18078
    +a(g693
    +g976
    +tp18079
    +a(g826
    +g978
    +tp18080
    +a(g423
    +Vp9
    +p18081
    +tp18082
    +a(g693
    +g976
    +tp18083
    +a(g826
    +g978
    +tp18084
    +a(g423
    +Vp10
    +p18085
    +tp18086
    +a(g693
    +g976
    +tp18087
    +a(g826
    +g978
    +tp18088
    +a(g423
    +Vp11
    +p18089
    +tp18090
    +a(g693
    +g976
    +tp18091
    +a(g826
    +g978
    +tp18092
    +a(g423
    +Vp12
    +p18093
    +tp18094
    +a(g693
    +g976
    +tp18095
    +a(g826
    +g978
    +tp18096
    +a(g423
    +Vi107
    +p18097
    +tp18098
    +a(g693
    +g976
    +tp18099
    +a(g826
    +g978
    +tp18100
    +a(g423
    +VNone
    +p18101
    +tp18102
    +a(g693
    +g976
    +tp18103
    +a(g826
    +g978
    +tp18104
    +a(g423
    +Vf104
    +p18105
    +tp18106
    +a(g693
    +g976
    +tp18107
    +a(g826
    +g978
    +tp18108
    +a(g423
    +VNone
    +p18109
    +tp18110
    +a(g693
    +g976
    +tp18111
    +a(g826
    +g978
    +tp18112
    +a(g423
    +VNone
    +p18113
    +tp18114
    +a(g693
    +g976
    +tp18115
    +a(g826
    +g978
    +tp18116
    +a(g423
    +Vf96
    +p18117
    +tp18118
    +a(g693
    +g976
    +tp18119
    +a(g826
    +g978
    +tp18120
    +a(g423
    +VNone
    +p18121
    +tp18122
    +a(g693
    +g976
    +tp18123
    +a(g826
    +g978
    +tp18124
    +a(g423
    +Vi78
    +p18125
    +tp18126
    +a(g693
    +g976
    +tp18127
    +a(g826
    +g978
    +tp18128
    +a(g423
    +Vi85
    +p18129
    +tp18130
    +a(g693
    +g976
    +tp18131
    +a(g826
    +g978
    +tp18132
    +a(g423
    +VNone
    +p18133
    +tp18134
    +a(g693
    +g976
    +tp18135
    +a(g826
    +g978
    +tp18136
    +a(g423
    +Vi68
    +p18137
    +tp18138
    +a(g693
    +g976
    +tp18139
    +a(g826
    +g978
    +tp18140
    +a(g423
    +VNone
    +p18141
    +tp18142
    +a(g693
    +g976
    +tp18143
    +a(g826
    +g978
    +tp18144
    +a(g423
    +VNone
    +p18145
    +tp18146
    +a(g693
    +g976
    +tp18147
    +a(g826
    +g978
    +tp18148
    +a(g423
    +Vi32
    +p18149
    +tp18150
    +a(g693
    +g976
    +tp18151
    +a(g826
    +g978
    +tp18152
    +a(g423
    +Vp18
    +p18153
    +tp18154
    +a(g693
    +g976
    +tp18155
    +a(g826
    +g978
    +tp18156
    +a(g423
    +VNone
    +p18157
    +tp18158
    +a(g693
    +g976
    +tp18159
    +a(g826
    +g978
    +tp18160
    +a(g423
    +VNone
    +p18161
    +tp18162
    +a(g693
    +g976
    +tp18163
    +a(g826
    +g978
    +tp18164
    +a(g423
    +Vf17
    +p18165
    +tp18166
    +a(g693
    +g1098
    +tp18167
    +a(g826
    +V\u000a
    +p18168
    +tp18169
    +a(g616
    +Vdebug_merge_point
    +p18170
    +tp18171
    +a(g693
    +g1104
    +tp18172
    +a(g76
    +V' #186 BINARY_SUBSCR'
    +p18173
    +tp18174
    +a(g693
    +g976
    +tp18175
    +a(g826
    +g978
    +tp18176
    +a(g22
    +g1110
    +tp18177
    +a(g693
    +g1112
    +tp18178
    +a(g826
    +V\u000a
    +p18179
    +tp18180
    +a(g423
    +Vi110
    +p18181
    +tp18182
    +a(g826
    +g978
    +tp18183
    +a(g693
    +g1119
    +tp18184
    +a(g826
    +g978
    +tp18185
    +a(g669
    +Vint_lt
    +p18186
    +tp18187
    +a(g693
    +g1104
    +tp18188
    +a(g423
    +Vi108
    +p18189
    +tp18190
    +a(g693
    +g976
    +tp18191
    +a(g826
    +g978
    +tp18192
    +a(g22
    +g1110
    +tp18193
    +a(g693
    +g1112
    +tp18194
    +a(g826
    +V\u000a
    +p18195
    +tp18196
    +a(g669
    +Vguard_false
    +p18197
    +tp18198
    +a(g693
    +g1104
    +tp18199
    +a(g423
    +Vi110
    +p18200
    +tp18201
    +a(g693
    +g976
    +tp18202
    +a(g826
    +g978
    +tp18203
    +a(g423
    +Vdescr
    +p18204
    +tp18205
    +a(g693
    +g1119
    +tp18206
    +a(g669
    +V
    +p18207
    +tp18208
    +a(g693
    +g1112
    +tp18209
    +a(g826
    +g978
    +tp18210
    +a(g693
    +g972
    +tp18211
    +a(g423
    +Vp0
    +p18212
    +tp18213
    +a(g693
    +g976
    +tp18214
    +a(g826
    +g978
    +tp18215
    +a(g423
    +Vp1
    +p18216
    +tp18217
    +a(g693
    +g976
    +tp18218
    +a(g826
    +g978
    +tp18219
    +a(g423
    +Vp13
    +p18220
    +tp18221
    +a(g693
    +g976
    +tp18222
    +a(g826
    +g978
    +tp18223
    +a(g423
    +Vi108
    +p18224
    +tp18225
    +a(g693
    +g976
    +tp18226
    +a(g826
    +g978
    +tp18227
    +a(g423
    +Vi91
    +p18228
    +tp18229
    +a(g693
    +g976
    +tp18230
    +a(g826
    +g978
    +tp18231
    +a(g423
    +Vp4
    +p18232
    +tp18233
    +a(g693
    +g976
    +tp18234
    +a(g826
    +g978
    +tp18235
    +a(g423
    +Vp5
    +p18236
    +tp18237
    +a(g693
    +g976
    +tp18238
    +a(g826
    +g978
    +tp18239
    +a(g423
    +Vp6
    +p18240
    +tp18241
    +a(g693
    +g976
    +tp18242
    +a(g826
    +g978
    +tp18243
    +a(g423
    +Vp7
    +p18244
    +tp18245
    +a(g693
    +g976
    +tp18246
    +a(g826
    +g978
    +tp18247
    +a(g423
    +Vp8
    +p18248
    +tp18249
    +a(g693
    +g976
    +tp18250
    +a(g826
    +g978
    +tp18251
    +a(g423
    +Vp9
    +p18252
    +tp18253
    +a(g693
    +g976
    +tp18254
    +a(g826
    +g978
    +tp18255
    +a(g423
    +Vp10
    +p18256
    +tp18257
    +a(g693
    +g976
    +tp18258
    +a(g826
    +g978
    +tp18259
    +a(g423
    +Vp11
    +p18260
    +tp18261
    +a(g693
    +g976
    +tp18262
    +a(g826
    +g978
    +tp18263
    +a(g423
    +Vp12
    +p18264
    +tp18265
    +a(g693
    +g976
    +tp18266
    +a(g826
    +g978
    +tp18267
    +a(g423
    +VNone
    +p18268
    +tp18269
    +a(g693
    +g976
    +tp18270
    +a(g826
    +g978
    +tp18271
    +a(g423
    +VNone
    +p18272
    +tp18273
    +a(g693
    +g976
    +tp18274
    +a(g826
    +g978
    +tp18275
    +a(g423
    +Vf104
    +p18276
    +tp18277
    +a(g693
    +g976
    +tp18278
    +a(g826
    +g978
    +tp18279
    +a(g423
    +VNone
    +p18280
    +tp18281
    +a(g693
    +g976
    +tp18282
    +a(g826
    +g978
    +tp18283
    +a(g423
    +VNone
    +p18284
    +tp18285
    +a(g693
    +g976
    +tp18286
    +a(g826
    +g978
    +tp18287
    +a(g423
    +Vf96
    +p18288
    +tp18289
    +a(g693
    +g976
    +tp18290
    +a(g826
    +g978
    +tp18291
    +a(g423
    +VNone
    +p18292
    +tp18293
    +a(g693
    +g976
    +tp18294
    +a(g826
    +g978
    +tp18295
    +a(g423
    +Vi78
    +p18296
    +tp18297
    +a(g693
    +g976
    +tp18298
    +a(g826
    +g978
    +tp18299
    +a(g423
    +Vi85
    +p18300
    +tp18301
    +a(g693
    +g976
    +tp18302
    +a(g826
    +g978
    +tp18303
    +a(g423
    +VNone
    +p18304
    +tp18305
    +a(g693
    +g976
    +tp18306
    +a(g826
    +g978
    +tp18307
    +a(g423
    +Vi68
    +p18308
    +tp18309
    +a(g693
    +g976
    +tp18310
    +a(g826
    +g978
    +tp18311
    +a(g423
    +VNone
    +p18312
    +tp18313
    +a(g693
    +g976
    +tp18314
    +a(g826
    +g978
    +tp18315
    +a(g423
    +VNone
    +p18316
    +tp18317
    +a(g693
    +g976
    +tp18318
    +a(g826
    +g978
    +tp18319
    +a(g423
    +Vi32
    +p18320
    +tp18321
    +a(g693
    +g976
    +tp18322
    +a(g826
    +g978
    +tp18323
    +a(g423
    +Vp18
    +p18324
    +tp18325
    +a(g693
    +g976
    +tp18326
    +a(g826
    +g978
    +tp18327
    +a(g423
    +VNone
    +p18328
    +tp18329
    +a(g693
    +g976
    +tp18330
    +a(g826
    +g978
    +tp18331
    +a(g423
    +VNone
    +p18332
    +tp18333
    +a(g693
    +g976
    +tp18334
    +a(g826
    +g978
    +tp18335
    +a(g423
    +Vf17
    +p18336
    +tp18337
    +a(g693
    +g1098
    +tp18338
    +a(g826
    +V\u000a
    +p18339
    +tp18340
    +a(g423
    +Vi111
    +p18341
    +tp18342
    +a(g826
    +g978
    +tp18343
    +a(g693
    +g1119
    +tp18344
    +a(g826
    +g978
    +tp18345
    +a(g669
    +Vint_lt
    +p18346
    +tp18347
    +a(g693
    +g1104
    +tp18348
    +a(g423
    +Vi108
    +p18349
    +tp18350
    +a(g693
    +g976
    +tp18351
    +a(g826
    +g978
    +tp18352
    +a(g423
    +Vi91
    +p18353
    +tp18354
    +a(g693
    +g1112
    +tp18355
    +a(g826
    +V\u000a
    +p18356
    +tp18357
    +a(g669
    +Vguard_true
    +p18358
    +tp18359
    +a(g693
    +g1104
    +tp18360
    +a(g423
    +Vi111
    +p18361
    +tp18362
    +a(g693
    +g976
    +tp18363
    +a(g826
    +g978
    +tp18364
    +a(g423
    +Vdescr
    +p18365
    +tp18366
    +a(g693
    +g1119
    +tp18367
    +a(g669
    +V
    +p18368
    +tp18369
    +a(g693
    +g1112
    +tp18370
    +a(g826
    +g978
    +tp18371
    +a(g693
    +g972
    +tp18372
    +a(g423
    +Vp0
    +p18373
    +tp18374
    +a(g693
    +g976
    +tp18375
    +a(g826
    +g978
    +tp18376
    +a(g423
    +Vp1
    +p18377
    +tp18378
    +a(g693
    +g976
    +tp18379
    +a(g826
    +g978
    +tp18380
    +a(g423
    +Vp13
    +p18381
    +tp18382
    +a(g693
    +g976
    +tp18383
    +a(g826
    +g978
    +tp18384
    +a(g423
    +Vi108
    +p18385
    +tp18386
    +a(g693
    +g976
    +tp18387
    +a(g826
    +g978
    +tp18388
    +a(g423
    +Vp4
    +p18389
    +tp18390
    +a(g693
    +g976
    +tp18391
    +a(g826
    +g978
    +tp18392
    +a(g423
    +Vp5
    +p18393
    +tp18394
    +a(g693
    +g976
    +tp18395
    +a(g826
    +g978
    +tp18396
    +a(g423
    +Vp6
    +p18397
    +tp18398
    +a(g693
    +g976
    +tp18399
    +a(g826
    +g978
    +tp18400
    +a(g423
    +Vp7
    +p18401
    +tp18402
    +a(g693
    +g976
    +tp18403
    +a(g826
    +g978
    +tp18404
    +a(g423
    +Vp8
    +p18405
    +tp18406
    +a(g693
    +g976
    +tp18407
    +a(g826
    +g978
    +tp18408
    +a(g423
    +Vp9
    +p18409
    +tp18410
    +a(g693
    +g976
    +tp18411
    +a(g826
    +g978
    +tp18412
    +a(g423
    +Vp10
    +p18413
    +tp18414
    +a(g693
    +g976
    +tp18415
    +a(g826
    +g978
    +tp18416
    +a(g423
    +Vp11
    +p18417
    +tp18418
    +a(g693
    +g976
    +tp18419
    +a(g826
    +g978
    +tp18420
    +a(g423
    +Vp12
    +p18421
    +tp18422
    +a(g693
    +g976
    +tp18423
    +a(g826
    +g978
    +tp18424
    +a(g423
    +VNone
    +p18425
    +tp18426
    +a(g693
    +g976
    +tp18427
    +a(g826
    +g978
    +tp18428
    +a(g423
    +VNone
    +p18429
    +tp18430
    +a(g693
    +g976
    +tp18431
    +a(g826
    +g978
    +tp18432
    +a(g423
    +Vf104
    +p18433
    +tp18434
    +a(g693
    +g976
    +tp18435
    +a(g826
    +g978
    +tp18436
    +a(g423
    +VNone
    +p18437
    +tp18438
    +a(g693
    +g976
    +tp18439
    +a(g826
    +g978
    +tp18440
    +a(g423
    +VNone
    +p18441
    +tp18442
    +a(g693
    +g976
    +tp18443
    +a(g826
    +g978
    +tp18444
    +a(g423
    +Vf96
    +p18445
    +tp18446
    +a(g693
    +g976
    +tp18447
    +a(g826
    +g978
    +tp18448
    +a(g423
    +VNone
    +p18449
    +tp18450
    +a(g693
    +g976
    +tp18451
    +a(g826
    +g978
    +tp18452
    +a(g423
    +Vi78
    +p18453
    +tp18454
    +a(g693
    +g976
    +tp18455
    +a(g826
    +g978
    +tp18456
    +a(g423
    +Vi85
    +p18457
    +tp18458
    +a(g693
    +g976
    +tp18459
    +a(g826
    +g978
    +tp18460
    +a(g423
    +VNone
    +p18461
    +tp18462
    +a(g693
    +g976
    +tp18463
    +a(g826
    +g978
    +tp18464
    +a(g423
    +Vi68
    +p18465
    +tp18466
    +a(g693
    +g976
    +tp18467
    +a(g826
    +g978
    +tp18468
    +a(g423
    +VNone
    +p18469
    +tp18470
    +a(g693
    +g976
    +tp18471
    +a(g826
    +g978
    +tp18472
    +a(g423
    +VNone
    +p18473
    +tp18474
    +a(g693
    +g976
    +tp18475
    +a(g826
    +g978
    +tp18476
    +a(g423
    +Vi32
    +p18477
    +tp18478
    +a(g693
    +g976
    +tp18479
    +a(g826
    +g978
    +tp18480
    +a(g423
    +Vp18
    +p18481
    +tp18482
    +a(g693
    +g976
    +tp18483
    +a(g826
    +g978
    +tp18484
    +a(g423
    +VNone
    +p18485
    +tp18486
    +a(g693
    +g976
    +tp18487
    +a(g826
    +g978
    +tp18488
    +a(g423
    +VNone
    +p18489
    +tp18490
    +a(g693
    +g976
    +tp18491
    +a(g826
    +g978
    +tp18492
    +a(g423
    +Vf17
    +p18493
    +tp18494
    +a(g693
    +g1098
    +tp18495
    +a(g826
    +V\u000a
    +p18496
    +tp18497
    +a(g423
    +Vf112
    +p18498
    +tp18499
    +a(g826
    +g978
    +tp18500
    +a(g693
    +g1119
    +tp18501
    +a(g826
    +g978
    +tp18502
    +a(g669
    +Vgetarrayitem_raw
    +p18503
    +tp18504
    +a(g693
    +g1104
    +tp18505
    +a(g423
    +Vi95
    +p18506
    +tp18507
    +a(g693
    +g976
    +tp18508
    +a(g826
    +g978
    +tp18509
    +a(g423
    +Vi108
    +p18510
    +tp18511
    +a(g693
    +g976
    +tp18512
    +a(g826
    +g978
    +tp18513
    +a(g423
    +Vdescr
    +p18514
    +tp18515
    +a(g693
    +g1119
    +tp18516
    +a(g669
    +V
    +p18517
    +tp18518
    +a(g693
    +g1112
    +tp18519
    +a(g826
    +V\u000a
    +p18520
    +tp18521
    +a(g616
    +Vdebug_merge_point
    +p18522
    +tp18523
    +a(g693
    +g1104
    +tp18524
    +a(g76
    +V' #187 BINARY_ADD'
    +p18525
    +tp18526
    +a(g693
    +g976
    +tp18527
    +a(g826
    +g978
    +tp18528
    +a(g22
    +g1110
    +tp18529
    +a(g693
    +g1112
    +tp18530
    +a(g826
    +V\u000a
    +p18531
    +tp18532
    +a(g423
    +Vf113
    +p18533
    +tp18534
    +a(g826
    +g978
    +tp18535
    +a(g693
    +g1119
    +tp18536
    +a(g826
    +g978
    +tp18537
    +a(g669
    +Vfloat_add
    +p18538
    +tp18539
    +a(g693
    +g1104
    +tp18540
    +a(g423
    +Vf104
    +p18541
    +tp18542
    +a(g693
    +g976
    +tp18543
    +a(g826
    +g978
    +tp18544
    +a(g423
    +Vf112
    +p18545
    +tp18546
    +a(g693
    +g1112
    +tp18547
    +a(g826
    +V\u000a
    +p18548
    +tp18549
    +a(g616
    +Vdebug_merge_point
    +p18550
    +tp18551
    +a(g693
    +g1104
    +tp18552
    +a(g76
    +V' #188 LOAD_FAST'
    +p18553
    +tp18554
    +a(g693
    +g976
    +tp18555
    +a(g826
    +g978
    +tp18556
    +a(g22
    +g1110
    +tp18557
    +a(g693
    +g1112
    +tp18558
    +a(g826
    +V\u000a
    +p18559
    +tp18560
    +a(g669
    +Vguard_nonnull_class
    +p18561
    +tp18562
    +a(g693
    +g1104
    +tp18563
    +a(g423
    +Vp9
    +p18564
    +tp18565
    +a(g693
    +g976
    +tp18566
    +a(g826
    +g978
    +tp18567
    +a(g22
    +V19800744
    +p18568
    +tp18569
    +a(g693
    +g976
    +tp18570
    +a(g826
    +g978
    +tp18571
    +a(g423
    +Vdescr
    +p18572
    +tp18573
    +a(g693
    +g1119
    +tp18574
    +a(g669
    +V
    +p18575
    +tp18576
    +a(g693
    +g1112
    +tp18577
    +a(g826
    +g978
    +tp18578
    +a(g693
    +g972
    +tp18579
    +a(g423
    +Vp0
    +p18580
    +tp18581
    +a(g693
    +g976
    +tp18582
    +a(g826
    +g978
    +tp18583
    +a(g423
    +Vp1
    +p18584
    +tp18585
    +a(g693
    +g976
    +tp18586
    +a(g826
    +g978
    +tp18587
    +a(g423
    +Vp9
    +p18588
    +tp18589
    +a(g693
    +g976
    +tp18590
    +a(g826
    +g978
    +tp18591
    +a(g423
    +Vp4
    +p18592
    +tp18593
    +a(g693
    +g976
    +tp18594
    +a(g826
    +g978
    +tp18595
    +a(g423
    +Vp5
    +p18596
    +tp18597
    +a(g693
    +g976
    +tp18598
    +a(g826
    +g978
    +tp18599
    +a(g423
    +Vp6
    +p18600
    +tp18601
    +a(g693
    +g976
    +tp18602
    +a(g826
    +g978
    +tp18603
    +a(g423
    +Vp7
    +p18604
    +tp18605
    +a(g693
    +g976
    +tp18606
    +a(g826
    +g978
    +tp18607
    +a(g423
    +Vp8
    +p18608
    +tp18609
    +a(g693
    +g976
    +tp18610
    +a(g826
    +g978
    +tp18611
    +a(g423
    +Vp10
    +p18612
    +tp18613
    +a(g693
    +g976
    +tp18614
    +a(g826
    +g978
    +tp18615
    +a(g423
    +Vp11
    +p18616
    +tp18617
    +a(g693
    +g976
    +tp18618
    +a(g826
    +g978
    +tp18619
    +a(g423
    +Vp12
    +p18620
    +tp18621
    +a(g693
    +g976
    +tp18622
    +a(g826
    +g978
    +tp18623
    +a(g423
    +Vp13
    +p18624
    +tp18625
    +a(g693
    +g976
    +tp18626
    +a(g826
    +g978
    +tp18627
    +a(g423
    +Vf113
    +p18628
    +tp18629
    +a(g693
    +g976
    +tp18630
    +a(g826
    +g978
    +tp18631
    +a(g423
    +VNone
    +p18632
    +tp18633
    +a(g693
    +g976
    +tp18634
    +a(g826
    +g978
    +tp18635
    +a(g423
    +VNone
    +p18636
    +tp18637
    +a(g693
    +g976
    +tp18638
    +a(g826
    +g978
    +tp18639
    +a(g423
    +VNone
    +p18640
    +tp18641
    +a(g693
    +g976
    +tp18642
    +a(g826
    +g978
    +tp18643
    +a(g423
    +VNone
    +p18644
    +tp18645
    +a(g693
    +g976
    +tp18646
    +a(g826
    +g978
    +tp18647
    +a(g423
    +VNone
    +p18648
    +tp18649
    +a(g693
    +g976
    +tp18650
    +a(g826
    +g978
    +tp18651
    +a(g423
    +Vf96
    +p18652
    +tp18653
    +a(g693
    +g976
    +tp18654
    +a(g826
    +g978
    +tp18655
    +a(g423
    +VNone
    +p18656
    +tp18657
    +a(g693
    +g976
    +tp18658
    +a(g826
    +g978
    +tp18659
    +a(g423
    +Vi78
    +p18660
    +tp18661
    +a(g693
    +g976
    +tp18662
    +a(g826
    +g978
    +tp18663
    +a(g423
    +Vi85
    +p18664
    +tp18665
    +a(g693
    +g976
    +tp18666
    +a(g826
    +g978
    +tp18667
    +a(g423
    +VNone
    +p18668
    +tp18669
    +a(g693
    +g976
    +tp18670
    +a(g826
    +g978
    +tp18671
    +a(g423
    +Vi68
    +p18672
    +tp18673
    +a(g693
    +g976
    +tp18674
    +a(g826
    +g978
    +tp18675
    +a(g423
    +VNone
    +p18676
    +tp18677
    +a(g693
    +g976
    +tp18678
    +a(g826
    +g978
    +tp18679
    +a(g423
    +VNone
    +p18680
    +tp18681
    +a(g693
    +g976
    +tp18682
    +a(g826
    +g978
    +tp18683
    +a(g423
    +Vi32
    +p18684
    +tp18685
    +a(g693
    +g976
    +tp18686
    +a(g826
    +g978
    +tp18687
    +a(g423
    +Vp18
    +p18688
    +tp18689
    +a(g693
    +g976
    +tp18690
    +a(g826
    +g978
    +tp18691
    +a(g423
    +VNone
    +p18692
    +tp18693
    +a(g693
    +g976
    +tp18694
    +a(g826
    +g978
    +tp18695
    +a(g423
    +VNone
    +p18696
    +tp18697
    +a(g693
    +g976
    +tp18698
    +a(g826
    +g978
    +tp18699
    +a(g423
    +Vf17
    +p18700
    +tp18701
    +a(g693
    +g1098
    +tp18702
    +a(g826
    +V\u000a
    +p18703
    +tp18704
    +a(g616
    +Vdebug_merge_point
    +p18705
    +tp18706
    +a(g693
    +g1104
    +tp18707
    +a(g76
    +V' #191 BINARY_MULTIPLY'
    +p18708
    +tp18709
    +a(g693
    +g976
    +tp18710
    +a(g826
    +g978
    +tp18711
    +a(g22
    +g1110
    +tp18712
    +a(g693
    +g1112
    +tp18713
    +a(g826
    +V\u000a
    +p18714
    +tp18715
    +a(g423
    +Vf115
    +p18716
    +tp18717
    +a(g826
    +g978
    +tp18718
    +a(g693
    +g1119
    +tp18719
    +a(g826
    +g978
    +tp18720
    +a(g669
    +Vgetfield_gc_pure
    +p18721
    +tp18722
    +a(g693
    +g1104
    +tp18723
    +a(g423
    +Vp9
    +p18724
    +tp18725
    +a(g693
    +g976
    +tp18726
    +a(g826
    +g978
    +tp18727
    +a(g423
    +Vdescr
    +p18728
    +tp18729
    +a(g693
    +g1119
    +tp18730
    +a(g669
    +V
    +p18731
    +tp18732
    +a(g693
    +g1112
    +tp18733
    +a(g826
    +V\u000a
    +p18734
    +tp18735
    +a(g423
    +Vf116
    +p18736
    +tp18737
    +a(g826
    +g978
    +tp18738
    +a(g693
    +g1119
    +tp18739
    +a(g826
    +g978
    +tp18740
    +a(g669
    +Vfloat_mul
    +p18741
    +tp18742
    +a(g693
    +g1104
    +tp18743
    +a(g423
    +Vf113
    +p18744
    +tp18745
    +a(g693
    +g976
    +tp18746
    +a(g826
    +g978
    +tp18747
    +a(g423
    +Vf115
    +p18748
    +tp18749
    +a(g693
    +g1112
    +tp18750
    +a(g826
    +V\u000a
    +p18751
    +tp18752
    +a(g616
    +Vdebug_merge_point
    +p18753
    +tp18754
    +a(g693
    +g1104
    +tp18755
    +a(g76
    +V' #192 LOAD_FAST'
    +p18756
    +tp18757
    +a(g693
    +g976
    +tp18758
    +a(g826
    +g978
    +tp18759
    +a(g22
    +g1110
    +tp18760
    +a(g693
    +g1112
    +tp18761
    +a(g826
    +V\u000a
    +p18762
    +tp18763
    +a(g616
    +Vdebug_merge_point
    +p18764
    +tp18765
    +a(g693
    +g1104
    +tp18766
    +a(g76
    +V' #195 LOAD_FAST'
    +p18767
    +tp18768
    +a(g693
    +g976
    +tp18769
    +a(g826
    +g978
    +tp18770
    +a(g22
    +g1110
    +tp18771
    +a(g693
    +g1112
    +tp18772
    +a(g826
    +V\u000a
    +p18773
    +tp18774
    +a(g616
    +Vdebug_merge_point
    +p18775
    +tp18776
    +a(g693
    +g1104
    +tp18777
    +a(g76
    +V' #198 LOAD_FAST'
    +p18778
    +tp18779
    +a(g693
    +g976
    +tp18780
    +a(g826
    +g978
    +tp18781
    +a(g22
    +g1110
    +tp18782
    +a(g693
    +g1112
    +tp18783
    +a(g826
    +V\u000a
    +p18784
    +tp18785
    +a(g616
    +Vdebug_merge_point
    +p18786
    +tp18787
    +a(g693
    +g1104
    +tp18788
    +a(g76
    +V' #201 BINARY_MULTIPLY'
    +p18789
    +tp18790
    +a(g693
    +g976
    +tp18791
    +a(g826
    +g978
    +tp18792
    +a(g22
    +g1110
    +tp18793
    +a(g693
    +g1112
    +tp18794
    +a(g826
    +V\u000a
    +p18795
    +tp18796
    +a(g616
    +Vdebug_merge_point
    +p18797
    +tp18798
    +a(g693
    +g1104
    +tp18799
    +a(g76
    +V' #202 LOAD_FAST'
    +p18800
    +tp18801
    +a(g693
    +g976
    +tp18802
    +a(g826
    +g978
    +tp18803
    +a(g22
    +g1110
    +tp18804
    +a(g693
    +g1112
    +tp18805
    +a(g826
    +V\u000a
    +p18806
    +tp18807
    +a(g616
    +Vdebug_merge_point
    +p18808
    +tp18809
    +a(g693
    +g1104
    +tp18810
    +a(g76
    +V' #205 BINARY_ADD'
    +p18811
    +tp18812
    +a(g693
    +g976
    +tp18813
    +a(g826
    +g978
    +tp18814
    +a(g22
    +g1110
    +tp18815
    +a(g693
    +g1112
    +tp18816
    +a(g826
    +V\u000a
    +p18817
    +tp18818
    +a(g616
    +Vdebug_merge_point
    +p18819
    +tp18820
    +a(g693
    +g1104
    +tp18821
    +a(g76
    +V' #206 LOAD_CONST'
    +p18822
    +tp18823
    +a(g693
    +g976
    +tp18824
    +a(g826
    +g978
    +tp18825
    +a(g22
    +g1110
    +tp18826
    +a(g693
    +g1112
    +tp18827
    +a(g826
    +V\u000a
    +p18828
    +tp18829
    +a(g616
    +Vdebug_merge_point
    +p18830
    +tp18831
    +a(g693
    +g1104
    +tp18832
    +a(g76
    +V' #209 BINARY_SUBTRACT'
    +p18833
    +tp18834
    +a(g693
    +g976
    +tp18835
    +a(g826
    +g978
    +tp18836
    +a(g22
    +g1110
    +tp18837
    +a(g693
    +g1112
    +tp18838
    +a(g826
    +V\u000a
    +p18839
    +tp18840
    +a(g616
    +Vdebug_merge_point
    +p18841
    +tp18842
    +a(g693
    +g1104
    +tp18843
    +a(g76
    +V' #210 BINARY_SUBSCR'
    +p18844
    +tp18845
    +a(g693
    +g976
    +tp18846
    +a(g826
    +g978
    +tp18847
    +a(g22
    +g1110
    +tp18848
    +a(g693
    +g1112
    +tp18849
    +a(g826
    +V\u000a
    +p18850
    +tp18851
    +a(g423
    +Vi118
    +p18852
    +tp18853
    +a(g826
    +g978
    +tp18854
    +a(g693
    +g1119
    +tp18855
    +a(g826
    +g978
    +tp18856
    +a(g669
    +Vint_lt
    +p18857
    +tp18858
    +a(g693
    +g1104
    +tp18859
    +a(g423
    +Vi89
    +p18860
    +tp18861
    +a(g693
    +g976
    +tp18862
    +a(g826
    +g978
    +tp18863
    +a(g22
    +g1110
    +tp18864
    +a(g693
    +g1112
    +tp18865
    +a(g826
    +V\u000a
    +p18866
    +tp18867
    +a(g669
    +Vguard_false
    +p18868
    +tp18869
    +a(g693
    +g1104
    +tp18870
    +a(g423
    +Vi118
    +p18871
    +tp18872
    +a(g693
    +g976
    +tp18873
    +a(g826
    +g978
    +tp18874
    +a(g423
    +Vdescr
    +p18875
    +tp18876
    +a(g693
    +g1119
    +tp18877
    +a(g669
    +V
    +p18878
    +tp18879
    +a(g693
    +g1112
    +tp18880
    +a(g826
    +g978
    +tp18881
    +a(g693
    +g972
    +tp18882
    +a(g423
    +Vp0
    +p18883
    +tp18884
    +a(g693
    +g976
    +tp18885
    +a(g826
    +g978
    +tp18886
    +a(g423
    +Vp1
    +p18887
    +tp18888
    +a(g693
    +g976
    +tp18889
    +a(g826
    +g978
    +tp18890
    +a(g423
    +Vp13
    +p18891
    +tp18892
    +a(g693
    +g976
    +tp18893
    +a(g826
    +g978
    +tp18894
    +a(g423
    +Vi89
    +p18895
    +tp18896
    +a(g693
    +g976
    +tp18897
    +a(g826
    +g978
    +tp18898
    +a(g423
    +Vi91
    +p18899
    +tp18900
    +a(g693
    +g976
    +tp18901
    +a(g826
    +g978
    +tp18902
    +a(g423
    +Vp4
    +p18903
    +tp18904
    +a(g693
    +g976
    +tp18905
    +a(g826
    +g978
    +tp18906
    +a(g423
    +Vp5
    +p18907
    +tp18908
    +a(g693
    +g976
    +tp18909
    +a(g826
    +g978
    +tp18910
    +a(g423
    +Vp6
    +p18911
    +tp18912
    +a(g693
    +g976
    +tp18913
    +a(g826
    +g978
    +tp18914
    +a(g423
    +Vp7
    +p18915
    +tp18916
    +a(g693
    +g976
    +tp18917
    +a(g826
    +g978
    +tp18918
    +a(g423
    +Vp8
    +p18919
    +tp18920
    +a(g693
    +g976
    +tp18921
    +a(g826
    +g978
    +tp18922
    +a(g423
    +Vp9
    +p18923
    +tp18924
    +a(g693
    +g976
    +tp18925
    +a(g826
    +g978
    +tp18926
    +a(g423
    +Vp10
    +p18927
    +tp18928
    +a(g693
    +g976
    +tp18929
    +a(g826
    +g978
    +tp18930
    +a(g423
    +Vp11
    +p18931
    +tp18932
    +a(g693
    +g976
    +tp18933
    +a(g826
    +g978
    +tp18934
    +a(g423
    +Vp12
    +p18935
    +tp18936
    +a(g693
    +g976
    +tp18937
    +a(g826
    +g978
    +tp18938
    +a(g423
    +Vf116
    +p18939
    +tp18940
    +a(g693
    +g976
    +tp18941
    +a(g826
    +g978
    +tp18942
    +a(g423
    +VNone
    +p18943
    +tp18944
    +a(g693
    +g976
    +tp18945
    +a(g826
    +g978
    +tp18946
    +a(g423
    +VNone
    +p18947
    +tp18948
    +a(g693
    +g976
    +tp18949
    +a(g826
    +g978
    +tp18950
    +a(g423
    +VNone
    +p18951
    +tp18952
    +a(g693
    +g976
    +tp18953
    +a(g826
    +g978
    +tp18954
    +a(g423
    +VNone
    +p18955
    +tp18956
    +a(g693
    +g976
    +tp18957
    +a(g826
    +g978
    +tp18958
    +a(g423
    +VNone
    +p18959
    +tp18960
    +a(g693
    +g976
    +tp18961
    +a(g826
    +g978
    +tp18962
    +a(g423
    +VNone
    +p18963
    +tp18964
    +a(g693
    +g976
    +tp18965
    +a(g826
    +g978
    +tp18966
    +a(g423
    +Vf96
    +p18967
    +tp18968
    +a(g693
    +g976
    +tp18969
    +a(g826
    +g978
    +tp18970
    +a(g423
    +VNone
    +p18971
    +tp18972
    +a(g693
    +g976
    +tp18973
    +a(g826
    +g978
    +tp18974
    +a(g423
    +Vi78
    +p18975
    +tp18976
    +a(g693
    +g976
    +tp18977
    +a(g826
    +g978
    +tp18978
    +a(g423
    +Vi85
    +p18979
    +tp18980
    +a(g693
    +g976
    +tp18981
    +a(g826
    +g978
    +tp18982
    +a(g423
    +VNone
    +p18983
    +tp18984
    +a(g693
    +g976
    +tp18985
    +a(g826
    +g978
    +tp18986
    +a(g423
    +Vi68
    +p18987
    +tp18988
    +a(g693
    +g976
    +tp18989
    +a(g826
    +g978
    +tp18990
    +a(g423
    +VNone
    +p18991
    +tp18992
    +a(g693
    +g976
    +tp18993
    +a(g826
    +g978
    +tp18994
    +a(g423
    +VNone
    +p18995
    +tp18996
    +a(g693
    +g976
    +tp18997
    +a(g826
    +g978
    +tp18998
    +a(g423
    +Vi32
    +p18999
    +tp19000
    +a(g693
    +g976
    +tp19001
    +a(g826
    +g978
    +tp19002
    +a(g423
    +Vp18
    +p19003
    +tp19004
    +a(g693
    +g976
    +tp19005
    +a(g826
    +g978
    +tp19006
    +a(g423
    +VNone
    +p19007
    +tp19008
    +a(g693
    +g976
    +tp19009
    +a(g826
    +g978
    +tp19010
    +a(g423
    +VNone
    +p19011
    +tp19012
    +a(g693
    +g976
    +tp19013
    +a(g826
    +g978
    +tp19014
    +a(g423
    +Vf17
    +p19015
    +tp19016
    +a(g693
    +g1098
    +tp19017
    +a(g826
    +V\u000a
    +p19018
    +tp19019
    +a(g423
    +Vi119
    +p19020
    +tp19021
    +a(g826
    +g978
    +tp19022
    +a(g693
    +g1119
    +tp19023
    +a(g826
    +g978
    +tp19024
    +a(g669
    +Vint_lt
    +p19025
    +tp19026
    +a(g693
    +g1104
    +tp19027
    +a(g423
    +Vi89
    +p19028
    +tp19029
    +a(g693
    +g976
    +tp19030
    +a(g826
    +g978
    +tp19031
    +a(g423
    +Vi91
    +p19032
    +tp19033
    +a(g693
    +g1112
    +tp19034
    +a(g826
    +V\u000a
    +p19035
    +tp19036
    +a(g669
    +Vguard_true
    +p19037
    +tp19038
    +a(g693
    +g1104
    +tp19039
    +a(g423
    +Vi119
    +p19040
    +tp19041
    +a(g693
    +g976
    +tp19042
    +a(g826
    +g978
    +tp19043
    +a(g423
    +Vdescr
    +p19044
    +tp19045
    +a(g693
    +g1119
    +tp19046
    +a(g669
    +V
    +p19047
    +tp19048
    +a(g693
    +g1112
    +tp19049
    +a(g826
    +g978
    +tp19050
    +a(g693
    +g972
    +tp19051
    +a(g423
    +Vp0
    +p19052
    +tp19053
    +a(g693
    +g976
    +tp19054
    +a(g826
    +g978
    +tp19055
    +a(g423
    +Vp1
    +p19056
    +tp19057
    +a(g693
    +g976
    +tp19058
    +a(g826
    +g978
    +tp19059
    +a(g423
    +Vp13
    +p19060
    +tp19061
    +a(g693
    +g976
    +tp19062
    +a(g826
    +g978
    +tp19063
    +a(g423
    +Vi89
    +p19064
    +tp19065
    +a(g693
    +g976
    +tp19066
    +a(g826
    +g978
    +tp19067
    +a(g423
    +Vp4
    +p19068
    +tp19069
    +a(g693
    +g976
    +tp19070
    +a(g826
    +g978
    +tp19071
    +a(g423
    +Vp5
    +p19072
    +tp19073
    +a(g693
    +g976
    +tp19074
    +a(g826
    +g978
    +tp19075
    +a(g423
    +Vp6
    +p19076
    +tp19077
    +a(g693
    +g976
    +tp19078
    +a(g826
    +g978
    +tp19079
    +a(g423
    +Vp7
    +p19080
    +tp19081
    +a(g693
    +g976
    +tp19082
    +a(g826
    +g978
    +tp19083
    +a(g423
    +Vp8
    +p19084
    +tp19085
    +a(g693
    +g976
    +tp19086
    +a(g826
    +g978
    +tp19087
    +a(g423
    +Vp9
    +p19088
    +tp19089
    +a(g693
    +g976
    +tp19090
    +a(g826
    +g978
    +tp19091
    +a(g423
    +Vp10
    +p19092
    +tp19093
    +a(g693
    +g976
    +tp19094
    +a(g826
    +g978
    +tp19095
    +a(g423
    +Vp11
    +p19096
    +tp19097
    +a(g693
    +g976
    +tp19098
    +a(g826
    +g978
    +tp19099
    +a(g423
    +Vp12
    +p19100
    +tp19101
    +a(g693
    +g976
    +tp19102
    +a(g826
    +g978
    +tp19103
    +a(g423
    +Vf116
    +p19104
    +tp19105
    +a(g693
    +g976
    +tp19106
    +a(g826
    +g978
    +tp19107
    +a(g423
    +VNone
    +p19108
    +tp19109
    +a(g693
    +g976
    +tp19110
    +a(g826
    +g978
    +tp19111
    +a(g423
    +VNone
    +p19112
    +tp19113
    +a(g693
    +g976
    +tp19114
    +a(g826
    +g978
    +tp19115
    +a(g423
    +VNone
    +p19116
    +tp19117
    +a(g693
    +g976
    +tp19118
    +a(g826
    +g978
    +tp19119
    +a(g423
    +VNone
    +p19120
    +tp19121
    +a(g693
    +g976
    +tp19122
    +a(g826
    +g978
    +tp19123
    +a(g423
    +VNone
    +p19124
    +tp19125
    +a(g693
    +g976
    +tp19126
    +a(g826
    +g978
    +tp19127
    +a(g423
    +VNone
    +p19128
    +tp19129
    +a(g693
    +g976
    +tp19130
    +a(g826
    +g978
    +tp19131
    +a(g423
    +Vf96
    +p19132
    +tp19133
    +a(g693
    +g976
    +tp19134
    +a(g826
    +g978
    +tp19135
    +a(g423
    +VNone
    +p19136
    +tp19137
    +a(g693
    +g976
    +tp19138
    +a(g826
    +g978
    +tp19139
    +a(g423
    +Vi78
    +p19140
    +tp19141
    +a(g693
    +g976
    +tp19142
    +a(g826
    +g978
    +tp19143
    +a(g423
    +Vi85
    +p19144
    +tp19145
    +a(g693
    +g976
    +tp19146
    +a(g826
    +g978
    +tp19147
    +a(g423
    +VNone
    +p19148
    +tp19149
    +a(g693
    +g976
    +tp19150
    +a(g826
    +g978
    +tp19151
    +a(g423
    +Vi68
    +p19152
    +tp19153
    +a(g693
    +g976
    +tp19154
    +a(g826
    +g978
    +tp19155
    +a(g423
    +VNone
    +p19156
    +tp19157
    +a(g693
    +g976
    +tp19158
    +a(g826
    +g978
    +tp19159
    +a(g423
    +VNone
    +p19160
    +tp19161
    +a(g693
    +g976
    +tp19162
    +a(g826
    +g978
    +tp19163
    +a(g423
    +Vi32
    +p19164
    +tp19165
    +a(g693
    +g976
    +tp19166
    +a(g826
    +g978
    +tp19167
    +a(g423
    +Vp18
    +p19168
    +tp19169
    +a(g693
    +g976
    +tp19170
    +a(g826
    +g978
    +tp19171
    +a(g423
    +VNone
    +p19172
    +tp19173
    +a(g693
    +g976
    +tp19174
    +a(g826
    +g978
    +tp19175
    +a(g423
    +VNone
    +p19176
    +tp19177
    +a(g693
    +g976
    +tp19178
    +a(g826
    +g978
    +tp19179
    +a(g423
    +Vf17
    +p19180
    +tp19181
    +a(g693
    +g1098
    +tp19182
    +a(g826
    +V\u000a
    +p19183
    +tp19184
    +a(g423
    +Vf120
    +p19185
    +tp19186
    +a(g826
    +g978
    +tp19187
    +a(g693
    +g1119
    +tp19188
    +a(g826
    +g978
    +tp19189
    +a(g669
    +Vgetarrayitem_raw
    +p19190
    +tp19191
    +a(g693
    +g1104
    +tp19192
    +a(g423
    +Vi95
    +p19193
    +tp19194
    +a(g693
    +g976
    +tp19195
    +a(g826
    +g978
    +tp19196
    +a(g423
    +Vi89
    +p19197
    +tp19198
    +a(g693
    +g976
    +tp19199
    +a(g826
    +g978
    +tp19200
    +a(g423
    +Vdescr
    +p19201
    +tp19202
    +a(g693
    +g1119
    +tp19203
    +a(g669
    +V
    +p19204
    +tp19205
    +a(g693
    +g1112
    +tp19206
    +a(g826
    +V\u000a
    +p19207
    +tp19208
    +a(g616
    +Vdebug_merge_point
    +p19209
    +tp19210
    +a(g693
    +g1104
    +tp19211
    +a(g76
    +V' #211 LOAD_FAST'
    +p19212
    +tp19213
    +a(g693
    +g976
    +tp19214
    +a(g826
    +g978
    +tp19215
    +a(g22
    +g1110
    +tp19216
    +a(g693
    +g1112
    +tp19217
    +a(g826
    +V\u000a
    +p19218
    +tp19219
    +a(g616
    +Vdebug_merge_point
    +p19220
    +tp19221
    +a(g693
    +g1104
    +tp19222
    +a(g76
    +V' #214 LOAD_FAST'
    +p19223
    +tp19224
    +a(g693
    +g976
    +tp19225
    +a(g826
    +g978
    +tp19226
    +a(g22
    +g1110
    +tp19227
    +a(g693
    +g1112
    +tp19228
    +a(g826
    +V\u000a
    +p19229
    +tp19230
    +a(g616
    +Vdebug_merge_point
    +p19231
    +tp19232
    +a(g693
    +g1104
    +tp19233
    +a(g76
    +V' #217 LOAD_FAST'
    +p19234
    +tp19235
    +a(g693
    +g976
    +tp19236
    +a(g826
    +g978
    +tp19237
    +a(g22
    +g1110
    +tp19238
    +a(g693
    +g1112
    +tp19239
    +a(g826
    +V\u000a
    +p19240
    +tp19241
    +a(g616
    +Vdebug_merge_point
    +p19242
    +tp19243
    +a(g693
    +g1104
    +tp19244
    +a(g76
    +V' #220 BINARY_MULTIPLY'
    +p19245
    +tp19246
    +a(g693
    +g976
    +tp19247
    +a(g826
    +g978
    +tp19248
    +a(g22
    +g1110
    +tp19249
    +a(g693
    +g1112
    +tp19250
    +a(g826
    +V\u000a
    +p19251
    +tp19252
    +a(g616
    +Vdebug_merge_point
    +p19253
    +tp19254
    +a(g693
    +g1104
    +tp19255
    +a(g76
    +V' #221 LOAD_FAST'
    +p19256
    +tp19257
    +a(g693
    +g976
    +tp19258
    +a(g826
    +g978
    +tp19259
    +a(g22
    +g1110
    +tp19260
    +a(g693
    +g1112
    +tp19261
    +a(g826
    +V\u000a
    +p19262
    +tp19263
    +a(g616
    +Vdebug_merge_point
    +p19264
    +tp19265
    +a(g693
    +g1104
    +tp19266
    +a(g76
    +V' #224 BINARY_ADD'
    +p19267
    +tp19268
    +a(g693
    +g976
    +tp19269
    +a(g826
    +g978
    +tp19270
    +a(g22
    +g1110
    +tp19271
    +a(g693
    +g1112
    +tp19272
    +a(g826
    +V\u000a
    +p19273
    +tp19274
    +a(g616
    +Vdebug_merge_point
    +p19275
    +tp19276
    +a(g693
    +g1104
    +tp19277
    +a(g76
    +V' #225 LOAD_CONST'
    +p19278
    +tp19279
    +a(g693
    +g976
    +tp19280
    +a(g826
    +g978
    +tp19281
    +a(g22
    +g1110
    +tp19282
    +a(g693
    +g1112
    +tp19283
    +a(g826
    +V\u000a
    +p19284
    +tp19285
    +a(g616
    +Vdebug_merge_point
    +p19286
    +tp19287
    +a(g693
    +g1104
    +tp19288
    +a(g76
    +V' #228 BINARY_ADD'
    +p19289
    +tp19290
    +a(g693
    +g976
    +tp19291
    +a(g826
    +g978
    +tp19292
    +a(g22
    +g1110
    +tp19293
    +a(g693
    +g1112
    +tp19294
    +a(g826
    +V\u000a
    +p19295
    +tp19296
    +a(g423
    +Vi122
    +p19297
    +tp19298
    +a(g826
    +g978
    +tp19299
    +a(g693
    +g1119
    +tp19300
    +a(g826
    +g978
    +tp19301
    +a(g669
    +Vint_add
    +p19302
    +tp19303
    +a(g693
    +g1104
    +tp19304
    +a(g423
    +Vi90
    +p19305
    +tp19306
    +a(g693
    +g976
    +tp19307
    +a(g826
    +g978
    +tp19308
    +a(g22
    +g1250
    +tp19309
    +a(g693
    +g1112
    +tp19310
    +a(g826
    +V\u000a
    +p19311
    +tp19312
    +a(g616
    +Vdebug_merge_point
    +p19313
    +tp19314
    +a(g693
    +g1104
    +tp19315
    +a(g76
    +V' #229 BINARY_SUBSCR'
    +p19316
    +tp19317
    +a(g693
    +g976
    +tp19318
    +a(g826
    +g978
    +tp19319
    +a(g22
    +g1110
    +tp19320
    +a(g693
    +g1112
    +tp19321
    +a(g826
    +V\u000a
    +p19322
    +tp19323
    +a(g423
    +Vi123
    +p19324
    +tp19325
    +a(g826
    +g978
    +tp19326
    +a(g693
    +g1119
    +tp19327
    +a(g826
    +g978
    +tp19328
    +a(g669
    +Vint_lt
    +p19329
    +tp19330
    +a(g693
    +g1104
    +tp19331
    +a(g423
    +Vi122
    +p19332
    +tp19333
    +a(g693
    +g976
    +tp19334
    +a(g826
    +g978
    +tp19335
    +a(g423
    +Vi91
    +p19336
    +tp19337
    +a(g693
    +g1112
    +tp19338
    +a(g826
    +V\u000a
    +p19339
    +tp19340
    +a(g669
    +Vguard_true
    +p19341
    +tp19342
    +a(g693
    +g1104
    +tp19343
    +a(g423
    +Vi123
    +p19344
    +tp19345
    +a(g693
    +g976
    +tp19346
    +a(g826
    +g978
    +tp19347
    +a(g423
    +Vdescr
    +p19348
    +tp19349
    +a(g693
    +g1119
    +tp19350
    +a(g669
    +V
    +p19351
    +tp19352
    +a(g693
    +g1112
    +tp19353
    +a(g826
    +g978
    +tp19354
    +a(g693
    +g972
    +tp19355
    +a(g423
    +Vp0
    +p19356
    +tp19357
    +a(g693
    +g976
    +tp19358
    +a(g826
    +g978
    +tp19359
    +a(g423
    +Vp1
    +p19360
    +tp19361
    +a(g693
    +g976
    +tp19362
    +a(g826
    +g978
    +tp19363
    +a(g423
    +Vp13
    +p19364
    +tp19365
    +a(g693
    +g976
    +tp19366
    +a(g826
    +g978
    +tp19367
    +a(g423
    +Vi122
    +p19368
    +tp19369
    +a(g693
    +g976
    +tp19370
    +a(g826
    +g978
    +tp19371
    +a(g423
    +Vp4
    +p19372
    +tp19373
    +a(g693
    +g976
    +tp19374
    +a(g826
    +g978
    +tp19375
    +a(g423
    +Vp5
    +p19376
    +tp19377
    +a(g693
    +g976
    +tp19378
    +a(g826
    +g978
    +tp19379
    +a(g423
    +Vp6
    +p19380
    +tp19381
    +a(g693
    +g976
    +tp19382
    +a(g826
    +g978
    +tp19383
    +a(g423
    +Vp7
    +p19384
    +tp19385
    +a(g693
    +g976
    +tp19386
    +a(g826
    +g978
    +tp19387
    +a(g423
    +Vp8
    +p19388
    +tp19389
    +a(g693
    +g976
    +tp19390
    +a(g826
    +g978
    +tp19391
    +a(g423
    +Vp9
    +p19392
    +tp19393
    +a(g693
    +g976
    +tp19394
    +a(g826
    +g978
    +tp19395
    +a(g423
    +Vp10
    +p19396
    +tp19397
    +a(g693
    +g976
    +tp19398
    +a(g826
    +g978
    +tp19399
    +a(g423
    +Vp11
    +p19400
    +tp19401
    +a(g693
    +g976
    +tp19402
    +a(g826
    +g978
    +tp19403
    +a(g423
    +Vp12
    +p19404
    +tp19405
    +a(g693
    +g976
    +tp19406
    +a(g826
    +g978
    +tp19407
    +a(g423
    +Vf120
    +p19408
    +tp19409
    +a(g693
    +g976
    +tp19410
    +a(g826
    +g978
    +tp19411
    +a(g423
    +Vf116
    +p19412
    +tp19413
    +a(g693
    +g976
    +tp19414
    +a(g826
    +g978
    +tp19415
    +a(g423
    +VNone
    +p19416
    +tp19417
    +a(g693
    +g976
    +tp19418
    +a(g826
    +g978
    +tp19419
    +a(g423
    +VNone
    +p19420
    +tp19421
    +a(g693
    +g976
    +tp19422
    +a(g826
    +g978
    +tp19423
    +a(g423
    +VNone
    +p19424
    +tp19425
    +a(g693
    +g976
    +tp19426
    +a(g826
    +g978
    +tp19427
    +a(g423
    +VNone
    +p19428
    +tp19429
    +a(g693
    +g976
    +tp19430
    +a(g826
    +g978
    +tp19431
    +a(g423
    +VNone
    +p19432
    +tp19433
    +a(g693
    +g976
    +tp19434
    +a(g826
    +g978
    +tp19435
    +a(g423
    +VNone
    +p19436
    +tp19437
    +a(g693
    +g976
    +tp19438
    +a(g826
    +g978
    +tp19439
    +a(g423
    +Vf96
    +p19440
    +tp19441
    +a(g693
    +g976
    +tp19442
    +a(g826
    +g978
    +tp19443
    +a(g423
    +VNone
    +p19444
    +tp19445
    +a(g693
    +g976
    +tp19446
    +a(g826
    +g978
    +tp19447
    +a(g423
    +Vi78
    +p19448
    +tp19449
    +a(g693
    +g976
    +tp19450
    +a(g826
    +g978
    +tp19451
    +a(g423
    +Vi85
    +p19452
    +tp19453
    +a(g693
    +g976
    +tp19454
    +a(g826
    +g978
    +tp19455
    +a(g423
    +VNone
    +p19456
    +tp19457
    +a(g693
    +g976
    +tp19458
    +a(g826
    +g978
    +tp19459
    +a(g423
    +Vi68
    +p19460
    +tp19461
    +a(g693
    +g976
    +tp19462
    +a(g826
    +g978
    +tp19463
    +a(g423
    +VNone
    +p19464
    +tp19465
    +a(g693
    +g976
    +tp19466
    +a(g826
    +g978
    +tp19467
    +a(g423
    +VNone
    +p19468
    +tp19469
    +a(g693
    +g976
    +tp19470
    +a(g826
    +g978
    +tp19471
    +a(g423
    +Vi32
    +p19472
    +tp19473
    +a(g693
    +g976
    +tp19474
    +a(g826
    +g978
    +tp19475
    +a(g423
    +Vp18
    +p19476
    +tp19477
    +a(g693
    +g976
    +tp19478
    +a(g826
    +g978
    +tp19479
    +a(g423
    +VNone
    +p19480
    +tp19481
    +a(g693
    +g976
    +tp19482
    +a(g826
    +g978
    +tp19483
    +a(g423
    +VNone
    +p19484
    +tp19485
    +a(g693
    +g976
    +tp19486
    +a(g826
    +g978
    +tp19487
    +a(g423
    +Vf17
    +p19488
    +tp19489
    +a(g693
    +g1098
    +tp19490
    +a(g826
    +V\u000a
    +p19491
    +tp19492
    +a(g423
    +Vf124
    +p19493
    +tp19494
    +a(g826
    +g978
    +tp19495
    +a(g693
    +g1119
    +tp19496
    +a(g826
    +g978
    +tp19497
    +a(g669
    +Vgetarrayitem_raw
    +p19498
    +tp19499
    +a(g693
    +g1104
    +tp19500
    +a(g423
    +Vi95
    +p19501
    +tp19502
    +a(g693
    +g976
    +tp19503
    +a(g826
    +g978
    +tp19504
    +a(g423
    +Vi122
    +p19505
    +tp19506
    +a(g693
    +g976
    +tp19507
    +a(g826
    +g978
    +tp19508
    +a(g423
    +Vdescr
    +p19509
    +tp19510
    +a(g693
    +g1119
    +tp19511
    +a(g669
    +V
    +p19512
    +tp19513
    +a(g693
    +g1112
    +tp19514
    +a(g826
    +V\u000a
    +p19515
    +tp19516
    +a(g616
    +Vdebug_merge_point
    +p19517
    +tp19518
    +a(g693
    +g1104
    +tp19519
    +a(g76
    +V' #230 BINARY_ADD'
    +p19520
    +tp19521
    +a(g693
    +g976
    +tp19522
    +a(g826
    +g978
    +tp19523
    +a(g22
    +g1110
    +tp19524
    +a(g693
    +g1112
    +tp19525
    +a(g826
    +V\u000a
    +p19526
    +tp19527
    +a(g423
    +Vf125
    +p19528
    +tp19529
    +a(g826
    +g978
    +tp19530
    +a(g693
    +g1119
    +tp19531
    +a(g826
    +g978
    +tp19532
    +a(g669
    +Vfloat_add
    +p19533
    +tp19534
    +a(g693
    +g1104
    +tp19535
    +a(g423
    +Vf120
    +p19536
    +tp19537
    +a(g693
    +g976
    +tp19538
    +a(g826
    +g978
    +tp19539
    +a(g423
    +Vf124
    +p19540
    +tp19541
    +a(g693
    +g1112
    +tp19542
    +a(g826
    +V\u000a
    +p19543
    +tp19544
    +a(g616
    +Vdebug_merge_point
    +p19545
    +tp19546
    +a(g693
    +g1104
    +tp19547
    +a(g76
    +V' #231 LOAD_FAST'
    +p19548
    +tp19549
    +a(g693
    +g976
    +tp19550
    +a(g826
    +g978
    +tp19551
    +a(g22
    +g1110
    +tp19552
    +a(g693
    +g1112
    +tp19553
    +a(g826
    +V\u000a
    +p19554
    +tp19555
    +a(g669
    +Vguard_nonnull_class
    +p19556
    +tp19557
    +a(g693
    +g1104
    +tp19558
    +a(g423
    +Vp8
    +p19559
    +tp19560
    +a(g693
    +g976
    +tp19561
    +a(g826
    +g978
    +tp19562
    +a(g22
    +V19800744
    +p19563
    +tp19564
    +a(g693
    +g976
    +tp19565
    +a(g826
    +g978
    +tp19566
    +a(g423
    +Vdescr
    +p19567
    +tp19568
    +a(g693
    +g1119
    +tp19569
    +a(g669
    +V
    +p19570
    +tp19571
    +a(g693
    +g1112
    +tp19572
    +a(g826
    +g978
    +tp19573
    +a(g693
    +g972
    +tp19574
    +a(g423
    +Vp0
    +p19575
    +tp19576
    +a(g693
    +g976
    +tp19577
    +a(g826
    +g978
    +tp19578
    +a(g423
    +Vp1
    +p19579
    +tp19580
    +a(g693
    +g976
    +tp19581
    +a(g826
    +g978
    +tp19582
    +a(g423
    +Vp8
    +p19583
    +tp19584
    +a(g693
    +g976
    +tp19585
    +a(g826
    +g978
    +tp19586
    +a(g423
    +Vp4
    +p19587
    +tp19588
    +a(g693
    +g976
    +tp19589
    +a(g826
    +g978
    +tp19590
    +a(g423
    +Vp5
    +p19591
    +tp19592
    +a(g693
    +g976
    +tp19593
    +a(g826
    +g978
    +tp19594
    +a(g423
    +Vp6
    +p19595
    +tp19596
    +a(g693
    +g976
    +tp19597
    +a(g826
    +g978
    +tp19598
    +a(g423
    +Vp7
    +p19599
    +tp19600
    +a(g693
    +g976
    +tp19601
    +a(g826
    +g978
    +tp19602
    +a(g423
    +Vp9
    +p19603
    +tp19604
    +a(g693
    +g976
    +tp19605
    +a(g826
    +g978
    +tp19606
    +a(g423
    +Vp10
    +p19607
    +tp19608
    +a(g693
    +g976
    +tp19609
    +a(g826
    +g978
    +tp19610
    +a(g423
    +Vp11
    +p19611
    +tp19612
    +a(g693
    +g976
    +tp19613
    +a(g826
    +g978
    +tp19614
    +a(g423
    +Vp12
    +p19615
    +tp19616
    +a(g693
    +g976
    +tp19617
    +a(g826
    +g978
    +tp19618
    +a(g423
    +Vp13
    +p19619
    +tp19620
    +a(g693
    +g976
    +tp19621
    +a(g826
    +g978
    +tp19622
    +a(g423
    +Vf125
    +p19623
    +tp19624
    +a(g693
    +g976
    +tp19625
    +a(g826
    +g978
    +tp19626
    +a(g423
    +VNone
    +p19627
    +tp19628
    +a(g693
    +g976
    +tp19629
    +a(g826
    +g978
    +tp19630
    +a(g423
    +Vf116
    +p19631
    +tp19632
    +a(g693
    +g976
    +tp19633
    +a(g826
    +g978
    +tp19634
    +a(g423
    +VNone
    +p19635
    +tp19636
    +a(g693
    +g976
    +tp19637
    +a(g826
    +g978
    +tp19638
    +a(g423
    +VNone
    +p19639
    +tp19640
    +a(g693
    +g976
    +tp19641
    +a(g826
    +g978
    +tp19642
    +a(g423
    +VNone
    +p19643
    +tp19644
    +a(g693
    +g976
    +tp19645
    +a(g826
    +g978
    +tp19646
    +a(g423
    +VNone
    +p19647
    +tp19648
    +a(g693
    +g976
    +tp19649
    +a(g826
    +g978
    +tp19650
    +a(g423
    +VNone
    +p19651
    +tp19652
    +a(g693
    +g976
    +tp19653
    +a(g826
    +g978
    +tp19654
    +a(g423
    +VNone
    +p19655
    +tp19656
    +a(g693
    +g976
    +tp19657
    +a(g826
    +g978
    +tp19658
    +a(g423
    +Vf96
    +p19659
    +tp19660
    +a(g693
    +g976
    +tp19661
    +a(g826
    +g978
    +tp19662
    +a(g423
    +VNone
    +p19663
    +tp19664
    +a(g693
    +g976
    +tp19665
    +a(g826
    +g978
    +tp19666
    +a(g423
    +Vi78
    +p19667
    +tp19668
    +a(g693
    +g976
    +tp19669
    +a(g826
    +g978
    +tp19670
    +a(g423
    +Vi85
    +p19671
    +tp19672
    +a(g693
    +g976
    +tp19673
    +a(g826
    +g978
    +tp19674
    +a(g423
    +VNone
    +p19675
    +tp19676
    +a(g693
    +g976
    +tp19677
    +a(g826
    +g978
    +tp19678
    +a(g423
    +Vi68
    +p19679
    +tp19680
    +a(g693
    +g976
    +tp19681
    +a(g826
    +g978
    +tp19682
    +a(g423
    +VNone
    +p19683
    +tp19684
    +a(g693
    +g976
    +tp19685
    +a(g826
    +g978
    +tp19686
    +a(g423
    +VNone
    +p19687
    +tp19688
    +a(g693
    +g976
    +tp19689
    +a(g826
    +g978
    +tp19690
    +a(g423
    +Vi32
    +p19691
    +tp19692
    +a(g693
    +g976
    +tp19693
    +a(g826
    +g978
    +tp19694
    +a(g423
    +Vp18
    +p19695
    +tp19696
    +a(g693
    +g976
    +tp19697
    +a(g826
    +g978
    +tp19698
    +a(g423
    +VNone
    +p19699
    +tp19700
    +a(g693
    +g976
    +tp19701
    +a(g826
    +g978
    +tp19702
    +a(g423
    +VNone
    +p19703
    +tp19704
    +a(g693
    +g976
    +tp19705
    +a(g826
    +g978
    +tp19706
    +a(g423
    +Vf17
    +p19707
    +tp19708
    +a(g693
    +g1098
    +tp19709
    +a(g826
    +V\u000a
    +p19710
    +tp19711
    +a(g616
    +Vdebug_merge_point
    +p19712
    +tp19713
    +a(g693
    +g1104
    +tp19714
    +a(g76
    +V' #234 BINARY_MULTIPLY'
    +p19715
    +tp19716
    +a(g693
    +g976
    +tp19717
    +a(g826
    +g978
    +tp19718
    +a(g22
    +g1110
    +tp19719
    +a(g693
    +g1112
    +tp19720
    +a(g826
    +V\u000a
    +p19721
    +tp19722
    +a(g423
    +Vf127
    +p19723
    +tp19724
    +a(g826
    +g978
    +tp19725
    +a(g693
    +g1119
    +tp19726
    +a(g826
    +g978
    +tp19727
    +a(g669
    +Vgetfield_gc_pure
    +p19728
    +tp19729
    +a(g693
    +g1104
    +tp19730
    +a(g423
    +Vp8
    +p19731
    +tp19732
    +a(g693
    +g976
    +tp19733
    +a(g826
    +g978
    +tp19734
    +a(g423
    +Vdescr
    +p19735
    +tp19736
    +a(g693
    +g1119
    +tp19737
    +a(g669
    +V
    +p19738
    +tp19739
    +a(g693
    +g1112
    +tp19740
    +a(g826
    +V\u000a
    +p19741
    +tp19742
    +a(g423
    +Vf128
    +p19743
    +tp19744
    +a(g826
    +g978
    +tp19745
    +a(g693
    +g1119
    +tp19746
    +a(g826
    +g978
    +tp19747
    +a(g669
    +Vfloat_mul
    +p19748
    +tp19749
    +a(g693
    +g1104
    +tp19750
    +a(g423
    +Vf125
    +p19751
    +tp19752
    +a(g693
    +g976
    +tp19753
    +a(g826
    +g978
    +tp19754
    +a(g423
    +Vf127
    +p19755
    +tp19756
    +a(g693
    +g1112
    +tp19757
    +a(g826
    +V\u000a
    +p19758
    +tp19759
    +a(g616
    +Vdebug_merge_point
    +p19760
    +tp19761
    +a(g693
    +g1104
    +tp19762
    +a(g76
    +V' #235 BINARY_ADD'
    +p19763
    +tp19764
    +a(g693
    +g976
    +tp19765
    +a(g826
    +g978
    +tp19766
    +a(g22
    +g1110
    +tp19767
    +a(g693
    +g1112
    +tp19768
    +a(g826
    +V\u000a
    +p19769
    +tp19770
    +a(g423
    +Vf129
    +p19771
    +tp19772
    +a(g826
    +g978
    +tp19773
    +a(g693
    +g1119
    +tp19774
    +a(g826
    +g978
    +tp19775
    +a(g669
    +Vfloat_add
    +p19776
    +tp19777
    +a(g693
    +g1104
    +tp19778
    +a(g423
    +Vf116
    +p19779
    +tp19780
    +a(g693
    +g976
    +tp19781
    +a(g826
    +g978
    +tp19782
    +a(g423
    +Vf128
    +p19783
    +tp19784
    +a(g693
    +g1112
    +tp19785
    +a(g826
    +V\u000a
    +p19786
    +tp19787
    +a(g616
    +Vdebug_merge_point
    +p19788
    +tp19789
    +a(g693
    +g1104
    +tp19790
    +a(g76
    +V' #236 LOAD_FAST'
    +p19791
    +tp19792
    +a(g693
    +g976
    +tp19793
    +a(g826
    +g978
    +tp19794
    +a(g22
    +g1110
    +tp19795
    +a(g693
    +g1112
    +tp19796
    +a(g826
    +V\u000a
    +p19797
    +tp19798
    +a(g669
    +Vguard_nonnull_class
    +p19799
    +tp19800
    +a(g693
    +g1104
    +tp19801
    +a(g423
    +Vp10
    +p19802
    +tp19803
    +a(g693
    +g976
    +tp19804
    +a(g826
    +g978
    +tp19805
    +a(g22
    +V19800744
    +p19806
    +tp19807
    +a(g693
    +g976
    +tp19808
    +a(g826
    +g978
    +tp19809
    +a(g423
    +Vdescr
    +p19810
    +tp19811
    +a(g693
    +g1119
    +tp19812
    +a(g669
    +V
    +p19813
    +tp19814
    +a(g693
    +g1112
    +tp19815
    +a(g826
    +g978
    +tp19816
    +a(g693
    +g972
    +tp19817
    +a(g423
    +Vp0
    +p19818
    +tp19819
    +a(g693
    +g976
    +tp19820
    +a(g826
    +g978
    +tp19821
    +a(g423
    +Vp1
    +p19822
    +tp19823
    +a(g693
    +g976
    +tp19824
    +a(g826
    +g978
    +tp19825
    +a(g423
    +Vp10
    +p19826
    +tp19827
    +a(g693
    +g976
    +tp19828
    +a(g826
    +g978
    +tp19829
    +a(g423
    +Vp4
    +p19830
    +tp19831
    +a(g693
    +g976
    +tp19832
    +a(g826
    +g978
    +tp19833
    +a(g423
    +Vp5
    +p19834
    +tp19835
    +a(g693
    +g976
    +tp19836
    +a(g826
    +g978
    +tp19837
    +a(g423
    +Vp6
    +p19838
    +tp19839
    +a(g693
    +g976
    +tp19840
    +a(g826
    +g978
    +tp19841
    +a(g423
    +Vp7
    +p19842
    +tp19843
    +a(g693
    +g976
    +tp19844
    +a(g826
    +g978
    +tp19845
    +a(g423
    +Vp8
    +p19846
    +tp19847
    +a(g693
    +g976
    +tp19848
    +a(g826
    +g978
    +tp19849
    +a(g423
    +Vp9
    +p19850
    +tp19851
    +a(g693
    +g976
    +tp19852
    +a(g826
    +g978
    +tp19853
    +a(g423
    +Vp11
    +p19854
    +tp19855
    +a(g693
    +g976
    +tp19856
    +a(g826
    +g978
    +tp19857
    +a(g423
    +Vp12
    +p19858
    +tp19859
    +a(g693
    +g976
    +tp19860
    +a(g826
    +g978
    +tp19861
    +a(g423
    +Vp13
    +p19862
    +tp19863
    +a(g693
    +g976
    +tp19864
    +a(g826
    +g978
    +tp19865
    +a(g423
    +Vf129
    +p19866
    +tp19867
    +a(g693
    +g976
    +tp19868
    +a(g826
    +g978
    +tp19869
    +a(g423
    +VNone
    +p19870
    +tp19871
    +a(g693
    +g976
    +tp19872
    +a(g826
    +g978
    +tp19873
    +a(g423
    +VNone
    +p19874
    +tp19875
    +a(g693
    +g976
    +tp19876
    +a(g826
    +g978
    +tp19877
    +a(g423
    +VNone
    +p19878
    +tp19879
    +a(g693
    +g976
    +tp19880
    +a(g826
    +g978
    +tp19881
    +a(g423
    +VNone
    +p19882
    +tp19883
    +a(g693
    +g976
    +tp19884
    +a(g826
    +g978
    +tp19885
    +a(g423
    +VNone
    +p19886
    +tp19887
    +a(g693
    +g976
    +tp19888
    +a(g826
    +g978
    +tp19889
    +a(g423
    +VNone
    +p19890
    +tp19891
    +a(g693
    +g976
    +tp19892
    +a(g826
    +g978
    +tp19893
    +a(g423
    +VNone
    +p19894
    +tp19895
    +a(g693
    +g976
    +tp19896
    +a(g826
    +g978
    +tp19897
    +a(g423
    +VNone
    +p19898
    +tp19899
    +a(g693
    +g976
    +tp19900
    +a(g826
    +g978
    +tp19901
    +a(g423
    +VNone
    +p19902
    +tp19903
    +a(g693
    +g976
    +tp19904
    +a(g826
    +g978
    +tp19905
    +a(g423
    +Vf96
    +p19906
    +tp19907
    +a(g693
    +g976
    +tp19908
    +a(g826
    +g978
    +tp19909
    +a(g423
    +VNone
    +p19910
    +tp19911
    +a(g693
    +g976
    +tp19912
    +a(g826
    +g978
    +tp19913
    +a(g423
    +Vi78
    +p19914
    +tp19915
    +a(g693
    +g976
    +tp19916
    +a(g826
    +g978
    +tp19917
    +a(g423
    +Vi85
    +p19918
    +tp19919
    +a(g693
    +g976
    +tp19920
    +a(g826
    +g978
    +tp19921
    +a(g423
    +VNone
    +p19922
    +tp19923
    +a(g693
    +g976
    +tp19924
    +a(g826
    +g978
    +tp19925
    +a(g423
    +Vi68
    +p19926
    +tp19927
    +a(g693
    +g976
    +tp19928
    +a(g826
    +g978
    +tp19929
    +a(g423
    +VNone
    +p19930
    +tp19931
    +a(g693
    +g976
    +tp19932
    +a(g826
    +g978
    +tp19933
    +a(g423
    +VNone
    +p19934
    +tp19935
    +a(g693
    +g976
    +tp19936
    +a(g826
    +g978
    +tp19937
    +a(g423
    +Vi32
    +p19938
    +tp19939
    +a(g693
    +g976
    +tp19940
    +a(g826
    +g978
    +tp19941
    +a(g423
    +Vp18
    +p19942
    +tp19943
    +a(g693
    +g976
    +tp19944
    +a(g826
    +g978
    +tp19945
    +a(g423
    +VNone
    +p19946
    +tp19947
    +a(g693
    +g976
    +tp19948
    +a(g826
    +g978
    +tp19949
    +a(g423
    +VNone
    +p19950
    +tp19951
    +a(g693
    +g976
    +tp19952
    +a(g826
    +g978
    +tp19953
    +a(g423
    +Vf17
    +p19954
    +tp19955
    +a(g693
    +g1098
    +tp19956
    +a(g826
    +V\u000a
    +p19957
    +tp19958
    +a(g616
    +Vdebug_merge_point
    +p19959
    +tp19960
    +a(g693
    +g1104
    +tp19961
    +a(g76
    +V' #239 BINARY_MULTIPLY'
    +p19962
    +tp19963
    +a(g693
    +g976
    +tp19964
    +a(g826
    +g978
    +tp19965
    +a(g22
    +g1110
    +tp19966
    +a(g693
    +g1112
    +tp19967
    +a(g826
    +V\u000a
    +p19968
    +tp19969
    +a(g423
    +Vf131
    +p19970
    +tp19971
    +a(g826
    +g978
    +tp19972
    +a(g693
    +g1119
    +tp19973
    +a(g826
    +g978
    +tp19974
    +a(g669
    +Vgetfield_gc_pure
    +p19975
    +tp19976
    +a(g693
    +g1104
    +tp19977
    +a(g423
    +Vp10
    +p19978
    +tp19979
    +a(g693
    +g976
    +tp19980
    +a(g826
    +g978
    +tp19981
    +a(g423
    +Vdescr
    +p19982
    +tp19983
    +a(g693
    +g1119
    +tp19984
    +a(g669
    +V
    +p19985
    +tp19986
    +a(g693
    +g1112
    +tp19987
    +a(g826
    +V\u000a
    +p19988
    +tp19989
    +a(g423
    +Vf132
    +p19990
    +tp19991
    +a(g826
    +g978
    +tp19992
    +a(g693
    +g1119
    +tp19993
    +a(g826
    +g978
    +tp19994
    +a(g669
    +Vfloat_mul
    +p19995
    +tp19996
    +a(g693
    +g1104
    +tp19997
    +a(g423
    +Vf129
    +p19998
    +tp19999
    +a(g693
    +g976
    +tp20000
    +a(g826
    +g978
    +tp20001
    +a(g423
    +Vf131
    +p20002
    +tp20003
    +a(g693
    +g1112
    +tp20004
    +a(g826
    +V\u000a
    +p20005
    +tp20006
    +a(g616
    +Vdebug_merge_point
    +p20007
    +tp20008
    +a(g693
    +g1104
    +tp20009
    +a(g76
    +V' #240 LOAD_FAST'
    +p20010
    +tp20011
    +a(g693
    +g976
    +tp20012
    +a(g826
    +g978
    +tp20013
    +a(g22
    +g1110
    +tp20014
    +a(g693
    +g1112
    +tp20015
    +a(g826
    +V\u000a
    +p20016
    +tp20017
    +a(g616
    +Vdebug_merge_point
    +p20018
    +tp20019
    +a(g693
    +g1104
    +tp20020
    +a(g76
    +V' #243 LOAD_FAST'
    +p20021
    +tp20022
    +a(g693
    +g976
    +tp20023
    +a(g826
    +g978
    +tp20024
    +a(g22
    +g1110
    +tp20025
    +a(g693
    +g1112
    +tp20026
    +a(g826
    +V\u000a
    +p20027
    +tp20028
    +a(g616
    +Vdebug_merge_point
    +p20029
    +tp20030
    +a(g693
    +g1104
    +tp20031
    +a(g76
    +V' #246 LOAD_FAST'
    +p20032
    +tp20033
    +a(g693
    +g976
    +tp20034
    +a(g826
    +g978
    +tp20035
    +a(g22
    +g1110
    +tp20036
    +a(g693
    +g1112
    +tp20037
    +a(g826
    +V\u000a
    +p20038
    +tp20039
    +a(g616
    +Vdebug_merge_point
    +p20040
    +tp20041
    +a(g693
    +g1104
    +tp20042
    +a(g76
    +V' #249 BINARY_MULTIPLY'
    +p20043
    +tp20044
    +a(g693
    +g976
    +tp20045
    +a(g826
    +g978
    +tp20046
    +a(g22
    +g1110
    +tp20047
    +a(g693
    +g1112
    +tp20048
    +a(g826
    +V\u000a
    +p20049
    +tp20050
    +a(g616
    +Vdebug_merge_point
    +p20051
    +tp20052
    +a(g693
    +g1104
    +tp20053
    +a(g76
    +V' #250 LOAD_FAST'
    +p20054
    +tp20055
    +a(g693
    +g976
    +tp20056
    +a(g826
    +g978
    +tp20057
    +a(g22
    +g1110
    +tp20058
    +a(g693
    +g1112
    +tp20059
    +a(g826
    +V\u000a
    +p20060
    +tp20061
    +a(g616
    +Vdebug_merge_point
    +p20062
    +tp20063
    +a(g693
    +g1104
    +tp20064
    +a(g76
    +V' #253 BINARY_ADD'
    +p20065
    +tp20066
    +a(g693
    +g976
    +tp20067
    +a(g826
    +g978
    +tp20068
    +a(g22
    +g1110
    +tp20069
    +a(g693
    +g1112
    +tp20070
    +a(g826
    +V\u000a
    +p20071
    +tp20072
    +a(g616
    +Vdebug_merge_point
    +p20073
    +tp20074
    +a(g693
    +g1104
    +tp20075
    +a(g76
    +V' #254 STORE_SUBSCR'
    +p20076
    +tp20077
    +a(g693
    +g976
    +tp20078
    +a(g826
    +g978
    +tp20079
    +a(g22
    +g1110
    +tp20080
    +a(g693
    +g1112
    +tp20081
    +a(g826
    +V\u000a
    +p20082
    +tp20083
    +a(g669
    +Vsetarrayitem_raw
    +p20084
    +tp20085
    +a(g693
    +g1104
    +tp20086
    +a(g423
    +Vi95
    +p20087
    +tp20088
    +a(g693
    +g976
    +tp20089
    +a(g826
    +g978
    +tp20090
    +a(g423
    +Vi90
    +p20091
    +tp20092
    +a(g693
    +g976
    +tp20093
    +a(g826
    +g978
    +tp20094
    +a(g423
    +Vf132
    +p20095
    +tp20096
    +a(g693
    +g976
    +tp20097
    +a(g826
    +g978
    +tp20098
    +a(g423
    +Vdescr
    +p20099
    +tp20100
    +a(g693
    +g1119
    +tp20101
    +a(g669
    +V
    +p20102
    +tp20103
    +a(g693
    +g1112
    +tp20104
    +a(g826
    +V\u000a
    +p20105
    +tp20106
    +a(g616
    +Vdebug_merge_point
    +p20107
    +tp20108
    +a(g693
    +g1104
    +tp20109
    +a(g76
    +V' #255 LOAD_FAST'
    +p20110
    +tp20111
    +a(g693
    +g976
    +tp20112
    +a(g826
    +g978
    +tp20113
    +a(g22
    +g1110
    +tp20114
    +a(g693
    +g1112
    +tp20115
    +a(g826
    +V\u000a
    +p20116
    +tp20117
    +a(g616
    +Vdebug_merge_point
    +p20118
    +tp20119
    +a(g693
    +g1104
    +tp20120
    +a(g76
    +V' #258 LOAD_GLOBAL'
    +p20121
    +tp20122
    +a(g693
    +g976
    +tp20123
    +a(g826
    +g978
    +tp20124
    +a(g22
    +g1110
    +tp20125
    +a(g693
    +g1112
    +tp20126
    +a(g826
    +V\u000a
    +p20127
    +tp20128
    +a(g423
    +Vp134
    +p20129
    +tp20130
    +a(g826
    +g978
    +tp20131
    +a(g693
    +g1119
    +tp20132
    +a(g826
    +g978
    +tp20133
    +a(g669
    +Vgetfield_gc
    +p20134
    +tp20135
    +a(g693
    +g1104
    +tp20136
    +a(g423
    +VConstPtr
    +p20137
    +tp20138
    +a(g693
    +g1104
    +tp20139
    +a(g669
    +Vptr133
    +p20140
    +tp20141
    +a(g693
    +g1112
    +tp20142
    +a(g693
    +g976
    +tp20143
    +a(g826
    +g978
    +tp20144
    +a(g423
    +Vdescr
    +p20145
    +tp20146
    +a(g693
    +g1119
    +tp20147
    +a(g669
    +V
    +p20148
    +tp20149
    +a(g693
    +g1112
    +tp20150
    +a(g826
    +V\u000a
    +p20151
    +tp20152
    +a(g669
    +Vguard_nonnull_class
    +p20153
    +tp20154
    +a(g693
    +g1104
    +tp20155
    +a(g423
    +Vp134
    +p20156
    +tp20157
    +a(g693
    +g976
    +tp20158
    +a(g826
    +g978
    +tp20159
    +a(g423
    +VConstClass
    +p20160
    +tp20161
    +a(g693
    +g1104
    +tp20162
    +a(g669
    +VFunction
    +p20163
    +tp20164
    +a(g693
    +g1112
    +tp20165
    +a(g693
    +g976
    +tp20166
    +a(g826
    +g978
    +tp20167
    +a(g423
    +Vdescr
    +p20168
    +tp20169
    +a(g693
    +g1119
    +tp20170
    +a(g669
    +V
    +p20171
    +tp20172
    +a(g693
    +g1112
    +tp20173
    +a(g826
    +g978
    +tp20174
    +a(g693
    +g972
    +tp20175
    +a(g423
    +Vp0
    +p20176
    +tp20177
    +a(g693
    +g976
    +tp20178
    +a(g826
    +g978
    +tp20179
    +a(g423
    +Vp1
    +p20180
    +tp20181
    +a(g693
    +g976
    +tp20182
    +a(g826
    +g978
    +tp20183
    +a(g423
    +Vp134
    +p20184
    +tp20185
    +a(g693
    +g976
    +tp20186
    +a(g826
    +g978
    +tp20187
    +a(g423
    +Vp4
    +p20188
    +tp20189
    +a(g693
    +g976
    +tp20190
    +a(g826
    +g978
    +tp20191
    +a(g423
    +Vp5
    +p20192
    +tp20193
    +a(g693
    +g976
    +tp20194
    +a(g826
    +g978
    +tp20195
    +a(g423
    +Vp6
    +p20196
    +tp20197
    +a(g693
    +g976
    +tp20198
    +a(g826
    +g978
    +tp20199
    +a(g423
    +Vp7
    +p20200
    +tp20201
    +a(g693
    +g976
    +tp20202
    +a(g826
    +g978
    +tp20203
    +a(g423
    +Vp8
    +p20204
    +tp20205
    +a(g693
    +g976
    +tp20206
    +a(g826
    +g978
    +tp20207
    +a(g423
    +Vp9
    +p20208
    +tp20209
    +a(g693
    +g976
    +tp20210
    +a(g826
    +g978
    +tp20211
    +a(g423
    +Vp10
    +p20212
    +tp20213
    +a(g693
    +g976
    +tp20214
    +a(g826
    +g978
    +tp20215
    +a(g423
    +Vp11
    +p20216
    +tp20217
    +a(g693
    +g976
    +tp20218
    +a(g826
    +g978
    +tp20219
    +a(g423
    +Vp12
    +p20220
    +tp20221
    +a(g693
    +g976
    +tp20222
    +a(g826
    +g978
    +tp20223
    +a(g423
    +Vp13
    +p20224
    +tp20225
    +a(g693
    +g976
    +tp20226
    +a(g826
    +g978
    +tp20227
    +a(g423
    +VNone
    +p20228
    +tp20229
    +a(g693
    +g976
    +tp20230
    +a(g826
    +g978
    +tp20231
    +a(g423
    +VNone
    +p20232
    +tp20233
    +a(g693
    +g976
    +tp20234
    +a(g826
    +g978
    +tp20235
    +a(g423
    +VNone
    +p20236
    +tp20237
    +a(g693
    +g976
    +tp20238
    +a(g826
    +g978
    +tp20239
    +a(g423
    +VNone
    +p20240
    +tp20241
    +a(g693
    +g976
    +tp20242
    +a(g826
    +g978
    +tp20243
    +a(g423
    +VNone
    +p20244
    +tp20245
    +a(g693
    +g976
    +tp20246
    +a(g826
    +g978
    +tp20247
    +a(g423
    +VNone
    +p20248
    +tp20249
    +a(g693
    +g976
    +tp20250
    +a(g826
    +g978
    +tp20251
    +a(g423
    +VNone
    +p20252
    +tp20253
    +a(g693
    +g976
    +tp20254
    +a(g826
    +g978
    +tp20255
    +a(g423
    +VNone
    +p20256
    +tp20257
    +a(g693
    +g976
    +tp20258
    +a(g826
    +g978
    +tp20259
    +a(g423
    +VNone
    +p20260
    +tp20261
    +a(g693
    +g976
    +tp20262
    +a(g826
    +g978
    +tp20263
    +a(g423
    +VNone
    +p20264
    +tp20265
    +a(g693
    +g976
    +tp20266
    +a(g826
    +g978
    +tp20267
    +a(g423
    +Vf96
    +p20268
    +tp20269
    +a(g693
    +g976
    +tp20270
    +a(g826
    +g978
    +tp20271
    +a(g423
    +VNone
    +p20272
    +tp20273
    +a(g693
    +g976
    +tp20274
    +a(g826
    +g978
    +tp20275
    +a(g423
    +Vi78
    +p20276
    +tp20277
    +a(g693
    +g976
    +tp20278
    +a(g826
    +g978
    +tp20279
    +a(g423
    +Vi85
    +p20280
    +tp20281
    +a(g693
    +g976
    +tp20282
    +a(g826
    +g978
    +tp20283
    +a(g423
    +VNone
    +p20284
    +tp20285
    +a(g693
    +g976
    +tp20286
    +a(g826
    +g978
    +tp20287
    +a(g423
    +Vi68
    +p20288
    +tp20289
    +a(g693
    +g976
    +tp20290
    +a(g826
    +g978
    +tp20291
    +a(g423
    +VNone
    +p20292
    +tp20293
    +a(g693
    +g976
    +tp20294
    +a(g826
    +g978
    +tp20295
    +a(g423
    +VNone
    +p20296
    +tp20297
    +a(g693
    +g976
    +tp20298
    +a(g826
    +g978
    +tp20299
    +a(g423
    +Vi32
    +p20300
    +tp20301
    +a(g693
    +g976
    +tp20302
    +a(g826
    +g978
    +tp20303
    +a(g423
    +Vp18
    +p20304
    +tp20305
    +a(g693
    +g976
    +tp20306
    +a(g826
    +g978
    +tp20307
    +a(g423
    +VNone
    +p20308
    +tp20309
    +a(g693
    +g976
    +tp20310
    +a(g826
    +g978
    +tp20311
    +a(g423
    +VNone
    +p20312
    +tp20313
    +a(g693
    +g976
    +tp20314
    +a(g826
    +g978
    +tp20315
    +a(g423
    +Vf17
    +p20316
    +tp20317
    +a(g693
    +g1098
    +tp20318
    +a(g826
    +V\u000a
    +p20319
    +tp20320
    +a(g616
    +Vdebug_merge_point
    +p20321
    +tp20322
    +a(g693
    +g1104
    +tp20323
    +a(g76
    +V' #261 LOAD_FAST'
    +p20324
    +tp20325
    +a(g693
    +g976
    +tp20326
    +a(g826
    +g978
    +tp20327
    +a(g22
    +g1110
    +tp20328
    +a(g693
    +g1112
    +tp20329
    +a(g826
    +V\u000a
    +p20330
    +tp20331
    +a(g616
    +Vdebug_merge_point
    +p20332
    +tp20333
    +a(g693
    +g1104
    +tp20334
    +a(g76
    +V' #264 LOAD_FAST'
    +p20335
    +tp20336
    +a(g693
    +g976
    +tp20337
    +a(g826
    +g978
    +tp20338
    +a(g22
    +g1110
    +tp20339
    +a(g693
    +g1112
    +tp20340
    +a(g826
    +V\u000a
    +p20341
    +tp20342
    +a(g616
    +Vdebug_merge_point
    +p20343
    +tp20344
    +a(g693
    +g1104
    +tp20345
    +a(g76
    +V' #267 LOAD_FAST'
    +p20346
    +tp20347
    +a(g693
    +g976
    +tp20348
    +a(g826
    +g978
    +tp20349
    +a(g22
    +g1110
    +tp20350
    +a(g693
    +g1112
    +tp20351
    +a(g826
    +V\u000a
    +p20352
    +tp20353
    +a(g616
    +Vdebug_merge_point
    +p20354
    +tp20355
    +a(g693
    +g1104
    +tp20356
    +a(g76
    +V' #270 BINARY_MULTIPLY'
    +p20357
    +tp20358
    +a(g693
    +g976
    +tp20359
    +a(g826
    +g978
    +tp20360
    +a(g22
    +g1110
    +tp20361
    +a(g693
    +g1112
    +tp20362
    +a(g826
    +V\u000a
    +p20363
    +tp20364
    +a(g616
    +Vdebug_merge_point
    +p20365
    +tp20366
    +a(g693
    +g1104
    +tp20367
    +a(g76
    +V' #271 LOAD_FAST'
    +p20368
    +tp20369
    +a(g693
    +g976
    +tp20370
    +a(g826
    +g978
    +tp20371
    +a(g22
    +g1110
    +tp20372
    +a(g693
    +g1112
    +tp20373
    +a(g826
    +V\u000a
    +p20374
    +tp20375
    +a(g616
    +Vdebug_merge_point
    +p20376
    +tp20377
    +a(g693
    +g1104
    +tp20378
    +a(g76
    +V' #274 BINARY_ADD'
    +p20379
    +tp20380
    +a(g693
    +g976
    +tp20381
    +a(g826
    +g978
    +tp20382
    +a(g22
    +g1110
    +tp20383
    +a(g693
    +g1112
    +tp20384
    +a(g826
    +V\u000a
    +p20385
    +tp20386
    +a(g616
    +Vdebug_merge_point
    +p20387
    +tp20388
    +a(g693
    +g1104
    +tp20389
    +a(g76
    +V' #275 BINARY_SUBSCR'
    +p20390
    +tp20391
    +a(g693
    +g976
    +tp20392
    +a(g826
    +g978
    +tp20393
    +a(g22
    +g1110
    +tp20394
    +a(g693
    +g1112
    +tp20395
    +a(g826
    +V\u000a
    +p20396
    +tp20397
    +a(g423
    +Vf136
    +p20398
    +tp20399
    +a(g826
    +g978
    +tp20400
    +a(g693
    +g1119
    +tp20401
    +a(g826
    +g978
    +tp20402
    +a(g669
    +Vgetarrayitem_raw
    +p20403
    +tp20404
    +a(g693
    +g1104
    +tp20405
    +a(g423
    +Vi95
    +p20406
    +tp20407
    +a(g693
    +g976
    +tp20408
    +a(g826
    +g978
    +tp20409
    +a(g423
    +Vi90
    +p20410
    +tp20411
    +a(g693
    +g976
    +tp20412
    +a(g826
    +g978
    +tp20413
    +a(g423
    +Vdescr
    +p20414
    +tp20415
    +a(g693
    +g1119
    +tp20416
    +a(g669
    +V
    +p20417
    +tp20418
    +a(g693
    +g1112
    +tp20419
    +a(g826
    +V\u000a
    +p20420
    +tp20421
    +a(g616
    +Vdebug_merge_point
    +p20422
    +tp20423
    +a(g693
    +g1104
    +tp20424
    +a(g76
    +V' #276 LOAD_FAST'
    +p20425
    +tp20426
    +a(g693
    +g976
    +tp20427
    +a(g826
    +g978
    +tp20428
    +a(g22
    +g1110
    +tp20429
    +a(g693
    +g1112
    +tp20430
    +a(g826
    +V\u000a
    +p20431
    +tp20432
    +a(g616
    +Vdebug_merge_point
    +p20433
    +tp20434
    +a(g693
    +g1104
    +tp20435
    +a(g76
    +V' #279 BINARY_SUBTRACT'
    +p20436
    +tp20437
    +a(g693
    +g976
    +tp20438
    +a(g826
    +g978
    +tp20439
    +a(g22
    +g1110
    +tp20440
    +a(g693
    +g1112
    +tp20441
    +a(g826
    +V\u000a
    +p20442
    +tp20443
    +a(g423
    +Vf137
    +p20444
    +tp20445
    +a(g826
    +g978
    +tp20446
    +a(g693
    +g1119
    +tp20447
    +a(g826
    +g978
    +tp20448
    +a(g669
    +Vfloat_sub
    +p20449
    +tp20450
    +a(g693
    +g1104
    +tp20451
    +a(g423
    +Vf136
    +p20452
    +tp20453
    +a(g693
    +g976
    +tp20454
    +a(g826
    +g978
    +tp20455
    +a(g423
    +Vf96
    +p20456
    +tp20457
    +a(g693
    +g1112
    +tp20458
    +a(g826
    +V\u000a
    +p20459
    +tp20460
    +a(g616
    +Vdebug_merge_point
    +p20461
    +tp20462
    +a(g693
    +g1104
    +tp20463
    +a(g76
    +V' #280 CALL_FUNCTION'
    +p20464
    +tp20465
    +a(g693
    +g976
    +tp20466
    +a(g826
    +g978
    +tp20467
    +a(g22
    +g1110
    +tp20468
    +a(g693
    +g1112
    +tp20469
    +a(g826
    +V\u000a
    +p20470
    +tp20471
    +a(g423
    +Vp138
    +p20472
    +tp20473
    +a(g826
    +g978
    +tp20474
    +a(g693
    +g1119
    +tp20475
    +a(g826
    +g978
    +tp20476
    +a(g669
    +Vgetfield_gc
    +p20477
    +tp20478
    +a(g693
    +g1104
    +tp20479
    +a(g423
    +Vp134
    +p20480
    +tp20481
    +a(g693
    +g976
    +tp20482
    +a(g826
    +g978
    +tp20483
    +a(g423
    +Vdescr
    +p20484
    +tp20485
    +a(g693
    +g1119
    +tp20486
    +a(g669
    +V
    +p20487
    +tp20488
    +a(g693
    +g1112
    +tp20489
    +a(g826
    +V\u000a
    +p20490
    +tp20491
    +a(g669
    +Vguard_value
    +p20492
    +tp20493
    +a(g693
    +g1104
    +tp20494
    +a(g423
    +Vp138
    +p20495
    +tp20496
    +a(g693
    +g976
    +tp20497
    +a(g826
    +g978
    +tp20498
    +a(g423
    +VConstPtr
    +p20499
    +tp20500
    +a(g693
    +g1104
    +tp20501
    +a(g669
    +Vptr139
    +p20502
    +tp20503
    +a(g693
    +g1112
    +tp20504
    +a(g693
    +g976
    +tp20505
    +a(g826
    +g978
    +tp20506
    +a(g423
    +Vdescr
    +p20507
    +tp20508
    +a(g693
    +g1119
    +tp20509
    +a(g669
    +V
    +p20510
    +tp20511
    +a(g693
    +g1112
    +tp20512
    +a(g826
    +g978
    +tp20513
    +a(g693
    +g972
    +tp20514
    +a(g423
    +Vp0
    +p20515
    +tp20516
    +a(g693
    +g976
    +tp20517
    +a(g826
    +g978
    +tp20518
    +a(g423
    +Vp1
    +p20519
    +tp20520
    +a(g693
    +g976
    +tp20521
    +a(g826
    +g978
    +tp20522
    +a(g423
    +Vp138
    +p20523
    +tp20524
    +a(g693
    +g976
    +tp20525
    +a(g826
    +g978
    +tp20526
    +a(g423
    +Vp134
    +p20527
    +tp20528
    +a(g693
    +g976
    +tp20529
    +a(g826
    +g978
    +tp20530
    +a(g423
    +Vp4
    +p20531
    +tp20532
    +a(g693
    +g976
    +tp20533
    +a(g826
    +g978
    +tp20534
    +a(g423
    +Vp5
    +p20535
    +tp20536
    +a(g693
    +g976
    +tp20537
    +a(g826
    +g978
    +tp20538
    +a(g423
    +Vp6
    +p20539
    +tp20540
    +a(g693
    +g976
    +tp20541
    +a(g826
    +g978
    +tp20542
    +a(g423
    +Vp7
    +p20543
    +tp20544
    +a(g693
    +g976
    +tp20545
    +a(g826
    +g978
    +tp20546
    +a(g423
    +Vp8
    +p20547
    +tp20548
    +a(g693
    +g976
    +tp20549
    +a(g826
    +g978
    +tp20550
    +a(g423
    +Vp9
    +p20551
    +tp20552
    +a(g693
    +g976
    +tp20553
    +a(g826
    +g978
    +tp20554
    +a(g423
    +Vp10
    +p20555
    +tp20556
    +a(g693
    +g976
    +tp20557
    +a(g826
    +g978
    +tp20558
    +a(g423
    +Vp11
    +p20559
    +tp20560
    +a(g693
    +g976
    +tp20561
    +a(g826
    +g978
    +tp20562
    +a(g423
    +Vp12
    +p20563
    +tp20564
    +a(g693
    +g976
    +tp20565
    +a(g826
    +g978
    +tp20566
    +a(g423
    +Vp13
    +p20567
    +tp20568
    +a(g693
    +g976
    +tp20569
    +a(g826
    +g978
    +tp20570
    +a(g423
    +Vf137
    +p20571
    +tp20572
    +a(g693
    +g976
    +tp20573
    +a(g826
    +g978
    +tp20574
    +a(g423
    +VNone
    +p20575
    +tp20576
    +a(g693
    +g976
    +tp20577
    +a(g826
    +g978
    +tp20578
    +a(g423
    +VNone
    +p20579
    +tp20580
    +a(g693
    +g976
    +tp20581
    +a(g826
    +g978
    +tp20582
    +a(g423
    +VNone
    +p20583
    +tp20584
    +a(g693
    +g976
    +tp20585
    +a(g826
    +g978
    +tp20586
    +a(g423
    +VNone
    +p20587
    +tp20588
    +a(g693
    +g976
    +tp20589
    +a(g826
    +g978
    +tp20590
    +a(g423
    +VNone
    +p20591
    +tp20592
    +a(g693
    +g976
    +tp20593
    +a(g826
    +g978
    +tp20594
    +a(g423
    +VNone
    +p20595
    +tp20596
    +a(g693
    +g976
    +tp20597
    +a(g826
    +g978
    +tp20598
    +a(g423
    +VNone
    +p20599
    +tp20600
    +a(g693
    +g976
    +tp20601
    +a(g826
    +g978
    +tp20602
    +a(g423
    +VNone
    +p20603
    +tp20604
    +a(g693
    +g976
    +tp20605
    +a(g826
    +g978
    +tp20606
    +a(g423
    +VNone
    +p20607
    +tp20608
    +a(g693
    +g976
    +tp20609
    +a(g826
    +g978
    +tp20610
    +a(g423
    +VNone
    +p20611
    +tp20612
    +a(g693
    +g976
    +tp20613
    +a(g826
    +g978
    +tp20614
    +a(g423
    +Vf96
    +p20615
    +tp20616
    +a(g693
    +g976
    +tp20617
    +a(g826
    +g978
    +tp20618
    +a(g423
    +VNone
    +p20619
    +tp20620
    +a(g693
    +g976
    +tp20621
    +a(g826
    +g978
    +tp20622
    +a(g423
    +Vi78
    +p20623
    +tp20624
    +a(g693
    +g976
    +tp20625
    +a(g826
    +g978
    +tp20626
    +a(g423
    +Vi85
    +p20627
    +tp20628
    +a(g693
    +g976
    +tp20629
    +a(g826
    +g978
    +tp20630
    +a(g423
    +VNone
    +p20631
    +tp20632
    +a(g693
    +g976
    +tp20633
    +a(g826
    +g978
    +tp20634
    +a(g423
    +Vi68
    +p20635
    +tp20636
    +a(g693
    +g976
    +tp20637
    +a(g826
    +g978
    +tp20638
    +a(g423
    +VNone
    +p20639
    +tp20640
    +a(g693
    +g976
    +tp20641
    +a(g826
    +g978
    +tp20642
    +a(g423
    +VNone
    +p20643
    +tp20644
    +a(g693
    +g976
    +tp20645
    +a(g826
    +g978
    +tp20646
    +a(g423
    +Vi32
    +p20647
    +tp20648
    +a(g693
    +g976
    +tp20649
    +a(g826
    +g978
    +tp20650
    +a(g423
    +Vp18
    +p20651
    +tp20652
    +a(g693
    +g976
    +tp20653
    +a(g826
    +g978
    +tp20654
    +a(g423
    +VNone
    +p20655
    +tp20656
    +a(g693
    +g976
    +tp20657
    +a(g826
    +g978
    +tp20658
    +a(g423
    +VNone
    +p20659
    +tp20660
    +a(g693
    +g976
    +tp20661
    +a(g826
    +g978
    +tp20662
    +a(g423
    +Vf17
    +p20663
    +tp20664
    +a(g693
    +g1098
    +tp20665
    +a(g826
    +V\u000a
    +p20666
    +tp20667
    +a(g423
    +Vp140
    +p20668
    +tp20669
    +a(g826
    +g978
    +tp20670
    +a(g693
    +g1119
    +tp20671
    +a(g826
    +g978
    +tp20672
    +a(g669
    +Vgetfield_gc
    +p20673
    +tp20674
    +a(g693
    +g1104
    +tp20675
    +a(g423
    +Vp134
    +p20676
    +tp20677
    +a(g693
    +g976
    +tp20678
    +a(g826
    +g978
    +tp20679
    +a(g423
    +Vdescr
    +p20680
    +tp20681
    +a(g693
    +g1119
    +tp20682
    +a(g669
    +V
    +p20683
    +tp20684
    +a(g693
    +g1112
    +tp20685
    +a(g826
    +V\u000a
    +p20686
    +tp20687
    +a(g423
    +Vp141
    +p20688
    +tp20689
    +a(g826
    +g978
    +tp20690
    +a(g693
    +g1119
    +tp20691
    +a(g826
    +g978
    +tp20692
    +a(g669
    +Vgetfield_gc
    +p20693
    +tp20694
    +a(g693
    +g1104
    +tp20695
    +a(g423
    +Vp134
    +p20696
    +tp20697
    +a(g693
    +g976
    +tp20698
    +a(g826
    +g978
    +tp20699
    +a(g423
    +Vdescr
    +p20700
    +tp20701
    +a(g693
    +g1119
    +tp20702
    +a(g669
    +V
    +p20703
    +tp20704
    +a(g693
    +g1112
    +tp20705
    +a(g826
    +V\u000a
    +p20706
    +tp20707
    +a(g423
    +Vp143
    +p20708
    +tp20709
    +a(g826
    +g978
    +tp20710
    +a(g693
    +g1119
    +tp20711
    +a(g826
    +g978
    +tp20712
    +a(g669
    +Vcall
    +p20713
    +tp20714
    +a(g693
    +g1104
    +tp20715
    +a(g423
    +VConstClass
    +p20716
    +tp20717
    +a(g693
    +g1104
    +tp20718
    +a(g669
    +Vgetexecutioncontext
    +p20719
    +tp20720
    +a(g693
    +g1112
    +tp20721
    +a(g693
    +g976
    +tp20722
    +a(g826
    +g978
    +tp20723
    +a(g423
    +Vdescr
    +p20724
    +tp20725
    +a(g693
    +g1119
    +tp20726
    +a(g669
    +V
    +p20727
    +tp20728
    +a(g693
    +g1112
    +tp20729
    +a(g826
    +V\u000a
    +p20730
    +tp20731
    +a(g423
    +Vp144
    +p20732
    +tp20733
    +a(g826
    +g978
    +tp20734
    +a(g693
    +g1119
    +tp20735
    +a(g826
    +g978
    +tp20736
    +a(g669
    +Vgetfield_gc
    +p20737
    +tp20738
    +a(g693
    +g1104
    +tp20739
    +a(g423
    +Vp143
    +p20740
    +tp20741
    +a(g693
    +g976
    +tp20742
    +a(g826
    +g978
    +tp20743
    +a(g423
    +Vdescr
    +p20744
    +tp20745
    +a(g693
    +g1119
    +tp20746
    +a(g669
    +V
    +p20747
    +tp20748
    +a(g693
    +g1112
    +tp20749
    +a(g826
    +V\u000a
    +p20750
    +tp20751
    +a(g423
    +Vi145
    +p20752
    +tp20753
    +a(g826
    +g978
    +tp20754
    +a(g693
    +g1119
    +tp20755
    +a(g826
    +g978
    +tp20756
    +a(g669
    +Vforce_token
    +p20757
    +tp20758
    +a(g693
    +g1104
    +tp20759
    +a(g693
    +g1112
    +tp20760
    +a(g826
    +V\u000a
    +p20761
    +tp20762
    +a(g423
    +Vp146
    +p20763
    +tp20764
    +a(g826
    +g978
    +tp20765
    +a(g693
    +g1119
    +tp20766
    +a(g826
    +g978
    +tp20767
    +a(g669
    +Vgetfield_gc
    +p20768
    +tp20769
    +a(g693
    +g1104
    +tp20770
    +a(g423
    +Vp143
    +p20771
    +tp20772
    +a(g693
    +g976
    +tp20773
    +a(g826
    +g978
    +tp20774
    +a(g423
    +Vdescr
    +p20775
    +tp20776
    +a(g693
    +g1119
    +tp20777
    +a(g669
    +V
    +p20778
    +tp20779
    +a(g693
    +g1112
    +tp20780
    +a(g826
    +V\u000a
    +p20781
    +tp20782
    +a(g669
    +Vguard_isnull
    +p20783
    +tp20784
    +a(g693
    +g1104
    +tp20785
    +a(g423
    +Vp146
    +p20786
    +tp20787
    +a(g693
    +g976
    +tp20788
    +a(g826
    +g978
    +tp20789
    +a(g423
    +Vdescr
    +p20790
    +tp20791
    +a(g693
    +g1119
    +tp20792
    +a(g669
    +V
    +p20793
    +tp20794
    +a(g693
    +g1112
    +tp20795
    +a(g826
    +g978
    +tp20796
    +a(g693
    +g972
    +tp20797
    +a(g423
    +Vp0
    +p20798
    +tp20799
    +a(g693
    +g976
    +tp20800
    +a(g826
    +g978
    +tp20801
    +a(g423
    +Vp1
    +p20802
    +tp20803
    +a(g693
    +g976
    +tp20804
    +a(g826
    +g978
    +tp20805
    +a(g423
    +Vp143
    +p20806
    +tp20807
    +a(g693
    +g976
    +tp20808
    +a(g826
    +g978
    +tp20809
    +a(g423
    +Vp146
    +p20810
    +tp20811
    +a(g693
    +g976
    +tp20812
    +a(g826
    +g978
    +tp20813
    +a(g423
    +Vp4
    +p20814
    +tp20815
    +a(g693
    +g976
    +tp20816
    +a(g826
    +g978
    +tp20817
    +a(g423
    +Vp5
    +p20818
    +tp20819
    +a(g693
    +g976
    +tp20820
    +a(g826
    +g978
    +tp20821
    +a(g423
    +Vp134
    +p20822
    +tp20823
    +a(g693
    +g976
    +tp20824
    +a(g826
    +g978
    +tp20825
    +a(g423
    +Vp6
    +p20826
    +tp20827
    +a(g693
    +g976
    +tp20828
    +a(g826
    +g978
    +tp20829
    +a(g423
    +Vp7
    +p20830
    +tp20831
    +a(g693
    +g976
    +tp20832
    +a(g826
    +g978
    +tp20833
    +a(g423
    +Vp8
    +p20834
    +tp20835
    +a(g693
    +g976
    +tp20836
    +a(g826
    +g978
    +tp20837
    +a(g423
    +Vp9
    +p20838
    +tp20839
    +a(g693
    +g976
    +tp20840
    +a(g826
    +g978
    +tp20841
    +a(g423
    +Vp10
    +p20842
    +tp20843
    +a(g693
    +g976
    +tp20844
    +a(g826
    +g978
    +tp20845
    +a(g423
    +Vp11
    +p20846
    +tp20847
    +a(g693
    +g976
    +tp20848
    +a(g826
    +g978
    +tp20849
    +a(g423
    +Vp12
    +p20850
    +tp20851
    +a(g693
    +g976
    +tp20852
    +a(g826
    +g978
    +tp20853
    +a(g423
    +Vp13
    +p20854
    +tp20855
    +a(g693
    +g976
    +tp20856
    +a(g826
    +g978
    +tp20857
    +a(g423
    +Vp144
    +p20858
    +tp20859
    +a(g693
    +g976
    +tp20860
    +a(g826
    +g978
    +tp20861
    +a(g423
    +Vi145
    +p20862
    +tp20863
    +a(g693
    +g976
    +tp20864
    +a(g826
    +g978
    +tp20865
    +a(g423
    +Vp140
    +p20866
    +tp20867
    +a(g693
    +g976
    +tp20868
    +a(g826
    +g978
    +tp20869
    +a(g423
    +Vf137
    +p20870
    +tp20871
    +a(g693
    +g976
    +tp20872
    +a(g826
    +g978
    +tp20873
    +a(g423
    +VNone
    +p20874
    +tp20875
    +a(g693
    +g976
    +tp20876
    +a(g826
    +g978
    +tp20877
    +a(g423
    +VNone
    +p20878
    +tp20879
    +a(g693
    +g976
    +tp20880
    +a(g826
    +g978
    +tp20881
    +a(g423
    +VNone
    +p20882
    +tp20883
    +a(g693
    +g976
    +tp20884
    +a(g826
    +g978
    +tp20885
    +a(g423
    +VNone
    +p20886
    +tp20887
    +a(g693
    +g976
    +tp20888
    +a(g826
    +g978
    +tp20889
    +a(g423
    +VNone
    +p20890
    +tp20891
    +a(g693
    +g976
    +tp20892
    +a(g826
    +g978
    +tp20893
    +a(g423
    +VNone
    +p20894
    +tp20895
    +a(g693
    +g976
    +tp20896
    +a(g826
    +g978
    +tp20897
    +a(g423
    +VNone
    +p20898
    +tp20899
    +a(g693
    +g976
    +tp20900
    +a(g826
    +g978
    +tp20901
    +a(g423
    +VNone
    +p20902
    +tp20903
    +a(g693
    +g976
    +tp20904
    +a(g826
    +g978
    +tp20905
    +a(g423
    +VNone
    +p20906
    +tp20907
    +a(g693
    +g976
    +tp20908
    +a(g826
    +g978
    +tp20909
    +a(g423
    +VNone
    +p20910
    +tp20911
    +a(g693
    +g976
    +tp20912
    +a(g826
    +g978
    +tp20913
    +a(g423
    +Vf96
    +p20914
    +tp20915
    +a(g693
    +g976
    +tp20916
    +a(g826
    +g978
    +tp20917
    +a(g423
    +VNone
    +p20918
    +tp20919
    +a(g693
    +g976
    +tp20920
    +a(g826
    +g978
    +tp20921
    +a(g423
    +Vi78
    +p20922
    +tp20923
    +a(g693
    +g976
    +tp20924
    +a(g826
    +g978
    +tp20925
    +a(g423
    +Vi85
    +p20926
    +tp20927
    +a(g693
    +g976
    +tp20928
    +a(g826
    +g978
    +tp20929
    +a(g423
    +VNone
    +p20930
    +tp20931
    +a(g693
    +g976
    +tp20932
    +a(g826
    +g978
    +tp20933
    +a(g423
    +Vi68
    +p20934
    +tp20935
    +a(g693
    +g976
    +tp20936
    +a(g826
    +g978
    +tp20937
    +a(g423
    +VNone
    +p20938
    +tp20939
    +a(g693
    +g976
    +tp20940
    +a(g826
    +g978
    +tp20941
    +a(g423
    +VNone
    +p20942
    +tp20943
    +a(g693
    +g976
    +tp20944
    +a(g826
    +g978
    +tp20945
    +a(g423
    +Vi32
    +p20946
    +tp20947
    +a(g693
    +g976
    +tp20948
    +a(g826
    +g978
    +tp20949
    +a(g423
    +Vp18
    +p20950
    +tp20951
    +a(g693
    +g976
    +tp20952
    +a(g826
    +g978
    +tp20953
    +a(g423
    +VNone
    +p20954
    +tp20955
    +a(g693
    +g976
    +tp20956
    +a(g826
    +g978
    +tp20957
    +a(g423
    +VNone
    +p20958
    +tp20959
    +a(g693
    +g976
    +tp20960
    +a(g826
    +g978
    +tp20961
    +a(g423
    +Vf17
    +p20962
    +tp20963
    +a(g693
    +g1098
    +tp20964
    +a(g826
    +V\u000a
    +p20965
    +tp20966
    +a(g423
    +Vi147
    +p20967
    +tp20968
    +a(g826
    +g978
    +tp20969
    +a(g693
    +g1119
    +tp20970
    +a(g826
    +g978
    +tp20971
    +a(g669
    +Vgetfield_gc
    +p20972
    +tp20973
    +a(g693
    +g1104
    +tp20974
    +a(g423
    +Vp143
    +p20975
    +tp20976
    +a(g693
    +g976
    +tp20977
    +a(g826
    +g978
    +tp20978
    +a(g423
    +Vdescr
    +p20979
    +tp20980
    +a(g693
    +g1119
    +tp20981
    +a(g669
    +V
    +p20982
    +tp20983
    +a(g693
    +g1112
    +tp20984
    +a(g826
    +V\u000a
    +p20985
    +tp20986
    +a(g423
    +Vi148
    +p20987
    +tp20988
    +a(g826
    +g978
    +tp20989
    +a(g693
    +g1119
    +tp20990
    +a(g826
    +g978
    +tp20991
    +a(g669
    +Vint_is_zero
    +p20992
    +tp20993
    +a(g693
    +g1104
    +tp20994
    +a(g669
    +Vi147
    +p20995
    +tp20996
    +a(g693
    +g1112
    +tp20997
    +a(g826
    +V\u000a
    +p20998
    +tp20999
    +a(g669
    +Vguard_true
    +p21000
    +tp21001
    +a(g693
    +g1104
    +tp21002
    +a(g423
    +Vi148
    +p21003
    +tp21004
    +a(g693
    +g976
    +tp21005
    +a(g826
    +g978
    +tp21006
    +a(g423
    +Vdescr
    +p21007
    +tp21008
    +a(g693
    +g1119
    +tp21009
    +a(g669
    +V
    +p21010
    +tp21011
    +a(g693
    +g1112
    +tp21012
    +a(g826
    +g978
    +tp21013
    +a(g693
    +g972
    +tp21014
    +a(g423
    +Vp0
    +p21015
    +tp21016
    +a(g693
    +g976
    +tp21017
    +a(g826
    +g978
    +tp21018
    +a(g423
    +Vp1
    +p21019
    +tp21020
    +a(g693
    +g976
    +tp21021
    +a(g826
    +g978
    +tp21022
    +a(g423
    +Vp143
    +p21023
    +tp21024
    +a(g693
    +g976
    +tp21025
    +a(g826
    +g978
    +tp21026
    +a(g423
    +Vp4
    +p21027
    +tp21028
    +a(g693
    +g976
    +tp21029
    +a(g826
    +g978
    +tp21030
    +a(g423
    +Vp5
    +p21031
    +tp21032
    +a(g693
    +g976
    +tp21033
    +a(g826
    +g978
    +tp21034
    +a(g423
    +Vp134
    +p21035
    +tp21036
    +a(g693
    +g976
    +tp21037
    +a(g826
    +g978
    +tp21038
    +a(g423
    +Vp6
    +p21039
    +tp21040
    +a(g693
    +g976
    +tp21041
    +a(g826
    +g978
    +tp21042
    +a(g423
    +Vp7
    +p21043
    +tp21044
    +a(g693
    +g976
    +tp21045
    +a(g826
    +g978
    +tp21046
    +a(g423
    +Vp8
    +p21047
    +tp21048
    +a(g693
    +g976
    +tp21049
    +a(g826
    +g978
    +tp21050
    +a(g423
    +Vp9
    +p21051
    +tp21052
    +a(g693
    +g976
    +tp21053
    +a(g826
    +g978
    +tp21054
    +a(g423
    +Vp10
    +p21055
    +tp21056
    +a(g693
    +g976
    +tp21057
    +a(g826
    +g978
    +tp21058
    +a(g423
    +Vp11
    +p21059
    +tp21060
    +a(g693
    +g976
    +tp21061
    +a(g826
    +g978
    +tp21062
    +a(g423
    +Vp12
    +p21063
    +tp21064
    +a(g693
    +g976
    +tp21065
    +a(g826
    +g978
    +tp21066
    +a(g423
    +Vp13
    +p21067
    +tp21068
    +a(g693
    +g976
    +tp21069
    +a(g826
    +g978
    +tp21070
    +a(g423
    +Vp144
    +p21071
    +tp21072
    +a(g693
    +g976
    +tp21073
    +a(g826
    +g978
    +tp21074
    +a(g423
    +Vi145
    +p21075
    +tp21076
    +a(g693
    +g976
    +tp21077
    +a(g826
    +g978
    +tp21078
    +a(g423
    +Vp140
    +p21079
    +tp21080
    +a(g693
    +g976
    +tp21081
    +a(g826
    +g978
    +tp21082
    +a(g423
    +Vf137
    +p21083
    +tp21084
    +a(g693
    +g976
    +tp21085
    +a(g826
    +g978
    +tp21086
    +a(g423
    +VNone
    +p21087
    +tp21088
    +a(g693
    +g976
    +tp21089
    +a(g826
    +g978
    +tp21090
    +a(g423
    +VNone
    +p21091
    +tp21092
    +a(g693
    +g976
    +tp21093
    +a(g826
    +g978
    +tp21094
    +a(g423
    +VNone
    +p21095
    +tp21096
    +a(g693
    +g976
    +tp21097
    +a(g826
    +g978
    +tp21098
    +a(g423
    +VNone
    +p21099
    +tp21100
    +a(g693
    +g976
    +tp21101
    +a(g826
    +g978
    +tp21102
    +a(g423
    +VNone
    +p21103
    +tp21104
    +a(g693
    +g976
    +tp21105
    +a(g826
    +g978
    +tp21106
    +a(g423
    +VNone
    +p21107
    +tp21108
    +a(g693
    +g976
    +tp21109
    +a(g826
    +g978
    +tp21110
    +a(g423
    +VNone
    +p21111
    +tp21112
    +a(g693
    +g976
    +tp21113
    +a(g826
    +g978
    +tp21114
    +a(g423
    +VNone
    +p21115
    +tp21116
    +a(g693
    +g976
    +tp21117
    +a(g826
    +g978
    +tp21118
    +a(g423
    +VNone
    +p21119
    +tp21120
    +a(g693
    +g976
    +tp21121
    +a(g826
    +g978
    +tp21122
    +a(g423
    +VNone
    +p21123
    +tp21124
    +a(g693
    +g976
    +tp21125
    +a(g826
    +g978
    +tp21126
    +a(g423
    +Vf96
    +p21127
    +tp21128
    +a(g693
    +g976
    +tp21129
    +a(g826
    +g978
    +tp21130
    +a(g423
    +VNone
    +p21131
    +tp21132
    +a(g693
    +g976
    +tp21133
    +a(g826
    +g978
    +tp21134
    +a(g423
    +Vi78
    +p21135
    +tp21136
    +a(g693
    +g976
    +tp21137
    +a(g826
    +g978
    +tp21138
    +a(g423
    +Vi85
    +p21139
    +tp21140
    +a(g693
    +g976
    +tp21141
    +a(g826
    +g978
    +tp21142
    +a(g423
    +VNone
    +p21143
    +tp21144
    +a(g693
    +g976
    +tp21145
    +a(g826
    +g978
    +tp21146
    +a(g423
    +Vi68
    +p21147
    +tp21148
    +a(g693
    +g976
    +tp21149
    +a(g826
    +g978
    +tp21150
    +a(g423
    +VNone
    +p21151
    +tp21152
    +a(g693
    +g976
    +tp21153
    +a(g826
    +g978
    +tp21154
    +a(g423
    +VNone
    +p21155
    +tp21156
    +a(g693
    +g976
    +tp21157
    +a(g826
    +g978
    +tp21158
    +a(g423
    +Vi32
    +p21159
    +tp21160
    +a(g693
    +g976
    +tp21161
    +a(g826
    +g978
    +tp21162
    +a(g423
    +Vp18
    +p21163
    +tp21164
    +a(g693
    +g976
    +tp21165
    +a(g826
    +g978
    +tp21166
    +a(g423
    +VNone
    +p21167
    +tp21168
    +a(g693
    +g976
    +tp21169
    +a(g826
    +g978
    +tp21170
    +a(g423
    +VNone
    +p21171
    +tp21172
    +a(g693
    +g976
    +tp21173
    +a(g826
    +g978
    +tp21174
    +a(g423
    +Vf17
    +p21175
    +tp21176
    +a(g693
    +g1098
    +tp21177
    +a(g826
    +V\u000a
    +p21178
    +tp21179
    +a(g616
    +Vdebug_merge_point
    +p21180
    +tp21181
    +a(g693
    +g1104
    +tp21182
    +a(g76
    +V' #0 LOAD_FAST'
    +p21183
    +tp21184
    +a(g693
    +g976
    +tp21185
    +a(g826
    +g978
    +tp21186
    +a(g22
    +g1250
    +tp21187
    +a(g693
    +g1112
    +tp21188
    +a(g826
    +V\u000a
    +p21189
    +tp21190
    +a(g616
    +Vdebug_merge_point
    +p21191
    +tp21192
    +a(g693
    +g1104
    +tp21193
    +a(g76
    +V' #3 LOAD_FAST'
    +p21194
    +tp21195
    +a(g693
    +g976
    +tp21196
    +a(g826
    +g978
    +tp21197
    +a(g22
    +g1250
    +tp21198
    +a(g693
    +g1112
    +tp21199
    +a(g826
    +V\u000a
    +p21200
    +tp21201
    +a(g616
    +Vdebug_merge_point
    +p21202
    +tp21203
    +a(g693
    +g1104
    +tp21204
    +a(g76
    +V' #6 BINARY_MULTIPLY'
    +p21205
    +tp21206
    +a(g693
    +g976
    +tp21207
    +a(g826
    +g978
    +tp21208
    +a(g22
    +g1250
    +tp21209
    +a(g693
    +g1112
    +tp21210
    +a(g826
    +V\u000a
    +p21211
    +tp21212
    +a(g423
    +Vf149
    +p21213
    +tp21214
    +a(g826
    +g978
    +tp21215
    +a(g693
    +g1119
    +tp21216
    +a(g826
    +g978
    +tp21217
    +a(g669
    +Vfloat_mul
    +p21218
    +tp21219
    +a(g693
    +g1104
    +tp21220
    +a(g423
    +Vf137
    +p21221
    +tp21222
    +a(g693
    +g976
    +tp21223
    +a(g826
    +g978
    +tp21224
    +a(g423
    +Vf137
    +p21225
    +tp21226
    +a(g693
    +g1112
    +tp21227
    +a(g826
    +V\u000a
    +p21228
    +tp21229
    +a(g616
    +Vdebug_merge_point
    +p21230
    +tp21231
    +a(g693
    +g1104
    +tp21232
    +a(g76
    +V' #7 RETURN_VALUE'
    +p21233
    +tp21234
    +a(g693
    +g976
    +tp21235
    +a(g826
    +g978
    +tp21236
    +a(g22
    +g1250
    +tp21237
    +a(g693
    +g1112
    +tp21238
    +a(g826
    +V\u000a
    +p21239
    +tp21240
    +a(g423
    +Vi150
    +p21241
    +tp21242
    +a(g826
    +g978
    +tp21243
    +a(g693
    +g1119
    +tp21244
    +a(g826
    +g978
    +tp21245
    +a(g669
    +Vint_is_true
    +p21246
    +tp21247
    +a(g693
    +g1104
    +tp21248
    +a(g669
    +Vi147
    +p21249
    +tp21250
    +a(g693
    +g1112
    +tp21251
    +a(g826
    +V\u000a
    +p21252
    +tp21253
    +a(g669
    +Vguard_false
    +p21254
    +tp21255
    +a(g693
    +g1104
    +tp21256
    +a(g423
    +Vi150
    +p21257
    +tp21258
    +a(g693
    +g976
    +tp21259
    +a(g826
    +g978
    +tp21260
    +a(g423
    +Vdescr
    +p21261
    +tp21262
    +a(g693
    +g1119
    +tp21263
    +a(g669
    +V
    +p21264
    +tp21265
    +a(g693
    +g1112
    +tp21266
    +a(g826
    +g978
    +tp21267
    +a(g693
    +g972
    +tp21268
    +a(g423
    +Vp0
    +p21269
    +tp21270
    +a(g693
    +g976
    +tp21271
    +a(g826
    +g978
    +tp21272
    +a(g423
    +Vp1
    +p21273
    +tp21274
    +a(g693
    +g976
    +tp21275
    +a(g826
    +g978
    +tp21276
    +a(g423
    +Vp143
    +p21277
    +tp21278
    +a(g693
    +g976
    +tp21279
    +a(g826
    +g978
    +tp21280
    +a(g423
    +Vp4
    +p21281
    +tp21282
    +a(g693
    +g976
    +tp21283
    +a(g826
    +g978
    +tp21284
    +a(g423
    +Vp5
    +p21285
    +tp21286
    +a(g693
    +g976
    +tp21287
    +a(g826
    +g978
    +tp21288
    +a(g423
    +Vp134
    +p21289
    +tp21290
    +a(g693
    +g976
    +tp21291
    +a(g826
    +g978
    +tp21292
    +a(g423
    +Vp6
    +p21293
    +tp21294
    +a(g693
    +g976
    +tp21295
    +a(g826
    +g978
    +tp21296
    +a(g423
    +Vp7
    +p21297
    +tp21298
    +a(g693
    +g976
    +tp21299
    +a(g826
    +g978
    +tp21300
    +a(g423
    +Vp8
    +p21301
    +tp21302
    +a(g693
    +g976
    +tp21303
    +a(g826
    +g978
    +tp21304
    +a(g423
    +Vp9
    +p21305
    +tp21306
    +a(g693
    +g976
    +tp21307
    +a(g826
    +g978
    +tp21308
    +a(g423
    +Vp10
    +p21309
    +tp21310
    +a(g693
    +g976
    +tp21311
    +a(g826
    +g978
    +tp21312
    +a(g423
    +Vp11
    +p21313
    +tp21314
    +a(g693
    +g976
    +tp21315
    +a(g826
    +g978
    +tp21316
    +a(g423
    +Vp12
    +p21317
    +tp21318
    +a(g693
    +g976
    +tp21319
    +a(g826
    +g978
    +tp21320
    +a(g423
    +Vp13
    +p21321
    +tp21322
    +a(g693
    +g976
    +tp21323
    +a(g826
    +g978
    +tp21324
    +a(g423
    +Vf149
    +p21325
    +tp21326
    +a(g693
    +g976
    +tp21327
    +a(g826
    +g978
    +tp21328
    +a(g423
    +Vp144
    +p21329
    +tp21330
    +a(g693
    +g976
    +tp21331
    +a(g826
    +g978
    +tp21332
    +a(g423
    +Vi145
    +p21333
    +tp21334
    +a(g693
    +g976
    +tp21335
    +a(g826
    +g978
    +tp21336
    +a(g423
    +Vp140
    +p21337
    +tp21338
    +a(g693
    +g976
    +tp21339
    +a(g826
    +g978
    +tp21340
    +a(g423
    +Vf137
    +p21341
    +tp21342
    +a(g693
    +g976
    +tp21343
    +a(g826
    +g978
    +tp21344
    +a(g423
    +VNone
    +p21345
    +tp21346
    +a(g693
    +g976
    +tp21347
    +a(g826
    +g978
    +tp21348
    +a(g423
    +VNone
    +p21349
    +tp21350
    +a(g693
    +g976
    +tp21351
    +a(g826
    +g978
    +tp21352
    +a(g423
    +VNone
    +p21353
    +tp21354
    +a(g693
    +g976
    +tp21355
    +a(g826
    +g978
    +tp21356
    +a(g423
    +VNone
    +p21357
    +tp21358
    +a(g693
    +g976
    +tp21359
    +a(g826
    +g978
    +tp21360
    +a(g423
    +VNone
    +p21361
    +tp21362
    +a(g693
    +g976
    +tp21363
    +a(g826
    +g978
    +tp21364
    +a(g423
    +VNone
    +p21365
    +tp21366
    +a(g693
    +g976
    +tp21367
    +a(g826
    +g978
    +tp21368
    +a(g423
    +VNone
    +p21369
    +tp21370
    +a(g693
    +g976
    +tp21371
    +a(g826
    +g978
    +tp21372
    +a(g423
    +VNone
    +p21373
    +tp21374
    +a(g693
    +g976
    +tp21375
    +a(g826
    +g978
    +tp21376
    +a(g423
    +VNone
    +p21377
    +tp21378
    +a(g693
    +g976
    +tp21379
    +a(g826
    +g978
    +tp21380
    +a(g423
    +VNone
    +p21381
    +tp21382
    +a(g693
    +g976
    +tp21383
    +a(g826
    +g978
    +tp21384
    +a(g423
    +Vf96
    +p21385
    +tp21386
    +a(g693
    +g976
    +tp21387
    +a(g826
    +g978
    +tp21388
    +a(g423
    +VNone
    +p21389
    +tp21390
    +a(g693
    +g976
    +tp21391
    +a(g826
    +g978
    +tp21392
    +a(g423
    +Vi78
    +p21393
    +tp21394
    +a(g693
    +g976
    +tp21395
    +a(g826
    +g978
    +tp21396
    +a(g423
    +Vi85
    +p21397
    +tp21398
    +a(g693
    +g976
    +tp21399
    +a(g826
    +g978
    +tp21400
    +a(g423
    +VNone
    +p21401
    +tp21402
    +a(g693
    +g976
    +tp21403
    +a(g826
    +g978
    +tp21404
    +a(g423
    +Vi68
    +p21405
    +tp21406
    +a(g693
    +g976
    +tp21407
    +a(g826
    +g978
    +tp21408
    +a(g423
    +VNone
    +p21409
    +tp21410
    +a(g693
    +g976
    +tp21411
    +a(g826
    +g978
    +tp21412
    +a(g423
    +VNone
    +p21413
    +tp21414
    +a(g693
    +g976
    +tp21415
    +a(g826
    +g978
    +tp21416
    +a(g423
    +Vi32
    +p21417
    +tp21418
    +a(g693
    +g976
    +tp21419
    +a(g826
    +g978
    +tp21420
    +a(g423
    +Vp18
    +p21421
    +tp21422
    +a(g693
    +g976
    +tp21423
    +a(g826
    +g978
    +tp21424
    +a(g423
    +VNone
    +p21425
    +tp21426
    +a(g693
    +g976
    +tp21427
    +a(g826
    +g978
    +tp21428
    +a(g423
    +VNone
    +p21429
    +tp21430
    +a(g693
    +g976
    +tp21431
    +a(g826
    +g978
    +tp21432
    +a(g423
    +Vf17
    +p21433
    +tp21434
    +a(g693
    +g1098
    +tp21435
    +a(g826
    +V\u000a
    +p21436
    +tp21437
    +a(g616
    +Vdebug_merge_point
    +p21438
    +tp21439
    +a(g693
    +g1104
    +tp21440
    +a(g76
    +V' #283 INPLACE_ADD'
    +p21441
    +tp21442
    +a(g693
    +g976
    +tp21443
    +a(g826
    +g978
    +tp21444
    +a(g22
    +g1110
    +tp21445
    +a(g693
    +g1112
    +tp21446
    +a(g826
    +V\u000a
    +p21447
    +tp21448
    +a(g423
    +Vf151
    +p21449
    +tp21450
    +a(g826
    +g978
    +tp21451
    +a(g693
    +g1119
    +tp21452
    +a(g826
    +g978
    +tp21453
    +a(g669
    +Vfloat_add
    +p21454
    +tp21455
    +a(g693
    +g1104
    +tp21456
    +a(g423
    +Vf17
    +p21457
    +tp21458
    +a(g693
    +g976
    +tp21459
    +a(g826
    +g978
    +tp21460
    +a(g423
    +Vf149
    +p21461
    +tp21462
    +a(g693
    +g1112
    +tp21463
    +a(g826
    +V\u000a
    +p21464
    +tp21465
    +a(g616
    +Vdebug_merge_point
    +p21466
    +tp21467
    +a(g693
    +g1104
    +tp21468
    +a(g76
    +V' #284 STORE_FAST'
    +p21469
    +tp21470
    +a(g693
    +g976
    +tp21471
    +a(g826
    +g978
    +tp21472
    +a(g22
    +g1110
    +tp21473
    +a(g693
    +g1112
    +tp21474
    +a(g826
    +V\u000a
    +p21475
    +tp21476
    +a(g616
    +Vdebug_merge_point
    +p21477
    +tp21478
    +a(g693
    +g1104
    +tp21479
    +a(g76
    +V' #287 JUMP_ABSOLUTE'
    +p21480
    +tp21481
    +a(g693
    +g976
    +tp21482
    +a(g826
    +g978
    +tp21483
    +a(g22
    +g1110
    +tp21484
    +a(g693
    +g1112
    +tp21485
    +a(g826
    +V\u000a
    +p21486
    +tp21487
    +a(g423
    +Vi153
    +p21488
    +tp21489
    +a(g826
    +g978
    +tp21490
    +a(g693
    +g1119
    +tp21491
    +a(g826
    +g978
    +tp21492
    +a(g669
    +Vgetfield_raw
    +p21493
    +tp21494
    +a(g693
    +g1104
    +tp21495
    +a(g22
    +V38968960
    +p21496
    +tp21497
    +a(g693
    +g976
    +tp21498
    +a(g826
    +g978
    +tp21499
    +a(g423
    +Vdescr
    +p21500
    +tp21501
    +a(g693
    +g1119
    +tp21502
    +a(g669
    +V
    +p21503
    +tp21504
    +a(g693
    +g1112
    +tp21505
    +a(g826
    +V\u000a
    +p21506
    +tp21507
    +a(g423
    +Vi155
    +p21508
    +tp21509
    +a(g826
    +g978
    +tp21510
    +a(g693
    +g1119
    +tp21511
    +a(g826
    +g978
    +tp21512
    +a(g669
    +Vint_sub
    +p21513
    +tp21514
    +a(g693
    +g1104
    +tp21515
    +a(g423
    +Vi153
    +p21516
    +tp21517
    +a(g693
    +g976
    +tp21518
    +a(g826
    +g978
    +tp21519
    +a(g22
    +V35
    +p21520
    +tp21521
    +a(g693
    +g1112
    +tp21522
    +a(g826
    +V\u000a
    +p21523
    +tp21524
    +a(g669
    +Vsetfield_raw
    +p21525
    +tp21526
    +a(g693
    +g1104
    +tp21527
    +a(g22
    +V38968960
    +p21528
    +tp21529
    +a(g693
    +g976
    +tp21530
    +a(g826
    +g978
    +tp21531
    +a(g423
    +Vi155
    +p21532
    +tp21533
    +a(g693
    +g976
    +tp21534
    +a(g826
    +g978
    +tp21535
    +a(g423
    +Vdescr
    +p21536
    +tp21537
    +a(g693
    +g1119
    +tp21538
    +a(g669
    +V
    +p21539
    +tp21540
    +a(g693
    +g1112
    +tp21541
    +a(g826
    +V\u000a
    +p21542
    +tp21543
    +a(g423
    +Vi157
    +p21544
    +tp21545
    +a(g826
    +g978
    +tp21546
    +a(g693
    +g1119
    +tp21547
    +a(g826
    +g978
    +tp21548
    +a(g669
    +Vint_lt
    +p21549
    +tp21550
    +a(g693
    +g1104
    +tp21551
    +a(g423
    +Vi155
    +p21552
    +tp21553
    +a(g693
    +g976
    +tp21554
    +a(g826
    +g978
    +tp21555
    +a(g22
    +g1110
    +tp21556
    +a(g693
    +g1112
    +tp21557
    +a(g826
    +V\u000a
    +p21558
    +tp21559
    +a(g669
    +Vguard_false
    +p21560
    +tp21561
    +a(g693
    +g1104
    +tp21562
    +a(g423
    +Vi157
    +p21563
    +tp21564
    +a(g693
    +g976
    +tp21565
    +a(g826
    +g978
    +tp21566
    +a(g423
    +Vdescr
    +p21567
    +tp21568
    +a(g693
    +g1119
    +tp21569
    +a(g669
    +V
    +p21570
    +tp21571
    +a(g693
    +g1112
    +tp21572
    +a(g826
    +g978
    +tp21573
    +a(g693
    +g972
    +tp21574
    +a(g423
    +Vp0
    +p21575
    +tp21576
    +a(g693
    +g976
    +tp21577
    +a(g826
    +g978
    +tp21578
    +a(g423
    +Vp1
    +p21579
    +tp21580
    +a(g693
    +g976
    +tp21581
    +a(g826
    +g978
    +tp21582
    +a(g423
    +Vp4
    +p21583
    +tp21584
    +a(g693
    +g976
    +tp21585
    +a(g826
    +g978
    +tp21586
    +a(g423
    +Vp5
    +p21587
    +tp21588
    +a(g693
    +g976
    +tp21589
    +a(g826
    +g978
    +tp21590
    +a(g423
    +Vp6
    +p21591
    +tp21592
    +a(g693
    +g976
    +tp21593
    +a(g826
    +g978
    +tp21594
    +a(g423
    +Vp7
    +p21595
    +tp21596
    +a(g693
    +g976
    +tp21597
    +a(g826
    +g978
    +tp21598
    +a(g423
    +Vp8
    +p21599
    +tp21600
    +a(g693
    +g976
    +tp21601
    +a(g826
    +g978
    +tp21602
    +a(g423
    +Vp9
    +p21603
    +tp21604
    +a(g693
    +g976
    +tp21605
    +a(g826
    +g978
    +tp21606
    +a(g423
    +Vp10
    +p21607
    +tp21608
    +a(g693
    +g976
    +tp21609
    +a(g826
    +g978
    +tp21610
    +a(g423
    +Vp11
    +p21611
    +tp21612
    +a(g693
    +g976
    +tp21613
    +a(g826
    +g978
    +tp21614
    +a(g423
    +Vp12
    +p21615
    +tp21616
    +a(g693
    +g976
    +tp21617
    +a(g826
    +g978
    +tp21618
    +a(g423
    +Vp13
    +p21619
    +tp21620
    +a(g693
    +g976
    +tp21621
    +a(g826
    +g978
    +tp21622
    +a(g423
    +Vf151
    +p21623
    +tp21624
    +a(g693
    +g976
    +tp21625
    +a(g826
    +g978
    +tp21626
    +a(g423
    +VNone
    +p21627
    +tp21628
    +a(g693
    +g976
    +tp21629
    +a(g826
    +g978
    +tp21630
    +a(g423
    +VNone
    +p21631
    +tp21632
    +a(g693
    +g976
    +tp21633
    +a(g826
    +g978
    +tp21634
    +a(g423
    +VNone
    +p21635
    +tp21636
    +a(g693
    +g976
    +tp21637
    +a(g826
    +g978
    +tp21638
    +a(g423
    +VNone
    +p21639
    +tp21640
    +a(g693
    +g976
    +tp21641
    +a(g826
    +g978
    +tp21642
    +a(g423
    +VNone
    +p21643
    +tp21644
    +a(g693
    +g976
    +tp21645
    +a(g826
    +g978
    +tp21646
    +a(g423
    +VNone
    +p21647
    +tp21648
    +a(g693
    +g976
    +tp21649
    +a(g826
    +g978
    +tp21650
    +a(g423
    +VNone
    +p21651
    +tp21652
    +a(g693
    +g976
    +tp21653
    +a(g826
    +g978
    +tp21654
    +a(g423
    +VNone
    +p21655
    +tp21656
    +a(g693
    +g976
    +tp21657
    +a(g826
    +g978
    +tp21658
    +a(g423
    +VNone
    +p21659
    +tp21660
    +a(g693
    +g976
    +tp21661
    +a(g826
    +g978
    +tp21662
    +a(g423
    +VNone
    +p21663
    +tp21664
    +a(g693
    +g976
    +tp21665
    +a(g826
    +g978
    +tp21666
    +a(g423
    +VNone
    +p21667
    +tp21668
    +a(g693
    +g976
    +tp21669
    +a(g826
    +g978
    +tp21670
    +a(g423
    +VNone
    +p21671
    +tp21672
    +a(g693
    +g976
    +tp21673
    +a(g826
    +g978
    +tp21674
    +a(g423
    +VNone
    +p21675
    +tp21676
    +a(g693
    +g976
    +tp21677
    +a(g826
    +g978
    +tp21678
    +a(g423
    +VNone
    +p21679
    +tp21680
    +a(g693
    +g976
    +tp21681
    +a(g826
    +g978
    +tp21682
    +a(g423
    +VNone
    +p21683
    +tp21684
    +a(g693
    +g976
    +tp21685
    +a(g826
    +g978
    +tp21686
    +a(g423
    +Vf96
    +p21687
    +tp21688
    +a(g693
    +g976
    +tp21689
    +a(g826
    +g978
    +tp21690
    +a(g423
    +VNone
    +p21691
    +tp21692
    +a(g693
    +g976
    +tp21693
    +a(g826
    +g978
    +tp21694
    +a(g423
    +Vi78
    +p21695
    +tp21696
    +a(g693
    +g976
    +tp21697
    +a(g826
    +g978
    +tp21698
    +a(g423
    +Vi85
    +p21699
    +tp21700
    +a(g693
    +g976
    +tp21701
    +a(g826
    +g978
    +tp21702
    +a(g423
    +VNone
    +p21703
    +tp21704
    +a(g693
    +g976
    +tp21705
    +a(g826
    +g978
    +tp21706
    +a(g423
    +Vi68
    +p21707
    +tp21708
    +a(g693
    +g976
    +tp21709
    +a(g826
    +g978
    +tp21710
    +a(g423
    +VNone
    +p21711
    +tp21712
    +a(g693
    +g976
    +tp21713
    +a(g826
    +g978
    +tp21714
    +a(g423
    +VNone
    +p21715
    +tp21716
    +a(g693
    +g976
    +tp21717
    +a(g826
    +g978
    +tp21718
    +a(g423
    +Vi32
    +p21719
    +tp21720
    +a(g693
    +g976
    +tp21721
    +a(g826
    +g978
    +tp21722
    +a(g423
    +Vp18
    +p21723
    +tp21724
    +a(g693
    +g976
    +tp21725
    +a(g826
    +g978
    +tp21726
    +a(g423
    +VNone
    +p21727
    +tp21728
    +a(g693
    +g976
    +tp21729
    +a(g826
    +g978
    +tp21730
    +a(g423
    +VNone
    +p21731
    +tp21732
    +a(g693
    +g976
    +tp21733
    +a(g826
    +g978
    +tp21734
    +a(g423
    +VNone
    +p21735
    +tp21736
    +a(g693
    +g1098
    +tp21737
    +a(g826
    +V\u000a
    +p21738
    +tp21739
    +a(g616
    +Vdebug_merge_point
    +p21740
    +tp21741
    +a(g693
    +g1104
    +tp21742
    +a(g76
    +V' #125 FOR_ITER'
    +p21743
    +tp21744
    +a(g693
    +g976
    +tp21745
    +a(g826
    +g978
    +tp21746
    +a(g22
    +g1110
    +tp21747
    +a(g693
    +g1112
    +tp21748
    +a(g826
    +V\u000a
    +p21749
    +tp21750
    +a(g423
    +Vp159
    +p21751
    +tp21752
    +a(g826
    +g978
    +tp21753
    +a(g693
    +g1119
    +tp21754
    +a(g826
    +g978
    +tp21755
    +a(g669
    +Vnew_with_vtable
    +p21756
    +tp21757
    +a(g693
    +g1104
    +tp21758
    +a(g669
    +V19865144
    +p21759
    +tp21760
    +a(g693
    +g1112
    +tp21761
    +a(g826
    +V\u000a
    +p21762
    +tp21763
    +a(g669
    +Vsetfield_gc
    +p21764
    +tp21765
    +a(g693
    +g1104
    +tp21766
    +a(g423
    +Vp159
    +p21767
    +tp21768
    +a(g693
    +g976
    +tp21769
    +a(g826
    +g978
    +tp21770
    +a(g22
    +V291
    +p21771
    +tp21772
    +a(g693
    +g976
    +tp21773
    +a(g826
    +g978
    +tp21774
    +a(g423
    +Vdescr
    +p21775
    +tp21776
    +a(g693
    +g1119
    +tp21777
    +a(g669
    +V
    +p21778
    +tp21779
    +a(g693
    +g1112
    +tp21780
    +a(g826
    +V\u000a
    +p21781
    +tp21782
    +a(g669
    +Vsetfield_gc
    +p21783
    +tp21784
    +a(g693
    +g1104
    +tp21785
    +a(g423
    +Vp159
    +p21786
    +tp21787
    +a(g693
    +g976
    +tp21788
    +a(g826
    +g978
    +tp21789
    +a(g22
    +g1250
    +tp21790
    +a(g693
    +g976
    +tp21791
    +a(g826
    +g978
    +tp21792
    +a(g423
    +Vdescr
    +p21793
    +tp21794
    +a(g693
    +g1119
    +tp21795
    +a(g669
    +V
    +p21796
    +tp21797
    +a(g693
    +g1112
    +tp21798
    +a(g826
    +V\u000a
    +p21799
    +tp21800
    +a(g669
    +Vsetfield_gc
    +p21801
    +tp21802
    +a(g693
    +g1104
    +tp21803
    +a(g423
    +Vp159
    +p21804
    +tp21805
    +a(g693
    +g976
    +tp21806
    +a(g826
    +g978
    +tp21807
    +a(g423
    +Vp18
    +p21808
    +tp21809
    +a(g693
    +g976
    +tp21810
    +a(g826
    +g978
    +tp21811
    +a(g423
    +Vdescr
    +p21812
    +tp21813
    +a(g693
    +g1119
    +tp21814
    +a(g669
    +V
    +p21815
    +tp21816
    +a(g693
    +g1112
    +tp21817
    +a(g826
    +V\u000a
    +p21818
    +tp21819
    +a(g423
    +Vp163
    +p21820
    +tp21821
    +a(g826
    +g978
    +tp21822
    +a(g693
    +g1119
    +tp21823
    +a(g826
    +g978
    +tp21824
    +a(g669
    +Vnew_with_vtable
    +p21825
    +tp21826
    +a(g693
    +g1104
    +tp21827
    +a(g669
    +V19861240
    +p21828
    +tp21829
    +a(g693
    +g1112
    +tp21830
    +a(g826
    +V\u000a
    +p21831
    +tp21832
    +a(g669
    +Vsetfield_gc
    +p21833
    +tp21834
    +a(g693
    +g1104
    +tp21835
    +a(g423
    +Vp163
    +p21836
    +tp21837
    +a(g693
    +g976
    +tp21838
    +a(g826
    +g978
    +tp21839
    +a(g423
    +Vi85
    +p21840
    +tp21841
    +a(g693
    +g976
    +tp21842
    +a(g826
    +g978
    +tp21843
    +a(g423
    +Vdescr
    +p21844
    +tp21845
    +a(g693
    +g1119
    +tp21846
    +a(g669
    +V
    +p21847
    +tp21848
    +a(g693
    +g1112
    +tp21849
    +a(g826
    +V\u000a
    +p21850
    +tp21851
    +a(g669
    +Vsetfield_gc
    +p21852
    +tp21853
    +a(g693
    +g1104
    +tp21854
    +a(g423
    +Vp163
    +p21855
    +tp21856
    +a(g693
    +g976
    +tp21857
    +a(g826
    +g978
    +tp21858
    +a(g423
    +Vi78
    +p21859
    +tp21860
    +a(g693
    +g976
    +tp21861
    +a(g826
    +g978
    +tp21862
    +a(g423
    +Vdescr
    +p21863
    +tp21864
    +a(g693
    +g1119
    +tp21865
    +a(g669
    +V
    +p21866
    +tp21867
    +a(g693
    +g1112
    +tp21868
    +a(g826
    +V\u000a
    +p21869
    +tp21870
    +a(g669
    +Vsetfield_gc
    +p21871
    +tp21872
    +a(g693
    +g1104
    +tp21873
    +a(g423
    +Vp163
    +p21874
    +tp21875
    +a(g693
    +g976
    +tp21876
    +a(g826
    +g978
    +tp21877
    +a(g423
    +Vi68
    +p21878
    +tp21879
    +a(g693
    +g976
    +tp21880
    +a(g826
    +g978
    +tp21881
    +a(g423
    +Vdescr
    +p21882
    +tp21883
    +a(g693
    +g1119
    +tp21884
    +a(g669
    +V
    +p21885
    +tp21886
    +a(g693
    +g1112
    +tp21887
    +a(g826
    +V\u000a
    +p21888
    +tp21889
    +a(g423
    +Vp165
    +p21890
    +tp21891
    +a(g826
    +g978
    +tp21892
    +a(g693
    +g1119
    +tp21893
    +a(g826
    +g978
    +tp21894
    +a(g669
    +Vnew_with_vtable
    +p21895
    +tp21896
    +a(g693
    +g1104
    +tp21897
    +a(g669
    +V19800744
    +p21898
    +tp21899
    +a(g693
    +g1112
    +tp21900
    +a(g826
    +V\u000a
    +p21901
    +tp21902
    +a(g669
    +Vsetfield_gc
    +p21903
    +tp21904
    +a(g693
    +g1104
    +tp21905
    +a(g423
    +Vp165
    +p21906
    +tp21907
    +a(g693
    +g976
    +tp21908
    +a(g826
    +g978
    +tp21909
    +a(g423
    +Vf151
    +p21910
    +tp21911
    +a(g693
    +g976
    +tp21912
    +a(g826
    +g978
    +tp21913
    +a(g423
    +Vdescr
    +p21914
    +tp21915
    +a(g693
    +g1119
    +tp21916
    +a(g669
    +V
    +p21917
    +tp21918
    +a(g693
    +g1112
    +tp21919
    +a(g826
    +V\u000a
    +p21920
    +tp21921
    +a(g423
    +Vp167
    +p21922
    +tp21923
    +a(g826
    +g978
    +tp21924
    +a(g693
    +g1119
    +tp21925
    +a(g826
    +g978
    +tp21926
    +a(g669
    +Vnew_with_vtable
    +p21927
    +tp21928
    +a(g693
    +g1104
    +tp21929
    +a(g423
    +VConstClass
    +p21930
    +tp21931
    +a(g693
    +g1104
    +tp21932
    +a(g669
    +VW_IntObject
    +p21933
    +tp21934
    +a(g693
    +g1112
    +tp21935
    +a(g693
    +g1112
    +tp21936
    +a(g826
    +V\u000a
    +p21937
    +tp21938
    +a(g669
    +Vsetfield_gc
    +p21939
    +tp21940
    +a(g693
    +g1104
    +tp21941
    +a(g423
    +Vp167
    +p21942
    +tp21943
    +a(g693
    +g976
    +tp21944
    +a(g826
    +g978
    +tp21945
    +a(g423
    +Vi32
    +p21946
    +tp21947
    +a(g693
    +g976
    +tp21948
    +a(g826
    +g978
    +tp21949
    +a(g423
    +Vdescr
    +p21950
    +tp21951
    +a(g693
    +g1119
    +tp21952
    +a(g669
    +V
    +p21953
    +tp21954
    +a(g693
    +g1112
    +tp21955
    +a(g826
    +V\u000a
    +p21956
    +tp21957
    +a(g423
    +Vp169
    +p21958
    +tp21959
    +a(g826
    +g978
    +tp21960
    +a(g693
    +g1119
    +tp21961
    +a(g826
    +g978
    +tp21962
    +a(g669
    +Vnew_with_vtable
    +p21963
    +tp21964
    +a(g693
    +g1104
    +tp21965
    +a(g423
    +VConstClass
    +p21966
    +tp21967
    +a(g693
    +g1104
    +tp21968
    +a(g669
    +VW_IntObject
    +p21969
    +tp21970
    +a(g693
    +g1112
    +tp21971
    +a(g693
    +g1112
    +tp21972
    +a(g826
    +V\u000a
    +p21973
    +tp21974
    +a(g669
    +Vsetfield_gc
    +p21975
    +tp21976
    +a(g693
    +g1104
    +tp21977
    +a(g423
    +Vp169
    +p21978
    +tp21979
    +a(g693
    +g976
    +tp21980
    +a(g826
    +g978
    +tp21981
    +a(g22
    +g1250
    +tp21982
    +a(g693
    +g976
    +tp21983
    +a(g826
    +g978
    +tp21984
    +a(g423
    +Vdescr
    +p21985
    +tp21986
    +a(g693
    +g1119
    +tp21987
    +a(g669
    +V
    +p21988
    +tp21989
    +a(g693
    +g1112
    +tp21990
    +a(g826
    +V\u000a
    +p21991
    +tp21992
    +a(g423
    +Vp171
    +p21993
    +tp21994
    +a(g826
    +g978
    +tp21995
    +a(g693
    +g1119
    +tp21996
    +a(g826
    +g978
    +tp21997
    +a(g669
    +Vnew_with_vtable
    +p21998
    +tp21999
    +a(g693
    +g1104
    +tp22000
    +a(g669
    +V19800744
    +p22001
    +tp22002
    +a(g693
    +g1112
    +tp22003
    +a(g826
    +V\u000a
    +p22004
    +tp22005
    +a(g669
    +Vsetfield_gc
    +p22006
    +tp22007
    +a(g693
    +g1104
    +tp22008
    +a(g423
    +Vp171
    +p22009
    +tp22010
    +a(g693
    +g976
    +tp22011
    +a(g826
    +g978
    +tp22012
    +a(g423
    +Vf96
    +p22013
    +tp22014
    +a(g693
    +g976
    +tp22015
    +a(g826
    +g978
    +tp22016
    +a(g423
    +Vdescr
    +p22017
    +tp22018
    +a(g693
    +g1119
    +tp22019
    +a(g669
    +V
    +p22020
    +tp22021
    +a(g693
    +g1112
    +tp22022
    +a(g826
    +V\u000a
    +p22023
    +tp22024
    +a(g616
    +Vjump
    +p22025
    +tp22026
    +a(g693
    +g1104
    +tp22027
    +a(g423
    +Vp1
    +p22028
    +tp22029
    +a(g693
    +g976
    +tp22030
    +a(g826
    +g978
    +tp22031
    +a(g423
    +Vp0
    +p22032
    +tp22033
    +a(g693
    +g976
    +tp22034
    +a(g826
    +g978
    +tp22035
    +a(g423
    +VConstPtr
    +p22036
    +tp22037
    +a(g693
    +g1104
    +tp22038
    +a(g669
    +Vptr172
    +p22039
    +tp22040
    +a(g693
    +g1112
    +tp22041
    +a(g693
    +g976
    +tp22042
    +a(g826
    +g978
    +tp22043
    +a(g423
    +Vp159
    +p22044
    +tp22045
    +a(g693
    +g976
    +tp22046
    +a(g826
    +g978
    +tp22047
    +a(g22
    +g5259
    +tp22048
    +a(g693
    +g976
    +tp22049
    +a(g826
    +g978
    +tp22050
    +a(g423
    +Vp4
    +p22051
    +tp22052
    +a(g693
    +g976
    +tp22053
    +a(g826
    +g978
    +tp22054
    +a(g22
    +g1110
    +tp22055
    +a(g693
    +g976
    +tp22056
    +a(g826
    +g978
    +tp22057
    +a(g22
    +V125
    +p22058
    +tp22059
    +a(g693
    +g976
    +tp22060
    +a(g826
    +g978
    +tp22061
    +a(g423
    +Vp5
    +p22062
    +tp22063
    +a(g693
    +g976
    +tp22064
    +a(g826
    +g978
    +tp22065
    +a(g423
    +Vp163
    +p22066
    +tp22067
    +a(g693
    +g976
    +tp22068
    +a(g826
    +g978
    +tp22069
    +a(g423
    +VConstPtr
    +p22070
    +tp22071
    +a(g693
    +g1104
    +tp22072
    +a(g669
    +Vptr176
    +p22073
    +tp22074
    +a(g693
    +g1112
    +tp22075
    +a(g693
    +g976
    +tp22076
    +a(g826
    +g978
    +tp22077
    +a(g423
    +VConstPtr
    +p22078
    +tp22079
    +a(g693
    +g1104
    +tp22080
    +a(g669
    +Vptr177
    +p22081
    +tp22082
    +a(g693
    +g1112
    +tp22083
    +a(g693
    +g976
    +tp22084
    +a(g826
    +g978
    +tp22085
    +a(g423
    +VConstPtr
    +p22086
    +tp22087
    +a(g693
    +g1104
    +tp22088
    +a(g669
    +Vptr178
    +p22089
    +tp22090
    +a(g693
    +g1112
    +tp22091
    +a(g693
    +g976
    +tp22092
    +a(g826
    +g978
    +tp22093
    +a(g423
    +VConstPtr
    +p22094
    +tp22095
    +a(g693
    +g1104
    +tp22096
    +a(g669
    +Vptr179
    +p22097
    +tp22098
    +a(g693
    +g1112
    +tp22099
    +a(g693
    +g976
    +tp22100
    +a(g826
    +g978
    +tp22101
    +a(g423
    +VConstPtr
    +p22102
    +tp22103
    +a(g693
    +g1104
    +tp22104
    +a(g669
    +Vptr180
    +p22105
    +tp22106
    +a(g693
    +g1112
    +tp22107
    +a(g693
    +g976
    +tp22108
    +a(g826
    +g978
    +tp22109
    +a(g423
    +Vp6
    +p22110
    +tp22111
    +a(g693
    +g976
    +tp22112
    +a(g826
    +g978
    +tp22113
    +a(g423
    +Vp7
    +p22114
    +tp22115
    +a(g693
    +g976
    +tp22116
    +a(g826
    +g978
    +tp22117
    +a(g423
    +Vp8
    +p22118
    +tp22119
    +a(g693
    +g976
    +tp22120
    +a(g826
    +g978
    +tp22121
    +a(g423
    +Vp9
    +p22122
    +tp22123
    +a(g693
    +g976
    +tp22124
    +a(g826
    +g978
    +tp22125
    +a(g423
    +Vp10
    +p22126
    +tp22127
    +a(g693
    +g976
    +tp22128
    +a(g826
    +g978
    +tp22129
    +a(g423
    +Vp165
    +p22130
    +tp22131
    +a(g693
    +g976
    +tp22132
    +a(g826
    +g978
    +tp22133
    +a(g423
    +Vp11
    +p22134
    +tp22135
    +a(g693
    +g976
    +tp22136
    +a(g826
    +g978
    +tp22137
    +a(g423
    +Vp12
    +p22138
    +tp22139
    +a(g693
    +g976
    +tp22140
    +a(g826
    +g978
    +tp22141
    +a(g423
    +Vp13
    +p22142
    +tp22143
    +a(g693
    +g976
    +tp22144
    +a(g826
    +g978
    +tp22145
    +a(g423
    +Vp167
    +p22146
    +tp22147
    +a(g693
    +g976
    +tp22148
    +a(g826
    +g978
    +tp22149
    +a(g423
    +Vp169
    +p22150
    +tp22151
    +a(g693
    +g976
    +tp22152
    +a(g826
    +g978
    +tp22153
    +a(g423
    +Vp171
    +p22154
    +tp22155
    +a(g693
    +g976
    +tp22156
    +a(g826
    +g978
    +tp22157
    +a(g423
    +Vdescr
    +p22158
    +tp22159
    +a(g693
    +g1119
    +tp22160
    +a(g669
    +V
    +p22161
    +tp22162
    +a(g693
    +g1112
    +tp22163
    +a(g826
    +V\u000a
    +p22164
    +tp22165
    +a(g7
    +V[5ed623fc609b] jit-log-opt-bridge}
    +p22166
    +tp22167
    +a(g826
    +V\u000a
    +p22168
    +tp22169
    +a(g7
    +V[5ed63ea5fa94] {jit-log-opt-bridge
    +p22170
    +tp22171
    +a(g826
    +V\u000a
    +p22172
    +tp22173
    +a(g709
    +V# bridge out of Guard 110 with 23 ops
    +p22174
    +tp22175
    +a(g826
    +V\u000a
    +p22176
    +tp22177
    +a(g693
    +g972
    +tp22178
    +a(g423
    +Vp0
    +p22179
    +tp22180
    +a(g693
    +g976
    +tp22181
    +a(g826
    +g978
    +tp22182
    +a(g423
    +Vp1
    +p22183
    +tp22184
    +a(g693
    +g976
    +tp22185
    +a(g826
    +g978
    +tp22186
    +a(g423
    +Vp2
    +p22187
    +tp22188
    +a(g693
    +g976
    +tp22189
    +a(g826
    +g978
    +tp22190
    +a(g423
    +Vp3
    +p22191
    +tp22192
    +a(g693
    +g976
    +tp22193
    +a(g826
    +g978
    +tp22194
    +a(g423
    +Vp4
    +p22195
    +tp22196
    +a(g693
    +g976
    +tp22197
    +a(g826
    +g978
    +tp22198
    +a(g423
    +Vp5
    +p22199
    +tp22200
    +a(g693
    +g976
    +tp22201
    +a(g826
    +g978
    +tp22202
    +a(g423
    +Vp6
    +p22203
    +tp22204
    +a(g693
    +g976
    +tp22205
    +a(g826
    +g978
    +tp22206
    +a(g423
    +Vp7
    +p22207
    +tp22208
    +a(g693
    +g976
    +tp22209
    +a(g826
    +g978
    +tp22210
    +a(g423
    +Vp8
    +p22211
    +tp22212
    +a(g693
    +g976
    +tp22213
    +a(g826
    +g978
    +tp22214
    +a(g423
    +Vp9
    +p22215
    +tp22216
    +a(g693
    +g976
    +tp22217
    +a(g826
    +g978
    +tp22218
    +a(g423
    +Vp10
    +p22219
    +tp22220
    +a(g693
    +g976
    +tp22221
    +a(g826
    +g978
    +tp22222
    +a(g423
    +Vp11
    +p22223
    +tp22224
    +a(g693
    +g976
    +tp22225
    +a(g826
    +g978
    +tp22226
    +a(g423
    +Vf12
    +p22227
    +tp22228
    +a(g693
    +g976
    +tp22229
    +a(g826
    +g978
    +tp22230
    +a(g423
    +Vf13
    +p22231
    +tp22232
    +a(g693
    +g976
    +tp22233
    +a(g826
    +g978
    +tp22234
    +a(g423
    +Vi14
    +p22235
    +tp22236
    +a(g693
    +g976
    +tp22237
    +a(g826
    +g978
    +tp22238
    +a(g423
    +Vi15
    +p22239
    +tp22240
    +a(g693
    +g976
    +tp22241
    +a(g826
    +g978
    +tp22242
    +a(g423
    +Vi16
    +p22243
    +tp22244
    +a(g693
    +g976
    +tp22245
    +a(g826
    +g978
    +tp22246
    +a(g423
    +Vi17
    +p22247
    +tp22248
    +a(g693
    +g976
    +tp22249
    +a(g826
    +g978
    +tp22250
    +a(g423
    +Vp18
    +p22251
    +tp22252
    +a(g693
    +g1098
    +tp22253
    +a(g826
    +V\u000a
    +p22254
    +tp22255
    +a(g423
    +Vi19
    +p22256
    +tp22257
    +a(g826
    +g978
    +tp22258
    +a(g693
    +g1119
    +tp22259
    +a(g826
    +g978
    +tp22260
    +a(g669
    +Vforce_token
    +p22261
    +tp22262
    +a(g693
    +g1104
    +tp22263
    +a(g693
    +g1112
    +tp22264
    +a(g826
    +V\u000a
    +p22265
    +tp22266
    +a(g669
    +Vsetfield_gc
    +p22267
    +tp22268
    +a(g693
    +g1104
    +tp22269
    +a(g423
    +Vp1
    +p22270
    +tp22271
    +a(g693
    +g976
    +tp22272
    +a(g826
    +g978
    +tp22273
    +a(g423
    +Vi19
    +p22274
    +tp22275
    +a(g693
    +g976
    +tp22276
    +a(g826
    +g978
    +tp22277
    +a(g423
    +Vdescr
    +p22278
    +tp22279
    +a(g693
    +g1119
    +tp22280
    +a(g669
    +V
    +p22281
    +tp22282
    +a(g693
    +g1112
    +tp22283
    +a(g826
    +V\u000a
    +p22284
    +tp22285
    +a(g669
    +Vcall_may_force
    +p22286
    +tp22287
    +a(g693
    +g1104
    +tp22288
    +a(g423
    +VConstClass
    +p22289
    +tp22290
    +a(g693
    +g1104
    +tp22291
    +a(g669
    +Vaction_dispatcher
    +p22292
    +tp22293
    +a(g693
    +g1112
    +tp22294
    +a(g693
    +g976
    +tp22295
    +a(g826
    +g978
    +tp22296
    +a(g423
    +Vp0
    +p22297
    +tp22298
    +a(g693
    +g976
    +tp22299
    +a(g826
    +g978
    +tp22300
    +a(g423
    +Vp1
    +p22301
    +tp22302
    +a(g693
    +g976
    +tp22303
    +a(g826
    +g978
    +tp22304
    +a(g423
    +Vdescr
    +p22305
    +tp22306
    +a(g693
    +g1119
    +tp22307
    +a(g669
    +V
    +p22308
    +tp22309
    +a(g693
    +g1112
    +tp22310
    +a(g826
    +V\u000a
    +p22311
    +tp22312
    +a(g669
    +Vguard_not_forced
    +p22313
    +tp22314
    +a(g693
    +g1104
    +tp22315
    +a(g693
    +g976
    +tp22316
    +a(g826
    +g978
    +tp22317
    +a(g423
    +Vdescr
    +p22318
    +tp22319
    +a(g693
    +g1119
    +tp22320
    +a(g669
    +V
    +p22321
    +tp22322
    +a(g693
    +g1112
    +tp22323
    +a(g826
    +g978
    +tp22324
    +a(g693
    +g972
    +tp22325
    +a(g423
    +Vp0
    +p22326
    +tp22327
    +a(g693
    +g976
    +tp22328
    +a(g826
    +g978
    +tp22329
    +a(g423
    +Vp1
    +p22330
    +tp22331
    +a(g693
    +g976
    +tp22332
    +a(g826
    +g978
    +tp22333
    +a(g423
    +Vp2
    +p22334
    +tp22335
    +a(g693
    +g976
    +tp22336
    +a(g826
    +g978
    +tp22337
    +a(g423
    +Vp3
    +p22338
    +tp22339
    +a(g693
    +g976
    +tp22340
    +a(g826
    +g978
    +tp22341
    +a(g423
    +Vp4
    +p22342
    +tp22343
    +a(g693
    +g976
    +tp22344
    +a(g826
    +g978
    +tp22345
    +a(g423
    +Vp5
    +p22346
    +tp22347
    +a(g693
    +g976
    +tp22348
    +a(g826
    +g978
    +tp22349
    +a(g423
    +Vp6
    +p22350
    +tp22351
    +a(g693
    +g976
    +tp22352
    +a(g826
    +g978
    +tp22353
    +a(g423
    +Vp7
    +p22354
    +tp22355
    +a(g693
    +g976
    +tp22356
    +a(g826
    +g978
    +tp22357
    +a(g423
    +Vp8
    +p22358
    +tp22359
    +a(g693
    +g976
    +tp22360
    +a(g826
    +g978
    +tp22361
    +a(g423
    +Vp9
    +p22362
    +tp22363
    +a(g693
    +g976
    +tp22364
    +a(g826
    +g978
    +tp22365
    +a(g423
    +Vp10
    +p22366
    +tp22367
    +a(g693
    +g976
    +tp22368
    +a(g826
    +g978
    +tp22369
    +a(g423
    +Vp11
    +p22370
    +tp22371
    +a(g693
    +g976
    +tp22372
    +a(g826
    +g978
    +tp22373
    +a(g423
    +Vi14
    +p22374
    +tp22375
    +a(g693
    +g976
    +tp22376
    +a(g826
    +g978
    +tp22377
    +a(g423
    +Vi17
    +p22378
    +tp22379
    +a(g693
    +g976
    +tp22380
    +a(g826
    +g978
    +tp22381
    +a(g423
    +Vi16
    +p22382
    +tp22383
    +a(g693
    +g976
    +tp22384
    +a(g826
    +g978
    +tp22385
    +a(g423
    +Vi15
    +p22386
    +tp22387
    +a(g693
    +g976
    +tp22388
    +a(g826
    +g978
    +tp22389
    +a(g423
    +Vf12
    +p22390
    +tp22391
    +a(g693
    +g976
    +tp22392
    +a(g826
    +g978
    +tp22393
    +a(g423
    +Vf13
    +p22394
    +tp22395
    +a(g693
    +g976
    +tp22396
    +a(g826
    +g978
    +tp22397
    +a(g423
    +Vp18
    +p22398
    +tp22399
    +a(g693
    +g1098
    +tp22400
    +a(g826
    +V\u000a
    +p22401
    +tp22402
    +a(g669
    +Vguard_no_exception
    +p22403
    +tp22404
    +a(g693
    +g1104
    +tp22405
    +a(g693
    +g976
    +tp22406
    +a(g826
    +g978
    +tp22407
    +a(g423
    +Vdescr
    +p22408
    +tp22409
    +a(g693
    +g1119
    +tp22410
    +a(g669
    +V
    +p22411
    +tp22412
    +a(g693
    +g1112
    +tp22413
    +a(g826
    +g978
    +tp22414
    +a(g693
    +g972
    +tp22415
    +a(g423
    +Vp0
    +p22416
    +tp22417
    +a(g693
    +g976
    +tp22418
    +a(g826
    +g978
    +tp22419
    +a(g423
    +Vp1
    +p22420
    +tp22421
    +a(g693
    +g976
    +tp22422
    +a(g826
    +g978
    +tp22423
    +a(g423
    +Vp2
    +p22424
    +tp22425
    +a(g693
    +g976
    +tp22426
    +a(g826
    +g978
    +tp22427
    +a(g423
    +Vp3
    +p22428
    +tp22429
    +a(g693
    +g976
    +tp22430
    +a(g826
    +g978
    +tp22431
    +a(g423
    +Vp4
    +p22432
    +tp22433
    +a(g693
    +g976
    +tp22434
    +a(g826
    +g978
    +tp22435
    +a(g423
    +Vp5
    +p22436
    +tp22437
    +a(g693
    +g976
    +tp22438
    +a(g826
    +g978
    +tp22439
    +a(g423
    +Vp6
    +p22440
    +tp22441
    +a(g693
    +g976
    +tp22442
    +a(g826
    +g978
    +tp22443
    +a(g423
    +Vp7
    +p22444
    +tp22445
    +a(g693
    +g976
    +tp22446
    +a(g826
    +g978
    +tp22447
    +a(g423
    +Vp8
    +p22448
    +tp22449
    +a(g693
    +g976
    +tp22450
    +a(g826
    +g978
    +tp22451
    +a(g423
    +Vp9
    +p22452
    +tp22453
    +a(g693
    +g976
    +tp22454
    +a(g826
    +g978
    +tp22455
    +a(g423
    +Vp10
    +p22456
    +tp22457
    +a(g693
    +g976
    +tp22458
    +a(g826
    +g978
    +tp22459
    +a(g423
    +Vp11
    +p22460
    +tp22461
    +a(g693
    +g976
    +tp22462
    +a(g826
    +g978
    +tp22463
    +a(g423
    +Vi14
    +p22464
    +tp22465
    +a(g693
    +g976
    +tp22466
    +a(g826
    +g978
    +tp22467
    +a(g423
    +Vi17
    +p22468
    +tp22469
    +a(g693
    +g976
    +tp22470
    +a(g826
    +g978
    +tp22471
    +a(g423
    +Vi16
    +p22472
    +tp22473
    +a(g693
    +g976
    +tp22474
    +a(g826
    +g978
    +tp22475
    +a(g423
    +Vi15
    +p22476
    +tp22477
    +a(g693
    +g976
    +tp22478
    +a(g826
    +g978
    +tp22479
    +a(g423
    +Vf12
    +p22480
    +tp22481
    +a(g693
    +g976
    +tp22482
    +a(g826
    +g978
    +tp22483
    +a(g423
    +Vf13
    +p22484
    +tp22485
    +a(g693
    +g976
    +tp22486
    +a(g826
    +g978
    +tp22487
    +a(g423
    +Vp18
    +p22488
    +tp22489
    +a(g693
    +g1098
    +tp22490
    +a(g826
    +V\u000a
    +p22491
    +tp22492
    +a(g616
    +Vdebug_merge_point
    +p22493
    +tp22494
    +a(g693
    +g1104
    +tp22495
    +a(g76
    +V' #125 FOR_ITER'
    +p22496
    +tp22497
    +a(g693
    +g976
    +tp22498
    +a(g826
    +g978
    +tp22499
    +a(g22
    +g1110
    +tp22500
    +a(g693
    +g1112
    +tp22501
    +a(g826
    +V\u000a
    +p22502
    +tp22503
    +a(g423
    +Vp22
    +p22504
    +tp22505
    +a(g826
    +g978
    +tp22506
    +a(g693
    +g1119
    +tp22507
    +a(g826
    +g978
    +tp22508
    +a(g669
    +Vnew_with_vtable
    +p22509
    +tp22510
    +a(g693
    +g1104
    +tp22511
    +a(g669
    +V19865144
    +p22512
    +tp22513
    +a(g693
    +g1112
    +tp22514
    +a(g826
    +V\u000a
    +p22515
    +tp22516
    +a(g669
    +Vsetfield_gc
    +p22517
    +tp22518
    +a(g693
    +g1104
    +tp22519
    +a(g423
    +Vp22
    +p22520
    +tp22521
    +a(g693
    +g976
    +tp22522
    +a(g826
    +g978
    +tp22523
    +a(g22
    +V291
    +p22524
    +tp22525
    +a(g693
    +g976
    +tp22526
    +a(g826
    +g978
    +tp22527
    +a(g423
    +Vdescr
    +p22528
    +tp22529
    +a(g693
    +g1119
    +tp22530
    +a(g669
    +V
    +p22531
    +tp22532
    +a(g693
    +g1112
    +tp22533
    +a(g826
    +V\u000a
    +p22534
    +tp22535
    +a(g669
    +Vsetfield_gc
    +p22536
    +tp22537
    +a(g693
    +g1104
    +tp22538
    +a(g423
    +Vp22
    +p22539
    +tp22540
    +a(g693
    +g976
    +tp22541
    +a(g826
    +g978
    +tp22542
    +a(g423
    +Vp18
    +p22543
    +tp22544
    +a(g693
    +g976
    +tp22545
    +a(g826
    +g978
    +tp22546
    +a(g423
    +Vdescr
    +p22547
    +tp22548
    +a(g693
    +g1119
    +tp22549
    +a(g669
    +V
    +p22550
    +tp22551
    +a(g693
    +g1112
    +tp22552
    +a(g826
    +V\u000a
    +p22553
    +tp22554
    +a(g669
    +Vsetfield_gc
    +p22555
    +tp22556
    +a(g693
    +g1104
    +tp22557
    +a(g423
    +Vp22
    +p22558
    +tp22559
    +a(g693
    +g976
    +tp22560
    +a(g826
    +g978
    +tp22561
    +a(g22
    +g1250
    +tp22562
    +a(g693
    +g976
    +tp22563
    +a(g826
    +g978
    +tp22564
    +a(g423
    +Vdescr
    +p22565
    +tp22566
    +a(g693
    +g1119
    +tp22567
    +a(g669
    +V
    +p22568
    +tp22569
    +a(g693
    +g1112
    +tp22570
    +a(g826
    +V\u000a
    +p22571
    +tp22572
    +a(g423
    +Vp26
    +p22573
    +tp22574
    +a(g826
    +g978
    +tp22575
    +a(g693
    +g1119
    +tp22576
    +a(g826
    +g978
    +tp22577
    +a(g669
    +Vnew_with_vtable
    +p22578
    +tp22579
    +a(g693
    +g1104
    +tp22580
    +a(g669
    +V19861240
    +p22581
    +tp22582
    +a(g693
    +g1112
    +tp22583
    +a(g826
    +V\u000a
    +p22584
    +tp22585
    +a(g669
    +Vsetfield_gc
    +p22586
    +tp22587
    +a(g693
    +g1104
    +tp22588
    +a(g423
    +Vp26
    +p22589
    +tp22590
    +a(g693
    +g976
    +tp22591
    +a(g826
    +g978
    +tp22592
    +a(g423
    +Vi15
    +p22593
    +tp22594
    +a(g693
    +g976
    +tp22595
    +a(g826
    +g978
    +tp22596
    +a(g423
    +Vdescr
    +p22597
    +tp22598
    +a(g693
    +g1119
    +tp22599
    +a(g669
    +V
    +p22600
    +tp22601
    +a(g693
    +g1112
    +tp22602
    +a(g826
    +V\u000a
    +p22603
    +tp22604
    +a(g669
    +Vsetfield_gc
    +p22605
    +tp22606
    +a(g693
    +g1104
    +tp22607
    +a(g423
    +Vp26
    +p22608
    +tp22609
    +a(g693
    +g976
    +tp22610
    +a(g826
    +g978
    +tp22611
    +a(g423
    +Vi14
    +p22612
    +tp22613
    +a(g693
    +g976
    +tp22614
    +a(g826
    +g978
    +tp22615
    +a(g423
    +Vdescr
    +p22616
    +tp22617
    +a(g693
    +g1119
    +tp22618
    +a(g669
    +V
    +p22619
    +tp22620
    +a(g693
    +g1112
    +tp22621
    +a(g826
    +V\u000a
    +p22622
    +tp22623
    +a(g669
    +Vsetfield_gc
    +p22624
    +tp22625
    +a(g693
    +g1104
    +tp22626
    +a(g423
    +Vp26
    +p22627
    +tp22628
    +a(g693
    +g976
    +tp22629
    +a(g826
    +g978
    +tp22630
    +a(g423
    +Vi16
    +p22631
    +tp22632
    +a(g693
    +g976
    +tp22633
    +a(g826
    +g978
    +tp22634
    +a(g423
    +Vdescr
    +p22635
    +tp22636
    +a(g693
    +g1119
    +tp22637
    +a(g669
    +V
    +p22638
    +tp22639
    +a(g693
    +g1112
    +tp22640
    +a(g826
    +V\u000a
    +p22641
    +tp22642
    +a(g423
    +Vp28
    +p22643
    +tp22644
    +a(g826
    +g978
    +tp22645
    +a(g693
    +g1119
    +tp22646
    +a(g826
    +g978
    +tp22647
    +a(g669
    +Vnew_with_vtable
    +p22648
    +tp22649
    +a(g693
    +g1104
    +tp22650
    +a(g669
    +V19800744
    +p22651
    +tp22652
    +a(g693
    +g1112
    +tp22653
    +a(g826
    +V\u000a
    +p22654
    +tp22655
    +a(g669
    +Vsetfield_gc
    +p22656
    +tp22657
    +a(g693
    +g1104
    +tp22658
    +a(g423
    +Vp28
    +p22659
    +tp22660
    +a(g693
    +g976
    +tp22661
    +a(g826
    +g978
    +tp22662
    +a(g423
    +Vf12
    +p22663
    +tp22664
    +a(g693
    +g976
    +tp22665
    +a(g826
    +g978
    +tp22666
    +a(g423
    +Vdescr
    +p22667
    +tp22668
    +a(g693
    +g1119
    +tp22669
    +a(g669
    +V
    +p22670
    +tp22671
    +a(g693
    +g1112
    +tp22672
    +a(g826
    +V\u000a
    +p22673
    +tp22674
    +a(g423
    +Vp30
    +p22675
    +tp22676
    +a(g826
    +g978
    +tp22677
    +a(g693
    +g1119
    +tp22678
    +a(g826
    +g978
    +tp22679
    +a(g669
    +Vnew_with_vtable
    +p22680
    +tp22681
    +a(g693
    +g1104
    +tp22682
    +a(g423
    +VConstClass
    +p22683
    +tp22684
    +a(g693
    +g1104
    +tp22685
    +a(g669
    +VW_IntObject
    +p22686
    +tp22687
    +a(g693
    +g1112
    +tp22688
    +a(g693
    +g1112
    +tp22689
    +a(g826
    +V\u000a
    +p22690
    +tp22691
    +a(g669
    +Vsetfield_gc
    +p22692
    +tp22693
    +a(g693
    +g1104
    +tp22694
    +a(g423
    +Vp30
    +p22695
    +tp22696
    +a(g693
    +g976
    +tp22697
    +a(g826
    +g978
    +tp22698
    +a(g423
    +Vi17
    +p22699
    +tp22700
    +a(g693
    +g976
    +tp22701
    +a(g826
    +g978
    +tp22702
    +a(g423
    +Vdescr
    +p22703
    +tp22704
    +a(g693
    +g1119
    +tp22705
    +a(g669
    +V
    +p22706
    +tp22707
    +a(g693
    +g1112
    +tp22708
    +a(g826
    +V\u000a
    +p22709
    +tp22710
    +a(g423
    +Vp32
    +p22711
    +tp22712
    +a(g826
    +g978
    +tp22713
    +a(g693
    +g1119
    +tp22714
    +a(g826
    +g978
    +tp22715
    +a(g669
    +Vnew_with_vtable
    +p22716
    +tp22717
    +a(g693
    +g1104
    +tp22718
    +a(g423
    +VConstClass
    +p22719
    +tp22720
    +a(g693
    +g1104
    +tp22721
    +a(g669
    +VW_IntObject
    +p22722
    +tp22723
    +a(g693
    +g1112
    +tp22724
    +a(g693
    +g1112
    +tp22725
    +a(g826
    +V\u000a
    +p22726
    +tp22727
    +a(g669
    +Vsetfield_gc
    +p22728
    +tp22729
    +a(g693
    +g1104
    +tp22730
    +a(g423
    +Vp32
    +p22731
    +tp22732
    +a(g693
    +g976
    +tp22733
    +a(g826
    +g978
    +tp22734
    +a(g22
    +g1250
    +tp22735
    +a(g693
    +g976
    +tp22736
    +a(g826
    +g978
    +tp22737
    +a(g423
    +Vdescr
    +p22738
    +tp22739
    +a(g693
    +g1119
    +tp22740
    +a(g669
    +V
    +p22741
    +tp22742
    +a(g693
    +g1112
    +tp22743
    +a(g826
    +V\u000a
    +p22744
    +tp22745
    +a(g423
    +Vp35
    +p22746
    +tp22747
    +a(g826
    +g978
    +tp22748
    +a(g693
    +g1119
    +tp22749
    +a(g826
    +g978
    +tp22750
    +a(g669
    +Vnew_with_vtable
    +p22751
    +tp22752
    +a(g693
    +g1104
    +tp22753
    +a(g669
    +V19800744
    +p22754
    +tp22755
    +a(g693
    +g1112
    +tp22756
    +a(g826
    +V\u000a
    +p22757
    +tp22758
    +a(g669
    +Vsetfield_gc
    +p22759
    +tp22760
    +a(g693
    +g1104
    +tp22761
    +a(g423
    +Vp35
    +p22762
    +tp22763
    +a(g693
    +g976
    +tp22764
    +a(g826
    +g978
    +tp22765
    +a(g423
    +Vf13
    +p22766
    +tp22767
    +a(g693
    +g976
    +tp22768
    +a(g826
    +g978
    +tp22769
    +a(g423
    +Vdescr
    +p22770
    +tp22771
    +a(g693
    +g1119
    +tp22772
    +a(g669
    +V
    +p22773
    +tp22774
    +a(g693
    +g1112
    +tp22775
    +a(g826
    +V\u000a
    +p22776
    +tp22777
    +a(g616
    +Vjump
    +p22778
    +tp22779
    +a(g693
    +g1104
    +tp22780
    +a(g423
    +Vp1
    +p22781
    +tp22782
    +a(g693
    +g976
    +tp22783
    +a(g826
    +g978
    +tp22784
    +a(g423
    +Vp0
    +p22785
    +tp22786
    +a(g693
    +g976
    +tp22787
    +a(g826
    +g978
    +tp22788
    +a(g423
    +VConstPtr
    +p22789
    +tp22790
    +a(g693
    +g1104
    +tp22791
    +a(g669
    +Vptr36
    +p22792
    +tp22793
    +a(g693
    +g1112
    +tp22794
    +a(g693
    +g976
    +tp22795
    +a(g826
    +g978
    +tp22796
    +a(g423
    +Vp22
    +p22797
    +tp22798
    +a(g693
    +g976
    +tp22799
    +a(g826
    +g978
    +tp22800
    +a(g22
    +g5259
    +tp22801
    +a(g693
    +g976
    +tp22802
    +a(g826
    +g978
    +tp22803
    +a(g423
    +Vp2
    +p22804
    +tp22805
    +a(g693
    +g976
    +tp22806
    +a(g826
    +g978
    +tp22807
    +a(g22
    +g1110
    +tp22808
    +a(g693
    +g976
    +tp22809
    +a(g826
    +g978
    +tp22810
    +a(g22
    +V125
    +p22811
    +tp22812
    +a(g693
    +g976
    +tp22813
    +a(g826
    +g978
    +tp22814
    +a(g423
    +Vp3
    +p22815
    +tp22816
    +a(g693
    +g976
    +tp22817
    +a(g826
    +g978
    +tp22818
    +a(g423
    +Vp26
    +p22819
    +tp22820
    +a(g693
    +g976
    +tp22821
    +a(g826
    +g978
    +tp22822
    +a(g423
    +VConstPtr
    +p22823
    +tp22824
    +a(g693
    +g1104
    +tp22825
    +a(g669
    +Vptr40
    +p22826
    +tp22827
    +a(g693
    +g1112
    +tp22828
    +a(g693
    +g976
    +tp22829
    +a(g826
    +g978
    +tp22830
    +a(g423
    +VConstPtr
    +p22831
    +tp22832
    +a(g693
    +g1104
    +tp22833
    +a(g669
    +Vptr41
    +p22834
    +tp22835
    +a(g693
    +g1112
    +tp22836
    +a(g693
    +g976
    +tp22837
    +a(g826
    +g978
    +tp22838
    +a(g423
    +VConstPtr
    +p22839
    +tp22840
    +a(g693
    +g1104
    +tp22841
    +a(g669
    +Vptr42
    +p22842
    +tp22843
    +a(g693
    +g1112
    +tp22844
    +a(g693
    +g976
    +tp22845
    +a(g826
    +g978
    +tp22846
    +a(g423
    +VConstPtr
    +p22847
    +tp22848
    +a(g693
    +g1104
    +tp22849
    +a(g669
    +Vptr43
    +p22850
    +tp22851
    +a(g693
    +g1112
    +tp22852
    +a(g693
    +g976
    +tp22853
    +a(g826
    +g978
    +tp22854
    +a(g423
    +VConstPtr
    +p22855
    +tp22856
    +a(g693
    +g1104
    +tp22857
    +a(g669
    +Vptr44
    +p22858
    +tp22859
    +a(g693
    +g1112
    +tp22860
    +a(g693
    +g976
    +tp22861
    +a(g826
    +g978
    +tp22862
    +a(g423
    +Vp4
    +p22863
    +tp22864
    +a(g693
    +g976
    +tp22865
    +a(g826
    +g978
    +tp22866
    +a(g423
    +Vp5
    +p22867
    +tp22868
    +a(g693
    +g976
    +tp22869
    +a(g826
    +g978
    +tp22870
    +a(g423
    +Vp6
    +p22871
    +tp22872
    +a(g693
    +g976
    +tp22873
    +a(g826
    +g978
    +tp22874
    +a(g423
    +Vp7
    +p22875
    +tp22876
    +a(g693
    +g976
    +tp22877
    +a(g826
    +g978
    +tp22878
    +a(g423
    +Vp8
    +p22879
    +tp22880
    +a(g693
    +g976
    +tp22881
    +a(g826
    +g978
    +tp22882
    +a(g423
    +Vp28
    +p22883
    +tp22884
    +a(g693
    +g976
    +tp22885
    +a(g826
    +g978
    +tp22886
    +a(g423
    +Vp9
    +p22887
    +tp22888
    +a(g693
    +g976
    +tp22889
    +a(g826
    +g978
    +tp22890
    +a(g423
    +Vp10
    +p22891
    +tp22892
    +a(g693
    +g976
    +tp22893
    +a(g826
    +g978
    +tp22894
    +a(g423
    +Vp11
    +p22895
    +tp22896
    +a(g693
    +g976
    +tp22897
    +a(g826
    +g978
    +tp22898
    +a(g423
    +Vp30
    +p22899
    +tp22900
    +a(g693
    +g976
    +tp22901
    +a(g826
    +g978
    +tp22902
    +a(g423
    +Vp32
    +p22903
    +tp22904
    +a(g693
    +g976
    +tp22905
    +a(g826
    +g978
    +tp22906
    +a(g423
    +Vp35
    +p22907
    +tp22908
    +a(g693
    +g976
    +tp22909
    +a(g826
    +g978
    +tp22910
    +a(g423
    +Vdescr
    +p22911
    +tp22912
    +a(g693
    +g1119
    +tp22913
    +a(g669
    +V
    +p22914
    +tp22915
    +a(g693
    +g1112
    +tp22916
    +a(g826
    +V\u000a
    +p22917
    +tp22918
    +a(g7
    +V[5ed63ea8ea04] jit-log-opt-bridge}
    +p22919
    +tp22920
    +a(g826
    +V\u000a
    +p22921
    +tp22922
    +a(g7
    +V[5ed640a0a34c] {jit-log-opt-bridge
    +p22923
    +tp22924
    +a(g826
    +V\u000a
    +p22925
    +tp22926
    +a(g709
    +V# bridge out of Guard 58 with 13 ops
    +p22927
    +tp22928
    +a(g826
    +V\u000a
    +p22929
    +tp22930
    +a(g693
    +g972
    +tp22931
    +a(g423
    +Vp0
    +p22932
    +tp22933
    +a(g693
    +g976
    +tp22934
    +a(g826
    +g978
    +tp22935
    +a(g423
    +Vp1
    +p22936
    +tp22937
    +a(g693
    +g976
    +tp22938
    +a(g826
    +g978
    +tp22939
    +a(g423
    +Vp2
    +p22940
    +tp22941
    +a(g693
    +g976
    +tp22942
    +a(g826
    +g978
    +tp22943
    +a(g423
    +Vp3
    +p22944
    +tp22945
    +a(g693
    +g976
    +tp22946
    +a(g826
    +g978
    +tp22947
    +a(g423
    +Vp4
    +p22948
    +tp22949
    +a(g693
    +g976
    +tp22950
    +a(g826
    +g978
    +tp22951
    +a(g423
    +Vp5
    +p22952
    +tp22953
    +a(g693
    +g976
    +tp22954
    +a(g826
    +g978
    +tp22955
    +a(g423
    +Vp6
    +p22956
    +tp22957
    +a(g693
    +g976
    +tp22958
    +a(g826
    +g978
    +tp22959
    +a(g423
    +Vp7
    +p22960
    +tp22961
    +a(g693
    +g976
    +tp22962
    +a(g826
    +g978
    +tp22963
    +a(g423
    +Vp8
    +p22964
    +tp22965
    +a(g693
    +g976
    +tp22966
    +a(g826
    +g978
    +tp22967
    +a(g423
    +Vp9
    +p22968
    +tp22969
    +a(g693
    +g976
    +tp22970
    +a(g826
    +g978
    +tp22971
    +a(g423
    +Vp10
    +p22972
    +tp22973
    +a(g693
    +g976
    +tp22974
    +a(g826
    +g978
    +tp22975
    +a(g423
    +Vp11
    +p22976
    +tp22977
    +a(g693
    +g976
    +tp22978
    +a(g826
    +g978
    +tp22979
    +a(g423
    +Vp12
    +p22980
    +tp22981
    +a(g693
    +g976
    +tp22982
    +a(g826
    +g978
    +tp22983
    +a(g423
    +Vp13
    +p22984
    +tp22985
    +a(g693
    +g976
    +tp22986
    +a(g826
    +g978
    +tp22987
    +a(g423
    +Vp14
    +p22988
    +tp22989
    +a(g693
    +g976
    +tp22990
    +a(g826
    +g978
    +tp22991
    +a(g423
    +Vf15
    +p22992
    +tp22993
    +a(g693
    +g976
    +tp22994
    +a(g826
    +g978
    +tp22995
    +a(g423
    +Vf16
    +p22996
    +tp22997
    +a(g693
    +g976
    +tp22998
    +a(g826
    +g978
    +tp22999
    +a(g423
    +Vi17
    +p23000
    +tp23001
    +a(g693
    +g1098
    +tp23002
    +a(g826
    +V\u000a
    +p23003
    +tp23004
    +a(g423
    +Vi18
    +p23005
    +tp23006
    +a(g826
    +g978
    +tp23007
    +a(g693
    +g1119
    +tp23008
    +a(g826
    +g978
    +tp23009
    +a(g669
    +Vforce_token
    +p23010
    +tp23011
    +a(g693
    +g1104
    +tp23012
    +a(g693
    +g1112
    +tp23013
    +a(g826
    +V\u000a
    +p23014
    +tp23015
    +a(g669
    +Vsetfield_gc
    +p23016
    +tp23017
    +a(g693
    +g1104
    +tp23018
    +a(g423
    +Vp1
    +p23019
    +tp23020
    +a(g693
    +g976
    +tp23021
    +a(g826
    +g978
    +tp23022
    +a(g423
    +Vi18
    +p23023
    +tp23024
    +a(g693
    +g976
    +tp23025
    +a(g826
    +g978
    +tp23026
    +a(g423
    +Vdescr
    +p23027
    +tp23028
    +a(g693
    +g1119
    +tp23029
    +a(g669
    +V
    +p23030
    +tp23031
    +a(g693
    +g1112
    +tp23032
    +a(g826
    +V\u000a
    +p23033
    +tp23034
    +a(g669
    +Vcall_may_force
    +p23035
    +tp23036
    +a(g693
    +g1104
    +tp23037
    +a(g423
    +VConstClass
    +p23038
    +tp23039
    +a(g693
    +g1104
    +tp23040
    +a(g669
    +Vaction_dispatcher
    +p23041
    +tp23042
    +a(g693
    +g1112
    +tp23043
    +a(g693
    +g976
    +tp23044
    +a(g826
    +g978
    +tp23045
    +a(g423
    +Vp0
    +p23046
    +tp23047
    +a(g693
    +g976
    +tp23048
    +a(g826
    +g978
    +tp23049
    +a(g423
    +Vp1
    +p23050
    +tp23051
    +a(g693
    +g976
    +tp23052
    +a(g826
    +g978
    +tp23053
    +a(g423
    +Vdescr
    +p23054
    +tp23055
    +a(g693
    +g1119
    +tp23056
    +a(g669
    +V
    +p23057
    +tp23058
    +a(g693
    +g1112
    +tp23059
    +a(g826
    +V\u000a
    +p23060
    +tp23061
    +a(g669
    +Vguard_not_forced
    +p23062
    +tp23063
    +a(g693
    +g1104
    +tp23064
    +a(g693
    +g976
    +tp23065
    +a(g826
    +g978
    +tp23066
    +a(g423
    +Vdescr
    +p23067
    +tp23068
    +a(g693
    +g1119
    +tp23069
    +a(g669
    +V
    +p23070
    +tp23071
    +a(g693
    +g1112
    +tp23072
    +a(g826
    +g978
    +tp23073
    +a(g693
    +g972
    +tp23074
    +a(g423
    +Vp0
    +p23075
    +tp23076
    +a(g693
    +g976
    +tp23077
    +a(g826
    +g978
    +tp23078
    +a(g423
    +Vp1
    +p23079
    +tp23080
    +a(g693
    +g976
    +tp23081
    +a(g826
    +g978
    +tp23082
    +a(g423
    +Vp2
    +p23083
    +tp23084
    +a(g693
    +g976
    +tp23085
    +a(g826
    +g978
    +tp23086
    +a(g423
    +Vp3
    +p23087
    +tp23088
    +a(g693
    +g976
    +tp23089
    +a(g826
    +g978
    +tp23090
    +a(g423
    +Vp4
    +p23091
    +tp23092
    +a(g693
    +g976
    +tp23093
    +a(g826
    +g978
    +tp23094
    +a(g423
    +Vp5
    +p23095
    +tp23096
    +a(g693
    +g976
    +tp23097
    +a(g826
    +g978
    +tp23098
    +a(g423
    +Vp6
    +p23099
    +tp23100
    +a(g693
    +g976
    +tp23101
    +a(g826
    +g978
    +tp23102
    +a(g423
    +Vp7
    +p23103
    +tp23104
    +a(g693
    +g976
    +tp23105
    +a(g826
    +g978
    +tp23106
    +a(g423
    +Vp8
    +p23107
    +tp23108
    +a(g693
    +g976
    +tp23109
    +a(g826
    +g978
    +tp23110
    +a(g423
    +Vp9
    +p23111
    +tp23112
    +a(g693
    +g976
    +tp23113
    +a(g826
    +g978
    +tp23114
    +a(g423
    +Vp10
    +p23115
    +tp23116
    +a(g693
    +g976
    +tp23117
    +a(g826
    +g978
    +tp23118
    +a(g423
    +Vp11
    +p23119
    +tp23120
    +a(g693
    +g976
    +tp23121
    +a(g826
    +g978
    +tp23122
    +a(g423
    +Vp12
    +p23123
    +tp23124
    +a(g693
    +g976
    +tp23125
    +a(g826
    +g978
    +tp23126
    +a(g423
    +Vp13
    +p23127
    +tp23128
    +a(g693
    +g976
    +tp23129
    +a(g826
    +g978
    +tp23130
    +a(g423
    +Vp14
    +p23131
    +tp23132
    +a(g693
    +g976
    +tp23133
    +a(g826
    +g978
    +tp23134
    +a(g423
    +Vf15
    +p23135
    +tp23136
    +a(g693
    +g976
    +tp23137
    +a(g826
    +g978
    +tp23138
    +a(g423
    +Vf16
    +p23139
    +tp23140
    +a(g693
    +g976
    +tp23141
    +a(g826
    +g978
    +tp23142
    +a(g423
    +Vi17
    +p23143
    +tp23144
    +a(g693
    +g1098
    +tp23145
    +a(g826
    +V\u000a
    +p23146
    +tp23147
    +a(g669
    +Vguard_no_exception
    +p23148
    +tp23149
    +a(g693
    +g1104
    +tp23150
    +a(g693
    +g976
    +tp23151
    +a(g826
    +g978
    +tp23152
    +a(g423
    +Vdescr
    +p23153
    +tp23154
    +a(g693
    +g1119
    +tp23155
    +a(g669
    +V
    +p23156
    +tp23157
    +a(g693
    +g1112
    +tp23158
    +a(g826
    +g978
    +tp23159
    +a(g693
    +g972
    +tp23160
    +a(g423
    +Vp0
    +p23161
    +tp23162
    +a(g693
    +g976
    +tp23163
    +a(g826
    +g978
    +tp23164
    +a(g423
    +Vp1
    +p23165
    +tp23166
    +a(g693
    +g976
    +tp23167
    +a(g826
    +g978
    +tp23168
    +a(g423
    +Vp2
    +p23169
    +tp23170
    +a(g693
    +g976
    +tp23171
    +a(g826
    +g978
    +tp23172
    +a(g423
    +Vp3
    +p23173
    +tp23174
    +a(g693
    +g976
    +tp23175
    +a(g826
    +g978
    +tp23176
    +a(g423
    +Vp4
    +p23177
    +tp23178
    +a(g693
    +g976
    +tp23179
    +a(g826
    +g978
    +tp23180
    +a(g423
    +Vp5
    +p23181
    +tp23182
    +a(g693
    +g976
    +tp23183
    +a(g826
    +g978
    +tp23184
    +a(g423
    +Vp6
    +p23185
    +tp23186
    +a(g693
    +g976
    +tp23187
    +a(g826
    +g978
    +tp23188
    +a(g423
    +Vp7
    +p23189
    +tp23190
    +a(g693
    +g976
    +tp23191
    +a(g826
    +g978
    +tp23192
    +a(g423
    +Vp8
    +p23193
    +tp23194
    +a(g693
    +g976
    +tp23195
    +a(g826
    +g978
    +tp23196
    +a(g423
    +Vp9
    +p23197
    +tp23198
    +a(g693
    +g976
    +tp23199
    +a(g826
    +g978
    +tp23200
    +a(g423
    +Vp10
    +p23201
    +tp23202
    +a(g693
    +g976
    +tp23203
    +a(g826
    +g978
    +tp23204
    +a(g423
    +Vp11
    +p23205
    +tp23206
    +a(g693
    +g976
    +tp23207
    +a(g826
    +g978
    +tp23208
    +a(g423
    +Vp12
    +p23209
    +tp23210
    +a(g693
    +g976
    +tp23211
    +a(g826
    +g978
    +tp23212
    +a(g423
    +Vp13
    +p23213
    +tp23214
    +a(g693
    +g976
    +tp23215
    +a(g826
    +g978
    +tp23216
    +a(g423
    +Vp14
    +p23217
    +tp23218
    +a(g693
    +g976
    +tp23219
    +a(g826
    +g978
    +tp23220
    +a(g423
    +Vf15
    +p23221
    +tp23222
    +a(g693
    +g976
    +tp23223
    +a(g826
    +g978
    +tp23224
    +a(g423
    +Vf16
    +p23225
    +tp23226
    +a(g693
    +g976
    +tp23227
    +a(g826
    +g978
    +tp23228
    +a(g423
    +Vi17
    +p23229
    +tp23230
    +a(g693
    +g1098
    +tp23231
    +a(g826
    +V\u000a
    +p23232
    +tp23233
    +a(g616
    +Vdebug_merge_point
    +p23234
    +tp23235
    +a(g693
    +g1104
    +tp23236
    +a(g76
    +V' #125 FOR_ITER'
    +p23237
    +tp23238
    +a(g693
    +g976
    +tp23239
    +a(g826
    +g978
    +tp23240
    +a(g22
    +g1110
    +tp23241
    +a(g693
    +g1112
    +tp23242
    +a(g826
    +V\u000a
    +p23243
    +tp23244
    +a(g423
    +Vp21
    +p23245
    +tp23246
    +a(g826
    +g978
    +tp23247
    +a(g693
    +g1119
    +tp23248
    +a(g826
    +g978
    +tp23249
    +a(g669
    +Vnew_with_vtable
    +p23250
    +tp23251
    +a(g693
    +g1104
    +tp23252
    +a(g669
    +V19800744
    +p23253
    +tp23254
    +a(g693
    +g1112
    +tp23255
    +a(g826
    +V\u000a
    +p23256
    +tp23257
    +a(g669
    +Vsetfield_gc
    +p23258
    +tp23259
    +a(g693
    +g1104
    +tp23260
    +a(g423
    +Vp21
    +p23261
    +tp23262
    +a(g693
    +g976
    +tp23263
    +a(g826
    +g978
    +tp23264
    +a(g423
    +Vf15
    +p23265
    +tp23266
    +a(g693
    +g976
    +tp23267
    +a(g826
    +g978
    +tp23268
    +a(g423
    +Vdescr
    +p23269
    +tp23270
    +a(g693
    +g1119
    +tp23271
    +a(g669
    +V
    +p23272
    +tp23273
    +a(g693
    +g1112
    +tp23274
    +a(g826
    +V\u000a
    +p23275
    +tp23276
    +a(g423
    +Vp23
    +p23277
    +tp23278
    +a(g826
    +g978
    +tp23279
    +a(g693
    +g1119
    +tp23280
    +a(g826
    +g978
    +tp23281
    +a(g669
    +Vnew_with_vtable
    +p23282
    +tp23283
    +a(g693
    +g1104
    +tp23284
    +a(g423
    +VConstClass
    +p23285
    +tp23286
    +a(g693
    +g1104
    +tp23287
    +a(g669
    +VW_IntObject
    +p23288
    +tp23289
    +a(g693
    +g1112
    +tp23290
    +a(g693
    +g1112
    +tp23291
    +a(g826
    +V\u000a
    +p23292
    +tp23293
    +a(g669
    +Vsetfield_gc
    +p23294
    +tp23295
    +a(g693
    +g1104
    +tp23296
    +a(g423
    +Vp23
    +p23297
    +tp23298
    +a(g693
    +g976
    +tp23299
    +a(g826
    +g978
    +tp23300
    +a(g423
    +Vi17
    +p23301
    +tp23302
    +a(g693
    +g976
    +tp23303
    +a(g826
    +g978
    +tp23304
    +a(g423
    +Vdescr
    +p23305
    +tp23306
    +a(g693
    +g1119
    +tp23307
    +a(g669
    +V
    +p23308
    +tp23309
    +a(g693
    +g1112
    +tp23310
    +a(g826
    +V\u000a
    +p23311
    +tp23312
    +a(g423
    +Vp25
    +p23313
    +tp23314
    +a(g826
    +g978
    +tp23315
    +a(g693
    +g1119
    +tp23316
    +a(g826
    +g978
    +tp23317
    +a(g669
    +Vnew_with_vtable
    +p23318
    +tp23319
    +a(g693
    +g1104
    +tp23320
    +a(g669
    +V19800744
    +p23321
    +tp23322
    +a(g693
    +g1112
    +tp23323
    +a(g826
    +V\u000a
    +p23324
    +tp23325
    +a(g669
    +Vsetfield_gc
    +p23326
    +tp23327
    +a(g693
    +g1104
    +tp23328
    +a(g423
    +Vp25
    +p23329
    +tp23330
    +a(g693
    +g976
    +tp23331
    +a(g826
    +g978
    +tp23332
    +a(g423
    +Vf16
    +p23333
    +tp23334
    +a(g693
    +g976
    +tp23335
    +a(g826
    +g978
    +tp23336
    +a(g423
    +Vdescr
    +p23337
    +tp23338
    +a(g693
    +g1119
    +tp23339
    +a(g669
    +V
    +p23340
    +tp23341
    +a(g693
    +g1112
    +tp23342
    +a(g826
    +V\u000a
    +p23343
    +tp23344
    +a(g616
    +Vjump
    +p23345
    +tp23346
    +a(g693
    +g1104
    +tp23347
    +a(g423
    +Vp1
    +p23348
    +tp23349
    +a(g693
    +g976
    +tp23350
    +a(g826
    +g978
    +tp23351
    +a(g423
    +Vp0
    +p23352
    +tp23353
    +a(g693
    +g976
    +tp23354
    +a(g826
    +g978
    +tp23355
    +a(g423
    +VConstPtr
    +p23356
    +tp23357
    +a(g693
    +g1104
    +tp23358
    +a(g669
    +Vptr26
    +p23359
    +tp23360
    +a(g693
    +g1112
    +tp23361
    +a(g693
    +g976
    +tp23362
    +a(g826
    +g978
    +tp23363
    +a(g423
    +Vp2
    +p23364
    +tp23365
    +a(g693
    +g976
    +tp23366
    +a(g826
    +g978
    +tp23367
    +a(g22
    +g5259
    +tp23368
    +a(g693
    +g976
    +tp23369
    +a(g826
    +g978
    +tp23370
    +a(g423
    +Vp3
    +p23371
    +tp23372
    +a(g693
    +g976
    +tp23373
    +a(g826
    +g978
    +tp23374
    +a(g22
    +g1110
    +tp23375
    +a(g693
    +g976
    +tp23376
    +a(g826
    +g978
    +tp23377
    +a(g22
    +V125
    +p23378
    +tp23379
    +a(g693
    +g976
    +tp23380
    +a(g826
    +g978
    +tp23381
    +a(g423
    +Vp4
    +p23382
    +tp23383
    +a(g693
    +g976
    +tp23384
    +a(g826
    +g978
    +tp23385
    +a(g423
    +Vp5
    +p23386
    +tp23387
    +a(g693
    +g976
    +tp23388
    +a(g826
    +g978
    +tp23389
    +a(g423
    +VConstPtr
    +p23390
    +tp23391
    +a(g693
    +g1104
    +tp23392
    +a(g669
    +Vptr30
    +p23393
    +tp23394
    +a(g693
    +g1112
    +tp23395
    +a(g693
    +g976
    +tp23396
    +a(g826
    +g978
    +tp23397
    +a(g423
    +VConstPtr
    +p23398
    +tp23399
    +a(g693
    +g1104
    +tp23400
    +a(g669
    +Vptr31
    +p23401
    +tp23402
    +a(g693
    +g1112
    +tp23403
    +a(g693
    +g976
    +tp23404
    +a(g826
    +g978
    +tp23405
    +a(g423
    +VConstPtr
    +p23406
    +tp23407
    +a(g693
    +g1104
    +tp23408
    +a(g669
    +Vptr32
    +p23409
    +tp23410
    +a(g693
    +g1112
    +tp23411
    +a(g693
    +g976
    +tp23412
    +a(g826
    +g978
    +tp23413
    +a(g423
    +VConstPtr
    +p23414
    +tp23415
    +a(g693
    +g1104
    +tp23416
    +a(g669
    +Vptr33
    +p23417
    +tp23418
    +a(g693
    +g1112
    +tp23419
    +a(g693
    +g976
    +tp23420
    +a(g826
    +g978
    +tp23421
    +a(g423
    +VConstPtr
    +p23422
    +tp23423
    +a(g693
    +g1104
    +tp23424
    +a(g669
    +Vptr34
    +p23425
    +tp23426
    +a(g693
    +g1112
    +tp23427
    +a(g693
    +g976
    +tp23428
    +a(g826
    +g978
    +tp23429
    +a(g423
    +Vp6
    +p23430
    +tp23431
    +a(g693
    +g976
    +tp23432
    +a(g826
    +g978
    +tp23433
    +a(g423
    +Vp7
    +p23434
    +tp23435
    +a(g693
    +g976
    +tp23436
    +a(g826
    +g978
    +tp23437
    +a(g423
    +Vp8
    +p23438
    +tp23439
    +a(g693
    +g976
    +tp23440
    +a(g826
    +g978
    +tp23441
    +a(g423
    +Vp9
    +p23442
    +tp23443
    +a(g693
    +g976
    +tp23444
    +a(g826
    +g978
    +tp23445
    +a(g423
    +Vp10
    +p23446
    +tp23447
    +a(g693
    +g976
    +tp23448
    +a(g826
    +g978
    +tp23449
    +a(g423
    +Vp21
    +p23450
    +tp23451
    +a(g693
    +g976
    +tp23452
    +a(g826
    +g978
    +tp23453
    +a(g423
    +Vp11
    +p23454
    +tp23455
    +a(g693
    +g976
    +tp23456
    +a(g826
    +g978
    +tp23457
    +a(g423
    +Vp12
    +p23458
    +tp23459
    +a(g693
    +g976
    +tp23460
    +a(g826
    +g978
    +tp23461
    +a(g423
    +Vp13
    +p23462
    +tp23463
    +a(g693
    +g976
    +tp23464
    +a(g826
    +g978
    +tp23465
    +a(g423
    +Vp14
    +p23466
    +tp23467
    +a(g693
    +g976
    +tp23468
    +a(g826
    +g978
    +tp23469
    +a(g423
    +Vp23
    +p23470
    +tp23471
    +a(g693
    +g976
    +tp23472
    +a(g826
    +g978
    +tp23473
    +a(g423
    +Vp25
    +p23474
    +tp23475
    +a(g693
    +g976
    +tp23476
    +a(g826
    +g978
    +tp23477
    +a(g423
    +Vdescr
    +p23478
    +tp23479
    +a(g693
    +g1119
    +tp23480
    +a(g669
    +V
    +p23481
    +tp23482
    +a(g693
    +g1112
    +tp23483
    +a(g826
    +V\u000a
    +p23484
    +tp23485
    +a(g7
    +V[5ed640a1e8c2] jit-log-opt-bridge}
    +p23486
    +tp23487
    +a(g826
    +V\u000a
    +p23488
    +tp23489
    +a(g7
    +V[5ed6431fc824] {jit-log-opt-bridge
    +p23490
    +tp23491
    +a(g826
    +V\u000a
    +p23492
    +tp23493
    +a(g709
    +V# bridge out of Guard 24 with 264 ops
    +p23494
    +tp23495
    +a(g826
    +V\u000a
    +p23496
    +tp23497
    +a(g693
    +g972
    +tp23498
    +a(g423
    +Vp0
    +p23499
    +tp23500
    +a(g693
    +g976
    +tp23501
    +a(g826
    +g978
    +tp23502
    +a(g423
    +Vp1
    +p23503
    +tp23504
    +a(g693
    +g976
    +tp23505
    +a(g826
    +g978
    +tp23506
    +a(g423
    +Vp2
    +p23507
    +tp23508
    +a(g693
    +g976
    +tp23509
    +a(g826
    +g978
    +tp23510
    +a(g423
    +Vp3
    +p23511
    +tp23512
    +a(g693
    +g976
    +tp23513
    +a(g826
    +g978
    +tp23514
    +a(g423
    +Vp4
    +p23515
    +tp23516
    +a(g693
    +g976
    +tp23517
    +a(g826
    +g978
    +tp23518
    +a(g423
    +Vp5
    +p23519
    +tp23520
    +a(g693
    +g976
    +tp23521
    +a(g826
    +g978
    +tp23522
    +a(g423
    +Vi6
    +p23523
    +tp23524
    +a(g693
    +g976
    +tp23525
    +a(g826
    +g978
    +tp23526
    +a(g423
    +Vp7
    +p23527
    +tp23528
    +a(g693
    +g976
    +tp23529
    +a(g826
    +g978
    +tp23530
    +a(g423
    +Vp8
    +p23531
    +tp23532
    +a(g693
    +g976
    +tp23533
    +a(g826
    +g978
    +tp23534
    +a(g423
    +Vp9
    +p23535
    +tp23536
    +a(g693
    +g976
    +tp23537
    +a(g826
    +g978
    +tp23538
    +a(g423
    +Vp10
    +p23539
    +tp23540
    +a(g693
    +g976
    +tp23541
    +a(g826
    +g978
    +tp23542
    +a(g423
    +Vp11
    +p23543
    +tp23544
    +a(g693
    +g976
    +tp23545
    +a(g826
    +g978
    +tp23546
    +a(g423
    +Vp12
    +p23547
    +tp23548
    +a(g693
    +g976
    +tp23549
    +a(g826
    +g978
    +tp23550
    +a(g423
    +Vp13
    +p23551
    +tp23552
    +a(g693
    +g976
    +tp23553
    +a(g826
    +g978
    +tp23554
    +a(g423
    +Vp14
    +p23555
    +tp23556
    +a(g693
    +g976
    +tp23557
    +a(g826
    +g978
    +tp23558
    +a(g423
    +Vp15
    +p23559
    +tp23560
    +a(g693
    +g976
    +tp23561
    +a(g826
    +g978
    +tp23562
    +a(g423
    +Vp16
    +p23563
    +tp23564
    +a(g693
    +g976
    +tp23565
    +a(g826
    +g978
    +tp23566
    +a(g423
    +Vp17
    +p23567
    +tp23568
    +a(g693
    +g976
    +tp23569
    +a(g826
    +g978
    +tp23570
    +a(g423
    +Vp18
    +p23571
    +tp23572
    +a(g693
    +g976
    +tp23573
    +a(g826
    +g978
    +tp23574
    +a(g423
    +Vp19
    +p23575
    +tp23576
    +a(g693
    +g976
    +tp23577
    +a(g826
    +g978
    +tp23578
    +a(g423
    +Vp20
    +p23579
    +tp23580
    +a(g693
    +g976
    +tp23581
    +a(g826
    +g978
    +tp23582
    +a(g423
    +Vp21
    +p23583
    +tp23584
    +a(g693
    +g976
    +tp23585
    +a(g826
    +g978
    +tp23586
    +a(g423
    +Vp22
    +p23587
    +tp23588
    +a(g693
    +g976
    +tp23589
    +a(g826
    +g978
    +tp23590
    +a(g423
    +Vp23
    +p23591
    +tp23592
    +a(g693
    +g976
    +tp23593
    +a(g826
    +g978
    +tp23594
    +a(g423
    +Vp24
    +p23595
    +tp23596
    +a(g693
    +g1098
    +tp23597
    +a(g826
    +V\u000a
    +p23598
    +tp23599
    +a(g669
    +Vguard_value
    +p23600
    +tp23601
    +a(g693
    +g1104
    +tp23602
    +a(g423
    +Vi6
    +p23603
    +tp23604
    +a(g693
    +g976
    +tp23605
    +a(g826
    +g978
    +tp23606
    +a(g22
    +g1110
    +tp23607
    +a(g693
    +g976
    +tp23608
    +a(g826
    +g978
    +tp23609
    +a(g423
    +Vdescr
    +p23610
    +tp23611
    +a(g693
    +g1119
    +tp23612
    +a(g669
    +V
    +p23613
    +tp23614
    +a(g693
    +g1112
    +tp23615
    +a(g826
    +g978
    +tp23616
    +a(g693
    +g972
    +tp23617
    +a(g423
    +Vi6
    +p23618
    +tp23619
    +a(g693
    +g976
    +tp23620
    +a(g826
    +g978
    +tp23621
    +a(g423
    +Vp0
    +p23622
    +tp23623
    +a(g693
    +g976
    +tp23624
    +a(g826
    +g978
    +tp23625
    +a(g423
    +Vp1
    +p23626
    +tp23627
    +a(g693
    +g976
    +tp23628
    +a(g826
    +g978
    +tp23629
    +a(g423
    +Vp3
    +p23630
    +tp23631
    +a(g693
    +g976
    +tp23632
    +a(g826
    +g978
    +tp23633
    +a(g423
    +Vp4
    +p23634
    +tp23635
    +a(g693
    +g976
    +tp23636
    +a(g826
    +g978
    +tp23637
    +a(g423
    +Vp5
    +p23638
    +tp23639
    +a(g693
    +g976
    +tp23640
    +a(g826
    +g978
    +tp23641
    +a(g423
    +Vp7
    +p23642
    +tp23643
    +a(g693
    +g976
    +tp23644
    +a(g826
    +g978
    +tp23645
    +a(g423
    +Vp8
    +p23646
    +tp23647
    +a(g693
    +g976
    +tp23648
    +a(g826
    +g978
    +tp23649
    +a(g423
    +Vp9
    +p23650
    +tp23651
    +a(g693
    +g976
    +tp23652
    +a(g826
    +g978
    +tp23653
    +a(g423
    +Vp10
    +p23654
    +tp23655
    +a(g693
    +g976
    +tp23656
    +a(g826
    +g978
    +tp23657
    +a(g423
    +Vp11
    +p23658
    +tp23659
    +a(g693
    +g976
    +tp23660
    +a(g826
    +g978
    +tp23661
    +a(g423
    +Vp12
    +p23662
    +tp23663
    +a(g693
    +g976
    +tp23664
    +a(g826
    +g978
    +tp23665
    +a(g423
    +Vp13
    +p23666
    +tp23667
    +a(g693
    +g976
    +tp23668
    +a(g826
    +g978
    +tp23669
    +a(g423
    +Vp14
    +p23670
    +tp23671
    +a(g693
    +g976
    +tp23672
    +a(g826
    +g978
    +tp23673
    +a(g423
    +Vp15
    +p23674
    +tp23675
    +a(g693
    +g976
    +tp23676
    +a(g826
    +g978
    +tp23677
    +a(g423
    +Vp16
    +p23678
    +tp23679
    +a(g693
    +g976
    +tp23680
    +a(g826
    +g978
    +tp23681
    +a(g423
    +Vp17
    +p23682
    +tp23683
    +a(g693
    +g976
    +tp23684
    +a(g826
    +g978
    +tp23685
    +a(g423
    +Vp18
    +p23686
    +tp23687
    +a(g693
    +g976
    +tp23688
    +a(g826
    +g978
    +tp23689
    +a(g423
    +Vp19
    +p23690
    +tp23691
    +a(g693
    +g976
    +tp23692
    +a(g826
    +g978
    +tp23693
    +a(g423
    +Vp20
    +p23694
    +tp23695
    +a(g693
    +g976
    +tp23696
    +a(g826
    +g978
    +tp23697
    +a(g423
    +Vp21
    +p23698
    +tp23699
    +a(g693
    +g976
    +tp23700
    +a(g826
    +g978
    +tp23701
    +a(g423
    +Vp22
    +p23702
    +tp23703
    +a(g693
    +g976
    +tp23704
    +a(g826
    +g978
    +tp23705
    +a(g423
    +Vp23
    +p23706
    +tp23707
    +a(g693
    +g976
    +tp23708
    +a(g826
    +g978
    +tp23709
    +a(g423
    +Vp24
    +p23710
    +tp23711
    +a(g693
    +g1098
    +tp23712
    +a(g826
    +V\u000a
    +p23713
    +tp23714
    +a(g616
    +Vdebug_merge_point
    +p23715
    +tp23716
    +a(g693
    +g1104
    +tp23717
    +a(g76
    +V' #290 POP_BLOCK'
    +p23718
    +tp23719
    +a(g693
    +g976
    +tp23720
    +a(g826
    +g978
    +tp23721
    +a(g22
    +g1110
    +tp23722
    +a(g693
    +g1112
    +tp23723
    +a(g826
    +V\u000a
    +p23724
    +tp23725
    +a(g423
    +Vp26
    +p23726
    +tp23727
    +a(g826
    +g978
    +tp23728
    +a(g693
    +g1119
    +tp23729
    +a(g826
    +g978
    +tp23730
    +a(g669
    +Vgetfield_gc
    +p23731
    +tp23732
    +a(g693
    +g1104
    +tp23733
    +a(g423
    +Vp4
    +p23734
    +tp23735
    +a(g693
    +g976
    +tp23736
    +a(g826
    +g978
    +tp23737
    +a(g423
    +Vdescr
    +p23738
    +tp23739
    +a(g693
    +g1119
    +tp23740
    +a(g669
    +V
    +p23741
    +tp23742
    +a(g693
    +g1112
    +tp23743
    +a(g826
    +V\u000a
    +p23744
    +tp23745
    +a(g669
    +Vguard_class
    +p23746
    +tp23747
    +a(g693
    +g1104
    +tp23748
    +a(g423
    +Vp4
    +p23749
    +tp23750
    +a(g693
    +g976
    +tp23751
    +a(g826
    +g978
    +tp23752
    +a(g22
    +V19865144
    +p23753
    +tp23754
    +a(g693
    +g976
    +tp23755
    +a(g826
    +g978
    +tp23756
    +a(g423
    +Vdescr
    +p23757
    +tp23758
    +a(g693
    +g1119
    +tp23759
    +a(g669
    +V
    +p23760
    +tp23761
    +a(g693
    +g1112
    +tp23762
    +a(g826
    +g978
    +tp23763
    +a(g693
    +g972
    +tp23764
    +a(g423
    +Vp0
    +p23765
    +tp23766
    +a(g693
    +g976
    +tp23767
    +a(g826
    +g978
    +tp23768
    +a(g423
    +Vp1
    +p23769
    +tp23770
    +a(g693
    +g976
    +tp23771
    +a(g826
    +g978
    +tp23772
    +a(g423
    +Vp4
    +p23773
    +tp23774
    +a(g693
    +g976
    +tp23775
    +a(g826
    +g978
    +tp23776
    +a(g423
    +Vp3
    +p23777
    +tp23778
    +a(g693
    +g976
    +tp23779
    +a(g826
    +g978
    +tp23780
    +a(g423
    +Vp26
    +p23781
    +tp23782
    +a(g693
    +g976
    +tp23783
    +a(g826
    +g978
    +tp23784
    +a(g423
    +Vp5
    +p23785
    +tp23786
    +a(g693
    +g976
    +tp23787
    +a(g826
    +g978
    +tp23788
    +a(g423
    +Vp7
    +p23789
    +tp23790
    +a(g693
    +g976
    +tp23791
    +a(g826
    +g978
    +tp23792
    +a(g423
    +Vp8
    +p23793
    +tp23794
    +a(g693
    +g976
    +tp23795
    +a(g826
    +g978
    +tp23796
    +a(g423
    +Vp9
    +p23797
    +tp23798
    +a(g693
    +g976
    +tp23799
    +a(g826
    +g978
    +tp23800
    +a(g423
    +Vp10
    +p23801
    +tp23802
    +a(g693
    +g976
    +tp23803
    +a(g826
    +g978
    +tp23804
    +a(g423
    +Vp11
    +p23805
    +tp23806
    +a(g693
    +g976
    +tp23807
    +a(g826
    +g978
    +tp23808
    +a(g423
    +Vp12
    +p23809
    +tp23810
    +a(g693
    +g976
    +tp23811
    +a(g826
    +g978
    +tp23812
    +a(g423
    +Vp13
    +p23813
    +tp23814
    +a(g693
    +g976
    +tp23815
    +a(g826
    +g978
    +tp23816
    +a(g423
    +Vp14
    +p23817
    +tp23818
    +a(g693
    +g976
    +tp23819
    +a(g826
    +g978
    +tp23820
    +a(g423
    +Vp15
    +p23821
    +tp23822
    +a(g693
    +g976
    +tp23823
    +a(g826
    +g978
    +tp23824
    +a(g423
    +Vp16
    +p23825
    +tp23826
    +a(g693
    +g976
    +tp23827
    +a(g826
    +g978
    +tp23828
    +a(g423
    +Vp17
    +p23829
    +tp23830
    +a(g693
    +g976
    +tp23831
    +a(g826
    +g978
    +tp23832
    +a(g423
    +Vp18
    +p23833
    +tp23834
    +a(g693
    +g976
    +tp23835
    +a(g826
    +g978
    +tp23836
    +a(g423
    +Vp19
    +p23837
    +tp23838
    +a(g693
    +g976
    +tp23839
    +a(g826
    +g978
    +tp23840
    +a(g423
    +Vp20
    +p23841
    +tp23842
    +a(g693
    +g976
    +tp23843
    +a(g826
    +g978
    +tp23844
    +a(g423
    +Vp21
    +p23845
    +tp23846
    +a(g693
    +g976
    +tp23847
    +a(g826
    +g978
    +tp23848
    +a(g423
    +Vp22
    +p23849
    +tp23850
    +a(g693
    +g976
    +tp23851
    +a(g826
    +g978
    +tp23852
    +a(g423
    +Vp23
    +p23853
    +tp23854
    +a(g693
    +g976
    +tp23855
    +a(g826
    +g978
    +tp23856
    +a(g423
    +Vp24
    +p23857
    +tp23858
    +a(g693
    +g1098
    +tp23859
    +a(g826
    +V\u000a
    +p23860
    +tp23861
    +a(g423
    +Vi28
    +p23862
    +tp23863
    +a(g826
    +g978
    +tp23864
    +a(g693
    +g1119
    +tp23865
    +a(g826
    +g978
    +tp23866
    +a(g669
    +Vgetfield_gc
    +p23867
    +tp23868
    +a(g693
    +g1104
    +tp23869
    +a(g423
    +Vp4
    +p23870
    +tp23871
    +a(g693
    +g976
    +tp23872
    +a(g826
    +g978
    +tp23873
    +a(g423
    +Vdescr
    +p23874
    +tp23875
    +a(g693
    +g1119
    +tp23876
    +a(g669
    +V
    +p23877
    +tp23878
    +a(g693
    +g1112
    +tp23879
    +a(g826
    +V\u000a
    +p23880
    +tp23881
    +a(g669
    +Vguard_value
    +p23882
    +tp23883
    +a(g693
    +g1104
    +tp23884
    +a(g423
    +Vi28
    +p23885
    +tp23886
    +a(g693
    +g976
    +tp23887
    +a(g826
    +g978
    +tp23888
    +a(g22
    +g1250
    +tp23889
    +a(g693
    +g976
    +tp23890
    +a(g826
    +g978
    +tp23891
    +a(g423
    +Vdescr
    +p23892
    +tp23893
    +a(g693
    +g1119
    +tp23894
    +a(g669
    +V
    +p23895
    +tp23896
    +a(g693
    +g1112
    +tp23897
    +a(g826
    +g978
    +tp23898
    +a(g693
    +g972
    +tp23899
    +a(g423
    +Vp0
    +p23900
    +tp23901
    +a(g693
    +g976
    +tp23902
    +a(g826
    +g978
    +tp23903
    +a(g423
    +Vp1
    +p23904
    +tp23905
    +a(g693
    +g976
    +tp23906
    +a(g826
    +g978
    +tp23907
    +a(g423
    +Vi28
    +p23908
    +tp23909
    +a(g693
    +g976
    +tp23910
    +a(g826
    +g978
    +tp23911
    +a(g423
    +Vp3
    +p23912
    +tp23913
    +a(g693
    +g976
    +tp23914
    +a(g826
    +g978
    +tp23915
    +a(g423
    +Vp26
    +p23916
    +tp23917
    +a(g693
    +g976
    +tp23918
    +a(g826
    +g978
    +tp23919
    +a(g423
    +Vp5
    +p23920
    +tp23921
    +a(g693
    +g976
    +tp23922
    +a(g826
    +g978
    +tp23923
    +a(g423
    +Vp7
    +p23924
    +tp23925
    +a(g693
    +g976
    +tp23926
    +a(g826
    +g978
    +tp23927
    +a(g423
    +Vp8
    +p23928
    +tp23929
    +a(g693
    +g976
    +tp23930
    +a(g826
    +g978
    +tp23931
    +a(g423
    +Vp9
    +p23932
    +tp23933
    +a(g693
    +g976
    +tp23934
    +a(g826
    +g978
    +tp23935
    +a(g423
    +Vp10
    +p23936
    +tp23937
    +a(g693
    +g976
    +tp23938
    +a(g826
    +g978
    +tp23939
    +a(g423
    +Vp11
    +p23940
    +tp23941
    +a(g693
    +g976
    +tp23942
    +a(g826
    +g978
    +tp23943
    +a(g423
    +Vp12
    +p23944
    +tp23945
    +a(g693
    +g976
    +tp23946
    +a(g826
    +g978
    +tp23947
    +a(g423
    +Vp13
    +p23948
    +tp23949
    +a(g693
    +g976
    +tp23950
    +a(g826
    +g978
    +tp23951
    +a(g423
    +Vp14
    +p23952
    +tp23953
    +a(g693
    +g976
    +tp23954
    +a(g826
    +g978
    +tp23955
    +a(g423
    +Vp15
    +p23956
    +tp23957
    +a(g693
    +g976
    +tp23958
    +a(g826
    +g978
    +tp23959
    +a(g423
    +Vp16
    +p23960
    +tp23961
    +a(g693
    +g976
    +tp23962
    +a(g826
    +g978
    +tp23963
    +a(g423
    +Vp17
    +p23964
    +tp23965
    +a(g693
    +g976
    +tp23966
    +a(g826
    +g978
    +tp23967
    +a(g423
    +Vp18
    +p23968
    +tp23969
    +a(g693
    +g976
    +tp23970
    +a(g826
    +g978
    +tp23971
    +a(g423
    +Vp19
    +p23972
    +tp23973
    +a(g693
    +g976
    +tp23974
    +a(g826
    +g978
    +tp23975
    +a(g423
    +Vp20
    +p23976
    +tp23977
    +a(g693
    +g976
    +tp23978
    +a(g826
    +g978
    +tp23979
    +a(g423
    +Vp21
    +p23980
    +tp23981
    +a(g693
    +g976
    +tp23982
    +a(g826
    +g978
    +tp23983
    +a(g423
    +Vp22
    +p23984
    +tp23985
    +a(g693
    +g976
    +tp23986
    +a(g826
    +g978
    +tp23987
    +a(g423
    +Vp23
    +p23988
    +tp23989
    +a(g693
    +g976
    +tp23990
    +a(g826
    +g978
    +tp23991
    +a(g423
    +Vp24
    +p23992
    +tp23993
    +a(g693
    +g1098
    +tp23994
    +a(g826
    +V\u000a
    +p23995
    +tp23996
    +a(g616
    +Vdebug_merge_point
    +p23997
    +tp23998
    +a(g693
    +g1104
    +tp23999
    +a(g76
    +V' #291 JUMP_ABSOLUTE'
    +p24000
    +tp24001
    +a(g693
    +g976
    +tp24002
    +a(g826
    +g978
    +tp24003
    +a(g22
    +g1110
    +tp24004
    +a(g693
    +g1112
    +tp24005
    +a(g826
    +V\u000a
    +p24006
    +tp24007
    +a(g423
    +Vi31
    +p24008
    +tp24009
    +a(g826
    +g978
    +tp24010
    +a(g693
    +g1119
    +tp24011
    +a(g826
    +g978
    +tp24012
    +a(g669
    +Vgetfield_raw
    +p24013
    +tp24014
    +a(g693
    +g1104
    +tp24015
    +a(g22
    +V38968960
    +p24016
    +tp24017
    +a(g693
    +g976
    +tp24018
    +a(g826
    +g978
    +tp24019
    +a(g423
    +Vdescr
    +p24020
    +tp24021
    +a(g693
    +g1119
    +tp24022
    +a(g669
    +V
    +p24023
    +tp24024
    +a(g693
    +g1112
    +tp24025
    +a(g826
    +V\u000a
    +p24026
    +tp24027
    +a(g423
    +Vi33
    +p24028
    +tp24029
    +a(g826
    +g978
    +tp24030
    +a(g693
    +g1119
    +tp24031
    +a(g826
    +g978
    +tp24032
    +a(g669
    +Vint_sub
    +p24033
    +tp24034
    +a(g693
    +g1104
    +tp24035
    +a(g423
    +Vi31
    +p24036
    +tp24037
    +a(g693
    +g976
    +tp24038
    +a(g826
    +g978
    +tp24039
    +a(g22
    +g1250
    +tp24040
    +a(g693
    +g1112
    +tp24041
    +a(g826
    +V\u000a
    +p24042
    +tp24043
    +a(g669
    +Vsetfield_raw
    +p24044
    +tp24045
    +a(g693
    +g1104
    +tp24046
    +a(g22
    +V38968960
    +p24047
    +tp24048
    +a(g693
    +g976
    +tp24049
    +a(g826
    +g978
    +tp24050
    +a(g423
    +Vi33
    +p24051
    +tp24052
    +a(g693
    +g976
    +tp24053
    +a(g826
    +g978
    +tp24054
    +a(g423
    +Vdescr
    +p24055
    +tp24056
    +a(g693
    +g1119
    +tp24057
    +a(g669
    +V
    +p24058
    +tp24059
    +a(g693
    +g1112
    +tp24060
    +a(g826
    +V\u000a
    +p24061
    +tp24062
    +a(g423
    +Vi35
    +p24063
    +tp24064
    +a(g826
    +g978
    +tp24065
    +a(g693
    +g1119
    +tp24066
    +a(g826
    +g978
    +tp24067
    +a(g669
    +Vint_lt
    +p24068
    +tp24069
    +a(g693
    +g1104
    +tp24070
    +a(g423
    +Vi33
    +p24071
    +tp24072
    +a(g693
    +g976
    +tp24073
    +a(g826
    +g978
    +tp24074
    +a(g22
    +g1110
    +tp24075
    +a(g693
    +g1112
    +tp24076
    +a(g826
    +V\u000a
    +p24077
    +tp24078
    +a(g669
    +Vguard_false
    +p24079
    +tp24080
    +a(g693
    +g1104
    +tp24081
    +a(g423
    +Vi35
    +p24082
    +tp24083
    +a(g693
    +g976
    +tp24084
    +a(g826
    +g978
    +tp24085
    +a(g423
    +Vdescr
    +p24086
    +tp24087
    +a(g693
    +g1119
    +tp24088
    +a(g669
    +V
    +p24089
    +tp24090
    +a(g693
    +g1112
    +tp24091
    +a(g826
    +g978
    +tp24092
    +a(g693
    +g972
    +tp24093
    +a(g423
    +Vp0
    +p24094
    +tp24095
    +a(g693
    +g976
    +tp24096
    +a(g826
    +g978
    +tp24097
    +a(g423
    +Vp1
    +p24098
    +tp24099
    +a(g693
    +g976
    +tp24100
    +a(g826
    +g978
    +tp24101
    +a(g423
    +Vp3
    +p24102
    +tp24103
    +a(g693
    +g976
    +tp24104
    +a(g826
    +g978
    +tp24105
    +a(g423
    +Vp26
    +p24106
    +tp24107
    +a(g693
    +g976
    +tp24108
    +a(g826
    +g978
    +tp24109
    +a(g423
    +Vp5
    +p24110
    +tp24111
    +a(g693
    +g976
    +tp24112
    +a(g826
    +g978
    +tp24113
    +a(g423
    +Vp7
    +p24114
    +tp24115
    +a(g693
    +g976
    +tp24116
    +a(g826
    +g978
    +tp24117
    +a(g423
    +Vp8
    +p24118
    +tp24119
    +a(g693
    +g976
    +tp24120
    +a(g826
    +g978
    +tp24121
    +a(g423
    +Vp9
    +p24122
    +tp24123
    +a(g693
    +g976
    +tp24124
    +a(g826
    +g978
    +tp24125
    +a(g423
    +Vp10
    +p24126
    +tp24127
    +a(g693
    +g976
    +tp24128
    +a(g826
    +g978
    +tp24129
    +a(g423
    +Vp11
    +p24130
    +tp24131
    +a(g693
    +g976
    +tp24132
    +a(g826
    +g978
    +tp24133
    +a(g423
    +Vp12
    +p24134
    +tp24135
    +a(g693
    +g976
    +tp24136
    +a(g826
    +g978
    +tp24137
    +a(g423
    +Vp13
    +p24138
    +tp24139
    +a(g693
    +g976
    +tp24140
    +a(g826
    +g978
    +tp24141
    +a(g423
    +Vp14
    +p24142
    +tp24143
    +a(g693
    +g976
    +tp24144
    +a(g826
    +g978
    +tp24145
    +a(g423
    +Vp15
    +p24146
    +tp24147
    +a(g693
    +g976
    +tp24148
    +a(g826
    +g978
    +tp24149
    +a(g423
    +Vp16
    +p24150
    +tp24151
    +a(g693
    +g976
    +tp24152
    +a(g826
    +g978
    +tp24153
    +a(g423
    +Vp17
    +p24154
    +tp24155
    +a(g693
    +g976
    +tp24156
    +a(g826
    +g978
    +tp24157
    +a(g423
    +Vp18
    +p24158
    +tp24159
    +a(g693
    +g976
    +tp24160
    +a(g826
    +g978
    +tp24161
    +a(g423
    +Vp19
    +p24162
    +tp24163
    +a(g693
    +g976
    +tp24164
    +a(g826
    +g978
    +tp24165
    +a(g423
    +Vp20
    +p24166
    +tp24167
    +a(g693
    +g976
    +tp24168
    +a(g826
    +g978
    +tp24169
    +a(g423
    +Vp21
    +p24170
    +tp24171
    +a(g693
    +g976
    +tp24172
    +a(g826
    +g978
    +tp24173
    +a(g423
    +Vp22
    +p24174
    +tp24175
    +a(g693
    +g976
    +tp24176
    +a(g826
    +g978
    +tp24177
    +a(g423
    +Vp23
    +p24178
    +tp24179
    +a(g693
    +g976
    +tp24180
    +a(g826
    +g978
    +tp24181
    +a(g423
    +Vp24
    +p24182
    +tp24183
    +a(g693
    +g1098
    +tp24184
    +a(g826
    +V\u000a
    +p24185
    +tp24186
    +a(g669
    +Vguard_value
    +p24187
    +tp24188
    +a(g693
    +g1104
    +tp24189
    +a(g423
    +Vp3
    +p24190
    +tp24191
    +a(g693
    +g976
    +tp24192
    +a(g826
    +g978
    +tp24193
    +a(g423
    +VConstPtr
    +p24194
    +tp24195
    +a(g693
    +g1104
    +tp24196
    +a(g669
    +Vptr36
    +p24197
    +tp24198
    +a(g693
    +g1112
    +tp24199
    +a(g693
    +g976
    +tp24200
    +a(g826
    +g978
    +tp24201
    +a(g423
    +Vdescr
    +p24202
    +tp24203
    +a(g693
    +g1119
    +tp24204
    +a(g669
    +V
    +p24205
    +tp24206
    +a(g693
    +g1112
    +tp24207
    +a(g826
    +g978
    +tp24208
    +a(g693
    +g972
    +tp24209
    +a(g423
    +Vp0
    +p24210
    +tp24211
    +a(g693
    +g976
    +tp24212
    +a(g826
    +g978
    +tp24213
    +a(g423
    +Vp1
    +p24214
    +tp24215
    +a(g693
    +g976
    +tp24216
    +a(g826
    +g978
    +tp24217
    +a(g423
    +Vp3
    +p24218
    +tp24219
    +a(g693
    +g976
    +tp24220
    +a(g826
    +g978
    +tp24221
    +a(g423
    +Vp26
    +p24222
    +tp24223
    +a(g693
    +g976
    +tp24224
    +a(g826
    +g978
    +tp24225
    +a(g423
    +Vp5
    +p24226
    +tp24227
    +a(g693
    +g976
    +tp24228
    +a(g826
    +g978
    +tp24229
    +a(g423
    +Vp7
    +p24230
    +tp24231
    +a(g693
    +g976
    +tp24232
    +a(g826
    +g978
    +tp24233
    +a(g423
    +Vp8
    +p24234
    +tp24235
    +a(g693
    +g976
    +tp24236
    +a(g826
    +g978
    +tp24237
    +a(g423
    +Vp9
    +p24238
    +tp24239
    +a(g693
    +g976
    +tp24240
    +a(g826
    +g978
    +tp24241
    +a(g423
    +Vp10
    +p24242
    +tp24243
    +a(g693
    +g976
    +tp24244
    +a(g826
    +g978
    +tp24245
    +a(g423
    +Vp11
    +p24246
    +tp24247
    +a(g693
    +g976
    +tp24248
    +a(g826
    +g978
    +tp24249
    +a(g423
    +Vp12
    +p24250
    +tp24251
    +a(g693
    +g976
    +tp24252
    +a(g826
    +g978
    +tp24253
    +a(g423
    +Vp13
    +p24254
    +tp24255
    +a(g693
    +g976
    +tp24256
    +a(g826
    +g978
    +tp24257
    +a(g423
    +Vp14
    +p24258
    +tp24259
    +a(g693
    +g976
    +tp24260
    +a(g826
    +g978
    +tp24261
    +a(g423
    +Vp15
    +p24262
    +tp24263
    +a(g693
    +g976
    +tp24264
    +a(g826
    +g978
    +tp24265
    +a(g423
    +Vp16
    +p24266
    +tp24267
    +a(g693
    +g976
    +tp24268
    +a(g826
    +g978
    +tp24269
    +a(g423
    +Vp17
    +p24270
    +tp24271
    +a(g693
    +g976
    +tp24272
    +a(g826
    +g978
    +tp24273
    +a(g423
    +Vp18
    +p24274
    +tp24275
    +a(g693
    +g976
    +tp24276
    +a(g826
    +g978
    +tp24277
    +a(g423
    +Vp19
    +p24278
    +tp24279
    +a(g693
    +g976
    +tp24280
    +a(g826
    +g978
    +tp24281
    +a(g423
    +Vp20
    +p24282
    +tp24283
    +a(g693
    +g976
    +tp24284
    +a(g826
    +g978
    +tp24285
    +a(g423
    +Vp21
    +p24286
    +tp24287
    +a(g693
    +g976
    +tp24288
    +a(g826
    +g978
    +tp24289
    +a(g423
    +Vp22
    +p24290
    +tp24291
    +a(g693
    +g976
    +tp24292
    +a(g826
    +g978
    +tp24293
    +a(g423
    +Vp23
    +p24294
    +tp24295
    +a(g693
    +g976
    +tp24296
    +a(g826
    +g978
    +tp24297
    +a(g423
    +Vp24
    +p24298
    +tp24299
    +a(g693
    +g1098
    +tp24300
    +a(g826
    +V\u000a
    +p24301
    +tp24302
    +a(g616
    +Vdebug_merge_point
    +p24303
    +tp24304
    +a(g693
    +g1104
    +tp24305
    +a(g76
    +V' #99 FOR_ITER'
    +p24306
    +tp24307
    +a(g693
    +g976
    +tp24308
    +a(g826
    +g978
    +tp24309
    +a(g22
    +g1110
    +tp24310
    +a(g693
    +g1112
    +tp24311
    +a(g826
    +V\u000a
    +p24312
    +tp24313
    +a(g669
    +Vguard_class
    +p24314
    +tp24315
    +a(g693
    +g1104
    +tp24316
    +a(g423
    +Vp7
    +p24317
    +tp24318
    +a(g693
    +g976
    +tp24319
    +a(g826
    +g978
    +tp24320
    +a(g22
    +V19861240
    +p24321
    +tp24322
    +a(g693
    +g976
    +tp24323
    +a(g826
    +g978
    +tp24324
    +a(g423
    +Vdescr
    +p24325
    +tp24326
    +a(g693
    +g1119
    +tp24327
    +a(g669
    +V
    +p24328
    +tp24329
    +a(g693
    +g1112
    +tp24330
    +a(g826
    +g978
    +tp24331
    +a(g693
    +g972
    +tp24332
    +a(g423
    +Vp0
    +p24333
    +tp24334
    +a(g693
    +g976
    +tp24335
    +a(g826
    +g978
    +tp24336
    +a(g423
    +Vp1
    +p24337
    +tp24338
    +a(g693
    +g976
    +tp24339
    +a(g826
    +g978
    +tp24340
    +a(g423
    +Vp7
    +p24341
    +tp24342
    +a(g693
    +g976
    +tp24343
    +a(g826
    +g978
    +tp24344
    +a(g423
    +Vp26
    +p24345
    +tp24346
    +a(g693
    +g976
    +tp24347
    +a(g826
    +g978
    +tp24348
    +a(g423
    +Vp5
    +p24349
    +tp24350
    +a(g693
    +g976
    +tp24351
    +a(g826
    +g978
    +tp24352
    +a(g423
    +Vp8
    +p24353
    +tp24354
    +a(g693
    +g976
    +tp24355
    +a(g826
    +g978
    +tp24356
    +a(g423
    +Vp9
    +p24357
    +tp24358
    +a(g693
    +g976
    +tp24359
    +a(g826
    +g978
    +tp24360
    +a(g423
    +Vp10
    +p24361
    +tp24362
    +a(g693
    +g976
    +tp24363
    +a(g826
    +g978
    +tp24364
    +a(g423
    +Vp11
    +p24365
    +tp24366
    +a(g693
    +g976
    +tp24367
    +a(g826
    +g978
    +tp24368
    +a(g423
    +Vp12
    +p24369
    +tp24370
    +a(g693
    +g976
    +tp24371
    +a(g826
    +g978
    +tp24372
    +a(g423
    +Vp13
    +p24373
    +tp24374
    +a(g693
    +g976
    +tp24375
    +a(g826
    +g978
    +tp24376
    +a(g423
    +Vp14
    +p24377
    +tp24378
    +a(g693
    +g976
    +tp24379
    +a(g826
    +g978
    +tp24380
    +a(g423
    +Vp15
    +p24381
    +tp24382
    +a(g693
    +g976
    +tp24383
    +a(g826
    +g978
    +tp24384
    +a(g423
    +Vp16
    +p24385
    +tp24386
    +a(g693
    +g976
    +tp24387
    +a(g826
    +g978
    +tp24388
    +a(g423
    +Vp17
    +p24389
    +tp24390
    +a(g693
    +g976
    +tp24391
    +a(g826
    +g978
    +tp24392
    +a(g423
    +Vp18
    +p24393
    +tp24394
    +a(g693
    +g976
    +tp24395
    +a(g826
    +g978
    +tp24396
    +a(g423
    +Vp19
    +p24397
    +tp24398
    +a(g693
    +g976
    +tp24399
    +a(g826
    +g978
    +tp24400
    +a(g423
    +Vp20
    +p24401
    +tp24402
    +a(g693
    +g976
    +tp24403
    +a(g826
    +g978
    +tp24404
    +a(g423
    +Vp21
    +p24405
    +tp24406
    +a(g693
    +g976
    +tp24407
    +a(g826
    +g978
    +tp24408
    +a(g423
    +Vp22
    +p24409
    +tp24410
    +a(g693
    +g976
    +tp24411
    +a(g826
    +g978
    +tp24412
    +a(g423
    +Vp23
    +p24413
    +tp24414
    +a(g693
    +g976
    +tp24415
    +a(g826
    +g978
    +tp24416
    +a(g423
    +Vp24
    +p24417
    +tp24418
    +a(g693
    +g1098
    +tp24419
    +a(g826
    +V\u000a
    +p24420
    +tp24421
    +a(g423
    +Vi38
    +p24422
    +tp24423
    +a(g826
    +g978
    +tp24424
    +a(g693
    +g1119
    +tp24425
    +a(g826
    +g978
    +tp24426
    +a(g669
    +Vgetfield_gc
    +p24427
    +tp24428
    +a(g693
    +g1104
    +tp24429
    +a(g423
    +Vp7
    +p24430
    +tp24431
    +a(g693
    +g976
    +tp24432
    +a(g826
    +g978
    +tp24433
    +a(g423
    +Vdescr
    +p24434
    +tp24435
    +a(g693
    +g1119
    +tp24436
    +a(g669
    +V
    +p24437
    +tp24438
    +a(g693
    +g1112
    +tp24439
    +a(g826
    +V\u000a
    +p24440
    +tp24441
    +a(g423
    +Vi40
    +p24442
    +tp24443
    +a(g826
    +g978
    +tp24444
    +a(g693
    +g1119
    +tp24445
    +a(g826
    +g978
    +tp24446
    +a(g669
    +Vint_gt
    +p24447
    +tp24448
    +a(g693
    +g1104
    +tp24449
    +a(g423
    +Vi38
    +p24450
    +tp24451
    +a(g693
    +g976
    +tp24452
    +a(g826
    +g978
    +tp24453
    +a(g22
    +g1110
    +tp24454
    +a(g693
    +g1112
    +tp24455
    +a(g826
    +V\u000a
    +p24456
    +tp24457
    +a(g669
    +Vguard_true
    +p24458
    +tp24459
    +a(g693
    +g1104
    +tp24460
    +a(g423
    +Vi40
    +p24461
    +tp24462
    +a(g693
    +g976
    +tp24463
    +a(g826
    +g978
    +tp24464
    +a(g423
    +Vdescr
    +p24465
    +tp24466
    +a(g693
    +g1119
    +tp24467
    +a(g669
    +V
    +p24468
    +tp24469
    +a(g693
    +g1112
    +tp24470
    +a(g826
    +g978
    +tp24471
    +a(g693
    +g972
    +tp24472
    +a(g423
    +Vp0
    +p24473
    +tp24474
    +a(g693
    +g976
    +tp24475
    +a(g826
    +g978
    +tp24476
    +a(g423
    +Vp1
    +p24477
    +tp24478
    +a(g693
    +g976
    +tp24479
    +a(g826
    +g978
    +tp24480
    +a(g423
    +Vp7
    +p24481
    +tp24482
    +a(g693
    +g976
    +tp24483
    +a(g826
    +g978
    +tp24484
    +a(g423
    +Vp26
    +p24485
    +tp24486
    +a(g693
    +g976
    +tp24487
    +a(g826
    +g978
    +tp24488
    +a(g423
    +Vp5
    +p24489
    +tp24490
    +a(g693
    +g976
    +tp24491
    +a(g826
    +g978
    +tp24492
    +a(g423
    +Vp8
    +p24493
    +tp24494
    +a(g693
    +g976
    +tp24495
    +a(g826
    +g978
    +tp24496
    +a(g423
    +Vp9
    +p24497
    +tp24498
    +a(g693
    +g976
    +tp24499
    +a(g826
    +g978
    +tp24500
    +a(g423
    +Vp10
    +p24501
    +tp24502
    +a(g693
    +g976
    +tp24503
    +a(g826
    +g978
    +tp24504
    +a(g423
    +Vp11
    +p24505
    +tp24506
    +a(g693
    +g976
    +tp24507
    +a(g826
    +g978
    +tp24508
    +a(g423
    +Vp12
    +p24509
    +tp24510
    +a(g693
    +g976
    +tp24511
    +a(g826
    +g978
    +tp24512
    +a(g423
    +Vp13
    +p24513
    +tp24514
    +a(g693
    +g976
    +tp24515
    +a(g826
    +g978
    +tp24516
    +a(g423
    +Vp14
    +p24517
    +tp24518
    +a(g693
    +g976
    +tp24519
    +a(g826
    +g978
    +tp24520
    +a(g423
    +Vp15
    +p24521
    +tp24522
    +a(g693
    +g976
    +tp24523
    +a(g826
    +g978
    +tp24524
    +a(g423
    +Vp16
    +p24525
    +tp24526
    +a(g693
    +g976
    +tp24527
    +a(g826
    +g978
    +tp24528
    +a(g423
    +Vp17
    +p24529
    +tp24530
    +a(g693
    +g976
    +tp24531
    +a(g826
    +g978
    +tp24532
    +a(g423
    +Vp18
    +p24533
    +tp24534
    +a(g693
    +g976
    +tp24535
    +a(g826
    +g978
    +tp24536
    +a(g423
    +Vp19
    +p24537
    +tp24538
    +a(g693
    +g976
    +tp24539
    +a(g826
    +g978
    +tp24540
    +a(g423
    +Vp20
    +p24541
    +tp24542
    +a(g693
    +g976
    +tp24543
    +a(g826
    +g978
    +tp24544
    +a(g423
    +Vp21
    +p24545
    +tp24546
    +a(g693
    +g976
    +tp24547
    +a(g826
    +g978
    +tp24548
    +a(g423
    +Vp22
    +p24549
    +tp24550
    +a(g693
    +g976
    +tp24551
    +a(g826
    +g978
    +tp24552
    +a(g423
    +Vp23
    +p24553
    +tp24554
    +a(g693
    +g976
    +tp24555
    +a(g826
    +g978
    +tp24556
    +a(g423
    +Vp24
    +p24557
    +tp24558
    +a(g693
    +g1098
    +tp24559
    +a(g826
    +V\u000a
    +p24560
    +tp24561
    +a(g423
    +Vi41
    +p24562
    +tp24563
    +a(g826
    +g978
    +tp24564
    +a(g693
    +g1119
    +tp24565
    +a(g826
    +g978
    +tp24566
    +a(g669
    +Vgetfield_gc
    +p24567
    +tp24568
    +a(g693
    +g1104
    +tp24569
    +a(g423
    +Vp7
    +p24570
    +tp24571
    +a(g693
    +g976
    +tp24572
    +a(g826
    +g978
    +tp24573
    +a(g423
    +Vdescr
    +p24574
    +tp24575
    +a(g693
    +g1119
    +tp24576
    +a(g669
    +V
    +p24577
    +tp24578
    +a(g693
    +g1112
    +tp24579
    +a(g826
    +V\u000a
    +p24580
    +tp24581
    +a(g423
    +Vi42
    +p24582
    +tp24583
    +a(g826
    +g978
    +tp24584
    +a(g693
    +g1119
    +tp24585
    +a(g826
    +g978
    +tp24586
    +a(g669
    +Vgetfield_gc
    +p24587
    +tp24588
    +a(g693
    +g1104
    +tp24589
    +a(g423
    +Vp7
    +p24590
    +tp24591
    +a(g693
    +g976
    +tp24592
    +a(g826
    +g978
    +tp24593
    +a(g423
    +Vdescr
    +p24594
    +tp24595
    +a(g693
    +g1119
    +tp24596
    +a(g669
    +V
    +p24597
    +tp24598
    +a(g693
    +g1112
    +tp24599
    +a(g826
    +V\u000a
    +p24600
    +tp24601
    +a(g423
    +Vi43
    +p24602
    +tp24603
    +a(g826
    +g978
    +tp24604
    +a(g693
    +g1119
    +tp24605
    +a(g826
    +g978
    +tp24606
    +a(g669
    +Vint_add
    +p24607
    +tp24608
    +a(g693
    +g1104
    +tp24609
    +a(g423
    +Vi41
    +p24610
    +tp24611
    +a(g693
    +g976
    +tp24612
    +a(g826
    +g978
    +tp24613
    +a(g423
    +Vi42
    +p24614
    +tp24615
    +a(g693
    +g1112
    +tp24616
    +a(g826
    +V\u000a
    +p24617
    +tp24618
    +a(g423
    +Vi45
    +p24619
    +tp24620
    +a(g826
    +g978
    +tp24621
    +a(g693
    +g1119
    +tp24622
    +a(g826
    +g978
    +tp24623
    +a(g669
    +Vint_sub
    +p24624
    +tp24625
    +a(g693
    +g1104
    +tp24626
    +a(g423
    +Vi38
    +p24627
    +tp24628
    +a(g693
    +g976
    +tp24629
    +a(g826
    +g978
    +tp24630
    +a(g22
    +g1250
    +tp24631
    +a(g693
    +g1112
    +tp24632
    +a(g826
    +V\u000a
    +p24633
    +tp24634
    +a(g616
    +Vdebug_merge_point
    +p24635
    +tp24636
    +a(g693
    +g1104
    +tp24637
    +a(g76
    +V' #102 STORE_FAST'
    +p24638
    +tp24639
    +a(g693
    +g976
    +tp24640
    +a(g826
    +g978
    +tp24641
    +a(g22
    +g1110
    +tp24642
    +a(g693
    +g1112
    +tp24643
    +a(g826
    +V\u000a
    +p24644
    +tp24645
    +a(g616
    +Vdebug_merge_point
    +p24646
    +tp24647
    +a(g693
    +g1104
    +tp24648
    +a(g76
    +V' #105 SETUP_LOOP'
    +p24649
    +tp24650
    +a(g693
    +g976
    +tp24651
    +a(g826
    +g978
    +tp24652
    +a(g22
    +g1110
    +tp24653
    +a(g693
    +g1112
    +tp24654
    +a(g826
    +V\u000a
    +p24655
    +tp24656
    +a(g616
    +Vdebug_merge_point
    +p24657
    +tp24658
    +a(g693
    +g1104
    +tp24659
    +a(g76
    +V' #108 LOAD_GLOBAL'
    +p24660
    +tp24661
    +a(g693
    +g976
    +tp24662
    +a(g826
    +g978
    +tp24663
    +a(g22
    +g1110
    +tp24664
    +a(g693
    +g1112
    +tp24665
    +a(g826
    +V\u000a
    +p24666
    +tp24667
    +a(g423
    +Vp46
    +p24668
    +tp24669
    +a(g826
    +g978
    +tp24670
    +a(g693
    +g1119
    +tp24671
    +a(g826
    +g978
    +tp24672
    +a(g669
    +Vgetfield_gc
    +p24673
    +tp24674
    +a(g693
    +g1104
    +tp24675
    +a(g423
    +Vp1
    +p24676
    +tp24677
    +a(g693
    +g976
    +tp24678
    +a(g826
    +g978
    +tp24679
    +a(g423
    +Vdescr
    +p24680
    +tp24681
    +a(g693
    +g1119
    +tp24682
    +a(g669
    +V
    +p24683
    +tp24684
    +a(g693
    +g1112
    +tp24685
    +a(g826
    +V\u000a
    +p24686
    +tp24687
    +a(g669
    +Vsetfield_gc
    +p24688
    +tp24689
    +a(g693
    +g1104
    +tp24690
    +a(g423
    +Vp7
    +p24691
    +tp24692
    +a(g693
    +g976
    +tp24693
    +a(g826
    +g978
    +tp24694
    +a(g423
    +Vi43
    +p24695
    +tp24696
    +a(g693
    +g976
    +tp24697
    +a(g826
    +g978
    +tp24698
    +a(g423
    +Vdescr
    +p24699
    +tp24700
    +a(g693
    +g1119
    +tp24701
    +a(g669
    +V
    +p24702
    +tp24703
    +a(g693
    +g1112
    +tp24704
    +a(g826
    +V\u000a
    +p24705
    +tp24706
    +a(g669
    +Vsetfield_gc
    +p24707
    +tp24708
    +a(g693
    +g1104
    +tp24709
    +a(g423
    +Vp7
    +p24710
    +tp24711
    +a(g693
    +g976
    +tp24712
    +a(g826
    +g978
    +tp24713
    +a(g423
    +Vi45
    +p24714
    +tp24715
    +a(g693
    +g976
    +tp24716
    +a(g826
    +g978
    +tp24717
    +a(g423
    +Vdescr
    +p24718
    +tp24719
    +a(g693
    +g1119
    +tp24720
    +a(g669
    +V
    +p24721
    +tp24722
    +a(g693
    +g1112
    +tp24723
    +a(g826
    +V\u000a
    +p24724
    +tp24725
    +a(g669
    +Vguard_value
    +p24726
    +tp24727
    +a(g693
    +g1104
    +tp24728
    +a(g423
    +Vp46
    +p24729
    +tp24730
    +a(g693
    +g976
    +tp24731
    +a(g826
    +g978
    +tp24732
    +a(g423
    +VConstPtr
    +p24733
    +tp24734
    +a(g693
    +g1104
    +tp24735
    +a(g669
    +Vptr47
    +p24736
    +tp24737
    +a(g693
    +g1112
    +tp24738
    +a(g693
    +g976
    +tp24739
    +a(g826
    +g978
    +tp24740
    +a(g423
    +Vdescr
    +p24741
    +tp24742
    +a(g693
    +g1119
    +tp24743
    +a(g669
    +V
    +p24744
    +tp24745
    +a(g693
    +g1112
    +tp24746
    +a(g826
    +g978
    +tp24747
    +a(g693
    +g972
    +tp24748
    +a(g423
    +Vp0
    +p24749
    +tp24750
    +a(g693
    +g976
    +tp24751
    +a(g826
    +g978
    +tp24752
    +a(g423
    +Vp1
    +p24753
    +tp24754
    +a(g693
    +g976
    +tp24755
    +a(g826
    +g978
    +tp24756
    +a(g423
    +Vp46
    +p24757
    +tp24758
    +a(g693
    +g976
    +tp24759
    +a(g826
    +g978
    +tp24760
    +a(g423
    +Vp5
    +p24761
    +tp24762
    +a(g693
    +g976
    +tp24763
    +a(g826
    +g978
    +tp24764
    +a(g423
    +Vp7
    +p24765
    +tp24766
    +a(g693
    +g976
    +tp24767
    +a(g826
    +g978
    +tp24768
    +a(g423
    +Vp8
    +p24769
    +tp24770
    +a(g693
    +g976
    +tp24771
    +a(g826
    +g978
    +tp24772
    +a(g423
    +Vp9
    +p24773
    +tp24774
    +a(g693
    +g976
    +tp24775
    +a(g826
    +g978
    +tp24776
    +a(g423
    +Vp10
    +p24777
    +tp24778
    +a(g693
    +g976
    +tp24779
    +a(g826
    +g978
    +tp24780
    +a(g423
    +Vp11
    +p24781
    +tp24782
    +a(g693
    +g976
    +tp24783
    +a(g826
    +g978
    +tp24784
    +a(g423
    +Vp12
    +p24785
    +tp24786
    +a(g693
    +g976
    +tp24787
    +a(g826
    +g978
    +tp24788
    +a(g423
    +Vp13
    +p24789
    +tp24790
    +a(g693
    +g976
    +tp24791
    +a(g826
    +g978
    +tp24792
    +a(g423
    +Vp14
    +p24793
    +tp24794
    +a(g693
    +g976
    +tp24795
    +a(g826
    +g978
    +tp24796
    +a(g423
    +Vp15
    +p24797
    +tp24798
    +a(g693
    +g976
    +tp24799
    +a(g826
    +g978
    +tp24800
    +a(g423
    +Vp16
    +p24801
    +tp24802
    +a(g693
    +g976
    +tp24803
    +a(g826
    +g978
    +tp24804
    +a(g423
    +Vp17
    +p24805
    +tp24806
    +a(g693
    +g976
    +tp24807
    +a(g826
    +g978
    +tp24808
    +a(g423
    +Vp18
    +p24809
    +tp24810
    +a(g693
    +g976
    +tp24811
    +a(g826
    +g978
    +tp24812
    +a(g423
    +Vp19
    +p24813
    +tp24814
    +a(g693
    +g976
    +tp24815
    +a(g826
    +g978
    +tp24816
    +a(g423
    +Vp20
    +p24817
    +tp24818
    +a(g693
    +g976
    +tp24819
    +a(g826
    +g978
    +tp24820
    +a(g423
    +Vp21
    +p24821
    +tp24822
    +a(g693
    +g976
    +tp24823
    +a(g826
    +g978
    +tp24824
    +a(g423
    +Vp23
    +p24825
    +tp24826
    +a(g693
    +g976
    +tp24827
    +a(g826
    +g978
    +tp24828
    +a(g423
    +Vp24
    +p24829
    +tp24830
    +a(g693
    +g976
    +tp24831
    +a(g826
    +g978
    +tp24832
    +a(g423
    +Vp26
    +p24833
    +tp24834
    +a(g693
    +g976
    +tp24835
    +a(g826
    +g978
    +tp24836
    +a(g423
    +Vi41
    +p24837
    +tp24838
    +a(g693
    +g1098
    +tp24839
    +a(g826
    +V\u000a
    +p24840
    +tp24841
    +a(g423
    +Vp48
    +p24842
    +tp24843
    +a(g826
    +g978
    +tp24844
    +a(g693
    +g1119
    +tp24845
    +a(g826
    +g978
    +tp24846
    +a(g669
    +Vgetfield_gc
    +p24847
    +tp24848
    +a(g693
    +g1104
    +tp24849
    +a(g423
    +Vp46
    +p24850
    +tp24851
    +a(g693
    +g976
    +tp24852
    +a(g826
    +g978
    +tp24853
    +a(g423
    +Vdescr
    +p24854
    +tp24855
    +a(g693
    +g1119
    +tp24856
    +a(g669
    +V
    +p24857
    +tp24858
    +a(g693
    +g1112
    +tp24859
    +a(g826
    +V\u000a
    +p24860
    +tp24861
    +a(g669
    +Vguard_isnull
    +p24862
    +tp24863
    +a(g693
    +g1104
    +tp24864
    +a(g423
    +Vp48
    +p24865
    +tp24866
    +a(g693
    +g976
    +tp24867
    +a(g826
    +g978
    +tp24868
    +a(g423
    +Vdescr
    +p24869
    +tp24870
    +a(g693
    +g1119
    +tp24871
    +a(g669
    +V
    +p24872
    +tp24873
    +a(g693
    +g1112
    +tp24874
    +a(g826
    +g978
    +tp24875
    +a(g693
    +g972
    +tp24876
    +a(g423
    +Vp0
    +p24877
    +tp24878
    +a(g693
    +g976
    +tp24879
    +a(g826
    +g978
    +tp24880
    +a(g423
    +Vp1
    +p24881
    +tp24882
    +a(g693
    +g976
    +tp24883
    +a(g826
    +g978
    +tp24884
    +a(g423
    +Vp48
    +p24885
    +tp24886
    +a(g693
    +g976
    +tp24887
    +a(g826
    +g978
    +tp24888
    +a(g423
    +Vp46
    +p24889
    +tp24890
    +a(g693
    +g976
    +tp24891
    +a(g826
    +g978
    +tp24892
    +a(g423
    +Vp5
    +p24893
    +tp24894
    +a(g693
    +g976
    +tp24895
    +a(g826
    +g978
    +tp24896
    +a(g423
    +Vp7
    +p24897
    +tp24898
    +a(g693
    +g976
    +tp24899
    +a(g826
    +g978
    +tp24900
    +a(g423
    +Vp8
    +p24901
    +tp24902
    +a(g693
    +g976
    +tp24903
    +a(g826
    +g978
    +tp24904
    +a(g423
    +Vp9
    +p24905
    +tp24906
    +a(g693
    +g976
    +tp24907
    +a(g826
    +g978
    +tp24908
    +a(g423
    +Vp10
    +p24909
    +tp24910
    +a(g693
    +g976
    +tp24911
    +a(g826
    +g978
    +tp24912
    +a(g423
    +Vp11
    +p24913
    +tp24914
    +a(g693
    +g976
    +tp24915
    +a(g826
    +g978
    +tp24916
    +a(g423
    +Vp12
    +p24917
    +tp24918
    +a(g693
    +g976
    +tp24919
    +a(g826
    +g978
    +tp24920
    +a(g423
    +Vp13
    +p24921
    +tp24922
    +a(g693
    +g976
    +tp24923
    +a(g826
    +g978
    +tp24924
    +a(g423
    +Vp14
    +p24925
    +tp24926
    +a(g693
    +g976
    +tp24927
    +a(g826
    +g978
    +tp24928
    +a(g423
    +Vp15
    +p24929
    +tp24930
    +a(g693
    +g976
    +tp24931
    +a(g826
    +g978
    +tp24932
    +a(g423
    +Vp16
    +p24933
    +tp24934
    +a(g693
    +g976
    +tp24935
    +a(g826
    +g978
    +tp24936
    +a(g423
    +Vp17
    +p24937
    +tp24938
    +a(g693
    +g976
    +tp24939
    +a(g826
    +g978
    +tp24940
    +a(g423
    +Vp18
    +p24941
    +tp24942
    +a(g693
    +g976
    +tp24943
    +a(g826
    +g978
    +tp24944
    +a(g423
    +Vp19
    +p24945
    +tp24946
    +a(g693
    +g976
    +tp24947
    +a(g826
    +g978
    +tp24948
    +a(g423
    +Vp20
    +p24949
    +tp24950
    +a(g693
    +g976
    +tp24951
    +a(g826
    +g978
    +tp24952
    +a(g423
    +Vp21
    +p24953
    +tp24954
    +a(g693
    +g976
    +tp24955
    +a(g826
    +g978
    +tp24956
    +a(g423
    +Vp23
    +p24957
    +tp24958
    +a(g693
    +g976
    +tp24959
    +a(g826
    +g978
    +tp24960
    +a(g423
    +Vp24
    +p24961
    +tp24962
    +a(g693
    +g976
    +tp24963
    +a(g826
    +g978
    +tp24964
    +a(g423
    +Vp26
    +p24965
    +tp24966
    +a(g693
    +g976
    +tp24967
    +a(g826
    +g978
    +tp24968
    +a(g423
    +Vi41
    +p24969
    +tp24970
    +a(g693
    +g1098
    +tp24971
    +a(g826
    +V\u000a
    +p24972
    +tp24973
    +a(g423
    +Vp50
    +p24974
    +tp24975
    +a(g826
    +g978
    +tp24976
    +a(g693
    +g1119
    +tp24977
    +a(g826
    +g978
    +tp24978
    +a(g669
    +Vgetfield_gc
    +p24979
    +tp24980
    +a(g693
    +g1104
    +tp24981
    +a(g423
    +VConstPtr
    +p24982
    +tp24983
    +a(g693
    +g1104
    +tp24984
    +a(g669
    +Vptr49
    +p24985
    +tp24986
    +a(g693
    +g1112
    +tp24987
    +a(g693
    +g976
    +tp24988
    +a(g826
    +g978
    +tp24989
    +a(g423
    +Vdescr
    +p24990
    +tp24991
    +a(g693
    +g1119
    +tp24992
    +a(g669
    +V
    +p24993
    +tp24994
    +a(g693
    +g1112
    +tp24995
    +a(g826
    +V\u000a
    +p24996
    +tp24997
    +a(g669
    +Vguard_isnull
    +p24998
    +tp24999
    +a(g693
    +g1104
    +tp25000
    +a(g423
    +Vp50
    +p25001
    +tp25002
    +a(g693
    +g976
    +tp25003
    +a(g826
    +g978
    +tp25004
    +a(g423
    +Vdescr
    +p25005
    +tp25006
    +a(g693
    +g1119
    +tp25007
    +a(g669
    +V
    +p25008
    +tp25009
    +a(g693
    +g1112
    +tp25010
    +a(g826
    +g978
    +tp25011
    +a(g693
    +g972
    +tp25012
    +a(g423
    +Vp0
    +p25013
    +tp25014
    +a(g693
    +g976
    +tp25015
    +a(g826
    +g978
    +tp25016
    +a(g423
    +Vp1
    +p25017
    +tp25018
    +a(g693
    +g976
    +tp25019
    +a(g826
    +g978
    +tp25020
    +a(g423
    +Vp50
    +p25021
    +tp25022
    +a(g693
    +g976
    +tp25023
    +a(g826
    +g978
    +tp25024
    +a(g423
    +Vp5
    +p25025
    +tp25026
    +a(g693
    +g976
    +tp25027
    +a(g826
    +g978
    +tp25028
    +a(g423
    +Vp7
    +p25029
    +tp25030
    +a(g693
    +g976
    +tp25031
    +a(g826
    +g978
    +tp25032
    +a(g423
    +Vp8
    +p25033
    +tp25034
    +a(g693
    +g976
    +tp25035
    +a(g826
    +g978
    +tp25036
    +a(g423
    +Vp9
    +p25037
    +tp25038
    +a(g693
    +g976
    +tp25039
    +a(g826
    +g978
    +tp25040
    +a(g423
    +Vp10
    +p25041
    +tp25042
    +a(g693
    +g976
    +tp25043
    +a(g826
    +g978
    +tp25044
    +a(g423
    +Vp11
    +p25045
    +tp25046
    +a(g693
    +g976
    +tp25047
    +a(g826
    +g978
    +tp25048
    +a(g423
    +Vp12
    +p25049
    +tp25050
    +a(g693
    +g976
    +tp25051
    +a(g826
    +g978
    +tp25052
    +a(g423
    +Vp13
    +p25053
    +tp25054
    +a(g693
    +g976
    +tp25055
    +a(g826
    +g978
    +tp25056
    +a(g423
    +Vp14
    +p25057
    +tp25058
    +a(g693
    +g976
    +tp25059
    +a(g826
    +g978
    +tp25060
    +a(g423
    +Vp15
    +p25061
    +tp25062
    +a(g693
    +g976
    +tp25063
    +a(g826
    +g978
    +tp25064
    +a(g423
    +Vp16
    +p25065
    +tp25066
    +a(g693
    +g976
    +tp25067
    +a(g826
    +g978
    +tp25068
    +a(g423
    +Vp17
    +p25069
    +tp25070
    +a(g693
    +g976
    +tp25071
    +a(g826
    +g978
    +tp25072
    +a(g423
    +Vp18
    +p25073
    +tp25074
    +a(g693
    +g976
    +tp25075
    +a(g826
    +g978
    +tp25076
    +a(g423
    +Vp19
    +p25077
    +tp25078
    +a(g693
    +g976
    +tp25079
    +a(g826
    +g978
    +tp25080
    +a(g423
    +Vp20
    +p25081
    +tp25082
    +a(g693
    +g976
    +tp25083
    +a(g826
    +g978
    +tp25084
    +a(g423
    +Vp21
    +p25085
    +tp25086
    +a(g693
    +g976
    +tp25087
    +a(g826
    +g978
    +tp25088
    +a(g423
    +Vp23
    +p25089
    +tp25090
    +a(g693
    +g976
    +tp25091
    +a(g826
    +g978
    +tp25092
    +a(g423
    +Vp24
    +p25093
    +tp25094
    +a(g693
    +g976
    +tp25095
    +a(g826
    +g978
    +tp25096
    +a(g423
    +Vp26
    +p25097
    +tp25098
    +a(g693
    +g976
    +tp25099
    +a(g826
    +g978
    +tp25100
    +a(g423
    +Vi41
    +p25101
    +tp25102
    +a(g693
    +g1098
    +tp25103
    +a(g826
    +V\u000a
    +p25104
    +tp25105
    +a(g423
    +Vp52
    +p25106
    +tp25107
    +a(g826
    +g978
    +tp25108
    +a(g693
    +g1119
    +tp25109
    +a(g826
    +g978
    +tp25110
    +a(g669
    +Vgetfield_gc
    +p25111
    +tp25112
    +a(g693
    +g1104
    +tp25113
    +a(g423
    +VConstPtr
    +p25114
    +tp25115
    +a(g693
    +g1104
    +tp25116
    +a(g669
    +Vptr51
    +p25117
    +tp25118
    +a(g693
    +g1112
    +tp25119
    +a(g693
    +g976
    +tp25120
    +a(g826
    +g978
    +tp25121
    +a(g423
    +Vdescr
    +p25122
    +tp25123
    +a(g693
    +g1119
    +tp25124
    +a(g669
    +V
    +p25125
    +tp25126
    +a(g693
    +g1112
    +tp25127
    +a(g826
    +V\u000a
    +p25128
    +tp25129
    +a(g669
    +Vguard_value
    +p25130
    +tp25131
    +a(g693
    +g1104
    +tp25132
    +a(g423
    +Vp52
    +p25133
    +tp25134
    +a(g693
    +g976
    +tp25135
    +a(g826
    +g978
    +tp25136
    +a(g423
    +VConstPtr
    +p25137
    +tp25138
    +a(g693
    +g1104
    +tp25139
    +a(g669
    +Vptr53
    +p25140
    +tp25141
    +a(g693
    +g1112
    +tp25142
    +a(g693
    +g976
    +tp25143
    +a(g826
    +g978
    +tp25144
    +a(g423
    +Vdescr
    +p25145
    +tp25146
    +a(g693
    +g1119
    +tp25147
    +a(g669
    +V
    +p25148
    +tp25149
    +a(g693
    +g1112
    +tp25150
    +a(g826
    +g978
    +tp25151
    +a(g693
    +g972
    +tp25152
    +a(g423
    +Vp0
    +p25153
    +tp25154
    +a(g693
    +g976
    +tp25155
    +a(g826
    +g978
    +tp25156
    +a(g423
    +Vp1
    +p25157
    +tp25158
    +a(g693
    +g976
    +tp25159
    +a(g826
    +g978
    +tp25160
    +a(g423
    +Vp52
    +p25161
    +tp25162
    +a(g693
    +g976
    +tp25163
    +a(g826
    +g978
    +tp25164
    +a(g423
    +Vp5
    +p25165
    +tp25166
    +a(g693
    +g976
    +tp25167
    +a(g826
    +g978
    +tp25168
    +a(g423
    +Vp7
    +p25169
    +tp25170
    +a(g693
    +g976
    +tp25171
    +a(g826
    +g978
    +tp25172
    +a(g423
    +Vp8
    +p25173
    +tp25174
    +a(g693
    +g976
    +tp25175
    +a(g826
    +g978
    +tp25176
    +a(g423
    +Vp9
    +p25177
    +tp25178
    +a(g693
    +g976
    +tp25179
    +a(g826
    +g978
    +tp25180
    +a(g423
    +Vp10
    +p25181
    +tp25182
    +a(g693
    +g976
    +tp25183
    +a(g826
    +g978
    +tp25184
    +a(g423
    +Vp11
    +p25185
    +tp25186
    +a(g693
    +g976
    +tp25187
    +a(g826
    +g978
    +tp25188
    +a(g423
    +Vp12
    +p25189
    +tp25190
    +a(g693
    +g976
    +tp25191
    +a(g826
    +g978
    +tp25192
    +a(g423
    +Vp13
    +p25193
    +tp25194
    +a(g693
    +g976
    +tp25195
    +a(g826
    +g978
    +tp25196
    +a(g423
    +Vp14
    +p25197
    +tp25198
    +a(g693
    +g976
    +tp25199
    +a(g826
    +g978
    +tp25200
    +a(g423
    +Vp15
    +p25201
    +tp25202
    +a(g693
    +g976
    +tp25203
    +a(g826
    +g978
    +tp25204
    +a(g423
    +Vp16
    +p25205
    +tp25206
    +a(g693
    +g976
    +tp25207
    +a(g826
    +g978
    +tp25208
    +a(g423
    +Vp17
    +p25209
    +tp25210
    +a(g693
    +g976
    +tp25211
    +a(g826
    +g978
    +tp25212
    +a(g423
    +Vp18
    +p25213
    +tp25214
    +a(g693
    +g976
    +tp25215
    +a(g826
    +g978
    +tp25216
    +a(g423
    +Vp19
    +p25217
    +tp25218
    +a(g693
    +g976
    +tp25219
    +a(g826
    +g978
    +tp25220
    +a(g423
    +Vp20
    +p25221
    +tp25222
    +a(g693
    +g976
    +tp25223
    +a(g826
    +g978
    +tp25224
    +a(g423
    +Vp21
    +p25225
    +tp25226
    +a(g693
    +g976
    +tp25227
    +a(g826
    +g978
    +tp25228
    +a(g423
    +Vp23
    +p25229
    +tp25230
    +a(g693
    +g976
    +tp25231
    +a(g826
    +g978
    +tp25232
    +a(g423
    +Vp24
    +p25233
    +tp25234
    +a(g693
    +g976
    +tp25235
    +a(g826
    +g978
    +tp25236
    +a(g423
    +Vp26
    +p25237
    +tp25238
    +a(g693
    +g976
    +tp25239
    +a(g826
    +g978
    +tp25240
    +a(g423
    +Vi41
    +p25241
    +tp25242
    +a(g693
    +g1098
    +tp25243
    +a(g826
    +V\u000a
    +p25244
    +tp25245
    +a(g423
    +Vp54
    +p25246
    +tp25247
    +a(g826
    +g978
    +tp25248
    +a(g693
    +g1119
    +tp25249
    +a(g826
    +g978
    +tp25250
    +a(g669
    +Vgetfield_gc
    +p25251
    +tp25252
    +a(g693
    +g1104
    +tp25253
    +a(g423
    +Vp52
    +p25254
    +tp25255
    +a(g693
    +g976
    +tp25256
    +a(g826
    +g978
    +tp25257
    +a(g423
    +Vdescr
    +p25258
    +tp25259
    +a(g693
    +g1119
    +tp25260
    +a(g669
    +V
    +p25261
    +tp25262
    +a(g693
    +g1112
    +tp25263
    +a(g826
    +V\u000a
    +p25264
    +tp25265
    +a(g669
    +Vguard_isnull
    +p25266
    +tp25267
    +a(g693
    +g1104
    +tp25268
    +a(g423
    +Vp54
    +p25269
    +tp25270
    +a(g693
    +g976
    +tp25271
    +a(g826
    +g978
    +tp25272
    +a(g423
    +Vdescr
    +p25273
    +tp25274
    +a(g693
    +g1119
    +tp25275
    +a(g669
    +V
    +p25276
    +tp25277
    +a(g693
    +g1112
    +tp25278
    +a(g826
    +g978
    +tp25279
    +a(g693
    +g972
    +tp25280
    +a(g423
    +Vp0
    +p25281
    +tp25282
    +a(g693
    +g976
    +tp25283
    +a(g826
    +g978
    +tp25284
    +a(g423
    +Vp1
    +p25285
    +tp25286
    +a(g693
    +g976
    +tp25287
    +a(g826
    +g978
    +tp25288
    +a(g423
    +Vp54
    +p25289
    +tp25290
    +a(g693
    +g976
    +tp25291
    +a(g826
    +g978
    +tp25292
    +a(g423
    +Vp52
    +p25293
    +tp25294
    +a(g693
    +g976
    +tp25295
    +a(g826
    +g978
    +tp25296
    +a(g423
    +Vp5
    +p25297
    +tp25298
    +a(g693
    +g976
    +tp25299
    +a(g826
    +g978
    +tp25300
    +a(g423
    +Vp7
    +p25301
    +tp25302
    +a(g693
    +g976
    +tp25303
    +a(g826
    +g978
    +tp25304
    +a(g423
    +Vp8
    +p25305
    +tp25306
    +a(g693
    +g976
    +tp25307
    +a(g826
    +g978
    +tp25308
    +a(g423
    +Vp9
    +p25309
    +tp25310
    +a(g693
    +g976
    +tp25311
    +a(g826
    +g978
    +tp25312
    +a(g423
    +Vp10
    +p25313
    +tp25314
    +a(g693
    +g976
    +tp25315
    +a(g826
    +g978
    +tp25316
    +a(g423
    +Vp11
    +p25317
    +tp25318
    +a(g693
    +g976
    +tp25319
    +a(g826
    +g978
    +tp25320
    +a(g423
    +Vp12
    +p25321
    +tp25322
    +a(g693
    +g976
    +tp25323
    +a(g826
    +g978
    +tp25324
    +a(g423
    +Vp13
    +p25325
    +tp25326
    +a(g693
    +g976
    +tp25327
    +a(g826
    +g978
    +tp25328
    +a(g423
    +Vp14
    +p25329
    +tp25330
    +a(g693
    +g976
    +tp25331
    +a(g826
    +g978
    +tp25332
    +a(g423
    +Vp15
    +p25333
    +tp25334
    +a(g693
    +g976
    +tp25335
    +a(g826
    +g978
    +tp25336
    +a(g423
    +Vp16
    +p25337
    +tp25338
    +a(g693
    +g976
    +tp25339
    +a(g826
    +g978
    +tp25340
    +a(g423
    +Vp17
    +p25341
    +tp25342
    +a(g693
    +g976
    +tp25343
    +a(g826
    +g978
    +tp25344
    +a(g423
    +Vp18
    +p25345
    +tp25346
    +a(g693
    +g976
    +tp25347
    +a(g826
    +g978
    +tp25348
    +a(g423
    +Vp19
    +p25349
    +tp25350
    +a(g693
    +g976
    +tp25351
    +a(g826
    +g978
    +tp25352
    +a(g423
    +Vp20
    +p25353
    +tp25354
    +a(g693
    +g976
    +tp25355
    +a(g826
    +g978
    +tp25356
    +a(g423
    +Vp21
    +p25357
    +tp25358
    +a(g693
    +g976
    +tp25359
    +a(g826
    +g978
    +tp25360
    +a(g423
    +Vp23
    +p25361
    +tp25362
    +a(g693
    +g976
    +tp25363
    +a(g826
    +g978
    +tp25364
    +a(g423
    +Vp24
    +p25365
    +tp25366
    +a(g693
    +g976
    +tp25367
    +a(g826
    +g978
    +tp25368
    +a(g423
    +Vp26
    +p25369
    +tp25370
    +a(g693
    +g976
    +tp25371
    +a(g826
    +g978
    +tp25372
    +a(g423
    +Vi41
    +p25373
    +tp25374
    +a(g693
    +g1098
    +tp25375
    +a(g826
    +V\u000a
    +p25376
    +tp25377
    +a(g423
    +Vp56
    +p25378
    +tp25379
    +a(g826
    +g978
    +tp25380
    +a(g693
    +g1119
    +tp25381
    +a(g826
    +g978
    +tp25382
    +a(g669
    +Vgetfield_gc
    +p25383
    +tp25384
    +a(g693
    +g1104
    +tp25385
    +a(g423
    +VConstPtr
    +p25386
    +tp25387
    +a(g693
    +g1104
    +tp25388
    +a(g669
    +Vptr55
    +p25389
    +tp25390
    +a(g693
    +g1112
    +tp25391
    +a(g693
    +g976
    +tp25392
    +a(g826
    +g978
    +tp25393
    +a(g423
    +Vdescr
    +p25394
    +tp25395
    +a(g693
    +g1119
    +tp25396
    +a(g669
    +V
    +p25397
    +tp25398
    +a(g693
    +g1112
    +tp25399
    +a(g826
    +V\u000a
    +p25400
    +tp25401
    +a(g669
    +Vguard_value
    +p25402
    +tp25403
    +a(g693
    +g1104
    +tp25404
    +a(g423
    +Vp56
    +p25405
    +tp25406
    +a(g693
    +g976
    +tp25407
    +a(g826
    +g978
    +tp25408
    +a(g423
    +VConstPtr
    +p25409
    +tp25410
    +a(g693
    +g1104
    +tp25411
    +a(g669
    +Vptr57
    +p25412
    +tp25413
    +a(g693
    +g1112
    +tp25414
    +a(g693
    +g976
    +tp25415
    +a(g826
    +g978
    +tp25416
    +a(g423
    +Vdescr
    +p25417
    +tp25418
    +a(g693
    +g1119
    +tp25419
    +a(g669
    +V
    +p25420
    +tp25421
    +a(g693
    +g1112
    +tp25422
    +a(g826
    +g978
    +tp25423
    +a(g693
    +g972
    +tp25424
    +a(g423
    +Vp0
    +p25425
    +tp25426
    +a(g693
    +g976
    +tp25427
    +a(g826
    +g978
    +tp25428
    +a(g423
    +Vp1
    +p25429
    +tp25430
    +a(g693
    +g976
    +tp25431
    +a(g826
    +g978
    +tp25432
    +a(g423
    +Vp56
    +p25433
    +tp25434
    +a(g693
    +g976
    +tp25435
    +a(g826
    +g978
    +tp25436
    +a(g423
    +Vp5
    +p25437
    +tp25438
    +a(g693
    +g976
    +tp25439
    +a(g826
    +g978
    +tp25440
    +a(g423
    +Vp7
    +p25441
    +tp25442
    +a(g693
    +g976
    +tp25443
    +a(g826
    +g978
    +tp25444
    +a(g423
    +Vp8
    +p25445
    +tp25446
    +a(g693
    +g976
    +tp25447
    +a(g826
    +g978
    +tp25448
    +a(g423
    +Vp9
    +p25449
    +tp25450
    +a(g693
    +g976
    +tp25451
    +a(g826
    +g978
    +tp25452
    +a(g423
    +Vp10
    +p25453
    +tp25454
    +a(g693
    +g976
    +tp25455
    +a(g826
    +g978
    +tp25456
    +a(g423
    +Vp11
    +p25457
    +tp25458
    +a(g693
    +g976
    +tp25459
    +a(g826
    +g978
    +tp25460
    +a(g423
    +Vp12
    +p25461
    +tp25462
    +a(g693
    +g976
    +tp25463
    +a(g826
    +g978
    +tp25464
    +a(g423
    +Vp13
    +p25465
    +tp25466
    +a(g693
    +g976
    +tp25467
    +a(g826
    +g978
    +tp25468
    +a(g423
    +Vp14
    +p25469
    +tp25470
    +a(g693
    +g976
    +tp25471
    +a(g826
    +g978
    +tp25472
    +a(g423
    +Vp15
    +p25473
    +tp25474
    +a(g693
    +g976
    +tp25475
    +a(g826
    +g978
    +tp25476
    +a(g423
    +Vp16
    +p25477
    +tp25478
    +a(g693
    +g976
    +tp25479
    +a(g826
    +g978
    +tp25480
    +a(g423
    +Vp17
    +p25481
    +tp25482
    +a(g693
    +g976
    +tp25483
    +a(g826
    +g978
    +tp25484
    +a(g423
    +Vp18
    +p25485
    +tp25486
    +a(g693
    +g976
    +tp25487
    +a(g826
    +g978
    +tp25488
    +a(g423
    +Vp19
    +p25489
    +tp25490
    +a(g693
    +g976
    +tp25491
    +a(g826
    +g978
    +tp25492
    +a(g423
    +Vp20
    +p25493
    +tp25494
    +a(g693
    +g976
    +tp25495
    +a(g826
    +g978
    +tp25496
    +a(g423
    +Vp21
    +p25497
    +tp25498
    +a(g693
    +g976
    +tp25499
    +a(g826
    +g978
    +tp25500
    +a(g423
    +Vp23
    +p25501
    +tp25502
    +a(g693
    +g976
    +tp25503
    +a(g826
    +g978
    +tp25504
    +a(g423
    +Vp24
    +p25505
    +tp25506
    +a(g693
    +g976
    +tp25507
    +a(g826
    +g978
    +tp25508
    +a(g423
    +Vp26
    +p25509
    +tp25510
    +a(g693
    +g976
    +tp25511
    +a(g826
    +g978
    +tp25512
    +a(g423
    +Vi41
    +p25513
    +tp25514
    +a(g693
    +g1098
    +tp25515
    +a(g826
    +V\u000a
    +p25516
    +tp25517
    +a(g616
    +Vdebug_merge_point
    +p25518
    +tp25519
    +a(g693
    +g1104
    +tp25520
    +a(g76
    +V' #111 LOAD_CONST'
    +p25521
    +tp25522
    +a(g693
    +g976
    +tp25523
    +a(g826
    +g978
    +tp25524
    +a(g22
    +g1110
    +tp25525
    +a(g693
    +g1112
    +tp25526
    +a(g826
    +V\u000a
    +p25527
    +tp25528
    +a(g616
    +Vdebug_merge_point
    +p25529
    +tp25530
    +a(g693
    +g1104
    +tp25531
    +a(g76
    +V' #114 LOAD_FAST'
    +p25532
    +tp25533
    +a(g693
    +g976
    +tp25534
    +a(g826
    +g978
    +tp25535
    +a(g22
    +g1110
    +tp25536
    +a(g693
    +g1112
    +tp25537
    +a(g826
    +V\u000a
    +p25538
    +tp25539
    +a(g669
    +Vguard_nonnull_class
    +p25540
    +tp25541
    +a(g693
    +g1104
    +tp25542
    +a(g423
    +Vp20
    +p25543
    +tp25544
    +a(g693
    +g976
    +tp25545
    +a(g826
    +g978
    +tp25546
    +a(g423
    +VConstClass
    +p25547
    +tp25548
    +a(g693
    +g1104
    +tp25549
    +a(g669
    +VW_IntObject
    +p25550
    +tp25551
    +a(g693
    +g1112
    +tp25552
    +a(g693
    +g976
    +tp25553
    +a(g826
    +g978
    +tp25554
    +a(g423
    +Vdescr
    +p25555
    +tp25556
    +a(g693
    +g1119
    +tp25557
    +a(g669
    +V
    +p25558
    +tp25559
    +a(g693
    +g1112
    +tp25560
    +a(g826
    +g978
    +tp25561
    +a(g693
    +g972
    +tp25562
    +a(g423
    +Vp0
    +p25563
    +tp25564
    +a(g693
    +g976
    +tp25565
    +a(g826
    +g978
    +tp25566
    +a(g423
    +Vp1
    +p25567
    +tp25568
    +a(g693
    +g976
    +tp25569
    +a(g826
    +g978
    +tp25570
    +a(g423
    +Vp20
    +p25571
    +tp25572
    +a(g693
    +g976
    +tp25573
    +a(g826
    +g978
    +tp25574
    +a(g423
    +Vp5
    +p25575
    +tp25576
    +a(g693
    +g976
    +tp25577
    +a(g826
    +g978
    +tp25578
    +a(g423
    +Vp7
    +p25579
    +tp25580
    +a(g693
    +g976
    +tp25581
    +a(g826
    +g978
    +tp25582
    +a(g423
    +Vp56
    +p25583
    +tp25584
    +a(g693
    +g976
    +tp25585
    +a(g826
    +g978
    +tp25586
    +a(g423
    +Vp9
    +p25587
    +tp25588
    +a(g693
    +g976
    +tp25589
    +a(g826
    +g978
    +tp25590
    +a(g423
    +Vp10
    +p25591
    +tp25592
    +a(g693
    +g976
    +tp25593
    +a(g826
    +g978
    +tp25594
    +a(g423
    +Vp11
    +p25595
    +tp25596
    +a(g693
    +g976
    +tp25597
    +a(g826
    +g978
    +tp25598
    +a(g423
    +Vp12
    +p25599
    +tp25600
    +a(g693
    +g976
    +tp25601
    +a(g826
    +g978
    +tp25602
    +a(g423
    +Vp13
    +p25603
    +tp25604
    +a(g693
    +g976
    +tp25605
    +a(g826
    +g978
    +tp25606
    +a(g423
    +Vp14
    +p25607
    +tp25608
    +a(g693
    +g976
    +tp25609
    +a(g826
    +g978
    +tp25610
    +a(g423
    +Vp15
    +p25611
    +tp25612
    +a(g693
    +g976
    +tp25613
    +a(g826
    +g978
    +tp25614
    +a(g423
    +Vp16
    +p25615
    +tp25616
    +a(g693
    +g976
    +tp25617
    +a(g826
    +g978
    +tp25618
    +a(g423
    +Vp17
    +p25619
    +tp25620
    +a(g693
    +g976
    +tp25621
    +a(g826
    +g978
    +tp25622
    +a(g423
    +Vp18
    +p25623
    +tp25624
    +a(g693
    +g976
    +tp25625
    +a(g826
    +g978
    +tp25626
    +a(g423
    +Vp19
    +p25627
    +tp25628
    +a(g693
    +g976
    +tp25629
    +a(g826
    +g978
    +tp25630
    +a(g423
    +Vp21
    +p25631
    +tp25632
    +a(g693
    +g976
    +tp25633
    +a(g826
    +g978
    +tp25634
    +a(g423
    +Vp23
    +p25635
    +tp25636
    +a(g693
    +g976
    +tp25637
    +a(g826
    +g978
    +tp25638
    +a(g423
    +Vp24
    +p25639
    +tp25640
    +a(g693
    +g976
    +tp25641
    +a(g826
    +g978
    +tp25642
    +a(g423
    +Vp26
    +p25643
    +tp25644
    +a(g693
    +g976
    +tp25645
    +a(g826
    +g978
    +tp25646
    +a(g423
    +Vi41
    +p25647
    +tp25648
    +a(g693
    +g1098
    +tp25649
    +a(g826
    +V\u000a
    +p25650
    +tp25651
    +a(g616
    +Vdebug_merge_point
    +p25652
    +tp25653
    +a(g693
    +g1104
    +tp25654
    +a(g76
    +V' #117 LOAD_CONST'
    +p25655
    +tp25656
    +a(g693
    +g976
    +tp25657
    +a(g826
    +g978
    +tp25658
    +a(g22
    +g1110
    +tp25659
    +a(g693
    +g1112
    +tp25660
    +a(g826
    +V\u000a
    +p25661
    +tp25662
    +a(g616
    +Vdebug_merge_point
    +p25663
    +tp25664
    +a(g693
    +g1104
    +tp25665
    +a(g76
    +V' #120 BINARY_SUBTRACT'
    +p25666
    +tp25667
    +a(g693
    +g976
    +tp25668
    +a(g826
    +g978
    +tp25669
    +a(g22
    +g1110
    +tp25670
    +a(g693
    +g1112
    +tp25671
    +a(g826
    +V\u000a
    +p25672
    +tp25673
    +a(g423
    +Vi59
    +p25674
    +tp25675
    +a(g826
    +g978
    +tp25676
    +a(g693
    +g1119
    +tp25677
    +a(g826
    +g978
    +tp25678
    +a(g669
    +Vgetfield_gc_pure
    +p25679
    +tp25680
    +a(g693
    +g1104
    +tp25681
    +a(g423
    +Vp20
    +p25682
    +tp25683
    +a(g693
    +g976
    +tp25684
    +a(g826
    +g978
    +tp25685
    +a(g423
    +Vdescr
    +p25686
    +tp25687
    +a(g693
    +g1119
    +tp25688
    +a(g669
    +V
    +p25689
    +tp25690
    +a(g693
    +g1112
    +tp25691
    +a(g826
    +V\u000a
    +p25692
    +tp25693
    +a(g423
    +Vi61
    +p25694
    +tp25695
    +a(g826
    +g978
    +tp25696
    +a(g693
    +g1119
    +tp25697
    +a(g826
    +g978
    +tp25698
    +a(g669
    +Vint_sub_ovf
    +p25699
    +tp25700
    +a(g693
    +g1104
    +tp25701
    +a(g423
    +Vi59
    +p25702
    +tp25703
    +a(g693
    +g976
    +tp25704
    +a(g826
    +g978
    +tp25705
    +a(g22
    +g1250
    +tp25706
    +a(g693
    +g1112
    +tp25707
    +a(g826
    +V\u000a
    +p25708
    +tp25709
    +a(g669
    +Vguard_no_overflow
    +p25710
    +tp25711
    +a(g693
    +g1104
    +tp25712
    +a(g693
    +g976
    +tp25713
    +a(g826
    +g978
    +tp25714
    +a(g423
    +Vdescr
    +p25715
    +tp25716
    +a(g693
    +g1119
    +tp25717
    +a(g669
    +V
    +p25718
    +tp25719
    +a(g693
    +g1112
    +tp25720
    +a(g826
    +g978
    +tp25721
    +a(g693
    +g972
    +tp25722
    +a(g423
    +Vp0
    +p25723
    +tp25724
    +a(g693
    +g976
    +tp25725
    +a(g826
    +g978
    +tp25726
    +a(g423
    +Vp1
    +p25727
    +tp25728
    +a(g693
    +g976
    +tp25729
    +a(g826
    +g978
    +tp25730
    +a(g423
    +Vp20
    +p25731
    +tp25732
    +a(g693
    +g976
    +tp25733
    +a(g826
    +g978
    +tp25734
    +a(g423
    +Vi61
    +p25735
    +tp25736
    +a(g693
    +g976
    +tp25737
    +a(g826
    +g978
    +tp25738
    +a(g423
    +Vp5
    +p25739
    +tp25740
    +a(g693
    +g976
    +tp25741
    +a(g826
    +g978
    +tp25742
    +a(g423
    +Vp7
    +p25743
    +tp25744
    +a(g693
    +g976
    +tp25745
    +a(g826
    +g978
    +tp25746
    +a(g423
    +Vp56
    +p25747
    +tp25748
    +a(g693
    +g976
    +tp25749
    +a(g826
    +g978
    +tp25750
    +a(g423
    +Vp11
    +p25751
    +tp25752
    +a(g693
    +g976
    +tp25753
    +a(g826
    +g978
    +tp25754
    +a(g423
    +Vp12
    +p25755
    +tp25756
    +a(g693
    +g976
    +tp25757
    +a(g826
    +g978
    +tp25758
    +a(g423
    +Vp13
    +p25759
    +tp25760
    +a(g693
    +g976
    +tp25761
    +a(g826
    +g978
    +tp25762
    +a(g423
    +Vp14
    +p25763
    +tp25764
    +a(g693
    +g976
    +tp25765
    +a(g826
    +g978
    +tp25766
    +a(g423
    +Vp15
    +p25767
    +tp25768
    +a(g693
    +g976
    +tp25769
    +a(g826
    +g978
    +tp25770
    +a(g423
    +Vp16
    +p25771
    +tp25772
    +a(g693
    +g976
    +tp25773
    +a(g826
    +g978
    +tp25774
    +a(g423
    +Vp17
    +p25775
    +tp25776
    +a(g693
    +g976
    +tp25777
    +a(g826
    +g978
    +tp25778
    +a(g423
    +Vp18
    +p25779
    +tp25780
    +a(g693
    +g976
    +tp25781
    +a(g826
    +g978
    +tp25782
    +a(g423
    +Vp19
    +p25783
    +tp25784
    +a(g693
    +g976
    +tp25785
    +a(g826
    +g978
    +tp25786
    +a(g423
    +Vp21
    +p25787
    +tp25788
    +a(g693
    +g976
    +tp25789
    +a(g826
    +g978
    +tp25790
    +a(g423
    +Vp23
    +p25791
    +tp25792
    +a(g693
    +g976
    +tp25793
    +a(g826
    +g978
    +tp25794
    +a(g423
    +Vp24
    +p25795
    +tp25796
    +a(g693
    +g976
    +tp25797
    +a(g826
    +g978
    +tp25798
    +a(g423
    +Vp26
    +p25799
    +tp25800
    +a(g693
    +g976
    +tp25801
    +a(g826
    +g978
    +tp25802
    +a(g423
    +Vi41
    +p25803
    +tp25804
    +a(g693
    +g1098
    +tp25805
    +a(g826
    +V\u000a
    +p25806
    +tp25807
    +a(g616
    +Vdebug_merge_point
    +p25808
    +tp25809
    +a(g693
    +g1104
    +tp25810
    +a(g76
    +V' #121 CALL_FUNCTION'
    +p25811
    +tp25812
    +a(g693
    +g976
    +tp25813
    +a(g826
    +g978
    +tp25814
    +a(g22
    +g1110
    +tp25815
    +a(g693
    +g1112
    +tp25816
    +a(g826
    +V\u000a
    +p25817
    +tp25818
    +a(g423
    +Vp63
    +p25819
    +tp25820
    +a(g826
    +g978
    +tp25821
    +a(g693
    +g1119
    +tp25822
    +a(g826
    +g978
    +tp25823
    +a(g669
    +Vgetfield_gc
    +p25824
    +tp25825
    +a(g693
    +g1104
    +tp25826
    +a(g423
    +VConstPtr
    +p25827
    +tp25828
    +a(g693
    +g1104
    +tp25829
    +a(g669
    +Vptr62
    +p25830
    +tp25831
    +a(g693
    +g1112
    +tp25832
    +a(g693
    +g976
    +tp25833
    +a(g826
    +g978
    +tp25834
    +a(g423
    +Vdescr
    +p25835
    +tp25836
    +a(g693
    +g1119
    +tp25837
    +a(g669
    +V
    +p25838
    +tp25839
    +a(g693
    +g1112
    +tp25840
    +a(g826
    +V\u000a
    +p25841
    +tp25842
    +a(g423
    +Vp64
    +p25843
    +tp25844
    +a(g826
    +g978
    +tp25845
    +a(g693
    +g1119
    +tp25846
    +a(g826
    +g978
    +tp25847
    +a(g669
    +Vgetfield_gc
    +p25848
    +tp25849
    +a(g693
    +g1104
    +tp25850
    +a(g423
    +VConstPtr
    +p25851
    +tp25852
    +a(g693
    +g1104
    +tp25853
    +a(g669
    +Vptr62
    +p25854
    +tp25855
    +a(g693
    +g1112
    +tp25856
    +a(g693
    +g976
    +tp25857
    +a(g826
    +g978
    +tp25858
    +a(g423
    +Vdescr
    +p25859
    +tp25860
    +a(g693
    +g1119
    +tp25861
    +a(g669
    +V
    +p25862
    +tp25863
    +a(g693
    +g1112
    +tp25864
    +a(g826
    +V\u000a
    +p25865
    +tp25866
    +a(g423
    +Vi65
    +p25867
    +tp25868
    +a(g826
    +g978
    +tp25869
    +a(g693
    +g1119
    +tp25870
    +a(g826
    +g978
    +tp25871
    +a(g669
    +Vgetfield_gc_pure
    +p25872
    +tp25873
    +a(g693
    +g1104
    +tp25874
    +a(g423
    +Vp64
    +p25875
    +tp25876
    +a(g693
    +g976
    +tp25877
    +a(g826
    +g978
    +tp25878
    +a(g423
    +Vdescr
    +p25879
    +tp25880
    +a(g693
    +g1119
    +tp25881
    +a(g669
    +V
    +p25882
    +tp25883
    +a(g693
    +g1112
    +tp25884
    +a(g826
    +V\u000a
    +p25885
    +tp25886
    +a(g669
    +Vguard_false
    +p25887
    +tp25888
    +a(g693
    +g1104
    +tp25889
    +a(g423
    +Vi65
    +p25890
    +tp25891
    +a(g693
    +g976
    +tp25892
    +a(g826
    +g978
    +tp25893
    +a(g423
    +Vdescr
    +p25894
    +tp25895
    +a(g693
    +g1119
    +tp25896
    +a(g669
    +V
    +p25897
    +tp25898
    +a(g693
    +g1112
    +tp25899
    +a(g826
    +g978
    +tp25900
    +a(g693
    +g972
    +tp25901
    +a(g423
    +Vp0
    +p25902
    +tp25903
    +a(g693
    +g976
    +tp25904
    +a(g826
    +g978
    +tp25905
    +a(g423
    +Vp1
    +p25906
    +tp25907
    +a(g693
    +g976
    +tp25908
    +a(g826
    +g978
    +tp25909
    +a(g423
    +Vp63
    +p25910
    +tp25911
    +a(g693
    +g976
    +tp25912
    +a(g826
    +g978
    +tp25913
    +a(g423
    +Vp64
    +p25914
    +tp25915
    +a(g693
    +g976
    +tp25916
    +a(g826
    +g978
    +tp25917
    +a(g423
    +Vp5
    +p25918
    +tp25919
    +a(g693
    +g976
    +tp25920
    +a(g826
    +g978
    +tp25921
    +a(g423
    +Vp7
    +p25922
    +tp25923
    +a(g693
    +g976
    +tp25924
    +a(g826
    +g978
    +tp25925
    +a(g423
    +Vp11
    +p25926
    +tp25927
    +a(g693
    +g976
    +tp25928
    +a(g826
    +g978
    +tp25929
    +a(g423
    +Vp12
    +p25930
    +tp25931
    +a(g693
    +g976
    +tp25932
    +a(g826
    +g978
    +tp25933
    +a(g423
    +Vp13
    +p25934
    +tp25935
    +a(g693
    +g976
    +tp25936
    +a(g826
    +g978
    +tp25937
    +a(g423
    +Vp14
    +p25938
    +tp25939
    +a(g693
    +g976
    +tp25940
    +a(g826
    +g978
    +tp25941
    +a(g423
    +Vp15
    +p25942
    +tp25943
    +a(g693
    +g976
    +tp25944
    +a(g826
    +g978
    +tp25945
    +a(g423
    +Vp16
    +p25946
    +tp25947
    +a(g693
    +g976
    +tp25948
    +a(g826
    +g978
    +tp25949
    +a(g423
    +Vp17
    +p25950
    +tp25951
    +a(g693
    +g976
    +tp25952
    +a(g826
    +g978
    +tp25953
    +a(g423
    +Vp18
    +p25954
    +tp25955
    +a(g693
    +g976
    +tp25956
    +a(g826
    +g978
    +tp25957
    +a(g423
    +Vp19
    +p25958
    +tp25959
    +a(g693
    +g976
    +tp25960
    +a(g826
    +g978
    +tp25961
    +a(g423
    +Vp20
    +p25962
    +tp25963
    +a(g693
    +g976
    +tp25964
    +a(g826
    +g978
    +tp25965
    +a(g423
    +Vp21
    +p25966
    +tp25967
    +a(g693
    +g976
    +tp25968
    +a(g826
    +g978
    +tp25969
    +a(g423
    +Vp23
    +p25970
    +tp25971
    +a(g693
    +g976
    +tp25972
    +a(g826
    +g978
    +tp25973
    +a(g423
    +Vp24
    +p25974
    +tp25975
    +a(g693
    +g976
    +tp25976
    +a(g826
    +g978
    +tp25977
    +a(g423
    +Vi61
    +p25978
    +tp25979
    +a(g693
    +g976
    +tp25980
    +a(g826
    +g978
    +tp25981
    +a(g423
    +Vp26
    +p25982
    +tp25983
    +a(g693
    +g976
    +tp25984
    +a(g826
    +g978
    +tp25985
    +a(g423
    +Vi41
    +p25986
    +tp25987
    +a(g693
    +g1098
    +tp25988
    +a(g826
    +V\u000a
    +p25989
    +tp25990
    +a(g423
    +Vp66
    +p25991
    +tp25992
    +a(g826
    +g978
    +tp25993
    +a(g693
    +g1119
    +tp25994
    +a(g826
    +g978
    +tp25995
    +a(g669
    +Vgetfield_gc_pure
    +p25996
    +tp25997
    +a(g693
    +g1104
    +tp25998
    +a(g423
    +Vp64
    +p25999
    +tp26000
    +a(g693
    +g976
    +tp26001
    +a(g826
    +g978
    +tp26002
    +a(g423
    +Vdescr
    +p26003
    +tp26004
    +a(g693
    +g1119
    +tp26005
    +a(g669
    +V
    +p26006
    +tp26007
    +a(g693
    +g1112
    +tp26008
    +a(g826
    +V\u000a
    +p26009
    +tp26010
    +a(g423
    +Vi67
    +p26011
    +tp26012
    +a(g826
    +g978
    +tp26013
    +a(g693
    +g1119
    +tp26014
    +a(g826
    +g978
    +tp26015
    +a(g669
    +Varraylen_gc
    +p26016
    +tp26017
    +a(g693
    +g1104
    +tp26018
    +a(g423
    +Vp66
    +p26019
    +tp26020
    +a(g693
    +g976
    +tp26021
    +a(g826
    +g978
    +tp26022
    +a(g423
    +Vdescr
    +p26023
    +tp26024
    +a(g693
    +g1119
    +tp26025
    +a(g669
    +V
    +p26026
    +tp26027
    +a(g693
    +g1112
    +tp26028
    +a(g826
    +V\u000a
    +p26029
    +tp26030
    +a(g423
    +Vi69
    +p26031
    +tp26032
    +a(g826
    +g978
    +tp26033
    +a(g693
    +g1119
    +tp26034
    +a(g826
    +g978
    +tp26035
    +a(g669
    +Vint_sub
    +p26036
    +tp26037
    +a(g693
    +g1104
    +tp26038
    +a(g22
    +g14753
    +tp26039
    +a(g693
    +g976
    +tp26040
    +a(g826
    +g978
    +tp26041
    +a(g423
    +Vi67
    +p26042
    +tp26043
    +a(g693
    +g1112
    +tp26044
    +a(g826
    +V\u000a
    +p26045
    +tp26046
    +a(g423
    +Vi71
    +p26047
    +tp26048
    +a(g826
    +g978
    +tp26049
    +a(g693
    +g1119
    +tp26050
    +a(g826
    +g978
    +tp26051
    +a(g669
    +Vint_ge
    +p26052
    +tp26053
    +a(g693
    +g1104
    +tp26054
    +a(g22
    +g14770
    +tp26055
    +a(g693
    +g976
    +tp26056
    +a(g826
    +g978
    +tp26057
    +a(g423
    +Vi69
    +p26058
    +tp26059
    +a(g693
    +g1112
    +tp26060
    +a(g826
    +V\u000a
    +p26061
    +tp26062
    +a(g669
    +Vguard_true
    +p26063
    +tp26064
    +a(g693
    +g1104
    +tp26065
    +a(g423
    +Vi71
    +p26066
    +tp26067
    +a(g693
    +g976
    +tp26068
    +a(g826
    +g978
    +tp26069
    +a(g423
    +Vdescr
    +p26070
    +tp26071
    +a(g693
    +g1119
    +tp26072
    +a(g669
    +V
    +p26073
    +tp26074
    +a(g693
    +g1112
    +tp26075
    +a(g826
    +g978
    +tp26076
    +a(g693
    +g972
    +tp26077
    +a(g423
    +Vp0
    +p26078
    +tp26079
    +a(g693
    +g976
    +tp26080
    +a(g826
    +g978
    +tp26081
    +a(g423
    +Vp1
    +p26082
    +tp26083
    +a(g693
    +g976
    +tp26084
    +a(g826
    +g978
    +tp26085
    +a(g423
    +Vp63
    +p26086
    +tp26087
    +a(g693
    +g976
    +tp26088
    +a(g826
    +g978
    +tp26089
    +a(g423
    +Vi69
    +p26090
    +tp26091
    +a(g693
    +g976
    +tp26092
    +a(g826
    +g978
    +tp26093
    +a(g423
    +Vp64
    +p26094
    +tp26095
    +a(g693
    +g976
    +tp26096
    +a(g826
    +g978
    +tp26097
    +a(g423
    +Vp5
    +p26098
    +tp26099
    +a(g693
    +g976
    +tp26100
    +a(g826
    +g978
    +tp26101
    +a(g423
    +Vp7
    +p26102
    +tp26103
    +a(g693
    +g976
    +tp26104
    +a(g826
    +g978
    +tp26105
    +a(g423
    +Vp11
    +p26106
    +tp26107
    +a(g693
    +g976
    +tp26108
    +a(g826
    +g978
    +tp26109
    +a(g423
    +Vp12
    +p26110
    +tp26111
    +a(g693
    +g976
    +tp26112
    +a(g826
    +g978
    +tp26113
    +a(g423
    +Vp13
    +p26114
    +tp26115
    +a(g693
    +g976
    +tp26116
    +a(g826
    +g978
    +tp26117
    +a(g423
    +Vp14
    +p26118
    +tp26119
    +a(g693
    +g976
    +tp26120
    +a(g826
    +g978
    +tp26121
    +a(g423
    +Vp15
    +p26122
    +tp26123
    +a(g693
    +g976
    +tp26124
    +a(g826
    +g978
    +tp26125
    +a(g423
    +Vp16
    +p26126
    +tp26127
    +a(g693
    +g976
    +tp26128
    +a(g826
    +g978
    +tp26129
    +a(g423
    +Vp17
    +p26130
    +tp26131
    +a(g693
    +g976
    +tp26132
    +a(g826
    +g978
    +tp26133
    +a(g423
    +Vp18
    +p26134
    +tp26135
    +a(g693
    +g976
    +tp26136
    +a(g826
    +g978
    +tp26137
    +a(g423
    +Vp19
    +p26138
    +tp26139
    +a(g693
    +g976
    +tp26140
    +a(g826
    +g978
    +tp26141
    +a(g423
    +Vp20
    +p26142
    +tp26143
    +a(g693
    +g976
    +tp26144
    +a(g826
    +g978
    +tp26145
    +a(g423
    +Vp21
    +p26146
    +tp26147
    +a(g693
    +g976
    +tp26148
    +a(g826
    +g978
    +tp26149
    +a(g423
    +Vp23
    +p26150
    +tp26151
    +a(g693
    +g976
    +tp26152
    +a(g826
    +g978
    +tp26153
    +a(g423
    +Vp24
    +p26154
    +tp26155
    +a(g693
    +g976
    +tp26156
    +a(g826
    +g978
    +tp26157
    +a(g423
    +Vi61
    +p26158
    +tp26159
    +a(g693
    +g976
    +tp26160
    +a(g826
    +g978
    +tp26161
    +a(g423
    +Vp26
    +p26162
    +tp26163
    +a(g693
    +g976
    +tp26164
    +a(g826
    +g978
    +tp26165
    +a(g423
    +Vi41
    +p26166
    +tp26167
    +a(g693
    +g1098
    +tp26168
    +a(g826
    +V\u000a
    +p26169
    +tp26170
    +a(g423
    +Vi72
    +p26171
    +tp26172
    +a(g826
    +g978
    +tp26173
    +a(g693
    +g1119
    +tp26174
    +a(g826
    +g978
    +tp26175
    +a(g669
    +Vint_sub
    +p26176
    +tp26177
    +a(g693
    +g1104
    +tp26178
    +a(g22
    +g14770
    +tp26179
    +a(g693
    +g976
    +tp26180
    +a(g826
    +g978
    +tp26181
    +a(g423
    +Vi69
    +p26182
    +tp26183
    +a(g693
    +g1112
    +tp26184
    +a(g826
    +V\u000a
    +p26185
    +tp26186
    +a(g423
    +Vi73
    +p26187
    +tp26188
    +a(g826
    +g978
    +tp26189
    +a(g693
    +g1119
    +tp26190
    +a(g826
    +g978
    +tp26191
    +a(g669
    +Vgetfield_gc_pure
    +p26192
    +tp26193
    +a(g693
    +g1104
    +tp26194
    +a(g423
    +Vp64
    +p26195
    +tp26196
    +a(g693
    +g976
    +tp26197
    +a(g826
    +g978
    +tp26198
    +a(g423
    +Vdescr
    +p26199
    +tp26200
    +a(g693
    +g1119
    +tp26201
    +a(g669
    +V
    +p26202
    +tp26203
    +a(g693
    +g1112
    +tp26204
    +a(g826
    +V\u000a
    +p26205
    +tp26206
    +a(g669
    +Vguard_false
    +p26207
    +tp26208
    +a(g693
    +g1104
    +tp26209
    +a(g423
    +Vi73
    +p26210
    +tp26211
    +a(g693
    +g976
    +tp26212
    +a(g826
    +g978
    +tp26213
    +a(g423
    +Vdescr
    +p26214
    +tp26215
    +a(g693
    +g1119
    +tp26216
    +a(g669
    +V
    +p26217
    +tp26218
    +a(g693
    +g1112
    +tp26219
    +a(g826
    +g978
    +tp26220
    +a(g693
    +g972
    +tp26221
    +a(g423
    +Vp0
    +p26222
    +tp26223
    +a(g693
    +g976
    +tp26224
    +a(g826
    +g978
    +tp26225
    +a(g423
    +Vp1
    +p26226
    +tp26227
    +a(g693
    +g976
    +tp26228
    +a(g826
    +g978
    +tp26229
    +a(g423
    +Vp63
    +p26230
    +tp26231
    +a(g693
    +g976
    +tp26232
    +a(g826
    +g978
    +tp26233
    +a(g423
    +Vi72
    +p26234
    +tp26235
    +a(g693
    +g976
    +tp26236
    +a(g826
    +g978
    +tp26237
    +a(g423
    +Vi69
    +p26238
    +tp26239
    +a(g693
    +g976
    +tp26240
    +a(g826
    +g978
    +tp26241
    +a(g423
    +Vp64
    +p26242
    +tp26243
    +a(g693
    +g976
    +tp26244
    +a(g826
    +g978
    +tp26245
    +a(g423
    +Vp5
    +p26246
    +tp26247
    +a(g693
    +g976
    +tp26248
    +a(g826
    +g978
    +tp26249
    +a(g423
    +Vp7
    +p26250
    +tp26251
    +a(g693
    +g976
    +tp26252
    +a(g826
    +g978
    +tp26253
    +a(g423
    +Vp11
    +p26254
    +tp26255
    +a(g693
    +g976
    +tp26256
    +a(g826
    +g978
    +tp26257
    +a(g423
    +Vp12
    +p26258
    +tp26259
    +a(g693
    +g976
    +tp26260
    +a(g826
    +g978
    +tp26261
    +a(g423
    +Vp13
    +p26262
    +tp26263
    +a(g693
    +g976
    +tp26264
    +a(g826
    +g978
    +tp26265
    +a(g423
    +Vp14
    +p26266
    +tp26267
    +a(g693
    +g976
    +tp26268
    +a(g826
    +g978
    +tp26269
    +a(g423
    +Vp15
    +p26270
    +tp26271
    +a(g693
    +g976
    +tp26272
    +a(g826
    +g978
    +tp26273
    +a(g423
    +Vp16
    +p26274
    +tp26275
    +a(g693
    +g976
    +tp26276
    +a(g826
    +g978
    +tp26277
    +a(g423
    +Vp17
    +p26278
    +tp26279
    +a(g693
    +g976
    +tp26280
    +a(g826
    +g978
    +tp26281
    +a(g423
    +Vp18
    +p26282
    +tp26283
    +a(g693
    +g976
    +tp26284
    +a(g826
    +g978
    +tp26285
    +a(g423
    +Vp19
    +p26286
    +tp26287
    +a(g693
    +g976
    +tp26288
    +a(g826
    +g978
    +tp26289
    +a(g423
    +Vp20
    +p26290
    +tp26291
    +a(g693
    +g976
    +tp26292
    +a(g826
    +g978
    +tp26293
    +a(g423
    +Vp21
    +p26294
    +tp26295
    +a(g693
    +g976
    +tp26296
    +a(g826
    +g978
    +tp26297
    +a(g423
    +Vp23
    +p26298
    +tp26299
    +a(g693
    +g976
    +tp26300
    +a(g826
    +g978
    +tp26301
    +a(g423
    +Vp24
    +p26302
    +tp26303
    +a(g693
    +g976
    +tp26304
    +a(g826
    +g978
    +tp26305
    +a(g423
    +Vi61
    +p26306
    +tp26307
    +a(g693
    +g976
    +tp26308
    +a(g826
    +g978
    +tp26309
    +a(g423
    +Vp26
    +p26310
    +tp26311
    +a(g693
    +g976
    +tp26312
    +a(g826
    +g978
    +tp26313
    +a(g423
    +Vi41
    +p26314
    +tp26315
    +a(g693
    +g1098
    +tp26316
    +a(g826
    +V\u000a
    +p26317
    +tp26318
    +a(g423
    +Vp74
    +p26319
    +tp26320
    +a(g826
    +g978
    +tp26321
    +a(g693
    +g1119
    +tp26322
    +a(g826
    +g978
    +tp26323
    +a(g669
    +Vgetfield_gc_pure
    +p26324
    +tp26325
    +a(g693
    +g1104
    +tp26326
    +a(g423
    +Vp64
    +p26327
    +tp26328
    +a(g693
    +g976
    +tp26329
    +a(g826
    +g978
    +tp26330
    +a(g423
    +Vdescr
    +p26331
    +tp26332
    +a(g693
    +g1119
    +tp26333
    +a(g669
    +V
    +p26334
    +tp26335
    +a(g693
    +g1112
    +tp26336
    +a(g826
    +V\u000a
    +p26337
    +tp26338
    +a(g423
    +Vp75
    +p26339
    +tp26340
    +a(g826
    +g978
    +tp26341
    +a(g693
    +g1119
    +tp26342
    +a(g826
    +g978
    +tp26343
    +a(g669
    +Vgetarrayitem_gc
    +p26344
    +tp26345
    +a(g693
    +g1104
    +tp26346
    +a(g423
    +Vp74
    +p26347
    +tp26348
    +a(g693
    +g976
    +tp26349
    +a(g826
    +g978
    +tp26350
    +a(g423
    +Vi72
    +p26351
    +tp26352
    +a(g693
    +g976
    +tp26353
    +a(g826
    +g978
    +tp26354
    +a(g423
    +Vdescr
    +p26355
    +tp26356
    +a(g693
    +g1119
    +tp26357
    +a(g669
    +V
    +p26358
    +tp26359
    +a(g693
    +g1112
    +tp26360
    +a(g826
    +V\u000a
    +p26361
    +tp26362
    +a(g669
    +Vguard_class
    +p26363
    +tp26364
    +a(g693
    +g1104
    +tp26365
    +a(g423
    +Vp75
    +p26366
    +tp26367
    +a(g693
    +g976
    +tp26368
    +a(g826
    +g978
    +tp26369
    +a(g423
    +VConstClass
    +p26370
    +tp26371
    +a(g693
    +g1104
    +tp26372
    +a(g669
    +VW_IntObject
    +p26373
    +tp26374
    +a(g693
    +g1112
    +tp26375
    +a(g693
    +g976
    +tp26376
    +a(g826
    +g978
    +tp26377
    +a(g423
    +Vdescr
    +p26378
    +tp26379
    +a(g693
    +g1119
    +tp26380
    +a(g669
    +V
    +p26381
    +tp26382
    +a(g693
    +g1112
    +tp26383
    +a(g826
    +g978
    +tp26384
    +a(g693
    +g972
    +tp26385
    +a(g423
    +Vp0
    +p26386
    +tp26387
    +a(g693
    +g976
    +tp26388
    +a(g826
    +g978
    +tp26389
    +a(g423
    +Vp1
    +p26390
    +tp26391
    +a(g693
    +g976
    +tp26392
    +a(g826
    +g978
    +tp26393
    +a(g423
    +Vp75
    +p26394
    +tp26395
    +a(g693
    +g976
    +tp26396
    +a(g826
    +g978
    +tp26397
    +a(g423
    +Vp5
    +p26398
    +tp26399
    +a(g693
    +g976
    +tp26400
    +a(g826
    +g978
    +tp26401
    +a(g423
    +Vp7
    +p26402
    +tp26403
    +a(g693
    +g976
    +tp26404
    +a(g826
    +g978
    +tp26405
    +a(g423
    +Vp11
    +p26406
    +tp26407
    +a(g693
    +g976
    +tp26408
    +a(g826
    +g978
    +tp26409
    +a(g423
    +Vp12
    +p26410
    +tp26411
    +a(g693
    +g976
    +tp26412
    +a(g826
    +g978
    +tp26413
    +a(g423
    +Vp13
    +p26414
    +tp26415
    +a(g693
    +g976
    +tp26416
    +a(g826
    +g978
    +tp26417
    +a(g423
    +Vp14
    +p26418
    +tp26419
    +a(g693
    +g976
    +tp26420
    +a(g826
    +g978
    +tp26421
    +a(g423
    +Vp15
    +p26422
    +tp26423
    +a(g693
    +g976
    +tp26424
    +a(g826
    +g978
    +tp26425
    +a(g423
    +Vp16
    +p26426
    +tp26427
    +a(g693
    +g976
    +tp26428
    +a(g826
    +g978
    +tp26429
    +a(g423
    +Vp17
    +p26430
    +tp26431
    +a(g693
    +g976
    +tp26432
    +a(g826
    +g978
    +tp26433
    +a(g423
    +Vp18
    +p26434
    +tp26435
    +a(g693
    +g976
    +tp26436
    +a(g826
    +g978
    +tp26437
    +a(g423
    +Vp19
    +p26438
    +tp26439
    +a(g693
    +g976
    +tp26440
    +a(g826
    +g978
    +tp26441
    +a(g423
    +Vp20
    +p26442
    +tp26443
    +a(g693
    +g976
    +tp26444
    +a(g826
    +g978
    +tp26445
    +a(g423
    +Vp21
    +p26446
    +tp26447
    +a(g693
    +g976
    +tp26448
    +a(g826
    +g978
    +tp26449
    +a(g423
    +Vp23
    +p26450
    +tp26451
    +a(g693
    +g976
    +tp26452
    +a(g826
    +g978
    +tp26453
    +a(g423
    +Vp24
    +p26454
    +tp26455
    +a(g693
    +g976
    +tp26456
    +a(g826
    +g978
    +tp26457
    +a(g423
    +Vi61
    +p26458
    +tp26459
    +a(g693
    +g976
    +tp26460
    +a(g826
    +g978
    +tp26461
    +a(g423
    +Vp26
    +p26462
    +tp26463
    +a(g693
    +g976
    +tp26464
    +a(g826
    +g978
    +tp26465
    +a(g423
    +Vi41
    +p26466
    +tp26467
    +a(g693
    +g1098
    +tp26468
    +a(g826
    +V\u000a
    +p26469
    +tp26470
    +a(g423
    +Vi77
    +p26471
    +tp26472
    +a(g826
    +g978
    +tp26473
    +a(g693
    +g1119
    +tp26474
    +a(g826
    +g978
    +tp26475
    +a(g669
    +Vgetfield_gc_pure
    +p26476
    +tp26477
    +a(g693
    +g1104
    +tp26478
    +a(g423
    +Vp75
    +p26479
    +tp26480
    +a(g693
    +g976
    +tp26481
    +a(g826
    +g978
    +tp26482
    +a(g423
    +Vdescr
    +p26483
    +tp26484
    +a(g693
    +g1119
    +tp26485
    +a(g669
    +V
    +p26486
    +tp26487
    +a(g693
    +g1112
    +tp26488
    +a(g826
    +V\u000a
    +p26489
    +tp26490
    +a(g423
    +Vi78
    +p26491
    +tp26492
    +a(g826
    +g978
    +tp26493
    +a(g693
    +g1119
    +tp26494
    +a(g826
    +g978
    +tp26495
    +a(g669
    +Vint_is_zero
    +p26496
    +tp26497
    +a(g693
    +g1104
    +tp26498
    +a(g669
    +Vi77
    +p26499
    +tp26500
    +a(g693
    +g1112
    +tp26501
    +a(g826
    +V\u000a
    +p26502
    +tp26503
    +a(g669
    +Vguard_false
    +p26504
    +tp26505
    +a(g693
    +g1104
    +tp26506
    +a(g423
    +Vi78
    +p26507
    +tp26508
    +a(g693
    +g976
    +tp26509
    +a(g826
    +g978
    +tp26510
    +a(g423
    +Vdescr
    +p26511
    +tp26512
    +a(g693
    +g1119
    +tp26513
    +a(g669
    +V
    +p26514
    +tp26515
    +a(g693
    +g1112
    +tp26516
    +a(g826
    +g978
    +tp26517
    +a(g693
    +g972
    +tp26518
    +a(g423
    +Vp0
    +p26519
    +tp26520
    +a(g693
    +g976
    +tp26521
    +a(g826
    +g978
    +tp26522
    +a(g423
    +Vp1
    +p26523
    +tp26524
    +a(g693
    +g976
    +tp26525
    +a(g826
    +g978
    +tp26526
    +a(g423
    +Vi77
    +p26527
    +tp26528
    +a(g693
    +g976
    +tp26529
    +a(g826
    +g978
    +tp26530
    +a(g423
    +Vi61
    +p26531
    +tp26532
    +a(g693
    +g976
    +tp26533
    +a(g826
    +g978
    +tp26534
    +a(g423
    +Vp5
    +p26535
    +tp26536
    +a(g693
    +g976
    +tp26537
    +a(g826
    +g978
    +tp26538
    +a(g423
    +Vp7
    +p26539
    +tp26540
    +a(g693
    +g976
    +tp26541
    +a(g826
    +g978
    +tp26542
    +a(g423
    +Vp11
    +p26543
    +tp26544
    +a(g693
    +g976
    +tp26545
    +a(g826
    +g978
    +tp26546
    +a(g423
    +Vp12
    +p26547
    +tp26548
    +a(g693
    +g976
    +tp26549
    +a(g826
    +g978
    +tp26550
    +a(g423
    +Vp13
    +p26551
    +tp26552
    +a(g693
    +g976
    +tp26553
    +a(g826
    +g978
    +tp26554
    +a(g423
    +Vp14
    +p26555
    +tp26556
    +a(g693
    +g976
    +tp26557
    +a(g826
    +g978
    +tp26558
    +a(g423
    +Vp15
    +p26559
    +tp26560
    +a(g693
    +g976
    +tp26561
    +a(g826
    +g978
    +tp26562
    +a(g423
    +Vp16
    +p26563
    +tp26564
    +a(g693
    +g976
    +tp26565
    +a(g826
    +g978
    +tp26566
    +a(g423
    +Vp17
    +p26567
    +tp26568
    +a(g693
    +g976
    +tp26569
    +a(g826
    +g978
    +tp26570
    +a(g423
    +Vp18
    +p26571
    +tp26572
    +a(g693
    +g976
    +tp26573
    +a(g826
    +g978
    +tp26574
    +a(g423
    +Vp19
    +p26575
    +tp26576
    +a(g693
    +g976
    +tp26577
    +a(g826
    +g978
    +tp26578
    +a(g423
    +Vp20
    +p26579
    +tp26580
    +a(g693
    +g976
    +tp26581
    +a(g826
    +g978
    +tp26582
    +a(g423
    +Vp21
    +p26583
    +tp26584
    +a(g693
    +g976
    +tp26585
    +a(g826
    +g978
    +tp26586
    +a(g423
    +Vp23
    +p26587
    +tp26588
    +a(g693
    +g976
    +tp26589
    +a(g826
    +g978
    +tp26590
    +a(g423
    +Vp24
    +p26591
    +tp26592
    +a(g693
    +g976
    +tp26593
    +a(g826
    +g978
    +tp26594
    +a(g423
    +Vp75
    +p26595
    +tp26596
    +a(g693
    +g976
    +tp26597
    +a(g826
    +g978
    +tp26598
    +a(g423
    +VNone
    +p26599
    +tp26600
    +a(g693
    +g976
    +tp26601
    +a(g826
    +g978
    +tp26602
    +a(g423
    +Vp26
    +p26603
    +tp26604
    +a(g693
    +g976
    +tp26605
    +a(g826
    +g978
    +tp26606
    +a(g423
    +Vi41
    +p26607
    +tp26608
    +a(g693
    +g1098
    +tp26609
    +a(g826
    +V\u000a
    +p26610
    +tp26611
    +a(g423
    +Vi81
    +p26612
    +tp26613
    +a(g826
    +g978
    +tp26614
    +a(g693
    +g1119
    +tp26615
    +a(g826
    +g978
    +tp26616
    +a(g669
    +Vint_lt
    +p26617
    +tp26618
    +a(g693
    +g1104
    +tp26619
    +a(g423
    +Vi77
    +p26620
    +tp26621
    +a(g693
    +g976
    +tp26622
    +a(g826
    +g978
    +tp26623
    +a(g22
    +g1110
    +tp26624
    +a(g693
    +g1112
    +tp26625
    +a(g826
    +V\u000a
    +p26626
    +tp26627
    +a(g669
    +Vguard_false
    +p26628
    +tp26629
    +a(g693
    +g1104
    +tp26630
    +a(g423
    +Vi81
    +p26631
    +tp26632
    +a(g693
    +g976
    +tp26633
    +a(g826
    +g978
    +tp26634
    +a(g423
    +Vdescr
    +p26635
    +tp26636
    +a(g693
    +g1119
    +tp26637
    +a(g669
    +V
    +p26638
    +tp26639
    +a(g693
    +g1112
    +tp26640
    +a(g826
    +g978
    +tp26641
    +a(g693
    +g972
    +tp26642
    +a(g423
    +Vp0
    +p26643
    +tp26644
    +a(g693
    +g976
    +tp26645
    +a(g826
    +g978
    +tp26646
    +a(g423
    +Vp1
    +p26647
    +tp26648
    +a(g693
    +g976
    +tp26649
    +a(g826
    +g978
    +tp26650
    +a(g423
    +Vi77
    +p26651
    +tp26652
    +a(g693
    +g976
    +tp26653
    +a(g826
    +g978
    +tp26654
    +a(g423
    +Vi61
    +p26655
    +tp26656
    +a(g693
    +g976
    +tp26657
    +a(g826
    +g978
    +tp26658
    +a(g423
    +Vp5
    +p26659
    +tp26660
    +a(g693
    +g976
    +tp26661
    +a(g826
    +g978
    +tp26662
    +a(g423
    +Vp7
    +p26663
    +tp26664
    +a(g693
    +g976
    +tp26665
    +a(g826
    +g978
    +tp26666
    +a(g423
    +Vp11
    +p26667
    +tp26668
    +a(g693
    +g976
    +tp26669
    +a(g826
    +g978
    +tp26670
    +a(g423
    +Vp12
    +p26671
    +tp26672
    +a(g693
    +g976
    +tp26673
    +a(g826
    +g978
    +tp26674
    +a(g423
    +Vp13
    +p26675
    +tp26676
    +a(g693
    +g976
    +tp26677
    +a(g826
    +g978
    +tp26678
    +a(g423
    +Vp14
    +p26679
    +tp26680
    +a(g693
    +g976
    +tp26681
    +a(g826
    +g978
    +tp26682
    +a(g423
    +Vp15
    +p26683
    +tp26684
    +a(g693
    +g976
    +tp26685
    +a(g826
    +g978
    +tp26686
    +a(g423
    +Vp16
    +p26687
    +tp26688
    +a(g693
    +g976
    +tp26689
    +a(g826
    +g978
    +tp26690
    +a(g423
    +Vp17
    +p26691
    +tp26692
    +a(g693
    +g976
    +tp26693
    +a(g826
    +g978
    +tp26694
    +a(g423
    +Vp18
    +p26695
    +tp26696
    +a(g693
    +g976
    +tp26697
    +a(g826
    +g978
    +tp26698
    +a(g423
    +Vp19
    +p26699
    +tp26700
    +a(g693
    +g976
    +tp26701
    +a(g826
    +g978
    +tp26702
    +a(g423
    +Vp20
    +p26703
    +tp26704
    +a(g693
    +g976
    +tp26705
    +a(g826
    +g978
    +tp26706
    +a(g423
    +Vp21
    +p26707
    +tp26708
    +a(g693
    +g976
    +tp26709
    +a(g826
    +g978
    +tp26710
    +a(g423
    +Vp23
    +p26711
    +tp26712
    +a(g693
    +g976
    +tp26713
    +a(g826
    +g978
    +tp26714
    +a(g423
    +Vp24
    +p26715
    +tp26716
    +a(g693
    +g976
    +tp26717
    +a(g826
    +g978
    +tp26718
    +a(g423
    +Vp75
    +p26719
    +tp26720
    +a(g693
    +g976
    +tp26721
    +a(g826
    +g978
    +tp26722
    +a(g423
    +VNone
    +p26723
    +tp26724
    +a(g693
    +g976
    +tp26725
    +a(g826
    +g978
    +tp26726
    +a(g423
    +Vp26
    +p26727
    +tp26728
    +a(g693
    +g976
    +tp26729
    +a(g826
    +g978
    +tp26730
    +a(g423
    +Vi41
    +p26731
    +tp26732
    +a(g693
    +g1098
    +tp26733
    +a(g826
    +V\u000a
    +p26734
    +tp26735
    +a(g423
    +Vi83
    +p26736
    +tp26737
    +a(g826
    +g978
    +tp26738
    +a(g693
    +g1119
    +tp26739
    +a(g826
    +g978
    +tp26740
    +a(g669
    +Vint_lt
    +p26741
    +tp26742
    +a(g693
    +g1104
    +tp26743
    +a(g22
    +g1250
    +tp26744
    +a(g693
    +g976
    +tp26745
    +a(g826
    +g978
    +tp26746
    +a(g423
    +Vi61
    +p26747
    +tp26748
    +a(g693
    +g1112
    +tp26749
    +a(g826
    +V\u000a
    +p26750
    +tp26751
    +a(g669
    +Vguard_true
    +p26752
    +tp26753
    +a(g693
    +g1104
    +tp26754
    +a(g423
    +Vi83
    +p26755
    +tp26756
    +a(g693
    +g976
    +tp26757
    +a(g826
    +g978
    +tp26758
    +a(g423
    +Vdescr
    +p26759
    +tp26760
    +a(g693
    +g1119
    +tp26761
    +a(g669
    +V
    +p26762
    +tp26763
    +a(g693
    +g1112
    +tp26764
    +a(g826
    +g978
    +tp26765
    +a(g693
    +g972
    +tp26766
    +a(g423
    +Vp0
    +p26767
    +tp26768
    +a(g693
    +g976
    +tp26769
    +a(g826
    +g978
    +tp26770
    +a(g423
    +Vp1
    +p26771
    +tp26772
    +a(g693
    +g976
    +tp26773
    +a(g826
    +g978
    +tp26774
    +a(g423
    +Vi77
    +p26775
    +tp26776
    +a(g693
    +g976
    +tp26777
    +a(g826
    +g978
    +tp26778
    +a(g423
    +Vi61
    +p26779
    +tp26780
    +a(g693
    +g976
    +tp26781
    +a(g826
    +g978
    +tp26782
    +a(g423
    +Vp5
    +p26783
    +tp26784
    +a(g693
    +g976
    +tp26785
    +a(g826
    +g978
    +tp26786
    +a(g423
    +Vp7
    +p26787
    +tp26788
    +a(g693
    +g976
    +tp26789
    +a(g826
    +g978
    +tp26790
    +a(g423
    +Vp11
    +p26791
    +tp26792
    +a(g693
    +g976
    +tp26793
    +a(g826
    +g978
    +tp26794
    +a(g423
    +Vp12
    +p26795
    +tp26796
    +a(g693
    +g976
    +tp26797
    +a(g826
    +g978
    +tp26798
    +a(g423
    +Vp13
    +p26799
    +tp26800
    +a(g693
    +g976
    +tp26801
    +a(g826
    +g978
    +tp26802
    +a(g423
    +Vp14
    +p26803
    +tp26804
    +a(g693
    +g976
    +tp26805
    +a(g826
    +g978
    +tp26806
    +a(g423
    +Vp15
    +p26807
    +tp26808
    +a(g693
    +g976
    +tp26809
    +a(g826
    +g978
    +tp26810
    +a(g423
    +Vp16
    +p26811
    +tp26812
    +a(g693
    +g976
    +tp26813
    +a(g826
    +g978
    +tp26814
    +a(g423
    +Vp17
    +p26815
    +tp26816
    +a(g693
    +g976
    +tp26817
    +a(g826
    +g978
    +tp26818
    +a(g423
    +Vp18
    +p26819
    +tp26820
    +a(g693
    +g976
    +tp26821
    +a(g826
    +g978
    +tp26822
    +a(g423
    +Vp19
    +p26823
    +tp26824
    +a(g693
    +g976
    +tp26825
    +a(g826
    +g978
    +tp26826
    +a(g423
    +Vp20
    +p26827
    +tp26828
    +a(g693
    +g976
    +tp26829
    +a(g826
    +g978
    +tp26830
    +a(g423
    +Vp21
    +p26831
    +tp26832
    +a(g693
    +g976
    +tp26833
    +a(g826
    +g978
    +tp26834
    +a(g423
    +Vp23
    +p26835
    +tp26836
    +a(g693
    +g976
    +tp26837
    +a(g826
    +g978
    +tp26838
    +a(g423
    +Vp24
    +p26839
    +tp26840
    +a(g693
    +g976
    +tp26841
    +a(g826
    +g978
    +tp26842
    +a(g423
    +Vp75
    +p26843
    +tp26844
    +a(g693
    +g976
    +tp26845
    +a(g826
    +g978
    +tp26846
    +a(g423
    +VNone
    +p26847
    +tp26848
    +a(g693
    +g976
    +tp26849
    +a(g826
    +g978
    +tp26850
    +a(g423
    +Vp26
    +p26851
    +tp26852
    +a(g693
    +g976
    +tp26853
    +a(g826
    +g978
    +tp26854
    +a(g423
    +Vi41
    +p26855
    +tp26856
    +a(g693
    +g1098
    +tp26857
    +a(g826
    +V\u000a
    +p26858
    +tp26859
    +a(g423
    +Vi84
    +p26860
    +tp26861
    +a(g826
    +g978
    +tp26862
    +a(g693
    +g1119
    +tp26863
    +a(g826
    +g978
    +tp26864
    +a(g669
    +Vint_sub
    +p26865
    +tp26866
    +a(g693
    +g1104
    +tp26867
    +a(g423
    +Vi61
    +p26868
    +tp26869
    +a(g693
    +g976
    +tp26870
    +a(g826
    +g978
    +tp26871
    +a(g22
    +g1250
    +tp26872
    +a(g693
    +g1112
    +tp26873
    +a(g826
    +V\u000a
    +p26874
    +tp26875
    +a(g423
    +Vi86
    +p26876
    +tp26877
    +a(g826
    +g978
    +tp26878
    +a(g693
    +g1119
    +tp26879
    +a(g826
    +g978
    +tp26880
    +a(g669
    +Vint_sub
    +p26881
    +tp26882
    +a(g693
    +g1104
    +tp26883
    +a(g423
    +Vi84
    +p26884
    +tp26885
    +a(g693
    +g976
    +tp26886
    +a(g826
    +g978
    +tp26887
    +a(g22
    +g1250
    +tp26888
    +a(g693
    +g1112
    +tp26889
    +a(g826
    +V\u000a
    +p26890
    +tp26891
    +a(g423
    +Vi87
    +p26892
    +tp26893
    +a(g826
    +g978
    +tp26894
    +a(g693
    +g1119
    +tp26895
    +a(g826
    +g978
    +tp26896
    +a(g669
    +Vuint_floordiv
    +p26897
    +tp26898
    +a(g693
    +g1104
    +tp26899
    +a(g423
    +Vi86
    +p26900
    +tp26901
    +a(g693
    +g976
    +tp26902
    +a(g826
    +g978
    +tp26903
    +a(g423
    +Vi77
    +p26904
    +tp26905
    +a(g693
    +g1112
    +tp26906
    +a(g826
    +V\u000a
    +p26907
    +tp26908
    +a(g423
    +Vi89
    +p26909
    +tp26910
    +a(g826
    +g978
    +tp26911
    +a(g693
    +g1119
    +tp26912
    +a(g826
    +g978
    +tp26913
    +a(g669
    +Vint_add
    +p26914
    +tp26915
    +a(g693
    +g1104
    +tp26916
    +a(g423
    +Vi87
    +p26917
    +tp26918
    +a(g693
    +g976
    +tp26919
    +a(g826
    +g978
    +tp26920
    +a(g22
    +g1250
    +tp26921
    +a(g693
    +g1112
    +tp26922
    +a(g826
    +V\u000a
    +p26923
    +tp26924
    +a(g423
    +Vi91
    +p26925
    +tp26926
    +a(g826
    +g978
    +tp26927
    +a(g693
    +g1119
    +tp26928
    +a(g826
    +g978
    +tp26929
    +a(g669
    +Vint_lt
    +p26930
    +tp26931
    +a(g693
    +g1104
    +tp26932
    +a(g423
    +Vi89
    +p26933
    +tp26934
    +a(g693
    +g976
    +tp26935
    +a(g826
    +g978
    +tp26936
    +a(g22
    +g1110
    +tp26937
    +a(g693
    +g1112
    +tp26938
    +a(g826
    +V\u000a
    +p26939
    +tp26940
    +a(g669
    +Vguard_false
    +p26941
    +tp26942
    +a(g693
    +g1104
    +tp26943
    +a(g423
    +Vi91
    +p26944
    +tp26945
    +a(g693
    +g976
    +tp26946
    +a(g826
    +g978
    +tp26947
    +a(g423
    +Vdescr
    +p26948
    +tp26949
    +a(g693
    +g1119
    +tp26950
    +a(g669
    +V
    +p26951
    +tp26952
    +a(g693
    +g1112
    +tp26953
    +a(g826
    +g978
    +tp26954
    +a(g693
    +g972
    +tp26955
    +a(g423
    +Vp0
    +p26956
    +tp26957
    +a(g693
    +g976
    +tp26958
    +a(g826
    +g978
    +tp26959
    +a(g423
    +Vp1
    +p26960
    +tp26961
    +a(g693
    +g976
    +tp26962
    +a(g826
    +g978
    +tp26963
    +a(g423
    +Vi77
    +p26964
    +tp26965
    +a(g693
    +g976
    +tp26966
    +a(g826
    +g978
    +tp26967
    +a(g423
    +Vi89
    +p26968
    +tp26969
    +a(g693
    +g976
    +tp26970
    +a(g826
    +g978
    +tp26971
    +a(g423
    +Vp5
    +p26972
    +tp26973
    +a(g693
    +g976
    +tp26974
    +a(g826
    +g978
    +tp26975
    +a(g423
    +Vp7
    +p26976
    +tp26977
    +a(g693
    +g976
    +tp26978
    +a(g826
    +g978
    +tp26979
    +a(g423
    +Vp11
    +p26980
    +tp26981
    +a(g693
    +g976
    +tp26982
    +a(g826
    +g978
    +tp26983
    +a(g423
    +Vp12
    +p26984
    +tp26985
    +a(g693
    +g976
    +tp26986
    +a(g826
    +g978
    +tp26987
    +a(g423
    +Vp13
    +p26988
    +tp26989
    +a(g693
    +g976
    +tp26990
    +a(g826
    +g978
    +tp26991
    +a(g423
    +Vp14
    +p26992
    +tp26993
    +a(g693
    +g976
    +tp26994
    +a(g826
    +g978
    +tp26995
    +a(g423
    +Vp15
    +p26996
    +tp26997
    +a(g693
    +g976
    +tp26998
    +a(g826
    +g978
    +tp26999
    +a(g423
    +Vp16
    +p27000
    +tp27001
    +a(g693
    +g976
    +tp27002
    +a(g826
    +g978
    +tp27003
    +a(g423
    +Vp17
    +p27004
    +tp27005
    +a(g693
    +g976
    +tp27006
    +a(g826
    +g978
    +tp27007
    +a(g423
    +Vp18
    +p27008
    +tp27009
    +a(g693
    +g976
    +tp27010
    +a(g826
    +g978
    +tp27011
    +a(g423
    +Vp19
    +p27012
    +tp27013
    +a(g693
    +g976
    +tp27014
    +a(g826
    +g978
    +tp27015
    +a(g423
    +Vp20
    +p27016
    +tp27017
    +a(g693
    +g976
    +tp27018
    +a(g826
    +g978
    +tp27019
    +a(g423
    +Vp21
    +p27020
    +tp27021
    +a(g693
    +g976
    +tp27022
    +a(g826
    +g978
    +tp27023
    +a(g423
    +Vp23
    +p27024
    +tp27025
    +a(g693
    +g976
    +tp27026
    +a(g826
    +g978
    +tp27027
    +a(g423
    +Vp24
    +p27028
    +tp27029
    +a(g693
    +g976
    +tp27030
    +a(g826
    +g978
    +tp27031
    +a(g423
    +Vp75
    +p27032
    +tp27033
    +a(g693
    +g976
    +tp27034
    +a(g826
    +g978
    +tp27035
    +a(g423
    +Vi61
    +p27036
    +tp27037
    +a(g693
    +g976
    +tp27038
    +a(g826
    +g978
    +tp27039
    +a(g423
    +Vp26
    +p27040
    +tp27041
    +a(g693
    +g976
    +tp27042
    +a(g826
    +g978
    +tp27043
    +a(g423
    +Vi41
    +p27044
    +tp27045
    +a(g693
    +g1098
    +tp27046
    +a(g826
    +V\u000a
    +p27047
    +tp27048
    +a(g616
    +Vdebug_merge_point
    +p27049
    +tp27050
    +a(g693
    +g1104
    +tp27051
    +a(g76
    +V' #124 GET_ITER'
    +p27052
    +tp27053
    +a(g693
    +g976
    +tp27054
    +a(g826
    +g978
    +tp27055
    +a(g22
    +g1110
    +tp27056
    +a(g693
    +g1112
    +tp27057
    +a(g826
    +V\u000a
    +p27058
    +tp27059
    +a(g616
    +Vdebug_merge_point
    +p27060
    +tp27061
    +a(g693
    +g1104
    +tp27062
    +a(g76
    +V' #125 FOR_ITER'
    +p27063
    +tp27064
    +a(g693
    +g976
    +tp27065
    +a(g826
    +g978
    +tp27066
    +a(g22
    +g1110
    +tp27067
    +a(g693
    +g1112
    +tp27068
    +a(g826
    +V\u000a
    +p27069
    +tp27070
    +a(g423
    +Vi93
    +p27071
    +tp27072
    +a(g826
    +g978
    +tp27073
    +a(g693
    +g1119
    +tp27074
    +a(g826
    +g978
    +tp27075
    +a(g669
    +Vint_gt
    +p27076
    +tp27077
    +a(g693
    +g1104
    +tp27078
    +a(g423
    +Vi89
    +p27079
    +tp27080
    +a(g693
    +g976
    +tp27081
    +a(g826
    +g978
    +tp27082
    +a(g22
    +g1110
    +tp27083
    +a(g693
    +g1112
    +tp27084
    +a(g826
    +V\u000a
    +p27085
    +tp27086
    +a(g669
    +Vguard_true
    +p27087
    +tp27088
    +a(g693
    +g1104
    +tp27089
    +a(g423
    +Vi93
    +p27090
    +tp27091
    +a(g693
    +g976
    +tp27092
    +a(g826
    +g978
    +tp27093
    +a(g423
    +Vdescr
    +p27094
    +tp27095
    +a(g693
    +g1119
    +tp27096
    +a(g669
    +V
    +p27097
    +tp27098
    +a(g693
    +g1112
    +tp27099
    +a(g826
    +g978
    +tp27100
    +a(g693
    +g972
    +tp27101
    +a(g423
    +Vp0
    +p27102
    +tp27103
    +a(g693
    +g976
    +tp27104
    +a(g826
    +g978
    +tp27105
    +a(g423
    +Vp1
    +p27106
    +tp27107
    +a(g693
    +g976
    +tp27108
    +a(g826
    +g978
    +tp27109
    +a(g423
    +Vp5
    +p27110
    +tp27111
    +a(g693
    +g976
    +tp27112
    +a(g826
    +g978
    +tp27113
    +a(g423
    +Vp7
    +p27114
    +tp27115
    +a(g693
    +g976
    +tp27116
    +a(g826
    +g978
    +tp27117
    +a(g423
    +Vp11
    +p27118
    +tp27119
    +a(g693
    +g976
    +tp27120
    +a(g826
    +g978
    +tp27121
    +a(g423
    +Vp12
    +p27122
    +tp27123
    +a(g693
    +g976
    +tp27124
    +a(g826
    +g978
    +tp27125
    +a(g423
    +Vp13
    +p27126
    +tp27127
    +a(g693
    +g976
    +tp27128
    +a(g826
    +g978
    +tp27129
    +a(g423
    +Vp14
    +p27130
    +tp27131
    +a(g693
    +g976
    +tp27132
    +a(g826
    +g978
    +tp27133
    +a(g423
    +Vp15
    +p27134
    +tp27135
    +a(g693
    +g976
    +tp27136
    +a(g826
    +g978
    +tp27137
    +a(g423
    +Vp16
    +p27138
    +tp27139
    +a(g693
    +g976
    +tp27140
    +a(g826
    +g978
    +tp27141
    +a(g423
    +Vp17
    +p27142
    +tp27143
    +a(g693
    +g976
    +tp27144
    +a(g826
    +g978
    +tp27145
    +a(g423
    +Vp18
    +p27146
    +tp27147
    +a(g693
    +g976
    +tp27148
    +a(g826
    +g978
    +tp27149
    +a(g423
    +Vp19
    +p27150
    +tp27151
    +a(g693
    +g976
    +tp27152
    +a(g826
    +g978
    +tp27153
    +a(g423
    +Vp20
    +p27154
    +tp27155
    +a(g693
    +g976
    +tp27156
    +a(g826
    +g978
    +tp27157
    +a(g423
    +Vp21
    +p27158
    +tp27159
    +a(g693
    +g976
    +tp27160
    +a(g826
    +g978
    +tp27161
    +a(g423
    +Vp23
    +p27162
    +tp27163
    +a(g693
    +g976
    +tp27164
    +a(g826
    +g978
    +tp27165
    +a(g423
    +Vp24
    +p27166
    +tp27167
    +a(g693
    +g976
    +tp27168
    +a(g826
    +g978
    +tp27169
    +a(g423
    +Vi89
    +p27170
    +tp27171
    +a(g693
    +g976
    +tp27172
    +a(g826
    +g978
    +tp27173
    +a(g423
    +Vi77
    +p27174
    +tp27175
    +a(g693
    +g976
    +tp27176
    +a(g826
    +g978
    +tp27177
    +a(g423
    +VNone
    +p27178
    +tp27179
    +a(g693
    +g976
    +tp27180
    +a(g826
    +g978
    +tp27181
    +a(g423
    +VNone
    +p27182
    +tp27183
    +a(g693
    +g976
    +tp27184
    +a(g826
    +g978
    +tp27185
    +a(g423
    +Vp26
    +p27186
    +tp27187
    +a(g693
    +g976
    +tp27188
    +a(g826
    +g978
    +tp27189
    +a(g423
    +Vi41
    +p27190
    +tp27191
    +a(g693
    +g1098
    +tp27192
    +a(g826
    +V\u000a
    +p27193
    +tp27194
    +a(g423
    +Vi94
    +p27195
    +tp27196
    +a(g826
    +g978
    +tp27197
    +a(g693
    +g1119
    +tp27198
    +a(g826
    +g978
    +tp27199
    +a(g669
    +Vint_add
    +p27200
    +tp27201
    +a(g693
    +g1104
    +tp27202
    +a(g22
    +g1250
    +tp27203
    +a(g693
    +g976
    +tp27204
    +a(g826
    +g978
    +tp27205
    +a(g423
    +Vi77
    +p27206
    +tp27207
    +a(g693
    +g1112
    +tp27208
    +a(g826
    +V\u000a
    +p27209
    +tp27210
    +a(g616
    +Vdebug_merge_point
    +p27211
    +tp27212
    +a(g693
    +g1104
    +tp27213
    +a(g76
    +V' #128 STORE_FAST'
    +p27214
    +tp27215
    +a(g693
    +g976
    +tp27216
    +a(g826
    +g978
    +tp27217
    +a(g22
    +g1110
    +tp27218
    +a(g693
    +g1112
    +tp27219
    +a(g826
    +V\u000a
    +p27220
    +tp27221
    +a(g616
    +Vdebug_merge_point
    +p27222
    +tp27223
    +a(g693
    +g1104
    +tp27224
    +a(g76
    +V' #131 LOAD_FAST'
    +p27225
    +tp27226
    +a(g693
    +g976
    +tp27227
    +a(g826
    +g978
    +tp27228
    +a(g22
    +g1110
    +tp27229
    +a(g693
    +g1112
    +tp27230
    +a(g826
    +V\u000a
    +p27231
    +tp27232
    +a(g669
    +Vguard_nonnull_class
    +p27233
    +tp27234
    +a(g693
    +g1104
    +tp27235
    +a(g423
    +Vp21
    +p27236
    +tp27237
    +a(g693
    +g976
    +tp27238
    +a(g826
    +g978
    +tp27239
    +a(g22
    +V19886912
    +p27240
    +tp27241
    +a(g693
    +g976
    +tp27242
    +a(g826
    +g978
    +tp27243
    +a(g423
    +Vdescr
    +p27244
    +tp27245
    +a(g693
    +g1119
    +tp27246
    +a(g669
    +V
    +p27247
    +tp27248
    +a(g693
    +g1112
    +tp27249
    +a(g826
    +g978
    +tp27250
    +a(g693
    +g972
    +tp27251
    +a(g423
    +Vp0
    +p27252
    +tp27253
    +a(g693
    +g976
    +tp27254
    +a(g826
    +g978
    +tp27255
    +a(g423
    +Vp1
    +p27256
    +tp27257
    +a(g693
    +g976
    +tp27258
    +a(g826
    +g978
    +tp27259
    +a(g423
    +Vp21
    +p27260
    +tp27261
    +a(g693
    +g976
    +tp27262
    +a(g826
    +g978
    +tp27263
    +a(g423
    +Vp5
    +p27264
    +tp27265
    +a(g693
    +g976
    +tp27266
    +a(g826
    +g978
    +tp27267
    +a(g423
    +Vp7
    +p27268
    +tp27269
    +a(g693
    +g976
    +tp27270
    +a(g826
    +g978
    +tp27271
    +a(g423
    +Vp11
    +p27272
    +tp27273
    +a(g693
    +g976
    +tp27274
    +a(g826
    +g978
    +tp27275
    +a(g423
    +Vp12
    +p27276
    +tp27277
    +a(g693
    +g976
    +tp27278
    +a(g826
    +g978
    +tp27279
    +a(g423
    +Vp13
    +p27280
    +tp27281
    +a(g693
    +g976
    +tp27282
    +a(g826
    +g978
    +tp27283
    +a(g423
    +Vp14
    +p27284
    +tp27285
    +a(g693
    +g976
    +tp27286
    +a(g826
    +g978
    +tp27287
    +a(g423
    +Vp15
    +p27288
    +tp27289
    +a(g693
    +g976
    +tp27290
    +a(g826
    +g978
    +tp27291
    +a(g423
    +Vp16
    +p27292
    +tp27293
    +a(g693
    +g976
    +tp27294
    +a(g826
    +g978
    +tp27295
    +a(g423
    +Vp17
    +p27296
    +tp27297
    +a(g693
    +g976
    +tp27298
    +a(g826
    +g978
    +tp27299
    +a(g423
    +Vp18
    +p27300
    +tp27301
    +a(g693
    +g976
    +tp27302
    +a(g826
    +g978
    +tp27303
    +a(g423
    +Vp19
    +p27304
    +tp27305
    +a(g693
    +g976
    +tp27306
    +a(g826
    +g978
    +tp27307
    +a(g423
    +Vp20
    +p27308
    +tp27309
    +a(g693
    +g976
    +tp27310
    +a(g826
    +g978
    +tp27311
    +a(g423
    +Vp24
    +p27312
    +tp27313
    +a(g693
    +g976
    +tp27314
    +a(g826
    +g978
    +tp27315
    +a(g423
    +Vi87
    +p27316
    +tp27317
    +a(g693
    +g976
    +tp27318
    +a(g826
    +g978
    +tp27319
    +a(g423
    +Vi94
    +p27320
    +tp27321
    +a(g693
    +g976
    +tp27322
    +a(g826
    +g978
    +tp27323
    +a(g423
    +VNone
    +p27324
    +tp27325
    +a(g693
    +g976
    +tp27326
    +a(g826
    +g978
    +tp27327
    +a(g423
    +Vi77
    +p27328
    +tp27329
    +a(g693
    +g976
    +tp27330
    +a(g826
    +g978
    +tp27331
    +a(g423
    +VNone
    +p27332
    +tp27333
    +a(g693
    +g976
    +tp27334
    +a(g826
    +g978
    +tp27335
    +a(g423
    +VNone
    +p27336
    +tp27337
    +a(g693
    +g976
    +tp27338
    +a(g826
    +g978
    +tp27339
    +a(g423
    +Vp26
    +p27340
    +tp27341
    +a(g693
    +g976
    +tp27342
    +a(g826
    +g978
    +tp27343
    +a(g423
    +Vi41
    +p27344
    +tp27345
    +a(g693
    +g1098
    +tp27346
    +a(g826
    +V\u000a
    +p27347
    +tp27348
    +a(g616
    +Vdebug_merge_point
    +p27349
    +tp27350
    +a(g693
    +g1104
    +tp27351
    +a(g76
    +V' #134 LOAD_FAST'
    +p27352
    +tp27353
    +a(g693
    +g976
    +tp27354
    +a(g826
    +g978
    +tp27355
    +a(g22
    +g1110
    +tp27356
    +a(g693
    +g1112
    +tp27357
    +a(g826
    +V\u000a
    +p27358
    +tp27359
    +a(g616
    +Vdebug_merge_point
    +p27360
    +tp27361
    +a(g693
    +g1104
    +tp27362
    +a(g76
    +V' #137 LOAD_FAST'
    +p27363
    +tp27364
    +a(g693
    +g976
    +tp27365
    +a(g826
    +g978
    +tp27366
    +a(g22
    +g1110
    +tp27367
    +a(g693
    +g1112
    +tp27368
    +a(g826
    +V\u000a
    +p27369
    +tp27370
    +a(g669
    +Vguard_nonnull_class
    +p27371
    +tp27372
    +a(g693
    +g1104
    +tp27373
    +a(g423
    +Vp19
    +p27374
    +tp27375
    +a(g693
    +g976
    +tp27376
    +a(g826
    +g978
    +tp27377
    +a(g423
    +VConstClass
    +p27378
    +tp27379
    +a(g693
    +g1104
    +tp27380
    +a(g669
    +VW_IntObject
    +p27381
    +tp27382
    +a(g693
    +g1112
    +tp27383
    +a(g693
    +g976
    +tp27384
    +a(g826
    +g978
    +tp27385
    +a(g423
    +Vdescr
    +p27386
    +tp27387
    +a(g693
    +g1119
    +tp27388
    +a(g669
    +V
    +p27389
    +tp27390
    +a(g693
    +g1112
    +tp27391
    +a(g826
    +g978
    +tp27392
    +a(g693
    +g972
    +tp27393
    +a(g423
    +Vp0
    +p27394
    +tp27395
    +a(g693
    +g976
    +tp27396
    +a(g826
    +g978
    +tp27397
    +a(g423
    +Vp1
    +p27398
    +tp27399
    +a(g693
    +g976
    +tp27400
    +a(g826
    +g978
    +tp27401
    +a(g423
    +Vp19
    +p27402
    +tp27403
    +a(g693
    +g976
    +tp27404
    +a(g826
    +g978
    +tp27405
    +a(g423
    +Vp5
    +p27406
    +tp27407
    +a(g693
    +g976
    +tp27408
    +a(g826
    +g978
    +tp27409
    +a(g423
    +Vp7
    +p27410
    +tp27411
    +a(g693
    +g976
    +tp27412
    +a(g826
    +g978
    +tp27413
    +a(g423
    +Vp21
    +p27414
    +tp27415
    +a(g693
    +g976
    +tp27416
    +a(g826
    +g978
    +tp27417
    +a(g423
    +Vp11
    +p27418
    +tp27419
    +a(g693
    +g976
    +tp27420
    +a(g826
    +g978
    +tp27421
    +a(g423
    +Vp12
    +p27422
    +tp27423
    +a(g693
    +g976
    +tp27424
    +a(g826
    +g978
    +tp27425
    +a(g423
    +Vp13
    +p27426
    +tp27427
    +a(g693
    +g976
    +tp27428
    +a(g826
    +g978
    +tp27429
    +a(g423
    +Vp14
    +p27430
    +tp27431
    +a(g693
    +g976
    +tp27432
    +a(g826
    +g978
    +tp27433
    +a(g423
    +Vp15
    +p27434
    +tp27435
    +a(g693
    +g976
    +tp27436
    +a(g826
    +g978
    +tp27437
    +a(g423
    +Vp16
    +p27438
    +tp27439
    +a(g693
    +g976
    +tp27440
    +a(g826
    +g978
    +tp27441
    +a(g423
    +Vp17
    +p27442
    +tp27443
    +a(g693
    +g976
    +tp27444
    +a(g826
    +g978
    +tp27445
    +a(g423
    +Vp18
    +p27446
    +tp27447
    +a(g693
    +g976
    +tp27448
    +a(g826
    +g978
    +tp27449
    +a(g423
    +Vp20
    +p27450
    +tp27451
    +a(g693
    +g976
    +tp27452
    +a(g826
    +g978
    +tp27453
    +a(g423
    +Vp24
    +p27454
    +tp27455
    +a(g693
    +g976
    +tp27456
    +a(g826
    +g978
    +tp27457
    +a(g423
    +Vi87
    +p27458
    +tp27459
    +a(g693
    +g976
    +tp27460
    +a(g826
    +g978
    +tp27461
    +a(g423
    +Vi94
    +p27462
    +tp27463
    +a(g693
    +g976
    +tp27464
    +a(g826
    +g978
    +tp27465
    +a(g423
    +VNone
    +p27466
    +tp27467
    +a(g693
    +g976
    +tp27468
    +a(g826
    +g978
    +tp27469
    +a(g423
    +Vi77
    +p27470
    +tp27471
    +a(g693
    +g976
    +tp27472
    +a(g826
    +g978
    +tp27473
    +a(g423
    +VNone
    +p27474
    +tp27475
    +a(g693
    +g976
    +tp27476
    +a(g826
    +g978
    +tp27477
    +a(g423
    +VNone
    +p27478
    +tp27479
    +a(g693
    +g976
    +tp27480
    +a(g826
    +g978
    +tp27481
    +a(g423
    +Vp26
    +p27482
    +tp27483
    +a(g693
    +g976
    +tp27484
    +a(g826
    +g978
    +tp27485
    +a(g423
    +Vi41
    +p27486
    +tp27487
    +a(g693
    +g1098
    +tp27488
    +a(g826
    +V\u000a
    +p27489
    +tp27490
    +a(g616
    +Vdebug_merge_point
    +p27491
    +tp27492
    +a(g693
    +g1104
    +tp27493
    +a(g76
    +V' #140 BINARY_MULTIPLY'
    +p27494
    +tp27495
    +a(g693
    +g976
    +tp27496
    +a(g826
    +g978
    +tp27497
    +a(g22
    +g1110
    +tp27498
    +a(g693
    +g1112
    +tp27499
    +a(g826
    +V\u000a
    +p27500
    +tp27501
    +a(g423
    +Vi97
    +p27502
    +tp27503
    +a(g826
    +g978
    +tp27504
    +a(g693
    +g1119
    +tp27505
    +a(g826
    +g978
    +tp27506
    +a(g669
    +Vgetfield_gc_pure
    +p27507
    +tp27508
    +a(g693
    +g1104
    +tp27509
    +a(g423
    +Vp19
    +p27510
    +tp27511
    +a(g693
    +g976
    +tp27512
    +a(g826
    +g978
    +tp27513
    +a(g423
    +Vdescr
    +p27514
    +tp27515
    +a(g693
    +g1119
    +tp27516
    +a(g669
    +V
    +p27517
    +tp27518
    +a(g693
    +g1112
    +tp27519
    +a(g826
    +V\u000a
    +p27520
    +tp27521
    +a(g423
    +Vi98
    +p27522
    +tp27523
    +a(g826
    +g978
    +tp27524
    +a(g693
    +g1119
    +tp27525
    +a(g826
    +g978
    +tp27526
    +a(g669
    +Vint_mul_ovf
    +p27527
    +tp27528
    +a(g693
    +g1104
    +tp27529
    +a(g423
    +Vi41
    +p27530
    +tp27531
    +a(g693
    +g976
    +tp27532
    +a(g826
    +g978
    +tp27533
    +a(g423
    +Vi97
    +p27534
    +tp27535
    +a(g693
    +g1112
    +tp27536
    +a(g826
    +V\u000a
    +p27537
    +tp27538
    +a(g669
    +Vguard_no_overflow
    +p27539
    +tp27540
    +a(g693
    +g1104
    +tp27541
    +a(g693
    +g976
    +tp27542
    +a(g826
    +g978
    +tp27543
    +a(g423
    +Vdescr
    +p27544
    +tp27545
    +a(g693
    +g1119
    +tp27546
    +a(g669
    +V
    +p27547
    +tp27548
    +a(g693
    +g1112
    +tp27549
    +a(g826
    +g978
    +tp27550
    +a(g693
    +g972
    +tp27551
    +a(g423
    +Vp0
    +p27552
    +tp27553
    +a(g693
    +g976
    +tp27554
    +a(g826
    +g978
    +tp27555
    +a(g423
    +Vp1
    +p27556
    +tp27557
    +a(g693
    +g976
    +tp27558
    +a(g826
    +g978
    +tp27559
    +a(g423
    +Vp19
    +p27560
    +tp27561
    +a(g693
    +g976
    +tp27562
    +a(g826
    +g978
    +tp27563
    +a(g423
    +Vi98
    +p27564
    +tp27565
    +a(g693
    +g976
    +tp27566
    +a(g826
    +g978
    +tp27567
    +a(g423
    +Vp5
    +p27568
    +tp27569
    +a(g693
    +g976
    +tp27570
    +a(g826
    +g978
    +tp27571
    +a(g423
    +Vp7
    +p27572
    +tp27573
    +a(g693
    +g976
    +tp27574
    +a(g826
    +g978
    +tp27575
    +a(g423
    +Vp21
    +p27576
    +tp27577
    +a(g693
    +g976
    +tp27578
    +a(g826
    +g978
    +tp27579
    +a(g423
    +Vp11
    +p27580
    +tp27581
    +a(g693
    +g976
    +tp27582
    +a(g826
    +g978
    +tp27583
    +a(g423
    +Vp12
    +p27584
    +tp27585
    +a(g693
    +g976
    +tp27586
    +a(g826
    +g978
    +tp27587
    +a(g423
    +Vp13
    +p27588
    +tp27589
    +a(g693
    +g976
    +tp27590
    +a(g826
    +g978
    +tp27591
    +a(g423
    +Vp14
    +p27592
    +tp27593
    +a(g693
    +g976
    +tp27594
    +a(g826
    +g978
    +tp27595
    +a(g423
    +Vp15
    +p27596
    +tp27597
    +a(g693
    +g976
    +tp27598
    +a(g826
    +g978
    +tp27599
    +a(g423
    +Vp16
    +p27600
    +tp27601
    +a(g693
    +g976
    +tp27602
    +a(g826
    +g978
    +tp27603
    +a(g423
    +Vp17
    +p27604
    +tp27605
    +a(g693
    +g976
    +tp27606
    +a(g826
    +g978
    +tp27607
    +a(g423
    +Vp18
    +p27608
    +tp27609
    +a(g693
    +g976
    +tp27610
    +a(g826
    +g978
    +tp27611
    +a(g423
    +Vp20
    +p27612
    +tp27613
    +a(g693
    +g976
    +tp27614
    +a(g826
    +g978
    +tp27615
    +a(g423
    +Vp24
    +p27616
    +tp27617
    +a(g693
    +g976
    +tp27618
    +a(g826
    +g978
    +tp27619
    +a(g423
    +Vi87
    +p27620
    +tp27621
    +a(g693
    +g976
    +tp27622
    +a(g826
    +g978
    +tp27623
    +a(g423
    +Vi94
    +p27624
    +tp27625
    +a(g693
    +g976
    +tp27626
    +a(g826
    +g978
    +tp27627
    +a(g423
    +VNone
    +p27628
    +tp27629
    +a(g693
    +g976
    +tp27630
    +a(g826
    +g978
    +tp27631
    +a(g423
    +Vi77
    +p27632
    +tp27633
    +a(g693
    +g976
    +tp27634
    +a(g826
    +g978
    +tp27635
    +a(g423
    +VNone
    +p27636
    +tp27637
    +a(g693
    +g976
    +tp27638
    +a(g826
    +g978
    +tp27639
    +a(g423
    +VNone
    +p27640
    +tp27641
    +a(g693
    +g976
    +tp27642
    +a(g826
    +g978
    +tp27643
    +a(g423
    +Vp26
    +p27644
    +tp27645
    +a(g693
    +g976
    +tp27646
    +a(g826
    +g978
    +tp27647
    +a(g423
    +Vi41
    +p27648
    +tp27649
    +a(g693
    +g1098
    +tp27650
    +a(g826
    +V\u000a
    +p27651
    +tp27652
    +a(g616
    +Vdebug_merge_point
    +p27653
    +tp27654
    +a(g693
    +g1104
    +tp27655
    +a(g76
    +V' #141 LOAD_FAST'
    +p27656
    +tp27657
    +a(g693
    +g976
    +tp27658
    +a(g826
    +g978
    +tp27659
    +a(g22
    +g1110
    +tp27660
    +a(g693
    +g1112
    +tp27661
    +a(g826
    +V\u000a
    +p27662
    +tp27663
    +a(g616
    +Vdebug_merge_point
    +p27664
    +tp27665
    +a(g693
    +g1104
    +tp27666
    +a(g76
    +V' #144 BINARY_ADD'
    +p27667
    +tp27668
    +a(g693
    +g976
    +tp27669
    +a(g826
    +g978
    +tp27670
    +a(g22
    +g1110
    +tp27671
    +a(g693
    +g1112
    +tp27672
    +a(g826
    +V\u000a
    +p27673
    +tp27674
    +a(g423
    +Vi99
    +p27675
    +tp27676
    +a(g826
    +g978
    +tp27677
    +a(g693
    +g1119
    +tp27678
    +a(g826
    +g978
    +tp27679
    +a(g669
    +Vint_add_ovf
    +p27680
    +tp27681
    +a(g693
    +g1104
    +tp27682
    +a(g423
    +Vi98
    +p27683
    +tp27684
    +a(g693
    +g976
    +tp27685
    +a(g826
    +g978
    +tp27686
    +a(g22
    +g1250
    +tp27687
    +a(g693
    +g1112
    +tp27688
    +a(g826
    +V\u000a
    +p27689
    +tp27690
    +a(g669
    +Vguard_no_overflow
    +p27691
    +tp27692
    +a(g693
    +g1104
    +tp27693
    +a(g693
    +g976
    +tp27694
    +a(g826
    +g978
    +tp27695
    +a(g423
    +Vdescr
    +p27696
    +tp27697
    +a(g693
    +g1119
    +tp27698
    +a(g669
    +V
    +p27699
    +tp27700
    +a(g693
    +g1112
    +tp27701
    +a(g826
    +g978
    +tp27702
    +a(g693
    +g972
    +tp27703
    +a(g423
    +Vp0
    +p27704
    +tp27705
    +a(g693
    +g976
    +tp27706
    +a(g826
    +g978
    +tp27707
    +a(g423
    +Vp1
    +p27708
    +tp27709
    +a(g693
    +g976
    +tp27710
    +a(g826
    +g978
    +tp27711
    +a(g423
    +Vi99
    +p27712
    +tp27713
    +a(g693
    +g976
    +tp27714
    +a(g826
    +g978
    +tp27715
    +a(g423
    +Vp5
    +p27716
    +tp27717
    +a(g693
    +g976
    +tp27718
    +a(g826
    +g978
    +tp27719
    +a(g423
    +Vp7
    +p27720
    +tp27721
    +a(g693
    +g976
    +tp27722
    +a(g826
    +g978
    +tp27723
    +a(g423
    +Vp21
    +p27724
    +tp27725
    +a(g693
    +g976
    +tp27726
    +a(g826
    +g978
    +tp27727
    +a(g423
    +Vp11
    +p27728
    +tp27729
    +a(g693
    +g976
    +tp27730
    +a(g826
    +g978
    +tp27731
    +a(g423
    +Vp12
    +p27732
    +tp27733
    +a(g693
    +g976
    +tp27734
    +a(g826
    +g978
    +tp27735
    +a(g423
    +Vp13
    +p27736
    +tp27737
    +a(g693
    +g976
    +tp27738
    +a(g826
    +g978
    +tp27739
    +a(g423
    +Vp14
    +p27740
    +tp27741
    +a(g693
    +g976
    +tp27742
    +a(g826
    +g978
    +tp27743
    +a(g423
    +Vp15
    +p27744
    +tp27745
    +a(g693
    +g976
    +tp27746
    +a(g826
    +g978
    +tp27747
    +a(g423
    +Vp16
    +p27748
    +tp27749
    +a(g693
    +g976
    +tp27750
    +a(g826
    +g978
    +tp27751
    +a(g423
    +Vp17
    +p27752
    +tp27753
    +a(g693
    +g976
    +tp27754
    +a(g826
    +g978
    +tp27755
    +a(g423
    +Vp18
    +p27756
    +tp27757
    +a(g693
    +g976
    +tp27758
    +a(g826
    +g978
    +tp27759
    +a(g423
    +Vp19
    +p27760
    +tp27761
    +a(g693
    +g976
    +tp27762
    +a(g826
    +g978
    +tp27763
    +a(g423
    +Vp20
    +p27764
    +tp27765
    +a(g693
    +g976
    +tp27766
    +a(g826
    +g978
    +tp27767
    +a(g423
    +Vp24
    +p27768
    +tp27769
    +a(g693
    +g976
    +tp27770
    +a(g826
    +g978
    +tp27771
    +a(g423
    +Vi98
    +p27772
    +tp27773
    +a(g693
    +g976
    +tp27774
    +a(g826
    +g978
    +tp27775
    +a(g423
    +Vi87
    +p27776
    +tp27777
    +a(g693
    +g976
    +tp27778
    +a(g826
    +g978
    +tp27779
    +a(g423
    +Vi94
    +p27780
    +tp27781
    +a(g693
    +g976
    +tp27782
    +a(g826
    +g978
    +tp27783
    +a(g423
    +VNone
    +p27784
    +tp27785
    +a(g693
    +g976
    +tp27786
    +a(g826
    +g978
    +tp27787
    +a(g423
    +Vi77
    +p27788
    +tp27789
    +a(g693
    +g976
    +tp27790
    +a(g826
    +g978
    +tp27791
    +a(g423
    +VNone
    +p27792
    +tp27793
    +a(g693
    +g976
    +tp27794
    +a(g826
    +g978
    +tp27795
    +a(g423
    +VNone
    +p27796
    +tp27797
    +a(g693
    +g976
    +tp27798
    +a(g826
    +g978
    +tp27799
    +a(g423
    +Vp26
    +p27800
    +tp27801
    +a(g693
    +g976
    +tp27802
    +a(g826
    +g978
    +tp27803
    +a(g423
    +Vi41
    +p27804
    +tp27805
    +a(g693
    +g1098
    +tp27806
    +a(g826
    +V\u000a
    +p27807
    +tp27808
    +a(g616
    +Vdebug_merge_point
    +p27809
    +tp27810
    +a(g693
    +g1104
    +tp27811
    +a(g76
    +V' #145 BINARY_SUBSCR'
    +p27812
    +tp27813
    +a(g693
    +g976
    +tp27814
    +a(g826
    +g978
    +tp27815
    +a(g22
    +g1110
    +tp27816
    +a(g693
    +g1112
    +tp27817
    +a(g826
    +V\u000a
    +p27818
    +tp27819
    +a(g423
    +Vi100
    +p27820
    +tp27821
    +a(g826
    +g978
    +tp27822
    +a(g693
    +g1119
    +tp27823
    +a(g826
    +g978
    +tp27824
    +a(g669
    +Vgetfield_gc
    +p27825
    +tp27826
    +a(g693
    +g1104
    +tp27827
    +a(g423
    +Vp21
    +p27828
    +tp27829
    +a(g693
    +g976
    +tp27830
    +a(g826
    +g978
    +tp27831
    +a(g423
    +Vdescr
    +p27832
    +tp27833
    +a(g693
    +g1119
    +tp27834
    +a(g669
    +V
    +p27835
    +tp27836
    +a(g693
    +g1112
    +tp27837
    +a(g826
    +V\u000a
    +p27838
    +tp27839
    +a(g423
    +Vi102
    +p27840
    +tp27841
    +a(g826
    +g978
    +tp27842
    +a(g693
    +g1119
    +tp27843
    +a(g826
    +g978
    +tp27844
    +a(g669
    +Vint_lt
    +p27845
    +tp27846
    +a(g693
    +g1104
    +tp27847
    +a(g423
    +Vi99
    +p27848
    +tp27849
    +a(g693
    +g976
    +tp27850
    +a(g826
    +g978
    +tp27851
    +a(g22
    +g1110
    +tp27852
    +a(g693
    +g1112
    +tp27853
    +a(g826
    +V\u000a
    +p27854
    +tp27855
    +a(g669
    +Vguard_false
    +p27856
    +tp27857
    +a(g693
    +g1104
    +tp27858
    +a(g423
    +Vi102
    +p27859
    +tp27860
    +a(g693
    +g976
    +tp27861
    +a(g826
    +g978
    +tp27862
    +a(g423
    +Vdescr
    +p27863
    +tp27864
    +a(g693
    +g1119
    +tp27865
    +a(g669
    +V
    +p27866
    +tp27867
    +a(g693
    +g1112
    +tp27868
    +a(g826
    +g978
    +tp27869
    +a(g693
    +g972
    +tp27870
    +a(g423
    +Vp0
    +p27871
    +tp27872
    +a(g693
    +g976
    +tp27873
    +a(g826
    +g978
    +tp27874
    +a(g423
    +Vp1
    +p27875
    +tp27876
    +a(g693
    +g976
    +tp27877
    +a(g826
    +g978
    +tp27878
    +a(g423
    +Vp21
    +p27879
    +tp27880
    +a(g693
    +g976
    +tp27881
    +a(g826
    +g978
    +tp27882
    +a(g423
    +Vi99
    +p27883
    +tp27884
    +a(g693
    +g976
    +tp27885
    +a(g826
    +g978
    +tp27886
    +a(g423
    +Vi100
    +p27887
    +tp27888
    +a(g693
    +g976
    +tp27889
    +a(g826
    +g978
    +tp27890
    +a(g423
    +Vp5
    +p27891
    +tp27892
    +a(g693
    +g976
    +tp27893
    +a(g826
    +g978
    +tp27894
    +a(g423
    +Vp7
    +p27895
    +tp27896
    +a(g693
    +g976
    +tp27897
    +a(g826
    +g978
    +tp27898
    +a(g423
    +Vp11
    +p27899
    +tp27900
    +a(g693
    +g976
    +tp27901
    +a(g826
    +g978
    +tp27902
    +a(g423
    +Vp12
    +p27903
    +tp27904
    +a(g693
    +g976
    +tp27905
    +a(g826
    +g978
    +tp27906
    +a(g423
    +Vp13
    +p27907
    +tp27908
    +a(g693
    +g976
    +tp27909
    +a(g826
    +g978
    +tp27910
    +a(g423
    +Vp14
    +p27911
    +tp27912
    +a(g693
    +g976
    +tp27913
    +a(g826
    +g978
    +tp27914
    +a(g423
    +Vp15
    +p27915
    +tp27916
    +a(g693
    +g976
    +tp27917
    +a(g826
    +g978
    +tp27918
    +a(g423
    +Vp16
    +p27919
    +tp27920
    +a(g693
    +g976
    +tp27921
    +a(g826
    +g978
    +tp27922
    +a(g423
    +Vp17
    +p27923
    +tp27924
    +a(g693
    +g976
    +tp27925
    +a(g826
    +g978
    +tp27926
    +a(g423
    +Vp18
    +p27927
    +tp27928
    +a(g693
    +g976
    +tp27929
    +a(g826
    +g978
    +tp27930
    +a(g423
    +Vp19
    +p27931
    +tp27932
    +a(g693
    +g976
    +tp27933
    +a(g826
    +g978
    +tp27934
    +a(g423
    +Vp20
    +p27935
    +tp27936
    +a(g693
    +g976
    +tp27937
    +a(g826
    +g978
    +tp27938
    +a(g423
    +Vp24
    +p27939
    +tp27940
    +a(g693
    +g976
    +tp27941
    +a(g826
    +g978
    +tp27942
    +a(g423
    +VNone
    +p27943
    +tp27944
    +a(g693
    +g976
    +tp27945
    +a(g826
    +g978
    +tp27946
    +a(g423
    +Vi87
    +p27947
    +tp27948
    +a(g693
    +g976
    +tp27949
    +a(g826
    +g978
    +tp27950
    +a(g423
    +Vi94
    +p27951
    +tp27952
    +a(g693
    +g976
    +tp27953
    +a(g826
    +g978
    +tp27954
    +a(g423
    +VNone
    +p27955
    +tp27956
    +a(g693
    +g976
    +tp27957
    +a(g826
    +g978
    +tp27958
    +a(g423
    +Vi77
    +p27959
    +tp27960
    +a(g693
    +g976
    +tp27961
    +a(g826
    +g978
    +tp27962
    +a(g423
    +VNone
    +p27963
    +tp27964
    +a(g693
    +g976
    +tp27965
    +a(g826
    +g978
    +tp27966
    +a(g423
    +VNone
    +p27967
    +tp27968
    +a(g693
    +g976
    +tp27969
    +a(g826
    +g978
    +tp27970
    +a(g423
    +Vp26
    +p27971
    +tp27972
    +a(g693
    +g976
    +tp27973
    +a(g826
    +g978
    +tp27974
    +a(g423
    +Vi41
    +p27975
    +tp27976
    +a(g693
    +g1098
    +tp27977
    +a(g826
    +V\u000a
    +p27978
    +tp27979
    +a(g423
    +Vi103
    +p27980
    +tp27981
    +a(g826
    +g978
    +tp27982
    +a(g693
    +g1119
    +tp27983
    +a(g826
    +g978
    +tp27984
    +a(g669
    +Vint_lt
    +p27985
    +tp27986
    +a(g693
    +g1104
    +tp27987
    +a(g423
    +Vi99
    +p27988
    +tp27989
    +a(g693
    +g976
    +tp27990
    +a(g826
    +g978
    +tp27991
    +a(g423
    +Vi100
    +p27992
    +tp27993
    +a(g693
    +g1112
    +tp27994
    +a(g826
    +V\u000a
    +p27995
    +tp27996
    +a(g669
    +Vguard_true
    +p27997
    +tp27998
    +a(g693
    +g1104
    +tp27999
    +a(g423
    +Vi103
    +p28000
    +tp28001
    +a(g693
    +g976
    +tp28002
    +a(g826
    +g978
    +tp28003
    +a(g423
    +Vdescr
    +p28004
    +tp28005
    +a(g693
    +g1119
    +tp28006
    +a(g669
    +V
    +p28007
    +tp28008
    +a(g693
    +g1112
    +tp28009
    +a(g826
    +g978
    +tp28010
    +a(g693
    +g972
    +tp28011
    +a(g423
    +Vp0
    +p28012
    +tp28013
    +a(g693
    +g976
    +tp28014
    +a(g826
    +g978
    +tp28015
    +a(g423
    +Vp1
    +p28016
    +tp28017
    +a(g693
    +g976
    +tp28018
    +a(g826
    +g978
    +tp28019
    +a(g423
    +Vp21
    +p28020
    +tp28021
    +a(g693
    +g976
    +tp28022
    +a(g826
    +g978
    +tp28023
    +a(g423
    +Vi99
    +p28024
    +tp28025
    +a(g693
    +g976
    +tp28026
    +a(g826
    +g978
    +tp28027
    +a(g423
    +Vp5
    +p28028
    +tp28029
    +a(g693
    +g976
    +tp28030
    +a(g826
    +g978
    +tp28031
    +a(g423
    +Vp7
    +p28032
    +tp28033
    +a(g693
    +g976
    +tp28034
    +a(g826
    +g978
    +tp28035
    +a(g423
    +Vp11
    +p28036
    +tp28037
    +a(g693
    +g976
    +tp28038
    +a(g826
    +g978
    +tp28039
    +a(g423
    +Vp12
    +p28040
    +tp28041
    +a(g693
    +g976
    +tp28042
    +a(g826
    +g978
    +tp28043
    +a(g423
    +Vp13
    +p28044
    +tp28045
    +a(g693
    +g976
    +tp28046
    +a(g826
    +g978
    +tp28047
    +a(g423
    +Vp14
    +p28048
    +tp28049
    +a(g693
    +g976
    +tp28050
    +a(g826
    +g978
    +tp28051
    +a(g423
    +Vp15
    +p28052
    +tp28053
    +a(g693
    +g976
    +tp28054
    +a(g826
    +g978
    +tp28055
    +a(g423
    +Vp16
    +p28056
    +tp28057
    +a(g693
    +g976
    +tp28058
    +a(g826
    +g978
    +tp28059
    +a(g423
    +Vp17
    +p28060
    +tp28061
    +a(g693
    +g976
    +tp28062
    +a(g826
    +g978
    +tp28063
    +a(g423
    +Vp18
    +p28064
    +tp28065
    +a(g693
    +g976
    +tp28066
    +a(g826
    +g978
    +tp28067
    +a(g423
    +Vp19
    +p28068
    +tp28069
    +a(g693
    +g976
    +tp28070
    +a(g826
    +g978
    +tp28071
    +a(g423
    +Vp20
    +p28072
    +tp28073
    +a(g693
    +g976
    +tp28074
    +a(g826
    +g978
    +tp28075
    +a(g423
    +Vp24
    +p28076
    +tp28077
    +a(g693
    +g976
    +tp28078
    +a(g826
    +g978
    +tp28079
    +a(g423
    +VNone
    +p28080
    +tp28081
    +a(g693
    +g976
    +tp28082
    +a(g826
    +g978
    +tp28083
    +a(g423
    +Vi87
    +p28084
    +tp28085
    +a(g693
    +g976
    +tp28086
    +a(g826
    +g978
    +tp28087
    +a(g423
    +Vi94
    +p28088
    +tp28089
    +a(g693
    +g976
    +tp28090
    +a(g826
    +g978
    +tp28091
    +a(g423
    +VNone
    +p28092
    +tp28093
    +a(g693
    +g976
    +tp28094
    +a(g826
    +g978
    +tp28095
    +a(g423
    +Vi77
    +p28096
    +tp28097
    +a(g693
    +g976
    +tp28098
    +a(g826
    +g978
    +tp28099
    +a(g423
    +VNone
    +p28100
    +tp28101
    +a(g693
    +g976
    +tp28102
    +a(g826
    +g978
    +tp28103
    +a(g423
    +VNone
    +p28104
    +tp28105
    +a(g693
    +g976
    +tp28106
    +a(g826
    +g978
    +tp28107
    +a(g423
    +Vp26
    +p28108
    +tp28109
    +a(g693
    +g976
    +tp28110
    +a(g826
    +g978
    +tp28111
    +a(g423
    +Vi41
    +p28112
    +tp28113
    +a(g693
    +g1098
    +tp28114
    +a(g826
    +V\u000a
    +p28115
    +tp28116
    +a(g423
    +Vi104
    +p28117
    +tp28118
    +a(g826
    +g978
    +tp28119
    +a(g693
    +g1119
    +tp28120
    +a(g826
    +g978
    +tp28121
    +a(g669
    +Vgetfield_gc
    +p28122
    +tp28123
    +a(g693
    +g1104
    +tp28124
    +a(g423
    +Vp21
    +p28125
    +tp28126
    +a(g693
    +g976
    +tp28127
    +a(g826
    +g978
    +tp28128
    +a(g423
    +Vdescr
    +p28129
    +tp28130
    +a(g693
    +g1119
    +tp28131
    +a(g669
    +V
    +p28132
    +tp28133
    +a(g693
    +g1112
    +tp28134
    +a(g826
    +V\u000a
    +p28135
    +tp28136
    +a(g423
    +Vf105
    +p28137
    +tp28138
    +a(g826
    +g978
    +tp28139
    +a(g693
    +g1119
    +tp28140
    +a(g826
    +g978
    +tp28141
    +a(g669
    +Vgetarrayitem_raw
    +p28142
    +tp28143
    +a(g693
    +g1104
    +tp28144
    +a(g423
    +Vi104
    +p28145
    +tp28146
    +a(g693
    +g976
    +tp28147
    +a(g826
    +g978
    +tp28148
    +a(g423
    +Vi99
    +p28149
    +tp28150
    +a(g693
    +g976
    +tp28151
    +a(g826
    +g978
    +tp28152
    +a(g423
    +Vdescr
    +p28153
    +tp28154
    +a(g693
    +g1119
    +tp28155
    +a(g669
    +V
    +p28156
    +tp28157
    +a(g693
    +g1112
    +tp28158
    +a(g826
    +V\u000a
    +p28159
    +tp28160
    +a(g616
    +Vdebug_merge_point
    +p28161
    +tp28162
    +a(g693
    +g1104
    +tp28163
    +a(g76
    +V' #146 STORE_FAST'
    +p28164
    +tp28165
    +a(g693
    +g976
    +tp28166
    +a(g826
    +g978
    +tp28167
    +a(g22
    +g1110
    +tp28168
    +a(g693
    +g1112
    +tp28169
    +a(g826
    +V\u000a
    +p28170
    +tp28171
    +a(g616
    +Vdebug_merge_point
    +p28172
    +tp28173
    +a(g693
    +g1104
    +tp28174
    +a(g76
    +V' #149 LOAD_FAST'
    +p28175
    +tp28176
    +a(g693
    +g976
    +tp28177
    +a(g826
    +g978
    +tp28178
    +a(g22
    +g1110
    +tp28179
    +a(g693
    +g1112
    +tp28180
    +a(g826
    +V\u000a
    +p28181
    +tp28182
    +a(g616
    +Vdebug_merge_point
    +p28183
    +tp28184
    +a(g693
    +g1104
    +tp28185
    +a(g76
    +V' #152 LOAD_FAST'
    +p28186
    +tp28187
    +a(g693
    +g976
    +tp28188
    +a(g826
    +g978
    +tp28189
    +a(g22
    +g1110
    +tp28190
    +a(g693
    +g1112
    +tp28191
    +a(g826
    +V\u000a
    +p28192
    +tp28193
    +a(g616
    +Vdebug_merge_point
    +p28194
    +tp28195
    +a(g693
    +g1104
    +tp28196
    +a(g76
    +V' #155 LOAD_CONST'
    +p28197
    +tp28198
    +a(g693
    +g976
    +tp28199
    +a(g826
    +g978
    +tp28200
    +a(g22
    +g1110
    +tp28201
    +a(g693
    +g1112
    +tp28202
    +a(g826
    +V\u000a
    +p28203
    +tp28204
    +a(g616
    +Vdebug_merge_point
    +p28205
    +tp28206
    +a(g693
    +g1104
    +tp28207
    +a(g76
    +V' #158 BINARY_SUBTRACT'
    +p28208
    +tp28209
    +a(g693
    +g976
    +tp28210
    +a(g826
    +g978
    +tp28211
    +a(g22
    +g1110
    +tp28212
    +a(g693
    +g1112
    +tp28213
    +a(g826
    +V\u000a
    +p28214
    +tp28215
    +a(g423
    +Vi107
    +p28216
    +tp28217
    +a(g826
    +g978
    +tp28218
    +a(g693
    +g1119
    +tp28219
    +a(g826
    +g978
    +tp28220
    +a(g669
    +Vint_sub_ovf
    +p28221
    +tp28222
    +a(g693
    +g1104
    +tp28223
    +a(g423
    +Vi41
    +p28224
    +tp28225
    +a(g693
    +g976
    +tp28226
    +a(g826
    +g978
    +tp28227
    +a(g22
    +g1250
    +tp28228
    +a(g693
    +g1112
    +tp28229
    +a(g826
    +V\u000a
    +p28230
    +tp28231
    +a(g669
    +Vguard_no_overflow
    +p28232
    +tp28233
    +a(g693
    +g1104
    +tp28234
    +a(g693
    +g976
    +tp28235
    +a(g826
    +g978
    +tp28236
    +a(g423
    +Vdescr
    +p28237
    +tp28238
    +a(g693
    +g1119
    +tp28239
    +a(g669
    +V
    +p28240
    +tp28241
    +a(g693
    +g1112
    +tp28242
    +a(g826
    +g978
    +tp28243
    +a(g693
    +g972
    +tp28244
    +a(g423
    +Vp0
    +p28245
    +tp28246
    +a(g693
    +g976
    +tp28247
    +a(g826
    +g978
    +tp28248
    +a(g423
    +Vp1
    +p28249
    +tp28250
    +a(g693
    +g976
    +tp28251
    +a(g826
    +g978
    +tp28252
    +a(g423
    +Vi107
    +p28253
    +tp28254
    +a(g693
    +g976
    +tp28255
    +a(g826
    +g978
    +tp28256
    +a(g423
    +Vp5
    +p28257
    +tp28258
    +a(g693
    +g976
    +tp28259
    +a(g826
    +g978
    +tp28260
    +a(g423
    +Vp7
    +p28261
    +tp28262
    +a(g693
    +g976
    +tp28263
    +a(g826
    +g978
    +tp28264
    +a(g423
    +Vp21
    +p28265
    +tp28266
    +a(g693
    +g976
    +tp28267
    +a(g826
    +g978
    +tp28268
    +a(g423
    +Vp11
    +p28269
    +tp28270
    +a(g693
    +g976
    +tp28271
    +a(g826
    +g978
    +tp28272
    +a(g423
    +Vp12
    +p28273
    +tp28274
    +a(g693
    +g976
    +tp28275
    +a(g826
    +g978
    +tp28276
    +a(g423
    +Vp13
    +p28277
    +tp28278
    +a(g693
    +g976
    +tp28279
    +a(g826
    +g978
    +tp28280
    +a(g423
    +Vp14
    +p28281
    +tp28282
    +a(g693
    +g976
    +tp28283
    +a(g826
    +g978
    +tp28284
    +a(g423
    +Vp15
    +p28285
    +tp28286
    +a(g693
    +g976
    +tp28287
    +a(g826
    +g978
    +tp28288
    +a(g423
    +Vp16
    +p28289
    +tp28290
    +a(g693
    +g976
    +tp28291
    +a(g826
    +g978
    +tp28292
    +a(g423
    +Vp17
    +p28293
    +tp28294
    +a(g693
    +g976
    +tp28295
    +a(g826
    +g978
    +tp28296
    +a(g423
    +Vp18
    +p28297
    +tp28298
    +a(g693
    +g976
    +tp28299
    +a(g826
    +g978
    +tp28300
    +a(g423
    +Vp19
    +p28301
    +tp28302
    +a(g693
    +g976
    +tp28303
    +a(g826
    +g978
    +tp28304
    +a(g423
    +Vp20
    +p28305
    +tp28306
    +a(g693
    +g976
    +tp28307
    +a(g826
    +g978
    +tp28308
    +a(g423
    +Vf105
    +p28309
    +tp28310
    +a(g693
    +g976
    +tp28311
    +a(g826
    +g978
    +tp28312
    +a(g423
    +VNone
    +p28313
    +tp28314
    +a(g693
    +g976
    +tp28315
    +a(g826
    +g978
    +tp28316
    +a(g423
    +Vi87
    +p28317
    +tp28318
    +a(g693
    +g976
    +tp28319
    +a(g826
    +g978
    +tp28320
    +a(g423
    +Vi94
    +p28321
    +tp28322
    +a(g693
    +g976
    +tp28323
    +a(g826
    +g978
    +tp28324
    +a(g423
    +VNone
    +p28325
    +tp28326
    +a(g693
    +g976
    +tp28327
    +a(g826
    +g978
    +tp28328
    +a(g423
    +Vi77
    +p28329
    +tp28330
    +a(g693
    +g976
    +tp28331
    +a(g826
    +g978
    +tp28332
    +a(g423
    +VNone
    +p28333
    +tp28334
    +a(g693
    +g976
    +tp28335
    +a(g826
    +g978
    +tp28336
    +a(g423
    +VNone
    +p28337
    +tp28338
    +a(g693
    +g976
    +tp28339
    +a(g826
    +g978
    +tp28340
    +a(g423
    +Vp26
    +p28341
    +tp28342
    +a(g693
    +g976
    +tp28343
    +a(g826
    +g978
    +tp28344
    +a(g423
    +Vi41
    +p28345
    +tp28346
    +a(g693
    +g1098
    +tp28347
    +a(g826
    +V\u000a
    +p28348
    +tp28349
    +a(g616
    +Vdebug_merge_point
    +p28350
    +tp28351
    +a(g693
    +g1104
    +tp28352
    +a(g76
    +V' #159 LOAD_FAST'
    +p28353
    +tp28354
    +a(g693
    +g976
    +tp28355
    +a(g826
    +g978
    +tp28356
    +a(g22
    +g1110
    +tp28357
    +a(g693
    +g1112
    +tp28358
    +a(g826
    +V\u000a
    +p28359
    +tp28360
    +a(g616
    +Vdebug_merge_point
    +p28361
    +tp28362
    +a(g693
    +g1104
    +tp28363
    +a(g76
    +V' #162 BINARY_MULTIPLY'
    +p28364
    +tp28365
    +a(g693
    +g976
    +tp28366
    +a(g826
    +g978
    +tp28367
    +a(g22
    +g1110
    +tp28368
    +a(g693
    +g1112
    +tp28369
    +a(g826
    +V\u000a
    +p28370
    +tp28371
    +a(g423
    +Vi108
    +p28372
    +tp28373
    +a(g826
    +g978
    +tp28374
    +a(g693
    +g1119
    +tp28375
    +a(g826
    +g978
    +tp28376
    +a(g669
    +Vint_mul_ovf
    +p28377
    +tp28378
    +a(g693
    +g1104
    +tp28379
    +a(g423
    +Vi107
    +p28380
    +tp28381
    +a(g693
    +g976
    +tp28382
    +a(g826
    +g978
    +tp28383
    +a(g423
    +Vi97
    +p28384
    +tp28385
    +a(g693
    +g1112
    +tp28386
    +a(g826
    +V\u000a
    +p28387
    +tp28388
    +a(g669
    +Vguard_no_overflow
    +p28389
    +tp28390
    +a(g693
    +g1104
    +tp28391
    +a(g693
    +g976
    +tp28392
    +a(g826
    +g978
    +tp28393
    +a(g423
    +Vdescr
    +p28394
    +tp28395
    +a(g693
    +g1119
    +tp28396
    +a(g669
    +V
    +p28397
    +tp28398
    +a(g693
    +g1112
    +tp28399
    +a(g826
    +g978
    +tp28400
    +a(g693
    +g972
    +tp28401
    +a(g423
    +Vp0
    +p28402
    +tp28403
    +a(g693
    +g976
    +tp28404
    +a(g826
    +g978
    +tp28405
    +a(g423
    +Vp1
    +p28406
    +tp28407
    +a(g693
    +g976
    +tp28408
    +a(g826
    +g978
    +tp28409
    +a(g423
    +Vp19
    +p28410
    +tp28411
    +a(g693
    +g976
    +tp28412
    +a(g826
    +g978
    +tp28413
    +a(g423
    +Vi108
    +p28414
    +tp28415
    +a(g693
    +g976
    +tp28416
    +a(g826
    +g978
    +tp28417
    +a(g423
    +Vp5
    +p28418
    +tp28419
    +a(g693
    +g976
    +tp28420
    +a(g826
    +g978
    +tp28421
    +a(g423
    +Vp7
    +p28422
    +tp28423
    +a(g693
    +g976
    +tp28424
    +a(g826
    +g978
    +tp28425
    +a(g423
    +Vp21
    +p28426
    +tp28427
    +a(g693
    +g976
    +tp28428
    +a(g826
    +g978
    +tp28429
    +a(g423
    +Vp11
    +p28430
    +tp28431
    +a(g693
    +g976
    +tp28432
    +a(g826
    +g978
    +tp28433
    +a(g423
    +Vp12
    +p28434
    +tp28435
    +a(g693
    +g976
    +tp28436
    +a(g826
    +g978
    +tp28437
    +a(g423
    +Vp13
    +p28438
    +tp28439
    +a(g693
    +g976
    +tp28440
    +a(g826
    +g978
    +tp28441
    +a(g423
    +Vp14
    +p28442
    +tp28443
    +a(g693
    +g976
    +tp28444
    +a(g826
    +g978
    +tp28445
    +a(g423
    +Vp15
    +p28446
    +tp28447
    +a(g693
    +g976
    +tp28448
    +a(g826
    +g978
    +tp28449
    +a(g423
    +Vp16
    +p28450
    +tp28451
    +a(g693
    +g976
    +tp28452
    +a(g826
    +g978
    +tp28453
    +a(g423
    +Vp17
    +p28454
    +tp28455
    +a(g693
    +g976
    +tp28456
    +a(g826
    +g978
    +tp28457
    +a(g423
    +Vp18
    +p28458
    +tp28459
    +a(g693
    +g976
    +tp28460
    +a(g826
    +g978
    +tp28461
    +a(g423
    +Vp20
    +p28462
    +tp28463
    +a(g693
    +g976
    +tp28464
    +a(g826
    +g978
    +tp28465
    +a(g423
    +Vi107
    +p28466
    +tp28467
    +a(g693
    +g976
    +tp28468
    +a(g826
    +g978
    +tp28469
    +a(g423
    +Vf105
    +p28470
    +tp28471
    +a(g693
    +g976
    +tp28472
    +a(g826
    +g978
    +tp28473
    +a(g423
    +VNone
    +p28474
    +tp28475
    +a(g693
    +g976
    +tp28476
    +a(g826
    +g978
    +tp28477
    +a(g423
    +Vi87
    +p28478
    +tp28479
    +a(g693
    +g976
    +tp28480
    +a(g826
    +g978
    +tp28481
    +a(g423
    +Vi94
    +p28482
    +tp28483
    +a(g693
    +g976
    +tp28484
    +a(g826
    +g978
    +tp28485
    +a(g423
    +VNone
    +p28486
    +tp28487
    +a(g693
    +g976
    +tp28488
    +a(g826
    +g978
    +tp28489
    +a(g423
    +Vi77
    +p28490
    +tp28491
    +a(g693
    +g976
    +tp28492
    +a(g826
    +g978
    +tp28493
    +a(g423
    +VNone
    +p28494
    +tp28495
    +a(g693
    +g976
    +tp28496
    +a(g826
    +g978
    +tp28497
    +a(g423
    +VNone
    +p28498
    +tp28499
    +a(g693
    +g976
    +tp28500
    +a(g826
    +g978
    +tp28501
    +a(g423
    +Vp26
    +p28502
    +tp28503
    +a(g693
    +g976
    +tp28504
    +a(g826
    +g978
    +tp28505
    +a(g423
    +Vi41
    +p28506
    +tp28507
    +a(g693
    +g1098
    +tp28508
    +a(g826
    +V\u000a
    +p28509
    +tp28510
    +a(g616
    +Vdebug_merge_point
    +p28511
    +tp28512
    +a(g693
    +g1104
    +tp28513
    +a(g76
    +V' #163 LOAD_FAST'
    +p28514
    +tp28515
    +a(g693
    +g976
    +tp28516
    +a(g826
    +g978
    +tp28517
    +a(g22
    +g1110
    +tp28518
    +a(g693
    +g1112
    +tp28519
    +a(g826
    +V\u000a
    +p28520
    +tp28521
    +a(g616
    +Vdebug_merge_point
    +p28522
    +tp28523
    +a(g693
    +g1104
    +tp28524
    +a(g76
    +V' #166 BINARY_ADD'
    +p28525
    +tp28526
    +a(g693
    +g976
    +tp28527
    +a(g826
    +g978
    +tp28528
    +a(g22
    +g1110
    +tp28529
    +a(g693
    +g1112
    +tp28530
    +a(g826
    +V\u000a
    +p28531
    +tp28532
    +a(g423
    +Vi109
    +p28533
    +tp28534
    +a(g826
    +g978
    +tp28535
    +a(g693
    +g1119
    +tp28536
    +a(g826
    +g978
    +tp28537
    +a(g669
    +Vint_add_ovf
    +p28538
    +tp28539
    +a(g693
    +g1104
    +tp28540
    +a(g423
    +Vi108
    +p28541
    +tp28542
    +a(g693
    +g976
    +tp28543
    +a(g826
    +g978
    +tp28544
    +a(g22
    +g1250
    +tp28545
    +a(g693
    +g1112
    +tp28546
    +a(g826
    +V\u000a
    +p28547
    +tp28548
    +a(g669
    +Vguard_no_overflow
    +p28549
    +tp28550
    +a(g693
    +g1104
    +tp28551
    +a(g693
    +g976
    +tp28552
    +a(g826
    +g978
    +tp28553
    +a(g423
    +Vdescr
    +p28554
    +tp28555
    +a(g693
    +g1119
    +tp28556
    +a(g669
    +V
    +p28557
    +tp28558
    +a(g693
    +g1112
    +tp28559
    +a(g826
    +g978
    +tp28560
    +a(g693
    +g972
    +tp28561
    +a(g423
    +Vp0
    +p28562
    +tp28563
    +a(g693
    +g976
    +tp28564
    +a(g826
    +g978
    +tp28565
    +a(g423
    +Vp1
    +p28566
    +tp28567
    +a(g693
    +g976
    +tp28568
    +a(g826
    +g978
    +tp28569
    +a(g423
    +Vi109
    +p28570
    +tp28571
    +a(g693
    +g976
    +tp28572
    +a(g826
    +g978
    +tp28573
    +a(g423
    +Vp5
    +p28574
    +tp28575
    +a(g693
    +g976
    +tp28576
    +a(g826
    +g978
    +tp28577
    +a(g423
    +Vp7
    +p28578
    +tp28579
    +a(g693
    +g976
    +tp28580
    +a(g826
    +g978
    +tp28581
    +a(g423
    +Vp21
    +p28582
    +tp28583
    +a(g693
    +g976
    +tp28584
    +a(g826
    +g978
    +tp28585
    +a(g423
    +Vp11
    +p28586
    +tp28587
    +a(g693
    +g976
    +tp28588
    +a(g826
    +g978
    +tp28589
    +a(g423
    +Vp12
    +p28590
    +tp28591
    +a(g693
    +g976
    +tp28592
    +a(g826
    +g978
    +tp28593
    +a(g423
    +Vp13
    +p28594
    +tp28595
    +a(g693
    +g976
    +tp28596
    +a(g826
    +g978
    +tp28597
    +a(g423
    +Vp14
    +p28598
    +tp28599
    +a(g693
    +g976
    +tp28600
    +a(g826
    +g978
    +tp28601
    +a(g423
    +Vp15
    +p28602
    +tp28603
    +a(g693
    +g976
    +tp28604
    +a(g826
    +g978
    +tp28605
    +a(g423
    +Vp16
    +p28606
    +tp28607
    +a(g693
    +g976
    +tp28608
    +a(g826
    +g978
    +tp28609
    +a(g423
    +Vp17
    +p28610
    +tp28611
    +a(g693
    +g976
    +tp28612
    +a(g826
    +g978
    +tp28613
    +a(g423
    +Vp18
    +p28614
    +tp28615
    +a(g693
    +g976
    +tp28616
    +a(g826
    +g978
    +tp28617
    +a(g423
    +Vp19
    +p28618
    +tp28619
    +a(g693
    +g976
    +tp28620
    +a(g826
    +g978
    +tp28621
    +a(g423
    +Vp20
    +p28622
    +tp28623
    +a(g693
    +g976
    +tp28624
    +a(g826
    +g978
    +tp28625
    +a(g423
    +Vi108
    +p28626
    +tp28627
    +a(g693
    +g976
    +tp28628
    +a(g826
    +g978
    +tp28629
    +a(g423
    +VNone
    +p28630
    +tp28631
    +a(g693
    +g976
    +tp28632
    +a(g826
    +g978
    +tp28633
    +a(g423
    +Vf105
    +p28634
    +tp28635
    +a(g693
    +g976
    +tp28636
    +a(g826
    +g978
    +tp28637
    +a(g423
    +VNone
    +p28638
    +tp28639
    +a(g693
    +g976
    +tp28640
    +a(g826
    +g978
    +tp28641
    +a(g423
    +Vi87
    +p28642
    +tp28643
    +a(g693
    +g976
    +tp28644
    +a(g826
    +g978
    +tp28645
    +a(g423
    +Vi94
    +p28646
    +tp28647
    +a(g693
    +g976
    +tp28648
    +a(g826
    +g978
    +tp28649
    +a(g423
    +VNone
    +p28650
    +tp28651
    +a(g693
    +g976
    +tp28652
    +a(g826
    +g978
    +tp28653
    +a(g423
    +Vi77
    +p28654
    +tp28655
    +a(g693
    +g976
    +tp28656
    +a(g826
    +g978
    +tp28657
    +a(g423
    +VNone
    +p28658
    +tp28659
    +a(g693
    +g976
    +tp28660
    +a(g826
    +g978
    +tp28661
    +a(g423
    +VNone
    +p28662
    +tp28663
    +a(g693
    +g976
    +tp28664
    +a(g826
    +g978
    +tp28665
    +a(g423
    +Vp26
    +p28666
    +tp28667
    +a(g693
    +g976
    +tp28668
    +a(g826
    +g978
    +tp28669
    +a(g423
    +Vi41
    +p28670
    +tp28671
    +a(g693
    +g1098
    +tp28672
    +a(g826
    +V\u000a
    +p28673
    +tp28674
    +a(g616
    +Vdebug_merge_point
    +p28675
    +tp28676
    +a(g693
    +g1104
    +tp28677
    +a(g76
    +V' #167 BINARY_SUBSCR'
    +p28678
    +tp28679
    +a(g693
    +g976
    +tp28680
    +a(g826
    +g978
    +tp28681
    +a(g22
    +g1110
    +tp28682
    +a(g693
    +g1112
    +tp28683
    +a(g826
    +V\u000a
    +p28684
    +tp28685
    +a(g423
    +Vi111
    +p28686
    +tp28687
    +a(g826
    +g978
    +tp28688
    +a(g693
    +g1119
    +tp28689
    +a(g826
    +g978
    +tp28690
    +a(g669
    +Vint_lt
    +p28691
    +tp28692
    +a(g693
    +g1104
    +tp28693
    +a(g423
    +Vi109
    +p28694
    +tp28695
    +a(g693
    +g976
    +tp28696
    +a(g826
    +g978
    +tp28697
    +a(g22
    +g1110
    +tp28698
    +a(g693
    +g1112
    +tp28699
    +a(g826
    +V\u000a
    +p28700
    +tp28701
    +a(g669
    +Vguard_false
    +p28702
    +tp28703
    +a(g693
    +g1104
    +tp28704
    +a(g423
    +Vi111
    +p28705
    +tp28706
    +a(g693
    +g976
    +tp28707
    +a(g826
    +g978
    +tp28708
    +a(g423
    +Vdescr
    +p28709
    +tp28710
    +a(g693
    +g1119
    +tp28711
    +a(g669
    +V
    +p28712
    +tp28713
    +a(g693
    +g1112
    +tp28714
    +a(g826
    +g978
    +tp28715
    +a(g693
    +g972
    +tp28716
    +a(g423
    +Vp0
    +p28717
    +tp28718
    +a(g693
    +g976
    +tp28719
    +a(g826
    +g978
    +tp28720
    +a(g423
    +Vp1
    +p28721
    +tp28722
    +a(g693
    +g976
    +tp28723
    +a(g826
    +g978
    +tp28724
    +a(g423
    +Vp21
    +p28725
    +tp28726
    +a(g693
    +g976
    +tp28727
    +a(g826
    +g978
    +tp28728
    +a(g423
    +Vi109
    +p28729
    +tp28730
    +a(g693
    +g976
    +tp28731
    +a(g826
    +g978
    +tp28732
    +a(g423
    +Vi100
    +p28733
    +tp28734
    +a(g693
    +g976
    +tp28735
    +a(g826
    +g978
    +tp28736
    +a(g423
    +Vp5
    +p28737
    +tp28738
    +a(g693
    +g976
    +tp28739
    +a(g826
    +g978
    +tp28740
    +a(g423
    +Vp7
    +p28741
    +tp28742
    +a(g693
    +g976
    +tp28743
    +a(g826
    +g978
    +tp28744
    +a(g423
    +Vp11
    +p28745
    +tp28746
    +a(g693
    +g976
    +tp28747
    +a(g826
    +g978
    +tp28748
    +a(g423
    +Vp12
    +p28749
    +tp28750
    +a(g693
    +g976
    +tp28751
    +a(g826
    +g978
    +tp28752
    +a(g423
    +Vp13
    +p28753
    +tp28754
    +a(g693
    +g976
    +tp28755
    +a(g826
    +g978
    +tp28756
    +a(g423
    +Vp14
    +p28757
    +tp28758
    +a(g693
    +g976
    +tp28759
    +a(g826
    +g978
    +tp28760
    +a(g423
    +Vp15
    +p28761
    +tp28762
    +a(g693
    +g976
    +tp28763
    +a(g826
    +g978
    +tp28764
    +a(g423
    +Vp16
    +p28765
    +tp28766
    +a(g693
    +g976
    +tp28767
    +a(g826
    +g978
    +tp28768
    +a(g423
    +Vp17
    +p28769
    +tp28770
    +a(g693
    +g976
    +tp28771
    +a(g826
    +g978
    +tp28772
    +a(g423
    +Vp18
    +p28773
    +tp28774
    +a(g693
    +g976
    +tp28775
    +a(g826
    +g978
    +tp28776
    +a(g423
    +Vp19
    +p28777
    +tp28778
    +a(g693
    +g976
    +tp28779
    +a(g826
    +g978
    +tp28780
    +a(g423
    +Vp20
    +p28781
    +tp28782
    +a(g693
    +g976
    +tp28783
    +a(g826
    +g978
    +tp28784
    +a(g423
    +VNone
    +p28785
    +tp28786
    +a(g693
    +g976
    +tp28787
    +a(g826
    +g978
    +tp28788
    +a(g423
    +VNone
    +p28789
    +tp28790
    +a(g693
    +g976
    +tp28791
    +a(g826
    +g978
    +tp28792
    +a(g423
    +Vf105
    +p28793
    +tp28794
    +a(g693
    +g976
    +tp28795
    +a(g826
    +g978
    +tp28796
    +a(g423
    +VNone
    +p28797
    +tp28798
    +a(g693
    +g976
    +tp28799
    +a(g826
    +g978
    +tp28800
    +a(g423
    +Vi87
    +p28801
    +tp28802
    +a(g693
    +g976
    +tp28803
    +a(g826
    +g978
    +tp28804
    +a(g423
    +Vi94
    +p28805
    +tp28806
    +a(g693
    +g976
    +tp28807
    +a(g826
    +g978
    +tp28808
    +a(g423
    +VNone
    +p28809
    +tp28810
    +a(g693
    +g976
    +tp28811
    +a(g826
    +g978
    +tp28812
    +a(g423
    +Vi77
    +p28813
    +tp28814
    +a(g693
    +g976
    +tp28815
    +a(g826
    +g978
    +tp28816
    +a(g423
    +VNone
    +p28817
    +tp28818
    +a(g693
    +g976
    +tp28819
    +a(g826
    +g978
    +tp28820
    +a(g423
    +VNone
    +p28821
    +tp28822
    +a(g693
    +g976
    +tp28823
    +a(g826
    +g978
    +tp28824
    +a(g423
    +Vp26
    +p28825
    +tp28826
    +a(g693
    +g976
    +tp28827
    +a(g826
    +g978
    +tp28828
    +a(g423
    +Vi41
    +p28829
    +tp28830
    +a(g693
    +g1098
    +tp28831
    +a(g826
    +V\u000a
    +p28832
    +tp28833
    +a(g423
    +Vi112
    +p28834
    +tp28835
    +a(g826
    +g978
    +tp28836
    +a(g693
    +g1119
    +tp28837
    +a(g826
    +g978
    +tp28838
    +a(g669
    +Vint_lt
    +p28839
    +tp28840
    +a(g693
    +g1104
    +tp28841
    +a(g423
    +Vi109
    +p28842
    +tp28843
    +a(g693
    +g976
    +tp28844
    +a(g826
    +g978
    +tp28845
    +a(g423
    +Vi100
    +p28846
    +tp28847
    +a(g693
    +g1112
    +tp28848
    +a(g826
    +V\u000a
    +p28849
    +tp28850
    +a(g669
    +Vguard_true
    +p28851
    +tp28852
    +a(g693
    +g1104
    +tp28853
    +a(g423
    +Vi112
    +p28854
    +tp28855
    +a(g693
    +g976
    +tp28856
    +a(g826
    +g978
    +tp28857
    +a(g423
    +Vdescr
    +p28858
    +tp28859
    +a(g693
    +g1119
    +tp28860
    +a(g669
    +V
    +p28861
    +tp28862
    +a(g693
    +g1112
    +tp28863
    +a(g826
    +g978
    +tp28864
    +a(g693
    +g972
    +tp28865
    +a(g423
    +Vp0
    +p28866
    +tp28867
    +a(g693
    +g976
    +tp28868
    +a(g826
    +g978
    +tp28869
    +a(g423
    +Vp1
    +p28870
    +tp28871
    +a(g693
    +g976
    +tp28872
    +a(g826
    +g978
    +tp28873
    +a(g423
    +Vp21
    +p28874
    +tp28875
    +a(g693
    +g976
    +tp28876
    +a(g826
    +g978
    +tp28877
    +a(g423
    +Vi109
    +p28878
    +tp28879
    +a(g693
    +g976
    +tp28880
    +a(g826
    +g978
    +tp28881
    +a(g423
    +Vp5
    +p28882
    +tp28883
    +a(g693
    +g976
    +tp28884
    +a(g826
    +g978
    +tp28885
    +a(g423
    +Vp7
    +p28886
    +tp28887
    +a(g693
    +g976
    +tp28888
    +a(g826
    +g978
    +tp28889
    +a(g423
    +Vp11
    +p28890
    +tp28891
    +a(g693
    +g976
    +tp28892
    +a(g826
    +g978
    +tp28893
    +a(g423
    +Vp12
    +p28894
    +tp28895
    +a(g693
    +g976
    +tp28896
    +a(g826
    +g978
    +tp28897
    +a(g423
    +Vp13
    +p28898
    +tp28899
    +a(g693
    +g976
    +tp28900
    +a(g826
    +g978
    +tp28901
    +a(g423
    +Vp14
    +p28902
    +tp28903
    +a(g693
    +g976
    +tp28904
    +a(g826
    +g978
    +tp28905
    +a(g423
    +Vp15
    +p28906
    +tp28907
    +a(g693
    +g976
    +tp28908
    +a(g826
    +g978
    +tp28909
    +a(g423
    +Vp16
    +p28910
    +tp28911
    +a(g693
    +g976
    +tp28912
    +a(g826
    +g978
    +tp28913
    +a(g423
    +Vp17
    +p28914
    +tp28915
    +a(g693
    +g976
    +tp28916
    +a(g826
    +g978
    +tp28917
    +a(g423
    +Vp18
    +p28918
    +tp28919
    +a(g693
    +g976
    +tp28920
    +a(g826
    +g978
    +tp28921
    +a(g423
    +Vp19
    +p28922
    +tp28923
    +a(g693
    +g976
    +tp28924
    +a(g826
    +g978
    +tp28925
    +a(g423
    +Vp20
    +p28926
    +tp28927
    +a(g693
    +g976
    +tp28928
    +a(g826
    +g978
    +tp28929
    +a(g423
    +VNone
    +p28930
    +tp28931
    +a(g693
    +g976
    +tp28932
    +a(g826
    +g978
    +tp28933
    +a(g423
    +VNone
    +p28934
    +tp28935
    +a(g693
    +g976
    +tp28936
    +a(g826
    +g978
    +tp28937
    +a(g423
    +Vf105
    +p28938
    +tp28939
    +a(g693
    +g976
    +tp28940
    +a(g826
    +g978
    +tp28941
    +a(g423
    +VNone
    +p28942
    +tp28943
    +a(g693
    +g976
    +tp28944
    +a(g826
    +g978
    +tp28945
    +a(g423
    +Vi87
    +p28946
    +tp28947
    +a(g693
    +g976
    +tp28948
    +a(g826
    +g978
    +tp28949
    +a(g423
    +Vi94
    +p28950
    +tp28951
    +a(g693
    +g976
    +tp28952
    +a(g826
    +g978
    +tp28953
    +a(g423
    +VNone
    +p28954
    +tp28955
    +a(g693
    +g976
    +tp28956
    +a(g826
    +g978
    +tp28957
    +a(g423
    +Vi77
    +p28958
    +tp28959
    +a(g693
    +g976
    +tp28960
    +a(g826
    +g978
    +tp28961
    +a(g423
    +VNone
    +p28962
    +tp28963
    +a(g693
    +g976
    +tp28964
    +a(g826
    +g978
    +tp28965
    +a(g423
    +VNone
    +p28966
    +tp28967
    +a(g693
    +g976
    +tp28968
    +a(g826
    +g978
    +tp28969
    +a(g423
    +Vp26
    +p28970
    +tp28971
    +a(g693
    +g976
    +tp28972
    +a(g826
    +g978
    +tp28973
    +a(g423
    +Vi41
    +p28974
    +tp28975
    +a(g693
    +g1098
    +tp28976
    +a(g826
    +V\u000a
    +p28977
    +tp28978
    +a(g423
    +Vf113
    +p28979
    +tp28980
    +a(g826
    +g978
    +tp28981
    +a(g693
    +g1119
    +tp28982
    +a(g826
    +g978
    +tp28983
    +a(g669
    +Vgetarrayitem_raw
    +p28984
    +tp28985
    +a(g693
    +g1104
    +tp28986
    +a(g423
    +Vi104
    +p28987
    +tp28988
    +a(g693
    +g976
    +tp28989
    +a(g826
    +g978
    +tp28990
    +a(g423
    +Vi109
    +p28991
    +tp28992
    +a(g693
    +g976
    +tp28993
    +a(g826
    +g978
    +tp28994
    +a(g423
    +Vdescr
    +p28995
    +tp28996
    +a(g693
    +g1119
    +tp28997
    +a(g669
    +V
    +p28998
    +tp28999
    +a(g693
    +g1112
    +tp29000
    +a(g826
    +V\u000a
    +p29001
    +tp29002
    +a(g616
    +Vdebug_merge_point
    +p29003
    +tp29004
    +a(g693
    +g1104
    +tp29005
    +a(g76
    +V' #168 LOAD_FAST'
    +p29006
    +tp29007
    +a(g693
    +g976
    +tp29008
    +a(g826
    +g978
    +tp29009
    +a(g22
    +g1110
    +tp29010
    +a(g693
    +g1112
    +tp29011
    +a(g826
    +V\u000a
    +p29012
    +tp29013
    +a(g616
    +Vdebug_merge_point
    +p29014
    +tp29015
    +a(g693
    +g1104
    +tp29016
    +a(g76
    +V' #171 LOAD_FAST'
    +p29017
    +tp29018
    +a(g693
    +g976
    +tp29019
    +a(g826
    +g978
    +tp29020
    +a(g22
    +g1110
    +tp29021
    +a(g693
    +g1112
    +tp29022
    +a(g826
    +V\u000a
    +p29023
    +tp29024
    +a(g616
    +Vdebug_merge_point
    +p29025
    +tp29026
    +a(g693
    +g1104
    +tp29027
    +a(g76
    +V' #174 LOAD_CONST'
    +p29028
    +tp29029
    +a(g693
    +g976
    +tp29030
    +a(g826
    +g978
    +tp29031
    +a(g22
    +g1110
    +tp29032
    +a(g693
    +g1112
    +tp29033
    +a(g826
    +V\u000a
    +p29034
    +tp29035
    +a(g616
    +Vdebug_merge_point
    +p29036
    +tp29037
    +a(g693
    +g1104
    +tp29038
    +a(g76
    +V' #177 BINARY_ADD'
    +p29039
    +tp29040
    +a(g693
    +g976
    +tp29041
    +a(g826
    +g978
    +tp29042
    +a(g22
    +g1110
    +tp29043
    +a(g693
    +g1112
    +tp29044
    +a(g826
    +V\u000a
    +p29045
    +tp29046
    +a(g423
    +Vi115
    +p29047
    +tp29048
    +a(g826
    +g978
    +tp29049
    +a(g693
    +g1119
    +tp29050
    +a(g826
    +g978
    +tp29051
    +a(g669
    +Vint_add_ovf
    +p29052
    +tp29053
    +a(g693
    +g1104
    +tp29054
    +a(g423
    +Vi41
    +p29055
    +tp29056
    +a(g693
    +g976
    +tp29057
    +a(g826
    +g978
    +tp29058
    +a(g22
    +g1250
    +tp29059
    +a(g693
    +g1112
    +tp29060
    +a(g826
    +V\u000a
    +p29061
    +tp29062
    +a(g669
    +Vguard_no_overflow
    +p29063
    +tp29064
    +a(g693
    +g1104
    +tp29065
    +a(g693
    +g976
    +tp29066
    +a(g826
    +g978
    +tp29067
    +a(g423
    +Vdescr
    +p29068
    +tp29069
    +a(g693
    +g1119
    +tp29070
    +a(g669
    +V
    +p29071
    +tp29072
    +a(g693
    +g1112
    +tp29073
    +a(g826
    +g978
    +tp29074
    +a(g693
    +g972
    +tp29075
    +a(g423
    +Vp0
    +p29076
    +tp29077
    +a(g693
    +g976
    +tp29078
    +a(g826
    +g978
    +tp29079
    +a(g423
    +Vp1
    +p29080
    +tp29081
    +a(g693
    +g976
    +tp29082
    +a(g826
    +g978
    +tp29083
    +a(g423
    +Vi115
    +p29084
    +tp29085
    +a(g693
    +g976
    +tp29086
    +a(g826
    +g978
    +tp29087
    +a(g423
    +Vp5
    +p29088
    +tp29089
    +a(g693
    +g976
    +tp29090
    +a(g826
    +g978
    +tp29091
    +a(g423
    +Vp7
    +p29092
    +tp29093
    +a(g693
    +g976
    +tp29094
    +a(g826
    +g978
    +tp29095
    +a(g423
    +Vp21
    +p29096
    +tp29097
    +a(g693
    +g976
    +tp29098
    +a(g826
    +g978
    +tp29099
    +a(g423
    +Vp12
    +p29100
    +tp29101
    +a(g693
    +g976
    +tp29102
    +a(g826
    +g978
    +tp29103
    +a(g423
    +Vp13
    +p29104
    +tp29105
    +a(g693
    +g976
    +tp29106
    +a(g826
    +g978
    +tp29107
    +a(g423
    +Vp14
    +p29108
    +tp29109
    +a(g693
    +g976
    +tp29110
    +a(g826
    +g978
    +tp29111
    +a(g423
    +Vp15
    +p29112
    +tp29113
    +a(g693
    +g976
    +tp29114
    +a(g826
    +g978
    +tp29115
    +a(g423
    +Vp16
    +p29116
    +tp29117
    +a(g693
    +g976
    +tp29118
    +a(g826
    +g978
    +tp29119
    +a(g423
    +Vp17
    +p29120
    +tp29121
    +a(g693
    +g976
    +tp29122
    +a(g826
    +g978
    +tp29123
    +a(g423
    +Vp18
    +p29124
    +tp29125
    +a(g693
    +g976
    +tp29126
    +a(g826
    +g978
    +tp29127
    +a(g423
    +Vp19
    +p29128
    +tp29129
    +a(g693
    +g976
    +tp29130
    +a(g826
    +g978
    +tp29131
    +a(g423
    +Vp20
    +p29132
    +tp29133
    +a(g693
    +g976
    +tp29134
    +a(g826
    +g978
    +tp29135
    +a(g423
    +Vf113
    +p29136
    +tp29137
    +a(g693
    +g976
    +tp29138
    +a(g826
    +g978
    +tp29139
    +a(g423
    +VNone
    +p29140
    +tp29141
    +a(g693
    +g976
    +tp29142
    +a(g826
    +g978
    +tp29143
    +a(g423
    +VNone
    +p29144
    +tp29145
    +a(g693
    +g976
    +tp29146
    +a(g826
    +g978
    +tp29147
    +a(g423
    +Vf105
    +p29148
    +tp29149
    +a(g693
    +g976
    +tp29150
    +a(g826
    +g978
    +tp29151
    +a(g423
    +VNone
    +p29152
    +tp29153
    +a(g693
    +g976
    +tp29154
    +a(g826
    +g978
    +tp29155
    +a(g423
    +Vi87
    +p29156
    +tp29157
    +a(g693
    +g976
    +tp29158
    +a(g826
    +g978
    +tp29159
    +a(g423
    +Vi94
    +p29160
    +tp29161
    +a(g693
    +g976
    +tp29162
    +a(g826
    +g978
    +tp29163
    +a(g423
    +VNone
    +p29164
    +tp29165
    +a(g693
    +g976
    +tp29166
    +a(g826
    +g978
    +tp29167
    +a(g423
    +Vi77
    +p29168
    +tp29169
    +a(g693
    +g976
    +tp29170
    +a(g826
    +g978
    +tp29171
    +a(g423
    +VNone
    +p29172
    +tp29173
    +a(g693
    +g976
    +tp29174
    +a(g826
    +g978
    +tp29175
    +a(g423
    +VNone
    +p29176
    +tp29177
    +a(g693
    +g976
    +tp29178
    +a(g826
    +g978
    +tp29179
    +a(g423
    +Vp26
    +p29180
    +tp29181
    +a(g693
    +g976
    +tp29182
    +a(g826
    +g978
    +tp29183
    +a(g423
    +Vi41
    +p29184
    +tp29185
    +a(g693
    +g1098
    +tp29186
    +a(g826
    +V\u000a
    +p29187
    +tp29188
    +a(g616
    +Vdebug_merge_point
    +p29189
    +tp29190
    +a(g693
    +g1104
    +tp29191
    +a(g76
    +V' #178 LOAD_FAST'
    +p29192
    +tp29193
    +a(g693
    +g976
    +tp29194
    +a(g826
    +g978
    +tp29195
    +a(g22
    +g1110
    +tp29196
    +a(g693
    +g1112
    +tp29197
    +a(g826
    +V\u000a
    +p29198
    +tp29199
    +a(g616
    +Vdebug_merge_point
    +p29200
    +tp29201
    +a(g693
    +g1104
    +tp29202
    +a(g76
    +V' #181 BINARY_MULTIPLY'
    +p29203
    +tp29204
    +a(g693
    +g976
    +tp29205
    +a(g826
    +g978
    +tp29206
    +a(g22
    +g1110
    +tp29207
    +a(g693
    +g1112
    +tp29208
    +a(g826
    +V\u000a
    +p29209
    +tp29210
    +a(g423
    +Vi116
    +p29211
    +tp29212
    +a(g826
    +g978
    +tp29213
    +a(g693
    +g1119
    +tp29214
    +a(g826
    +g978
    +tp29215
    +a(g669
    +Vint_mul_ovf
    +p29216
    +tp29217
    +a(g693
    +g1104
    +tp29218
    +a(g423
    +Vi115
    +p29219
    +tp29220
    +a(g693
    +g976
    +tp29221
    +a(g826
    +g978
    +tp29222
    +a(g423
    +Vi97
    +p29223
    +tp29224
    +a(g693
    +g1112
    +tp29225
    +a(g826
    +V\u000a
    +p29226
    +tp29227
    +a(g669
    +Vguard_no_overflow
    +p29228
    +tp29229
    +a(g693
    +g1104
    +tp29230
    +a(g693
    +g976
    +tp29231
    +a(g826
    +g978
    +tp29232
    +a(g423
    +Vdescr
    +p29233
    +tp29234
    +a(g693
    +g1119
    +tp29235
    +a(g669
    +V
    +p29236
    +tp29237
    +a(g693
    +g1112
    +tp29238
    +a(g826
    +g978
    +tp29239
    +a(g693
    +g972
    +tp29240
    +a(g423
    +Vp0
    +p29241
    +tp29242
    +a(g693
    +g976
    +tp29243
    +a(g826
    +g978
    +tp29244
    +a(g423
    +Vp1
    +p29245
    +tp29246
    +a(g693
    +g976
    +tp29247
    +a(g826
    +g978
    +tp29248
    +a(g423
    +Vp19
    +p29249
    +tp29250
    +a(g693
    +g976
    +tp29251
    +a(g826
    +g978
    +tp29252
    +a(g423
    +Vi116
    +p29253
    +tp29254
    +a(g693
    +g976
    +tp29255
    +a(g826
    +g978
    +tp29256
    +a(g423
    +Vp5
    +p29257
    +tp29258
    +a(g693
    +g976
    +tp29259
    +a(g826
    +g978
    +tp29260
    +a(g423
    +Vp7
    +p29261
    +tp29262
    +a(g693
    +g976
    +tp29263
    +a(g826
    +g978
    +tp29264
    +a(g423
    +Vp21
    +p29265
    +tp29266
    +a(g693
    +g976
    +tp29267
    +a(g826
    +g978
    +tp29268
    +a(g423
    +Vp12
    +p29269
    +tp29270
    +a(g693
    +g976
    +tp29271
    +a(g826
    +g978
    +tp29272
    +a(g423
    +Vp13
    +p29273
    +tp29274
    +a(g693
    +g976
    +tp29275
    +a(g826
    +g978
    +tp29276
    +a(g423
    +Vp14
    +p29277
    +tp29278
    +a(g693
    +g976
    +tp29279
    +a(g826
    +g978
    +tp29280
    +a(g423
    +Vp15
    +p29281
    +tp29282
    +a(g693
    +g976
    +tp29283
    +a(g826
    +g978
    +tp29284
    +a(g423
    +Vp16
    +p29285
    +tp29286
    +a(g693
    +g976
    +tp29287
    +a(g826
    +g978
    +tp29288
    +a(g423
    +Vp17
    +p29289
    +tp29290
    +a(g693
    +g976
    +tp29291
    +a(g826
    +g978
    +tp29292
    +a(g423
    +Vp18
    +p29293
    +tp29294
    +a(g693
    +g976
    +tp29295
    +a(g826
    +g978
    +tp29296
    +a(g423
    +Vp20
    +p29297
    +tp29298
    +a(g693
    +g976
    +tp29299
    +a(g826
    +g978
    +tp29300
    +a(g423
    +Vi115
    +p29301
    +tp29302
    +a(g693
    +g976
    +tp29303
    +a(g826
    +g978
    +tp29304
    +a(g423
    +Vf113
    +p29305
    +tp29306
    +a(g693
    +g976
    +tp29307
    +a(g826
    +g978
    +tp29308
    +a(g423
    +VNone
    +p29309
    +tp29310
    +a(g693
    +g976
    +tp29311
    +a(g826
    +g978
    +tp29312
    +a(g423
    +VNone
    +p29313
    +tp29314
    +a(g693
    +g976
    +tp29315
    +a(g826
    +g978
    +tp29316
    +a(g423
    +Vf105
    +p29317
    +tp29318
    +a(g693
    +g976
    +tp29319
    +a(g826
    +g978
    +tp29320
    +a(g423
    +VNone
    +p29321
    +tp29322
    +a(g693
    +g976
    +tp29323
    +a(g826
    +g978
    +tp29324
    +a(g423
    +Vi87
    +p29325
    +tp29326
    +a(g693
    +g976
    +tp29327
    +a(g826
    +g978
    +tp29328
    +a(g423
    +Vi94
    +p29329
    +tp29330
    +a(g693
    +g976
    +tp29331
    +a(g826
    +g978
    +tp29332
    +a(g423
    +VNone
    +p29333
    +tp29334
    +a(g693
    +g976
    +tp29335
    +a(g826
    +g978
    +tp29336
    +a(g423
    +Vi77
    +p29337
    +tp29338
    +a(g693
    +g976
    +tp29339
    +a(g826
    +g978
    +tp29340
    +a(g423
    +VNone
    +p29341
    +tp29342
    +a(g693
    +g976
    +tp29343
    +a(g826
    +g978
    +tp29344
    +a(g423
    +VNone
    +p29345
    +tp29346
    +a(g693
    +g976
    +tp29347
    +a(g826
    +g978
    +tp29348
    +a(g423
    +Vp26
    +p29349
    +tp29350
    +a(g693
    +g976
    +tp29351
    +a(g826
    +g978
    +tp29352
    +a(g423
    +Vi41
    +p29353
    +tp29354
    +a(g693
    +g1098
    +tp29355
    +a(g826
    +V\u000a
    +p29356
    +tp29357
    +a(g616
    +Vdebug_merge_point
    +p29358
    +tp29359
    +a(g693
    +g1104
    +tp29360
    +a(g76
    +V' #182 LOAD_FAST'
    +p29361
    +tp29362
    +a(g693
    +g976
    +tp29363
    +a(g826
    +g978
    +tp29364
    +a(g22
    +g1110
    +tp29365
    +a(g693
    +g1112
    +tp29366
    +a(g826
    +V\u000a
    +p29367
    +tp29368
    +a(g616
    +Vdebug_merge_point
    +p29369
    +tp29370
    +a(g693
    +g1104
    +tp29371
    +a(g76
    +V' #185 BINARY_ADD'
    +p29372
    +tp29373
    +a(g693
    +g976
    +tp29374
    +a(g826
    +g978
    +tp29375
    +a(g22
    +g1110
    +tp29376
    +a(g693
    +g1112
    +tp29377
    +a(g826
    +V\u000a
    +p29378
    +tp29379
    +a(g423
    +Vi117
    +p29380
    +tp29381
    +a(g826
    +g978
    +tp29382
    +a(g693
    +g1119
    +tp29383
    +a(g826
    +g978
    +tp29384
    +a(g669
    +Vint_add_ovf
    +p29385
    +tp29386
    +a(g693
    +g1104
    +tp29387
    +a(g423
    +Vi116
    +p29388
    +tp29389
    +a(g693
    +g976
    +tp29390
    +a(g826
    +g978
    +tp29391
    +a(g22
    +g1250
    +tp29392
    +a(g693
    +g1112
    +tp29393
    +a(g826
    +V\u000a
    +p29394
    +tp29395
    +a(g669
    +Vguard_no_overflow
    +p29396
    +tp29397
    +a(g693
    +g1104
    +tp29398
    +a(g693
    +g976
    +tp29399
    +a(g826
    +g978
    +tp29400
    +a(g423
    +Vdescr
    +p29401
    +tp29402
    +a(g693
    +g1119
    +tp29403
    +a(g669
    +V
    +p29404
    +tp29405
    +a(g693
    +g1112
    +tp29406
    +a(g826
    +g978
    +tp29407
    +a(g693
    +g972
    +tp29408
    +a(g423
    +Vp0
    +p29409
    +tp29410
    +a(g693
    +g976
    +tp29411
    +a(g826
    +g978
    +tp29412
    +a(g423
    +Vp1
    +p29413
    +tp29414
    +a(g693
    +g976
    +tp29415
    +a(g826
    +g978
    +tp29416
    +a(g423
    +Vi117
    +p29417
    +tp29418
    +a(g693
    +g976
    +tp29419
    +a(g826
    +g978
    +tp29420
    +a(g423
    +Vp5
    +p29421
    +tp29422
    +a(g693
    +g976
    +tp29423
    +a(g826
    +g978
    +tp29424
    +a(g423
    +Vp7
    +p29425
    +tp29426
    +a(g693
    +g976
    +tp29427
    +a(g826
    +g978
    +tp29428
    +a(g423
    +Vp21
    +p29429
    +tp29430
    +a(g693
    +g976
    +tp29431
    +a(g826
    +g978
    +tp29432
    +a(g423
    +Vp12
    +p29433
    +tp29434
    +a(g693
    +g976
    +tp29435
    +a(g826
    +g978
    +tp29436
    +a(g423
    +Vp13
    +p29437
    +tp29438
    +a(g693
    +g976
    +tp29439
    +a(g826
    +g978
    +tp29440
    +a(g423
    +Vp14
    +p29441
    +tp29442
    +a(g693
    +g976
    +tp29443
    +a(g826
    +g978
    +tp29444
    +a(g423
    +Vp15
    +p29445
    +tp29446
    +a(g693
    +g976
    +tp29447
    +a(g826
    +g978
    +tp29448
    +a(g423
    +Vp16
    +p29449
    +tp29450
    +a(g693
    +g976
    +tp29451
    +a(g826
    +g978
    +tp29452
    +a(g423
    +Vp17
    +p29453
    +tp29454
    +a(g693
    +g976
    +tp29455
    +a(g826
    +g978
    +tp29456
    +a(g423
    +Vp18
    +p29457
    +tp29458
    +a(g693
    +g976
    +tp29459
    +a(g826
    +g978
    +tp29460
    +a(g423
    +Vp19
    +p29461
    +tp29462
    +a(g693
    +g976
    +tp29463
    +a(g826
    +g978
    +tp29464
    +a(g423
    +Vp20
    +p29465
    +tp29466
    +a(g693
    +g976
    +tp29467
    +a(g826
    +g978
    +tp29468
    +a(g423
    +Vi116
    +p29469
    +tp29470
    +a(g693
    +g976
    +tp29471
    +a(g826
    +g978
    +tp29472
    +a(g423
    +VNone
    +p29473
    +tp29474
    +a(g693
    +g976
    +tp29475
    +a(g826
    +g978
    +tp29476
    +a(g423
    +Vf113
    +p29477
    +tp29478
    +a(g693
    +g976
    +tp29479
    +a(g826
    +g978
    +tp29480
    +a(g423
    +VNone
    +p29481
    +tp29482
    +a(g693
    +g976
    +tp29483
    +a(g826
    +g978
    +tp29484
    +a(g423
    +VNone
    +p29485
    +tp29486
    +a(g693
    +g976
    +tp29487
    +a(g826
    +g978
    +tp29488
    +a(g423
    +Vf105
    +p29489
    +tp29490
    +a(g693
    +g976
    +tp29491
    +a(g826
    +g978
    +tp29492
    +a(g423
    +VNone
    +p29493
    +tp29494
    +a(g693
    +g976
    +tp29495
    +a(g826
    +g978
    +tp29496
    +a(g423
    +Vi87
    +p29497
    +tp29498
    +a(g693
    +g976
    +tp29499
    +a(g826
    +g978
    +tp29500
    +a(g423
    +Vi94
    +p29501
    +tp29502
    +a(g693
    +g976
    +tp29503
    +a(g826
    +g978
    +tp29504
    +a(g423
    +VNone
    +p29505
    +tp29506
    +a(g693
    +g976
    +tp29507
    +a(g826
    +g978
    +tp29508
    +a(g423
    +Vi77
    +p29509
    +tp29510
    +a(g693
    +g976
    +tp29511
    +a(g826
    +g978
    +tp29512
    +a(g423
    +VNone
    +p29513
    +tp29514
    +a(g693
    +g976
    +tp29515
    +a(g826
    +g978
    +tp29516
    +a(g423
    +VNone
    +p29517
    +tp29518
    +a(g693
    +g976
    +tp29519
    +a(g826
    +g978
    +tp29520
    +a(g423
    +Vp26
    +p29521
    +tp29522
    +a(g693
    +g976
    +tp29523
    +a(g826
    +g978
    +tp29524
    +a(g423
    +Vi41
    +p29525
    +tp29526
    +a(g693
    +g1098
    +tp29527
    +a(g826
    +V\u000a
    +p29528
    +tp29529
    +a(g616
    +Vdebug_merge_point
    +p29530
    +tp29531
    +a(g693
    +g1104
    +tp29532
    +a(g76
    +V' #186 BINARY_SUBSCR'
    +p29533
    +tp29534
    +a(g693
    +g976
    +tp29535
    +a(g826
    +g978
    +tp29536
    +a(g22
    +g1110
    +tp29537
    +a(g693
    +g1112
    +tp29538
    +a(g826
    +V\u000a
    +p29539
    +tp29540
    +a(g423
    +Vi119
    +p29541
    +tp29542
    +a(g826
    +g978
    +tp29543
    +a(g693
    +g1119
    +tp29544
    +a(g826
    +g978
    +tp29545
    +a(g669
    +Vint_lt
    +p29546
    +tp29547
    +a(g693
    +g1104
    +tp29548
    +a(g423
    +Vi117
    +p29549
    +tp29550
    +a(g693
    +g976
    +tp29551
    +a(g826
    +g978
    +tp29552
    +a(g22
    +g1110
    +tp29553
    +a(g693
    +g1112
    +tp29554
    +a(g826
    +V\u000a
    +p29555
    +tp29556
    +a(g669
    +Vguard_false
    +p29557
    +tp29558
    +a(g693
    +g1104
    +tp29559
    +a(g423
    +Vi119
    +p29560
    +tp29561
    +a(g693
    +g976
    +tp29562
    +a(g826
    +g978
    +tp29563
    +a(g423
    +Vdescr
    +p29564
    +tp29565
    +a(g693
    +g1119
    +tp29566
    +a(g669
    +V
    +p29567
    +tp29568
    +a(g693
    +g1112
    +tp29569
    +a(g826
    +g978
    +tp29570
    +a(g693
    +g972
    +tp29571
    +a(g423
    +Vp0
    +p29572
    +tp29573
    +a(g693
    +g976
    +tp29574
    +a(g826
    +g978
    +tp29575
    +a(g423
    +Vp1
    +p29576
    +tp29577
    +a(g693
    +g976
    +tp29578
    +a(g826
    +g978
    +tp29579
    +a(g423
    +Vp21
    +p29580
    +tp29581
    +a(g693
    +g976
    +tp29582
    +a(g826
    +g978
    +tp29583
    +a(g423
    +Vi117
    +p29584
    +tp29585
    +a(g693
    +g976
    +tp29586
    +a(g826
    +g978
    +tp29587
    +a(g423
    +Vi100
    +p29588
    +tp29589
    +a(g693
    +g976
    +tp29590
    +a(g826
    +g978
    +tp29591
    +a(g423
    +Vp5
    +p29592
    +tp29593
    +a(g693
    +g976
    +tp29594
    +a(g826
    +g978
    +tp29595
    +a(g423
    +Vp7
    +p29596
    +tp29597
    +a(g693
    +g976
    +tp29598
    +a(g826
    +g978
    +tp29599
    +a(g423
    +Vp12
    +p29600
    +tp29601
    +a(g693
    +g976
    +tp29602
    +a(g826
    +g978
    +tp29603
    +a(g423
    +Vp13
    +p29604
    +tp29605
    +a(g693
    +g976
    +tp29606
    +a(g826
    +g978
    +tp29607
    +a(g423
    +Vp14
    +p29608
    +tp29609
    +a(g693
    +g976
    +tp29610
    +a(g826
    +g978
    +tp29611
    +a(g423
    +Vp15
    +p29612
    +tp29613
    +a(g693
    +g976
    +tp29614
    +a(g826
    +g978
    +tp29615
    +a(g423
    +Vp16
    +p29616
    +tp29617
    +a(g693
    +g976
    +tp29618
    +a(g826
    +g978
    +tp29619
    +a(g423
    +Vp17
    +p29620
    +tp29621
    +a(g693
    +g976
    +tp29622
    +a(g826
    +g978
    +tp29623
    +a(g423
    +Vp18
    +p29624
    +tp29625
    +a(g693
    +g976
    +tp29626
    +a(g826
    +g978
    +tp29627
    +a(g423
    +Vp19
    +p29628
    +tp29629
    +a(g693
    +g976
    +tp29630
    +a(g826
    +g978
    +tp29631
    +a(g423
    +Vp20
    +p29632
    +tp29633
    +a(g693
    +g976
    +tp29634
    +a(g826
    +g978
    +tp29635
    +a(g423
    +VNone
    +p29636
    +tp29637
    +a(g693
    +g976
    +tp29638
    +a(g826
    +g978
    +tp29639
    +a(g423
    +VNone
    +p29640
    +tp29641
    +a(g693
    +g976
    +tp29642
    +a(g826
    +g978
    +tp29643
    +a(g423
    +Vf113
    +p29644
    +tp29645
    +a(g693
    +g976
    +tp29646
    +a(g826
    +g978
    +tp29647
    +a(g423
    +VNone
    +p29648
    +tp29649
    +a(g693
    +g976
    +tp29650
    +a(g826
    +g978
    +tp29651
    +a(g423
    +VNone
    +p29652
    +tp29653
    +a(g693
    +g976
    +tp29654
    +a(g826
    +g978
    +tp29655
    +a(g423
    +Vf105
    +p29656
    +tp29657
    +a(g693
    +g976
    +tp29658
    +a(g826
    +g978
    +tp29659
    +a(g423
    +VNone
    +p29660
    +tp29661
    +a(g693
    +g976
    +tp29662
    +a(g826
    +g978
    +tp29663
    +a(g423
    +Vi87
    +p29664
    +tp29665
    +a(g693
    +g976
    +tp29666
    +a(g826
    +g978
    +tp29667
    +a(g423
    +Vi94
    +p29668
    +tp29669
    +a(g693
    +g976
    +tp29670
    +a(g826
    +g978
    +tp29671
    +a(g423
    +VNone
    +p29672
    +tp29673
    +a(g693
    +g976
    +tp29674
    +a(g826
    +g978
    +tp29675
    +a(g423
    +Vi77
    +p29676
    +tp29677
    +a(g693
    +g976
    +tp29678
    +a(g826
    +g978
    +tp29679
    +a(g423
    +VNone
    +p29680
    +tp29681
    +a(g693
    +g976
    +tp29682
    +a(g826
    +g978
    +tp29683
    +a(g423
    +VNone
    +p29684
    +tp29685
    +a(g693
    +g976
    +tp29686
    +a(g826
    +g978
    +tp29687
    +a(g423
    +Vp26
    +p29688
    +tp29689
    +a(g693
    +g976
    +tp29690
    +a(g826
    +g978
    +tp29691
    +a(g423
    +Vi41
    +p29692
    +tp29693
    +a(g693
    +g1098
    +tp29694
    +a(g826
    +V\u000a
    +p29695
    +tp29696
    +a(g423
    +Vi120
    +p29697
    +tp29698
    +a(g826
    +g978
    +tp29699
    +a(g693
    +g1119
    +tp29700
    +a(g826
    +g978
    +tp29701
    +a(g669
    +Vint_lt
    +p29702
    +tp29703
    +a(g693
    +g1104
    +tp29704
    +a(g423
    +Vi117
    +p29705
    +tp29706
    +a(g693
    +g976
    +tp29707
    +a(g826
    +g978
    +tp29708
    +a(g423
    +Vi100
    +p29709
    +tp29710
    +a(g693
    +g1112
    +tp29711
    +a(g826
    +V\u000a
    +p29712
    +tp29713
    +a(g669
    +Vguard_true
    +p29714
    +tp29715
    +a(g693
    +g1104
    +tp29716
    +a(g423
    +Vi120
    +p29717
    +tp29718
    +a(g693
    +g976
    +tp29719
    +a(g826
    +g978
    +tp29720
    +a(g423
    +Vdescr
    +p29721
    +tp29722
    +a(g693
    +g1119
    +tp29723
    +a(g669
    +V
    +p29724
    +tp29725
    +a(g693
    +g1112
    +tp29726
    +a(g826
    +g978
    +tp29727
    +a(g693
    +g972
    +tp29728
    +a(g423
    +Vp0
    +p29729
    +tp29730
    +a(g693
    +g976
    +tp29731
    +a(g826
    +g978
    +tp29732
    +a(g423
    +Vp1
    +p29733
    +tp29734
    +a(g693
    +g976
    +tp29735
    +a(g826
    +g978
    +tp29736
    +a(g423
    +Vp21
    +p29737
    +tp29738
    +a(g693
    +g976
    +tp29739
    +a(g826
    +g978
    +tp29740
    +a(g423
    +Vi117
    +p29741
    +tp29742
    +a(g693
    +g976
    +tp29743
    +a(g826
    +g978
    +tp29744
    +a(g423
    +Vp5
    +p29745
    +tp29746
    +a(g693
    +g976
    +tp29747
    +a(g826
    +g978
    +tp29748
    +a(g423
    +Vp7
    +p29749
    +tp29750
    +a(g693
    +g976
    +tp29751
    +a(g826
    +g978
    +tp29752
    +a(g423
    +Vp12
    +p29753
    +tp29754
    +a(g693
    +g976
    +tp29755
    +a(g826
    +g978
    +tp29756
    +a(g423
    +Vp13
    +p29757
    +tp29758
    +a(g693
    +g976
    +tp29759
    +a(g826
    +g978
    +tp29760
    +a(g423
    +Vp14
    +p29761
    +tp29762
    +a(g693
    +g976
    +tp29763
    +a(g826
    +g978
    +tp29764
    +a(g423
    +Vp15
    +p29765
    +tp29766
    +a(g693
    +g976
    +tp29767
    +a(g826
    +g978
    +tp29768
    +a(g423
    +Vp16
    +p29769
    +tp29770
    +a(g693
    +g976
    +tp29771
    +a(g826
    +g978
    +tp29772
    +a(g423
    +Vp17
    +p29773
    +tp29774
    +a(g693
    +g976
    +tp29775
    +a(g826
    +g978
    +tp29776
    +a(g423
    +Vp18
    +p29777
    +tp29778
    +a(g693
    +g976
    +tp29779
    +a(g826
    +g978
    +tp29780
    +a(g423
    +Vp19
    +p29781
    +tp29782
    +a(g693
    +g976
    +tp29783
    +a(g826
    +g978
    +tp29784
    +a(g423
    +Vp20
    +p29785
    +tp29786
    +a(g693
    +g976
    +tp29787
    +a(g826
    +g978
    +tp29788
    +a(g423
    +VNone
    +p29789
    +tp29790
    +a(g693
    +g976
    +tp29791
    +a(g826
    +g978
    +tp29792
    +a(g423
    +VNone
    +p29793
    +tp29794
    +a(g693
    +g976
    +tp29795
    +a(g826
    +g978
    +tp29796
    +a(g423
    +Vf113
    +p29797
    +tp29798
    +a(g693
    +g976
    +tp29799
    +a(g826
    +g978
    +tp29800
    +a(g423
    +VNone
    +p29801
    +tp29802
    +a(g693
    +g976
    +tp29803
    +a(g826
    +g978
    +tp29804
    +a(g423
    +VNone
    +p29805
    +tp29806
    +a(g693
    +g976
    +tp29807
    +a(g826
    +g978
    +tp29808
    +a(g423
    +Vf105
    +p29809
    +tp29810
    +a(g693
    +g976
    +tp29811
    +a(g826
    +g978
    +tp29812
    +a(g423
    +VNone
    +p29813
    +tp29814
    +a(g693
    +g976
    +tp29815
    +a(g826
    +g978
    +tp29816
    +a(g423
    +Vi87
    +p29817
    +tp29818
    +a(g693
    +g976
    +tp29819
    +a(g826
    +g978
    +tp29820
    +a(g423
    +Vi94
    +p29821
    +tp29822
    +a(g693
    +g976
    +tp29823
    +a(g826
    +g978
    +tp29824
    +a(g423
    +VNone
    +p29825
    +tp29826
    +a(g693
    +g976
    +tp29827
    +a(g826
    +g978
    +tp29828
    +a(g423
    +Vi77
    +p29829
    +tp29830
    +a(g693
    +g976
    +tp29831
    +a(g826
    +g978
    +tp29832
    +a(g423
    +VNone
    +p29833
    +tp29834
    +a(g693
    +g976
    +tp29835
    +a(g826
    +g978
    +tp29836
    +a(g423
    +VNone
    +p29837
    +tp29838
    +a(g693
    +g976
    +tp29839
    +a(g826
    +g978
    +tp29840
    +a(g423
    +Vp26
    +p29841
    +tp29842
    +a(g693
    +g976
    +tp29843
    +a(g826
    +g978
    +tp29844
    +a(g423
    +Vi41
    +p29845
    +tp29846
    +a(g693
    +g1098
    +tp29847
    +a(g826
    +V\u000a
    +p29848
    +tp29849
    +a(g423
    +Vf121
    +p29850
    +tp29851
    +a(g826
    +g978
    +tp29852
    +a(g693
    +g1119
    +tp29853
    +a(g826
    +g978
    +tp29854
    +a(g669
    +Vgetarrayitem_raw
    +p29855
    +tp29856
    +a(g693
    +g1104
    +tp29857
    +a(g423
    +Vi104
    +p29858
    +tp29859
    +a(g693
    +g976
    +tp29860
    +a(g826
    +g978
    +tp29861
    +a(g423
    +Vi117
    +p29862
    +tp29863
    +a(g693
    +g976
    +tp29864
    +a(g826
    +g978
    +tp29865
    +a(g423
    +Vdescr
    +p29866
    +tp29867
    +a(g693
    +g1119
    +tp29868
    +a(g669
    +V
    +p29869
    +tp29870
    +a(g693
    +g1112
    +tp29871
    +a(g826
    +V\u000a
    +p29872
    +tp29873
    +a(g616
    +Vdebug_merge_point
    +p29874
    +tp29875
    +a(g693
    +g1104
    +tp29876
    +a(g76
    +V' #187 BINARY_ADD'
    +p29877
    +tp29878
    +a(g693
    +g976
    +tp29879
    +a(g826
    +g978
    +tp29880
    +a(g22
    +g1110
    +tp29881
    +a(g693
    +g1112
    +tp29882
    +a(g826
    +V\u000a
    +p29883
    +tp29884
    +a(g423
    +Vf122
    +p29885
    +tp29886
    +a(g826
    +g978
    +tp29887
    +a(g693
    +g1119
    +tp29888
    +a(g826
    +g978
    +tp29889
    +a(g669
    +Vfloat_add
    +p29890
    +tp29891
    +a(g693
    +g1104
    +tp29892
    +a(g423
    +Vf113
    +p29893
    +tp29894
    +a(g693
    +g976
    +tp29895
    +a(g826
    +g978
    +tp29896
    +a(g423
    +Vf121
    +p29897
    +tp29898
    +a(g693
    +g1112
    +tp29899
    +a(g826
    +V\u000a
    +p29900
    +tp29901
    +a(g616
    +Vdebug_merge_point
    +p29902
    +tp29903
    +a(g693
    +g1104
    +tp29904
    +a(g76
    +V' #188 LOAD_FAST'
    +p29905
    +tp29906
    +a(g693
    +g976
    +tp29907
    +a(g826
    +g978
    +tp29908
    +a(g22
    +g1110
    +tp29909
    +a(g693
    +g1112
    +tp29910
    +a(g826
    +V\u000a
    +p29911
    +tp29912
    +a(g669
    +Vguard_nonnull_class
    +p29913
    +tp29914
    +a(g693
    +g1104
    +tp29915
    +a(g423
    +Vp16
    +p29916
    +tp29917
    +a(g693
    +g976
    +tp29918
    +a(g826
    +g978
    +tp29919
    +a(g22
    +V19800744
    +p29920
    +tp29921
    +a(g693
    +g976
    +tp29922
    +a(g826
    +g978
    +tp29923
    +a(g423
    +Vdescr
    +p29924
    +tp29925
    +a(g693
    +g1119
    +tp29926
    +a(g669
    +V
    +p29927
    +tp29928
    +a(g693
    +g1112
    +tp29929
    +a(g826
    +g978
    +tp29930
    +a(g693
    +g972
    +tp29931
    +a(g423
    +Vp0
    +p29932
    +tp29933
    +a(g693
    +g976
    +tp29934
    +a(g826
    +g978
    +tp29935
    +a(g423
    +Vp1
    +p29936
    +tp29937
    +a(g693
    +g976
    +tp29938
    +a(g826
    +g978
    +tp29939
    +a(g423
    +Vp16
    +p29940
    +tp29941
    +a(g693
    +g976
    +tp29942
    +a(g826
    +g978
    +tp29943
    +a(g423
    +Vp5
    +p29944
    +tp29945
    +a(g693
    +g976
    +tp29946
    +a(g826
    +g978
    +tp29947
    +a(g423
    +Vp7
    +p29948
    +tp29949
    +a(g693
    +g976
    +tp29950
    +a(g826
    +g978
    +tp29951
    +a(g423
    +Vp12
    +p29952
    +tp29953
    +a(g693
    +g976
    +tp29954
    +a(g826
    +g978
    +tp29955
    +a(g423
    +Vp13
    +p29956
    +tp29957
    +a(g693
    +g976
    +tp29958
    +a(g826
    +g978
    +tp29959
    +a(g423
    +Vp14
    +p29960
    +tp29961
    +a(g693
    +g976
    +tp29962
    +a(g826
    +g978
    +tp29963
    +a(g423
    +Vp15
    +p29964
    +tp29965
    +a(g693
    +g976
    +tp29966
    +a(g826
    +g978
    +tp29967
    +a(g423
    +Vp17
    +p29968
    +tp29969
    +a(g693
    +g976
    +tp29970
    +a(g826
    +g978
    +tp29971
    +a(g423
    +Vp18
    +p29972
    +tp29973
    +a(g693
    +g976
    +tp29974
    +a(g826
    +g978
    +tp29975
    +a(g423
    +Vp19
    +p29976
    +tp29977
    +a(g693
    +g976
    +tp29978
    +a(g826
    +g978
    +tp29979
    +a(g423
    +Vp20
    +p29980
    +tp29981
    +a(g693
    +g976
    +tp29982
    +a(g826
    +g978
    +tp29983
    +a(g423
    +Vp21
    +p29984
    +tp29985
    +a(g693
    +g976
    +tp29986
    +a(g826
    +g978
    +tp29987
    +a(g423
    +Vf122
    +p29988
    +tp29989
    +a(g693
    +g976
    +tp29990
    +a(g826
    +g978
    +tp29991
    +a(g423
    +VNone
    +p29992
    +tp29993
    +a(g693
    +g976
    +tp29994
    +a(g826
    +g978
    +tp29995
    +a(g423
    +VNone
    +p29996
    +tp29997
    +a(g693
    +g976
    +tp29998
    +a(g826
    +g978
    +tp29999
    +a(g423
    +VNone
    +p30000
    +tp30001
    +a(g693
    +g976
    +tp30002
    +a(g826
    +g978
    +tp30003
    +a(g423
    +VNone
    +p30004
    +tp30005
    +a(g693
    +g976
    +tp30006
    +a(g826
    +g978
    +tp30007
    +a(g423
    +VNone
    +p30008
    +tp30009
    +a(g693
    +g976
    +tp30010
    +a(g826
    +g978
    +tp30011
    +a(g423
    +Vf105
    +p30012
    +tp30013
    +a(g693
    +g976
    +tp30014
    +a(g826
    +g978
    +tp30015
    +a(g423
    +VNone
    +p30016
    +tp30017
    +a(g693
    +g976
    +tp30018
    +a(g826
    +g978
    +tp30019
    +a(g423
    +Vi87
    +p30020
    +tp30021
    +a(g693
    +g976
    +tp30022
    +a(g826
    +g978
    +tp30023
    +a(g423
    +Vi94
    +p30024
    +tp30025
    +a(g693
    +g976
    +tp30026
    +a(g826
    +g978
    +tp30027
    +a(g423
    +VNone
    +p30028
    +tp30029
    +a(g693
    +g976
    +tp30030
    +a(g826
    +g978
    +tp30031
    +a(g423
    +Vi77
    +p30032
    +tp30033
    +a(g693
    +g976
    +tp30034
    +a(g826
    +g978
    +tp30035
    +a(g423
    +VNone
    +p30036
    +tp30037
    +a(g693
    +g976
    +tp30038
    +a(g826
    +g978
    +tp30039
    +a(g423
    +VNone
    +p30040
    +tp30041
    +a(g693
    +g976
    +tp30042
    +a(g826
    +g978
    +tp30043
    +a(g423
    +Vp26
    +p30044
    +tp30045
    +a(g693
    +g976
    +tp30046
    +a(g826
    +g978
    +tp30047
    +a(g423
    +Vi41
    +p30048
    +tp30049
    +a(g693
    +g1098
    +tp30050
    +a(g826
    +V\u000a
    +p30051
    +tp30052
    +a(g616
    +Vdebug_merge_point
    +p30053
    +tp30054
    +a(g693
    +g1104
    +tp30055
    +a(g76
    +V' #191 BINARY_MULTIPLY'
    +p30056
    +tp30057
    +a(g693
    +g976
    +tp30058
    +a(g826
    +g978
    +tp30059
    +a(g22
    +g1110
    +tp30060
    +a(g693
    +g1112
    +tp30061
    +a(g826
    +V\u000a
    +p30062
    +tp30063
    +a(g423
    +Vf124
    +p30064
    +tp30065
    +a(g826
    +g978
    +tp30066
    +a(g693
    +g1119
    +tp30067
    +a(g826
    +g978
    +tp30068
    +a(g669
    +Vgetfield_gc_pure
    +p30069
    +tp30070
    +a(g693
    +g1104
    +tp30071
    +a(g423
    +Vp16
    +p30072
    +tp30073
    +a(g693
    +g976
    +tp30074
    +a(g826
    +g978
    +tp30075
    +a(g423
    +Vdescr
    +p30076
    +tp30077
    +a(g693
    +g1119
    +tp30078
    +a(g669
    +V
    +p30079
    +tp30080
    +a(g693
    +g1112
    +tp30081
    +a(g826
    +V\u000a
    +p30082
    +tp30083
    +a(g423
    +Vf125
    +p30084
    +tp30085
    +a(g826
    +g978
    +tp30086
    +a(g693
    +g1119
    +tp30087
    +a(g826
    +g978
    +tp30088
    +a(g669
    +Vfloat_mul
    +p30089
    +tp30090
    +a(g693
    +g1104
    +tp30091
    +a(g423
    +Vf122
    +p30092
    +tp30093
    +a(g693
    +g976
    +tp30094
    +a(g826
    +g978
    +tp30095
    +a(g423
    +Vf124
    +p30096
    +tp30097
    +a(g693
    +g1112
    +tp30098
    +a(g826
    +V\u000a
    +p30099
    +tp30100
    +a(g616
    +Vdebug_merge_point
    +p30101
    +tp30102
    +a(g693
    +g1104
    +tp30103
    +a(g76
    +V' #192 LOAD_FAST'
    +p30104
    +tp30105
    +a(g693
    +g976
    +tp30106
    +a(g826
    +g978
    +tp30107
    +a(g22
    +g1110
    +tp30108
    +a(g693
    +g1112
    +tp30109
    +a(g826
    +V\u000a
    +p30110
    +tp30111
    +a(g616
    +Vdebug_merge_point
    +p30112
    +tp30113
    +a(g693
    +g1104
    +tp30114
    +a(g76
    +V' #195 LOAD_FAST'
    +p30115
    +tp30116
    +a(g693
    +g976
    +tp30117
    +a(g826
    +g978
    +tp30118
    +a(g22
    +g1110
    +tp30119
    +a(g693
    +g1112
    +tp30120
    +a(g826
    +V\u000a
    +p30121
    +tp30122
    +a(g616
    +Vdebug_merge_point
    +p30123
    +tp30124
    +a(g693
    +g1104
    +tp30125
    +a(g76
    +V' #198 LOAD_FAST'
    +p30126
    +tp30127
    +a(g693
    +g976
    +tp30128
    +a(g826
    +g978
    +tp30129
    +a(g22
    +g1110
    +tp30130
    +a(g693
    +g1112
    +tp30131
    +a(g826
    +V\u000a
    +p30132
    +tp30133
    +a(g616
    +Vdebug_merge_point
    +p30134
    +tp30135
    +a(g693
    +g1104
    +tp30136
    +a(g76
    +V' #201 BINARY_MULTIPLY'
    +p30137
    +tp30138
    +a(g693
    +g976
    +tp30139
    +a(g826
    +g978
    +tp30140
    +a(g22
    +g1110
    +tp30141
    +a(g693
    +g1112
    +tp30142
    +a(g826
    +V\u000a
    +p30143
    +tp30144
    +a(g616
    +Vdebug_merge_point
    +p30145
    +tp30146
    +a(g693
    +g1104
    +tp30147
    +a(g76
    +V' #202 LOAD_FAST'
    +p30148
    +tp30149
    +a(g693
    +g976
    +tp30150
    +a(g826
    +g978
    +tp30151
    +a(g22
    +g1110
    +tp30152
    +a(g693
    +g1112
    +tp30153
    +a(g826
    +V\u000a
    +p30154
    +tp30155
    +a(g616
    +Vdebug_merge_point
    +p30156
    +tp30157
    +a(g693
    +g1104
    +tp30158
    +a(g76
    +V' #205 BINARY_ADD'
    +p30159
    +tp30160
    +a(g693
    +g976
    +tp30161
    +a(g826
    +g978
    +tp30162
    +a(g22
    +g1110
    +tp30163
    +a(g693
    +g1112
    +tp30164
    +a(g826
    +V\u000a
    +p30165
    +tp30166
    +a(g616
    +Vdebug_merge_point
    +p30167
    +tp30168
    +a(g693
    +g1104
    +tp30169
    +a(g76
    +V' #206 LOAD_CONST'
    +p30170
    +tp30171
    +a(g693
    +g976
    +tp30172
    +a(g826
    +g978
    +tp30173
    +a(g22
    +g1110
    +tp30174
    +a(g693
    +g1112
    +tp30175
    +a(g826
    +V\u000a
    +p30176
    +tp30177
    +a(g616
    +Vdebug_merge_point
    +p30178
    +tp30179
    +a(g693
    +g1104
    +tp30180
    +a(g76
    +V' #209 BINARY_SUBTRACT'
    +p30181
    +tp30182
    +a(g693
    +g976
    +tp30183
    +a(g826
    +g978
    +tp30184
    +a(g22
    +g1110
    +tp30185
    +a(g693
    +g1112
    +tp30186
    +a(g826
    +V\u000a
    +p30187
    +tp30188
    +a(g616
    +Vdebug_merge_point
    +p30189
    +tp30190
    +a(g693
    +g1104
    +tp30191
    +a(g76
    +V' #210 BINARY_SUBSCR'
    +p30192
    +tp30193
    +a(g693
    +g976
    +tp30194
    +a(g826
    +g978
    +tp30195
    +a(g22
    +g1110
    +tp30196
    +a(g693
    +g1112
    +tp30197
    +a(g826
    +V\u000a
    +p30198
    +tp30199
    +a(g423
    +Vi127
    +p30200
    +tp30201
    +a(g826
    +g978
    +tp30202
    +a(g693
    +g1119
    +tp30203
    +a(g826
    +g978
    +tp30204
    +a(g669
    +Vint_lt
    +p30205
    +tp30206
    +a(g693
    +g1104
    +tp30207
    +a(g423
    +Vi98
    +p30208
    +tp30209
    +a(g693
    +g976
    +tp30210
    +a(g826
    +g978
    +tp30211
    +a(g22
    +g1110
    +tp30212
    +a(g693
    +g1112
    +tp30213
    +a(g826
    +V\u000a
    +p30214
    +tp30215
    +a(g669
    +Vguard_false
    +p30216
    +tp30217
    +a(g693
    +g1104
    +tp30218
    +a(g423
    +Vi127
    +p30219
    +tp30220
    +a(g693
    +g976
    +tp30221
    +a(g826
    +g978
    +tp30222
    +a(g423
    +Vdescr
    +p30223
    +tp30224
    +a(g693
    +g1119
    +tp30225
    +a(g669
    +V
    +p30226
    +tp30227
    +a(g693
    +g1112
    +tp30228
    +a(g826
    +g978
    +tp30229
    +a(g693
    +g972
    +tp30230
    +a(g423
    +Vp0
    +p30231
    +tp30232
    +a(g693
    +g976
    +tp30233
    +a(g826
    +g978
    +tp30234
    +a(g423
    +Vp1
    +p30235
    +tp30236
    +a(g693
    +g976
    +tp30237
    +a(g826
    +g978
    +tp30238
    +a(g423
    +Vp21
    +p30239
    +tp30240
    +a(g693
    +g976
    +tp30241
    +a(g826
    +g978
    +tp30242
    +a(g423
    +Vi98
    +p30243
    +tp30244
    +a(g693
    +g976
    +tp30245
    +a(g826
    +g978
    +tp30246
    +a(g423
    +Vi100
    +p30247
    +tp30248
    +a(g693
    +g976
    +tp30249
    +a(g826
    +g978
    +tp30250
    +a(g423
    +Vp5
    +p30251
    +tp30252
    +a(g693
    +g976
    +tp30253
    +a(g826
    +g978
    +tp30254
    +a(g423
    +Vp7
    +p30255
    +tp30256
    +a(g693
    +g976
    +tp30257
    +a(g826
    +g978
    +tp30258
    +a(g423
    +Vp12
    +p30259
    +tp30260
    +a(g693
    +g976
    +tp30261
    +a(g826
    +g978
    +tp30262
    +a(g423
    +Vp13
    +p30263
    +tp30264
    +a(g693
    +g976
    +tp30265
    +a(g826
    +g978
    +tp30266
    +a(g423
    +Vp14
    +p30267
    +tp30268
    +a(g693
    +g976
    +tp30269
    +a(g826
    +g978
    +tp30270
    +a(g423
    +Vp15
    +p30271
    +tp30272
    +a(g693
    +g976
    +tp30273
    +a(g826
    +g978
    +tp30274
    +a(g423
    +Vp16
    +p30275
    +tp30276
    +a(g693
    +g976
    +tp30277
    +a(g826
    +g978
    +tp30278
    +a(g423
    +Vp17
    +p30279
    +tp30280
    +a(g693
    +g976
    +tp30281
    +a(g826
    +g978
    +tp30282
    +a(g423
    +Vp18
    +p30283
    +tp30284
    +a(g693
    +g976
    +tp30285
    +a(g826
    +g978
    +tp30286
    +a(g423
    +Vp19
    +p30287
    +tp30288
    +a(g693
    +g976
    +tp30289
    +a(g826
    +g978
    +tp30290
    +a(g423
    +Vp20
    +p30291
    +tp30292
    +a(g693
    +g976
    +tp30293
    +a(g826
    +g978
    +tp30294
    +a(g423
    +Vf125
    +p30295
    +tp30296
    +a(g693
    +g976
    +tp30297
    +a(g826
    +g978
    +tp30298
    +a(g423
    +VNone
    +p30299
    +tp30300
    +a(g693
    +g976
    +tp30301
    +a(g826
    +g978
    +tp30302
    +a(g423
    +VNone
    +p30303
    +tp30304
    +a(g693
    +g976
    +tp30305
    +a(g826
    +g978
    +tp30306
    +a(g423
    +VNone
    +p30307
    +tp30308
    +a(g693
    +g976
    +tp30309
    +a(g826
    +g978
    +tp30310
    +a(g423
    +VNone
    +p30311
    +tp30312
    +a(g693
    +g976
    +tp30313
    +a(g826
    +g978
    +tp30314
    +a(g423
    +VNone
    +p30315
    +tp30316
    +a(g693
    +g976
    +tp30317
    +a(g826
    +g978
    +tp30318
    +a(g423
    +VNone
    +p30319
    +tp30320
    +a(g693
    +g976
    +tp30321
    +a(g826
    +g978
    +tp30322
    +a(g423
    +Vf105
    +p30323
    +tp30324
    +a(g693
    +g976
    +tp30325
    +a(g826
    +g978
    +tp30326
    +a(g423
    +VNone
    +p30327
    +tp30328
    +a(g693
    +g976
    +tp30329
    +a(g826
    +g978
    +tp30330
    +a(g423
    +Vi87
    +p30331
    +tp30332
    +a(g693
    +g976
    +tp30333
    +a(g826
    +g978
    +tp30334
    +a(g423
    +Vi94
    +p30335
    +tp30336
    +a(g693
    +g976
    +tp30337
    +a(g826
    +g978
    +tp30338
    +a(g423
    +VNone
    +p30339
    +tp30340
    +a(g693
    +g976
    +tp30341
    +a(g826
    +g978
    +tp30342
    +a(g423
    +Vi77
    +p30343
    +tp30344
    +a(g693
    +g976
    +tp30345
    +a(g826
    +g978
    +tp30346
    +a(g423
    +VNone
    +p30347
    +tp30348
    +a(g693
    +g976
    +tp30349
    +a(g826
    +g978
    +tp30350
    +a(g423
    +VNone
    +p30351
    +tp30352
    +a(g693
    +g976
    +tp30353
    +a(g826
    +g978
    +tp30354
    +a(g423
    +Vp26
    +p30355
    +tp30356
    +a(g693
    +g976
    +tp30357
    +a(g826
    +g978
    +tp30358
    +a(g423
    +Vi41
    +p30359
    +tp30360
    +a(g693
    +g1098
    +tp30361
    +a(g826
    +V\u000a
    +p30362
    +tp30363
    +a(g423
    +Vi128
    +p30364
    +tp30365
    +a(g826
    +g978
    +tp30366
    +a(g693
    +g1119
    +tp30367
    +a(g826
    +g978
    +tp30368
    +a(g669
    +Vint_lt
    +p30369
    +tp30370
    +a(g693
    +g1104
    +tp30371
    +a(g423
    +Vi98
    +p30372
    +tp30373
    +a(g693
    +g976
    +tp30374
    +a(g826
    +g978
    +tp30375
    +a(g423
    +Vi100
    +p30376
    +tp30377
    +a(g693
    +g1112
    +tp30378
    +a(g826
    +V\u000a
    +p30379
    +tp30380
    +a(g669
    +Vguard_true
    +p30381
    +tp30382
    +a(g693
    +g1104
    +tp30383
    +a(g423
    +Vi128
    +p30384
    +tp30385
    +a(g693
    +g976
    +tp30386
    +a(g826
    +g978
    +tp30387
    +a(g423
    +Vdescr
    +p30388
    +tp30389
    +a(g693
    +g1119
    +tp30390
    +a(g669
    +V
    +p30391
    +tp30392
    +a(g693
    +g1112
    +tp30393
    +a(g826
    +g978
    +tp30394
    +a(g693
    +g972
    +tp30395
    +a(g423
    +Vp0
    +p30396
    +tp30397
    +a(g693
    +g976
    +tp30398
    +a(g826
    +g978
    +tp30399
    +a(g423
    +Vp1
    +p30400
    +tp30401
    +a(g693
    +g976
    +tp30402
    +a(g826
    +g978
    +tp30403
    +a(g423
    +Vp21
    +p30404
    +tp30405
    +a(g693
    +g976
    +tp30406
    +a(g826
    +g978
    +tp30407
    +a(g423
    +Vi98
    +p30408
    +tp30409
    +a(g693
    +g976
    +tp30410
    +a(g826
    +g978
    +tp30411
    +a(g423
    +Vp5
    +p30412
    +tp30413
    +a(g693
    +g976
    +tp30414
    +a(g826
    +g978
    +tp30415
    +a(g423
    +Vp7
    +p30416
    +tp30417
    +a(g693
    +g976
    +tp30418
    +a(g826
    +g978
    +tp30419
    +a(g423
    +Vp12
    +p30420
    +tp30421
    +a(g693
    +g976
    +tp30422
    +a(g826
    +g978
    +tp30423
    +a(g423
    +Vp13
    +p30424
    +tp30425
    +a(g693
    +g976
    +tp30426
    +a(g826
    +g978
    +tp30427
    +a(g423
    +Vp14
    +p30428
    +tp30429
    +a(g693
    +g976
    +tp30430
    +a(g826
    +g978
    +tp30431
    +a(g423
    +Vp15
    +p30432
    +tp30433
    +a(g693
    +g976
    +tp30434
    +a(g826
    +g978
    +tp30435
    +a(g423
    +Vp16
    +p30436
    +tp30437
    +a(g693
    +g976
    +tp30438
    +a(g826
    +g978
    +tp30439
    +a(g423
    +Vp17
    +p30440
    +tp30441
    +a(g693
    +g976
    +tp30442
    +a(g826
    +g978
    +tp30443
    +a(g423
    +Vp18
    +p30444
    +tp30445
    +a(g693
    +g976
    +tp30446
    +a(g826
    +g978
    +tp30447
    +a(g423
    +Vp19
    +p30448
    +tp30449
    +a(g693
    +g976
    +tp30450
    +a(g826
    +g978
    +tp30451
    +a(g423
    +Vp20
    +p30452
    +tp30453
    +a(g693
    +g976
    +tp30454
    +a(g826
    +g978
    +tp30455
    +a(g423
    +Vf125
    +p30456
    +tp30457
    +a(g693
    +g976
    +tp30458
    +a(g826
    +g978
    +tp30459
    +a(g423
    +VNone
    +p30460
    +tp30461
    +a(g693
    +g976
    +tp30462
    +a(g826
    +g978
    +tp30463
    +a(g423
    +VNone
    +p30464
    +tp30465
    +a(g693
    +g976
    +tp30466
    +a(g826
    +g978
    +tp30467
    +a(g423
    +VNone
    +p30468
    +tp30469
    +a(g693
    +g976
    +tp30470
    +a(g826
    +g978
    +tp30471
    +a(g423
    +VNone
    +p30472
    +tp30473
    +a(g693
    +g976
    +tp30474
    +a(g826
    +g978
    +tp30475
    +a(g423
    +VNone
    +p30476
    +tp30477
    +a(g693
    +g976
    +tp30478
    +a(g826
    +g978
    +tp30479
    +a(g423
    +VNone
    +p30480
    +tp30481
    +a(g693
    +g976
    +tp30482
    +a(g826
    +g978
    +tp30483
    +a(g423
    +Vf105
    +p30484
    +tp30485
    +a(g693
    +g976
    +tp30486
    +a(g826
    +g978
    +tp30487
    +a(g423
    +VNone
    +p30488
    +tp30489
    +a(g693
    +g976
    +tp30490
    +a(g826
    +g978
    +tp30491
    +a(g423
    +Vi87
    +p30492
    +tp30493
    +a(g693
    +g976
    +tp30494
    +a(g826
    +g978
    +tp30495
    +a(g423
    +Vi94
    +p30496
    +tp30497
    +a(g693
    +g976
    +tp30498
    +a(g826
    +g978
    +tp30499
    +a(g423
    +VNone
    +p30500
    +tp30501
    +a(g693
    +g976
    +tp30502
    +a(g826
    +g978
    +tp30503
    +a(g423
    +Vi77
    +p30504
    +tp30505
    +a(g693
    +g976
    +tp30506
    +a(g826
    +g978
    +tp30507
    +a(g423
    +VNone
    +p30508
    +tp30509
    +a(g693
    +g976
    +tp30510
    +a(g826
    +g978
    +tp30511
    +a(g423
    +VNone
    +p30512
    +tp30513
    +a(g693
    +g976
    +tp30514
    +a(g826
    +g978
    +tp30515
    +a(g423
    +Vp26
    +p30516
    +tp30517
    +a(g693
    +g976
    +tp30518
    +a(g826
    +g978
    +tp30519
    +a(g423
    +Vi41
    +p30520
    +tp30521
    +a(g693
    +g1098
    +tp30522
    +a(g826
    +V\u000a
    +p30523
    +tp30524
    +a(g423
    +Vf129
    +p30525
    +tp30526
    +a(g826
    +g978
    +tp30527
    +a(g693
    +g1119
    +tp30528
    +a(g826
    +g978
    +tp30529
    +a(g669
    +Vgetarrayitem_raw
    +p30530
    +tp30531
    +a(g693
    +g1104
    +tp30532
    +a(g423
    +Vi104
    +p30533
    +tp30534
    +a(g693
    +g976
    +tp30535
    +a(g826
    +g978
    +tp30536
    +a(g423
    +Vi98
    +p30537
    +tp30538
    +a(g693
    +g976
    +tp30539
    +a(g826
    +g978
    +tp30540
    +a(g423
    +Vdescr
    +p30541
    +tp30542
    +a(g693
    +g1119
    +tp30543
    +a(g669
    +V
    +p30544
    +tp30545
    +a(g693
    +g1112
    +tp30546
    +a(g826
    +V\u000a
    +p30547
    +tp30548
    +a(g616
    +Vdebug_merge_point
    +p30549
    +tp30550
    +a(g693
    +g1104
    +tp30551
    +a(g76
    +V' #211 LOAD_FAST'
    +p30552
    +tp30553
    +a(g693
    +g976
    +tp30554
    +a(g826
    +g978
    +tp30555
    +a(g22
    +g1110
    +tp30556
    +a(g693
    +g1112
    +tp30557
    +a(g826
    +V\u000a
    +p30558
    +tp30559
    +a(g616
    +Vdebug_merge_point
    +p30560
    +tp30561
    +a(g693
    +g1104
    +tp30562
    +a(g76
    +V' #214 LOAD_FAST'
    +p30563
    +tp30564
    +a(g693
    +g976
    +tp30565
    +a(g826
    +g978
    +tp30566
    +a(g22
    +g1110
    +tp30567
    +a(g693
    +g1112
    +tp30568
    +a(g826
    +V\u000a
    +p30569
    +tp30570
    +a(g616
    +Vdebug_merge_point
    +p30571
    +tp30572
    +a(g693
    +g1104
    +tp30573
    +a(g76
    +V' #217 LOAD_FAST'
    +p30574
    +tp30575
    +a(g693
    +g976
    +tp30576
    +a(g826
    +g978
    +tp30577
    +a(g22
    +g1110
    +tp30578
    +a(g693
    +g1112
    +tp30579
    +a(g826
    +V\u000a
    +p30580
    +tp30581
    +a(g616
    +Vdebug_merge_point
    +p30582
    +tp30583
    +a(g693
    +g1104
    +tp30584
    +a(g76
    +V' #220 BINARY_MULTIPLY'
    +p30585
    +tp30586
    +a(g693
    +g976
    +tp30587
    +a(g826
    +g978
    +tp30588
    +a(g22
    +g1110
    +tp30589
    +a(g693
    +g1112
    +tp30590
    +a(g826
    +V\u000a
    +p30591
    +tp30592
    +a(g616
    +Vdebug_merge_point
    +p30593
    +tp30594
    +a(g693
    +g1104
    +tp30595
    +a(g76
    +V' #221 LOAD_FAST'
    +p30596
    +tp30597
    +a(g693
    +g976
    +tp30598
    +a(g826
    +g978
    +tp30599
    +a(g22
    +g1110
    +tp30600
    +a(g693
    +g1112
    +tp30601
    +a(g826
    +V\u000a
    +p30602
    +tp30603
    +a(g616
    +Vdebug_merge_point
    +p30604
    +tp30605
    +a(g693
    +g1104
    +tp30606
    +a(g76
    +V' #224 BINARY_ADD'
    +p30607
    +tp30608
    +a(g693
    +g976
    +tp30609
    +a(g826
    +g978
    +tp30610
    +a(g22
    +g1110
    +tp30611
    +a(g693
    +g1112
    +tp30612
    +a(g826
    +V\u000a
    +p30613
    +tp30614
    +a(g616
    +Vdebug_merge_point
    +p30615
    +tp30616
    +a(g693
    +g1104
    +tp30617
    +a(g76
    +V' #225 LOAD_CONST'
    +p30618
    +tp30619
    +a(g693
    +g976
    +tp30620
    +a(g826
    +g978
    +tp30621
    +a(g22
    +g1110
    +tp30622
    +a(g693
    +g1112
    +tp30623
    +a(g826
    +V\u000a
    +p30624
    +tp30625
    +a(g616
    +Vdebug_merge_point
    +p30626
    +tp30627
    +a(g693
    +g1104
    +tp30628
    +a(g76
    +V' #228 BINARY_ADD'
    +p30629
    +tp30630
    +a(g693
    +g976
    +tp30631
    +a(g826
    +g978
    +tp30632
    +a(g22
    +g1110
    +tp30633
    +a(g693
    +g1112
    +tp30634
    +a(g826
    +V\u000a
    +p30635
    +tp30636
    +a(g423
    +Vi131
    +p30637
    +tp30638
    +a(g826
    +g978
    +tp30639
    +a(g693
    +g1119
    +tp30640
    +a(g826
    +g978
    +tp30641
    +a(g669
    +Vint_add
    +p30642
    +tp30643
    +a(g693
    +g1104
    +tp30644
    +a(g423
    +Vi99
    +p30645
    +tp30646
    +a(g693
    +g976
    +tp30647
    +a(g826
    +g978
    +tp30648
    +a(g22
    +g1250
    +tp30649
    +a(g693
    +g1112
    +tp30650
    +a(g826
    +V\u000a
    +p30651
    +tp30652
    +a(g616
    +Vdebug_merge_point
    +p30653
    +tp30654
    +a(g693
    +g1104
    +tp30655
    +a(g76
    +V' #229 BINARY_SUBSCR'
    +p30656
    +tp30657
    +a(g693
    +g976
    +tp30658
    +a(g826
    +g978
    +tp30659
    +a(g22
    +g1110
    +tp30660
    +a(g693
    +g1112
    +tp30661
    +a(g826
    +V\u000a
    +p30662
    +tp30663
    +a(g423
    +Vi132
    +p30664
    +tp30665
    +a(g826
    +g978
    +tp30666
    +a(g693
    +g1119
    +tp30667
    +a(g826
    +g978
    +tp30668
    +a(g669
    +Vint_lt
    +p30669
    +tp30670
    +a(g693
    +g1104
    +tp30671
    +a(g423
    +Vi131
    +p30672
    +tp30673
    +a(g693
    +g976
    +tp30674
    +a(g826
    +g978
    +tp30675
    +a(g423
    +Vi100
    +p30676
    +tp30677
    +a(g693
    +g1112
    +tp30678
    +a(g826
    +V\u000a
    +p30679
    +tp30680
    +a(g669
    +Vguard_true
    +p30681
    +tp30682
    +a(g693
    +g1104
    +tp30683
    +a(g423
    +Vi132
    +p30684
    +tp30685
    +a(g693
    +g976
    +tp30686
    +a(g826
    +g978
    +tp30687
    +a(g423
    +Vdescr
    +p30688
    +tp30689
    +a(g693
    +g1119
    +tp30690
    +a(g669
    +V
    +p30691
    +tp30692
    +a(g693
    +g1112
    +tp30693
    +a(g826
    +g978
    +tp30694
    +a(g693
    +g972
    +tp30695
    +a(g423
    +Vp0
    +p30696
    +tp30697
    +a(g693
    +g976
    +tp30698
    +a(g826
    +g978
    +tp30699
    +a(g423
    +Vp1
    +p30700
    +tp30701
    +a(g693
    +g976
    +tp30702
    +a(g826
    +g978
    +tp30703
    +a(g423
    +Vp21
    +p30704
    +tp30705
    +a(g693
    +g976
    +tp30706
    +a(g826
    +g978
    +tp30707
    +a(g423
    +Vi131
    +p30708
    +tp30709
    +a(g693
    +g976
    +tp30710
    +a(g826
    +g978
    +tp30711
    +a(g423
    +Vp5
    +p30712
    +tp30713
    +a(g693
    +g976
    +tp30714
    +a(g826
    +g978
    +tp30715
    +a(g423
    +Vp7
    +p30716
    +tp30717
    +a(g693
    +g976
    +tp30718
    +a(g826
    +g978
    +tp30719
    +a(g423
    +Vp13
    +p30720
    +tp30721
    +a(g693
    +g976
    +tp30722
    +a(g826
    +g978
    +tp30723
    +a(g423
    +Vp14
    +p30724
    +tp30725
    +a(g693
    +g976
    +tp30726
    +a(g826
    +g978
    +tp30727
    +a(g423
    +Vp15
    +p30728
    +tp30729
    +a(g693
    +g976
    +tp30730
    +a(g826
    +g978
    +tp30731
    +a(g423
    +Vp16
    +p30732
    +tp30733
    +a(g693
    +g976
    +tp30734
    +a(g826
    +g978
    +tp30735
    +a(g423
    +Vp17
    +p30736
    +tp30737
    +a(g693
    +g976
    +tp30738
    +a(g826
    +g978
    +tp30739
    +a(g423
    +Vp18
    +p30740
    +tp30741
    +a(g693
    +g976
    +tp30742
    +a(g826
    +g978
    +tp30743
    +a(g423
    +Vp19
    +p30744
    +tp30745
    +a(g693
    +g976
    +tp30746
    +a(g826
    +g978
    +tp30747
    +a(g423
    +Vp20
    +p30748
    +tp30749
    +a(g693
    +g976
    +tp30750
    +a(g826
    +g978
    +tp30751
    +a(g423
    +Vf129
    +p30752
    +tp30753
    +a(g693
    +g976
    +tp30754
    +a(g826
    +g978
    +tp30755
    +a(g423
    +Vf125
    +p30756
    +tp30757
    +a(g693
    +g976
    +tp30758
    +a(g826
    +g978
    +tp30759
    +a(g423
    +VNone
    +p30760
    +tp30761
    +a(g693
    +g976
    +tp30762
    +a(g826
    +g978
    +tp30763
    +a(g423
    +VNone
    +p30764
    +tp30765
    +a(g693
    +g976
    +tp30766
    +a(g826
    +g978
    +tp30767
    +a(g423
    +VNone
    +p30768
    +tp30769
    +a(g693
    +g976
    +tp30770
    +a(g826
    +g978
    +tp30771
    +a(g423
    +VNone
    +p30772
    +tp30773
    +a(g693
    +g976
    +tp30774
    +a(g826
    +g978
    +tp30775
    +a(g423
    +VNone
    +p30776
    +tp30777
    +a(g693
    +g976
    +tp30778
    +a(g826
    +g978
    +tp30779
    +a(g423
    +VNone
    +p30780
    +tp30781
    +a(g693
    +g976
    +tp30782
    +a(g826
    +g978
    +tp30783
    +a(g423
    +Vf105
    +p30784
    +tp30785
    +a(g693
    +g976
    +tp30786
    +a(g826
    +g978
    +tp30787
    +a(g423
    +VNone
    +p30788
    +tp30789
    +a(g693
    +g976
    +tp30790
    +a(g826
    +g978
    +tp30791
    +a(g423
    +Vi87
    +p30792
    +tp30793
    +a(g693
    +g976
    +tp30794
    +a(g826
    +g978
    +tp30795
    +a(g423
    +Vi94
    +p30796
    +tp30797
    +a(g693
    +g976
    +tp30798
    +a(g826
    +g978
    +tp30799
    +a(g423
    +VNone
    +p30800
    +tp30801
    +a(g693
    +g976
    +tp30802
    +a(g826
    +g978
    +tp30803
    +a(g423
    +Vi77
    +p30804
    +tp30805
    +a(g693
    +g976
    +tp30806
    +a(g826
    +g978
    +tp30807
    +a(g423
    +VNone
    +p30808
    +tp30809
    +a(g693
    +g976
    +tp30810
    +a(g826
    +g978
    +tp30811
    +a(g423
    +VNone
    +p30812
    +tp30813
    +a(g693
    +g976
    +tp30814
    +a(g826
    +g978
    +tp30815
    +a(g423
    +Vp26
    +p30816
    +tp30817
    +a(g693
    +g976
    +tp30818
    +a(g826
    +g978
    +tp30819
    +a(g423
    +Vi41
    +p30820
    +tp30821
    +a(g693
    +g1098
    +tp30822
    +a(g826
    +V\u000a
    +p30823
    +tp30824
    +a(g423
    +Vf133
    +p30825
    +tp30826
    +a(g826
    +g978
    +tp30827
    +a(g693
    +g1119
    +tp30828
    +a(g826
    +g978
    +tp30829
    +a(g669
    +Vgetarrayitem_raw
    +p30830
    +tp30831
    +a(g693
    +g1104
    +tp30832
    +a(g423
    +Vi104
    +p30833
    +tp30834
    +a(g693
    +g976
    +tp30835
    +a(g826
    +g978
    +tp30836
    +a(g423
    +Vi131
    +p30837
    +tp30838
    +a(g693
    +g976
    +tp30839
    +a(g826
    +g978
    +tp30840
    +a(g423
    +Vdescr
    +p30841
    +tp30842
    +a(g693
    +g1119
    +tp30843
    +a(g669
    +V
    +p30844
    +tp30845
    +a(g693
    +g1112
    +tp30846
    +a(g826
    +V\u000a
    +p30847
    +tp30848
    +a(g616
    +Vdebug_merge_point
    +p30849
    +tp30850
    +a(g693
    +g1104
    +tp30851
    +a(g76
    +V' #230 BINARY_ADD'
    +p30852
    +tp30853
    +a(g693
    +g976
    +tp30854
    +a(g826
    +g978
    +tp30855
    +a(g22
    +g1110
    +tp30856
    +a(g693
    +g1112
    +tp30857
    +a(g826
    +V\u000a
    +p30858
    +tp30859
    +a(g423
    +Vf134
    +p30860
    +tp30861
    +a(g826
    +g978
    +tp30862
    +a(g693
    +g1119
    +tp30863
    +a(g826
    +g978
    +tp30864
    +a(g669
    +Vfloat_add
    +p30865
    +tp30866
    +a(g693
    +g1104
    +tp30867
    +a(g423
    +Vf129
    +p30868
    +tp30869
    +a(g693
    +g976
    +tp30870
    +a(g826
    +g978
    +tp30871
    +a(g423
    +Vf133
    +p30872
    +tp30873
    +a(g693
    +g1112
    +tp30874
    +a(g826
    +V\u000a
    +p30875
    +tp30876
    +a(g616
    +Vdebug_merge_point
    +p30877
    +tp30878
    +a(g693
    +g1104
    +tp30879
    +a(g76
    +V' #231 LOAD_FAST'
    +p30880
    +tp30881
    +a(g693
    +g976
    +tp30882
    +a(g826
    +g978
    +tp30883
    +a(g22
    +g1110
    +tp30884
    +a(g693
    +g1112
    +tp30885
    +a(g826
    +V\u000a
    +p30886
    +tp30887
    +a(g669
    +Vguard_nonnull_class
    +p30888
    +tp30889
    +a(g693
    +g1104
    +tp30890
    +a(g423
    +Vp15
    +p30891
    +tp30892
    +a(g693
    +g976
    +tp30893
    +a(g826
    +g978
    +tp30894
    +a(g22
    +V19800744
    +p30895
    +tp30896
    +a(g693
    +g976
    +tp30897
    +a(g826
    +g978
    +tp30898
    +a(g423
    +Vdescr
    +p30899
    +tp30900
    +a(g693
    +g1119
    +tp30901
    +a(g669
    +V
    +p30902
    +tp30903
    +a(g693
    +g1112
    +tp30904
    +a(g826
    +g978
    +tp30905
    +a(g693
    +g972
    +tp30906
    +a(g423
    +Vp0
    +p30907
    +tp30908
    +a(g693
    +g976
    +tp30909
    +a(g826
    +g978
    +tp30910
    +a(g423
    +Vp1
    +p30911
    +tp30912
    +a(g693
    +g976
    +tp30913
    +a(g826
    +g978
    +tp30914
    +a(g423
    +Vp15
    +p30915
    +tp30916
    +a(g693
    +g976
    +tp30917
    +a(g826
    +g978
    +tp30918
    +a(g423
    +Vp5
    +p30919
    +tp30920
    +a(g693
    +g976
    +tp30921
    +a(g826
    +g978
    +tp30922
    +a(g423
    +Vp7
    +p30923
    +tp30924
    +a(g693
    +g976
    +tp30925
    +a(g826
    +g978
    +tp30926
    +a(g423
    +Vp13
    +p30927
    +tp30928
    +a(g693
    +g976
    +tp30929
    +a(g826
    +g978
    +tp30930
    +a(g423
    +Vp14
    +p30931
    +tp30932
    +a(g693
    +g976
    +tp30933
    +a(g826
    +g978
    +tp30934
    +a(g423
    +Vp16
    +p30935
    +tp30936
    +a(g693
    +g976
    +tp30937
    +a(g826
    +g978
    +tp30938
    +a(g423
    +Vp17
    +p30939
    +tp30940
    +a(g693
    +g976
    +tp30941
    +a(g826
    +g978
    +tp30942
    +a(g423
    +Vp18
    +p30943
    +tp30944
    +a(g693
    +g976
    +tp30945
    +a(g826
    +g978
    +tp30946
    +a(g423
    +Vp19
    +p30947
    +tp30948
    +a(g693
    +g976
    +tp30949
    +a(g826
    +g978
    +tp30950
    +a(g423
    +Vp20
    +p30951
    +tp30952
    +a(g693
    +g976
    +tp30953
    +a(g826
    +g978
    +tp30954
    +a(g423
    +Vp21
    +p30955
    +tp30956
    +a(g693
    +g976
    +tp30957
    +a(g826
    +g978
    +tp30958
    +a(g423
    +Vf134
    +p30959
    +tp30960
    +a(g693
    +g976
    +tp30961
    +a(g826
    +g978
    +tp30962
    +a(g423
    +VNone
    +p30963
    +tp30964
    +a(g693
    +g976
    +tp30965
    +a(g826
    +g978
    +tp30966
    +a(g423
    +Vf125
    +p30967
    +tp30968
    +a(g693
    +g976
    +tp30969
    +a(g826
    +g978
    +tp30970
    +a(g423
    +VNone
    +p30971
    +tp30972
    +a(g693
    +g976
    +tp30973
    +a(g826
    +g978
    +tp30974
    +a(g423
    +VNone
    +p30975
    +tp30976
    +a(g693
    +g976
    +tp30977
    +a(g826
    +g978
    +tp30978
    +a(g423
    +VNone
    +p30979
    +tp30980
    +a(g693
    +g976
    +tp30981
    +a(g826
    +g978
    +tp30982
    +a(g423
    +VNone
    +p30983
    +tp30984
    +a(g693
    +g976
    +tp30985
    +a(g826
    +g978
    +tp30986
    +a(g423
    +VNone
    +p30987
    +tp30988
    +a(g693
    +g976
    +tp30989
    +a(g826
    +g978
    +tp30990
    +a(g423
    +VNone
    +p30991
    +tp30992
    +a(g693
    +g976
    +tp30993
    +a(g826
    +g978
    +tp30994
    +a(g423
    +Vf105
    +p30995
    +tp30996
    +a(g693
    +g976
    +tp30997
    +a(g826
    +g978
    +tp30998
    +a(g423
    +VNone
    +p30999
    +tp31000
    +a(g693
    +g976
    +tp31001
    +a(g826
    +g978
    +tp31002
    +a(g423
    +Vi87
    +p31003
    +tp31004
    +a(g693
    +g976
    +tp31005
    +a(g826
    +g978
    +tp31006
    +a(g423
    +Vi94
    +p31007
    +tp31008
    +a(g693
    +g976
    +tp31009
    +a(g826
    +g978
    +tp31010
    +a(g423
    +VNone
    +p31011
    +tp31012
    +a(g693
    +g976
    +tp31013
    +a(g826
    +g978
    +tp31014
    +a(g423
    +Vi77
    +p31015
    +tp31016
    +a(g693
    +g976
    +tp31017
    +a(g826
    +g978
    +tp31018
    +a(g423
    +VNone
    +p31019
    +tp31020
    +a(g693
    +g976
    +tp31021
    +a(g826
    +g978
    +tp31022
    +a(g423
    +VNone
    +p31023
    +tp31024
    +a(g693
    +g976
    +tp31025
    +a(g826
    +g978
    +tp31026
    +a(g423
    +Vp26
    +p31027
    +tp31028
    +a(g693
    +g976
    +tp31029
    +a(g826
    +g978
    +tp31030
    +a(g423
    +Vi41
    +p31031
    +tp31032
    +a(g693
    +g1098
    +tp31033
    +a(g826
    +V\u000a
    +p31034
    +tp31035
    +a(g616
    +Vdebug_merge_point
    +p31036
    +tp31037
    +a(g693
    +g1104
    +tp31038
    +a(g76
    +V' #234 BINARY_MULTIPLY'
    +p31039
    +tp31040
    +a(g693
    +g976
    +tp31041
    +a(g826
    +g978
    +tp31042
    +a(g22
    +g1110
    +tp31043
    +a(g693
    +g1112
    +tp31044
    +a(g826
    +V\u000a
    +p31045
    +tp31046
    +a(g423
    +Vf136
    +p31047
    +tp31048
    +a(g826
    +g978
    +tp31049
    +a(g693
    +g1119
    +tp31050
    +a(g826
    +g978
    +tp31051
    +a(g669
    +Vgetfield_gc_pure
    +p31052
    +tp31053
    +a(g693
    +g1104
    +tp31054
    +a(g423
    +Vp15
    +p31055
    +tp31056
    +a(g693
    +g976
    +tp31057
    +a(g826
    +g978
    +tp31058
    +a(g423
    +Vdescr
    +p31059
    +tp31060
    +a(g693
    +g1119
    +tp31061
    +a(g669
    +V
    +p31062
    +tp31063
    +a(g693
    +g1112
    +tp31064
    +a(g826
    +V\u000a
    +p31065
    +tp31066
    +a(g423
    +Vf137
    +p31067
    +tp31068
    +a(g826
    +g978
    +tp31069
    +a(g693
    +g1119
    +tp31070
    +a(g826
    +g978
    +tp31071
    +a(g669
    +Vfloat_mul
    +p31072
    +tp31073
    +a(g693
    +g1104
    +tp31074
    +a(g423
    +Vf134
    +p31075
    +tp31076
    +a(g693
    +g976
    +tp31077
    +a(g826
    +g978
    +tp31078
    +a(g423
    +Vf136
    +p31079
    +tp31080
    +a(g693
    +g1112
    +tp31081
    +a(g826
    +V\u000a
    +p31082
    +tp31083
    +a(g616
    +Vdebug_merge_point
    +p31084
    +tp31085
    +a(g693
    +g1104
    +tp31086
    +a(g76
    +V' #235 BINARY_ADD'
    +p31087
    +tp31088
    +a(g693
    +g976
    +tp31089
    +a(g826
    +g978
    +tp31090
    +a(g22
    +g1110
    +tp31091
    +a(g693
    +g1112
    +tp31092
    +a(g826
    +V\u000a
    +p31093
    +tp31094
    +a(g423
    +Vf138
    +p31095
    +tp31096
    +a(g826
    +g978
    +tp31097
    +a(g693
    +g1119
    +tp31098
    +a(g826
    +g978
    +tp31099
    +a(g669
    +Vfloat_add
    +p31100
    +tp31101
    +a(g693
    +g1104
    +tp31102
    +a(g423
    +Vf125
    +p31103
    +tp31104
    +a(g693
    +g976
    +tp31105
    +a(g826
    +g978
    +tp31106
    +a(g423
    +Vf137
    +p31107
    +tp31108
    +a(g693
    +g1112
    +tp31109
    +a(g826
    +V\u000a
    +p31110
    +tp31111
    +a(g616
    +Vdebug_merge_point
    +p31112
    +tp31113
    +a(g693
    +g1104
    +tp31114
    +a(g76
    +V' #236 LOAD_FAST'
    +p31115
    +tp31116
    +a(g693
    +g976
    +tp31117
    +a(g826
    +g978
    +tp31118
    +a(g22
    +g1110
    +tp31119
    +a(g693
    +g1112
    +tp31120
    +a(g826
    +V\u000a
    +p31121
    +tp31122
    +a(g669
    +Vguard_nonnull_class
    +p31123
    +tp31124
    +a(g693
    +g1104
    +tp31125
    +a(g423
    +Vp17
    +p31126
    +tp31127
    +a(g693
    +g976
    +tp31128
    +a(g826
    +g978
    +tp31129
    +a(g22
    +V19800744
    +p31130
    +tp31131
    +a(g693
    +g976
    +tp31132
    +a(g826
    +g978
    +tp31133
    +a(g423
    +Vdescr
    +p31134
    +tp31135
    +a(g693
    +g1119
    +tp31136
    +a(g669
    +V
    +p31137
    +tp31138
    +a(g693
    +g1112
    +tp31139
    +a(g826
    +g978
    +tp31140
    +a(g693
    +g972
    +tp31141
    +a(g423
    +Vp0
    +p31142
    +tp31143
    +a(g693
    +g976
    +tp31144
    +a(g826
    +g978
    +tp31145
    +a(g423
    +Vp1
    +p31146
    +tp31147
    +a(g693
    +g976
    +tp31148
    +a(g826
    +g978
    +tp31149
    +a(g423
    +Vp17
    +p31150
    +tp31151
    +a(g693
    +g976
    +tp31152
    +a(g826
    +g978
    +tp31153
    +a(g423
    +Vp5
    +p31154
    +tp31155
    +a(g693
    +g976
    +tp31156
    +a(g826
    +g978
    +tp31157
    +a(g423
    +Vp7
    +p31158
    +tp31159
    +a(g693
    +g976
    +tp31160
    +a(g826
    +g978
    +tp31161
    +a(g423
    +Vp13
    +p31162
    +tp31163
    +a(g693
    +g976
    +tp31164
    +a(g826
    +g978
    +tp31165
    +a(g423
    +Vp14
    +p31166
    +tp31167
    +a(g693
    +g976
    +tp31168
    +a(g826
    +g978
    +tp31169
    +a(g423
    +Vp15
    +p31170
    +tp31171
    +a(g693
    +g976
    +tp31172
    +a(g826
    +g978
    +tp31173
    +a(g423
    +Vp16
    +p31174
    +tp31175
    +a(g693
    +g976
    +tp31176
    +a(g826
    +g978
    +tp31177
    +a(g423
    +Vp18
    +p31178
    +tp31179
    +a(g693
    +g976
    +tp31180
    +a(g826
    +g978
    +tp31181
    +a(g423
    +Vp19
    +p31182
    +tp31183
    +a(g693
    +g976
    +tp31184
    +a(g826
    +g978
    +tp31185
    +a(g423
    +Vp20
    +p31186
    +tp31187
    +a(g693
    +g976
    +tp31188
    +a(g826
    +g978
    +tp31189
    +a(g423
    +Vp21
    +p31190
    +tp31191
    +a(g693
    +g976
    +tp31192
    +a(g826
    +g978
    +tp31193
    +a(g423
    +Vf138
    +p31194
    +tp31195
    +a(g693
    +g976
    +tp31196
    +a(g826
    +g978
    +tp31197
    +a(g423
    +VNone
    +p31198
    +tp31199
    +a(g693
    +g976
    +tp31200
    +a(g826
    +g978
    +tp31201
    +a(g423
    +VNone
    +p31202
    +tp31203
    +a(g693
    +g976
    +tp31204
    +a(g826
    +g978
    +tp31205
    +a(g423
    +VNone
    +p31206
    +tp31207
    +a(g693
    +g976
    +tp31208
    +a(g826
    +g978
    +tp31209
    +a(g423
    +VNone
    +p31210
    +tp31211
    +a(g693
    +g976
    +tp31212
    +a(g826
    +g978
    +tp31213
    +a(g423
    +VNone
    +p31214
    +tp31215
    +a(g693
    +g976
    +tp31216
    +a(g826
    +g978
    +tp31217
    +a(g423
    +VNone
    +p31218
    +tp31219
    +a(g693
    +g976
    +tp31220
    +a(g826
    +g978
    +tp31221
    +a(g423
    +VNone
    +p31222
    +tp31223
    +a(g693
    +g976
    +tp31224
    +a(g826
    +g978
    +tp31225
    +a(g423
    +VNone
    +p31226
    +tp31227
    +a(g693
    +g976
    +tp31228
    +a(g826
    +g978
    +tp31229
    +a(g423
    +VNone
    +p31230
    +tp31231
    +a(g693
    +g976
    +tp31232
    +a(g826
    +g978
    +tp31233
    +a(g423
    +Vf105
    +p31234
    +tp31235
    +a(g693
    +g976
    +tp31236
    +a(g826
    +g978
    +tp31237
    +a(g423
    +VNone
    +p31238
    +tp31239
    +a(g693
    +g976
    +tp31240
    +a(g826
    +g978
    +tp31241
    +a(g423
    +Vi87
    +p31242
    +tp31243
    +a(g693
    +g976
    +tp31244
    +a(g826
    +g978
    +tp31245
    +a(g423
    +Vi94
    +p31246
    +tp31247
    +a(g693
    +g976
    +tp31248
    +a(g826
    +g978
    +tp31249
    +a(g423
    +VNone
    +p31250
    +tp31251
    +a(g693
    +g976
    +tp31252
    +a(g826
    +g978
    +tp31253
    +a(g423
    +Vi77
    +p31254
    +tp31255
    +a(g693
    +g976
    +tp31256
    +a(g826
    +g978
    +tp31257
    +a(g423
    +VNone
    +p31258
    +tp31259
    +a(g693
    +g976
    +tp31260
    +a(g826
    +g978
    +tp31261
    +a(g423
    +VNone
    +p31262
    +tp31263
    +a(g693
    +g976
    +tp31264
    +a(g826
    +g978
    +tp31265
    +a(g423
    +Vp26
    +p31266
    +tp31267
    +a(g693
    +g976
    +tp31268
    +a(g826
    +g978
    +tp31269
    +a(g423
    +Vi41
    +p31270
    +tp31271
    +a(g693
    +g1098
    +tp31272
    +a(g826
    +V\u000a
    +p31273
    +tp31274
    +a(g616
    +Vdebug_merge_point
    +p31275
    +tp31276
    +a(g693
    +g1104
    +tp31277
    +a(g76
    +V' #239 BINARY_MULTIPLY'
    +p31278
    +tp31279
    +a(g693
    +g976
    +tp31280
    +a(g826
    +g978
    +tp31281
    +a(g22
    +g1110
    +tp31282
    +a(g693
    +g1112
    +tp31283
    +a(g826
    +V\u000a
    +p31284
    +tp31285
    +a(g423
    +Vf140
    +p31286
    +tp31287
    +a(g826
    +g978
    +tp31288
    +a(g693
    +g1119
    +tp31289
    +a(g826
    +g978
    +tp31290
    +a(g669
    +Vgetfield_gc_pure
    +p31291
    +tp31292
    +a(g693
    +g1104
    +tp31293
    +a(g423
    +Vp17
    +p31294
    +tp31295
    +a(g693
    +g976
    +tp31296
    +a(g826
    +g978
    +tp31297
    +a(g423
    +Vdescr
    +p31298
    +tp31299
    +a(g693
    +g1119
    +tp31300
    +a(g669
    +V
    +p31301
    +tp31302
    +a(g693
    +g1112
    +tp31303
    +a(g826
    +V\u000a
    +p31304
    +tp31305
    +a(g423
    +Vf141
    +p31306
    +tp31307
    +a(g826
    +g978
    +tp31308
    +a(g693
    +g1119
    +tp31309
    +a(g826
    +g978
    +tp31310
    +a(g669
    +Vfloat_mul
    +p31311
    +tp31312
    +a(g693
    +g1104
    +tp31313
    +a(g423
    +Vf138
    +p31314
    +tp31315
    +a(g693
    +g976
    +tp31316
    +a(g826
    +g978
    +tp31317
    +a(g423
    +Vf140
    +p31318
    +tp31319
    +a(g693
    +g1112
    +tp31320
    +a(g826
    +V\u000a
    +p31321
    +tp31322
    +a(g616
    +Vdebug_merge_point
    +p31323
    +tp31324
    +a(g693
    +g1104
    +tp31325
    +a(g76
    +V' #240 LOAD_FAST'
    +p31326
    +tp31327
    +a(g693
    +g976
    +tp31328
    +a(g826
    +g978
    +tp31329
    +a(g22
    +g1110
    +tp31330
    +a(g693
    +g1112
    +tp31331
    +a(g826
    +V\u000a
    +p31332
    +tp31333
    +a(g616
    +Vdebug_merge_point
    +p31334
    +tp31335
    +a(g693
    +g1104
    +tp31336
    +a(g76
    +V' #243 LOAD_FAST'
    +p31337
    +tp31338
    +a(g693
    +g976
    +tp31339
    +a(g826
    +g978
    +tp31340
    +a(g22
    +g1110
    +tp31341
    +a(g693
    +g1112
    +tp31342
    +a(g826
    +V\u000a
    +p31343
    +tp31344
    +a(g616
    +Vdebug_merge_point
    +p31345
    +tp31346
    +a(g693
    +g1104
    +tp31347
    +a(g76
    +V' #246 LOAD_FAST'
    +p31348
    +tp31349
    +a(g693
    +g976
    +tp31350
    +a(g826
    +g978
    +tp31351
    +a(g22
    +g1110
    +tp31352
    +a(g693
    +g1112
    +tp31353
    +a(g826
    +V\u000a
    +p31354
    +tp31355
    +a(g616
    +Vdebug_merge_point
    +p31356
    +tp31357
    +a(g693
    +g1104
    +tp31358
    +a(g76
    +V' #249 BINARY_MULTIPLY'
    +p31359
    +tp31360
    +a(g693
    +g976
    +tp31361
    +a(g826
    +g978
    +tp31362
    +a(g22
    +g1110
    +tp31363
    +a(g693
    +g1112
    +tp31364
    +a(g826
    +V\u000a
    +p31365
    +tp31366
    +a(g616
    +Vdebug_merge_point
    +p31367
    +tp31368
    +a(g693
    +g1104
    +tp31369
    +a(g76
    +V' #250 LOAD_FAST'
    +p31370
    +tp31371
    +a(g693
    +g976
    +tp31372
    +a(g826
    +g978
    +tp31373
    +a(g22
    +g1110
    +tp31374
    +a(g693
    +g1112
    +tp31375
    +a(g826
    +V\u000a
    +p31376
    +tp31377
    +a(g616
    +Vdebug_merge_point
    +p31378
    +tp31379
    +a(g693
    +g1104
    +tp31380
    +a(g76
    +V' #253 BINARY_ADD'
    +p31381
    +tp31382
    +a(g693
    +g976
    +tp31383
    +a(g826
    +g978
    +tp31384
    +a(g22
    +g1110
    +tp31385
    +a(g693
    +g1112
    +tp31386
    +a(g826
    +V\u000a
    +p31387
    +tp31388
    +a(g616
    +Vdebug_merge_point
    +p31389
    +tp31390
    +a(g693
    +g1104
    +tp31391
    +a(g76
    +V' #254 STORE_SUBSCR'
    +p31392
    +tp31393
    +a(g693
    +g976
    +tp31394
    +a(g826
    +g978
    +tp31395
    +a(g22
    +g1110
    +tp31396
    +a(g693
    +g1112
    +tp31397
    +a(g826
    +V\u000a
    +p31398
    +tp31399
    +a(g669
    +Vsetarrayitem_raw
    +p31400
    +tp31401
    +a(g693
    +g1104
    +tp31402
    +a(g423
    +Vi104
    +p31403
    +tp31404
    +a(g693
    +g976
    +tp31405
    +a(g826
    +g978
    +tp31406
    +a(g423
    +Vi99
    +p31407
    +tp31408
    +a(g693
    +g976
    +tp31409
    +a(g826
    +g978
    +tp31410
    +a(g423
    +Vf141
    +p31411
    +tp31412
    +a(g693
    +g976
    +tp31413
    +a(g826
    +g978
    +tp31414
    +a(g423
    +Vdescr
    +p31415
    +tp31416
    +a(g693
    +g1119
    +tp31417
    +a(g669
    +V
    +p31418
    +tp31419
    +a(g693
    +g1112
    +tp31420
    +a(g826
    +V\u000a
    +p31421
    +tp31422
    +a(g616
    +Vdebug_merge_point
    +p31423
    +tp31424
    +a(g693
    +g1104
    +tp31425
    +a(g76
    +V' #255 LOAD_FAST'
    +p31426
    +tp31427
    +a(g693
    +g976
    +tp31428
    +a(g826
    +g978
    +tp31429
    +a(g22
    +g1110
    +tp31430
    +a(g693
    +g1112
    +tp31431
    +a(g826
    +V\u000a
    +p31432
    +tp31433
    +a(g669
    +Vguard_nonnull_class
    +p31434
    +tp31435
    +a(g693
    +g1104
    +tp31436
    +a(g423
    +Vp18
    +p31437
    +tp31438
    +a(g693
    +g976
    +tp31439
    +a(g826
    +g978
    +tp31440
    +a(g22
    +V19800744
    +p31441
    +tp31442
    +a(g693
    +g976
    +tp31443
    +a(g826
    +g978
    +tp31444
    +a(g423
    +Vdescr
    +p31445
    +tp31446
    +a(g693
    +g1119
    +tp31447
    +a(g669
    +V
    +p31448
    +tp31449
    +a(g693
    +g1112
    +tp31450
    +a(g826
    +g978
    +tp31451
    +a(g693
    +g972
    +tp31452
    +a(g423
    +Vp0
    +p31453
    +tp31454
    +a(g693
    +g976
    +tp31455
    +a(g826
    +g978
    +tp31456
    +a(g423
    +Vp1
    +p31457
    +tp31458
    +a(g693
    +g976
    +tp31459
    +a(g826
    +g978
    +tp31460
    +a(g423
    +Vp18
    +p31461
    +tp31462
    +a(g693
    +g976
    +tp31463
    +a(g826
    +g978
    +tp31464
    +a(g423
    +Vp5
    +p31465
    +tp31466
    +a(g693
    +g976
    +tp31467
    +a(g826
    +g978
    +tp31468
    +a(g423
    +Vp7
    +p31469
    +tp31470
    +a(g693
    +g976
    +tp31471
    +a(g826
    +g978
    +tp31472
    +a(g423
    +Vp13
    +p31473
    +tp31474
    +a(g693
    +g976
    +tp31475
    +a(g826
    +g978
    +tp31476
    +a(g423
    +Vp14
    +p31477
    +tp31478
    +a(g693
    +g976
    +tp31479
    +a(g826
    +g978
    +tp31480
    +a(g423
    +Vp15
    +p31481
    +tp31482
    +a(g693
    +g976
    +tp31483
    +a(g826
    +g978
    +tp31484
    +a(g423
    +Vp16
    +p31485
    +tp31486
    +a(g693
    +g976
    +tp31487
    +a(g826
    +g978
    +tp31488
    +a(g423
    +Vp17
    +p31489
    +tp31490
    +a(g693
    +g976
    +tp31491
    +a(g826
    +g978
    +tp31492
    +a(g423
    +Vp19
    +p31493
    +tp31494
    +a(g693
    +g976
    +tp31495
    +a(g826
    +g978
    +tp31496
    +a(g423
    +Vp20
    +p31497
    +tp31498
    +a(g693
    +g976
    +tp31499
    +a(g826
    +g978
    +tp31500
    +a(g423
    +Vp21
    +p31501
    +tp31502
    +a(g693
    +g976
    +tp31503
    +a(g826
    +g978
    +tp31504
    +a(g423
    +VNone
    +p31505
    +tp31506
    +a(g693
    +g976
    +tp31507
    +a(g826
    +g978
    +tp31508
    +a(g423
    +VNone
    +p31509
    +tp31510
    +a(g693
    +g976
    +tp31511
    +a(g826
    +g978
    +tp31512
    +a(g423
    +VNone
    +p31513
    +tp31514
    +a(g693
    +g976
    +tp31515
    +a(g826
    +g978
    +tp31516
    +a(g423
    +VNone
    +p31517
    +tp31518
    +a(g693
    +g976
    +tp31519
    +a(g826
    +g978
    +tp31520
    +a(g423
    +VNone
    +p31521
    +tp31522
    +a(g693
    +g976
    +tp31523
    +a(g826
    +g978
    +tp31524
    +a(g423
    +VNone
    +p31525
    +tp31526
    +a(g693
    +g976
    +tp31527
    +a(g826
    +g978
    +tp31528
    +a(g423
    +VNone
    +p31529
    +tp31530
    +a(g693
    +g976
    +tp31531
    +a(g826
    +g978
    +tp31532
    +a(g423
    +VNone
    +p31533
    +tp31534
    +a(g693
    +g976
    +tp31535
    +a(g826
    +g978
    +tp31536
    +a(g423
    +VNone
    +p31537
    +tp31538
    +a(g693
    +g976
    +tp31539
    +a(g826
    +g978
    +tp31540
    +a(g423
    +VNone
    +p31541
    +tp31542
    +a(g693
    +g976
    +tp31543
    +a(g826
    +g978
    +tp31544
    +a(g423
    +Vf105
    +p31545
    +tp31546
    +a(g693
    +g976
    +tp31547
    +a(g826
    +g978
    +tp31548
    +a(g423
    +VNone
    +p31549
    +tp31550
    +a(g693
    +g976
    +tp31551
    +a(g826
    +g978
    +tp31552
    +a(g423
    +Vi87
    +p31553
    +tp31554
    +a(g693
    +g976
    +tp31555
    +a(g826
    +g978
    +tp31556
    +a(g423
    +Vi94
    +p31557
    +tp31558
    +a(g693
    +g976
    +tp31559
    +a(g826
    +g978
    +tp31560
    +a(g423
    +VNone
    +p31561
    +tp31562
    +a(g693
    +g976
    +tp31563
    +a(g826
    +g978
    +tp31564
    +a(g423
    +Vi77
    +p31565
    +tp31566
    +a(g693
    +g976
    +tp31567
    +a(g826
    +g978
    +tp31568
    +a(g423
    +VNone
    +p31569
    +tp31570
    +a(g693
    +g976
    +tp31571
    +a(g826
    +g978
    +tp31572
    +a(g423
    +VNone
    +p31573
    +tp31574
    +a(g693
    +g976
    +tp31575
    +a(g826
    +g978
    +tp31576
    +a(g423
    +Vp26
    +p31577
    +tp31578
    +a(g693
    +g976
    +tp31579
    +a(g826
    +g978
    +tp31580
    +a(g423
    +Vi41
    +p31581
    +tp31582
    +a(g693
    +g1098
    +tp31583
    +a(g826
    +V\u000a
    +p31584
    +tp31585
    +a(g616
    +Vdebug_merge_point
    +p31586
    +tp31587
    +a(g693
    +g1104
    +tp31588
    +a(g76
    +V' #258 LOAD_GLOBAL'
    +p31589
    +tp31590
    +a(g693
    +g976
    +tp31591
    +a(g826
    +g978
    +tp31592
    +a(g22
    +g1110
    +tp31593
    +a(g693
    +g1112
    +tp31594
    +a(g826
    +V\u000a
    +p31595
    +tp31596
    +a(g423
    +Vp144
    +p31597
    +tp31598
    +a(g826
    +g978
    +tp31599
    +a(g693
    +g1119
    +tp31600
    +a(g826
    +g978
    +tp31601
    +a(g669
    +Vgetfield_gc
    +p31602
    +tp31603
    +a(g693
    +g1104
    +tp31604
    +a(g423
    +VConstPtr
    +p31605
    +tp31606
    +a(g693
    +g1104
    +tp31607
    +a(g669
    +Vptr143
    +p31608
    +tp31609
    +a(g693
    +g1112
    +tp31610
    +a(g693
    +g976
    +tp31611
    +a(g826
    +g978
    +tp31612
    +a(g423
    +Vdescr
    +p31613
    +tp31614
    +a(g693
    +g1119
    +tp31615
    +a(g669
    +V
    +p31616
    +tp31617
    +a(g693
    +g1112
    +tp31618
    +a(g826
    +V\u000a
    +p31619
    +tp31620
    +a(g669
    +Vguard_nonnull_class
    +p31621
    +tp31622
    +a(g693
    +g1104
    +tp31623
    +a(g423
    +Vp144
    +p31624
    +tp31625
    +a(g693
    +g976
    +tp31626
    +a(g826
    +g978
    +tp31627
    +a(g423
    +VConstClass
    +p31628
    +tp31629
    +a(g693
    +g1104
    +tp31630
    +a(g669
    +VFunction
    +p31631
    +tp31632
    +a(g693
    +g1112
    +tp31633
    +a(g693
    +g976
    +tp31634
    +a(g826
    +g978
    +tp31635
    +a(g423
    +Vdescr
    +p31636
    +tp31637
    +a(g693
    +g1119
    +tp31638
    +a(g669
    +V
    +p31639
    +tp31640
    +a(g693
    +g1112
    +tp31641
    +a(g826
    +g978
    +tp31642
    +a(g693
    +g972
    +tp31643
    +a(g423
    +Vp0
    +p31644
    +tp31645
    +a(g693
    +g976
    +tp31646
    +a(g826
    +g978
    +tp31647
    +a(g423
    +Vp1
    +p31648
    +tp31649
    +a(g693
    +g976
    +tp31650
    +a(g826
    +g978
    +tp31651
    +a(g423
    +Vp144
    +p31652
    +tp31653
    +a(g693
    +g976
    +tp31654
    +a(g826
    +g978
    +tp31655
    +a(g423
    +Vp5
    +p31656
    +tp31657
    +a(g693
    +g976
    +tp31658
    +a(g826
    +g978
    +tp31659
    +a(g423
    +Vp7
    +p31660
    +tp31661
    +a(g693
    +g976
    +tp31662
    +a(g826
    +g978
    +tp31663
    +a(g423
    +Vp18
    +p31664
    +tp31665
    +a(g693
    +g976
    +tp31666
    +a(g826
    +g978
    +tp31667
    +a(g423
    +Vp13
    +p31668
    +tp31669
    +a(g693
    +g976
    +tp31670
    +a(g826
    +g978
    +tp31671
    +a(g423
    +Vp14
    +p31672
    +tp31673
    +a(g693
    +g976
    +tp31674
    +a(g826
    +g978
    +tp31675
    +a(g423
    +Vp15
    +p31676
    +tp31677
    +a(g693
    +g976
    +tp31678
    +a(g826
    +g978
    +tp31679
    +a(g423
    +Vp16
    +p31680
    +tp31681
    +a(g693
    +g976
    +tp31682
    +a(g826
    +g978
    +tp31683
    +a(g423
    +Vp17
    +p31684
    +tp31685
    +a(g693
    +g976
    +tp31686
    +a(g826
    +g978
    +tp31687
    +a(g423
    +Vp19
    +p31688
    +tp31689
    +a(g693
    +g976
    +tp31690
    +a(g826
    +g978
    +tp31691
    +a(g423
    +Vp20
    +p31692
    +tp31693
    +a(g693
    +g976
    +tp31694
    +a(g826
    +g978
    +tp31695
    +a(g423
    +Vp21
    +p31696
    +tp31697
    +a(g693
    +g976
    +tp31698
    +a(g826
    +g978
    +tp31699
    +a(g423
    +VNone
    +p31700
    +tp31701
    +a(g693
    +g976
    +tp31702
    +a(g826
    +g978
    +tp31703
    +a(g423
    +VNone
    +p31704
    +tp31705
    +a(g693
    +g976
    +tp31706
    +a(g826
    +g978
    +tp31707
    +a(g423
    +VNone
    +p31708
    +tp31709
    +a(g693
    +g976
    +tp31710
    +a(g826
    +g978
    +tp31711
    +a(g423
    +VNone
    +p31712
    +tp31713
    +a(g693
    +g976
    +tp31714
    +a(g826
    +g978
    +tp31715
    +a(g423
    +VNone
    +p31716
    +tp31717
    +a(g693
    +g976
    +tp31718
    +a(g826
    +g978
    +tp31719
    +a(g423
    +VNone
    +p31720
    +tp31721
    +a(g693
    +g976
    +tp31722
    +a(g826
    +g978
    +tp31723
    +a(g423
    +VNone
    +p31724
    +tp31725
    +a(g693
    +g976
    +tp31726
    +a(g826
    +g978
    +tp31727
    +a(g423
    +VNone
    +p31728
    +tp31729
    +a(g693
    +g976
    +tp31730
    +a(g826
    +g978
    +tp31731
    +a(g423
    +VNone
    +p31732
    +tp31733
    +a(g693
    +g976
    +tp31734
    +a(g826
    +g978
    +tp31735
    +a(g423
    +VNone
    +p31736
    +tp31737
    +a(g693
    +g976
    +tp31738
    +a(g826
    +g978
    +tp31739
    +a(g423
    +Vf105
    +p31740
    +tp31741
    +a(g693
    +g976
    +tp31742
    +a(g826
    +g978
    +tp31743
    +a(g423
    +VNone
    +p31744
    +tp31745
    +a(g693
    +g976
    +tp31746
    +a(g826
    +g978
    +tp31747
    +a(g423
    +Vi87
    +p31748
    +tp31749
    +a(g693
    +g976
    +tp31750
    +a(g826
    +g978
    +tp31751
    +a(g423
    +Vi94
    +p31752
    +tp31753
    +a(g693
    +g976
    +tp31754
    +a(g826
    +g978
    +tp31755
    +a(g423
    +VNone
    +p31756
    +tp31757
    +a(g693
    +g976
    +tp31758
    +a(g826
    +g978
    +tp31759
    +a(g423
    +Vi77
    +p31760
    +tp31761
    +a(g693
    +g976
    +tp31762
    +a(g826
    +g978
    +tp31763
    +a(g423
    +VNone
    +p31764
    +tp31765
    +a(g693
    +g976
    +tp31766
    +a(g826
    +g978
    +tp31767
    +a(g423
    +VNone
    +p31768
    +tp31769
    +a(g693
    +g976
    +tp31770
    +a(g826
    +g978
    +tp31771
    +a(g423
    +Vp26
    +p31772
    +tp31773
    +a(g693
    +g976
    +tp31774
    +a(g826
    +g978
    +tp31775
    +a(g423
    +Vi41
    +p31776
    +tp31777
    +a(g693
    +g1098
    +tp31778
    +a(g826
    +V\u000a
    +p31779
    +tp31780
    +a(g616
    +Vdebug_merge_point
    +p31781
    +tp31782
    +a(g693
    +g1104
    +tp31783
    +a(g76
    +V' #261 LOAD_FAST'
    +p31784
    +tp31785
    +a(g693
    +g976
    +tp31786
    +a(g826
    +g978
    +tp31787
    +a(g22
    +g1110
    +tp31788
    +a(g693
    +g1112
    +tp31789
    +a(g826
    +V\u000a
    +p31790
    +tp31791
    +a(g616
    +Vdebug_merge_point
    +p31792
    +tp31793
    +a(g693
    +g1104
    +tp31794
    +a(g76
    +V' #264 LOAD_FAST'
    +p31795
    +tp31796
    +a(g693
    +g976
    +tp31797
    +a(g826
    +g978
    +tp31798
    +a(g22
    +g1110
    +tp31799
    +a(g693
    +g1112
    +tp31800
    +a(g826
    +V\u000a
    +p31801
    +tp31802
    +a(g616
    +Vdebug_merge_point
    +p31803
    +tp31804
    +a(g693
    +g1104
    +tp31805
    +a(g76
    +V' #267 LOAD_FAST'
    +p31806
    +tp31807
    +a(g693
    +g976
    +tp31808
    +a(g826
    +g978
    +tp31809
    +a(g22
    +g1110
    +tp31810
    +a(g693
    +g1112
    +tp31811
    +a(g826
    +V\u000a
    +p31812
    +tp31813
    +a(g616
    +Vdebug_merge_point
    +p31814
    +tp31815
    +a(g693
    +g1104
    +tp31816
    +a(g76
    +V' #270 BINARY_MULTIPLY'
    +p31817
    +tp31818
    +a(g693
    +g976
    +tp31819
    +a(g826
    +g978
    +tp31820
    +a(g22
    +g1110
    +tp31821
    +a(g693
    +g1112
    +tp31822
    +a(g826
    +V\u000a
    +p31823
    +tp31824
    +a(g616
    +Vdebug_merge_point
    +p31825
    +tp31826
    +a(g693
    +g1104
    +tp31827
    +a(g76
    +V' #271 LOAD_FAST'
    +p31828
    +tp31829
    +a(g693
    +g976
    +tp31830
    +a(g826
    +g978
    +tp31831
    +a(g22
    +g1110
    +tp31832
    +a(g693
    +g1112
    +tp31833
    +a(g826
    +V\u000a
    +p31834
    +tp31835
    +a(g616
    +Vdebug_merge_point
    +p31836
    +tp31837
    +a(g693
    +g1104
    +tp31838
    +a(g76
    +V' #274 BINARY_ADD'
    +p31839
    +tp31840
    +a(g693
    +g976
    +tp31841
    +a(g826
    +g978
    +tp31842
    +a(g22
    +g1110
    +tp31843
    +a(g693
    +g1112
    +tp31844
    +a(g826
    +V\u000a
    +p31845
    +tp31846
    +a(g616
    +Vdebug_merge_point
    +p31847
    +tp31848
    +a(g693
    +g1104
    +tp31849
    +a(g76
    +V' #275 BINARY_SUBSCR'
    +p31850
    +tp31851
    +a(g693
    +g976
    +tp31852
    +a(g826
    +g978
    +tp31853
    +a(g22
    +g1110
    +tp31854
    +a(g693
    +g1112
    +tp31855
    +a(g826
    +V\u000a
    +p31856
    +tp31857
    +a(g423
    +Vf146
    +p31858
    +tp31859
    +a(g826
    +g978
    +tp31860
    +a(g693
    +g1119
    +tp31861
    +a(g826
    +g978
    +tp31862
    +a(g669
    +Vgetarrayitem_raw
    +p31863
    +tp31864
    +a(g693
    +g1104
    +tp31865
    +a(g423
    +Vi104
    +p31866
    +tp31867
    +a(g693
    +g976
    +tp31868
    +a(g826
    +g978
    +tp31869
    +a(g423
    +Vi99
    +p31870
    +tp31871
    +a(g693
    +g976
    +tp31872
    +a(g826
    +g978
    +tp31873
    +a(g423
    +Vdescr
    +p31874
    +tp31875
    +a(g693
    +g1119
    +tp31876
    +a(g669
    +V
    +p31877
    +tp31878
    +a(g693
    +g1112
    +tp31879
    +a(g826
    +V\u000a
    +p31880
    +tp31881
    +a(g616
    +Vdebug_merge_point
    +p31882
    +tp31883
    +a(g693
    +g1104
    +tp31884
    +a(g76
    +V' #276 LOAD_FAST'
    +p31885
    +tp31886
    +a(g693
    +g976
    +tp31887
    +a(g826
    +g978
    +tp31888
    +a(g22
    +g1110
    +tp31889
    +a(g693
    +g1112
    +tp31890
    +a(g826
    +V\u000a
    +p31891
    +tp31892
    +a(g616
    +Vdebug_merge_point
    +p31893
    +tp31894
    +a(g693
    +g1104
    +tp31895
    +a(g76
    +V' #279 BINARY_SUBTRACT'
    +p31896
    +tp31897
    +a(g693
    +g976
    +tp31898
    +a(g826
    +g978
    +tp31899
    +a(g22
    +g1110
    +tp31900
    +a(g693
    +g1112
    +tp31901
    +a(g826
    +V\u000a
    +p31902
    +tp31903
    +a(g423
    +Vf147
    +p31904
    +tp31905
    +a(g826
    +g978
    +tp31906
    +a(g693
    +g1119
    +tp31907
    +a(g826
    +g978
    +tp31908
    +a(g669
    +Vfloat_sub
    +p31909
    +tp31910
    +a(g693
    +g1104
    +tp31911
    +a(g423
    +Vf146
    +p31912
    +tp31913
    +a(g693
    +g976
    +tp31914
    +a(g826
    +g978
    +tp31915
    +a(g423
    +Vf105
    +p31916
    +tp31917
    +a(g693
    +g1112
    +tp31918
    +a(g826
    +V\u000a
    +p31919
    +tp31920
    +a(g616
    +Vdebug_merge_point
    +p31921
    +tp31922
    +a(g693
    +g1104
    +tp31923
    +a(g76
    +V' #280 CALL_FUNCTION'
    +p31924
    +tp31925
    +a(g693
    +g976
    +tp31926
    +a(g826
    +g978
    +tp31927
    +a(g22
    +g1110
    +tp31928
    +a(g693
    +g1112
    +tp31929
    +a(g826
    +V\u000a
    +p31930
    +tp31931
    +a(g423
    +Vp148
    +p31932
    +tp31933
    +a(g826
    +g978
    +tp31934
    +a(g693
    +g1119
    +tp31935
    +a(g826
    +g978
    +tp31936
    +a(g669
    +Vgetfield_gc
    +p31937
    +tp31938
    +a(g693
    +g1104
    +tp31939
    +a(g423
    +Vp144
    +p31940
    +tp31941
    +a(g693
    +g976
    +tp31942
    +a(g826
    +g978
    +tp31943
    +a(g423
    +Vdescr
    +p31944
    +tp31945
    +a(g693
    +g1119
    +tp31946
    +a(g669
    +V
    +p31947
    +tp31948
    +a(g693
    +g1112
    +tp31949
    +a(g826
    +V\u000a
    +p31950
    +tp31951
    +a(g669
    +Vguard_value
    +p31952
    +tp31953
    +a(g693
    +g1104
    +tp31954
    +a(g423
    +Vp148
    +p31955
    +tp31956
    +a(g693
    +g976
    +tp31957
    +a(g826
    +g978
    +tp31958
    +a(g423
    +VConstPtr
    +p31959
    +tp31960
    +a(g693
    +g1104
    +tp31961
    +a(g669
    +Vptr149
    +p31962
    +tp31963
    +a(g693
    +g1112
    +tp31964
    +a(g693
    +g976
    +tp31965
    +a(g826
    +g978
    +tp31966
    +a(g423
    +Vdescr
    +p31967
    +tp31968
    +a(g693
    +g1119
    +tp31969
    +a(g669
    +V
    +p31970
    +tp31971
    +a(g693
    +g1112
    +tp31972
    +a(g826
    +g978
    +tp31973
    +a(g693
    +g972
    +tp31974
    +a(g423
    +Vp0
    +p31975
    +tp31976
    +a(g693
    +g976
    +tp31977
    +a(g826
    +g978
    +tp31978
    +a(g423
    +Vp1
    +p31979
    +tp31980
    +a(g693
    +g976
    +tp31981
    +a(g826
    +g978
    +tp31982
    +a(g423
    +Vp148
    +p31983
    +tp31984
    +a(g693
    +g976
    +tp31985
    +a(g826
    +g978
    +tp31986
    +a(g423
    +Vp144
    +p31987
    +tp31988
    +a(g693
    +g976
    +tp31989
    +a(g826
    +g978
    +tp31990
    +a(g423
    +Vp5
    +p31991
    +tp31992
    +a(g693
    +g976
    +tp31993
    +a(g826
    +g978
    +tp31994
    +a(g423
    +Vp7
    +p31995
    +tp31996
    +a(g693
    +g976
    +tp31997
    +a(g826
    +g978
    +tp31998
    +a(g423
    +Vp18
    +p31999
    +tp32000
    +a(g693
    +g976
    +tp32001
    +a(g826
    +g978
    +tp32002
    +a(g423
    +Vp13
    +p32003
    +tp32004
    +a(g693
    +g976
    +tp32005
    +a(g826
    +g978
    +tp32006
    +a(g423
    +Vp14
    +p32007
    +tp32008
    +a(g693
    +g976
    +tp32009
    +a(g826
    +g978
    +tp32010
    +a(g423
    +Vp15
    +p32011
    +tp32012
    +a(g693
    +g976
    +tp32013
    +a(g826
    +g978
    +tp32014
    +a(g423
    +Vp16
    +p32015
    +tp32016
    +a(g693
    +g976
    +tp32017
    +a(g826
    +g978
    +tp32018
    +a(g423
    +Vp17
    +p32019
    +tp32020
    +a(g693
    +g976
    +tp32021
    +a(g826
    +g978
    +tp32022
    +a(g423
    +Vp19
    +p32023
    +tp32024
    +a(g693
    +g976
    +tp32025
    +a(g826
    +g978
    +tp32026
    +a(g423
    +Vp20
    +p32027
    +tp32028
    +a(g693
    +g976
    +tp32029
    +a(g826
    +g978
    +tp32030
    +a(g423
    +Vp21
    +p32031
    +tp32032
    +a(g693
    +g976
    +tp32033
    +a(g826
    +g978
    +tp32034
    +a(g423
    +Vf147
    +p32035
    +tp32036
    +a(g693
    +g976
    +tp32037
    +a(g826
    +g978
    +tp32038
    +a(g423
    +VNone
    +p32039
    +tp32040
    +a(g693
    +g976
    +tp32041
    +a(g826
    +g978
    +tp32042
    +a(g423
    +VNone
    +p32043
    +tp32044
    +a(g693
    +g976
    +tp32045
    +a(g826
    +g978
    +tp32046
    +a(g423
    +VNone
    +p32047
    +tp32048
    +a(g693
    +g976
    +tp32049
    +a(g826
    +g978
    +tp32050
    +a(g423
    +VNone
    +p32051
    +tp32052
    +a(g693
    +g976
    +tp32053
    +a(g826
    +g978
    +tp32054
    +a(g423
    +VNone
    +p32055
    +tp32056
    +a(g693
    +g976
    +tp32057
    +a(g826
    +g978
    +tp32058
    +a(g423
    +VNone
    +p32059
    +tp32060
    +a(g693
    +g976
    +tp32061
    +a(g826
    +g978
    +tp32062
    +a(g423
    +VNone
    +p32063
    +tp32064
    +a(g693
    +g976
    +tp32065
    +a(g826
    +g978
    +tp32066
    +a(g423
    +VNone
    +p32067
    +tp32068
    +a(g693
    +g976
    +tp32069
    +a(g826
    +g978
    +tp32070
    +a(g423
    +VNone
    +p32071
    +tp32072
    +a(g693
    +g976
    +tp32073
    +a(g826
    +g978
    +tp32074
    +a(g423
    +VNone
    +p32075
    +tp32076
    +a(g693
    +g976
    +tp32077
    +a(g826
    +g978
    +tp32078
    +a(g423
    +Vf105
    +p32079
    +tp32080
    +a(g693
    +g976
    +tp32081
    +a(g826
    +g978
    +tp32082
    +a(g423
    +VNone
    +p32083
    +tp32084
    +a(g693
    +g976
    +tp32085
    +a(g826
    +g978
    +tp32086
    +a(g423
    +Vi87
    +p32087
    +tp32088
    +a(g693
    +g976
    +tp32089
    +a(g826
    +g978
    +tp32090
    +a(g423
    +Vi94
    +p32091
    +tp32092
    +a(g693
    +g976
    +tp32093
    +a(g826
    +g978
    +tp32094
    +a(g423
    +VNone
    +p32095
    +tp32096
    +a(g693
    +g976
    +tp32097
    +a(g826
    +g978
    +tp32098
    +a(g423
    +Vi77
    +p32099
    +tp32100
    +a(g693
    +g976
    +tp32101
    +a(g826
    +g978
    +tp32102
    +a(g423
    +VNone
    +p32103
    +tp32104
    +a(g693
    +g976
    +tp32105
    +a(g826
    +g978
    +tp32106
    +a(g423
    +VNone
    +p32107
    +tp32108
    +a(g693
    +g976
    +tp32109
    +a(g826
    +g978
    +tp32110
    +a(g423
    +Vp26
    +p32111
    +tp32112
    +a(g693
    +g976
    +tp32113
    +a(g826
    +g978
    +tp32114
    +a(g423
    +Vi41
    +p32115
    +tp32116
    +a(g693
    +g1098
    +tp32117
    +a(g826
    +V\u000a
    +p32118
    +tp32119
    +a(g423
    +Vp150
    +p32120
    +tp32121
    +a(g826
    +g978
    +tp32122
    +a(g693
    +g1119
    +tp32123
    +a(g826
    +g978
    +tp32124
    +a(g669
    +Vgetfield_gc
    +p32125
    +tp32126
    +a(g693
    +g1104
    +tp32127
    +a(g423
    +Vp144
    +p32128
    +tp32129
    +a(g693
    +g976
    +tp32130
    +a(g826
    +g978
    +tp32131
    +a(g423
    +Vdescr
    +p32132
    +tp32133
    +a(g693
    +g1119
    +tp32134
    +a(g669
    +V
    +p32135
    +tp32136
    +a(g693
    +g1112
    +tp32137
    +a(g826
    +V\u000a
    +p32138
    +tp32139
    +a(g423
    +Vp151
    +p32140
    +tp32141
    +a(g826
    +g978
    +tp32142
    +a(g693
    +g1119
    +tp32143
    +a(g826
    +g978
    +tp32144
    +a(g669
    +Vgetfield_gc
    +p32145
    +tp32146
    +a(g693
    +g1104
    +tp32147
    +a(g423
    +Vp144
    +p32148
    +tp32149
    +a(g693
    +g976
    +tp32150
    +a(g826
    +g978
    +tp32151
    +a(g423
    +Vdescr
    +p32152
    +tp32153
    +a(g693
    +g1119
    +tp32154
    +a(g669
    +V
    +p32155
    +tp32156
    +a(g693
    +g1112
    +tp32157
    +a(g826
    +V\u000a
    +p32158
    +tp32159
    +a(g423
    +Vp153
    +p32160
    +tp32161
    +a(g826
    +g978
    +tp32162
    +a(g693
    +g1119
    +tp32163
    +a(g826
    +g978
    +tp32164
    +a(g669
    +Vcall
    +p32165
    +tp32166
    +a(g693
    +g1104
    +tp32167
    +a(g423
    +VConstClass
    +p32168
    +tp32169
    +a(g693
    +g1104
    +tp32170
    +a(g669
    +Vgetexecutioncontext
    +p32171
    +tp32172
    +a(g693
    +g1112
    +tp32173
    +a(g693
    +g976
    +tp32174
    +a(g826
    +g978
    +tp32175
    +a(g423
    +Vdescr
    +p32176
    +tp32177
    +a(g693
    +g1119
    +tp32178
    +a(g669
    +V
    +p32179
    +tp32180
    +a(g693
    +g1112
    +tp32181
    +a(g826
    +V\u000a
    +p32182
    +tp32183
    +a(g423
    +Vp154
    +p32184
    +tp32185
    +a(g826
    +g978
    +tp32186
    +a(g693
    +g1119
    +tp32187
    +a(g826
    +g978
    +tp32188
    +a(g669
    +Vgetfield_gc
    +p32189
    +tp32190
    +a(g693
    +g1104
    +tp32191
    +a(g423
    +Vp153
    +p32192
    +tp32193
    +a(g693
    +g976
    +tp32194
    +a(g826
    +g978
    +tp32195
    +a(g423
    +Vdescr
    +p32196
    +tp32197
    +a(g693
    +g1119
    +tp32198
    +a(g669
    +V
    +p32199
    +tp32200
    +a(g693
    +g1112
    +tp32201
    +a(g826
    +V\u000a
    +p32202
    +tp32203
    +a(g423
    +Vi155
    +p32204
    +tp32205
    +a(g826
    +g978
    +tp32206
    +a(g693
    +g1119
    +tp32207
    +a(g826
    +g978
    +tp32208
    +a(g669
    +Vforce_token
    +p32209
    +tp32210
    +a(g693
    +g1104
    +tp32211
    +a(g693
    +g1112
    +tp32212
    +a(g826
    +V\u000a
    +p32213
    +tp32214
    +a(g423
    +Vp156
    +p32215
    +tp32216
    +a(g826
    +g978
    +tp32217
    +a(g693
    +g1119
    +tp32218
    +a(g826
    +g978
    +tp32219
    +a(g669
    +Vgetfield_gc
    +p32220
    +tp32221
    +a(g693
    +g1104
    +tp32222
    +a(g423
    +Vp153
    +p32223
    +tp32224
    +a(g693
    +g976
    +tp32225
    +a(g826
    +g978
    +tp32226
    +a(g423
    +Vdescr
    +p32227
    +tp32228
    +a(g693
    +g1119
    +tp32229
    +a(g669
    +V
    +p32230
    +tp32231
    +a(g693
    +g1112
    +tp32232
    +a(g826
    +V\u000a
    +p32233
    +tp32234
    +a(g669
    +Vguard_isnull
    +p32235
    +tp32236
    +a(g693
    +g1104
    +tp32237
    +a(g423
    +Vp156
    +p32238
    +tp32239
    +a(g693
    +g976
    +tp32240
    +a(g826
    +g978
    +tp32241
    +a(g423
    +Vdescr
    +p32242
    +tp32243
    +a(g693
    +g1119
    +tp32244
    +a(g669
    +V
    +p32245
    +tp32246
    +a(g693
    +g1112
    +tp32247
    +a(g826
    +g978
    +tp32248
    +a(g693
    +g972
    +tp32249
    +a(g423
    +Vp0
    +p32250
    +tp32251
    +a(g693
    +g976
    +tp32252
    +a(g826
    +g978
    +tp32253
    +a(g423
    +Vp1
    +p32254
    +tp32255
    +a(g693
    +g976
    +tp32256
    +a(g826
    +g978
    +tp32257
    +a(g423
    +Vp153
    +p32258
    +tp32259
    +a(g693
    +g976
    +tp32260
    +a(g826
    +g978
    +tp32261
    +a(g423
    +Vp156
    +p32262
    +tp32263
    +a(g693
    +g976
    +tp32264
    +a(g826
    +g978
    +tp32265
    +a(g423
    +Vp5
    +p32266
    +tp32267
    +a(g693
    +g976
    +tp32268
    +a(g826
    +g978
    +tp32269
    +a(g423
    +Vp7
    +p32270
    +tp32271
    +a(g693
    +g976
    +tp32272
    +a(g826
    +g978
    +tp32273
    +a(g423
    +Vp18
    +p32274
    +tp32275
    +a(g693
    +g976
    +tp32276
    +a(g826
    +g978
    +tp32277
    +a(g423
    +Vp144
    +p32278
    +tp32279
    +a(g693
    +g976
    +tp32280
    +a(g826
    +g978
    +tp32281
    +a(g423
    +Vp13
    +p32282
    +tp32283
    +a(g693
    +g976
    +tp32284
    +a(g826
    +g978
    +tp32285
    +a(g423
    +Vp14
    +p32286
    +tp32287
    +a(g693
    +g976
    +tp32288
    +a(g826
    +g978
    +tp32289
    +a(g423
    +Vp15
    +p32290
    +tp32291
    +a(g693
    +g976
    +tp32292
    +a(g826
    +g978
    +tp32293
    +a(g423
    +Vp16
    +p32294
    +tp32295
    +a(g693
    +g976
    +tp32296
    +a(g826
    +g978
    +tp32297
    +a(g423
    +Vp17
    +p32298
    +tp32299
    +a(g693
    +g976
    +tp32300
    +a(g826
    +g978
    +tp32301
    +a(g423
    +Vp19
    +p32302
    +tp32303
    +a(g693
    +g976
    +tp32304
    +a(g826
    +g978
    +tp32305
    +a(g423
    +Vp20
    +p32306
    +tp32307
    +a(g693
    +g976
    +tp32308
    +a(g826
    +g978
    +tp32309
    +a(g423
    +Vp21
    +p32310
    +tp32311
    +a(g693
    +g976
    +tp32312
    +a(g826
    +g978
    +tp32313
    +a(g423
    +Vp150
    +p32314
    +tp32315
    +a(g693
    +g976
    +tp32316
    +a(g826
    +g978
    +tp32317
    +a(g423
    +Vp154
    +p32318
    +tp32319
    +a(g693
    +g976
    +tp32320
    +a(g826
    +g978
    +tp32321
    +a(g423
    +Vi155
    +p32322
    +tp32323
    +a(g693
    +g976
    +tp32324
    +a(g826
    +g978
    +tp32325
    +a(g423
    +Vf147
    +p32326
    +tp32327
    +a(g693
    +g976
    +tp32328
    +a(g826
    +g978
    +tp32329
    +a(g423
    +VNone
    +p32330
    +tp32331
    +a(g693
    +g976
    +tp32332
    +a(g826
    +g978
    +tp32333
    +a(g423
    +VNone
    +p32334
    +tp32335
    +a(g693
    +g976
    +tp32336
    +a(g826
    +g978
    +tp32337
    +a(g423
    +VNone
    +p32338
    +tp32339
    +a(g693
    +g976
    +tp32340
    +a(g826
    +g978
    +tp32341
    +a(g423
    +VNone
    +p32342
    +tp32343
    +a(g693
    +g976
    +tp32344
    +a(g826
    +g978
    +tp32345
    +a(g423
    +VNone
    +p32346
    +tp32347
    +a(g693
    +g976
    +tp32348
    +a(g826
    +g978
    +tp32349
    +a(g423
    +VNone
    +p32350
    +tp32351
    +a(g693
    +g976
    +tp32352
    +a(g826
    +g978
    +tp32353
    +a(g423
    +VNone
    +p32354
    +tp32355
    +a(g693
    +g976
    +tp32356
    +a(g826
    +g978
    +tp32357
    +a(g423
    +VNone
    +p32358
    +tp32359
    +a(g693
    +g976
    +tp32360
    +a(g826
    +g978
    +tp32361
    +a(g423
    +VNone
    +p32362
    +tp32363
    +a(g693
    +g976
    +tp32364
    +a(g826
    +g978
    +tp32365
    +a(g423
    +VNone
    +p32366
    +tp32367
    +a(g693
    +g976
    +tp32368
    +a(g826
    +g978
    +tp32369
    +a(g423
    +Vf105
    +p32370
    +tp32371
    +a(g693
    +g976
    +tp32372
    +a(g826
    +g978
    +tp32373
    +a(g423
    +VNone
    +p32374
    +tp32375
    +a(g693
    +g976
    +tp32376
    +a(g826
    +g978
    +tp32377
    +a(g423
    +Vi87
    +p32378
    +tp32379
    +a(g693
    +g976
    +tp32380
    +a(g826
    +g978
    +tp32381
    +a(g423
    +Vi94
    +p32382
    +tp32383
    +a(g693
    +g976
    +tp32384
    +a(g826
    +g978
    +tp32385
    +a(g423
    +VNone
    +p32386
    +tp32387
    +a(g693
    +g976
    +tp32388
    +a(g826
    +g978
    +tp32389
    +a(g423
    +Vi77
    +p32390
    +tp32391
    +a(g693
    +g976
    +tp32392
    +a(g826
    +g978
    +tp32393
    +a(g423
    +VNone
    +p32394
    +tp32395
    +a(g693
    +g976
    +tp32396
    +a(g826
    +g978
    +tp32397
    +a(g423
    +VNone
    +p32398
    +tp32399
    +a(g693
    +g976
    +tp32400
    +a(g826
    +g978
    +tp32401
    +a(g423
    +Vp26
    +p32402
    +tp32403
    +a(g693
    +g976
    +tp32404
    +a(g826
    +g978
    +tp32405
    +a(g423
    +Vi41
    +p32406
    +tp32407
    +a(g693
    +g1098
    +tp32408
    +a(g826
    +V\u000a
    +p32409
    +tp32410
    +a(g423
    +Vi157
    +p32411
    +tp32412
    +a(g826
    +g978
    +tp32413
    +a(g693
    +g1119
    +tp32414
    +a(g826
    +g978
    +tp32415
    +a(g669
    +Vgetfield_gc
    +p32416
    +tp32417
    +a(g693
    +g1104
    +tp32418
    +a(g423
    +Vp153
    +p32419
    +tp32420
    +a(g693
    +g976
    +tp32421
    +a(g826
    +g978
    +tp32422
    +a(g423
    +Vdescr
    +p32423
    +tp32424
    +a(g693
    +g1119
    +tp32425
    +a(g669
    +V
    +p32426
    +tp32427
    +a(g693
    +g1112
    +tp32428
    +a(g826
    +V\u000a
    +p32429
    +tp32430
    +a(g423
    +Vi158
    +p32431
    +tp32432
    +a(g826
    +g978
    +tp32433
    +a(g693
    +g1119
    +tp32434
    +a(g826
    +g978
    +tp32435
    +a(g669
    +Vint_is_zero
    +p32436
    +tp32437
    +a(g693
    +g1104
    +tp32438
    +a(g669
    +Vi157
    +p32439
    +tp32440
    +a(g693
    +g1112
    +tp32441
    +a(g826
    +V\u000a
    +p32442
    +tp32443
    +a(g669
    +Vguard_true
    +p32444
    +tp32445
    +a(g693
    +g1104
    +tp32446
    +a(g423
    +Vi158
    +p32447
    +tp32448
    +a(g693
    +g976
    +tp32449
    +a(g826
    +g978
    +tp32450
    +a(g423
    +Vdescr
    +p32451
    +tp32452
    +a(g693
    +g1119
    +tp32453
    +a(g669
    +V
    +p32454
    +tp32455
    +a(g693
    +g1112
    +tp32456
    +a(g826
    +g978
    +tp32457
    +a(g693
    +g972
    +tp32458
    +a(g423
    +Vp0
    +p32459
    +tp32460
    +a(g693
    +g976
    +tp32461
    +a(g826
    +g978
    +tp32462
    +a(g423
    +Vp1
    +p32463
    +tp32464
    +a(g693
    +g976
    +tp32465
    +a(g826
    +g978
    +tp32466
    +a(g423
    +Vp153
    +p32467
    +tp32468
    +a(g693
    +g976
    +tp32469
    +a(g826
    +g978
    +tp32470
    +a(g423
    +Vp5
    +p32471
    +tp32472
    +a(g693
    +g976
    +tp32473
    +a(g826
    +g978
    +tp32474
    +a(g423
    +Vp7
    +p32475
    +tp32476
    +a(g693
    +g976
    +tp32477
    +a(g826
    +g978
    +tp32478
    +a(g423
    +Vp18
    +p32479
    +tp32480
    +a(g693
    +g976
    +tp32481
    +a(g826
    +g978
    +tp32482
    +a(g423
    +Vp144
    +p32483
    +tp32484
    +a(g693
    +g976
    +tp32485
    +a(g826
    +g978
    +tp32486
    +a(g423
    +Vp13
    +p32487
    +tp32488
    +a(g693
    +g976
    +tp32489
    +a(g826
    +g978
    +tp32490
    +a(g423
    +Vp14
    +p32491
    +tp32492
    +a(g693
    +g976
    +tp32493
    +a(g826
    +g978
    +tp32494
    +a(g423
    +Vp15
    +p32495
    +tp32496
    +a(g693
    +g976
    +tp32497
    +a(g826
    +g978
    +tp32498
    +a(g423
    +Vp16
    +p32499
    +tp32500
    +a(g693
    +g976
    +tp32501
    +a(g826
    +g978
    +tp32502
    +a(g423
    +Vp17
    +p32503
    +tp32504
    +a(g693
    +g976
    +tp32505
    +a(g826
    +g978
    +tp32506
    +a(g423
    +Vp19
    +p32507
    +tp32508
    +a(g693
    +g976
    +tp32509
    +a(g826
    +g978
    +tp32510
    +a(g423
    +Vp20
    +p32511
    +tp32512
    +a(g693
    +g976
    +tp32513
    +a(g826
    +g978
    +tp32514
    +a(g423
    +Vp21
    +p32515
    +tp32516
    +a(g693
    +g976
    +tp32517
    +a(g826
    +g978
    +tp32518
    +a(g423
    +Vp150
    +p32519
    +tp32520
    +a(g693
    +g976
    +tp32521
    +a(g826
    +g978
    +tp32522
    +a(g423
    +Vp154
    +p32523
    +tp32524
    +a(g693
    +g976
    +tp32525
    +a(g826
    +g978
    +tp32526
    +a(g423
    +Vi155
    +p32527
    +tp32528
    +a(g693
    +g976
    +tp32529
    +a(g826
    +g978
    +tp32530
    +a(g423
    +Vf147
    +p32531
    +tp32532
    +a(g693
    +g976
    +tp32533
    +a(g826
    +g978
    +tp32534
    +a(g423
    +VNone
    +p32535
    +tp32536
    +a(g693
    +g976
    +tp32537
    +a(g826
    +g978
    +tp32538
    +a(g423
    +VNone
    +p32539
    +tp32540
    +a(g693
    +g976
    +tp32541
    +a(g826
    +g978
    +tp32542
    +a(g423
    +VNone
    +p32543
    +tp32544
    +a(g693
    +g976
    +tp32545
    +a(g826
    +g978
    +tp32546
    +a(g423
    +VNone
    +p32547
    +tp32548
    +a(g693
    +g976
    +tp32549
    +a(g826
    +g978
    +tp32550
    +a(g423
    +VNone
    +p32551
    +tp32552
    +a(g693
    +g976
    +tp32553
    +a(g826
    +g978
    +tp32554
    +a(g423
    +VNone
    +p32555
    +tp32556
    +a(g693
    +g976
    +tp32557
    +a(g826
    +g978
    +tp32558
    +a(g423
    +VNone
    +p32559
    +tp32560
    +a(g693
    +g976
    +tp32561
    +a(g826
    +g978
    +tp32562
    +a(g423
    +VNone
    +p32563
    +tp32564
    +a(g693
    +g976
    +tp32565
    +a(g826
    +g978
    +tp32566
    +a(g423
    +VNone
    +p32567
    +tp32568
    +a(g693
    +g976
    +tp32569
    +a(g826
    +g978
    +tp32570
    +a(g423
    +VNone
    +p32571
    +tp32572
    +a(g693
    +g976
    +tp32573
    +a(g826
    +g978
    +tp32574
    +a(g423
    +Vf105
    +p32575
    +tp32576
    +a(g693
    +g976
    +tp32577
    +a(g826
    +g978
    +tp32578
    +a(g423
    +VNone
    +p32579
    +tp32580
    +a(g693
    +g976
    +tp32581
    +a(g826
    +g978
    +tp32582
    +a(g423
    +Vi87
    +p32583
    +tp32584
    +a(g693
    +g976
    +tp32585
    +a(g826
    +g978
    +tp32586
    +a(g423
    +Vi94
    +p32587
    +tp32588
    +a(g693
    +g976
    +tp32589
    +a(g826
    +g978
    +tp32590
    +a(g423
    +VNone
    +p32591
    +tp32592
    +a(g693
    +g976
    +tp32593
    +a(g826
    +g978
    +tp32594
    +a(g423
    +Vi77
    +p32595
    +tp32596
    +a(g693
    +g976
    +tp32597
    +a(g826
    +g978
    +tp32598
    +a(g423
    +VNone
    +p32599
    +tp32600
    +a(g693
    +g976
    +tp32601
    +a(g826
    +g978
    +tp32602
    +a(g423
    +VNone
    +p32603
    +tp32604
    +a(g693
    +g976
    +tp32605
    +a(g826
    +g978
    +tp32606
    +a(g423
    +Vp26
    +p32607
    +tp32608
    +a(g693
    +g976
    +tp32609
    +a(g826
    +g978
    +tp32610
    +a(g423
    +Vi41
    +p32611
    +tp32612
    +a(g693
    +g1098
    +tp32613
    +a(g826
    +V\u000a
    +p32614
    +tp32615
    +a(g616
    +Vdebug_merge_point
    +p32616
    +tp32617
    +a(g693
    +g1104
    +tp32618
    +a(g76
    +V' #0 LOAD_FAST'
    +p32619
    +tp32620
    +a(g693
    +g976
    +tp32621
    +a(g826
    +g978
    +tp32622
    +a(g22
    +g1250
    +tp32623
    +a(g693
    +g1112
    +tp32624
    +a(g826
    +V\u000a
    +p32625
    +tp32626
    +a(g616
    +Vdebug_merge_point
    +p32627
    +tp32628
    +a(g693
    +g1104
    +tp32629
    +a(g76
    +V' #3 LOAD_FAST'
    +p32630
    +tp32631
    +a(g693
    +g976
    +tp32632
    +a(g826
    +g978
    +tp32633
    +a(g22
    +g1250
    +tp32634
    +a(g693
    +g1112
    +tp32635
    +a(g826
    +V\u000a
    +p32636
    +tp32637
    +a(g616
    +Vdebug_merge_point
    +p32638
    +tp32639
    +a(g693
    +g1104
    +tp32640
    +a(g76
    +V' #6 BINARY_MULTIPLY'
    +p32641
    +tp32642
    +a(g693
    +g976
    +tp32643
    +a(g826
    +g978
    +tp32644
    +a(g22
    +g1250
    +tp32645
    +a(g693
    +g1112
    +tp32646
    +a(g826
    +V\u000a
    +p32647
    +tp32648
    +a(g423
    +Vf159
    +p32649
    +tp32650
    +a(g826
    +g978
    +tp32651
    +a(g693
    +g1119
    +tp32652
    +a(g826
    +g978
    +tp32653
    +a(g669
    +Vfloat_mul
    +p32654
    +tp32655
    +a(g693
    +g1104
    +tp32656
    +a(g423
    +Vf147
    +p32657
    +tp32658
    +a(g693
    +g976
    +tp32659
    +a(g826
    +g978
    +tp32660
    +a(g423
    +Vf147
    +p32661
    +tp32662
    +a(g693
    +g1112
    +tp32663
    +a(g826
    +V\u000a
    +p32664
    +tp32665
    +a(g616
    +Vdebug_merge_point
    +p32666
    +tp32667
    +a(g693
    +g1104
    +tp32668
    +a(g76
    +V' #7 RETURN_VALUE'
    +p32669
    +tp32670
    +a(g693
    +g976
    +tp32671
    +a(g826
    +g978
    +tp32672
    +a(g22
    +g1250
    +tp32673
    +a(g693
    +g1112
    +tp32674
    +a(g826
    +V\u000a
    +p32675
    +tp32676
    +a(g423
    +Vi160
    +p32677
    +tp32678
    +a(g826
    +g978
    +tp32679
    +a(g693
    +g1119
    +tp32680
    +a(g826
    +g978
    +tp32681
    +a(g669
    +Vint_is_true
    +p32682
    +tp32683
    +a(g693
    +g1104
    +tp32684
    +a(g669
    +Vi157
    +p32685
    +tp32686
    +a(g693
    +g1112
    +tp32687
    +a(g826
    +V\u000a
    +p32688
    +tp32689
    +a(g669
    +Vguard_false
    +p32690
    +tp32691
    +a(g693
    +g1104
    +tp32692
    +a(g423
    +Vi160
    +p32693
    +tp32694
    +a(g693
    +g976
    +tp32695
    +a(g826
    +g978
    +tp32696
    +a(g423
    +Vdescr
    +p32697
    +tp32698
    +a(g693
    +g1119
    +tp32699
    +a(g669
    +V
    +p32700
    +tp32701
    +a(g693
    +g1112
    +tp32702
    +a(g826
    +g978
    +tp32703
    +a(g693
    +g972
    +tp32704
    +a(g423
    +Vp0
    +p32705
    +tp32706
    +a(g693
    +g976
    +tp32707
    +a(g826
    +g978
    +tp32708
    +a(g423
    +Vp1
    +p32709
    +tp32710
    +a(g693
    +g976
    +tp32711
    +a(g826
    +g978
    +tp32712
    +a(g423
    +Vp153
    +p32713
    +tp32714
    +a(g693
    +g976
    +tp32715
    +a(g826
    +g978
    +tp32716
    +a(g423
    +Vp5
    +p32717
    +tp32718
    +a(g693
    +g976
    +tp32719
    +a(g826
    +g978
    +tp32720
    +a(g423
    +Vp7
    +p32721
    +tp32722
    +a(g693
    +g976
    +tp32723
    +a(g826
    +g978
    +tp32724
    +a(g423
    +Vp18
    +p32725
    +tp32726
    +a(g693
    +g976
    +tp32727
    +a(g826
    +g978
    +tp32728
    +a(g423
    +Vp144
    +p32729
    +tp32730
    +a(g693
    +g976
    +tp32731
    +a(g826
    +g978
    +tp32732
    +a(g423
    +Vp13
    +p32733
    +tp32734
    +a(g693
    +g976
    +tp32735
    +a(g826
    +g978
    +tp32736
    +a(g423
    +Vp14
    +p32737
    +tp32738
    +a(g693
    +g976
    +tp32739
    +a(g826
    +g978
    +tp32740
    +a(g423
    +Vp15
    +p32741
    +tp32742
    +a(g693
    +g976
    +tp32743
    +a(g826
    +g978
    +tp32744
    +a(g423
    +Vp16
    +p32745
    +tp32746
    +a(g693
    +g976
    +tp32747
    +a(g826
    +g978
    +tp32748
    +a(g423
    +Vp17
    +p32749
    +tp32750
    +a(g693
    +g976
    +tp32751
    +a(g826
    +g978
    +tp32752
    +a(g423
    +Vp19
    +p32753
    +tp32754
    +a(g693
    +g976
    +tp32755
    +a(g826
    +g978
    +tp32756
    +a(g423
    +Vp20
    +p32757
    +tp32758
    +a(g693
    +g976
    +tp32759
    +a(g826
    +g978
    +tp32760
    +a(g423
    +Vp21
    +p32761
    +tp32762
    +a(g693
    +g976
    +tp32763
    +a(g826
    +g978
    +tp32764
    +a(g423
    +Vf159
    +p32765
    +tp32766
    +a(g693
    +g976
    +tp32767
    +a(g826
    +g978
    +tp32768
    +a(g423
    +Vp150
    +p32769
    +tp32770
    +a(g693
    +g976
    +tp32771
    +a(g826
    +g978
    +tp32772
    +a(g423
    +Vp154
    +p32773
    +tp32774
    +a(g693
    +g976
    +tp32775
    +a(g826
    +g978
    +tp32776
    +a(g423
    +Vi155
    +p32777
    +tp32778
    +a(g693
    +g976
    +tp32779
    +a(g826
    +g978
    +tp32780
    +a(g423
    +Vf147
    +p32781
    +tp32782
    +a(g693
    +g976
    +tp32783
    +a(g826
    +g978
    +tp32784
    +a(g423
    +VNone
    +p32785
    +tp32786
    +a(g693
    +g976
    +tp32787
    +a(g826
    +g978
    +tp32788
    +a(g423
    +VNone
    +p32789
    +tp32790
    +a(g693
    +g976
    +tp32791
    +a(g826
    +g978
    +tp32792
    +a(g423
    +VNone
    +p32793
    +tp32794
    +a(g693
    +g976
    +tp32795
    +a(g826
    +g978
    +tp32796
    +a(g423
    +VNone
    +p32797
    +tp32798
    +a(g693
    +g976
    +tp32799
    +a(g826
    +g978
    +tp32800
    +a(g423
    +VNone
    +p32801
    +tp32802
    +a(g693
    +g976
    +tp32803
    +a(g826
    +g978
    +tp32804
    +a(g423
    +VNone
    +p32805
    +tp32806
    +a(g693
    +g976
    +tp32807
    +a(g826
    +g978
    +tp32808
    +a(g423
    +VNone
    +p32809
    +tp32810
    +a(g693
    +g976
    +tp32811
    +a(g826
    +g978
    +tp32812
    +a(g423
    +VNone
    +p32813
    +tp32814
    +a(g693
    +g976
    +tp32815
    +a(g826
    +g978
    +tp32816
    +a(g423
    +VNone
    +p32817
    +tp32818
    +a(g693
    +g976
    +tp32819
    +a(g826
    +g978
    +tp32820
    +a(g423
    +VNone
    +p32821
    +tp32822
    +a(g693
    +g976
    +tp32823
    +a(g826
    +g978
    +tp32824
    +a(g423
    +Vf105
    +p32825
    +tp32826
    +a(g693
    +g976
    +tp32827
    +a(g826
    +g978
    +tp32828
    +a(g423
    +VNone
    +p32829
    +tp32830
    +a(g693
    +g976
    +tp32831
    +a(g826
    +g978
    +tp32832
    +a(g423
    +Vi87
    +p32833
    +tp32834
    +a(g693
    +g976
    +tp32835
    +a(g826
    +g978
    +tp32836
    +a(g423
    +Vi94
    +p32837
    +tp32838
    +a(g693
    +g976
    +tp32839
    +a(g826
    +g978
    +tp32840
    +a(g423
    +VNone
    +p32841
    +tp32842
    +a(g693
    +g976
    +tp32843
    +a(g826
    +g978
    +tp32844
    +a(g423
    +Vi77
    +p32845
    +tp32846
    +a(g693
    +g976
    +tp32847
    +a(g826
    +g978
    +tp32848
    +a(g423
    +VNone
    +p32849
    +tp32850
    +a(g693
    +g976
    +tp32851
    +a(g826
    +g978
    +tp32852
    +a(g423
    +VNone
    +p32853
    +tp32854
    +a(g693
    +g976
    +tp32855
    +a(g826
    +g978
    +tp32856
    +a(g423
    +Vp26
    +p32857
    +tp32858
    +a(g693
    +g976
    +tp32859
    +a(g826
    +g978
    +tp32860
    +a(g423
    +Vi41
    +p32861
    +tp32862
    +a(g693
    +g1098
    +tp32863
    +a(g826
    +V\u000a
    +p32864
    +tp32865
    +a(g616
    +Vdebug_merge_point
    +p32866
    +tp32867
    +a(g693
    +g1104
    +tp32868
    +a(g76
    +V' #283 INPLACE_ADD'
    +p32869
    +tp32870
    +a(g693
    +g976
    +tp32871
    +a(g826
    +g978
    +tp32872
    +a(g22
    +g1110
    +tp32873
    +a(g693
    +g1112
    +tp32874
    +a(g826
    +V\u000a
    +p32875
    +tp32876
    +a(g423
    +Vf161
    +p32877
    +tp32878
    +a(g826
    +g978
    +tp32879
    +a(g693
    +g1119
    +tp32880
    +a(g826
    +g978
    +tp32881
    +a(g669
    +Vgetfield_gc_pure
    +p32882
    +tp32883
    +a(g693
    +g1104
    +tp32884
    +a(g423
    +Vp18
    +p32885
    +tp32886
    +a(g693
    +g976
    +tp32887
    +a(g826
    +g978
    +tp32888
    +a(g423
    +Vdescr
    +p32889
    +tp32890
    +a(g693
    +g1119
    +tp32891
    +a(g669
    +V
    +p32892
    +tp32893
    +a(g693
    +g1112
    +tp32894
    +a(g826
    +V\u000a
    +p32895
    +tp32896
    +a(g423
    +Vf162
    +p32897
    +tp32898
    +a(g826
    +g978
    +tp32899
    +a(g693
    +g1119
    +tp32900
    +a(g826
    +g978
    +tp32901
    +a(g669
    +Vfloat_add
    +p32902
    +tp32903
    +a(g693
    +g1104
    +tp32904
    +a(g423
    +Vf161
    +p32905
    +tp32906
    +a(g693
    +g976
    +tp32907
    +a(g826
    +g978
    +tp32908
    +a(g423
    +Vf159
    +p32909
    +tp32910
    +a(g693
    +g1112
    +tp32911
    +a(g826
    +V\u000a
    +p32912
    +tp32913
    +a(g616
    +Vdebug_merge_point
    +p32914
    +tp32915
    +a(g693
    +g1104
    +tp32916
    +a(g76
    +V' #284 STORE_FAST'
    +p32917
    +tp32918
    +a(g693
    +g976
    +tp32919
    +a(g826
    +g978
    +tp32920
    +a(g22
    +g1110
    +tp32921
    +a(g693
    +g1112
    +tp32922
    +a(g826
    +V\u000a
    +p32923
    +tp32924
    +a(g616
    +Vdebug_merge_point
    +p32925
    +tp32926
    +a(g693
    +g1104
    +tp32927
    +a(g76
    +V' #287 JUMP_ABSOLUTE'
    +p32928
    +tp32929
    +a(g693
    +g976
    +tp32930
    +a(g826
    +g978
    +tp32931
    +a(g22
    +g1110
    +tp32932
    +a(g693
    +g1112
    +tp32933
    +a(g826
    +V\u000a
    +p32934
    +tp32935
    +a(g423
    +Vi164
    +p32936
    +tp32937
    +a(g826
    +g978
    +tp32938
    +a(g693
    +g1119
    +tp32939
    +a(g826
    +g978
    +tp32940
    +a(g669
    +Vgetfield_raw
    +p32941
    +tp32942
    +a(g693
    +g1104
    +tp32943
    +a(g22
    +V38968960
    +p32944
    +tp32945
    +a(g693
    +g976
    +tp32946
    +a(g826
    +g978
    +tp32947
    +a(g423
    +Vdescr
    +p32948
    +tp32949
    +a(g693
    +g1119
    +tp32950
    +a(g669
    +V
    +p32951
    +tp32952
    +a(g693
    +g1112
    +tp32953
    +a(g826
    +V\u000a
    +p32954
    +tp32955
    +a(g423
    +Vi166
    +p32956
    +tp32957
    +a(g826
    +g978
    +tp32958
    +a(g693
    +g1119
    +tp32959
    +a(g826
    +g978
    +tp32960
    +a(g669
    +Vint_sub
    +p32961
    +tp32962
    +a(g693
    +g1104
    +tp32963
    +a(g423
    +Vi164
    +p32964
    +tp32965
    +a(g693
    +g976
    +tp32966
    +a(g826
    +g978
    +tp32967
    +a(g22
    +V34
    +p32968
    +tp32969
    +a(g693
    +g1112
    +tp32970
    +a(g826
    +V\u000a
    +p32971
    +tp32972
    +a(g669
    +Vsetfield_raw
    +p32973
    +tp32974
    +a(g693
    +g1104
    +tp32975
    +a(g22
    +V38968960
    +p32976
    +tp32977
    +a(g693
    +g976
    +tp32978
    +a(g826
    +g978
    +tp32979
    +a(g423
    +Vi166
    +p32980
    +tp32981
    +a(g693
    +g976
    +tp32982
    +a(g826
    +g978
    +tp32983
    +a(g423
    +Vdescr
    +p32984
    +tp32985
    +a(g693
    +g1119
    +tp32986
    +a(g669
    +V
    +p32987
    +tp32988
    +a(g693
    +g1112
    +tp32989
    +a(g826
    +V\u000a
    +p32990
    +tp32991
    +a(g423
    +Vi168
    +p32992
    +tp32993
    +a(g826
    +g978
    +tp32994
    +a(g693
    +g1119
    +tp32995
    +a(g826
    +g978
    +tp32996
    +a(g669
    +Vint_lt
    +p32997
    +tp32998
    +a(g693
    +g1104
    +tp32999
    +a(g423
    +Vi166
    +p33000
    +tp33001
    +a(g693
    +g976
    +tp33002
    +a(g826
    +g978
    +tp33003
    +a(g22
    +g1110
    +tp33004
    +a(g693
    +g1112
    +tp33005
    +a(g826
    +V\u000a
    +p33006
    +tp33007
    +a(g669
    +Vguard_false
    +p33008
    +tp33009
    +a(g693
    +g1104
    +tp33010
    +a(g423
    +Vi168
    +p33011
    +tp33012
    +a(g693
    +g976
    +tp33013
    +a(g826
    +g978
    +tp33014
    +a(g423
    +Vdescr
    +p33015
    +tp33016
    +a(g693
    +g1119
    +tp33017
    +a(g669
    +V
    +p33018
    +tp33019
    +a(g693
    +g1112
    +tp33020
    +a(g826
    +g978
    +tp33021
    +a(g693
    +g972
    +tp33022
    +a(g423
    +Vp0
    +p33023
    +tp33024
    +a(g693
    +g976
    +tp33025
    +a(g826
    +g978
    +tp33026
    +a(g423
    +Vp1
    +p33027
    +tp33028
    +a(g693
    +g976
    +tp33029
    +a(g826
    +g978
    +tp33030
    +a(g423
    +Vp5
    +p33031
    +tp33032
    +a(g693
    +g976
    +tp33033
    +a(g826
    +g978
    +tp33034
    +a(g423
    +Vp7
    +p33035
    +tp33036
    +a(g693
    +g976
    +tp33037
    +a(g826
    +g978
    +tp33038
    +a(g423
    +Vp13
    +p33039
    +tp33040
    +a(g693
    +g976
    +tp33041
    +a(g826
    +g978
    +tp33042
    +a(g423
    +Vp14
    +p33043
    +tp33044
    +a(g693
    +g976
    +tp33045
    +a(g826
    +g978
    +tp33046
    +a(g423
    +Vp15
    +p33047
    +tp33048
    +a(g693
    +g976
    +tp33049
    +a(g826
    +g978
    +tp33050
    +a(g423
    +Vp16
    +p33051
    +tp33052
    +a(g693
    +g976
    +tp33053
    +a(g826
    +g978
    +tp33054
    +a(g423
    +Vp17
    +p33055
    +tp33056
    +a(g693
    +g976
    +tp33057
    +a(g826
    +g978
    +tp33058
    +a(g423
    +Vp19
    +p33059
    +tp33060
    +a(g693
    +g976
    +tp33061
    +a(g826
    +g978
    +tp33062
    +a(g423
    +Vp20
    +p33063
    +tp33064
    +a(g693
    +g976
    +tp33065
    +a(g826
    +g978
    +tp33066
    +a(g423
    +Vp21
    +p33067
    +tp33068
    +a(g693
    +g976
    +tp33069
    +a(g826
    +g978
    +tp33070
    +a(g423
    +Vf162
    +p33071
    +tp33072
    +a(g693
    +g976
    +tp33073
    +a(g826
    +g978
    +tp33074
    +a(g423
    +VNone
    +p33075
    +tp33076
    +a(g693
    +g976
    +tp33077
    +a(g826
    +g978
    +tp33078
    +a(g423
    +VNone
    +p33079
    +tp33080
    +a(g693
    +g976
    +tp33081
    +a(g826
    +g978
    +tp33082
    +a(g423
    +VNone
    +p33083
    +tp33084
    +a(g693
    +g976
    +tp33085
    +a(g826
    +g978
    +tp33086
    +a(g423
    +VNone
    +p33087
    +tp33088
    +a(g693
    +g976
    +tp33089
    +a(g826
    +g978
    +tp33090
    +a(g423
    +VNone
    +p33091
    +tp33092
    +a(g693
    +g976
    +tp33093
    +a(g826
    +g978
    +tp33094
    +a(g423
    +VNone
    +p33095
    +tp33096
    +a(g693
    +g976
    +tp33097
    +a(g826
    +g978
    +tp33098
    +a(g423
    +VNone
    +p33099
    +tp33100
    +a(g693
    +g976
    +tp33101
    +a(g826
    +g978
    +tp33102
    +a(g423
    +VNone
    +p33103
    +tp33104
    +a(g693
    +g976
    +tp33105
    +a(g826
    +g978
    +tp33106
    +a(g423
    +VNone
    +p33107
    +tp33108
    +a(g693
    +g976
    +tp33109
    +a(g826
    +g978
    +tp33110
    +a(g423
    +VNone
    +p33111
    +tp33112
    +a(g693
    +g976
    +tp33113
    +a(g826
    +g978
    +tp33114
    +a(g423
    +VNone
    +p33115
    +tp33116
    +a(g693
    +g976
    +tp33117
    +a(g826
    +g978
    +tp33118
    +a(g423
    +VNone
    +p33119
    +tp33120
    +a(g693
    +g976
    +tp33121
    +a(g826
    +g978
    +tp33122
    +a(g423
    +VNone
    +p33123
    +tp33124
    +a(g693
    +g976
    +tp33125
    +a(g826
    +g978
    +tp33126
    +a(g423
    +VNone
    +p33127
    +tp33128
    +a(g693
    +g976
    +tp33129
    +a(g826
    +g978
    +tp33130
    +a(g423
    +VNone
    +p33131
    +tp33132
    +a(g693
    +g976
    +tp33133
    +a(g826
    +g978
    +tp33134
    +a(g423
    +Vf105
    +p33135
    +tp33136
    +a(g693
    +g976
    +tp33137
    +a(g826
    +g978
    +tp33138
    +a(g423
    +VNone
    +p33139
    +tp33140
    +a(g693
    +g976
    +tp33141
    +a(g826
    +g978
    +tp33142
    +a(g423
    +Vi87
    +p33143
    +tp33144
    +a(g693
    +g976
    +tp33145
    +a(g826
    +g978
    +tp33146
    +a(g423
    +Vi94
    +p33147
    +tp33148
    +a(g693
    +g976
    +tp33149
    +a(g826
    +g978
    +tp33150
    +a(g423
    +VNone
    +p33151
    +tp33152
    +a(g693
    +g976
    +tp33153
    +a(g826
    +g978
    +tp33154
    +a(g423
    +Vi77
    +p33155
    +tp33156
    +a(g693
    +g976
    +tp33157
    +a(g826
    +g978
    +tp33158
    +a(g423
    +VNone
    +p33159
    +tp33160
    +a(g693
    +g976
    +tp33161
    +a(g826
    +g978
    +tp33162
    +a(g423
    +VNone
    +p33163
    +tp33164
    +a(g693
    +g976
    +tp33165
    +a(g826
    +g978
    +tp33166
    +a(g423
    +Vp26
    +p33167
    +tp33168
    +a(g693
    +g976
    +tp33169
    +a(g826
    +g978
    +tp33170
    +a(g423
    +Vi41
    +p33171
    +tp33172
    +a(g693
    +g1098
    +tp33173
    +a(g826
    +V\u000a
    +p33174
    +tp33175
    +a(g616
    +Vdebug_merge_point
    +p33176
    +tp33177
    +a(g693
    +g1104
    +tp33178
    +a(g76
    +V' #125 FOR_ITER'
    +p33179
    +tp33180
    +a(g693
    +g976
    +tp33181
    +a(g826
    +g978
    +tp33182
    +a(g22
    +g1110
    +tp33183
    +a(g693
    +g1112
    +tp33184
    +a(g826
    +V\u000a
    +p33185
    +tp33186
    +a(g423
    +Vp170
    +p33187
    +tp33188
    +a(g826
    +g978
    +tp33189
    +a(g693
    +g1119
    +tp33190
    +a(g826
    +g978
    +tp33191
    +a(g669
    +Vnew_with_vtable
    +p33192
    +tp33193
    +a(g693
    +g1104
    +tp33194
    +a(g669
    +V19865144
    +p33195
    +tp33196
    +a(g693
    +g1112
    +tp33197
    +a(g826
    +V\u000a
    +p33198
    +tp33199
    +a(g669
    +Vsetfield_gc
    +p33200
    +tp33201
    +a(g693
    +g1104
    +tp33202
    +a(g423
    +Vp170
    +p33203
    +tp33204
    +a(g693
    +g976
    +tp33205
    +a(g826
    +g978
    +tp33206
    +a(g22
    +V291
    +p33207
    +tp33208
    +a(g693
    +g976
    +tp33209
    +a(g826
    +g978
    +tp33210
    +a(g423
    +Vdescr
    +p33211
    +tp33212
    +a(g693
    +g1119
    +tp33213
    +a(g669
    +V
    +p33214
    +tp33215
    +a(g693
    +g1112
    +tp33216
    +a(g826
    +V\u000a
    +p33217
    +tp33218
    +a(g669
    +Vsetfield_gc
    +p33219
    +tp33220
    +a(g693
    +g1104
    +tp33221
    +a(g423
    +Vp170
    +p33222
    +tp33223
    +a(g693
    +g976
    +tp33224
    +a(g826
    +g978
    +tp33225
    +a(g22
    +g1250
    +tp33226
    +a(g693
    +g976
    +tp33227
    +a(g826
    +g978
    +tp33228
    +a(g423
    +Vdescr
    +p33229
    +tp33230
    +a(g693
    +g1119
    +tp33231
    +a(g669
    +V
    +p33232
    +tp33233
    +a(g693
    +g1112
    +tp33234
    +a(g826
    +V\u000a
    +p33235
    +tp33236
    +a(g669
    +Vsetfield_gc
    +p33237
    +tp33238
    +a(g693
    +g1104
    +tp33239
    +a(g423
    +Vp170
    +p33240
    +tp33241
    +a(g693
    +g976
    +tp33242
    +a(g826
    +g978
    +tp33243
    +a(g423
    +Vp26
    +p33244
    +tp33245
    +a(g693
    +g976
    +tp33246
    +a(g826
    +g978
    +tp33247
    +a(g423
    +Vdescr
    +p33248
    +tp33249
    +a(g693
    +g1119
    +tp33250
    +a(g669
    +V
    +p33251
    +tp33252
    +a(g693
    +g1112
    +tp33253
    +a(g826
    +V\u000a
    +p33254
    +tp33255
    +a(g423
    +Vp174
    +p33256
    +tp33257
    +a(g826
    +g978
    +tp33258
    +a(g693
    +g1119
    +tp33259
    +a(g826
    +g978
    +tp33260
    +a(g669
    +Vnew_with_vtable
    +p33261
    +tp33262
    +a(g693
    +g1104
    +tp33263
    +a(g669
    +V19861240
    +p33264
    +tp33265
    +a(g693
    +g1112
    +tp33266
    +a(g826
    +V\u000a
    +p33267
    +tp33268
    +a(g669
    +Vsetfield_gc
    +p33269
    +tp33270
    +a(g693
    +g1104
    +tp33271
    +a(g423
    +Vp174
    +p33272
    +tp33273
    +a(g693
    +g976
    +tp33274
    +a(g826
    +g978
    +tp33275
    +a(g423
    +Vi94
    +p33276
    +tp33277
    +a(g693
    +g976
    +tp33278
    +a(g826
    +g978
    +tp33279
    +a(g423
    +Vdescr
    +p33280
    +tp33281
    +a(g693
    +g1119
    +tp33282
    +a(g669
    +V
    +p33283
    +tp33284
    +a(g693
    +g1112
    +tp33285
    +a(g826
    +V\u000a
    +p33286
    +tp33287
    +a(g669
    +Vsetfield_gc
    +p33288
    +tp33289
    +a(g693
    +g1104
    +tp33290
    +a(g423
    +Vp174
    +p33291
    +tp33292
    +a(g693
    +g976
    +tp33293
    +a(g826
    +g978
    +tp33294
    +a(g423
    +Vi87
    +p33295
    +tp33296
    +a(g693
    +g976
    +tp33297
    +a(g826
    +g978
    +tp33298
    +a(g423
    +Vdescr
    +p33299
    +tp33300
    +a(g693
    +g1119
    +tp33301
    +a(g669
    +V
    +p33302
    +tp33303
    +a(g693
    +g1112
    +tp33304
    +a(g826
    +V\u000a
    +p33305
    +tp33306
    +a(g669
    +Vsetfield_gc
    +p33307
    +tp33308
    +a(g693
    +g1104
    +tp33309
    +a(g423
    +Vp174
    +p33310
    +tp33311
    +a(g693
    +g976
    +tp33312
    +a(g826
    +g978
    +tp33313
    +a(g423
    +Vi77
    +p33314
    +tp33315
    +a(g693
    +g976
    +tp33316
    +a(g826
    +g978
    +tp33317
    +a(g423
    +Vdescr
    +p33318
    +tp33319
    +a(g693
    +g1119
    +tp33320
    +a(g669
    +V
    +p33321
    +tp33322
    +a(g693
    +g1112
    +tp33323
    +a(g826
    +V\u000a
    +p33324
    +tp33325
    +a(g423
    +Vp176
    +p33326
    +tp33327
    +a(g826
    +g978
    +tp33328
    +a(g693
    +g1119
    +tp33329
    +a(g826
    +g978
    +tp33330
    +a(g669
    +Vnew_with_vtable
    +p33331
    +tp33332
    +a(g693
    +g1104
    +tp33333
    +a(g669
    +V19800744
    +p33334
    +tp33335
    +a(g693
    +g1112
    +tp33336
    +a(g826
    +V\u000a
    +p33337
    +tp33338
    +a(g669
    +Vsetfield_gc
    +p33339
    +tp33340
    +a(g693
    +g1104
    +tp33341
    +a(g423
    +Vp176
    +p33342
    +tp33343
    +a(g693
    +g976
    +tp33344
    +a(g826
    +g978
    +tp33345
    +a(g423
    +Vf162
    +p33346
    +tp33347
    +a(g693
    +g976
    +tp33348
    +a(g826
    +g978
    +tp33349
    +a(g423
    +Vdescr
    +p33350
    +tp33351
    +a(g693
    +g1119
    +tp33352
    +a(g669
    +V
    +p33353
    +tp33354
    +a(g693
    +g1112
    +tp33355
    +a(g826
    +V\u000a
    +p33356
    +tp33357
    +a(g423
    +Vp178
    +p33358
    +tp33359
    +a(g826
    +g978
    +tp33360
    +a(g693
    +g1119
    +tp33361
    +a(g826
    +g978
    +tp33362
    +a(g669
    +Vnew_with_vtable
    +p33363
    +tp33364
    +a(g693
    +g1104
    +tp33365
    +a(g423
    +VConstClass
    +p33366
    +tp33367
    +a(g693
    +g1104
    +tp33368
    +a(g669
    +VW_IntObject
    +p33369
    +tp33370
    +a(g693
    +g1112
    +tp33371
    +a(g693
    +g1112
    +tp33372
    +a(g826
    +V\u000a
    +p33373
    +tp33374
    +a(g669
    +Vsetfield_gc
    +p33375
    +tp33376
    +a(g693
    +g1104
    +tp33377
    +a(g423
    +Vp178
    +p33378
    +tp33379
    +a(g693
    +g976
    +tp33380
    +a(g826
    +g978
    +tp33381
    +a(g423
    +Vi41
    +p33382
    +tp33383
    +a(g693
    +g976
    +tp33384
    +a(g826
    +g978
    +tp33385
    +a(g423
    +Vdescr
    +p33386
    +tp33387
    +a(g693
    +g1119
    +tp33388
    +a(g669
    +V
    +p33389
    +tp33390
    +a(g693
    +g1112
    +tp33391
    +a(g826
    +V\u000a
    +p33392
    +tp33393
    +a(g423
    +Vp180
    +p33394
    +tp33395
    +a(g826
    +g978
    +tp33396
    +a(g693
    +g1119
    +tp33397
    +a(g826
    +g978
    +tp33398
    +a(g669
    +Vnew_with_vtable
    +p33399
    +tp33400
    +a(g693
    +g1104
    +tp33401
    +a(g423
    +VConstClass
    +p33402
    +tp33403
    +a(g693
    +g1104
    +tp33404
    +a(g669
    +VW_IntObject
    +p33405
    +tp33406
    +a(g693
    +g1112
    +tp33407
    +a(g693
    +g1112
    +tp33408
    +a(g826
    +V\u000a
    +p33409
    +tp33410
    +a(g669
    +Vsetfield_gc
    +p33411
    +tp33412
    +a(g693
    +g1104
    +tp33413
    +a(g423
    +Vp180
    +p33414
    +tp33415
    +a(g693
    +g976
    +tp33416
    +a(g826
    +g978
    +tp33417
    +a(g22
    +g1250
    +tp33418
    +a(g693
    +g976
    +tp33419
    +a(g826
    +g978
    +tp33420
    +a(g423
    +Vdescr
    +p33421
    +tp33422
    +a(g693
    +g1119
    +tp33423
    +a(g669
    +V
    +p33424
    +tp33425
    +a(g693
    +g1112
    +tp33426
    +a(g826
    +V\u000a
    +p33427
    +tp33428
    +a(g423
    +Vp182
    +p33429
    +tp33430
    +a(g826
    +g978
    +tp33431
    +a(g693
    +g1119
    +tp33432
    +a(g826
    +g978
    +tp33433
    +a(g669
    +Vnew_with_vtable
    +p33434
    +tp33435
    +a(g693
    +g1104
    +tp33436
    +a(g669
    +V19800744
    +p33437
    +tp33438
    +a(g693
    +g1112
    +tp33439
    +a(g826
    +V\u000a
    +p33440
    +tp33441
    +a(g669
    +Vsetfield_gc
    +p33442
    +tp33443
    +a(g693
    +g1104
    +tp33444
    +a(g423
    +Vp182
    +p33445
    +tp33446
    +a(g693
    +g976
    +tp33447
    +a(g826
    +g978
    +tp33448
    +a(g423
    +Vf105
    +p33449
    +tp33450
    +a(g693
    +g976
    +tp33451
    +a(g826
    +g978
    +tp33452
    +a(g423
    +Vdescr
    +p33453
    +tp33454
    +a(g693
    +g1119
    +tp33455
    +a(g669
    +V
    +p33456
    +tp33457
    +a(g693
    +g1112
    +tp33458
    +a(g826
    +V\u000a
    +p33459
    +tp33460
    +a(g616
    +Vjump
    +p33461
    +tp33462
    +a(g693
    +g1104
    +tp33463
    +a(g423
    +Vp1
    +p33464
    +tp33465
    +a(g693
    +g976
    +tp33466
    +a(g826
    +g978
    +tp33467
    +a(g423
    +Vp0
    +p33468
    +tp33469
    +a(g693
    +g976
    +tp33470
    +a(g826
    +g978
    +tp33471
    +a(g423
    +VConstPtr
    +p33472
    +tp33473
    +a(g693
    +g1104
    +tp33474
    +a(g669
    +Vptr183
    +p33475
    +tp33476
    +a(g693
    +g1112
    +tp33477
    +a(g693
    +g976
    +tp33478
    +a(g826
    +g978
    +tp33479
    +a(g423
    +Vp170
    +p33480
    +tp33481
    +a(g693
    +g976
    +tp33482
    +a(g826
    +g978
    +tp33483
    +a(g22
    +g5259
    +tp33484
    +a(g693
    +g976
    +tp33485
    +a(g826
    +g978
    +tp33486
    +a(g423
    +Vp5
    +p33487
    +tp33488
    +a(g693
    +g976
    +tp33489
    +a(g826
    +g978
    +tp33490
    +a(g22
    +g1110
    +tp33491
    +a(g693
    +g976
    +tp33492
    +a(g826
    +g978
    +tp33493
    +a(g22
    +V125
    +p33494
    +tp33495
    +a(g693
    +g976
    +tp33496
    +a(g826
    +g978
    +tp33497
    +a(g423
    +Vp7
    +p33498
    +tp33499
    +a(g693
    +g976
    +tp33500
    +a(g826
    +g978
    +tp33501
    +a(g423
    +Vp174
    +p33502
    +tp33503
    +a(g693
    +g976
    +tp33504
    +a(g826
    +g978
    +tp33505
    +a(g423
    +VConstPtr
    +p33506
    +tp33507
    +a(g693
    +g1104
    +tp33508
    +a(g669
    +Vptr187
    +p33509
    +tp33510
    +a(g693
    +g1112
    +tp33511
    +a(g693
    +g976
    +tp33512
    +a(g826
    +g978
    +tp33513
    +a(g423
    +VConstPtr
    +p33514
    +tp33515
    +a(g693
    +g1104
    +tp33516
    +a(g669
    +Vptr188
    +p33517
    +tp33518
    +a(g693
    +g1112
    +tp33519
    +a(g693
    +g976
    +tp33520
    +a(g826
    +g978
    +tp33521
    +a(g423
    +VConstPtr
    +p33522
    +tp33523
    +a(g693
    +g1104
    +tp33524
    +a(g669
    +Vptr189
    +p33525
    +tp33526
    +a(g693
    +g1112
    +tp33527
    +a(g693
    +g976
    +tp33528
    +a(g826
    +g978
    +tp33529
    +a(g423
    +VConstPtr
    +p33530
    +tp33531
    +a(g693
    +g1104
    +tp33532
    +a(g669
    +Vptr190
    +p33533
    +tp33534
    +a(g693
    +g1112
    +tp33535
    +a(g693
    +g976
    +tp33536
    +a(g826
    +g978
    +tp33537
    +a(g423
    +VConstPtr
    +p33538
    +tp33539
    +a(g693
    +g1104
    +tp33540
    +a(g669
    +Vptr191
    +p33541
    +tp33542
    +a(g693
    +g1112
    +tp33543
    +a(g693
    +g976
    +tp33544
    +a(g826
    +g978
    +tp33545
    +a(g423
    +Vp13
    +p33546
    +tp33547
    +a(g693
    +g976
    +tp33548
    +a(g826
    +g978
    +tp33549
    +a(g423
    +Vp14
    +p33550
    +tp33551
    +a(g693
    +g976
    +tp33552
    +a(g826
    +g978
    +tp33553
    +a(g423
    +Vp15
    +p33554
    +tp33555
    +a(g693
    +g976
    +tp33556
    +a(g826
    +g978
    +tp33557
    +a(g423
    +Vp16
    +p33558
    +tp33559
    +a(g693
    +g976
    +tp33560
    +a(g826
    +g978
    +tp33561
    +a(g423
    +Vp17
    +p33562
    +tp33563
    +a(g693
    +g976
    +tp33564
    +a(g826
    +g978
    +tp33565
    +a(g423
    +Vp176
    +p33566
    +tp33567
    +a(g693
    +g976
    +tp33568
    +a(g826
    +g978
    +tp33569
    +a(g423
    +Vp19
    +p33570
    +tp33571
    +a(g693
    +g976
    +tp33572
    +a(g826
    +g978
    +tp33573
    +a(g423
    +Vp20
    +p33574
    +tp33575
    +a(g693
    +g976
    +tp33576
    +a(g826
    +g978
    +tp33577
    +a(g423
    +Vp21
    +p33578
    +tp33579
    +a(g693
    +g976
    +tp33580
    +a(g826
    +g978
    +tp33581
    +a(g423
    +Vp178
    +p33582
    +tp33583
    +a(g693
    +g976
    +tp33584
    +a(g826
    +g978
    +tp33585
    +a(g423
    +Vp180
    +p33586
    +tp33587
    +a(g693
    +g976
    +tp33588
    +a(g826
    +g978
    +tp33589
    +a(g423
    +Vp182
    +p33590
    +tp33591
    +a(g693
    +g976
    +tp33592
    +a(g826
    +g978
    +tp33593
    +a(g423
    +Vdescr
    +p33594
    +tp33595
    +a(g693
    +g1119
    +tp33596
    +a(g669
    +V
    +p33597
    +tp33598
    +a(g693
    +g1112
    +tp33599
    +a(g826
    +V\u000a
    +p33600
    +tp33601
    +a(g7
    +V[5ed6432f4a2c] jit-log-opt-bridge}
    +p33602
    +tp33603
    +a(g826
    +V\u000a
    +p33604
    +tp33605
    +a(g7
    +V[5ed66199330c] {jit-log-opt-bridge
    +p33606
    +tp33607
    +a(g826
    +V\u000a
    +p33608
    +tp33609
    +a(g709
    +V# bridge out of Guard 65 with 72 ops
    +p33610
    +tp33611
    +a(g826
    +V\u000a
    +p33612
    +tp33613
    +a(g693
    +g972
    +tp33614
    +a(g423
    +Vp0
    +p33615
    +tp33616
    +a(g693
    +g976
    +tp33617
    +a(g826
    +g978
    +tp33618
    +a(g423
    +Vp1
    +p33619
    +tp33620
    +a(g693
    +g976
    +tp33621
    +a(g826
    +g978
    +tp33622
    +a(g423
    +Vp2
    +p33623
    +tp33624
    +a(g693
    +g976
    +tp33625
    +a(g826
    +g978
    +tp33626
    +a(g423
    +Vp3
    +p33627
    +tp33628
    +a(g693
    +g976
    +tp33629
    +a(g826
    +g978
    +tp33630
    +a(g423
    +Vp4
    +p33631
    +tp33632
    +a(g693
    +g976
    +tp33633
    +a(g826
    +g978
    +tp33634
    +a(g423
    +Vp5
    +p33635
    +tp33636
    +a(g693
    +g976
    +tp33637
    +a(g826
    +g978
    +tp33638
    +a(g423
    +Vp6
    +p33639
    +tp33640
    +a(g693
    +g976
    +tp33641
    +a(g826
    +g978
    +tp33642
    +a(g423
    +Vp7
    +p33643
    +tp33644
    +a(g693
    +g976
    +tp33645
    +a(g826
    +g978
    +tp33646
    +a(g423
    +Vp8
    +p33647
    +tp33648
    +a(g693
    +g976
    +tp33649
    +a(g826
    +g978
    +tp33650
    +a(g423
    +Vp9
    +p33651
    +tp33652
    +a(g693
    +g976
    +tp33653
    +a(g826
    +g978
    +tp33654
    +a(g423
    +Vp10
    +p33655
    +tp33656
    +a(g693
    +g976
    +tp33657
    +a(g826
    +g978
    +tp33658
    +a(g423
    +Vp11
    +p33659
    +tp33660
    +a(g693
    +g976
    +tp33661
    +a(g826
    +g978
    +tp33662
    +a(g423
    +Vp12
    +p33663
    +tp33664
    +a(g693
    +g976
    +tp33665
    +a(g826
    +g978
    +tp33666
    +a(g423
    +Vp13
    +p33667
    +tp33668
    +a(g693
    +g976
    +tp33669
    +a(g826
    +g978
    +tp33670
    +a(g423
    +Vi14
    +p33671
    +tp33672
    +a(g693
    +g976
    +tp33673
    +a(g826
    +g978
    +tp33674
    +a(g423
    +Vf15
    +p33675
    +tp33676
    +a(g693
    +g976
    +tp33677
    +a(g826
    +g978
    +tp33678
    +a(g423
    +Vf16
    +p33679
    +tp33680
    +a(g693
    +g1098
    +tp33681
    +a(g826
    +V\u000a
    +p33682
    +tp33683
    +a(g616
    +Vdebug_merge_point
    +p33684
    +tp33685
    +a(g693
    +g1104
    +tp33686
    +a(g76
    +V' #294 POP_BLOCK'
    +p33687
    +tp33688
    +a(g693
    +g976
    +tp33689
    +a(g826
    +g978
    +tp33690
    +a(g22
    +g1110
    +tp33691
    +a(g693
    +g1112
    +tp33692
    +a(g826
    +V\u000a
    +p33693
    +tp33694
    +a(g423
    +Vp17
    +p33695
    +tp33696
    +a(g826
    +g978
    +tp33697
    +a(g693
    +g1119
    +tp33698
    +a(g826
    +g978
    +tp33699
    +a(g669
    +Vgetfield_gc
    +p33700
    +tp33701
    +a(g693
    +g1104
    +tp33702
    +a(g423
    +Vp3
    +p33703
    +tp33704
    +a(g693
    +g976
    +tp33705
    +a(g826
    +g978
    +tp33706
    +a(g423
    +Vdescr
    +p33707
    +tp33708
    +a(g693
    +g1119
    +tp33709
    +a(g669
    +V
    +p33710
    +tp33711
    +a(g693
    +g1112
    +tp33712
    +a(g826
    +V\u000a
    +p33713
    +tp33714
    +a(g669
    +Vguard_class
    +p33715
    +tp33716
    +a(g693
    +g1104
    +tp33717
    +a(g423
    +Vp3
    +p33718
    +tp33719
    +a(g693
    +g976
    +tp33720
    +a(g826
    +g978
    +tp33721
    +a(g22
    +V19865144
    +p33722
    +tp33723
    +a(g693
    +g976
    +tp33724
    +a(g826
    +g978
    +tp33725
    +a(g423
    +Vdescr
    +p33726
    +tp33727
    +a(g693
    +g1119
    +tp33728
    +a(g669
    +V
    +p33729
    +tp33730
    +a(g693
    +g1112
    +tp33731
    +a(g826
    +g978
    +tp33732
    +a(g693
    +g972
    +tp33733
    +a(g423
    +Vp0
    +p33734
    +tp33735
    +a(g693
    +g976
    +tp33736
    +a(g826
    +g978
    +tp33737
    +a(g423
    +Vp1
    +p33738
    +tp33739
    +a(g693
    +g976
    +tp33740
    +a(g826
    +g978
    +tp33741
    +a(g423
    +Vp3
    +p33742
    +tp33743
    +a(g693
    +g976
    +tp33744
    +a(g826
    +g978
    +tp33745
    +a(g423
    +Vp17
    +p33746
    +tp33747
    +a(g693
    +g976
    +tp33748
    +a(g826
    +g978
    +tp33749
    +a(g423
    +Vp4
    +p33750
    +tp33751
    +a(g693
    +g976
    +tp33752
    +a(g826
    +g978
    +tp33753
    +a(g423
    +Vp5
    +p33754
    +tp33755
    +a(g693
    +g976
    +tp33756
    +a(g826
    +g978
    +tp33757
    +a(g423
    +Vp6
    +p33758
    +tp33759
    +a(g693
    +g976
    +tp33760
    +a(g826
    +g978
    +tp33761
    +a(g423
    +Vp7
    +p33762
    +tp33763
    +a(g693
    +g976
    +tp33764
    +a(g826
    +g978
    +tp33765
    +a(g423
    +Vp8
    +p33766
    +tp33767
    +a(g693
    +g976
    +tp33768
    +a(g826
    +g978
    +tp33769
    +a(g423
    +Vp9
    +p33770
    +tp33771
    +a(g693
    +g976
    +tp33772
    +a(g826
    +g978
    +tp33773
    +a(g423
    +Vp10
    +p33774
    +tp33775
    +a(g693
    +g976
    +tp33776
    +a(g826
    +g978
    +tp33777
    +a(g423
    +Vp11
    +p33778
    +tp33779
    +a(g693
    +g976
    +tp33780
    +a(g826
    +g978
    +tp33781
    +a(g423
    +Vp12
    +p33782
    +tp33783
    +a(g693
    +g976
    +tp33784
    +a(g826
    +g978
    +tp33785
    +a(g423
    +Vp13
    +p33786
    +tp33787
    +a(g693
    +g976
    +tp33788
    +a(g826
    +g978
    +tp33789
    +a(g423
    +Vf16
    +p33790
    +tp33791
    +a(g693
    +g976
    +tp33792
    +a(g826
    +g978
    +tp33793
    +a(g423
    +Vi14
    +p33794
    +tp33795
    +a(g693
    +g976
    +tp33796
    +a(g826
    +g978
    +tp33797
    +a(g423
    +Vf15
    +p33798
    +tp33799
    +a(g693
    +g1098
    +tp33800
    +a(g826
    +V\u000a
    +p33801
    +tp33802
    +a(g423
    +Vi19
    +p33803
    +tp33804
    +a(g826
    +g978
    +tp33805
    +a(g693
    +g1119
    +tp33806
    +a(g826
    +g978
    +tp33807
    +a(g669
    +Vgetfield_gc
    +p33808
    +tp33809
    +a(g693
    +g1104
    +tp33810
    +a(g423
    +Vp3
    +p33811
    +tp33812
    +a(g693
    +g976
    +tp33813
    +a(g826
    +g978
    +tp33814
    +a(g423
    +Vdescr
    +p33815
    +tp33816
    +a(g693
    +g1119
    +tp33817
    +a(g669
    +V
    +p33818
    +tp33819
    +a(g693
    +g1112
    +tp33820
    +a(g826
    +V\u000a
    +p33821
    +tp33822
    +a(g669
    +Vguard_value
    +p33823
    +tp33824
    +a(g693
    +g1104
    +tp33825
    +a(g423
    +Vi19
    +p33826
    +tp33827
    +a(g693
    +g976
    +tp33828
    +a(g826
    +g978
    +tp33829
    +a(g22
    +g1110
    +tp33830
    +a(g693
    +g976
    +tp33831
    +a(g826
    +g978
    +tp33832
    +a(g423
    +Vdescr
    +p33833
    +tp33834
    +a(g693
    +g1119
    +tp33835
    +a(g669
    +V
    +p33836
    +tp33837
    +a(g693
    +g1112
    +tp33838
    +a(g826
    +g978
    +tp33839
    +a(g693
    +g972
    +tp33840
    +a(g423
    +Vp0
    +p33841
    +tp33842
    +a(g693
    +g976
    +tp33843
    +a(g826
    +g978
    +tp33844
    +a(g423
    +Vp1
    +p33845
    +tp33846
    +a(g693
    +g976
    +tp33847
    +a(g826
    +g978
    +tp33848
    +a(g423
    +Vi19
    +p33849
    +tp33850
    +a(g693
    +g976
    +tp33851
    +a(g826
    +g978
    +tp33852
    +a(g423
    +Vp17
    +p33853
    +tp33854
    +a(g693
    +g976
    +tp33855
    +a(g826
    +g978
    +tp33856
    +a(g423
    +Vp4
    +p33857
    +tp33858
    +a(g693
    +g976
    +tp33859
    +a(g826
    +g978
    +tp33860
    +a(g423
    +Vp5
    +p33861
    +tp33862
    +a(g693
    +g976
    +tp33863
    +a(g826
    +g978
    +tp33864
    +a(g423
    +Vp6
    +p33865
    +tp33866
    +a(g693
    +g976
    +tp33867
    +a(g826
    +g978
    +tp33868
    +a(g423
    +Vp7
    +p33869
    +tp33870
    +a(g693
    +g976
    +tp33871
    +a(g826
    +g978
    +tp33872
    +a(g423
    +Vp8
    +p33873
    +tp33874
    +a(g693
    +g976
    +tp33875
    +a(g826
    +g978
    +tp33876
    +a(g423
    +Vp9
    +p33877
    +tp33878
    +a(g693
    +g976
    +tp33879
    +a(g826
    +g978
    +tp33880
    +a(g423
    +Vp10
    +p33881
    +tp33882
    +a(g693
    +g976
    +tp33883
    +a(g826
    +g978
    +tp33884
    +a(g423
    +Vp11
    +p33885
    +tp33886
    +a(g693
    +g976
    +tp33887
    +a(g826
    +g978
    +tp33888
    +a(g423
    +Vp12
    +p33889
    +tp33890
    +a(g693
    +g976
    +tp33891
    +a(g826
    +g978
    +tp33892
    +a(g423
    +Vp13
    +p33893
    +tp33894
    +a(g693
    +g976
    +tp33895
    +a(g826
    +g978
    +tp33896
    +a(g423
    +Vf16
    +p33897
    +tp33898
    +a(g693
    +g976
    +tp33899
    +a(g826
    +g978
    +tp33900
    +a(g423
    +Vi14
    +p33901
    +tp33902
    +a(g693
    +g976
    +tp33903
    +a(g826
    +g978
    +tp33904
    +a(g423
    +Vf15
    +p33905
    +tp33906
    +a(g693
    +g1098
    +tp33907
    +a(g826
    +V\u000a
    +p33908
    +tp33909
    +a(g616
    +Vdebug_merge_point
    +p33910
    +tp33911
    +a(g693
    +g1104
    +tp33912
    +a(g76
    +V' #295 LOAD_GLOBAL'
    +p33913
    +tp33914
    +a(g693
    +g976
    +tp33915
    +a(g826
    +g978
    +tp33916
    +a(g22
    +g1110
    +tp33917
    +a(g693
    +g1112
    +tp33918
    +a(g826
    +V\u000a
    +p33919
    +tp33920
    +a(g423
    +Vp21
    +p33921
    +tp33922
    +a(g826
    +g978
    +tp33923
    +a(g693
    +g1119
    +tp33924
    +a(g826
    +g978
    +tp33925
    +a(g669
    +Vgetfield_gc
    +p33926
    +tp33927
    +a(g693
    +g1104
    +tp33928
    +a(g423
    +Vp1
    +p33929
    +tp33930
    +a(g693
    +g976
    +tp33931
    +a(g826
    +g978
    +tp33932
    +a(g423
    +Vdescr
    +p33933
    +tp33934
    +a(g693
    +g1119
    +tp33935
    +a(g669
    +V
    +p33936
    +tp33937
    +a(g693
    +g1112
    +tp33938
    +a(g826
    +V\u000a
    +p33939
    +tp33940
    +a(g669
    +Vguard_value
    +p33941
    +tp33942
    +a(g693
    +g1104
    +tp33943
    +a(g423
    +Vp21
    +p33944
    +tp33945
    +a(g693
    +g976
    +tp33946
    +a(g826
    +g978
    +tp33947
    +a(g423
    +VConstPtr
    +p33948
    +tp33949
    +a(g693
    +g1104
    +tp33950
    +a(g669
    +Vptr22
    +p33951
    +tp33952
    +a(g693
    +g1112
    +tp33953
    +a(g693
    +g976
    +tp33954
    +a(g826
    +g978
    +tp33955
    +a(g423
    +Vdescr
    +p33956
    +tp33957
    +a(g693
    +g1119
    +tp33958
    +a(g669
    +V
    +p33959
    +tp33960
    +a(g693
    +g1112
    +tp33961
    +a(g826
    +g978
    +tp33962
    +a(g693
    +g972
    +tp33963
    +a(g423
    +Vp0
    +p33964
    +tp33965
    +a(g693
    +g976
    +tp33966
    +a(g826
    +g978
    +tp33967
    +a(g423
    +Vp1
    +p33968
    +tp33969
    +a(g693
    +g976
    +tp33970
    +a(g826
    +g978
    +tp33971
    +a(g423
    +Vp21
    +p33972
    +tp33973
    +a(g693
    +g976
    +tp33974
    +a(g826
    +g978
    +tp33975
    +a(g423
    +Vp17
    +p33976
    +tp33977
    +a(g693
    +g976
    +tp33978
    +a(g826
    +g978
    +tp33979
    +a(g423
    +Vp4
    +p33980
    +tp33981
    +a(g693
    +g976
    +tp33982
    +a(g826
    +g978
    +tp33983
    +a(g423
    +Vp5
    +p33984
    +tp33985
    +a(g693
    +g976
    +tp33986
    +a(g826
    +g978
    +tp33987
    +a(g423
    +Vp6
    +p33988
    +tp33989
    +a(g693
    +g976
    +tp33990
    +a(g826
    +g978
    +tp33991
    +a(g423
    +Vp7
    +p33992
    +tp33993
    +a(g693
    +g976
    +tp33994
    +a(g826
    +g978
    +tp33995
    +a(g423
    +Vp8
    +p33996
    +tp33997
    +a(g693
    +g976
    +tp33998
    +a(g826
    +g978
    +tp33999
    +a(g423
    +Vp9
    +p34000
    +tp34001
    +a(g693
    +g976
    +tp34002
    +a(g826
    +g978
    +tp34003
    +a(g423
    +Vp10
    +p34004
    +tp34005
    +a(g693
    +g976
    +tp34006
    +a(g826
    +g978
    +tp34007
    +a(g423
    +Vp11
    +p34008
    +tp34009
    +a(g693
    +g976
    +tp34010
    +a(g826
    +g978
    +tp34011
    +a(g423
    +Vp12
    +p34012
    +tp34013
    +a(g693
    +g976
    +tp34014
    +a(g826
    +g978
    +tp34015
    +a(g423
    +Vp13
    +p34016
    +tp34017
    +a(g693
    +g976
    +tp34018
    +a(g826
    +g978
    +tp34019
    +a(g423
    +Vf16
    +p34020
    +tp34021
    +a(g693
    +g976
    +tp34022
    +a(g826
    +g978
    +tp34023
    +a(g423
    +Vi14
    +p34024
    +tp34025
    +a(g693
    +g976
    +tp34026
    +a(g826
    +g978
    +tp34027
    +a(g423
    +Vf15
    +p34028
    +tp34029
    +a(g693
    +g1098
    +tp34030
    +a(g826
    +V\u000a
    +p34031
    +tp34032
    +a(g423
    +Vp23
    +p34033
    +tp34034
    +a(g826
    +g978
    +tp34035
    +a(g693
    +g1119
    +tp34036
    +a(g826
    +g978
    +tp34037
    +a(g669
    +Vgetfield_gc
    +p34038
    +tp34039
    +a(g693
    +g1104
    +tp34040
    +a(g423
    +Vp21
    +p34041
    +tp34042
    +a(g693
    +g976
    +tp34043
    +a(g826
    +g978
    +tp34044
    +a(g423
    +Vdescr
    +p34045
    +tp34046
    +a(g693
    +g1119
    +tp34047
    +a(g669
    +V
    +p34048
    +tp34049
    +a(g693
    +g1112
    +tp34050
    +a(g826
    +V\u000a
    +p34051
    +tp34052
    +a(g669
    +Vguard_isnull
    +p34053
    +tp34054
    +a(g693
    +g1104
    +tp34055
    +a(g423
    +Vp23
    +p34056
    +tp34057
    +a(g693
    +g976
    +tp34058
    +a(g826
    +g978
    +tp34059
    +a(g423
    +Vdescr
    +p34060
    +tp34061
    +a(g693
    +g1119
    +tp34062
    +a(g669
    +V
    +p34063
    +tp34064
    +a(g693
    +g1112
    +tp34065
    +a(g826
    +g978
    +tp34066
    +a(g693
    +g972
    +tp34067
    +a(g423
    +Vp0
    +p34068
    +tp34069
    +a(g693
    +g976
    +tp34070
    +a(g826
    +g978
    +tp34071
    +a(g423
    +Vp1
    +p34072
    +tp34073
    +a(g693
    +g976
    +tp34074
    +a(g826
    +g978
    +tp34075
    +a(g423
    +Vp23
    +p34076
    +tp34077
    +a(g693
    +g976
    +tp34078
    +a(g826
    +g978
    +tp34079
    +a(g423
    +Vp21
    +p34080
    +tp34081
    +a(g693
    +g976
    +tp34082
    +a(g826
    +g978
    +tp34083
    +a(g423
    +Vp17
    +p34084
    +tp34085
    +a(g693
    +g976
    +tp34086
    +a(g826
    +g978
    +tp34087
    +a(g423
    +Vp4
    +p34088
    +tp34089
    +a(g693
    +g976
    +tp34090
    +a(g826
    +g978
    +tp34091
    +a(g423
    +Vp5
    +p34092
    +tp34093
    +a(g693
    +g976
    +tp34094
    +a(g826
    +g978
    +tp34095
    +a(g423
    +Vp6
    +p34096
    +tp34097
    +a(g693
    +g976
    +tp34098
    +a(g826
    +g978
    +tp34099
    +a(g423
    +Vp7
    +p34100
    +tp34101
    +a(g693
    +g976
    +tp34102
    +a(g826
    +g978
    +tp34103
    +a(g423
    +Vp8
    +p34104
    +tp34105
    +a(g693
    +g976
    +tp34106
    +a(g826
    +g978
    +tp34107
    +a(g423
    +Vp9
    +p34108
    +tp34109
    +a(g693
    +g976
    +tp34110
    +a(g826
    +g978
    +tp34111
    +a(g423
    +Vp10
    +p34112
    +tp34113
    +a(g693
    +g976
    +tp34114
    +a(g826
    +g978
    +tp34115
    +a(g423
    +Vp11
    +p34116
    +tp34117
    +a(g693
    +g976
    +tp34118
    +a(g826
    +g978
    +tp34119
    +a(g423
    +Vp12
    +p34120
    +tp34121
    +a(g693
    +g976
    +tp34122
    +a(g826
    +g978
    +tp34123
    +a(g423
    +Vp13
    +p34124
    +tp34125
    +a(g693
    +g976
    +tp34126
    +a(g826
    +g978
    +tp34127
    +a(g423
    +Vf16
    +p34128
    +tp34129
    +a(g693
    +g976
    +tp34130
    +a(g826
    +g978
    +tp34131
    +a(g423
    +Vi14
    +p34132
    +tp34133
    +a(g693
    +g976
    +tp34134
    +a(g826
    +g978
    +tp34135
    +a(g423
    +Vf15
    +p34136
    +tp34137
    +a(g693
    +g1098
    +tp34138
    +a(g826
    +V\u000a
    +p34139
    +tp34140
    +a(g423
    +Vp25
    +p34141
    +tp34142
    +a(g826
    +g978
    +tp34143
    +a(g693
    +g1119
    +tp34144
    +a(g826
    +g978
    +tp34145
    +a(g669
    +Vgetfield_gc
    +p34146
    +tp34147
    +a(g693
    +g1104
    +tp34148
    +a(g423
    +VConstPtr
    +p34149
    +tp34150
    +a(g693
    +g1104
    +tp34151
    +a(g669
    +Vptr24
    +p34152
    +tp34153
    +a(g693
    +g1112
    +tp34154
    +a(g693
    +g976
    +tp34155
    +a(g826
    +g978
    +tp34156
    +a(g423
    +Vdescr
    +p34157
    +tp34158
    +a(g693
    +g1119
    +tp34159
    +a(g669
    +V
    +p34160
    +tp34161
    +a(g693
    +g1112
    +tp34162
    +a(g826
    +V\u000a
    +p34163
    +tp34164
    +a(g669
    +Vguard_nonnull_class
    +p34165
    +tp34166
    +a(g693
    +g1104
    +tp34167
    +a(g423
    +Vp25
    +p34168
    +tp34169
    +a(g693
    +g976
    +tp34170
    +a(g826
    +g978
    +tp34171
    +a(g22
    +V19905496
    +p34172
    +tp34173
    +a(g693
    +g976
    +tp34174
    +a(g826
    +g978
    +tp34175
    +a(g423
    +Vdescr
    +p34176
    +tp34177
    +a(g693
    +g1119
    +tp34178
    +a(g669
    +V
    +p34179
    +tp34180
    +a(g693
    +g1112
    +tp34181
    +a(g826
    +g978
    +tp34182
    +a(g693
    +g972
    +tp34183
    +a(g423
    +Vp0
    +p34184
    +tp34185
    +a(g693
    +g976
    +tp34186
    +a(g826
    +g978
    +tp34187
    +a(g423
    +Vp1
    +p34188
    +tp34189
    +a(g693
    +g976
    +tp34190
    +a(g826
    +g978
    +tp34191
    +a(g423
    +Vp25
    +p34192
    +tp34193
    +a(g693
    +g976
    +tp34194
    +a(g826
    +g978
    +tp34195
    +a(g423
    +Vp17
    +p34196
    +tp34197
    +a(g693
    +g976
    +tp34198
    +a(g826
    +g978
    +tp34199
    +a(g423
    +Vp4
    +p34200
    +tp34201
    +a(g693
    +g976
    +tp34202
    +a(g826
    +g978
    +tp34203
    +a(g423
    +Vp5
    +p34204
    +tp34205
    +a(g693
    +g976
    +tp34206
    +a(g826
    +g978
    +tp34207
    +a(g423
    +Vp6
    +p34208
    +tp34209
    +a(g693
    +g976
    +tp34210
    +a(g826
    +g978
    +tp34211
    +a(g423
    +Vp7
    +p34212
    +tp34213
    +a(g693
    +g976
    +tp34214
    +a(g826
    +g978
    +tp34215
    +a(g423
    +Vp8
    +p34216
    +tp34217
    +a(g693
    +g976
    +tp34218
    +a(g826
    +g978
    +tp34219
    +a(g423
    +Vp9
    +p34220
    +tp34221
    +a(g693
    +g976
    +tp34222
    +a(g826
    +g978
    +tp34223
    +a(g423
    +Vp10
    +p34224
    +tp34225
    +a(g693
    +g976
    +tp34226
    +a(g826
    +g978
    +tp34227
    +a(g423
    +Vp11
    +p34228
    +tp34229
    +a(g693
    +g976
    +tp34230
    +a(g826
    +g978
    +tp34231
    +a(g423
    +Vp12
    +p34232
    +tp34233
    +a(g693
    +g976
    +tp34234
    +a(g826
    +g978
    +tp34235
    +a(g423
    +Vp13
    +p34236
    +tp34237
    +a(g693
    +g976
    +tp34238
    +a(g826
    +g978
    +tp34239
    +a(g423
    +Vf16
    +p34240
    +tp34241
    +a(g693
    +g976
    +tp34242
    +a(g826
    +g978
    +tp34243
    +a(g423
    +Vi14
    +p34244
    +tp34245
    +a(g693
    +g976
    +tp34246
    +a(g826
    +g978
    +tp34247
    +a(g423
    +Vf15
    +p34248
    +tp34249
    +a(g693
    +g1098
    +tp34250
    +a(g826
    +V\u000a
    +p34251
    +tp34252
    +a(g616
    +Vdebug_merge_point
    +p34253
    +tp34254
    +a(g693
    +g1104
    +tp34255
    +a(g76
    +V' #298 LOOKUP_METHOD'
    +p34256
    +tp34257
    +a(g693
    +g976
    +tp34258
    +a(g826
    +g978
    +tp34259
    +a(g22
    +g1110
    +tp34260
    +a(g693
    +g1112
    +tp34261
    +a(g826
    +V\u000a
    +p34262
    +tp34263
    +a(g423
    +Vp27
    +p34264
    +tp34265
    +a(g826
    +g978
    +tp34266
    +a(g693
    +g1119
    +tp34267
    +a(g826
    +g978
    +tp34268
    +a(g669
    +Vgetfield_gc
    +p34269
    +tp34270
    +a(g693
    +g1104
    +tp34271
    +a(g423
    +Vp25
    +p34272
    +tp34273
    +a(g693
    +g976
    +tp34274
    +a(g826
    +g978
    +tp34275
    +a(g423
    +Vdescr
    +p34276
    +tp34277
    +a(g693
    +g1119
    +tp34278
    +a(g669
    +V
    +p34279
    +tp34280
    +a(g693
    +g1112
    +tp34281
    +a(g826
    +V\u000a
    +p34282
    +tp34283
    +a(g669
    +Vguard_value
    +p34284
    +tp34285
    +a(g693
    +g1104
    +tp34286
    +a(g423
    +Vp27
    +p34287
    +tp34288
    +a(g693
    +g976
    +tp34289
    +a(g826
    +g978
    +tp34290
    +a(g423
    +VConstPtr
    +p34291
    +tp34292
    +a(g693
    +g1104
    +tp34293
    +a(g669
    +Vptr28
    +p34294
    +tp34295
    +a(g693
    +g1112
    +tp34296
    +a(g693
    +g976
    +tp34297
    +a(g826
    +g978
    +tp34298
    +a(g423
    +Vdescr
    +p34299
    +tp34300
    +a(g693
    +g1119
    +tp34301
    +a(g669
    +V
    +p34302
    +tp34303
    +a(g693
    +g1112
    +tp34304
    +a(g826
    +g978
    +tp34305
    +a(g693
    +g972
    +tp34306
    +a(g423
    +Vp0
    +p34307
    +tp34308
    +a(g693
    +g976
    +tp34309
    +a(g826
    +g978
    +tp34310
    +a(g423
    +Vp1
    +p34311
    +tp34312
    +a(g693
    +g976
    +tp34313
    +a(g826
    +g978
    +tp34314
    +a(g423
    +Vp25
    +p34315
    +tp34316
    +a(g693
    +g976
    +tp34317
    +a(g826
    +g978
    +tp34318
    +a(g423
    +Vp27
    +p34319
    +tp34320
    +a(g693
    +g976
    +tp34321
    +a(g826
    +g978
    +tp34322
    +a(g423
    +Vp17
    +p34323
    +tp34324
    +a(g693
    +g976
    +tp34325
    +a(g826
    +g978
    +tp34326
    +a(g423
    +Vp4
    +p34327
    +tp34328
    +a(g693
    +g976
    +tp34329
    +a(g826
    +g978
    +tp34330
    +a(g423
    +Vp5
    +p34331
    +tp34332
    +a(g693
    +g976
    +tp34333
    +a(g826
    +g978
    +tp34334
    +a(g423
    +Vp6
    +p34335
    +tp34336
    +a(g693
    +g976
    +tp34337
    +a(g826
    +g978
    +tp34338
    +a(g423
    +Vp7
    +p34339
    +tp34340
    +a(g693
    +g976
    +tp34341
    +a(g826
    +g978
    +tp34342
    +a(g423
    +Vp8
    +p34343
    +tp34344
    +a(g693
    +g976
    +tp34345
    +a(g826
    +g978
    +tp34346
    +a(g423
    +Vp9
    +p34347
    +tp34348
    +a(g693
    +g976
    +tp34349
    +a(g826
    +g978
    +tp34350
    +a(g423
    +Vp10
    +p34351
    +tp34352
    +a(g693
    +g976
    +tp34353
    +a(g826
    +g978
    +tp34354
    +a(g423
    +Vp11
    +p34355
    +tp34356
    +a(g693
    +g976
    +tp34357
    +a(g826
    +g978
    +tp34358
    +a(g423
    +Vp12
    +p34359
    +tp34360
    +a(g693
    +g976
    +tp34361
    +a(g826
    +g978
    +tp34362
    +a(g423
    +Vp13
    +p34363
    +tp34364
    +a(g693
    +g976
    +tp34365
    +a(g826
    +g978
    +tp34366
    +a(g423
    +Vf16
    +p34367
    +tp34368
    +a(g693
    +g976
    +tp34369
    +a(g826
    +g978
    +tp34370
    +a(g423
    +Vi14
    +p34371
    +tp34372
    +a(g693
    +g976
    +tp34373
    +a(g826
    +g978
    +tp34374
    +a(g423
    +Vf15
    +p34375
    +tp34376
    +a(g693
    +g1098
    +tp34377
    +a(g826
    +V\u000a
    +p34378
    +tp34379
    +a(g423
    +Vp29
    +p34380
    +tp34381
    +a(g826
    +g978
    +tp34382
    +a(g693
    +g1119
    +tp34383
    +a(g826
    +g978
    +tp34384
    +a(g669
    +Vgetfield_gc
    +p34385
    +tp34386
    +a(g693
    +g1104
    +tp34387
    +a(g423
    +Vp27
    +p34388
    +tp34389
    +a(g693
    +g976
    +tp34390
    +a(g826
    +g978
    +tp34391
    +a(g423
    +Vdescr
    +p34392
    +tp34393
    +a(g693
    +g1119
    +tp34394
    +a(g669
    +V
    +p34395
    +tp34396
    +a(g693
    +g1112
    +tp34397
    +a(g826
    +V\u000a
    +p34398
    +tp34399
    +a(g669
    +Vguard_isnull
    +p34400
    +tp34401
    +a(g693
    +g1104
    +tp34402
    +a(g423
    +Vp29
    +p34403
    +tp34404
    +a(g693
    +g976
    +tp34405
    +a(g826
    +g978
    +tp34406
    +a(g423
    +Vdescr
    +p34407
    +tp34408
    +a(g693
    +g1119
    +tp34409
    +a(g669
    +V
    +p34410
    +tp34411
    +a(g693
    +g1112
    +tp34412
    +a(g826
    +g978
    +tp34413
    +a(g693
    +g972
    +tp34414
    +a(g423
    +Vp0
    +p34415
    +tp34416
    +a(g693
    +g976
    +tp34417
    +a(g826
    +g978
    +tp34418
    +a(g423
    +Vp1
    +p34419
    +tp34420
    +a(g693
    +g976
    +tp34421
    +a(g826
    +g978
    +tp34422
    +a(g423
    +Vp25
    +p34423
    +tp34424
    +a(g693
    +g976
    +tp34425
    +a(g826
    +g978
    +tp34426
    +a(g423
    +Vp29
    +p34427
    +tp34428
    +a(g693
    +g976
    +tp34429
    +a(g826
    +g978
    +tp34430
    +a(g423
    +Vp27
    +p34431
    +tp34432
    +a(g693
    +g976
    +tp34433
    +a(g826
    +g978
    +tp34434
    +a(g423
    +Vp17
    +p34435
    +tp34436
    +a(g693
    +g976
    +tp34437
    +a(g826
    +g978
    +tp34438
    +a(g423
    +Vp4
    +p34439
    +tp34440
    +a(g693
    +g976
    +tp34441
    +a(g826
    +g978
    +tp34442
    +a(g423
    +Vp5
    +p34443
    +tp34444
    +a(g693
    +g976
    +tp34445
    +a(g826
    +g978
    +tp34446
    +a(g423
    +Vp6
    +p34447
    +tp34448
    +a(g693
    +g976
    +tp34449
    +a(g826
    +g978
    +tp34450
    +a(g423
    +Vp7
    +p34451
    +tp34452
    +a(g693
    +g976
    +tp34453
    +a(g826
    +g978
    +tp34454
    +a(g423
    +Vp8
    +p34455
    +tp34456
    +a(g693
    +g976
    +tp34457
    +a(g826
    +g978
    +tp34458
    +a(g423
    +Vp9
    +p34459
    +tp34460
    +a(g693
    +g976
    +tp34461
    +a(g826
    +g978
    +tp34462
    +a(g423
    +Vp10
    +p34463
    +tp34464
    +a(g693
    +g976
    +tp34465
    +a(g826
    +g978
    +tp34466
    +a(g423
    +Vp11
    +p34467
    +tp34468
    +a(g693
    +g976
    +tp34469
    +a(g826
    +g978
    +tp34470
    +a(g423
    +Vp12
    +p34471
    +tp34472
    +a(g693
    +g976
    +tp34473
    +a(g826
    +g978
    +tp34474
    +a(g423
    +Vp13
    +p34475
    +tp34476
    +a(g693
    +g976
    +tp34477
    +a(g826
    +g978
    +tp34478
    +a(g423
    +Vf16
    +p34479
    +tp34480
    +a(g693
    +g976
    +tp34481
    +a(g826
    +g978
    +tp34482
    +a(g423
    +Vi14
    +p34483
    +tp34484
    +a(g693
    +g976
    +tp34485
    +a(g826
    +g978
    +tp34486
    +a(g423
    +Vf15
    +p34487
    +tp34488
    +a(g693
    +g1098
    +tp34489
    +a(g826
    +V\u000a
    +p34490
    +tp34491
    +a(g423
    +Vp31
    +p34492
    +tp34493
    +a(g826
    +g978
    +tp34494
    +a(g693
    +g1119
    +tp34495
    +a(g826
    +g978
    +tp34496
    +a(g669
    +Vgetfield_gc
    +p34497
    +tp34498
    +a(g693
    +g1104
    +tp34499
    +a(g423
    +VConstPtr
    +p34500
    +tp34501
    +a(g693
    +g1104
    +tp34502
    +a(g669
    +Vptr30
    +p34503
    +tp34504
    +a(g693
    +g1112
    +tp34505
    +a(g693
    +g976
    +tp34506
    +a(g826
    +g978
    +tp34507
    +a(g423
    +Vdescr
    +p34508
    +tp34509
    +a(g693
    +g1119
    +tp34510
    +a(g669
    +V
    +p34511
    +tp34512
    +a(g693
    +g1112
    +tp34513
    +a(g826
    +V\u000a
    +p34514
    +tp34515
    +a(g669
    +Vguard_value
    +p34516
    +tp34517
    +a(g693
    +g1104
    +tp34518
    +a(g423
    +Vp31
    +p34519
    +tp34520
    +a(g693
    +g976
    +tp34521
    +a(g826
    +g978
    +tp34522
    +a(g423
    +VConstPtr
    +p34523
    +tp34524
    +a(g693
    +g1104
    +tp34525
    +a(g669
    +Vptr32
    +p34526
    +tp34527
    +a(g693
    +g1112
    +tp34528
    +a(g693
    +g976
    +tp34529
    +a(g826
    +g978
    +tp34530
    +a(g423
    +Vdescr
    +p34531
    +tp34532
    +a(g693
    +g1119
    +tp34533
    +a(g669
    +V
    +p34534
    +tp34535
    +a(g693
    +g1112
    +tp34536
    +a(g826
    +g978
    +tp34537
    +a(g693
    +g972
    +tp34538
    +a(g423
    +Vp0
    +p34539
    +tp34540
    +a(g693
    +g976
    +tp34541
    +a(g826
    +g978
    +tp34542
    +a(g423
    +Vp1
    +p34543
    +tp34544
    +a(g693
    +g976
    +tp34545
    +a(g826
    +g978
    +tp34546
    +a(g423
    +Vp31
    +p34547
    +tp34548
    +a(g693
    +g976
    +tp34549
    +a(g826
    +g978
    +tp34550
    +a(g423
    +Vp25
    +p34551
    +tp34552
    +a(g693
    +g976
    +tp34553
    +a(g826
    +g978
    +tp34554
    +a(g423
    +Vp17
    +p34555
    +tp34556
    +a(g693
    +g976
    +tp34557
    +a(g826
    +g978
    +tp34558
    +a(g423
    +Vp4
    +p34559
    +tp34560
    +a(g693
    +g976
    +tp34561
    +a(g826
    +g978
    +tp34562
    +a(g423
    +Vp5
    +p34563
    +tp34564
    +a(g693
    +g976
    +tp34565
    +a(g826
    +g978
    +tp34566
    +a(g423
    +Vp6
    +p34567
    +tp34568
    +a(g693
    +g976
    +tp34569
    +a(g826
    +g978
    +tp34570
    +a(g423
    +Vp7
    +p34571
    +tp34572
    +a(g693
    +g976
    +tp34573
    +a(g826
    +g978
    +tp34574
    +a(g423
    +Vp8
    +p34575
    +tp34576
    +a(g693
    +g976
    +tp34577
    +a(g826
    +g978
    +tp34578
    +a(g423
    +Vp9
    +p34579
    +tp34580
    +a(g693
    +g976
    +tp34581
    +a(g826
    +g978
    +tp34582
    +a(g423
    +Vp10
    +p34583
    +tp34584
    +a(g693
    +g976
    +tp34585
    +a(g826
    +g978
    +tp34586
    +a(g423
    +Vp11
    +p34587
    +tp34588
    +a(g693
    +g976
    +tp34589
    +a(g826
    +g978
    +tp34590
    +a(g423
    +Vp12
    +p34591
    +tp34592
    +a(g693
    +g976
    +tp34593
    +a(g826
    +g978
    +tp34594
    +a(g423
    +Vp13
    +p34595
    +tp34596
    +a(g693
    +g976
    +tp34597
    +a(g826
    +g978
    +tp34598
    +a(g423
    +Vf16
    +p34599
    +tp34600
    +a(g693
    +g976
    +tp34601
    +a(g826
    +g978
    +tp34602
    +a(g423
    +Vi14
    +p34603
    +tp34604
    +a(g693
    +g976
    +tp34605
    +a(g826
    +g978
    +tp34606
    +a(g423
    +Vf15
    +p34607
    +tp34608
    +a(g693
    +g1098
    +tp34609
    +a(g826
    +V\u000a
    +p34610
    +tp34611
    +a(g616
    +Vdebug_merge_point
    +p34612
    +tp34613
    +a(g693
    +g1104
    +tp34614
    +a(g76
    +V' #301 LOAD_FAST'
    +p34615
    +tp34616
    +a(g693
    +g976
    +tp34617
    +a(g826
    +g978
    +tp34618
    +a(g22
    +g1110
    +tp34619
    +a(g693
    +g1112
    +tp34620
    +a(g826
    +V\u000a
    +p34621
    +tp34622
    +a(g616
    +Vdebug_merge_point
    +p34623
    +tp34624
    +a(g693
    +g1104
    +tp34625
    +a(g76
    +V' #304 CALL_METHOD'
    +p34626
    +tp34627
    +a(g693
    +g976
    +tp34628
    +a(g826
    +g978
    +tp34629
    +a(g22
    +g1110
    +tp34630
    +a(g693
    +g1112
    +tp34631
    +a(g826
    +V\u000a
    +p34632
    +tp34633
    +a(g669
    +Vcall
    +p34634
    +tp34635
    +a(g693
    +g1104
    +tp34636
    +a(g423
    +VConstClass
    +p34637
    +tp34638
    +a(g693
    +g1104
    +tp34639
    +a(g669
    +Vset_errno
    +p34640
    +tp34641
    +a(g693
    +g1112
    +tp34642
    +a(g693
    +g976
    +tp34643
    +a(g826
    +g978
    +tp34644
    +a(g22
    +g1110
    +tp34645
    +a(g693
    +g976
    +tp34646
    +a(g826
    +g978
    +tp34647
    +a(g423
    +Vdescr
    +p34648
    +tp34649
    +a(g693
    +g1119
    +tp34650
    +a(g669
    +V
    +p34651
    +tp34652
    +a(g693
    +g1112
    +tp34653
    +a(g826
    +V\u000a
    +p34654
    +tp34655
    +a(g423
    +Vf36
    +p34656
    +tp34657
    +a(g826
    +g978
    +tp34658
    +a(g693
    +g1119
    +tp34659
    +a(g826
    +g978
    +tp34660
    +a(g669
    +Vcall
    +p34661
    +tp34662
    +a(g693
    +g1104
    +tp34663
    +a(g423
    +VConstClass
    +p34664
    +tp34665
    +a(g693
    +g1104
    +tp34666
    +a(g669
    +Vsqrt
    +p34667
    +tp34668
    +a(g693
    +g1112
    +tp34669
    +a(g693
    +g976
    +tp34670
    +a(g826
    +g978
    +tp34671
    +a(g423
    +Vf16
    +p34672
    +tp34673
    +a(g693
    +g976
    +tp34674
    +a(g826
    +g978
    +tp34675
    +a(g423
    +Vdescr
    +p34676
    +tp34677
    +a(g693
    +g1119
    +tp34678
    +a(g669
    +V
    +p34679
    +tp34680
    +a(g693
    +g1112
    +tp34681
    +a(g826
    +V\u000a
    +p34682
    +tp34683
    +a(g423
    +Vi38
    +p34684
    +tp34685
    +a(g826
    +g978
    +tp34686
    +a(g693
    +g1119
    +tp34687
    +a(g826
    +g978
    +tp34688
    +a(g669
    +Vcall
    +p34689
    +tp34690
    +a(g693
    +g1104
    +tp34691
    +a(g423
    +VConstClass
    +p34692
    +tp34693
    +a(g693
    +g1104
    +tp34694
    +a(g669
    +Vget_errno
    +p34695
    +tp34696
    +a(g693
    +g1112
    +tp34697
    +a(g693
    +g976
    +tp34698
    +a(g826
    +g978
    +tp34699
    +a(g423
    +Vdescr
    +p34700
    +tp34701
    +a(g693
    +g1119
    +tp34702
    +a(g669
    +V
    +p34703
    +tp34704
    +a(g693
    +g1112
    +tp34705
    +a(g826
    +V\u000a
    +p34706
    +tp34707
    +a(g423
    +Vi39
    +p34708
    +tp34709
    +a(g826
    +g978
    +tp34710
    +a(g693
    +g1119
    +tp34711
    +a(g826
    +g978
    +tp34712
    +a(g669
    +Vfloat_ne
    +p34713
    +tp34714
    +a(g693
    +g1104
    +tp34715
    +a(g423
    +Vf36
    +p34716
    +tp34717
    +a(g693
    +g976
    +tp34718
    +a(g826
    +g978
    +tp34719
    +a(g423
    +Vf36
    +p34720
    +tp34721
    +a(g693
    +g1112
    +tp34722
    +a(g826
    +V\u000a
    +p34723
    +tp34724
    +a(g669
    +Vguard_false
    +p34725
    +tp34726
    +a(g693
    +g1104
    +tp34727
    +a(g423
    +Vi39
    +p34728
    +tp34729
    +a(g693
    +g976
    +tp34730
    +a(g826
    +g978
    +tp34731
    +a(g423
    +Vdescr
    +p34732
    +tp34733
    +a(g693
    +g1119
    +tp34734
    +a(g669
    +V
    +p34735
    +tp34736
    +a(g693
    +g1112
    +tp34737
    +a(g826
    +g978
    +tp34738
    +a(g693
    +g972
    +tp34739
    +a(g423
    +Vp0
    +p34740
    +tp34741
    +a(g693
    +g976
    +tp34742
    +a(g826
    +g978
    +tp34743
    +a(g423
    +Vp1
    +p34744
    +tp34745
    +a(g693
    +g976
    +tp34746
    +a(g826
    +g978
    +tp34747
    +a(g423
    +Vi38
    +p34748
    +tp34749
    +a(g693
    +g976
    +tp34750
    +a(g826
    +g978
    +tp34751
    +a(g423
    +Vf36
    +p34752
    +tp34753
    +a(g693
    +g976
    +tp34754
    +a(g826
    +g978
    +tp34755
    +a(g423
    +Vf16
    +p34756
    +tp34757
    +a(g693
    +g976
    +tp34758
    +a(g826
    +g978
    +tp34759
    +a(g423
    +Vp17
    +p34760
    +tp34761
    +a(g693
    +g976
    +tp34762
    +a(g826
    +g978
    +tp34763
    +a(g423
    +Vp4
    +p34764
    +tp34765
    +a(g693
    +g976
    +tp34766
    +a(g826
    +g978
    +tp34767
    +a(g423
    +Vp5
    +p34768
    +tp34769
    +a(g693
    +g976
    +tp34770
    +a(g826
    +g978
    +tp34771
    +a(g423
    +Vp6
    +p34772
    +tp34773
    +a(g693
    +g976
    +tp34774
    +a(g826
    +g978
    +tp34775
    +a(g423
    +Vp7
    +p34776
    +tp34777
    +a(g693
    +g976
    +tp34778
    +a(g826
    +g978
    +tp34779
    +a(g423
    +Vp8
    +p34780
    +tp34781
    +a(g693
    +g976
    +tp34782
    +a(g826
    +g978
    +tp34783
    +a(g423
    +Vp9
    +p34784
    +tp34785
    +a(g693
    +g976
    +tp34786
    +a(g826
    +g978
    +tp34787
    +a(g423
    +Vp10
    +p34788
    +tp34789
    +a(g693
    +g976
    +tp34790
    +a(g826
    +g978
    +tp34791
    +a(g423
    +Vp11
    +p34792
    +tp34793
    +a(g693
    +g976
    +tp34794
    +a(g826
    +g978
    +tp34795
    +a(g423
    +Vp12
    +p34796
    +tp34797
    +a(g693
    +g976
    +tp34798
    +a(g826
    +g978
    +tp34799
    +a(g423
    +Vp13
    +p34800
    +tp34801
    +a(g693
    +g976
    +tp34802
    +a(g826
    +g978
    +tp34803
    +a(g423
    +VNone
    +p34804
    +tp34805
    +a(g693
    +g976
    +tp34806
    +a(g826
    +g978
    +tp34807
    +a(g423
    +Vi14
    +p34808
    +tp34809
    +a(g693
    +g976
    +tp34810
    +a(g826
    +g978
    +tp34811
    +a(g423
    +Vf15
    +p34812
    +tp34813
    +a(g693
    +g1098
    +tp34814
    +a(g826
    +V\u000a
    +p34815
    +tp34816
    +a(g423
    +Vi42
    +p34817
    +tp34818
    +a(g826
    +g978
    +tp34819
    +a(g693
    +g1119
    +tp34820
    +a(g826
    +g978
    +tp34821
    +a(g669
    +Vfloat_eq
    +p34822
    +tp34823
    +a(g693
    +g1104
    +tp34824
    +a(g423
    +Vf36
    +p34825
    +tp34826
    +a(g693
    +g976
    +tp34827
    +a(g826
    +g978
    +tp34828
    +a(g302
    +Vinf
    +p34829
    +tp34830
    +a(g693
    +g1112
    +tp34831
    +a(g826
    +V\u000a
    +p34832
    +tp34833
    +a(g423
    +Vi44
    +p34834
    +tp34835
    +a(g826
    +g978
    +tp34836
    +a(g693
    +g1119
    +tp34837
    +a(g826
    +g978
    +tp34838
    +a(g669
    +Vfloat_eq
    +p34839
    +tp34840
    +a(g693
    +g1104
    +tp34841
    +a(g423
    +Vf36
    +p34842
    +tp34843
    +a(g693
    +g976
    +tp34844
    +a(g826
    +g978
    +tp34845
    +a(g302
    +V-inf
    +p34846
    +tp34847
    +a(g693
    +g1112
    +tp34848
    +a(g826
    +V\u000a
    +p34849
    +tp34850
    +a(g423
    +Vi45
    +p34851
    +tp34852
    +a(g826
    +g978
    +tp34853
    +a(g693
    +g1119
    +tp34854
    +a(g826
    +g978
    +tp34855
    +a(g669
    +Vint_or
    +p34856
    +tp34857
    +a(g693
    +g1104
    +tp34858
    +a(g423
    +Vi42
    +p34859
    +tp34860
    +a(g693
    +g976
    +tp34861
    +a(g826
    +g978
    +tp34862
    +a(g423
    +Vi44
    +p34863
    +tp34864
    +a(g693
    +g1112
    +tp34865
    +a(g826
    +V\u000a
    +p34866
    +tp34867
    +a(g423
    +Vi46
    +p34868
    +tp34869
    +a(g826
    +g978
    +tp34870
    +a(g693
    +g1119
    +tp34871
    +a(g826
    +g978
    +tp34872
    +a(g669
    +Vint_is_true
    +p34873
    +tp34874
    +a(g693
    +g1104
    +tp34875
    +a(g669
    +Vi45
    +p34876
    +tp34877
    +a(g693
    +g1112
    +tp34878
    +a(g826
    +V\u000a
    +p34879
    +tp34880
    +a(g669
    +Vguard_false
    +p34881
    +tp34882
    +a(g693
    +g1104
    +tp34883
    +a(g423
    +Vi46
    +p34884
    +tp34885
    +a(g693
    +g976
    +tp34886
    +a(g826
    +g978
    +tp34887
    +a(g423
    +Vdescr
    +p34888
    +tp34889
    +a(g693
    +g1119
    +tp34890
    +a(g669
    +V
    +p34891
    +tp34892
    +a(g693
    +g1112
    +tp34893
    +a(g826
    +g978
    +tp34894
    +a(g693
    +g972
    +tp34895
    +a(g423
    +Vp0
    +p34896
    +tp34897
    +a(g693
    +g976
    +tp34898
    +a(g826
    +g978
    +tp34899
    +a(g423
    +Vp1
    +p34900
    +tp34901
    +a(g693
    +g976
    +tp34902
    +a(g826
    +g978
    +tp34903
    +a(g423
    +Vi38
    +p34904
    +tp34905
    +a(g693
    +g976
    +tp34906
    +a(g826
    +g978
    +tp34907
    +a(g423
    +Vf36
    +p34908
    +tp34909
    +a(g693
    +g976
    +tp34910
    +a(g826
    +g978
    +tp34911
    +a(g423
    +Vf16
    +p34912
    +tp34913
    +a(g693
    +g976
    +tp34914
    +a(g826
    +g978
    +tp34915
    +a(g423
    +Vp17
    +p34916
    +tp34917
    +a(g693
    +g976
    +tp34918
    +a(g826
    +g978
    +tp34919
    +a(g423
    +Vp4
    +p34920
    +tp34921
    +a(g693
    +g976
    +tp34922
    +a(g826
    +g978
    +tp34923
    +a(g423
    +Vp5
    +p34924
    +tp34925
    +a(g693
    +g976
    +tp34926
    +a(g826
    +g978
    +tp34927
    +a(g423
    +Vp6
    +p34928
    +tp34929
    +a(g693
    +g976
    +tp34930
    +a(g826
    +g978
    +tp34931
    +a(g423
    +Vp7
    +p34932
    +tp34933
    +a(g693
    +g976
    +tp34934
    +a(g826
    +g978
    +tp34935
    +a(g423
    +Vp8
    +p34936
    +tp34937
    +a(g693
    +g976
    +tp34938
    +a(g826
    +g978
    +tp34939
    +a(g423
    +Vp9
    +p34940
    +tp34941
    +a(g693
    +g976
    +tp34942
    +a(g826
    +g978
    +tp34943
    +a(g423
    +Vp10
    +p34944
    +tp34945
    +a(g693
    +g976
    +tp34946
    +a(g826
    +g978
    +tp34947
    +a(g423
    +Vp11
    +p34948
    +tp34949
    +a(g693
    +g976
    +tp34950
    +a(g826
    +g978
    +tp34951
    +a(g423
    +Vp12
    +p34952
    +tp34953
    +a(g693
    +g976
    +tp34954
    +a(g826
    +g978
    +tp34955
    +a(g423
    +Vp13
    +p34956
    +tp34957
    +a(g693
    +g976
    +tp34958
    +a(g826
    +g978
    +tp34959
    +a(g423
    +VNone
    +p34960
    +tp34961
    +a(g693
    +g976
    +tp34962
    +a(g826
    +g978
    +tp34963
    +a(g423
    +Vi14
    +p34964
    +tp34965
    +a(g693
    +g976
    +tp34966
    +a(g826
    +g978
    +tp34967
    +a(g423
    +Vf15
    +p34968
    +tp34969
    +a(g693
    +g1098
    +tp34970
    +a(g826
    +V\u000a
    +p34971
    +tp34972
    +a(g423
    +Vi47
    +p34973
    +tp34974
    +a(g826
    +g978
    +tp34975
    +a(g693
    +g1119
    +tp34976
    +a(g826
    +g978
    +tp34977
    +a(g669
    +Vint_is_true
    +p34978
    +tp34979
    +a(g693
    +g1104
    +tp34980
    +a(g669
    +Vi38
    +p34981
    +tp34982
    +a(g693
    +g1112
    +tp34983
    +a(g826
    +V\u000a
    +p34984
    +tp34985
    +a(g669
    +Vguard_false
    +p34986
    +tp34987
    +a(g693
    +g1104
    +tp34988
    +a(g423
    +Vi47
    +p34989
    +tp34990
    +a(g693
    +g976
    +tp34991
    +a(g826
    +g978
    +tp34992
    +a(g423
    +Vdescr
    +p34993
    +tp34994
    +a(g693
    +g1119
    +tp34995
    +a(g669
    +V
    +p34996
    +tp34997
    +a(g693
    +g1112
    +tp34998
    +a(g826
    +g978
    +tp34999
    +a(g693
    +g972
    +tp35000
    +a(g423
    +Vp0
    +p35001
    +tp35002
    +a(g693
    +g976
    +tp35003
    +a(g826
    +g978
    +tp35004
    +a(g423
    +Vp1
    +p35005
    +tp35006
    +a(g693
    +g976
    +tp35007
    +a(g826
    +g978
    +tp35008
    +a(g423
    +Vi38
    +p35009
    +tp35010
    +a(g693
    +g976
    +tp35011
    +a(g826
    +g978
    +tp35012
    +a(g423
    +Vf36
    +p35013
    +tp35014
    +a(g693
    +g976
    +tp35015
    +a(g826
    +g978
    +tp35016
    +a(g423
    +Vp17
    +p35017
    +tp35018
    +a(g693
    +g976
    +tp35019
    +a(g826
    +g978
    +tp35020
    +a(g423
    +Vp4
    +p35021
    +tp35022
    +a(g693
    +g976
    +tp35023
    +a(g826
    +g978
    +tp35024
    +a(g423
    +Vp5
    +p35025
    +tp35026
    +a(g693
    +g976
    +tp35027
    +a(g826
    +g978
    +tp35028
    +a(g423
    +Vp6
    +p35029
    +tp35030
    +a(g693
    +g976
    +tp35031
    +a(g826
    +g978
    +tp35032
    +a(g423
    +Vp7
    +p35033
    +tp35034
    +a(g693
    +g976
    +tp35035
    +a(g826
    +g978
    +tp35036
    +a(g423
    +Vp8
    +p35037
    +tp35038
    +a(g693
    +g976
    +tp35039
    +a(g826
    +g978
    +tp35040
    +a(g423
    +Vp9
    +p35041
    +tp35042
    +a(g693
    +g976
    +tp35043
    +a(g826
    +g978
    +tp35044
    +a(g423
    +Vp10
    +p35045
    +tp35046
    +a(g693
    +g976
    +tp35047
    +a(g826
    +g978
    +tp35048
    +a(g423
    +Vp11
    +p35049
    +tp35050
    +a(g693
    +g976
    +tp35051
    +a(g826
    +g978
    +tp35052
    +a(g423
    +Vp12
    +p35053
    +tp35054
    +a(g693
    +g976
    +tp35055
    +a(g826
    +g978
    +tp35056
    +a(g423
    +Vp13
    +p35057
    +tp35058
    +a(g693
    +g976
    +tp35059
    +a(g826
    +g978
    +tp35060
    +a(g423
    +Vf16
    +p35061
    +tp35062
    +a(g693
    +g976
    +tp35063
    +a(g826
    +g978
    +tp35064
    +a(g423
    +Vi14
    +p35065
    +tp35066
    +a(g693
    +g976
    +tp35067
    +a(g826
    +g978
    +tp35068
    +a(g423
    +Vf15
    +p35069
    +tp35070
    +a(g693
    +g1098
    +tp35071
    +a(g826
    +V\u000a
    +p35072
    +tp35073
    +a(g616
    +Vdebug_merge_point
    +p35074
    +tp35075
    +a(g693
    +g1104
    +tp35076
    +a(g76
    +V' #307 RETURN_VALUE'
    +p35077
    +tp35078
    +a(g693
    +g976
    +tp35079
    +a(g826
    +g978
    +tp35080
    +a(g22
    +g1110
    +tp35081
    +a(g693
    +g1112
    +tp35082
    +a(g826
    +V\u000a
    +p35083
    +tp35084
    +a(g669
    +Vguard_isnull
    +p35085
    +tp35086
    +a(g693
    +g1104
    +tp35087
    +a(g423
    +Vp17
    +p35088
    +tp35089
    +a(g693
    +g976
    +tp35090
    +a(g826
    +g978
    +tp35091
    +a(g423
    +Vdescr
    +p35092
    +tp35093
    +a(g693
    +g1119
    +tp35094
    +a(g669
    +V
    +p35095
    +tp35096
    +a(g693
    +g1112
    +tp35097
    +a(g826
    +g978
    +tp35098
    +a(g693
    +g972
    +tp35099
    +a(g423
    +Vp0
    +p35100
    +tp35101
    +a(g693
    +g976
    +tp35102
    +a(g826
    +g978
    +tp35103
    +a(g423
    +Vp1
    +p35104
    +tp35105
    +a(g693
    +g976
    +tp35106
    +a(g826
    +g978
    +tp35107
    +a(g423
    +Vp17
    +p35108
    +tp35109
    +a(g693
    +g976
    +tp35110
    +a(g826
    +g978
    +tp35111
    +a(g423
    +Vp4
    +p35112
    +tp35113
    +a(g693
    +g976
    +tp35114
    +a(g826
    +g978
    +tp35115
    +a(g423
    +Vp5
    +p35116
    +tp35117
    +a(g693
    +g976
    +tp35118
    +a(g826
    +g978
    +tp35119
    +a(g423
    +Vp6
    +p35120
    +tp35121
    +a(g693
    +g976
    +tp35122
    +a(g826
    +g978
    +tp35123
    +a(g423
    +Vp7
    +p35124
    +tp35125
    +a(g693
    +g976
    +tp35126
    +a(g826
    +g978
    +tp35127
    +a(g423
    +Vp8
    +p35128
    +tp35129
    +a(g693
    +g976
    +tp35130
    +a(g826
    +g978
    +tp35131
    +a(g423
    +Vp9
    +p35132
    +tp35133
    +a(g693
    +g976
    +tp35134
    +a(g826
    +g978
    +tp35135
    +a(g423
    +Vp10
    +p35136
    +tp35137
    +a(g693
    +g976
    +tp35138
    +a(g826
    +g978
    +tp35139
    +a(g423
    +Vp11
    +p35140
    +tp35141
    +a(g693
    +g976
    +tp35142
    +a(g826
    +g978
    +tp35143
    +a(g423
    +Vp12
    +p35144
    +tp35145
    +a(g693
    +g976
    +tp35146
    +a(g826
    +g978
    +tp35147
    +a(g423
    +Vp13
    +p35148
    +tp35149
    +a(g693
    +g976
    +tp35150
    +a(g826
    +g978
    +tp35151
    +a(g423
    +Vf36
    +p35152
    +tp35153
    +a(g693
    +g976
    +tp35154
    +a(g826
    +g978
    +tp35155
    +a(g423
    +Vf16
    +p35156
    +tp35157
    +a(g693
    +g976
    +tp35158
    +a(g826
    +g978
    +tp35159
    +a(g423
    +Vi14
    +p35160
    +tp35161
    +a(g693
    +g976
    +tp35162
    +a(g826
    +g978
    +tp35163
    +a(g423
    +Vf15
    +p35164
    +tp35165
    +a(g693
    +g1098
    +tp35166
    +a(g826
    +V\u000a
    +p35167
    +tp35168
    +a(g423
    +Vp48
    +p35169
    +tp35170
    +a(g826
    +g978
    +tp35171
    +a(g693
    +g1119
    +tp35172
    +a(g826
    +g978
    +tp35173
    +a(g669
    +Vgetfield_gc
    +p35174
    +tp35175
    +a(g693
    +g1104
    +tp35176
    +a(g423
    +Vp1
    +p35177
    +tp35178
    +a(g693
    +g976
    +tp35179
    +a(g826
    +g978
    +tp35180
    +a(g423
    +Vdescr
    +p35181
    +tp35182
    +a(g693
    +g1119
    +tp35183
    +a(g669
    +V
    +p35184
    +tp35185
    +a(g693
    +g1112
    +tp35186
    +a(g826
    +V\u000a
    +p35187
    +tp35188
    +a(g669
    +Vsetarrayitem_gc
    +p35189
    +tp35190
    +a(g693
    +g1104
    +tp35191
    +a(g423
    +Vp48
    +p35192
    +tp35193
    +a(g693
    +g976
    +tp35194
    +a(g826
    +g978
    +tp35195
    +a(g22
    +g1110
    +tp35196
    +a(g693
    +g976
    +tp35197
    +a(g826
    +g978
    +tp35198
    +a(g423
    +VConstPtr
    +p35199
    +tp35200
    +a(g693
    +g1104
    +tp35201
    +a(g669
    +Vptr50
    +p35202
    +tp35203
    +a(g693
    +g1112
    +tp35204
    +a(g693
    +g976
    +tp35205
    +a(g826
    +g978
    +tp35206
    +a(g423
    +Vdescr
    +p35207
    +tp35208
    +a(g693
    +g1119
    +tp35209
    +a(g669
    +V
    +p35210
    +tp35211
    +a(g693
    +g1112
    +tp35212
    +a(g826
    +V\u000a
    +p35213
    +tp35214
    +a(g669
    +Vsetarrayitem_gc
    +p35215
    +tp35216
    +a(g693
    +g1104
    +tp35217
    +a(g423
    +Vp48
    +p35218
    +tp35219
    +a(g693
    +g976
    +tp35220
    +a(g826
    +g978
    +tp35221
    +a(g22
    +g1250
    +tp35222
    +a(g693
    +g976
    +tp35223
    +a(g826
    +g978
    +tp35224
    +a(g423
    +VConstPtr
    +p35225
    +tp35226
    +a(g693
    +g1104
    +tp35227
    +a(g669
    +Vptr52
    +p35228
    +tp35229
    +a(g693
    +g1112
    +tp35230
    +a(g693
    +g976
    +tp35231
    +a(g826
    +g978
    +tp35232
    +a(g423
    +Vdescr
    +p35233
    +tp35234
    +a(g693
    +g1119
    +tp35235
    +a(g669
    +V
    +p35236
    +tp35237
    +a(g693
    +g1112
    +tp35238
    +a(g826
    +V\u000a
    +p35239
    +tp35240
    +a(g669
    +Vsetarrayitem_gc
    +p35241
    +tp35242
    +a(g693
    +g1104
    +tp35243
    +a(g423
    +Vp48
    +p35244
    +tp35245
    +a(g693
    +g976
    +tp35246
    +a(g826
    +g978
    +tp35247
    +a(g22
    +g5259
    +tp35248
    +a(g693
    +g976
    +tp35249
    +a(g826
    +g978
    +tp35250
    +a(g423
    +VConstPtr
    +p35251
    +tp35252
    +a(g693
    +g1104
    +tp35253
    +a(g669
    +Vptr52
    +p35254
    +tp35255
    +a(g693
    +g1112
    +tp35256
    +a(g693
    +g976
    +tp35257
    +a(g826
    +g978
    +tp35258
    +a(g423
    +Vdescr
    +p35259
    +tp35260
    +a(g693
    +g1119
    +tp35261
    +a(g669
    +V
    +p35262
    +tp35263
    +a(g693
    +g1112
    +tp35264
    +a(g826
    +V\u000a
    +p35265
    +tp35266
    +a(g669
    +Vsetarrayitem_gc
    +p35267
    +tp35268
    +a(g693
    +g1104
    +tp35269
    +a(g423
    +Vp48
    +p35270
    +tp35271
    +a(g693
    +g976
    +tp35272
    +a(g826
    +g978
    +tp35273
    +a(g22
    +g14770
    +tp35274
    +a(g693
    +g976
    +tp35275
    +a(g826
    +g978
    +tp35276
    +a(g423
    +VConstPtr
    +p35277
    +tp35278
    +a(g693
    +g1104
    +tp35279
    +a(g669
    +Vptr55
    +p35280
    +tp35281
    +a(g693
    +g1112
    +tp35282
    +a(g693
    +g976
    +tp35283
    +a(g826
    +g978
    +tp35284
    +a(g423
    +Vdescr
    +p35285
    +tp35286
    +a(g693
    +g1119
    +tp35287
    +a(g669
    +V
    +p35288
    +tp35289
    +a(g693
    +g1112
    +tp35290
    +a(g826
    +V\u000a
    +p35291
    +tp35292
    +a(g669
    +Vsetarrayitem_gc
    +p35293
    +tp35294
    +a(g693
    +g1104
    +tp35295
    +a(g423
    +Vp48
    +p35296
    +tp35297
    +a(g693
    +g976
    +tp35298
    +a(g826
    +g978
    +tp35299
    +a(g22
    +g14753
    +tp35300
    +a(g693
    +g976
    +tp35301
    +a(g826
    +g978
    +tp35302
    +a(g423
    +VConstPtr
    +p35303
    +tp35304
    +a(g693
    +g1104
    +tp35305
    +a(g669
    +Vptr55
    +p35306
    +tp35307
    +a(g693
    +g1112
    +tp35308
    +a(g693
    +g976
    +tp35309
    +a(g826
    +g978
    +tp35310
    +a(g423
    +Vdescr
    +p35311
    +tp35312
    +a(g693
    +g1119
    +tp35313
    +a(g669
    +V
    +p35314
    +tp35315
    +a(g693
    +g1112
    +tp35316
    +a(g826
    +V\u000a
    +p35317
    +tp35318
    +a(g669
    +Vsetarrayitem_gc
    +p35319
    +tp35320
    +a(g693
    +g1104
    +tp35321
    +a(g423
    +Vp48
    +p35322
    +tp35323
    +a(g693
    +g976
    +tp35324
    +a(g826
    +g978
    +tp35325
    +a(g22
    +V5
    +p35326
    +tp35327
    +a(g693
    +g976
    +tp35328
    +a(g826
    +g978
    +tp35329
    +a(g423
    +VConstPtr
    +p35330
    +tp35331
    +a(g693
    +g1104
    +tp35332
    +a(g669
    +Vptr55
    +p35333
    +tp35334
    +a(g693
    +g1112
    +tp35335
    +a(g693
    +g976
    +tp35336
    +a(g826
    +g978
    +tp35337
    +a(g423
    +Vdescr
    +p35338
    +tp35339
    +a(g693
    +g1119
    +tp35340
    +a(g669
    +V
    +p35341
    +tp35342
    +a(g693
    +g1112
    +tp35343
    +a(g826
    +V\u000a
    +p35344
    +tp35345
    +a(g669
    +Vsetarrayitem_gc
    +p35346
    +tp35347
    +a(g693
    +g1104
    +tp35348
    +a(g423
    +Vp48
    +p35349
    +tp35350
    +a(g693
    +g976
    +tp35351
    +a(g826
    +g978
    +tp35352
    +a(g22
    +V6
    +p35353
    +tp35354
    +a(g693
    +g976
    +tp35355
    +a(g826
    +g978
    +tp35356
    +a(g423
    +VConstPtr
    +p35357
    +tp35358
    +a(g693
    +g1104
    +tp35359
    +a(g669
    +Vptr55
    +p35360
    +tp35361
    +a(g693
    +g1112
    +tp35362
    +a(g693
    +g976
    +tp35363
    +a(g826
    +g978
    +tp35364
    +a(g423
    +Vdescr
    +p35365
    +tp35366
    +a(g693
    +g1119
    +tp35367
    +a(g669
    +V
    +p35368
    +tp35369
    +a(g693
    +g1112
    +tp35370
    +a(g826
    +V\u000a
    +p35371
    +tp35372
    +a(g669
    +Vsetarrayitem_gc
    +p35373
    +tp35374
    +a(g693
    +g1104
    +tp35375
    +a(g423
    +Vp48
    +p35376
    +tp35377
    +a(g693
    +g976
    +tp35378
    +a(g826
    +g978
    +tp35379
    +a(g22
    +V7
    +p35380
    +tp35381
    +a(g693
    +g976
    +tp35382
    +a(g826
    +g978
    +tp35383
    +a(g423
    +Vp5
    +p35384
    +tp35385
    +a(g693
    +g976
    +tp35386
    +a(g826
    +g978
    +tp35387
    +a(g423
    +Vdescr
    +p35388
    +tp35389
    +a(g693
    +g1119
    +tp35390
    +a(g669
    +V
    +p35391
    +tp35392
    +a(g693
    +g1112
    +tp35393
    +a(g826
    +V\u000a
    +p35394
    +tp35395
    +a(g423
    +Vp60
    +p35396
    +tp35397
    +a(g826
    +g978
    +tp35398
    +a(g693
    +g1119
    +tp35399
    +a(g826
    +g978
    +tp35400
    +a(g669
    +Vgetfield_gc
    +p35401
    +tp35402
    +a(g693
    +g1104
    +tp35403
    +a(g423
    +Vp1
    +p35404
    +tp35405
    +a(g693
    +g976
    +tp35406
    +a(g826
    +g978
    +tp35407
    +a(g423
    +Vdescr
    +p35408
    +tp35409
    +a(g693
    +g1119
    +tp35410
    +a(g669
    +V
    +p35411
    +tp35412
    +a(g693
    +g1112
    +tp35413
    +a(g826
    +V\u000a
    +p35414
    +tp35415
    +a(g669
    +Vsetarrayitem_gc
    +p35416
    +tp35417
    +a(g693
    +g1104
    +tp35418
    +a(g423
    +Vp60
    +p35419
    +tp35420
    +a(g693
    +g976
    +tp35421
    +a(g826
    +g978
    +tp35422
    +a(g22
    +g1110
    +tp35423
    +a(g693
    +g976
    +tp35424
    +a(g826
    +g978
    +tp35425
    +a(g423
    +Vp6
    +p35426
    +tp35427
    +a(g693
    +g976
    +tp35428
    +a(g826
    +g978
    +tp35429
    +a(g423
    +Vdescr
    +p35430
    +tp35431
    +a(g693
    +g1119
    +tp35432
    +a(g669
    +V
    +p35433
    +tp35434
    +a(g693
    +g1112
    +tp35435
    +a(g826
    +V\u000a
    +p35436
    +tp35437
    +a(g669
    +Vsetarrayitem_gc
    +p35438
    +tp35439
    +a(g693
    +g1104
    +tp35440
    +a(g423
    +Vp60
    +p35441
    +tp35442
    +a(g693
    +g976
    +tp35443
    +a(g826
    +g978
    +tp35444
    +a(g22
    +g1250
    +tp35445
    +a(g693
    +g976
    +tp35446
    +a(g826
    +g978
    +tp35447
    +a(g423
    +Vp7
    +p35448
    +tp35449
    +a(g693
    +g976
    +tp35450
    +a(g826
    +g978
    +tp35451
    +a(g423
    +Vdescr
    +p35452
    +tp35453
    +a(g693
    +g1119
    +tp35454
    +a(g669
    +V
    +p35455
    +tp35456
    +a(g693
    +g1112
    +tp35457
    +a(g826
    +V\u000a
    +p35458
    +tp35459
    +a(g669
    +Vsetarrayitem_gc
    +p35460
    +tp35461
    +a(g693
    +g1104
    +tp35462
    +a(g423
    +Vp60
    +p35463
    +tp35464
    +a(g693
    +g976
    +tp35465
    +a(g826
    +g978
    +tp35466
    +a(g22
    +g5259
    +tp35467
    +a(g693
    +g976
    +tp35468
    +a(g826
    +g978
    +tp35469
    +a(g423
    +Vp8
    +p35470
    +tp35471
    +a(g693
    +g976
    +tp35472
    +a(g826
    +g978
    +tp35473
    +a(g423
    +Vdescr
    +p35474
    +tp35475
    +a(g693
    +g1119
    +tp35476
    +a(g669
    +V
    +p35477
    +tp35478
    +a(g693
    +g1112
    +tp35479
    +a(g826
    +V\u000a
    +p35480
    +tp35481
    +a(g669
    +Vsetarrayitem_gc
    +p35482
    +tp35483
    +a(g693
    +g1104
    +tp35484
    +a(g423
    +Vp60
    +p35485
    +tp35486
    +a(g693
    +g976
    +tp35487
    +a(g826
    +g978
    +tp35488
    +a(g22
    +g14770
    +tp35489
    +a(g693
    +g976
    +tp35490
    +a(g826
    +g978
    +tp35491
    +a(g423
    +Vp9
    +p35492
    +tp35493
    +a(g693
    +g976
    +tp35494
    +a(g826
    +g978
    +tp35495
    +a(g423
    +Vdescr
    +p35496
    +tp35497
    +a(g693
    +g1119
    +tp35498
    +a(g669
    +V
    +p35499
    +tp35500
    +a(g693
    +g1112
    +tp35501
    +a(g826
    +V\u000a
    +p35502
    +tp35503
    +a(g423
    +Vp66
    +p35504
    +tp35505
    +a(g826
    +g978
    +tp35506
    +a(g693
    +g1119
    +tp35507
    +a(g826
    +g978
    +tp35508
    +a(g669
    +Vnew_with_vtable
    +p35509
    +tp35510
    +a(g693
    +g1104
    +tp35511
    +a(g669
    +V19800744
    +p35512
    +tp35513
    +a(g693
    +g1112
    +tp35514
    +a(g826
    +V\u000a
    +p35515
    +tp35516
    +a(g669
    +Vsetfield_gc
    +p35517
    +tp35518
    +a(g693
    +g1104
    +tp35519
    +a(g423
    +Vp66
    +p35520
    +tp35521
    +a(g693
    +g976
    +tp35522
    +a(g826
    +g978
    +tp35523
    +a(g423
    +Vf16
    +p35524
    +tp35525
    +a(g693
    +g976
    +tp35526
    +a(g826
    +g978
    +tp35527
    +a(g423
    +Vdescr
    +p35528
    +tp35529
    +a(g693
    +g1119
    +tp35530
    +a(g669
    +V
    +p35531
    +tp35532
    +a(g693
    +g1112
    +tp35533
    +a(g826
    +V\u000a
    +p35534
    +tp35535
    +a(g669
    +Vsetarrayitem_gc
    +p35536
    +tp35537
    +a(g693
    +g1104
    +tp35538
    +a(g423
    +Vp60
    +p35539
    +tp35540
    +a(g693
    +g976
    +tp35541
    +a(g826
    +g978
    +tp35542
    +a(g22
    +g14753
    +tp35543
    +a(g693
    +g976
    +tp35544
    +a(g826
    +g978
    +tp35545
    +a(g423
    +Vp66
    +p35546
    +tp35547
    +a(g693
    +g976
    +tp35548
    +a(g826
    +g978
    +tp35549
    +a(g423
    +Vdescr
    +p35550
    +tp35551
    +a(g693
    +g1119
    +tp35552
    +a(g669
    +V
    +p35553
    +tp35554
    +a(g693
    +g1112
    +tp35555
    +a(g826
    +V\u000a
    +p35556
    +tp35557
    +a(g669
    +Vsetarrayitem_gc
    +p35558
    +tp35559
    +a(g693
    +g1104
    +tp35560
    +a(g423
    +Vp60
    +p35561
    +tp35562
    +a(g693
    +g976
    +tp35563
    +a(g826
    +g978
    +tp35564
    +a(g22
    +g35326
    +tp35565
    +a(g693
    +g976
    +tp35566
    +a(g826
    +g978
    +tp35567
    +a(g423
    +Vp10
    +p35568
    +tp35569
    +a(g693
    +g976
    +tp35570
    +a(g826
    +g978
    +tp35571
    +a(g423
    +Vdescr
    +p35572
    +tp35573
    +a(g693
    +g1119
    +tp35574
    +a(g669
    +V
    +p35575
    +tp35576
    +a(g693
    +g1112
    +tp35577
    +a(g826
    +V\u000a
    +p35578
    +tp35579
    +a(g669
    +Vsetarrayitem_gc
    +p35580
    +tp35581
    +a(g693
    +g1104
    +tp35582
    +a(g423
    +Vp60
    +p35583
    +tp35584
    +a(g693
    +g976
    +tp35585
    +a(g826
    +g978
    +tp35586
    +a(g22
    +g35353
    +tp35587
    +a(g693
    +g976
    +tp35588
    +a(g826
    +g978
    +tp35589
    +a(g423
    +Vp11
    +p35590
    +tp35591
    +a(g693
    +g976
    +tp35592
    +a(g826
    +g978
    +tp35593
    +a(g423
    +Vdescr
    +p35594
    +tp35595
    +a(g693
    +g1119
    +tp35596
    +a(g669
    +V
    +p35597
    +tp35598
    +a(g693
    +g1112
    +tp35599
    +a(g826
    +V\u000a
    +p35600
    +tp35601
    +a(g669
    +Vsetarrayitem_gc
    +p35602
    +tp35603
    +a(g693
    +g1104
    +tp35604
    +a(g423
    +Vp60
    +p35605
    +tp35606
    +a(g693
    +g976
    +tp35607
    +a(g826
    +g978
    +tp35608
    +a(g22
    +g35380
    +tp35609
    +a(g693
    +g976
    +tp35610
    +a(g826
    +g978
    +tp35611
    +a(g423
    +Vp12
    +p35612
    +tp35613
    +a(g693
    +g976
    +tp35614
    +a(g826
    +g978
    +tp35615
    +a(g423
    +Vdescr
    +p35616
    +tp35617
    +a(g693
    +g1119
    +tp35618
    +a(g669
    +V
    +p35619
    +tp35620
    +a(g693
    +g1112
    +tp35621
    +a(g826
    +V\u000a
    +p35622
    +tp35623
    +a(g669
    +Vsetarrayitem_gc
    +p35624
    +tp35625
    +a(g693
    +g1104
    +tp35626
    +a(g423
    +Vp60
    +p35627
    +tp35628
    +a(g693
    +g976
    +tp35629
    +a(g826
    +g978
    +tp35630
    +a(g22
    +V8
    +p35631
    +tp35632
    +a(g693
    +g976
    +tp35633
    +a(g826
    +g978
    +tp35634
    +a(g423
    +Vp13
    +p35635
    +tp35636
    +a(g693
    +g976
    +tp35637
    +a(g826
    +g978
    +tp35638
    +a(g423
    +Vdescr
    +p35639
    +tp35640
    +a(g693
    +g1119
    +tp35641
    +a(g669
    +V
    +p35642
    +tp35643
    +a(g693
    +g1112
    +tp35644
    +a(g826
    +V\u000a
    +p35645
    +tp35646
    +a(g423
    +Vp73
    +p35647
    +tp35648
    +a(g826
    +g978
    +tp35649
    +a(g693
    +g1119
    +tp35650
    +a(g826
    +g978
    +tp35651
    +a(g669
    +Vnew_with_vtable
    +p35652
    +tp35653
    +a(g693
    +g1104
    +tp35654
    +a(g423
    +VConstClass
    +p35655
    +tp35656
    +a(g693
    +g1104
    +tp35657
    +a(g669
    +VW_IntObject
    +p35658
    +tp35659
    +a(g693
    +g1112
    +tp35660
    +a(g693
    +g1112
    +tp35661
    +a(g826
    +V\u000a
    +p35662
    +tp35663
    +a(g669
    +Vsetfield_gc
    +p35664
    +tp35665
    +a(g693
    +g1104
    +tp35666
    +a(g423
    +Vp73
    +p35667
    +tp35668
    +a(g693
    +g976
    +tp35669
    +a(g826
    +g978
    +tp35670
    +a(g423
    +Vi14
    +p35671
    +tp35672
    +a(g693
    +g976
    +tp35673
    +a(g826
    +g978
    +tp35674
    +a(g423
    +Vdescr
    +p35675
    +tp35676
    +a(g693
    +g1119
    +tp35677
    +a(g669
    +V
    +p35678
    +tp35679
    +a(g693
    +g1112
    +tp35680
    +a(g826
    +V\u000a
    +p35681
    +tp35682
    +a(g669
    +Vsetarrayitem_gc
    +p35683
    +tp35684
    +a(g693
    +g1104
    +tp35685
    +a(g423
    +Vp60
    +p35686
    +tp35687
    +a(g693
    +g976
    +tp35688
    +a(g826
    +g978
    +tp35689
    +a(g22
    +V9
    +p35690
    +tp35691
    +a(g693
    +g976
    +tp35692
    +a(g826
    +g978
    +tp35693
    +a(g423
    +Vp73
    +p35694
    +tp35695
    +a(g693
    +g976
    +tp35696
    +a(g826
    +g978
    +tp35697
    +a(g423
    +Vdescr
    +p35698
    +tp35699
    +a(g693
    +g1119
    +tp35700
    +a(g669
    +V
    +p35701
    +tp35702
    +a(g693
    +g1112
    +tp35703
    +a(g826
    +V\u000a
    +p35704
    +tp35705
    +a(g423
    +Vp76
    +p35706
    +tp35707
    +a(g826
    +g978
    +tp35708
    +a(g693
    +g1119
    +tp35709
    +a(g826
    +g978
    +tp35710
    +a(g669
    +Vnew_with_vtable
    +p35711
    +tp35712
    +a(g693
    +g1104
    +tp35713
    +a(g669
    +V19800744
    +p35714
    +tp35715
    +a(g693
    +g1112
    +tp35716
    +a(g826
    +V\u000a
    +p35717
    +tp35718
    +a(g669
    +Vsetfield_gc
    +p35719
    +tp35720
    +a(g693
    +g1104
    +tp35721
    +a(g423
    +Vp76
    +p35722
    +tp35723
    +a(g693
    +g976
    +tp35724
    +a(g826
    +g978
    +tp35725
    +a(g423
    +Vf15
    +p35726
    +tp35727
    +a(g693
    +g976
    +tp35728
    +a(g826
    +g978
    +tp35729
    +a(g423
    +Vdescr
    +p35730
    +tp35731
    +a(g693
    +g1119
    +tp35732
    +a(g669
    +V
    +p35733
    +tp35734
    +a(g693
    +g1112
    +tp35735
    +a(g826
    +V\u000a
    +p35736
    +tp35737
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.r3 b/tests/examplefiles/output/test.r3
    new file mode 100644
    index 0000000..2178b95
    --- /dev/null
    +++ b/tests/examplefiles/output/test.r3
    @@ -0,0 +1,3936 @@
    +(lp0
    +(ccopy_reg
    +_reconstructor
    +p1
    +(cpygments.token
    +_TokenType
    +p2
    +c__builtin__
    +tuple
    +p3
    +(S'Comment'
    +p4
    +tp5
    +tp6
    +Rp7
    +(dp8
    +S'Multi'
    +p9
    +g1
    +(g2
    +g3
    +(g4
    +g9
    +tp10
    +tp11
    +Rp12
    +(dp13
    +S'parent'
    +p14
    +g7
    +sS'subtypes'
    +p15
    +c__builtin__
    +set
    +p16
    +((lp17
    +tp18
    +Rp19
    +sbsg14
    +g1
    +(g2
    +g3
    +(ttp20
    +Rp21
    +(dp22
    +S'Number'
    +p23
    +g1
    +(g2
    +g3
    +(S'Literal'
    +p24
    +g23
    +tp25
    +tp26
    +Rp27
    +(dp28
    +S'Integer'
    +p29
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g29
    +tp30
    +tp31
    +Rp32
    +(dp33
    +g14
    +g27
    +sS'Long'
    +p34
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g29
    +g34
    +tp35
    +tp36
    +Rp37
    +(dp38
    +g14
    +g32
    +sg15
    +g16
    +((lp39
    +tp40
    +Rp41
    +sbsg15
    +g16
    +((lp42
    +g37
    +atp43
    +Rp44
    +sbsg14
    +g1
    +(g2
    +g3
    +(g24
    +tp45
    +tp46
    +Rp47
    +(dp48
    +S'Scalar'
    +p49
    +g1
    +(g2
    +g3
    +(g24
    +g49
    +tp50
    +tp51
    +Rp52
    +(dp53
    +g14
    +g47
    +sg15
    +g16
    +((lp54
    +g1
    +(g2
    +g3
    +(g24
    +g49
    +S'Plain'
    +p55
    +tp56
    +tp57
    +Rp58
    +(dp59
    +g14
    +g52
    +sg15
    +g16
    +((lp60
    +tp61
    +Rp62
    +sbatp63
    +Rp64
    +sg55
    +g58
    +sbsg23
    +g27
    +sg14
    +g21
    +sS'Other'
    +p65
    +g1
    +(g2
    +g3
    +(g24
    +g65
    +tp66
    +tp67
    +Rp68
    +(dp69
    +g14
    +g47
    +sg15
    +g16
    +((lp70
    +tp71
    +Rp72
    +sbsS'Char'
    +p73
    +g1
    +(g2
    +g3
    +(g24
    +g73
    +tp74
    +tp75
    +Rp76
    +(dp77
    +g14
    +g47
    +sg15
    +g16
    +((lp78
    +tp79
    +Rp80
    +sbsS'String'
    +p81
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +tp82
    +tp83
    +Rp84
    +(dp85
    +g73
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g73
    +tp86
    +tp87
    +Rp88
    +(dp89
    +g14
    +g84
    +sg15
    +g16
    +((lp90
    +tp91
    +Rp92
    +sbsS'Backtick'
    +p93
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g93
    +tp94
    +tp95
    +Rp96
    +(dp97
    +g14
    +g84
    +sg15
    +g16
    +((lp98
    +tp99
    +Rp100
    +sbsS'Heredoc'
    +p101
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g101
    +tp102
    +tp103
    +Rp104
    +(dp105
    +g14
    +g84
    +sg15
    +g16
    +((lp106
    +tp107
    +Rp108
    +sbsS'Symbol'
    +p109
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g109
    +tp110
    +tp111
    +Rp112
    +(dp113
    +g14
    +g84
    +sg15
    +g16
    +((lp114
    +tp115
    +Rp116
    +sbsS'Interpol'
    +p117
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g117
    +tp118
    +tp119
    +Rp120
    +(dp121
    +g14
    +g84
    +sg15
    +g16
    +((lp122
    +tp123
    +Rp124
    +sbsS'Delimiter'
    +p125
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g125
    +tp126
    +tp127
    +Rp128
    +(dp129
    +g14
    +g84
    +sg15
    +g16
    +((lp130
    +tp131
    +Rp132
    +sbsS'Boolean'
    +p133
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g133
    +tp134
    +tp135
    +Rp136
    +(dp137
    +g14
    +g84
    +sg15
    +g16
    +((lp138
    +tp139
    +Rp140
    +sbsS'Character'
    +p141
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g141
    +tp142
    +tp143
    +Rp144
    +(dp145
    +g14
    +g84
    +sg15
    +g16
    +((lp146
    +tp147
    +Rp148
    +sbsS'Double'
    +p149
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g149
    +tp150
    +tp151
    +Rp152
    +(dp153
    +g14
    +g84
    +sg15
    +g16
    +((lp154
    +tp155
    +Rp156
    +sbsS'Delimeter'
    +p157
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g157
    +tp158
    +tp159
    +Rp160
    +(dp161
    +g14
    +g84
    +sg15
    +g16
    +((lp162
    +tp163
    +Rp164
    +sbsS'Atom'
    +p165
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g165
    +tp166
    +tp167
    +Rp168
    +(dp169
    +g14
    +g84
    +sg15
    +g16
    +((lp170
    +tp171
    +Rp172
    +sbsS'Affix'
    +p173
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g173
    +tp174
    +tp175
    +Rp176
    +(dp177
    +g14
    +g84
    +sg15
    +g16
    +((lp178
    +tp179
    +Rp180
    +sbsS'Name'
    +p181
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g181
    +tp182
    +tp183
    +Rp184
    +(dp185
    +g14
    +g84
    +sg15
    +g16
    +((lp186
    +tp187
    +Rp188
    +sbsS'Regex'
    +p189
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g189
    +tp190
    +tp191
    +Rp192
    +(dp193
    +g14
    +g84
    +sg15
    +g16
    +((lp194
    +tp195
    +Rp196
    +sbsS'Interp'
    +p197
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g197
    +tp198
    +tp199
    +Rp200
    +(dp201
    +g14
    +g84
    +sg15
    +g16
    +((lp202
    +tp203
    +Rp204
    +sbsS'Escape'
    +p205
    +g1
    +(g2
    +g3
    +(g24
    +g81
    +g205
    +tp206
    +tp207
    +Rp208
    +(dp209
    +g14
    +g84
    +sg15
    +g16
    +((lp210
    +tp211
    +Rp212
    +sbsg15
    +g16
    +((lp213
    +g128
    +ag112
    +ag192
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Doc'
    +p214
    +tp215
    +tp216
    +Rp217
    +(dp218
    +g14
    +g84
    +sg15
    +g16
    +((lp219
    +tp220
    +Rp221
    +sbag144
    +ag136
    +ag152
    +ag120
    +ag168
    +ag160
    +ag184
    +ag208
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Single'
    +p222
    +tp223
    +tp224
    +Rp225
    +(dp226
    +g14
    +g84
    +sg15
    +g16
    +((lp227
    +tp228
    +Rp229
    +sbag1
    +(g2
    +g3
    +(g24
    +g81
    +g65
    +tp230
    +tp231
    +Rp232
    +(dp233
    +g14
    +g84
    +sg15
    +g16
    +((lp234
    +tp235
    +Rp236
    +sbag200
    +ag96
    +ag176
    +ag1
    +(g2
    +g3
    +(g24
    +g81
    +S'Moment'
    +p237
    +tp238
    +tp239
    +Rp240
    +(dp241
    +g14
    +g84
    +sg15
    +g16
    +((lp242
    +tp243
    +Rp244
    +sbag88
    +ag104
    +atp245
    +Rp246
    +sg222
    +g225
    +sg237
    +g240
    +sg14
    +g47
    +sg65
    +g232
    +sg214
    +g217
    +sbsg15
    +g16
    +((lp247
    +g84
    +ag76
    +ag68
    +ag1
    +(g2
    +g3
    +(g24
    +S'Date'
    +p248
    +tp249
    +tp250
    +Rp251
    +(dp252
    +g14
    +g47
    +sg15
    +g16
    +((lp253
    +tp254
    +Rp255
    +sbag52
    +ag27
    +atp256
    +Rp257
    +sg248
    +g251
    +sbsS'Bin'
    +p258
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g258
    +tp259
    +tp260
    +Rp261
    +(dp262
    +g14
    +g27
    +sg15
    +g16
    +((lp263
    +tp264
    +Rp265
    +sbsS'Radix'
    +p266
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g266
    +tp267
    +tp268
    +Rp269
    +(dp270
    +g14
    +g27
    +sg15
    +g16
    +((lp271
    +tp272
    +Rp273
    +sbsS'Oct'
    +p274
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g274
    +tp275
    +tp276
    +Rp277
    +(dp278
    +g14
    +g27
    +sg15
    +g16
    +((lp279
    +tp280
    +Rp281
    +sbsS'Dec'
    +p282
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g282
    +tp283
    +tp284
    +Rp285
    +(dp286
    +g14
    +g27
    +sg15
    +g16
    +((lp287
    +tp288
    +Rp289
    +sbsS'Hex'
    +p290
    +g1
    +(g2
    +g3
    +(g24
    +g23
    +g290
    +tp291
    +tp292
    +Rp293
    +(dp294
    +g14
    +g27
    +sg15
    +g16
    +((lp295
    +tp296
    +Rp297
    +sbsg15
    +g16
    +((lp298
    +g32
    +ag269
    +ag285
    +ag1
    +(g2
    +g3
    +(g24
    +g23
    +S'Decimal'
    +p299
    +tp300
    +tp301
    +Rp302
    +(dp303
    +g14
    +g27
    +sg15
    +g16
    +((lp304
    +tp305
    +Rp306
    +sbag261
    +ag1
    +(g2
    +g3
    +(g24
    +g23
    +S'Float'
    +p307
    +tp308
    +tp309
    +Rp310
    +(dp311
    +g14
    +g27
    +sg15
    +g16
    +((lp312
    +tp313
    +Rp314
    +sbag277
    +ag293
    +atp315
    +Rp316
    +sg299
    +g302
    +sg307
    +g310
    +sbsS'Generic'
    +p317
    +g1
    +(g2
    +g3
    +(g317
    +tp318
    +tp319
    +Rp320
    +(dp321
    +g14
    +g21
    +sS'Deleted'
    +p322
    +g1
    +(g2
    +g3
    +(g317
    +g322
    +tp323
    +tp324
    +Rp325
    +(dp326
    +g14
    +g320
    +sg15
    +g16
    +((lp327
    +tp328
    +Rp329
    +sbsS'Subheading'
    +p330
    +g1
    +(g2
    +g3
    +(g317
    +g330
    +tp331
    +tp332
    +Rp333
    +(dp334
    +g14
    +g320
    +sg15
    +g16
    +((lp335
    +tp336
    +Rp337
    +sbsS'Heading'
    +p338
    +g1
    +(g2
    +g3
    +(g317
    +g338
    +tp339
    +tp340
    +Rp341
    +(dp342
    +g14
    +g320
    +sg15
    +g16
    +((lp343
    +tp344
    +Rp345
    +sbsS'Emph'
    +p346
    +g1
    +(g2
    +g3
    +(g317
    +g346
    +tp347
    +tp348
    +Rp349
    +(dp350
    +g14
    +g320
    +sg15
    +g16
    +((lp351
    +tp352
    +Rp353
    +sbsS'Prompt'
    +p354
    +g1
    +(g2
    +g3
    +(g317
    +g354
    +tp355
    +tp356
    +Rp357
    +(dp358
    +g14
    +g320
    +sg15
    +g16
    +((lp359
    +tp360
    +Rp361
    +sbsS'Inserted'
    +p362
    +g1
    +(g2
    +g3
    +(g317
    +g362
    +tp363
    +tp364
    +Rp365
    +(dp366
    +g14
    +g320
    +sg15
    +g16
    +((lp367
    +tp368
    +Rp369
    +sbsS'Strong'
    +p370
    +g1
    +(g2
    +g3
    +(g317
    +g370
    +tp371
    +tp372
    +Rp373
    +(dp374
    +g14
    +g320
    +sg15
    +g16
    +((lp375
    +tp376
    +Rp377
    +sbsS'Error'
    +p378
    +g1
    +(g2
    +g3
    +(g317
    +g378
    +tp379
    +tp380
    +Rp381
    +(dp382
    +g14
    +g320
    +sg15
    +g16
    +((lp383
    +tp384
    +Rp385
    +sbsS'Traceback'
    +p386
    +g1
    +(g2
    +g3
    +(g317
    +g386
    +tp387
    +tp388
    +Rp389
    +(dp390
    +g14
    +g320
    +sg15
    +g16
    +((lp391
    +tp392
    +Rp393
    +sbsg15
    +g16
    +((lp394
    +g341
    +ag333
    +ag1
    +(g2
    +g3
    +(g317
    +S'Output'
    +p395
    +tp396
    +tp397
    +Rp398
    +(dp399
    +g14
    +g320
    +sg15
    +g16
    +((lp400
    +tp401
    +Rp402
    +sbag373
    +ag349
    +ag381
    +ag389
    +ag365
    +ag357
    +ag325
    +atp403
    +Rp404
    +sg395
    +g398
    +sbsS'Operator'
    +p405
    +g1
    +(g2
    +g3
    +(g405
    +tp406
    +tp407
    +Rp408
    +(dp409
    +g14
    +g21
    +sS'DBS'
    +p410
    +g1
    +(g2
    +g3
    +(g405
    +g410
    +tp411
    +tp412
    +Rp413
    +(dp414
    +g14
    +g408
    +sg15
    +g16
    +((lp415
    +tp416
    +Rp417
    +sbsg15
    +g16
    +((lp418
    +g413
    +ag1
    +(g2
    +g3
    +(g405
    +S'Word'
    +p419
    +tp420
    +tp421
    +Rp422
    +(dp423
    +g14
    +g408
    +sg15
    +g16
    +((lp424
    +tp425
    +Rp426
    +sbatp427
    +Rp428
    +sg419
    +g422
    +sbsg81
    +g84
    +sg181
    +g1
    +(g2
    +g3
    +(g181
    +tp429
    +tp430
    +Rp431
    +(dp432
    +S'Variable'
    +p433
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +tp434
    +tp435
    +Rp436
    +(dp437
    +g14
    +g431
    +sS'Class'
    +p438
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g438
    +tp439
    +tp440
    +Rp441
    +(dp442
    +g14
    +g436
    +sg15
    +g16
    +((lp443
    +tp444
    +Rp445
    +sbsS'Anonymous'
    +p446
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g446
    +tp447
    +tp448
    +Rp449
    +(dp450
    +g14
    +g436
    +sg15
    +g16
    +((lp451
    +tp452
    +Rp453
    +sbsS'Instance'
    +p454
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g454
    +tp455
    +tp456
    +Rp457
    +(dp458
    +g14
    +g436
    +sg15
    +g16
    +((lp459
    +tp460
    +Rp461
    +sbsS'Global'
    +p462
    +g1
    +(g2
    +g3
    +(g181
    +g433
    +g462
    +tp463
    +tp464
    +Rp465
    +(dp466
    +g14
    +g436
    +sg15
    +g16
    +((lp467
    +tp468
    +Rp469
    +sbsg15
    +g16
    +((lp470
    +g449
    +ag457
    +ag1
    +(g2
    +g3
    +(g181
    +g433
    +S'Magic'
    +p471
    +tp472
    +tp473
    +Rp474
    +(dp475
    +g14
    +g436
    +sg15
    +g16
    +((lp476
    +tp477
    +Rp478
    +sbag465
    +ag441
    +atp479
    +Rp480
    +sg471
    +g474
    +sbsg405
    +g1
    +(g2
    +g3
    +(g181
    +g405
    +tp481
    +tp482
    +Rp483
    +(dp484
    +g14
    +g431
    +sg15
    +g16
    +((lp485
    +tp486
    +Rp487
    +sbsS'Decorator'
    +p488
    +g1
    +(g2
    +g3
    +(g181
    +g488
    +tp489
    +tp490
    +Rp491
    +(dp492
    +g14
    +g431
    +sg15
    +g16
    +((lp493
    +tp494
    +Rp495
    +sbsS'Entity'
    +p496
    +g1
    +(g2
    +g3
    +(g181
    +g496
    +tp497
    +tp498
    +Rp499
    +(dp500
    +g14
    +g431
    +sg410
    +g1
    +(g2
    +g3
    +(g181
    +g496
    +g410
    +tp501
    +tp502
    +Rp503
    +(dp504
    +g14
    +g499
    +sg15
    +g16
    +((lp505
    +tp506
    +Rp507
    +sbsg15
    +g16
    +((lp508
    +g503
    +atp509
    +Rp510
    +sbsg109
    +g1
    +(g2
    +g3
    +(g181
    +g109
    +tp511
    +tp512
    +Rp513
    +(dp514
    +g14
    +g431
    +sg15
    +g16
    +((lp515
    +tp516
    +Rp517
    +sbsS'Property'
    +p518
    +g1
    +(g2
    +g3
    +(g181
    +g518
    +tp519
    +tp520
    +Rp521
    +(dp522
    +g14
    +g431
    +sg15
    +g16
    +((lp523
    +tp524
    +Rp525
    +sbsS'Pseudo'
    +p526
    +g1
    +(g2
    +g3
    +(g181
    +g526
    +tp527
    +tp528
    +Rp529
    +(dp530
    +g14
    +g431
    +sg15
    +g16
    +((lp531
    +tp532
    +Rp533
    +sbsS'Type'
    +p534
    +g1
    +(g2
    +g3
    +(g181
    +g534
    +tp535
    +tp536
    +Rp537
    +(dp538
    +g14
    +g431
    +sg15
    +g16
    +((lp539
    +tp540
    +Rp541
    +sbsS'Classes'
    +p542
    +g1
    +(g2
    +g3
    +(g181
    +g542
    +tp543
    +tp544
    +Rp545
    +(dp546
    +g14
    +g431
    +sg15
    +g16
    +((lp547
    +tp548
    +Rp549
    +sbsS'Tag'
    +p550
    +g1
    +(g2
    +g3
    +(g181
    +g550
    +tp551
    +tp552
    +Rp553
    +(dp554
    +g14
    +g431
    +sg15
    +g16
    +((lp555
    +tp556
    +Rp557
    +sbsS'Constant'
    +p558
    +g1
    +(g2
    +g3
    +(g181
    +g558
    +tp559
    +tp560
    +Rp561
    +(dp562
    +g14
    +g431
    +sg15
    +g16
    +((lp563
    +tp564
    +Rp565
    +sbsS'Function'
    +p566
    +g1
    +(g2
    +g3
    +(g181
    +g566
    +tp567
    +tp568
    +Rp569
    +(dp570
    +g14
    +g431
    +sg15
    +g16
    +((lp571
    +g1
    +(g2
    +g3
    +(g181
    +g566
    +g471
    +tp572
    +tp573
    +Rp574
    +(dp575
    +g14
    +g569
    +sg15
    +g16
    +((lp576
    +tp577
    +Rp578
    +sbatp579
    +Rp580
    +sg471
    +g574
    +sbsS'Blubb'
    +p581
    +g1
    +(g2
    +g3
    +(g181
    +g581
    +tp582
    +tp583
    +Rp584
    +(dp585
    +g14
    +g431
    +sg15
    +g16
    +((lp586
    +tp587
    +Rp588
    +sbsS'Label'
    +p589
    +g1
    +(g2
    +g3
    +(g181
    +g589
    +tp590
    +tp591
    +Rp592
    +(dp593
    +g14
    +g431
    +sg15
    +g16
    +((lp594
    +tp595
    +Rp596
    +sbsS'Field'
    +p597
    +g1
    +(g2
    +g3
    +(g181
    +g597
    +tp598
    +tp599
    +Rp600
    +(dp601
    +g14
    +g431
    +sg15
    +g16
    +((lp602
    +tp603
    +Rp604
    +sbsS'Exception'
    +p605
    +g1
    +(g2
    +g3
    +(g181
    +g605
    +tp606
    +tp607
    +Rp608
    +(dp609
    +g14
    +g431
    +sg15
    +g16
    +((lp610
    +tp611
    +Rp612
    +sbsS'Namespace'
    +p613
    +g1
    +(g2
    +g3
    +(g181
    +g613
    +tp614
    +tp615
    +Rp616
    +(dp617
    +g14
    +g431
    +sg15
    +g16
    +((lp618
    +tp619
    +Rp620
    +sbsg15
    +g16
    +((lp621
    +g491
    +ag584
    +ag529
    +ag499
    +ag436
    +ag608
    +ag521
    +ag553
    +ag569
    +ag545
    +ag1
    +(g2
    +g3
    +(g181
    +g438
    +tp622
    +tp623
    +Rp624
    +(dp625
    +g14
    +g431
    +sg410
    +g1
    +(g2
    +g3
    +(g181
    +g438
    +g410
    +tp626
    +tp627
    +Rp628
    +(dp629
    +g14
    +g624
    +sg15
    +g16
    +((lp630
    +tp631
    +Rp632
    +sbsg15
    +g16
    +((lp633
    +g1
    +(g2
    +g3
    +(g181
    +g438
    +S'Start'
    +p634
    +tp635
    +tp636
    +Rp637
    +(dp638
    +g14
    +g624
    +sg15
    +g16
    +((lp639
    +tp640
    +Rp641
    +sbag628
    +atp642
    +Rp643
    +sg634
    +g637
    +sbag1
    +(g2
    +g3
    +(g181
    +g65
    +tp644
    +tp645
    +Rp646
    +(dp647
    +g14
    +g431
    +sS'Member'
    +p648
    +g1
    +(g2
    +g3
    +(g181
    +g65
    +g648
    +tp649
    +tp650
    +Rp651
    +(dp652
    +g14
    +g646
    +sg15
    +g16
    +((lp653
    +tp654
    +Rp655
    +sbsg15
    +g16
    +((lp656
    +g651
    +atp657
    +Rp658
    +sbag592
    +ag483
    +ag616
    +ag1
    +(g2
    +g3
    +(g181
    +S'Attribute'
    +p659
    +tp660
    +tp661
    +Rp662
    +(dp663
    +g14
    +g431
    +sg433
    +g1
    +(g2
    +g3
    +(g181
    +g659
    +g433
    +tp664
    +tp665
    +Rp666
    +(dp667
    +g14
    +g662
    +sg15
    +g16
    +((lp668
    +tp669
    +Rp670
    +sbsg15
    +g16
    +((lp671
    +g666
    +atp672
    +Rp673
    +sbag561
    +ag1
    +(g2
    +g3
    +(g181
    +S'Builtin'
    +p674
    +tp675
    +tp676
    +Rp677
    +(dp678
    +g14
    +g431
    +sg534
    +g1
    +(g2
    +g3
    +(g181
    +g674
    +g534
    +tp679
    +tp680
    +Rp681
    +(dp682
    +g14
    +g677
    +sg15
    +g16
    +((lp683
    +tp684
    +Rp685
    +sbsg15
    +g16
    +((lp686
    +g1
    +(g2
    +g3
    +(g181
    +g674
    +g526
    +tp687
    +tp688
    +Rp689
    +(dp690
    +g14
    +g677
    +sg15
    +g16
    +((lp691
    +tp692
    +Rp693
    +sbag681
    +atp694
    +Rp695
    +sg526
    +g689
    +sbag600
    +ag537
    +ag513
    +atp696
    +Rp697
    +sg14
    +g21
    +sg438
    +g624
    +sg674
    +g677
    +sg659
    +g662
    +sg65
    +g646
    +sbsS'Punctuation'
    +p698
    +g1
    +(g2
    +g3
    +(g698
    +tp699
    +tp700
    +Rp701
    +(dp702
    +g14
    +g21
    +sg15
    +g16
    +((lp703
    +g1
    +(g2
    +g3
    +(g698
    +S'Indicator'
    +p704
    +tp705
    +tp706
    +Rp707
    +(dp708
    +g14
    +g701
    +sg15
    +g16
    +((lp709
    +tp710
    +Rp711
    +sbatp712
    +Rp713
    +sg704
    +g707
    +sbsg4
    +g7
    +sg24
    +g47
    +sg65
    +g1
    +(g2
    +g3
    +(g65
    +tp714
    +tp715
    +Rp716
    +(dp717
    +g14
    +g21
    +sg15
    +g16
    +((lp718
    +tp719
    +Rp720
    +sbsg378
    +g1
    +(g2
    +g3
    +(g378
    +tp721
    +tp722
    +Rp723
    +(dp724
    +g14
    +g21
    +sg15
    +g16
    +((lp725
    +tp726
    +Rp727
    +sbsS'Token'
    +p728
    +g21
    +sg205
    +g1
    +(g2
    +g3
    +(g205
    +tp729
    +tp730
    +Rp731
    +(dp732
    +g14
    +g21
    +sg15
    +g16
    +((lp733
    +tp734
    +Rp735
    +sbsg15
    +g16
    +((lp736
    +g431
    +ag716
    +ag1
    +(g2
    +g3
    +(S'Keyword'
    +p737
    +tp738
    +tp739
    +Rp740
    +(dp741
    +g14
    +g21
    +sg534
    +g1
    +(g2
    +g3
    +(g737
    +g534
    +tp742
    +tp743
    +Rp744
    +(dp745
    +g14
    +g740
    +sg15
    +g16
    +((lp746
    +tp747
    +Rp748
    +sbsS'Control'
    +p749
    +g1
    +(g2
    +g3
    +(g737
    +g749
    +tp750
    +tp751
    +Rp752
    +(dp753
    +g14
    +g740
    +sg15
    +g16
    +((lp754
    +tp755
    +Rp756
    +sbsg558
    +g1
    +(g2
    +g3
    +(g737
    +g558
    +tp757
    +tp758
    +Rp759
    +(dp760
    +g14
    +g740
    +sg15
    +g16
    +((lp761
    +tp762
    +Rp763
    +sbsg613
    +g1
    +(g2
    +g3
    +(g737
    +g613
    +tp764
    +tp765
    +Rp766
    +(dp767
    +g14
    +g740
    +sg15
    +g16
    +((lp768
    +tp769
    +Rp770
    +sbsS'PreProc'
    +p771
    +g1
    +(g2
    +g3
    +(g737
    +g771
    +tp772
    +tp773
    +Rp774
    +(dp775
    +g14
    +g740
    +sg15
    +g16
    +((lp776
    +tp777
    +Rp778
    +sbsg526
    +g1
    +(g2
    +g3
    +(g737
    +g526
    +tp779
    +tp780
    +Rp781
    +(dp782
    +g14
    +g740
    +sg15
    +g16
    +((lp783
    +tp784
    +Rp785
    +sbsS'Reserved'
    +p786
    +g1
    +(g2
    +g3
    +(g737
    +g786
    +tp787
    +tp788
    +Rp789
    +(dp790
    +g14
    +g740
    +sg15
    +g16
    +((lp791
    +tp792
    +Rp793
    +sbsg15
    +g16
    +((lp794
    +g766
    +ag1
    +(g2
    +g3
    +(g737
    +g419
    +tp795
    +tp796
    +Rp797
    +(dp798
    +g14
    +g740
    +sg15
    +g16
    +((lp799
    +tp800
    +Rp801
    +sbag752
    +ag1
    +(g2
    +g3
    +(g737
    +S'Declaration'
    +p802
    +tp803
    +tp804
    +Rp805
    +(dp806
    +g14
    +g740
    +sg15
    +g16
    +((lp807
    +tp808
    +Rp809
    +sbag1
    +(g2
    +g3
    +(g737
    +g737
    +tp810
    +tp811
    +Rp812
    +(dp813
    +g14
    +g740
    +sg15
    +g16
    +((lp814
    +tp815
    +Rp816
    +sbag781
    +ag759
    +ag744
    +ag789
    +ag774
    +atp817
    +Rp818
    +sg737
    +g812
    +sg802
    +g805
    +sg419
    +g797
    +sbag320
    +ag1
    +(g2
    +g3
    +(S'Text'
    +p819
    +tp820
    +tp821
    +Rp822
    +(dp823
    +S'Beer'
    +p824
    +g1
    +(g2
    +g3
    +(g819
    +g824
    +tp825
    +tp826
    +Rp827
    +(dp828
    +g14
    +g822
    +sg15
    +g16
    +((lp829
    +tp830
    +Rp831
    +sbsS'Whitespace'
    +p832
    +g1
    +(g2
    +g3
    +(g819
    +g832
    +tp833
    +tp834
    +Rp835
    +(dp836
    +g14
    +g822
    +sg15
    +g16
    +((lp837
    +tp838
    +Rp839
    +sbsg14
    +g21
    +sS'Root'
    +p840
    +g1
    +(g2
    +g3
    +(g819
    +g840
    +tp841
    +tp842
    +Rp843
    +(dp844
    +g14
    +g822
    +sg15
    +g16
    +((lp845
    +tp846
    +Rp847
    +sbsg109
    +g1
    +(g2
    +g3
    +(g819
    +g109
    +tp848
    +tp849
    +Rp850
    +(dp851
    +g14
    +g822
    +sg15
    +g16
    +((lp852
    +tp853
    +Rp854
    +sbsg698
    +g1
    +(g2
    +g3
    +(g819
    +g698
    +tp855
    +tp856
    +Rp857
    +(dp858
    +g14
    +g822
    +sg15
    +g16
    +((lp859
    +tp860
    +Rp861
    +sbsg15
    +g16
    +((lp862
    +g843
    +ag850
    +ag857
    +ag835
    +ag827
    +ag1
    +(g2
    +g3
    +(g819
    +S'Rag'
    +p863
    +tp864
    +tp865
    +Rp866
    +(dp867
    +g14
    +g822
    +sg15
    +g16
    +((lp868
    +tp869
    +Rp870
    +sbatp871
    +Rp872
    +sg863
    +g866
    +sbag408
    +ag731
    +ag701
    +ag7
    +ag723
    +ag47
    +atp873
    +Rp874
    +sg737
    +g740
    +sg819
    +g822
    +sbsS'Special'
    +p875
    +g1
    +(g2
    +g3
    +(g4
    +g875
    +tp876
    +tp877
    +Rp878
    +(dp879
    +g14
    +g7
    +sg15
    +g16
    +((lp880
    +tp881
    +Rp882
    +sbsS'Hashbang'
    +p883
    +g1
    +(g2
    +g3
    +(g4
    +g883
    +tp884
    +tp885
    +Rp886
    +(dp887
    +g14
    +g7
    +sg15
    +g16
    +((lp888
    +tp889
    +Rp890
    +sbsS'Preproc'
    +p891
    +g1
    +(g2
    +g3
    +(g4
    +g891
    +tp892
    +tp893
    +Rp894
    +(dp895
    +g14
    +g7
    +sg15
    +g16
    +((lp896
    +tp897
    +Rp898
    +sbsg222
    +g1
    +(g2
    +g3
    +(g4
    +g222
    +tp899
    +tp900
    +Rp901
    +(dp902
    +g14
    +g7
    +sg15
    +g16
    +((lp903
    +tp904
    +Rp905
    +sbsS'Directive'
    +p906
    +g1
    +(g2
    +g3
    +(g4
    +g906
    +tp907
    +tp908
    +Rp909
    +(dp910
    +g14
    +g7
    +sg15
    +g16
    +((lp911
    +tp912
    +Rp913
    +sbsg214
    +g1
    +(g2
    +g3
    +(g4
    +g214
    +tp914
    +tp915
    +Rp916
    +(dp917
    +g14
    +g7
    +sg15
    +g16
    +((lp918
    +tp919
    +Rp920
    +sbsS'Singleline'
    +p921
    +g1
    +(g2
    +g3
    +(g4
    +g921
    +tp922
    +tp923
    +Rp924
    +(dp925
    +g14
    +g7
    +sg15
    +g16
    +((lp926
    +tp927
    +Rp928
    +sbsS'Multiline'
    +p929
    +g1
    +(g2
    +g3
    +(g4
    +g929
    +tp930
    +tp931
    +Rp932
    +(dp933
    +g14
    +g7
    +sg15
    +g16
    +((lp934
    +tp935
    +Rp936
    +sbsg15
    +g16
    +((lp937
    +g916
    +ag909
    +ag886
    +ag12
    +ag924
    +ag894
    +ag932
    +ag901
    +ag1
    +(g2
    +g3
    +(g4
    +S'PreprocFile'
    +p938
    +tp939
    +tp940
    +Rp941
    +(dp942
    +g14
    +g7
    +sg15
    +g16
    +((lp943
    +tp944
    +Rp945
    +sbag1
    +(g2
    +g3
    +(g4
    +S'SingleLine'
    +p946
    +tp947
    +tp948
    +Rp949
    +(dp950
    +g14
    +g7
    +sg15
    +g16
    +((lp951
    +tp952
    +Rp953
    +sbag878
    +atp954
    +Rp955
    +sg938
    +g941
    +sg946
    +g949
    +sbVp
    +p956
    +tp957
    +a(g7
    +Vr
    +p958
    +tp959
    +a(g7
    +Veface.... eve
    +p960
    +tp961
    +a(g7
    +g958
    +tp962
    +a(g7
    +Vything what is befo
    +p963
    +tp964
    +a(g7
    +g958
    +tp965
    +a(g7
    +Ve heade
    +p966
    +tp967
    +a(g7
    +g958
    +tp968
    +a(g7
    +V is not evaluated\u000aso this should not be colo
    +p969
    +tp970
    +a(g7
    +g958
    +tp971
    +a(g7
    +Vized:\u000a1 + 2\u000a\u000a
    +p972
    +tp973
    +a(g373
    +VREBOL [
    +p974
    +tp975
    +a(g373
    +V]
    +p976
    +tp977
    +a(g822
    +V 
    +p978
    +tp979
    +a(g7
    +V;<- this is minimal header, everything behind it must be colorized\u000a
    +p980
    +tp981
    +a(g822
    +V\u000a
    +p982
    +tp983
    +a(g878
    +V;## String tests ##\u000a
    +p984
    +tp985
    +a(g677
    +Vprint
    +p986
    +tp987
    +a(g822
    +g978
    +tp988
    +a(g84
    +V"
    +p989
    +tp990
    +a(g84
    +VHello 
    +p991
    +tp992
    +a(g208
    +V^"
    +p993
    +tp994
    +a(g84
    +VWorld
    +p995
    +tp996
    +a(g84
    +g989
    +tp997
    +a(g822
    +g978
    +tp998
    +a(g7
    +V;<- with escaped char\u000a
    +p999
    +tp1000
    +a(g333
    +Vmultiline-string:
    +p1001
    +tp1002
    +a(g822
    +g978
    +tp1003
    +a(g84
    +V{
    +p1004
    +tp1005
    +a(g84
    +V\u000a    bla bla "bla" 
    +p1006
    +tp1007
    +a(g84
    +g1004
    +tp1008
    +a(g84
    +Vbla
    +p1009
    +tp1010
    +a(g84
    +V}
    +p1011
    +tp1012
    +a(g84
    +V\u000a
    +p1013
    +tp1014
    +a(g84
    +g1011
    +tp1015
    +a(g822
    +V\u000a
    +p1016
    +tp1017
    +a(g333
    +Vchar-a:
    +p1018
    +tp1019
    +a(g822
    +g978
    +tp1020
    +a(g88
    +V#"
    +p1021
    +tp1022
    +a(g88
    +Va"
    +p1023
    +tp1024
    +a(g822
    +V\u000a
    +p1025
    +tp1026
    +a(g333
    +Vescaped-a:
    +p1027
    +tp1028
    +a(g822
    +g978
    +tp1029
    +a(g88
    +V#"
    +p1030
    +tp1031
    +a(g88
    +V^(61)"
    +p1032
    +tp1033
    +a(g822
    +V\u000a
    +p1034
    +tp1035
    +a(g333
    +Vnew-line:
    +p1036
    +tp1037
    +a(g822
    +g978
    +tp1038
    +a(g88
    +V#"
    +p1039
    +tp1040
    +a(g88
    +V^/"
    +p1041
    +tp1042
    +a(g822
    +V\u000a\u000a
    +p1043
    +tp1044
    +a(g878
    +V;## Binaries ##\u000a
    +p1045
    +tp1046
    +a(g677
    +Vprint
    +p1047
    +tp1048
    +a(g822
    +g978
    +tp1049
    +a(g677
    +Vdecompress
    +p1050
    +tp1051
    +a(g822
    +g978
    +tp1052
    +a(g293
    +V64#{eJzLSM3JyQcABiwCFQUAAAA=}
    +p1053
    +tp1054
    +a(g822
    +V\u000a
    +p1055
    +tp1056
    +a(g7
    +V;2#{0000 00000} ;<- this one is invalid!\u000a
    +p1057
    +tp1058
    +a(g293
    +V2#{
    +p1059
    +tp1060
    +a(g293
    +g1011
    +tp1061
    +a(g822
    +V\u000a
    +p1062
    +tp1063
    +a(g293
    +V#{FF00}
    +p1064
    +tp1065
    +a(g822
    +V\u000a\u000a
    +p1066
    +tp1067
    +a(g878
    +V;##Date + time ##\u000a
    +p1068
    +tp1069
    +a(g232
    +V1-Feb-2009
    +p1070
    +tp1071
    +a(g822
    +V\u000a
    +p1072
    +tp1073
    +a(g232
    +V1-Feb-2009/2:24:46+1:0
    +p1074
    +tp1075
    +a(g822
    +V\u000a
    +p1076
    +tp1077
    +a(g232
    +V1:0
    +p1078
    +tp1079
    +a(g822
    +g978
    +tp1080
    +a(g232
    +V1:1:1
    +p1081
    +tp1082
    +a(g822
    +g978
    +tp1083
    +a(g232
    +V-0:1.1
    +p1084
    +tp1085
    +a(g822
    +V\u000a\u000a
    +p1086
    +tp1087
    +a(g878
    +V;## Tuple ##\u000a
    +p1088
    +tp1089
    +a(g333
    +Vred:
    +p1090
    +tp1091
    +a(g822
    +g978
    +tp1092
    +a(g759
    +V255.0.0
    +p1093
    +tp1094
    +a(g822
    +V\u000a
    +p1095
    +tp1096
    +a(g333
    +Vred-with-alpha:
    +p1097
    +tp1098
    +a(g822
    +g978
    +tp1099
    +a(g759
    +V255.0.0.100
    +p1100
    +tp1101
    +a(g822
    +V\u000a\u000a
    +p1102
    +tp1103
    +a(g878
    +V;## url!, file! and email! ##\u000a
    +p1104
    +tp1105
    +a(g491
    +Vaaa@bbb.cz
    +p1106
    +tp1107
    +a(g822
    +V\u000a
    +p1108
    +tp1109
    +a(g491
    +Vhttp://
    +p1110
    +tp1111
    +a(g822
    +V\u000a
    +p1112
    +tp1113
    +a(g491
    +Vdns://
    +p1114
    +tp1115
    +a(g822
    +V\u000a
    +p1116
    +tp1117
    +a(g491
    +Vtcp://127.0.0.1
    +p1118
    +tp1119
    +a(g822
    +V\u000a
    +p1120
    +tp1121
    +a(g491
    +V%/c/rebol/
    +p1122
    +tp1123
    +a(g822
    +V\u000a
    +p1124
    +tp1125
    +a(g491
    +V%"
    +p1126
    +tp1127
    +a(g491
    +Vc:\u005cProgram Files\u005c
    +p1128
    +tp1129
    +a(g491
    +g989
    +tp1130
    +a(g822
    +V\u000a
    +p1131
    +tp1132
    +a(g491
    +V%/c/Program%20Files/
    +p1133
    +tp1134
    +a(g822
    +V\u000a
    +p1135
    +tp1136
    +a(g677
    +Vto-rebol-file
    +p1137
    +tp1138
    +a(g822
    +g978
    +tp1139
    +a(g84
    +g989
    +tp1140
    +a(g84
    +Vc:\u005cProgram Files\u005c
    +p1141
    +tp1142
    +a(g84
    +g989
    +tp1143
    +a(g822
    +V\u000a
    +p1144
    +tp1145
    +a(g740
    +Vsuffix?
    +p1146
    +tp1147
    +a(g822
    +g978
    +tp1148
    +a(g491
    +V%bla.swf
    +p1149
    +tp1150
    +a(g822
    +V\u000a\u000a
    +p1151
    +tp1152
    +a(g878
    +V;## Money ##\u000a
    +p1153
    +tp1154
    +a(g310
    +V$1
    +p1155
    +tp1156
    +a(g822
    +V\u000a
    +p1157
    +tp1158
    +a(g310
    +V-$1.2
    +p1159
    +tp1160
    +a(g822
    +V\u000a
    +p1161
    +tp1162
    +a(g310
    +VUSA$100
    +p1163
    +tp1164
    +a(g822
    +V\u000a\u000a
    +p1165
    +tp1166
    +a(g878
    +V;## Tag! ##\u000a
    +p1167
    +tp1168
    +a(g436
    +V
    +p1169
    +tp1170
    +a(g822
    +V\u000a
    +p1171
    +tp1172
    +a(g436
    +V
    +p1184
    +tp1185
    +a(g822
    +V\u000a\u000a
    +p1186
    +tp1187
    +a(g878
    +V;## Pair! ##\u000a
    +p1188
    +tp1189
    +a(g759
    +V10x200
    +p1190
    +tp1191
    +a(g822
    +V\u000a\u000a
    +p1192
    +tp1193
    +a(g878
    +V;## Issue! ##\u000a
    +p1194
    +tp1195
    +a(g677
    +Vtype?
    +p1196
    +tp1197
    +a(g822
    +g978
    +tp1198
    +a(g592
    +V#ff0000
    +p1199
    +tp1200
    +a(g822
    +g978
    +tp1201
    +a(g7
    +V;== issue!\u000a
    +p1202
    +tp1203
    +a(g822
    +V\u000a
    +p1204
    +tp1205
    +a(g878
    +V;## some numbers ##\u000a
    +p1206
    +tp1207
    +a(g569
    +Vto
    +p1208
    +tp1209
    +a(g822
    +g978
    +tp1210
    +a(g744
    +Vinteger!
    +p1211
    +tp1212
    +a(g822
    +g978
    +tp1213
    +a(g373
    +V(
    +p1214
    +tp1215
    +a(g27
    +V1
    +p1216
    +tp1217
    +a(g822
    +g978
    +tp1218
    +a(g408
    +V+
    +p1219
    +tp1220
    +a(g822
    +g978
    +tp1221
    +a(g373
    +g1214
    +tp1222
    +a(g436
    +Vx
    +p1223
    +tp1224
    +a(g822
    +g978
    +tp1225
    +a(g662
    +V/
    +p1226
    +tp1227
    +a(g822
    +g978
    +tp1228
    +a(g310
    +V4.5
    +p1229
    +tp1230
    +a(g373
    +V)
    +p1231
    +tp1232
    +a(g822
    +g978
    +tp1233
    +a(g408
    +V*
    +p1234
    +tp1235
    +a(g822
    +g978
    +tp1236
    +a(g310
    +V1E-4
    +p1237
    +tp1238
    +a(g373
    +g1231
    +tp1239
    +a(g822
    +V\u000a\u000a
    +p1240
    +tp1241
    +a(g878
    +V;## some spec comments\u000a
    +p1242
    +tp1243
    +a(g27
    +g1216
    +tp1244
    +a(g822
    +g978
    +tp1245
    +a(g408
    +g1219
    +tp1246
    +a(g822
    +g978
    +tp1247
    +a(g27
    +g1216
    +tp1248
    +a(g822
    +V\u000a
    +p1249
    +tp1250
    +a(g7
    +Vcomment "
    +p1251
    +tp1252
    +a(g7
    +Vaa
    +p1253
    +tp1254
    +a(g7
    +g989
    +tp1255
    +a(g822
    +V								\u000a
    +p1256
    +tp1257
    +a(g27
    +V2
    +p1258
    +tp1259
    +a(g822
    +g978
    +tp1260
    +a(g408
    +g1219
    +tp1261
    +a(g822
    +g978
    +tp1262
    +a(g27
    +g1258
    +tp1263
    +a(g822
    +V\u000a
    +p1264
    +tp1265
    +a(g7
    +Vcomment {
    +p1266
    +tp1267
    +a(g7
    +Vaa
    +p1268
    +tp1269
    +a(g7
    +g1011
    +tp1270
    +a(g822
    +V\u000a
    +p1271
    +tp1272
    +a(g27
    +V3
    +p1273
    +tp1274
    +a(g822
    +g978
    +tp1275
    +a(g408
    +g1219
    +tp1276
    +a(g822
    +g978
    +tp1277
    +a(g27
    +g1273
    +tp1278
    +a(g822
    +V\u000a
    +p1279
    +tp1280
    +a(g7
    +Vcomment {
    +p1281
    +tp1282
    +a(g7
    +g1179
    +tp1283
    +a(g7
    +V^{
    +p1284
    +tp1285
    +a(g7
    +g1011
    +tp1286
    +a(g822
    +V\u000a
    +p1287
    +tp1288
    +a(g27
    +V4
    +p1289
    +tp1290
    +a(g822
    +g978
    +tp1291
    +a(g408
    +g1219
    +tp1292
    +a(g822
    +g978
    +tp1293
    +a(g27
    +g1289
    +tp1294
    +a(g822
    +V\u000a
    +p1295
    +tp1296
    +a(g7
    +Vcomment {
    +p1297
    +tp1298
    +a(g7
    +g1004
    +tp1299
    +a(g7
    +g1011
    +tp1300
    +a(g7
    +g1011
    +tp1301
    +a(g822
    +V\u000a
    +p1302
    +tp1303
    +a(g27
    +V5
    +p1304
    +tp1305
    +a(g822
    +g978
    +tp1306
    +a(g408
    +g1219
    +tp1307
    +a(g822
    +g978
    +tp1308
    +a(g27
    +g1304
    +tp1309
    +a(g822
    +V\u000a
    +p1310
    +tp1311
    +a(g7
    +Vcomment {
    +p1312
    +tp1313
    +a(g7
    +V\u000a	foo: 6\u000a
    +p1314
    +tp1315
    +a(g7
    +g1011
    +tp1316
    +a(g822
    +V\u000a
    +p1317
    +tp1318
    +a(g27
    +V6
    +p1319
    +tp1320
    +a(g822
    +g978
    +tp1321
    +a(g408
    +g1219
    +tp1322
    +a(g822
    +g978
    +tp1323
    +a(g27
    +g1319
    +tp1324
    +a(g822
    +V\u000a
    +p1325
    +tp1326
    +a(g7
    +Vcomment [
    +p1327
    +tp1328
    +a(g7
    +Vfoo: 6
    +p1329
    +tp1330
    +a(g7
    +g976
    +tp1331
    +a(g822
    +V\u000a
    +p1332
    +tp1333
    +a(g27
    +V7
    +p1334
    +tp1335
    +a(g822
    +g978
    +tp1336
    +a(g408
    +g1219
    +tp1337
    +a(g822
    +g978
    +tp1338
    +a(g27
    +g1334
    +tp1339
    +a(g822
    +V\u000a
    +p1340
    +tp1341
    +a(g7
    +Vcomment [
    +p1342
    +tp1343
    +a(g7
    +Vfoo: 
    +p1344
    +tp1345
    +a(g7
    +g989
    +tp1346
    +a(g7
    +V[
    +p1347
    +tp1348
    +a(g7
    +g989
    +tp1349
    +a(g7
    +g978
    +tp1350
    +a(g7
    +g976
    +tp1351
    +a(g822
    +V\u000a
    +p1352
    +tp1353
    +a(g27
    +V8
    +p1354
    +tp1355
    +a(g822
    +g978
    +tp1356
    +a(g408
    +g1219
    +tp1357
    +a(g822
    +g978
    +tp1358
    +a(g27
    +g1354
    +tp1359
    +a(g822
    +V\u000a
    +p1360
    +tp1361
    +a(g7
    +Vcomment [
    +p1362
    +tp1363
    +a(g7
    +Vfoo: 
    +p1364
    +tp1365
    +a(g7
    +g1004
    +tp1366
    +a(g7
    +V^{
    +p1367
    +tp1368
    +a(g7
    +g1011
    +tp1369
    +a(g7
    +g978
    +tp1370
    +a(g7
    +g976
    +tp1371
    +a(g822
    +V\u000a
    +p1372
    +tp1373
    +a(g27
    +V9
    +p1374
    +tp1375
    +a(g822
    +g978
    +tp1376
    +a(g408
    +g1219
    +tp1377
    +a(g822
    +g978
    +tp1378
    +a(g27
    +g1374
    +tp1379
    +a(g822
    +V\u000a
    +p1380
    +tp1381
    +a(g7
    +Vcomment [
    +p1382
    +tp1383
    +a(g7
    +Vfoo: 
    +p1384
    +tp1385
    +a(g7
    +g1004
    +tp1386
    +a(g7
    +Vboo
    +p1387
    +tp1388
    +a(g7
    +g1011
    +tp1389
    +a(g7
    +g978
    +tp1390
    +a(g7
    +g976
    +tp1391
    +a(g822
    +V\u000a
    +p1392
    +tp1393
    +a(g27
    +V10
    +p1394
    +tp1395
    +a(g822
    +g978
    +tp1396
    +a(g408
    +g1219
    +tp1397
    +a(g822
    +g978
    +tp1398
    +a(g27
    +V10
    +p1399
    +tp1400
    +a(g822
    +V\u000a
    +p1401
    +tp1402
    +a(g7
    +Vcomment 5-May-2014/11:17:34+2:00
    +p1403
    +tp1404
    +a(g822
    +V\u000a
    +p1405
    +tp1406
    +a(g232
    +V5-May-2014/11:17:34+2:00
    +p1407
    +tp1408
    +a(g822
    +g978
    +tp1409
    +a(g27
    +V11
    +p1410
    +tp1411
    +a(g822
    +g978
    +tp1412
    +a(g408
    +g1219
    +tp1413
    +a(g822
    +g978
    +tp1414
    +a(g27
    +V11
    +p1415
    +tp1416
    +a(g822
    +V	\u000a\u000a
    +p1417
    +tp1418
    +a(g878
    +V;## other tests ##\u000a
    +p1419
    +tp1420
    +a(g333
    +V---:
    +p1421
    +tp1422
    +a(g822
    +g978
    +tp1423
    +a(g27
    +g1216
    +tp1424
    +a(g822
    +V\u000a
    +p1425
    +tp1426
    +a(g436
    +g1223
    +tp1427
    +a(g662
    +g1226
    +tp1428
    +a(g373
    +g1214
    +tp1429
    +a(g27
    +g1216
    +tp1430
    +a(g822
    +g978
    +tp1431
    +a(g408
    +g1219
    +tp1432
    +a(g822
    +g978
    +tp1433
    +a(g822
    +Vn
    +p1434
    +tp1435
    +a(g373
    +g1231
    +tp1436
    +a(g662
    +V/y
    +p1437
    +tp1438
    +a(g822
    +V\u000a
    +p1439
    +tp1440
    +a(g436
    +Vb
    +p1441
    +tp1442
    +a(g662
    +V/:1
    +p1443
    +tp1444
    +a(g822
    +V\u000a\u000a
    +p1445
    +tp1446
    +a(g878
    +V;## and...\u000a
    +p1447
    +tp1448
    +a(g341
    +VREBOL
    +p1449
    +tp1450
    +a(g822
    +g978
    +tp1451
    +a(g373
    +g1347
    +tp1452
    +a(g822
    +V\u000a    
    +p1453
    +tp1454
    +a(g333
    +Vpurpose:
    +p1455
    +tp1456
    +a(g822
    +g978
    +tp1457
    +a(g84
    +g1004
    +tp1458
    +a(g84
    +V\u000a        reads css file and creates html from it\u000a        so one can see how the styles looks like\u000a    
    +p1459
    +tp1460
    +a(g84
    +g1011
    +tp1461
    +a(g822
    +V \u000a
    +p1462
    +tp1463
    +a(g373
    +g976
    +tp1464
    +a(g822
    +V\u000a
    +p1465
    +tp1466
    +a(g333
    +Vstyle:
    +p1467
    +tp1468
    +a(g822
    +g978
    +tp1469
    +a(g491
    +V%default
    +p1470
    +tp1471
    +a(g822
    +V\u000a
    +p1472
    +tp1473
    +a(g333
    +Vout:
    +p1474
    +tp1475
    +a(g822
    +g978
    +tp1476
    +a(g766
    +Vrejoin
    +p1477
    +tp1478
    +a(g822
    +g978
    +tp1479
    +a(g373
    +g1347
    +tp1480
    +a(g84
    +g1004
    +tp1481
    +a(g84
    +V\u000a\u000a\u000a  Pygments style: 
    +p1482
    +tp1483
    +a(g84
    +g1011
    +tp1484
    +a(g822
    +g978
    +tp1485
    +a(g436
    +Vstyle
    +p1486
    +tp1487
    +a(g822
    +g978
    +tp1488
    +a(g84
    +g1004
    +tp1489
    +a(g84
    +V.css\u000a  \u000a\u000a\u000a
    \u000a
    +p1498
    +tp1499
    +a(g84
    +g1011
    +tp1500
    +a(g373
    +g976
    +tp1501
    +a(g822
    +V\u000a
    +p1502
    +tp1503
    +a(g333
    +Vcss:
    +p1504
    +tp1505
    +a(g822
    +g978
    +tp1506
    +a(g677
    +Vread
    +p1507
    +tp1508
    +a(g662
    +V/lines
    +p1509
    +tp1510
    +a(g822
    +g978
    +tp1511
    +a(g766
    +Vjoin
    +p1512
    +tp1513
    +a(g822
    +g978
    +tp1514
    +a(g436
    +Vstyle
    +p1515
    +tp1516
    +a(g822
    +g978
    +tp1517
    +a(g491
    +V%.css
    +p1518
    +tp1519
    +a(g822
    +V\u000a
    +p1520
    +tp1521
    +a(g677
    +Vforeach
    +p1522
    +tp1523
    +a(g822
    +g978
    +tp1524
    +a(g436
    +Vline
    +p1525
    +tp1526
    +a(g822
    +g978
    +tp1527
    +a(g436
    +Vcss
    +p1528
    +tp1529
    +a(g822
    +g978
    +tp1530
    +a(g373
    +g1347
    +tp1531
    +a(g822
    +V\u000a    
    +p1532
    +tp1533
    +a(g608
    +Vparse
    +p1534
    +tp1535
    +a(g822
    +g978
    +tp1536
    +a(g436
    +Vline
    +p1537
    +tp1538
    +a(g822
    +g978
    +tp1539
    +a(g373
    +g1347
    +tp1540
    +a(g84
    +g989
    +tp1541
    +a(g84
    +V.syntax .
    +p1542
    +tp1543
    +a(g84
    +g989
    +tp1544
    +a(g822
    +g978
    +tp1545
    +a(g569
    +Vcopy
    +p1546
    +tp1547
    +a(g822
    +g978
    +tp1548
    +a(g436
    +Vc
    +p1549
    +tp1550
    +a(g822
    +g978
    +tp1551
    +a(g569
    +Vto
    +p1552
    +tp1553
    +a(g822
    +g978
    +tp1554
    +a(g84
    +g989
    +tp1555
    +a(g84
    +g978
    +tp1556
    +a(g84
    +g989
    +tp1557
    +a(g822
    +g978
    +tp1558
    +a(g436
    +Vthru
    +p1559
    +tp1560
    +a(g822
    +g978
    +tp1561
    +a(g84
    +g989
    +tp1562
    +a(g84
    +V/*
    +p1563
    +tp1564
    +a(g84
    +g989
    +tp1565
    +a(g822
    +g978
    +tp1566
    +a(g569
    +Vcopy
    +p1567
    +tp1568
    +a(g822
    +g978
    +tp1569
    +a(g436
    +Vt
    +p1570
    +tp1571
    +a(g822
    +g978
    +tp1572
    +a(g569
    +Vto
    +p1573
    +tp1574
    +a(g822
    +g978
    +tp1575
    +a(g84
    +g989
    +tp1576
    +a(g84
    +V*/
    +p1577
    +tp1578
    +a(g84
    +g989
    +tp1579
    +a(g822
    +g978
    +tp1580
    +a(g569
    +Vto
    +p1581
    +tp1582
    +a(g822
    +g978
    +tp1583
    +a(g436
    +Vend
    +p1584
    +tp1585
    +a(g822
    +g978
    +tp1586
    +a(g373
    +g1214
    +tp1587
    +a(g822
    +V\u000a        
    +p1588
    +tp1589
    +a(g766
    +Vappend
    +p1590
    +tp1591
    +a(g822
    +g978
    +tp1592
    +a(g436
    +Vout
    +p1593
    +tp1594
    +a(g822
    +g978
    +tp1595
    +a(g766
    +Vrejoin
    +p1596
    +tp1597
    +a(g822
    +g978
    +tp1598
    +a(g373
    +g1347
    +tp1599
    +a(g84
    +g989
    +tp1600
    +a(g84
    +V
    +p1614
    +tp1615
    +a(g208
    +V^/
    +p1616
    +tp1617
    +a(g84
    +g989
    +tp1618
    +a(g373
    +g976
    +tp1619
    +a(g373
    +g1231
    +tp1620
    +a(g822
    +V\u000a    
    +p1621
    +tp1622
    +a(g373
    +g976
    +tp1623
    +a(g822
    +V\u000a
    +p1624
    +tp1625
    +a(g373
    +g976
    +tp1626
    +a(g822
    +V\u000a
    +p1627
    +tp1628
    +a(g677
    +Vwrite
    +p1629
    +tp1630
    +a(g822
    +g978
    +tp1631
    +a(g766
    +Vjoin
    +p1632
    +tp1633
    +a(g822
    +g978
    +tp1634
    +a(g436
    +Vstyle
    +p1635
    +tp1636
    +a(g822
    +g978
    +tp1637
    +a(g491
    +V%.html
    +p1638
    +tp1639
    +a(g822
    +g978
    +tp1640
    +a(g766
    +Vjoin
    +p1641
    +tp1642
    +a(g822
    +g978
    +tp1643
    +a(g436
    +Vout
    +p1644
    +tp1645
    +a(g822
    +g978
    +tp1646
    +a(g84
    +g989
    +tp1647
    +a(g84
    +V
    +p1648 +tp1649 +a(g84 +g989 +tp1650 +a(g822 +V\u000a +p1651 +tp1652 +a(g608 +Vhalt +p1653 +tp1654 +a(g822 +V\u000a +p1655 +tp1656 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.rb b/tests/examplefiles/output/test.rb new file mode 100644 index 0000000..d4c195d --- /dev/null +++ b/tests/examplefiles/output/test.rb @@ -0,0 +1,6097 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Variable' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'Class' +p15 +g1 +(g2 +g3 +(g4 +g9 +g15 +tp16 +tp17 +Rp18 +(dp19 +g14 +g12 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Anonymous' +p25 +g1 +(g2 +g3 +(g4 +g9 +g25 +tp26 +tp27 +Rp28 +(dp29 +g14 +g12 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Instance' +p33 +g1 +(g2 +g3 +(g4 +g9 +g33 +tp34 +tp35 +Rp36 +(dp37 +g14 +g12 +sg20 +g21 +((lp38 +tp39 +Rp40 +sbsS'Global' +p41 +g1 +(g2 +g3 +(g4 +g9 +g41 +tp42 +tp43 +Rp44 +(dp45 +g14 +g12 +sg20 +g21 +((lp46 +tp47 +Rp48 +sbsg20 +g21 +((lp49 +g28 +ag36 +ag1 +(g2 +g3 +(g4 +g9 +S'Magic' +p50 +tp51 +tp52 +Rp53 +(dp54 +g14 +g12 +sg20 +g21 +((lp55 +tp56 +Rp57 +sbag44 +ag18 +atp58 +Rp59 +sg50 +g53 +sbsS'Operator' +p60 +g1 +(g2 +g3 +(g4 +g60 +tp61 +tp62 +Rp63 +(dp64 +g14 +g7 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbsS'Decorator' +p68 +g1 +(g2 +g3 +(g4 +g68 +tp69 +tp70 +Rp71 +(dp72 +g14 +g7 +sg20 +g21 +((lp73 +tp74 +Rp75 +sbsS'Entity' +p76 +g1 +(g2 +g3 +(g4 +g76 +tp77 +tp78 +Rp79 +(dp80 +g14 +g7 +sS'DBS' +p81 +g1 +(g2 +g3 +(g4 +g76 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g79 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsg20 +g21 +((lp89 +g84 +atp90 +Rp91 +sbsS'Symbol' +p92 +g1 +(g2 +g3 +(g4 +g92 +tp93 +tp94 +Rp95 +(dp96 +g14 +g7 +sg20 +g21 +((lp97 +tp98 +Rp99 +sbsS'Property' +p100 +g1 +(g2 +g3 +(g4 +g100 +tp101 +tp102 +Rp103 +(dp104 +g14 +g7 +sg20 +g21 +((lp105 +tp106 +Rp107 +sbsS'Pseudo' +p108 +g1 +(g2 +g3 +(g4 +g108 +tp109 +tp110 +Rp111 +(dp112 +g14 +g7 +sg20 +g21 +((lp113 +tp114 +Rp115 +sbsS'Type' +p116 +g1 +(g2 +g3 +(g4 +g116 +tp117 +tp118 +Rp119 +(dp120 +g14 +g7 +sg20 +g21 +((lp121 +tp122 +Rp123 +sbsS'Classes' +p124 +g1 +(g2 +g3 +(g4 +g124 +tp125 +tp126 +Rp127 +(dp128 +g14 +g7 +sg20 +g21 +((lp129 +tp130 +Rp131 +sbsS'Tag' +p132 +g1 +(g2 +g3 +(g4 +g132 +tp133 +tp134 +Rp135 +(dp136 +g14 +g7 +sg20 +g21 +((lp137 +tp138 +Rp139 +sbsS'Constant' +p140 +g1 +(g2 +g3 +(g4 +g140 +tp141 +tp142 +Rp143 +(dp144 +g14 +g7 +sg20 +g21 +((lp145 +tp146 +Rp147 +sbsS'Function' +p148 +g1 +(g2 +g3 +(g4 +g148 +tp149 +tp150 +Rp151 +(dp152 +g14 +g7 +sg20 +g21 +((lp153 +g1 +(g2 +g3 +(g4 +g148 +g50 +tp154 +tp155 +Rp156 +(dp157 +g14 +g151 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbatp161 +Rp162 +sg50 +g156 +sbsS'Blubb' +p163 +g1 +(g2 +g3 +(g4 +g163 +tp164 +tp165 +Rp166 +(dp167 +g14 +g7 +sg20 +g21 +((lp168 +tp169 +Rp170 +sbsS'Label' +p171 +g1 +(g2 +g3 +(g4 +g171 +tp172 +tp173 +Rp174 +(dp175 +g14 +g7 +sg20 +g21 +((lp176 +tp177 +Rp178 +sbsS'Field' +p179 +g1 +(g2 +g3 +(g4 +g179 +tp180 +tp181 +Rp182 +(dp183 +g14 +g7 +sg20 +g21 +((lp184 +tp185 +Rp186 +sbsS'Exception' +p187 +g1 +(g2 +g3 +(g4 +g187 +tp188 +tp189 +Rp190 +(dp191 +g14 +g7 +sg20 +g21 +((lp192 +tp193 +Rp194 +sbsS'Namespace' +p195 +g1 +(g2 +g3 +(g4 +g195 +tp196 +tp197 +Rp198 +(dp199 +g14 +g7 +sg20 +g21 +((lp200 +tp201 +Rp202 +sbsg20 +g21 +((lp203 +g71 +ag166 +ag111 +ag79 +ag12 +ag190 +ag103 +ag135 +ag151 +ag127 +ag1 +(g2 +g3 +(g4 +g15 +tp204 +tp205 +Rp206 +(dp207 +g14 +g7 +sg81 +g1 +(g2 +g3 +(g4 +g15 +g81 +tp208 +tp209 +Rp210 +(dp211 +g14 +g206 +sg20 +g21 +((lp212 +tp213 +Rp214 +sbsg20 +g21 +((lp215 +g1 +(g2 +g3 +(g4 +g15 +S'Start' +p216 +tp217 +tp218 +Rp219 +(dp220 +g14 +g206 +sg20 +g21 +((lp221 +tp222 +Rp223 +sbag210 +atp224 +Rp225 +sg216 +g219 +sbag1 +(g2 +g3 +(g4 +S'Other' +p226 +tp227 +tp228 +Rp229 +(dp230 +g14 +g7 +sS'Member' +p231 +g1 +(g2 +g3 +(g4 +g226 +g231 +tp232 +tp233 +Rp234 +(dp235 +g14 +g229 +sg20 +g21 +((lp236 +tp237 +Rp238 +sbsg20 +g21 +((lp239 +g234 +atp240 +Rp241 +sbag174 +ag63 +ag198 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p242 +tp243 +tp244 +Rp245 +(dp246 +g14 +g7 +sg9 +g1 +(g2 +g3 +(g4 +g242 +g9 +tp247 +tp248 +Rp249 +(dp250 +g14 +g245 +sg20 +g21 +((lp251 +tp252 +Rp253 +sbsg20 +g21 +((lp254 +g249 +atp255 +Rp256 +sbag143 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p257 +tp258 +tp259 +Rp260 +(dp261 +g14 +g7 +sg116 +g1 +(g2 +g3 +(g4 +g257 +g116 +tp262 +tp263 +Rp264 +(dp265 +g14 +g260 +sg20 +g21 +((lp266 +tp267 +Rp268 +sbsg20 +g21 +((lp269 +g1 +(g2 +g3 +(g4 +g257 +g108 +tp270 +tp271 +Rp272 +(dp273 +g14 +g260 +sg20 +g21 +((lp274 +tp275 +Rp276 +sbag264 +atp277 +Rp278 +sg108 +g272 +sbag182 +ag119 +ag95 +atp279 +Rp280 +sg14 +g1 +(g2 +g3 +(ttp281 +Rp282 +(dp283 +S'Number' +p284 +g1 +(g2 +g3 +(S'Literal' +p285 +g284 +tp286 +tp287 +Rp288 +(dp289 +S'Integer' +p290 +g1 +(g2 +g3 +(g285 +g284 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g288 +sS'Long' +p295 +g1 +(g2 +g3 +(g285 +g284 +g290 +g295 +tp296 +tp297 +Rp298 +(dp299 +g14 +g293 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g298 +atp304 +Rp305 +sbsg14 +g1 +(g2 +g3 +(g285 +tp306 +tp307 +Rp308 +(dp309 +S'Scalar' +p310 +g1 +(g2 +g3 +(g285 +g310 +tp311 +tp312 +Rp313 +(dp314 +g14 +g308 +sg20 +g21 +((lp315 +g1 +(g2 +g3 +(g285 +g310 +S'Plain' +p316 +tp317 +tp318 +Rp319 +(dp320 +g14 +g313 +sg20 +g21 +((lp321 +tp322 +Rp323 +sbatp324 +Rp325 +sg316 +g319 +sbsg284 +g288 +sg14 +g282 +sg226 +g1 +(g2 +g3 +(g285 +g226 +tp326 +tp327 +Rp328 +(dp329 +g14 +g308 +sg20 +g21 +((lp330 +tp331 +Rp332 +sbsS'Char' +p333 +g1 +(g2 +g3 +(g285 +g333 +tp334 +tp335 +Rp336 +(dp337 +g14 +g308 +sg20 +g21 +((lp338 +tp339 +Rp340 +sbsS'String' +p341 +g1 +(g2 +g3 +(g285 +g341 +tp342 +tp343 +Rp344 +(dp345 +g333 +g1 +(g2 +g3 +(g285 +g341 +g333 +tp346 +tp347 +Rp348 +(dp349 +g14 +g344 +sg20 +g21 +((lp350 +tp351 +Rp352 +sbsS'Backtick' +p353 +g1 +(g2 +g3 +(g285 +g341 +g353 +tp354 +tp355 +Rp356 +(dp357 +g14 +g344 +sg20 +g21 +((lp358 +tp359 +Rp360 +sbsS'Heredoc' +p361 +g1 +(g2 +g3 +(g285 +g341 +g361 +tp362 +tp363 +Rp364 +(dp365 +g14 +g344 +sg20 +g21 +((lp366 +tp367 +Rp368 +sbsg92 +g1 +(g2 +g3 +(g285 +g341 +g92 +tp369 +tp370 +Rp371 +(dp372 +g14 +g344 +sg20 +g21 +((lp373 +tp374 +Rp375 +sbsS'Interpol' +p376 +g1 +(g2 +g3 +(g285 +g341 +g376 +tp377 +tp378 +Rp379 +(dp380 +g14 +g344 +sg20 +g21 +((lp381 +tp382 +Rp383 +sbsS'Delimiter' +p384 +g1 +(g2 +g3 +(g285 +g341 +g384 +tp385 +tp386 +Rp387 +(dp388 +g14 +g344 +sg20 +g21 +((lp389 +tp390 +Rp391 +sbsS'Boolean' +p392 +g1 +(g2 +g3 +(g285 +g341 +g392 +tp393 +tp394 +Rp395 +(dp396 +g14 +g344 +sg20 +g21 +((lp397 +tp398 +Rp399 +sbsS'Character' +p400 +g1 +(g2 +g3 +(g285 +g341 +g400 +tp401 +tp402 +Rp403 +(dp404 +g14 +g344 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbsS'Double' +p408 +g1 +(g2 +g3 +(g285 +g341 +g408 +tp409 +tp410 +Rp411 +(dp412 +g14 +g344 +sg20 +g21 +((lp413 +tp414 +Rp415 +sbsS'Delimeter' +p416 +g1 +(g2 +g3 +(g285 +g341 +g416 +tp417 +tp418 +Rp419 +(dp420 +g14 +g344 +sg20 +g21 +((lp421 +tp422 +Rp423 +sbsS'Atom' +p424 +g1 +(g2 +g3 +(g285 +g341 +g424 +tp425 +tp426 +Rp427 +(dp428 +g14 +g344 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbsS'Affix' +p432 +g1 +(g2 +g3 +(g285 +g341 +g432 +tp433 +tp434 +Rp435 +(dp436 +g14 +g344 +sg20 +g21 +((lp437 +tp438 +Rp439 +sbsg4 +g1 +(g2 +g3 +(g285 +g341 +g4 +tp440 +tp441 +Rp442 +(dp443 +g14 +g344 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbsS'Regex' +p447 +g1 +(g2 +g3 +(g285 +g341 +g447 +tp448 +tp449 +Rp450 +(dp451 +g14 +g344 +sg20 +g21 +((lp452 +tp453 +Rp454 +sbsS'Interp' +p455 +g1 +(g2 +g3 +(g285 +g341 +g455 +tp456 +tp457 +Rp458 +(dp459 +g14 +g344 +sg20 +g21 +((lp460 +tp461 +Rp462 +sbsS'Escape' +p463 +g1 +(g2 +g3 +(g285 +g341 +g463 +tp464 +tp465 +Rp466 +(dp467 +g14 +g344 +sg20 +g21 +((lp468 +tp469 +Rp470 +sbsg20 +g21 +((lp471 +g387 +ag371 +ag450 +ag1 +(g2 +g3 +(g285 +g341 +S'Doc' +p472 +tp473 +tp474 +Rp475 +(dp476 +g14 +g344 +sg20 +g21 +((lp477 +tp478 +Rp479 +sbag403 +ag395 +ag411 +ag379 +ag427 +ag419 +ag442 +ag466 +ag1 +(g2 +g3 +(g285 +g341 +S'Single' +p480 +tp481 +tp482 +Rp483 +(dp484 +g14 +g344 +sg20 +g21 +((lp485 +tp486 +Rp487 +sbag1 +(g2 +g3 +(g285 +g341 +g226 +tp488 +tp489 +Rp490 +(dp491 +g14 +g344 +sg20 +g21 +((lp492 +tp493 +Rp494 +sbag458 +ag356 +ag435 +ag1 +(g2 +g3 +(g285 +g341 +S'Moment' +p495 +tp496 +tp497 +Rp498 +(dp499 +g14 +g344 +sg20 +g21 +((lp500 +tp501 +Rp502 +sbag348 +ag364 +atp503 +Rp504 +sg480 +g483 +sg495 +g498 +sg14 +g308 +sg226 +g490 +sg472 +g475 +sbsg20 +g21 +((lp505 +g344 +ag336 +ag328 +ag1 +(g2 +g3 +(g285 +S'Date' +p506 +tp507 +tp508 +Rp509 +(dp510 +g14 +g308 +sg20 +g21 +((lp511 +tp512 +Rp513 +sbag313 +ag288 +atp514 +Rp515 +sg506 +g509 +sbsS'Bin' +p516 +g1 +(g2 +g3 +(g285 +g284 +g516 +tp517 +tp518 +Rp519 +(dp520 +g14 +g288 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbsS'Radix' +p524 +g1 +(g2 +g3 +(g285 +g284 +g524 +tp525 +tp526 +Rp527 +(dp528 +g14 +g288 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbsS'Oct' +p532 +g1 +(g2 +g3 +(g285 +g284 +g532 +tp533 +tp534 +Rp535 +(dp536 +g14 +g288 +sg20 +g21 +((lp537 +tp538 +Rp539 +sbsS'Dec' +p540 +g1 +(g2 +g3 +(g285 +g284 +g540 +tp541 +tp542 +Rp543 +(dp544 +g14 +g288 +sg20 +g21 +((lp545 +tp546 +Rp547 +sbsS'Hex' +p548 +g1 +(g2 +g3 +(g285 +g284 +g548 +tp549 +tp550 +Rp551 +(dp552 +g14 +g288 +sg20 +g21 +((lp553 +tp554 +Rp555 +sbsg20 +g21 +((lp556 +g293 +ag527 +ag543 +ag1 +(g2 +g3 +(g285 +g284 +S'Decimal' +p557 +tp558 +tp559 +Rp560 +(dp561 +g14 +g288 +sg20 +g21 +((lp562 +tp563 +Rp564 +sbag519 +ag1 +(g2 +g3 +(g285 +g284 +S'Float' +p565 +tp566 +tp567 +Rp568 +(dp569 +g14 +g288 +sg20 +g21 +((lp570 +tp571 +Rp572 +sbag535 +ag551 +atp573 +Rp574 +sg557 +g560 +sg565 +g568 +sbsS'Generic' +p575 +g1 +(g2 +g3 +(g575 +tp576 +tp577 +Rp578 +(dp579 +g14 +g282 +sS'Deleted' +p580 +g1 +(g2 +g3 +(g575 +g580 +tp581 +tp582 +Rp583 +(dp584 +g14 +g578 +sg20 +g21 +((lp585 +tp586 +Rp587 +sbsS'Subheading' +p588 +g1 +(g2 +g3 +(g575 +g588 +tp589 +tp590 +Rp591 +(dp592 +g14 +g578 +sg20 +g21 +((lp593 +tp594 +Rp595 +sbsS'Heading' +p596 +g1 +(g2 +g3 +(g575 +g596 +tp597 +tp598 +Rp599 +(dp600 +g14 +g578 +sg20 +g21 +((lp601 +tp602 +Rp603 +sbsS'Emph' +p604 +g1 +(g2 +g3 +(g575 +g604 +tp605 +tp606 +Rp607 +(dp608 +g14 +g578 +sg20 +g21 +((lp609 +tp610 +Rp611 +sbsS'Prompt' +p612 +g1 +(g2 +g3 +(g575 +g612 +tp613 +tp614 +Rp615 +(dp616 +g14 +g578 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbsS'Inserted' +p620 +g1 +(g2 +g3 +(g575 +g620 +tp621 +tp622 +Rp623 +(dp624 +g14 +g578 +sg20 +g21 +((lp625 +tp626 +Rp627 +sbsS'Strong' +p628 +g1 +(g2 +g3 +(g575 +g628 +tp629 +tp630 +Rp631 +(dp632 +g14 +g578 +sg20 +g21 +((lp633 +tp634 +Rp635 +sbsS'Error' +p636 +g1 +(g2 +g3 +(g575 +g636 +tp637 +tp638 +Rp639 +(dp640 +g14 +g578 +sg20 +g21 +((lp641 +tp642 +Rp643 +sbsS'Traceback' +p644 +g1 +(g2 +g3 +(g575 +g644 +tp645 +tp646 +Rp647 +(dp648 +g14 +g578 +sg20 +g21 +((lp649 +tp650 +Rp651 +sbsg20 +g21 +((lp652 +g599 +ag591 +ag1 +(g2 +g3 +(g575 +S'Output' +p653 +tp654 +tp655 +Rp656 +(dp657 +g14 +g578 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbag631 +ag607 +ag639 +ag647 +ag623 +ag615 +ag583 +atp661 +Rp662 +sg653 +g656 +sbsg60 +g1 +(g2 +g3 +(g60 +tp663 +tp664 +Rp665 +(dp666 +g14 +g282 +sg81 +g1 +(g2 +g3 +(g60 +g81 +tp667 +tp668 +Rp669 +(dp670 +g14 +g665 +sg20 +g21 +((lp671 +tp672 +Rp673 +sbsg20 +g21 +((lp674 +g669 +ag1 +(g2 +g3 +(g60 +S'Word' +p675 +tp676 +tp677 +Rp678 +(dp679 +g14 +g665 +sg20 +g21 +((lp680 +tp681 +Rp682 +sbatp683 +Rp684 +sg675 +g678 +sbsg341 +g344 +sg4 +g7 +sS'Punctuation' +p685 +g1 +(g2 +g3 +(g685 +tp686 +tp687 +Rp688 +(dp689 +g14 +g282 +sg20 +g21 +((lp690 +g1 +(g2 +g3 +(g685 +S'Indicator' +p691 +tp692 +tp693 +Rp694 +(dp695 +g14 +g688 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbatp699 +Rp700 +sg691 +g694 +sbsS'Comment' +p701 +g1 +(g2 +g3 +(g701 +tp702 +tp703 +Rp704 +(dp705 +S'Multi' +p706 +g1 +(g2 +g3 +(g701 +g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g704 +sg20 +g21 +((lp711 +tp712 +Rp713 +sbsg14 +g282 +sS'Special' +p714 +g1 +(g2 +g3 +(g701 +g714 +tp715 +tp716 +Rp717 +(dp718 +g14 +g704 +sg20 +g21 +((lp719 +tp720 +Rp721 +sbsS'Hashbang' +p722 +g1 +(g2 +g3 +(g701 +g722 +tp723 +tp724 +Rp725 +(dp726 +g14 +g704 +sg20 +g21 +((lp727 +tp728 +Rp729 +sbsS'Preproc' +p730 +g1 +(g2 +g3 +(g701 +g730 +tp731 +tp732 +Rp733 +(dp734 +g14 +g704 +sg20 +g21 +((lp735 +tp736 +Rp737 +sbsg480 +g1 +(g2 +g3 +(g701 +g480 +tp738 +tp739 +Rp740 +(dp741 +g14 +g704 +sg20 +g21 +((lp742 +tp743 +Rp744 +sbsS'Directive' +p745 +g1 +(g2 +g3 +(g701 +g745 +tp746 +tp747 +Rp748 +(dp749 +g14 +g704 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsg472 +g1 +(g2 +g3 +(g701 +g472 +tp753 +tp754 +Rp755 +(dp756 +g14 +g704 +sg20 +g21 +((lp757 +tp758 +Rp759 +sbsS'Singleline' +p760 +g1 +(g2 +g3 +(g701 +g760 +tp761 +tp762 +Rp763 +(dp764 +g14 +g704 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Multiline' +p768 +g1 +(g2 +g3 +(g701 +g768 +tp769 +tp770 +Rp771 +(dp772 +g14 +g704 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g755 +ag748 +ag725 +ag709 +ag763 +ag733 +ag771 +ag740 +ag1 +(g2 +g3 +(g701 +S'PreprocFile' +p777 +tp778 +tp779 +Rp780 +(dp781 +g14 +g704 +sg20 +g21 +((lp782 +tp783 +Rp784 +sbag1 +(g2 +g3 +(g701 +S'SingleLine' +p785 +tp786 +tp787 +Rp788 +(dp789 +g14 +g704 +sg20 +g21 +((lp790 +tp791 +Rp792 +sbag717 +atp793 +Rp794 +sg777 +g780 +sg785 +g788 +sbsg285 +g308 +sg226 +g1 +(g2 +g3 +(g226 +tp795 +tp796 +Rp797 +(dp798 +g14 +g282 +sg20 +g21 +((lp799 +tp800 +Rp801 +sbsg636 +g1 +(g2 +g3 +(g636 +tp802 +tp803 +Rp804 +(dp805 +g14 +g282 +sg20 +g21 +((lp806 +tp807 +Rp808 +sbsS'Token' +p809 +g282 +sg463 +g1 +(g2 +g3 +(g463 +tp810 +tp811 +Rp812 +(dp813 +g14 +g282 +sg20 +g21 +((lp814 +tp815 +Rp816 +sbsg20 +g21 +((lp817 +g7 +ag797 +ag1 +(g2 +g3 +(S'Keyword' +p818 +tp819 +tp820 +Rp821 +(dp822 +g14 +g282 +sg116 +g1 +(g2 +g3 +(g818 +g116 +tp823 +tp824 +Rp825 +(dp826 +g14 +g821 +sg20 +g21 +((lp827 +tp828 +Rp829 +sbsS'Control' +p830 +g1 +(g2 +g3 +(g818 +g830 +tp831 +tp832 +Rp833 +(dp834 +g14 +g821 +sg20 +g21 +((lp835 +tp836 +Rp837 +sbsg140 +g1 +(g2 +g3 +(g818 +g140 +tp838 +tp839 +Rp840 +(dp841 +g14 +g821 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg195 +g1 +(g2 +g3 +(g818 +g195 +tp845 +tp846 +Rp847 +(dp848 +g14 +g821 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsS'PreProc' +p852 +g1 +(g2 +g3 +(g818 +g852 +tp853 +tp854 +Rp855 +(dp856 +g14 +g821 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg108 +g1 +(g2 +g3 +(g818 +g108 +tp860 +tp861 +Rp862 +(dp863 +g14 +g821 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsS'Reserved' +p867 +g1 +(g2 +g3 +(g818 +g867 +tp868 +tp869 +Rp870 +(dp871 +g14 +g821 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbsg20 +g21 +((lp875 +g847 +ag1 +(g2 +g3 +(g818 +g675 +tp876 +tp877 +Rp878 +(dp879 +g14 +g821 +sg20 +g21 +((lp880 +tp881 +Rp882 +sbag833 +ag1 +(g2 +g3 +(g818 +S'Declaration' +p883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g821 +sg20 +g21 +((lp888 +tp889 +Rp890 +sbag1 +(g2 +g3 +(g818 +g818 +tp891 +tp892 +Rp893 +(dp894 +g14 +g821 +sg20 +g21 +((lp895 +tp896 +Rp897 +sbag862 +ag840 +ag825 +ag870 +ag855 +atp898 +Rp899 +sg818 +g893 +sg883 +g886 +sg675 +g878 +sbag578 +ag1 +(g2 +g3 +(S'Text' +p900 +tp901 +tp902 +Rp903 +(dp904 +S'Beer' +p905 +g1 +(g2 +g3 +(g900 +g905 +tp906 +tp907 +Rp908 +(dp909 +g14 +g903 +sg20 +g21 +((lp910 +tp911 +Rp912 +sbsS'Whitespace' +p913 +g1 +(g2 +g3 +(g900 +g913 +tp914 +tp915 +Rp916 +(dp917 +g14 +g903 +sg20 +g21 +((lp918 +tp919 +Rp920 +sbsg14 +g282 +sS'Root' +p921 +g1 +(g2 +g3 +(g900 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g903 +sg20 +g21 +((lp926 +tp927 +Rp928 +sbsg92 +g1 +(g2 +g3 +(g900 +g92 +tp929 +tp930 +Rp931 +(dp932 +g14 +g903 +sg20 +g21 +((lp933 +tp934 +Rp935 +sbsg685 +g1 +(g2 +g3 +(g900 +g685 +tp936 +tp937 +Rp938 +(dp939 +g14 +g903 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbsg20 +g21 +((lp943 +g924 +ag931 +ag938 +ag916 +ag908 +ag1 +(g2 +g3 +(g900 +S'Rag' +p944 +tp945 +tp946 +Rp947 +(dp948 +g14 +g903 +sg20 +g21 +((lp949 +tp950 +Rp951 +sbatp952 +Rp953 +sg944 +g947 +sbag665 +ag812 +ag688 +ag704 +ag804 +ag308 +atp954 +Rp955 +sg818 +g821 +sg900 +g903 +sbsg15 +g206 +sg257 +g260 +sg242 +g245 +sg226 +g229 +sbVa +p956 +tp957 +a(g665 +V. +p958 +tp959 +a(g7 +Veach +p960 +tp961 +a(g688 +V{ +p962 +tp963 +a(g665 +V| +p964 +tp965 +a(g7 +Vel +p966 +tp967 +a(g665 +g964 +tp968 +a(g7 +Vanz +p969 +tp970 +a(g665 +V[ +p971 +tp972 +a(g7 +Vel +p973 +tp974 +a(g665 +V] +p975 +tp976 +a(g665 +V= +p977 +tp978 +a(g7 +Vanz +p979 +tp980 +a(g665 +g971 +tp981 +a(g7 +Vel +p982 +tp983 +a(g665 +g975 +tp984 +a(g688 +V? +p985 +tp986 +a(g7 +Vanz +p987 +tp988 +a(g665 +g971 +tp989 +a(g7 +Vel +p990 +tp991 +a(g665 +g975 +tp992 +a(g665 +V+ +p993 +tp994 +a(g293 +V1 +p995 +tp996 +a(g688 +V: +p997 +tp998 +a(g293 +g995 +tp999 +a(g688 +V} +p1000 +tp1001 +a(g903 +V\u000a +p1002 +tp1003 +a(g821 +Vwhile +p1004 +tp1005 +a(g903 +V +p1006 +tp1007 +a(g7 +Vx +p1008 +tp1009 +a(g665 +V< +p1010 +tp1011 +a(g293 +V10000 +p1012 +tp1013 +a(g903 +V\u000a +p1014 +tp1015 +a(g740 +V#a bis f dienen dazu die Nachbarschaft festzulegen. Man stelle sich die #Zahl von 1 bis 64 im Binärcode vor 1 bedeutet an 0 aus +p1016 +tp1017 +a(g903 +V\u000a +p1018 +tp1019 +a(g7 +Vb +p1020 +tp1021 +a(g665 +g977 +tp1022 +a(g688 +V( +p1023 +tp1024 +a(g260 +Vp +p1025 +tp1026 +a(g665 +g971 +tp1027 +a(g7 +g1008 +tp1028 +a(g665 +g975 +tp1029 +a(g665 +V% +p1030 +tp1031 +a(g293 +V32 +p1032 +tp1033 +a(g688 +V) +p1034 +tp1035 +a(g665 +V/ +p1036 +tp1037 +a(g293 +V16 +p1038 +tp1039 +a(g665 +g1010 +tp1040 +a(g293 +g995 +tp1041 +a(g903 +g1006 +tp1042 +a(g665 +g985 +tp1043 +a(g903 +g1006 +tp1044 +a(g293 +V0 +p1045 +tp1046 +a(g903 +g1006 +tp1047 +a(g688 +g997 +tp1048 +a(g903 +g1006 +tp1049 +a(g293 +g995 +tp1050 +a(g903 +V\u000a\u000a +p1051 +tp1052 +a(g688 +g1023 +tp1053 +a(g7 +g1008 +tp1054 +a(g665 +V- +p1055 +tp1056 +a(g293 +V102 +p1057 +tp1058 +a(g665 +V> +p1059 +tp1060 +a(g665 +g977 +tp1061 +a(g293 +g1045 +tp1062 +a(g665 +g985 +tp1063 +a(g903 +g1006 +tp1064 +a(g7 +Vn +p1065 +tp1066 +a(g665 +g971 +tp1067 +a(g7 +g1008 +tp1068 +a(g665 +g1055 +tp1069 +a(g293 +V102 +p1070 +tp1071 +a(g665 +g975 +tp1072 +a(g665 +g958 +tp1073 +a(g7 +Vto_i +p1074 +tp1075 +a(g903 +g1006 +tp1076 +a(g688 +g997 +tp1077 +a(g903 +g1006 +tp1078 +a(g293 +g1045 +tp1079 +a(g688 +g1034 +tp1080 +a(g665 +V* +p1081 +tp1082 +a(g7 +g956 +tp1083 +a(g665 +g993 +tp1084 +a(g688 +g1023 +tp1085 +a(g7 +g1008 +tp1086 +a(g665 +g1055 +tp1087 +a(g293 +V101 +p1088 +tp1089 +a(g665 +g1059 +tp1090 +a(g665 +g977 +tp1091 +a(g293 +g1045 +tp1092 +a(g665 +g985 +tp1093 +a(g7 +g1065 +tp1094 +a(g665 +g971 +tp1095 +a(g7 +g1008 +tp1096 +a(g665 +g1055 +tp1097 +a(g293 +V101 +p1098 +tp1099 +a(g665 +g975 +tp1100 +a(g665 +g958 +tp1101 +a(g7 +Vto_i +p1102 +tp1103 +a(g903 +g1006 +tp1104 +a(g688 +g997 +tp1105 +a(g903 +g1006 +tp1106 +a(g293 +g1045 +tp1107 +a(g688 +g1034 +tp1108 +a(g665 +g1081 +tp1109 +a(g7 +Ve +p1110 +tp1111 +a(g665 +g993 +tp1112 +a(g7 +g1065 +tp1113 +a(g665 +g971 +tp1114 +a(g7 +g1008 +tp1115 +a(g665 +g1055 +tp1116 +a(g293 +V100 +p1117 +tp1118 +a(g665 +g975 +tp1119 +a(g665 +g958 +tp1120 +a(g7 +Vto_i +p1121 +tp1122 +a(g665 +g993 +tp1123 +a(g688 +g1023 +tp1124 +a(g7 +g1008 +tp1125 +a(g665 +g1055 +tp1126 +a(g293 +V99 +p1127 +tp1128 +a(g665 +g1059 +tp1129 +a(g665 +g977 +tp1130 +a(g293 +g1045 +tp1131 +a(g665 +g985 +tp1132 +a(g903 +g1006 +tp1133 +a(g7 +g1065 +tp1134 +a(g665 +g971 +tp1135 +a(g7 +g1008 +tp1136 +a(g665 +g1055 +tp1137 +a(g293 +V99 +p1138 +tp1139 +a(g665 +g975 +tp1140 +a(g665 +g958 +tp1141 +a(g7 +Vto_i +p1142 +tp1143 +a(g903 +g1006 +tp1144 +a(g688 +g997 +tp1145 +a(g903 +g1006 +tp1146 +a(g293 +g1045 +tp1147 +a(g688 +g1034 +tp1148 +a(g665 +g1081 +tp1149 +a(g7 +Vf +p1150 +tp1151 +a(g665 +g993 +tp1152 +a(g688 +g1023 +tp1153 +a(g7 +g1008 +tp1154 +a(g665 +g1055 +tp1155 +a(g293 +V98 +p1156 +tp1157 +a(g665 +g1059 +tp1158 +a(g665 +g977 +tp1159 +a(g293 +g1045 +tp1160 +a(g665 +g985 +tp1161 +a(g903 +g1006 +tp1162 +a(g7 +g1065 +tp1163 +a(g665 +g971 +tp1164 +a(g7 +g1008 +tp1165 +a(g665 +g1055 +tp1166 +a(g293 +V98 +p1167 +tp1168 +a(g665 +g975 +tp1169 +a(g665 +g958 +tp1170 +a(g7 +Vto_i +p1171 +tp1172 +a(g903 +g1006 +tp1173 +a(g688 +g997 +tp1174 +a(g903 +g1006 +tp1175 +a(g293 +g1045 +tp1176 +a(g688 +g1034 +tp1177 +a(g665 +g1081 +tp1178 +a(g7 +g956 +tp1179 +a(g665 +g993 +tp1180 +a(g903 +V\u000a +p1181 +tp1182 +a(g7 +g1065 +tp1183 +a(g665 +g971 +tp1184 +a(g7 +g1008 +tp1185 +a(g665 +g993 +tp1186 +a(g293 +V199 +p1187 +tp1188 +a(g665 +g975 +tp1189 +a(g665 +g958 +tp1190 +a(g7 +Vto_i +p1191 +tp1192 +a(g665 +g1081 +tp1193 +a(g7 +g1020 +tp1194 +a(g665 +g993 +tp1195 +a(g7 +g1065 +tp1196 +a(g665 +g971 +tp1197 +a(g7 +g1008 +tp1198 +a(g665 +g993 +tp1199 +a(g293 +V200 +p1200 +tp1201 +a(g665 +g975 +tp1202 +a(g665 +g958 +tp1203 +a(g7 +Vto_i +p1204 +tp1205 +a(g665 +g1081 +tp1206 +a(g7 +Vd +p1207 +tp1208 +a(g665 +g993 +tp1209 +a(g7 +g1065 +tp1210 +a(g665 +g971 +tp1211 +a(g7 +g1008 +tp1212 +a(g665 +g993 +tp1213 +a(g293 +V201 +p1214 +tp1215 +a(g665 +g975 +tp1216 +a(g665 +g958 +tp1217 +a(g7 +Vto_i +p1218 +tp1219 +a(g665 +g1081 +tp1220 +a(g7 +g1020 +tp1221 +a(g903 +V\u000a\u000a +p1222 +tp1223 +a(g740 +V#und die Ausgabe folgt +p1224 +tp1225 +a(g903 +V\u000a +p1226 +tp1227 +a(g7 +Vg +p1228 +tp1229 +a(g665 +g977 +tp1230 +a(g490 +V%w{ +p1231 +tp1232 +a(g490 +g1000 +tp1233 +a(g903 +V\u000a +p1234 +tp1235 +a(g7 +g1008 +tp1236 +a(g665 +g977 +tp1237 +a(g293 +g1045 +tp1238 +a(g903 +V\u000a\u000a +p1239 +tp1240 +a(g740 +V#leere regex +p1241 +tp1242 +a(g903 +V\u000a +p1243 +tp1244 +a(g260 +Vtest +p1245 +tp1246 +a(g903 +g1006 +tp1247 +a(g450 +g1036 +tp1248 +a(g450 +g1036 +tp1249 +a(g688 +V, +p1250 +tp1251 +a(g903 +g1006 +tp1252 +a(g293 +V123 +p1253 +tp1254 +a(g903 +V\u000a\u000a +p1255 +tp1256 +a(g821 +Vwhile +p1257 +tp1258 +a(g903 +g1006 +tp1259 +a(g7 +g1008 +tp1260 +a(g665 +g1010 +tp1261 +a(g293 +V100 +p1262 +tp1263 +a(g903 +V\u000a +p1264 +tp1265 +a(g260 +Vputs +p1266 +tp1267 +a(g411 +V" +p1268 +tp1269 +a(g379 +V#{ +p1270 +tp1271 +a(g7 +g1228 +tp1272 +a(g665 +g971 +tp1273 +a(g7 +g1008 +tp1274 +a(g665 +g975 +tp1275 +a(g379 +g1000 +tp1276 +a(g411 +g1268 +tp1277 +a(g903 +V\u000a +p1278 +tp1279 +a(g7 +g1008 +tp1280 +a(g665 +V+= +p1281 +tp1282 +a(g293 +g995 +tp1283 +a(g903 +V\u000a +p1284 +tp1285 +a(g821 +Vend +p1286 +tp1287 +a(g903 +V\u000a\u000a +p1288 +tp1289 +a(g260 +Vputs +p1290 +tp1291 +a(g411 +g1268 +tp1292 +a(g411 +g1268 +tp1293 +a(g903 +V\u000a +p1294 +tp1295 +a(g260 +Vsleep +p1296 +tp1297 +a(g688 +g1023 +tp1298 +a(g293 +V10 +p1299 +tp1300 +a(g688 +g1034 +tp1301 +a(g903 +V\u000a\u000a +p1302 +tp1303 +a(g293 +g995 +tp1304 +a(g143 +VE1E1 +p1305 +tp1306 +a(g903 +V\u000a +p1307 +tp1308 +a(g260 +Vputs +p1309 +tp1310 +a(g903 +g1006 +tp1311 +a(g293 +V30 +p1312 +tp1313 +a(g665 +g958 +tp1314 +a(g7 +Vsend +p1315 +tp1316 +a(g688 +g1023 +tp1317 +a(g371 +V:/ +p1318 +tp1319 +a(g688 +g1250 +tp1320 +a(g903 +g1006 +tp1321 +a(g293 +V5 +p1322 +tp1323 +a(g688 +g1034 +tp1324 +a(g903 +g1006 +tp1325 +a(g740 +V# prints 6 +p1326 +tp1327 +a(g903 +V\u000a\u000a +p1328 +tp1329 +a(g740 +V# fun with class attributes +p1330 +tp1331 +a(g903 +V\u000a +p1332 +tp1333 +a(g821 +Vclass +p1334 +tp1335 +a(g903 +g1006 +tp1336 +a(g206 +VFoo +p1337 +tp1338 +a(g903 +V\u000a +p1339 +tp1340 +a(g821 +Vdef +p1341 +tp1342 +a(g903 +g1006 +tp1343 +a(g206 +Vself +p1344 +tp1345 +a(g665 +g958 +tp1346 +a(g151 +Vblub +p1347 +tp1348 +a(g903 +g1006 +tp1349 +a(g7 +g1008 +tp1350 +a(g903 +V\u000a +p1351 +tp1352 +a(g821 +Vif +p1353 +tp1354 +a(g903 +g1006 +tp1355 +a(g678 +Vnot +p1356 +tp1357 +a(g903 +g1006 +tp1358 +a(g7 +g1008 +tp1359 +a(g665 +g958 +tp1360 +a(g7 +Vnil? +p1361 +tp1362 +a(g903 +V\u000a +p1363 +tp1364 +a(g260 +Vself +p1365 +tp1366 +a(g665 +g958 +tp1367 +a(g7 +Vnew +p1368 +tp1369 +a(g903 +V\u000a +p1370 +tp1371 +a(g821 +Vend +p1372 +tp1373 +a(g903 +V\u000a +p1374 +tp1375 +a(g821 +Vend +p1376 +tp1377 +a(g903 +V\u000a +p1378 +tp1379 +a(g821 +Vdef +p1380 +tp1381 +a(g903 +g1006 +tp1382 +a(g151 +Vanother_way_to_get_class +p1383 +tp1384 +a(g903 +V\u000a +p1385 +tp1386 +a(g260 +Vself +p1387 +tp1388 +a(g665 +g958 +tp1389 +a(g7 +Vclass +p1390 +tp1391 +a(g903 +V\u000a +p1392 +tp1393 +a(g821 +Vend +p1394 +tp1395 +a(g903 +V\u000a +p1396 +tp1397 +a(g821 +Vend +p1398 +tp1399 +a(g903 +V\u000a\u000a +p1400 +tp1401 +a(g740 +V# ruby 1.9 "call operator" +p1402 +tp1403 +a(g903 +V\u000a +p1404 +tp1405 +a(g7 +g956 +tp1406 +a(g903 +g1006 +tp1407 +a(g665 +g977 +tp1408 +a(g903 +g1006 +tp1409 +a(g143 +VProc +p1410 +tp1411 +a(g665 +g958 +tp1412 +a(g7 +Vnew +p1413 +tp1414 +a(g903 +g1006 +tp1415 +a(g688 +g962 +tp1416 +a(g903 +g1006 +tp1417 +a(g293 +V42 +p1418 +tp1419 +a(g903 +g1006 +tp1420 +a(g688 +g1000 +tp1421 +a(g903 +V\u000a +p1422 +tp1423 +a(g7 +g956 +tp1424 +a(g665 +g958 +tp1425 +a(g688 +g1023 +tp1426 +a(g688 +g1034 +tp1427 +a(g903 +V\u000a\u000a +p1428 +tp1429 +a(g411 +g1268 +tp1430 +a(g411 +Vinstance variables can be +p1431 +tp1432 +a(g379 +V#@included +p1433 +tp1434 +a(g411 +V, +p1435 +tp1436 +a(g379 +V#@@class_variables +p1437 +tp1438 +a(g466 +V\u005cn +p1439 +tp1440 +a(g411 +V and +p1441 +tp1442 +a(g379 +V#$globals +p1443 +tp1444 +a(g411 +V as well. +p1445 +tp1446 +a(g411 +g1268 +tp1447 +a(g903 +V\u000a +p1448 +tp1449 +a(g356 +V` +p1450 +tp1451 +a(g356 +Vinstance variables can be +p1452 +tp1453 +a(g379 +V#@included +p1454 +tp1455 +a(g356 +V, +p1456 +tp1457 +a(g379 +V#@@class_variables +p1458 +tp1459 +a(g466 +V\u005cn +p1460 +tp1461 +a(g356 +V and +p1462 +tp1463 +a(g379 +V#$globals +p1464 +tp1465 +a(g356 +V as well. +p1466 +tp1467 +a(g356 +g1450 +tp1468 +a(g903 +V\u000a +p1469 +tp1470 +a(g483 +V'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p1471 +tp1472 +a(g903 +V\u000a +p1473 +tp1474 +a(g450 +g1036 +tp1475 +a(g450 +Vinstance variables can be +p1476 +tp1477 +a(g379 +V#@included +p1478 +tp1479 +a(g450 +V, +p1480 +tp1481 +a(g379 +V#@@class_variables +p1482 +tp1483 +a(g450 +V\u005c +p1484 +tp1485 +a(g450 +Vn and +p1486 +tp1487 +a(g379 +V#$globals +p1488 +tp1489 +a(g450 +V as well. +p1490 +tp1491 +a(g450 +V/mousenix +p1492 +tp1493 +a(g903 +V\u000a +p1494 +tp1495 +a(g371 +V:" +p1496 +tp1497 +a(g371 +Vinstance variables can be +p1498 +tp1499 +a(g379 +V#@included +p1500 +tp1501 +a(g371 +V, +p1502 +tp1503 +a(g379 +V#@@class_variables +p1504 +tp1505 +a(g466 +V\u005cn +p1506 +tp1507 +a(g371 +V and +p1508 +tp1509 +a(g379 +V#$globals +p1510 +tp1511 +a(g371 +V as well. +p1512 +tp1513 +a(g371 +g1268 +tp1514 +a(g903 +V\u000a +p1515 +tp1516 +a(g371 +V:'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p1517 +tp1518 +a(g903 +V\u000a +p1519 +tp1520 +a(g490 +V%' +p1521 +tp1522 +a(g490 +Vinstance variables can be +p1523 +tp1524 +a(g379 +V#@included +p1525 +tp1526 +a(g490 +V, +p1527 +tp1528 +a(g379 +V#@@class_variables +p1529 +tp1530 +a(g490 +V\u005c +p1531 +tp1532 +a(g490 +Vn and +p1533 +tp1534 +a(g379 +V#$globals +p1535 +tp1536 +a(g490 +V as well. +p1537 +tp1538 +a(g490 +V' +p1539 +tp1540 +a(g903 +V\u000a +p1541 +tp1542 +a(g490 +V%q'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p1543 +tp1544 +a(g903 +V\u000a +p1545 +tp1546 +a(g490 +V%Q' +p1547 +tp1548 +a(g490 +Vinstance variables can be +p1549 +tp1550 +a(g379 +V#@included +p1551 +tp1552 +a(g490 +V, +p1553 +tp1554 +a(g379 +V#@@class_variables +p1555 +tp1556 +a(g490 +V\u005c +p1557 +tp1558 +a(g490 +Vn and +p1559 +tp1560 +a(g379 +V#$globals +p1561 +tp1562 +a(g490 +V as well. +p1563 +tp1564 +a(g490 +g1539 +tp1565 +a(g903 +V\u000a +p1566 +tp1567 +a(g490 +V%w'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p1568 +tp1569 +a(g903 +V\u000a +p1570 +tp1571 +a(g490 +V%W' +p1572 +tp1573 +a(g490 +Vinstance variables can be +p1574 +tp1575 +a(g379 +V#@included +p1576 +tp1577 +a(g490 +V, +p1578 +tp1579 +a(g379 +V#@@class_variables +p1580 +tp1581 +a(g490 +V\u005c +p1582 +tp1583 +a(g490 +Vn and +p1584 +tp1585 +a(g379 +V#$globals +p1586 +tp1587 +a(g490 +V as well. +p1588 +tp1589 +a(g490 +g1539 +tp1590 +a(g903 +V\u000a +p1591 +tp1592 +a(g490 +V%s'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p1593 +tp1594 +a(g903 +V\u000a +p1595 +tp1596 +a(g450 +V%r' +p1597 +tp1598 +a(g450 +Vinstance variables can be +p1599 +tp1600 +a(g379 +V#@included +p1601 +tp1602 +a(g450 +V, +p1603 +tp1604 +a(g379 +V#@@class_variables +p1605 +tp1606 +a(g450 +V\u005c +p1607 +tp1608 +a(g450 +Vn and +p1609 +tp1610 +a(g379 +V#$globals +p1611 +tp1612 +a(g450 +V as well. +p1613 +tp1614 +a(g450 +g1539 +tp1615 +a(g903 +V\u000a +p1616 +tp1617 +a(g490 +V%x' +p1618 +tp1619 +a(g490 +Vinstance variables can be +p1620 +tp1621 +a(g379 +V#@included +p1622 +tp1623 +a(g490 +V, +p1624 +tp1625 +a(g379 +V#@@class_variables +p1626 +tp1627 +a(g490 +V\u005c +p1628 +tp1629 +a(g490 +Vn and +p1630 +tp1631 +a(g379 +V#$globals +p1632 +tp1633 +a(g490 +V as well. +p1634 +tp1635 +a(g490 +g1539 +tp1636 +a(g903 +V\u000a\u000a +p1637 +tp1638 +a(g740 +V#%W[ but #@0illegal_values look strange.] +p1639 +tp1640 +a(g903 +V\u000a\u000a +p1641 +tp1642 +a(g490 +V%s#ruby allows strange# +p1643 +tp1644 +a(g688 +g962 +tp1645 +a(g7 +Vconstructs +p1646 +tp1647 +a(g688 +g1000 +tp1648 +a(g903 +V\u000a +p1649 +tp1650 +a(g490 +V%s#ruby allows strange# +p1651 +tp1652 +a(g44 +V$constructs +p1653 +tp1654 +a(g903 +V\u000a +p1655 +tp1656 +a(g490 +V%s#ruby allows strange# +p1657 +tp1658 +a(g18 +V@@constructs +p1659 +tp1660 +a(g903 +V\u000a\u000a +p1661 +tp1662 +a(g740 +V################################################################## +p1663 +tp1664 +a(g903 +V\u000a +p1665 +tp1666 +a(g740 +V# HEREDOCS +p1667 +tp1668 +a(g903 +V\u000a +p1669 +tp1670 +a(g7 +Vfoo +p1671 +tp1672 +a(g688 +g1023 +tp1673 +a(g665 +V<<- +p1674 +tp1675 +a(g364 +V +p1676 +tp1677 +a(g387 +VA +p1678 +tp1679 +a(g364 +g1676 +tp1680 +a(g688 +g1250 +tp1681 +a(g903 +g1006 +tp1682 +a(g665 +V<<- +p1683 +tp1684 +a(g364 +g1676 +tp1685 +a(g387 +VB +p1686 +tp1687 +a(g364 +g1676 +tp1688 +a(g688 +g1034 +tp1689 +a(g903 +V\u000a +p1690 +tp1691 +a(g364 +Vthis is the text of a\u000a +p1692 +tp1693 +a(g387 +VA\u000a +p1694 +tp1695 +a(g364 +Vand this is the text of b\u000a +p1696 +tp1697 +a(g387 +VB\u000a +p1698 +tp1699 +a(g903 +V\u000a +p1700 +tp1701 +a(g7 +g956 +tp1702 +a(g903 +g1006 +tp1703 +a(g665 +g977 +tp1704 +a(g903 +g1006 +tp1705 +a(g665 +V<< +p1706 +tp1707 +a(g364 +g1268 +tp1708 +a(g387 +VEOF +p1709 +tp1710 +a(g364 +g1268 +tp1711 +a(g903 +V\u000a +p1712 +tp1713 +a(g364 +VThis is a multiline #$here document\u000a +p1714 +tp1715 +a(g364 +Vterminated by EOF on a line by itself\u000a +p1716 +tp1717 +a(g387 +VEOF\u000a +p1718 +tp1719 +a(g903 +V\u000a +p1720 +tp1721 +a(g7 +g956 +tp1722 +a(g903 +g1006 +tp1723 +a(g665 +g977 +tp1724 +a(g903 +g1006 +tp1725 +a(g665 +V<< +p1726 +tp1727 +a(g364 +g1539 +tp1728 +a(g387 +VEOF +p1729 +tp1730 +a(g364 +g1539 +tp1731 +a(g903 +V\u000a +p1732 +tp1733 +a(g364 +VThis is a multiline #$here document\u000a +p1734 +tp1735 +a(g364 +Vterminated by EOF on a line by itself\u000a +p1736 +tp1737 +a(g387 +VEOF\u000a +p1738 +tp1739 +a(g903 +V\u000a +p1740 +tp1741 +a(g7 +g1020 +tp1742 +a(g665 +g977 +tp1743 +a(g688 +g1023 +tp1744 +a(g260 +g1025 +tp1745 +a(g665 +g971 +tp1746 +a(g7 +g1008 +tp1747 +a(g665 +g975 +tp1748 +a(g903 +g1006 +tp1749 +a(g665 +g1030 +tp1750 +a(g293 +V32 +p1751 +tp1752 +a(g688 +g1034 +tp1753 +a(g665 +g1036 +tp1754 +a(g293 +V16 +p1755 +tp1756 +a(g665 +g1010 +tp1757 +a(g293 +g995 +tp1758 +a(g903 +g1006 +tp1759 +a(g665 +g985 +tp1760 +a(g903 +g1006 +tp1761 +a(g293 +g1045 +tp1762 +a(g903 +g1006 +tp1763 +a(g688 +g997 +tp1764 +a(g903 +g1006 +tp1765 +a(g293 +g995 +tp1766 +a(g903 +V\u000a\u000a +p1767 +tp1768 +a(g665 +V<< +p1769 +tp1770 +a(g364 +g1268 +tp1771 +a(g387 +g1676 +tp1772 +a(g364 +g1268 +tp1773 +a(g903 +V\u000a +p1774 +tp1775 +a(g364 +V#{test}\u000a +p1776 +tp1777 +a(g364 +V#@bla\u000a +p1778 +tp1779 +a(g364 +V#die suppe!!!\u000a +p1780 +tp1781 +a(g364 +V\u005cxfffff\u000a +p1782 +tp1783 +a(g387 +V\u000a +p1784 +tp1785 +a(g903 +V\u000a +p1786 +tp1787 +a(g821 +Vsuper +p1788 +tp1789 +a(g903 +g1006 +tp1790 +a(g665 +V<<- +p1791 +tp1792 +a(g364 +g1676 +tp1793 +a(g387 +VEOE +p1794 +tp1795 +a(g364 +g1676 +tp1796 +a(g903 +g1006 +tp1797 +a(g665 +g1030 +tp1798 +a(g903 +g1006 +tp1799 +a(g665 +g971 +tp1800 +a(g903 +V\u000a +p1801 +tp1802 +a(g364 +V foo\u000a +p1803 +tp1804 +a(g387 +VEOE\u000a +p1805 +tp1806 +a(g903 +V\u000a +p1807 +tp1808 +a(g665 +V<< +p1809 +tp1810 +a(g364 +g1676 +tp1811 +a(g387 +VX +p1812 +tp1813 +a(g364 +g1676 +tp1814 +a(g903 +V\u000a +p1815 +tp1816 +a(g387 +VX\u000a +p1817 +tp1818 +a(g7 +g1812 +tp1819 +a(g903 +V\u000a\u000a +p1820 +tp1821 +a(g490 +V%s( +p1822 +tp1823 +a(g490 +Vuninter +p1824 +tp1825 +a(g490 +V\u005c) +p1826 +tp1827 +a(g490 +Vpre +p1828 +tp1829 +a(g490 +V\u005c +p1830 +tp1831 +a(g490 +Vted +p1832 +tp1833 +a(g490 +g1034 +tp1834 +a(g903 +V +p1835 +tp1836 +a(g740 +V# comment here +p1837 +tp1838 +a(g903 +V\u000a +p1839 +tp1840 +a(g490 +V%q( +p1841 +tp1842 +a(g490 +Vuninter +p1843 +tp1844 +a(g490 +V\u005c) +p1845 +tp1846 +a(g490 +Vpre +p1847 +tp1848 +a(g490 +V\u005c +p1849 +tp1850 +a(g490 +Vted +p1851 +tp1852 +a(g490 +g1034 +tp1853 +a(g903 +V +p1854 +tp1855 +a(g740 +V# comment here +p1856 +tp1857 +a(g903 +V\u000a +p1858 +tp1859 +a(g490 +V%Q( +p1860 +tp1861 +a(g490 +Vinter +p1862 +tp1863 +a(g490 +V\u005c) +p1864 +tp1865 +a(g490 +Vpre +p1866 +tp1867 +a(g466 +V\u005ct +p1868 +tp1869 +a(g490 +Ved +p1870 +tp1871 +a(g490 +g1034 +tp1872 +a(g903 +V +p1873 +tp1874 +a(g740 +V# comment here +p1875 +tp1876 +a(g903 +V\u000a +p1877 +tp1878 +a(g371 +V:" +p1879 +tp1880 +a(g371 +Vinter +p1881 +tp1882 +a(g371 +V\u005c +p1883 +tp1884 +a(g371 +V)pre +p1885 +tp1886 +a(g466 +V\u005ct +p1887 +tp1888 +a(g371 +Ved +p1889 +tp1890 +a(g371 +g1268 +tp1891 +a(g903 +V +p1892 +tp1893 +a(g740 +V# comment here +p1894 +tp1895 +a(g903 +V\u000a +p1896 +tp1897 +a(g371 +V:'uninter\u005c'pre\u005cted' +p1898 +tp1899 +a(g903 +V +p1900 +tp1901 +a(g740 +V# comment here +p1902 +tp1903 +a(g903 +V\u000a\u000a +p1904 +tp1905 +a(g490 +V%q[ +p1906 +tp1907 +a(g490 +Vhaha! +p1908 +tp1909 +a(g490 +g971 +tp1910 +a(g490 +Vnesting +p1911 +tp1912 +a(g490 +g971 +tp1913 +a(g490 +Vrocks +p1914 +tp1915 +a(g490 +g975 +tp1916 +a(g490 +V ! +p1917 +tp1918 +a(g490 +g975 +tp1919 +a(g490 +g1006 +tp1920 +a(g490 +g975 +tp1921 +a(g903 +g1006 +tp1922 +a(g740 +V# commeht here +p1923 +tp1924 +a(g903 +V\u000a\u000a\u000a +p1925 +tp1926 +a(g740 +V################################################################## +p1927 +tp1928 +a(g903 +V\u000a +p1929 +tp1930 +a(g821 +Vclass +p1931 +tp1932 +a(g903 +V +p1933 +tp1934 +a(g206 +VNP +p1935 +tp1936 +a(g903 +V\u000a +p1937 +tp1938 +a(g821 +Vdef +p1939 +tp1940 +a(g903 +V +p1941 +tp1942 +a(g151 +Vinitialize +p1943 +tp1944 +a(g903 +g1006 +tp1945 +a(g7 +g956 +tp1946 +a(g665 +g977 +tp1947 +a(g36 +V@p +p1948 +tp1949 +a(g665 +g977 +tp1950 +a(g665 +g971 +tp1951 +a(g665 +g975 +tp1952 +a(g688 +g1250 +tp1953 +a(g903 +g1006 +tp1954 +a(g7 +g1020 +tp1955 +a(g665 +g977 +tp1956 +a(g36 +V@b +p1957 +tp1958 +a(g665 +g977 +tp1959 +a(g665 +g971 +tp1960 +a(g665 +g975 +tp1961 +a(g688 +V; +p1962 +tp1963 +a(g903 +V +p1964 +tp1965 +a(g821 +Vend +p1966 +tp1967 +a(g903 +V\u000a +p1968 +tp1969 +a(g821 +Vdef +p1970 +tp1971 +a(g903 +g1006 +tp1972 +a(g151 +V+@ +p1973 +tp1974 +a(g688 +g1962 +tp1975 +a(g36 +V@b +p1976 +tp1977 +a(g665 +V<< +p1978 +tp1979 +a(g293 +g995 +tp1980 +a(g688 +g1962 +tp1981 +a(g7 +Vb2c +p1982 +tp1983 +a(g903 +g1006 +tp1984 +a(g821 +Vend +p1985 +tp1986 +a(g688 +g1962 +tp1987 +a(g821 +Vdef +p1988 +tp1989 +a(g151 +V-@ +p1990 +tp1991 +a(g688 +g1962 +tp1992 +a(g36 +V@b +p1993 +tp1994 +a(g665 +V<< +p1995 +tp1996 +a(g293 +g1045 +tp1997 +a(g688 +g1962 +tp1998 +a(g7 +Vb2c +p1999 +tp2000 +a(g903 +V +p2001 +tp2002 +a(g821 +Vend +p2003 +tp2004 +a(g903 +V\u000a +p2005 +tp2006 +a(g821 +Vdef +p2007 +tp2008 +a(g903 +V +p2009 +tp2010 +a(g151 +Vb2c +p2011 +tp2012 +a(g688 +g1962 +tp2013 +a(g821 +Vif +p2014 +tp2015 +a(g903 +g1006 +tp2016 +a(g36 +V@b +p2017 +tp2018 +a(g665 +g958 +tp2019 +a(g7 +Vsize +p2020 +tp2021 +a(g665 +V== +p2022 +tp2023 +a(g293 +V8 +p2024 +tp2025 +a(g688 +g1962 +tp2026 +a(g7 +Vc +p2027 +tp2028 +a(g665 +g977 +tp2029 +a(g293 +g1045 +tp2030 +a(g688 +g1962 +tp2031 +a(g36 +V@b +p2032 +tp2033 +a(g665 +g958 +tp2034 +a(g7 +Veach +p2035 +tp2036 +a(g688 +g962 +tp2037 +a(g665 +g964 +tp2038 +a(g7 +g1020 +tp2039 +a(g665 +g964 +tp2040 +a(g7 +g2027 +tp2041 +a(g665 +V<< +p2042 +tp2043 +a(g665 +g977 +tp2044 +a(g293 +g995 +tp2045 +a(g688 +g1962 +tp2046 +a(g7 +g2027 +tp2047 +a(g665 +V|= +p2048 +tp2049 +a(g7 +g1020 +tp2050 +a(g688 +g1000 +tp2051 +a(g688 +g1962 +tp2052 +a(g260 +Vsend +p2053 +tp2054 +a(g688 +g1023 +tp2055 +a(g903 +V\u000a +p2056 +tp2057 +a(g483 +V'lave' +p2058 +tp2059 +a(g665 +g958 +tp2060 +a(g7 +Vreverse +p2061 +tp2062 +a(g688 +g1250 +tp2063 +a(g688 +g1023 +tp2064 +a(g36 +V@p +p2065 +tp2066 +a(g665 +g958 +tp2067 +a(g7 +Vjoin +p2068 +tp2069 +a(g688 +g1034 +tp2070 +a(g688 +g1034 +tp2071 +a(g821 +Vif +p2072 +tp2073 +a(g903 +g1006 +tp2074 +a(g7 +g2027 +tp2075 +a(g665 +V== +p2076 +tp2077 +a(g293 +g1045 +tp2078 +a(g688 +g1962 +tp2079 +a(g36 +V@p +p2080 +tp2081 +a(g665 +V<< +p2082 +tp2083 +a(g903 +g1006 +tp2084 +a(g7 +g2027 +tp2085 +a(g665 +g958 +tp2086 +a(g7 +Vchr +p2087 +tp2088 +a(g688 +g1962 +tp2089 +a(g36 +V@b +p2090 +tp2091 +a(g665 +g977 +tp2092 +a(g665 +g971 +tp2093 +a(g665 +g975 +tp2094 +a(g903 +g1006 +tp2095 +a(g821 +Vend +p2096 +tp2097 +a(g903 +V\u000a +p2098 +tp2099 +a(g260 +Vself +p2100 +tp2101 +a(g903 +g1006 +tp2102 +a(g821 +Vend +p2103 +tp2104 +a(g903 +g1006 +tp2105 +a(g821 +Vend +p2106 +tp2107 +a(g903 +g1006 +tp2108 +a(g688 +g1962 +tp2109 +a(g903 +g1006 +tp2110 +a(g821 +Vbegin +p2111 +tp2112 +a(g903 +g1006 +tp2113 +a(g7 +V_ +p2114 +tp2115 +a(g903 +g1006 +tp2116 +a(g665 +g977 +tp2117 +a(g903 +g1006 +tp2118 +a(g143 +VNP +p2119 +tp2120 +a(g665 +g958 +tp2121 +a(g7 +Vnew +p2122 +tp2123 +a(g903 +V +p2124 +tp2125 +a(g821 +Vend +p2126 +tp2127 +a(g903 +V\u000a\u000a\u000a +p2128 +tp2129 +a(g740 +V# Regexes +p2130 +tp2131 +a(g903 +V\u000a +p2132 +tp2133 +a(g450 +g1036 +tp2134 +a(g450 +V\u000athis is a\u000amutliline\u000aregex\u000a +p2135 +tp2136 +a(g450 +g1036 +tp2137 +a(g903 +V\u000a\u000a +p2138 +tp2139 +a(g7 +Vthis +p2140 +tp2141 +a(g903 +g1006 +tp2142 +a(g450 +g1036 +tp2143 +a(g450 +Vis a\u000amultiline regex too +p2144 +tp2145 +a(g450 +g1036 +tp2146 +a(g903 +V\u000a\u000a +p2147 +tp2148 +a(g7 +Valso +p2149 +tp2150 +a(g903 +g1006 +tp2151 +a(g450 +g1036 +tp2152 +a(g450 +V4\u000ais one +p2153 +tp2154 +a(g450 +g1036 +tp2155 +a(g903 +V\u000a\u000a +p2156 +tp2157 +a(g7 +Vthis +p2158 +tp2159 +a(g688 +g1023 +tp2160 +a(g450 +g1036 +tp2161 +a(g450 +V\u000atoo\u000a +p2162 +tp2163 +a(g450 +g1036 +tp2164 +a(g688 +g1034 +tp2165 +a(g903 +V\u000a\u000a +p2166 +tp2167 +a(g740 +V# this not +p2168 +tp2169 +a(g903 +V\u000a +p2170 +tp2171 +a(g293 +V2 +p2172 +tp2173 +a(g903 +g1006 +tp2174 +a(g665 +g1036 +tp2175 +a(g293 +V4 +p2176 +tp2177 +a(g903 +V\u000a +p2178 +tp2179 +a(g7 +Vasfsadf +p2180 +tp2181 +a(g665 +g1036 +tp2182 +a(g903 +V\u000a\u000a\u000a +p2183 +tp2184 +a(g740 +V#from: http://coderay.rubychan.de/rays/show/383 +p2185 +tp2186 +a(g903 +V\u000a +p2187 +tp2188 +a(g821 +Vclass +p2189 +tp2190 +a(g903 +g1006 +tp2191 +a(g206 +VObject +p2192 +tp2193 +a(g903 +V\u000a +p2194 +tp2195 +a(g821 +Valias +p2196 +tp2197 +a(g903 +V +p2198 +tp2199 +a(g371 +V:xeq +p2200 +tp2201 +a(g903 +g1006 +tp2202 +a(g371 +V:` +p2203 +tp2204 +a(g903 +V\u000a +p2205 +tp2206 +a(g821 +Vdef +p2207 +tp2208 +a(g903 +g1006 +tp2209 +a(g151 +g1450 +tp2210 +a(g688 +g1023 +tp2211 +a(g7 +Vcmd +p2212 +tp2213 +a(g688 +g1250 +tp2214 +a(g903 +g1006 +tp2215 +a(g7 +Vp2 +p2216 +tp2217 +a(g688 +g1034 +tp2218 +a(g903 +V\u000a +p2219 +tp2220 +a(g260 +Vself +p2221 +tp2222 +a(g665 +g958 +tp2223 +a(g7 +Vmethod +p2224 +tp2225 +a(g688 +g1023 +tp2226 +a(g7 +Vcmd +p2227 +tp2228 +a(g665 +g958 +tp2229 +a(g7 +Vto_sym +p2230 +tp2231 +a(g688 +g1034 +tp2232 +a(g665 +g958 +tp2233 +a(g7 +Vcall +p2234 +tp2235 +a(g688 +g1023 +tp2236 +a(g7 +Vp2 +p2237 +tp2238 +a(g688 +g1034 +tp2239 +a(g903 +V\u000a +p2240 +tp2241 +a(g821 +Vend +p2242 +tp2243 +a(g903 +V\u000a +p2244 +tp2245 +a(g821 +Vend +p2246 +tp2247 +a(g903 +V\u000a +p2248 +tp2249 +a(g260 +g1025 +tp2250 +a(g903 +g1006 +tp2251 +a(g665 +g971 +tp2252 +a(g293 +g995 +tp2253 +a(g688 +g1250 +tp2254 +a(g293 +g2172 +tp2255 +a(g688 +g1250 +tp2256 +a(g293 +V3 +p2257 +tp2258 +a(g665 +g975 +tp2259 +a(g665 +g958 +tp2260 +a(g63 +g1450 +tp2261 +a(g688 +g1023 +tp2262 +a(g483 +V'concat' +p2263 +tp2264 +a(g688 +g1250 +tp2265 +a(g903 +g1006 +tp2266 +a(g665 +g971 +tp2267 +a(g293 +g2176 +tp2268 +a(g688 +g1250 +tp2269 +a(g293 +g1322 +tp2270 +a(g688 +g1250 +tp2271 +a(g293 +V6 +p2272 +tp2273 +a(g665 +g975 +tp2274 +a(g688 +g1034 +tp2275 +a(g903 +g1006 +tp2276 +a(g740 +V# => [1, 2, 3, 4, 5, 6] +p2277 +tp2278 +a(g903 +V\u000a +p2279 +tp2280 +a(g260 +g1025 +tp2281 +a(g903 +g1006 +tp2282 +a(g665 +g971 +tp2283 +a(g293 +g995 +tp2284 +a(g688 +g1250 +tp2285 +a(g293 +g2172 +tp2286 +a(g688 +g1250 +tp2287 +a(g293 +g2257 +tp2288 +a(g665 +g975 +tp2289 +a(g665 +g958 +tp2290 +a(g63 +g1450 +tp2291 +a(g688 +g1023 +tp2292 +a(g371 +V:concat +p2293 +tp2294 +a(g688 +g1250 +tp2295 +a(g903 +g1006 +tp2296 +a(g665 +g971 +tp2297 +a(g293 +g2176 +tp2298 +a(g688 +g1250 +tp2299 +a(g293 +g1322 +tp2300 +a(g688 +g1250 +tp2301 +a(g293 +g2272 +tp2302 +a(g665 +g975 +tp2303 +a(g688 +g1034 +tp2304 +a(g903 +g1006 +tp2305 +a(g740 +V# => [1, 2, 3, 4, 5, 6] +p2306 +tp2307 +a(g903 +V\u000a +p2308 +tp2309 +a(g260 +g1025 +tp2310 +a(g903 +g1006 +tp2311 +a(g411 +g1268 +tp2312 +a(g411 +VHurra! +p2313 +tp2314 +a(g411 +g1268 +tp2315 +a(g665 +g958 +tp2316 +a(g63 +g1450 +tp2317 +a(g688 +g1023 +tp2318 +a(g371 +V:* +p2319 +tp2320 +a(g688 +g1250 +tp2321 +a(g903 +g1006 +tp2322 +a(g293 +g2257 +tp2323 +a(g688 +g1034 +tp2324 +a(g903 +g1006 +tp2325 +a(g740 +V# => "Hurra! Hurra! Hurra! " +p2326 +tp2327 +a(g903 +V\u000a +p2328 +tp2329 +a(g260 +g1025 +tp2330 +a(g903 +g1006 +tp2331 +a(g411 +g1268 +tp2332 +a(g411 +VHurra! +p2333 +tp2334 +a(g411 +g1268 +tp2335 +a(g665 +g958 +tp2336 +a(g63 +g1450 +tp2337 +a(g688 +g1023 +tp2338 +a(g483 +V'*' +p2339 +tp2340 +a(g688 +g1250 +tp2341 +a(g903 +g1006 +tp2342 +a(g293 +g2257 +tp2343 +a(g688 +g1034 +tp2344 +a(g903 +g1006 +tp2345 +a(g740 +V# => "Hurra! Hurra! Hurra! " +p2346 +tp2347 +a(g903 +V\u000a +p2348 +tp2349 +a(g740 +V# Leider geht nicht die Wunschform +p2350 +tp2351 +a(g903 +V\u000a +p2352 +tp2353 +a(g740 +V# [1,2,3] `concat` [4,5,6] +p2354 +tp2355 +a(g903 +V\u000a\u000a +p2356 +tp2357 +a(g821 +Vclass +p2358 +tp2359 +a(g903 +g1006 +tp2360 +a(g206 +VObject +p2361 +tp2362 +a(g903 +V\u000a +p2363 +tp2364 +a(g18 +V@@infixops +p2365 +tp2366 +a(g903 +g1006 +tp2367 +a(g665 +g977 +tp2368 +a(g903 +g1006 +tp2369 +a(g665 +g971 +tp2370 +a(g665 +g975 +tp2371 +a(g903 +V\u000a +p2372 +tp2373 +a(g821 +Valias +p2374 +tp2375 +a(g903 +g1006 +tp2376 +a(g371 +V:xeq +p2377 +tp2378 +a(g903 +g1006 +tp2379 +a(g371 +V:` +p2380 +tp2381 +a(g903 +V\u000a +p2382 +tp2383 +a(g821 +Vdef +p2384 +tp2385 +a(g903 +g1006 +tp2386 +a(g151 +Vaddinfix +p2387 +tp2388 +a(g688 +g1023 +tp2389 +a(g7 +Voperator +p2390 +tp2391 +a(g688 +g1034 +tp2392 +a(g903 +V\u000a +p2393 +tp2394 +a(g18 +V@@infixops +p2395 +tp2396 +a(g903 +g1006 +tp2397 +a(g665 +V<< +p2398 +tp2399 +a(g903 +g1006 +tp2400 +a(g7 +Voperator +p2401 +tp2402 +a(g903 +V\u000a +p2403 +tp2404 +a(g821 +Vend +p2405 +tp2406 +a(g903 +V\u000a +p2407 +tp2408 +a(g821 +Vdef +p2409 +tp2410 +a(g903 +g1006 +tp2411 +a(g151 +g1450 +tp2412 +a(g688 +g1023 +tp2413 +a(g7 +Vexpression +p2414 +tp2415 +a(g688 +g1034 +tp2416 +a(g903 +V\u000a +p2417 +tp2418 +a(g18 +V@@infixops +p2419 +tp2420 +a(g665 +g958 +tp2421 +a(g7 +Veach +p2422 +tp2423 +a(g688 +g962 +tp2424 +a(g665 +g964 +tp2425 +a(g7 +Vop +p2426 +tp2427 +a(g665 +g964 +tp2428 +a(g821 +Vbreak +p2429 +tp2430 +a(g903 +g1006 +tp2431 +a(g821 +Vif +p2432 +tp2433 +a(g903 +g1006 +tp2434 +a(g7 +Vexpression +p2435 +tp2436 +a(g665 +g958 +tp2437 +a(g7 +Vmatch +p2438 +tp2439 +a(g688 +g1023 +tp2440 +a(g450 +g1036 +tp2441 +a(g450 +V^(.*?) ( +p2442 +tp2443 +a(g379 +V#{ +p2444 +tp2445 +a(g7 +Vop +p2446 +tp2447 +a(g379 +g1000 +tp2448 +a(g450 +V) (.*)$ +p2449 +tp2450 +a(g450 +g1036 +tp2451 +a(g688 +g1034 +tp2452 +a(g688 +g1000 +tp2453 +a(g903 +V\u000a +p2454 +tp2455 +a(g821 +Vraise +p2456 +tp2457 +a(g903 +g1006 +tp2458 +a(g411 +g1268 +tp2459 +a(g411 +Vunknown infix operator in expression: +p2460 +tp2461 +a(g379 +V#{ +p2462 +tp2463 +a(g7 +Vexpression +p2464 +tp2465 +a(g379 +g1000 +tp2466 +a(g411 +g1268 +tp2467 +a(g903 +g1006 +tp2468 +a(g821 +Vif +p2469 +tp2470 +a(g903 +g1006 +tp2471 +a(g44 +V$2 +p2472 +tp2473 +a(g903 +g1006 +tp2474 +a(g665 +V== +p2475 +tp2476 +a(g903 +g1006 +tp2477 +a(g862 +Vnil +p2478 +tp2479 +a(g903 +V\u000a +p2480 +tp2481 +a(g260 +Veval +p2482 +tp2483 +a(g688 +g1023 +tp2484 +a(g44 +V$1 +p2485 +tp2486 +a(g688 +g1034 +tp2487 +a(g665 +g958 +tp2488 +a(g7 +Vmethod +p2489 +tp2490 +a(g688 +g1023 +tp2491 +a(g44 +V$2 +p2492 +tp2493 +a(g665 +g958 +tp2494 +a(g7 +Vto_sym +p2495 +tp2496 +a(g688 +g1034 +tp2497 +a(g665 +g958 +tp2498 +a(g7 +Vcall +p2499 +tp2500 +a(g688 +g1023 +tp2501 +a(g260 +Veval +p2502 +tp2503 +a(g688 +g1023 +tp2504 +a(g44 +V$3 +p2505 +tp2506 +a(g688 +g1034 +tp2507 +a(g688 +g1034 +tp2508 +a(g903 +V\u000a +p2509 +tp2510 +a(g821 +Vend +p2511 +tp2512 +a(g903 +V\u000a +p2513 +tp2514 +a(g821 +Vend +p2515 +tp2516 +a(g903 +V\u000a +p2517 +tp2518 +a(g7 +Vaddinfix +p2519 +tp2520 +a(g688 +g1023 +tp2521 +a(g411 +g1268 +tp2522 +a(g411 +Vconcat +p2523 +tp2524 +a(g411 +g1268 +tp2525 +a(g688 +g1034 +tp2526 +a(g903 +V\u000a +p2527 +tp2528 +a(g260 +g1025 +tp2529 +a(g903 +g1006 +tp2530 +a(g356 +g1450 +tp2531 +a(g356 +V[1,2,3] concat [4,5,6] +p2532 +tp2533 +a(g356 +g1450 +tp2534 +a(g903 +g1006 +tp2535 +a(g740 +V# => [1, 2, 3, 4, 5, 6] +p2536 +tp2537 +a(g903 +V\u000a\u000a\u000a +p2538 +tp2539 +a(g740 +V# HEREDOC FUN!!!!!!!1111 +p2540 +tp2541 +a(g903 +V\u000a +p2542 +tp2543 +a(g7 +Vfoo +p2544 +tp2545 +a(g688 +g1023 +tp2546 +a(g665 +V<< +p2547 +tp2548 +a(g364 +g1676 +tp2549 +a(g387 +g1678 +tp2550 +a(g364 +g1676 +tp2551 +a(g688 +g1250 +tp2552 +a(g903 +g1006 +tp2553 +a(g665 +V<<- +p2554 +tp2555 +a(g364 +g1676 +tp2556 +a(g387 +g1686 +tp2557 +a(g364 +g1676 +tp2558 +a(g688 +g1250 +tp2559 +a(g903 +g1006 +tp2560 +a(g665 +V<< +p2561 +tp2562 +a(g364 +g1676 +tp2563 +a(g387 +VC +p2564 +tp2565 +a(g364 +g1676 +tp2566 +a(g688 +g1034 +tp2567 +a(g903 +V\u000a +p2568 +tp2569 +a(g364 +Vthis is the text of a\u000a +p2570 +tp2571 +a(g364 +V A!!!!\u000a +p2572 +tp2573 +a(g387 +VA\u000a +p2574 +tp2575 +a(g364 +Vand this is text of B!!!!!!111\u000a +p2576 +tp2577 +a(g387 +V B\u000a +p2578 +tp2579 +a(g364 +Vand here some C\u000a +p2580 +tp2581 +a(g387 +VC\u000a +p2582 +tp2583 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.rhtml b/tests/examplefiles/output/test.rhtml new file mode 100644 index 0000000..eae0eed --- /dev/null +++ b/tests/examplefiles/output/test.rhtml @@ -0,0 +1,3613 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV< +p956 +tp957 +a(g545 +Vtable +p958 +tp959 +a(g7 +V> +p960 +tp961 +a(g892 +V\u000a +p962 +tp963 +a(g722 +V<% +p964 +tp965 +a(g892 +V +p966 +tp967 +a(g423 +Vrows +p968 +tp969 +a(g400 +V. +p970 +tp971 +a(g423 +Veach +p972 +tp973 +a(g892 +g966 +tp974 +a(g810 +Vdo +p975 +tp976 +a(g892 +g966 +tp977 +a(g400 +V| +p978 +tp979 +a(g423 +Vrow +p980 +tp981 +a(g400 +g978 +tp982 +a(g892 +g966 +tp983 +a(g722 +V%> +p984 +tp985 +a(g892 +V\u000a +p986 +tp987 +a(g7 +g956 +tp988 +a(g545 +Vtr +p989 +tp990 +a(g892 +g966 +tp991 +a(g654 +Vclass +p992 +tp993 +a(g400 +V= +p994 +tp995 +a(g76 +V" +p996 +tp997 +a(g722 +V<%= +p998 +tp999 +a(g892 +g966 +tp1000 +a(g423 +Vrow +p1001 +tp1002 +a(g400 +g970 +tp1003 +a(g423 +Vcls +p1004 +tp1005 +a(g892 +g966 +tp1006 +a(g722 +V%> +p1007 +tp1008 +a(g76 +g996 +tp1009 +a(g7 +g960 +tp1010 +a(g892 +V\u000a +p1011 +tp1012 +a(g7 +g956 +tp1013 +a(g545 +Vtd +p1014 +tp1015 +a(g7 +g960 +tp1016 +a(g7 +g956 +tp1017 +a(g545 +Va +p1018 +tp1019 +a(g892 +g966 +tp1020 +a(g654 +Vhref +p1021 +tp1022 +a(g400 +g994 +tp1023 +a(g76 +g996 +tp1024 +a(g722 +V<%= +p1025 +tp1026 +a(g892 +g966 +tp1027 +a(g423 +Vitem +p1028 +tp1029 +a(g400 +g970 +tp1030 +a(g423 +Vhref +p1031 +tp1032 +a(g892 +g966 +tp1033 +a(g722 +V%> +p1034 +tp1035 +a(g76 +g996 +tp1036 +a(g7 +g960 +tp1037 +a(g722 +V<%= +p1038 +tp1039 +a(g892 +g966 +tp1040 +a(g423 +Vitem +p1041 +tp1042 +a(g400 +g970 +tp1043 +a(g423 +Vtitle +p1044 +tp1045 +a(g892 +g966 +tp1046 +a(g722 +V%> +p1047 +tp1048 +a(g7 +V +p1049 +tp1050 +a(g7 +g956 +tp1051 +a(g7 +V/ +p1052 +tp1053 +a(g545 +g1018 +tp1054 +a(g7 +g960 +tp1055 +a(g7 +g956 +tp1056 +a(g7 +g1052 +tp1057 +a(g545 +Vtd +p1058 +tp1059 +a(g7 +g960 +tp1060 +a(g892 +V\u000a +p1061 +tp1062 +a(g7 +g956 +tp1063 +a(g545 +Vtd +p1064 +tp1065 +a(g7 +g960 +tp1066 +a(g722 +V<%= +p1067 +tp1068 +a(g892 +g966 +tp1069 +a(g423 +Vitem +p1070 +tp1071 +a(g400 +g970 +tp1072 +a(g423 +Vdescription +p1073 +tp1074 +a(g892 +g966 +tp1075 +a(g722 +V%> +p1076 +tp1077 +a(g7 +g1049 +tp1078 +a(g7 +g956 +tp1079 +a(g7 +g1052 +tp1080 +a(g545 +Vtd +p1081 +tp1082 +a(g7 +g960 +tp1083 +a(g892 +V\u000a +p1084 +tp1085 +a(g7 +g956 +tp1086 +a(g7 +g1052 +tp1087 +a(g545 +Vtr +p1088 +tp1089 +a(g7 +g960 +tp1090 +a(g892 +V\u000a +p1091 +tp1092 +a(g722 +V<% +p1093 +tp1094 +a(g892 +g966 +tp1095 +a(g810 +Vend +p1096 +tp1097 +a(g892 +g966 +tp1098 +a(g722 +V%> +p1099 +tp1100 +a(g892 +V\u000a +p1101 +tp1102 +a(g7 +g956 +tp1103 +a(g7 +g1052 +tp1104 +a(g545 +Vtable +p1105 +tp1106 +a(g7 +g960 +tp1107 +a(g892 +V\u000a\u000a\u000a +p1108 +tp1109 +a(g7 +g956 +tp1110 +a(g545 +Vh1 +p1111 +tp1112 +a(g7 +g960 +tp1113 +a(g892 +VPages +p1114 +tp1115 +a(g7 +g956 +tp1116 +a(g7 +g1052 +tp1117 +a(g545 +Vh1 +p1118 +tp1119 +a(g7 +g960 +tp1120 +a(g892 +V\u000a\u000a +p1121 +tp1122 +a(g7 +g956 +tp1123 +a(g545 +Vtable +p1124 +tp1125 +a(g892 +g966 +tp1126 +a(g654 +Vid +p1127 +tp1128 +a(g400 +g994 +tp1129 +a(g76 +V"site-map" +p1130 +tp1131 +a(g892 +g966 +tp1132 +a(g654 +Vclass +p1133 +tp1134 +a(g400 +g994 +tp1135 +a(g76 +V"index" +p1136 +tp1137 +a(g892 +g966 +tp1138 +a(g654 +Vcellpadding +p1139 +tp1140 +a(g400 +g994 +tp1141 +a(g76 +V"0" +p1142 +tp1143 +a(g892 +g966 +tp1144 +a(g654 +Vcellspacing +p1145 +tp1146 +a(g400 +g994 +tp1147 +a(g76 +V"0" +p1148 +tp1149 +a(g892 +g966 +tp1150 +a(g654 +Vborder +p1151 +tp1152 +a(g400 +g994 +tp1153 +a(g76 +V"0" +p1154 +tp1155 +a(g7 +g960 +tp1156 +a(g892 +V\u000a +p1157 +tp1158 +a(g7 +g956 +tp1159 +a(g545 +Vthead +p1160 +tp1161 +a(g7 +g960 +tp1162 +a(g892 +V\u000a +p1163 +tp1164 +a(g7 +g956 +tp1165 +a(g545 +Vtr +p1166 +tp1167 +a(g7 +g960 +tp1168 +a(g892 +V\u000a +p1169 +tp1170 +a(g7 +g956 +tp1171 +a(g545 +Vth +p1172 +tp1173 +a(g892 +g966 +tp1174 +a(g654 +Vclass +p1175 +tp1176 +a(g400 +g994 +tp1177 +a(g76 +V"page" +p1178 +tp1179 +a(g7 +g960 +tp1180 +a(g892 +VPage +p1181 +tp1182 +a(g7 +g956 +tp1183 +a(g7 +g1052 +tp1184 +a(g545 +Vth +p1185 +tp1186 +a(g7 +g960 +tp1187 +a(g892 +V\u000a +p1188 +tp1189 +a(g7 +g956 +tp1190 +a(g545 +Vth +p1191 +tp1192 +a(g892 +g966 +tp1193 +a(g654 +Vclass +p1194 +tp1195 +a(g400 +g994 +tp1196 +a(g76 +V"status" +p1197 +tp1198 +a(g7 +g960 +tp1199 +a(g892 +VStatus +p1200 +tp1201 +a(g7 +g956 +tp1202 +a(g7 +g1052 +tp1203 +a(g545 +Vth +p1204 +tp1205 +a(g7 +g960 +tp1206 +a(g892 +V\u000a +p1207 +tp1208 +a(g7 +g956 +tp1209 +a(g545 +Vth +p1210 +tp1211 +a(g892 +g966 +tp1212 +a(g654 +Vclass +p1213 +tp1214 +a(g400 +g994 +tp1215 +a(g76 +V"modify" +p1216 +tp1217 +a(g892 +g966 +tp1218 +a(g654 +Vcolspan +p1219 +tp1220 +a(g400 +g994 +tp1221 +a(g76 +V"2" +p1222 +tp1223 +a(g7 +g960 +tp1224 +a(g892 +VModify +p1225 +tp1226 +a(g7 +g956 +tp1227 +a(g7 +g1052 +tp1228 +a(g545 +Vth +p1229 +tp1230 +a(g7 +g960 +tp1231 +a(g892 +V\u000a +p1232 +tp1233 +a(g7 +g956 +tp1234 +a(g7 +g1052 +tp1235 +a(g545 +Vtr +p1236 +tp1237 +a(g7 +g960 +tp1238 +a(g892 +V\u000a +p1239 +tp1240 +a(g7 +g956 +tp1241 +a(g7 +g1052 +tp1242 +a(g545 +Vthead +p1243 +tp1244 +a(g7 +g960 +tp1245 +a(g892 +V\u000a +p1246 +tp1247 +a(g7 +g956 +tp1248 +a(g545 +Vtbody +p1249 +tp1250 +a(g7 +g960 +tp1251 +a(g892 +V\u000a +p1252 +tp1253 +a(g722 +V<% +p1254 +tp1255 +a(g892 +g966 +tp1256 +a(g810 +Vif +p1257 +tp1258 +a(g892 +g966 +tp1259 +a(g449 +V@homepage +p1260 +tp1261 +a(g892 +g966 +tp1262 +a(g722 +V-%> +p1263 +tp1264 +a(g892 +V\u000a +p1265 +tp1266 +a(g722 +V<%= +p1267 +tp1268 +a(g892 +g966 +tp1269 +a(g423 +Vrender_node +p1270 +tp1271 +a(g892 +g966 +tp1272 +a(g449 +V@homepage +p1273 +tp1274 +a(g892 +g966 +tp1275 +a(g722 +V-%> +p1276 +tp1277 +a(g892 +V\u000a +p1278 +tp1279 +a(g722 +V<% +p1280 +tp1281 +a(g892 +g966 +tp1282 +a(g810 +Velse +p1283 +tp1284 +a(g892 +g966 +tp1285 +a(g722 +V-%> +p1286 +tp1287 +a(g892 +V\u000a +p1288 +tp1289 +a(g7 +g956 +tp1290 +a(g545 +Vtr +p1291 +tp1292 +a(g7 +g960 +tp1293 +a(g892 +V\u000a +p1294 +tp1295 +a(g7 +g956 +tp1296 +a(g545 +Vtd +p1297 +tp1298 +a(g892 +g966 +tp1299 +a(g654 +Vcolspan +p1300 +tp1301 +a(g400 +g994 +tp1302 +a(g76 +V"4" +p1303 +tp1304 +a(g892 +g966 +tp1305 +a(g654 +Vclass +p1306 +tp1307 +a(g400 +g994 +tp1308 +a(g76 +V"note" +p1309 +tp1310 +a(g7 +g960 +tp1311 +a(g892 +VNo Pages +p1312 +tp1313 +a(g7 +g956 +tp1314 +a(g7 +g1052 +tp1315 +a(g545 +Vtd +p1316 +tp1317 +a(g7 +g960 +tp1318 +a(g892 +V\u000a +p1319 +tp1320 +a(g7 +g956 +tp1321 +a(g7 +g1052 +tp1322 +a(g545 +Vtr +p1323 +tp1324 +a(g7 +g960 +tp1325 +a(g892 +V\u000a +p1326 +tp1327 +a(g722 +V<% +p1328 +tp1329 +a(g892 +g966 +tp1330 +a(g810 +Vend +p1331 +tp1332 +a(g892 +g966 +tp1333 +a(g722 +V-%> +p1334 +tp1335 +a(g892 +V\u000a +p1336 +tp1337 +a(g7 +g956 +tp1338 +a(g7 +g1052 +tp1339 +a(g545 +Vtbody +p1340 +tp1341 +a(g7 +g960 +tp1342 +a(g892 +V\u000a +p1343 +tp1344 +a(g7 +g956 +tp1345 +a(g7 +g1052 +tp1346 +a(g545 +Vtable +p1347 +tp1348 +a(g7 +g960 +tp1349 +a(g892 +V\u000a +p1350 +tp1351 +a(g7 +g956 +tp1352 +a(g545 +Vscript +p1353 +tp1354 +a(g892 +g966 +tp1355 +a(g654 +Vtype +p1356 +tp1357 +a(g400 +g994 +tp1358 +a(g76 +V"text/javascript" +p1359 +tp1360 +a(g7 +g960 +tp1361 +a(g892 +g1049 +tp1362 +a(g892 +V\u000a +p1363 +tp1364 +a(g729 +V// \u000a +p1384 +tp1385 +a(g7 +g956 +tp1386 +a(g7 +g1052 +tp1387 +a(g545 +Vscript +p1388 +tp1389 +a(g7 +g960 +tp1390 +a(g892 +V\u000a +p1391 +tp1392 +a(g7 +g956 +tp1393 +a(g545 +Vform +p1394 +tp1395 +a(g892 +g966 +tp1396 +a(g654 +Vaction +p1397 +tp1398 +a(g400 +g994 +tp1399 +a(g76 +g996 +tp1400 +a(g722 +V<%= +p1401 +tp1402 +a(g892 +g966 +tp1403 +a(g423 +Vclear_cache_url +p1404 +tp1405 +a(g892 +g966 +tp1406 +a(g722 +V%> +p1407 +tp1408 +a(g76 +g996 +tp1409 +a(g892 +g966 +tp1410 +a(g654 +Vmethod +p1411 +tp1412 +a(g400 +g994 +tp1413 +a(g76 +V"post" +p1414 +tp1415 +a(g7 +g960 +tp1416 +a(g892 +V\u000a +p1417 +tp1418 +a(g7 +g956 +tp1419 +a(g545 +Vp +p1420 +tp1421 +a(g7 +g960 +tp1422 +a(g892 +V\u000a +p1423 +tp1424 +a(g722 +V<% +p1425 +tp1426 +a(g892 +g966 +tp1427 +a(g810 +Vunless +p1428 +tp1429 +a(g892 +g966 +tp1430 +a(g449 +V@homepage +p1431 +tp1432 +a(g892 +g966 +tp1433 +a(g722 +V-%> +p1434 +tp1435 +a(g892 +V\u000a +p1436 +tp1437 +a(g722 +V<%= +p1438 +tp1439 +a(g892 +g966 +tp1440 +a(g423 +Vlink_to +p1441 +tp1442 +a(g892 +g966 +tp1443 +a(g423 +Vimage_tag +p1444 +tp1445 +a(g7 +g1374 +tp1446 +a(g217 +V'new-homepage' +p1447 +tp1448 +a(g7 +V, +p1449 +tp1450 +a(g892 +g966 +tp1451 +a(g104 +V:alt +p1452 +tp1453 +a(g892 +g966 +tp1454 +a(g400 +g994 +tp1455 +a(g400 +g960 +tp1456 +a(g892 +g966 +tp1457 +a(g217 +V'New Homepage' +p1458 +tp1459 +a(g7 +g1378 +tp1460 +a(g7 +g1449 +tp1461 +a(g892 +g966 +tp1462 +a(g423 +Vhomepage_new_url +p1463 +tp1464 +a(g892 +g966 +tp1465 +a(g722 +V%> +p1466 +tp1467 +a(g892 +V\u000a +p1468 +tp1469 +a(g722 +V<% +p1470 +tp1471 +a(g892 +g966 +tp1472 +a(g810 +Vend +p1473 +tp1474 +a(g892 +g966 +tp1475 +a(g722 +V-%> +p1476 +tp1477 +a(g892 +V\u000a +p1478 +tp1479 +a(g722 +V<%= +p1480 +tp1481 +a(g892 +g966 +tp1482 +a(g423 +Vimage_submit_tag +p1483 +tp1484 +a(g892 +g966 +tp1485 +a(g217 +V'clear-page-cache' +p1486 +tp1487 +a(g892 +g966 +tp1488 +a(g722 +V%> +p1489 +tp1490 +a(g892 +V\u000a +p1491 +tp1492 +a(g7 +g956 +tp1493 +a(g7 +g1052 +tp1494 +a(g545 +g1420 +tp1495 +a(g7 +g960 +tp1496 +a(g892 +V\u000a +p1497 +tp1498 +a(g7 +g956 +tp1499 +a(g7 +g1052 +tp1500 +a(g545 +Vform +p1501 +tp1502 +a(g7 +g960 +tp1503 +a(g892 +V\u000a +p1504 +tp1505 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.rsl b/tests/examplefiles/output/test.rsl new file mode 100644 index 0000000..d84ad39 --- /dev/null +++ b/tests/examplefiles/output/test.rsl @@ -0,0 +1,10040 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVscheme +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g881 +VCOMPILER +p960 +tp961 +a(g826 +g958 +tp962 +a(g826 +V= +p963 +tp964 +a(g826 +g958 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g7 +Vclass +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g826 +g958 +tp972 +a(g826 +g958 +tp973 +a(g7 +Vtype +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g826 +g958 +tp978 +a(g826 +g958 +tp979 +a(g826 +g958 +tp980 +a(g826 +g958 +tp981 +a(g881 +VProg +p982 +tp983 +a(g826 +g958 +tp984 +a(g826 +g963 +tp985 +a(g826 +g963 +tp986 +a(g826 +g958 +tp987 +a(g826 +Vm +p988 +tp989 +a(g826 +Vk +p990 +tp991 +a(g826 +V_ +p992 +tp993 +a(g826 +VP +p994 +tp995 +a(g826 +Vr +p996 +tp997 +a(g826 +Vo +p998 +tp999 +a(g826 +Vg +p1000 +tp1001 +a(g826 +V( +p1002 +tp1003 +a(g826 +Vs +p1004 +tp1005 +a(g826 +Vt +p1006 +tp1007 +a(g826 +g988 +tp1008 +a(g826 +g1006 +tp1009 +a(g826 +g958 +tp1010 +a(g826 +V: +p1011 +tp1012 +a(g826 +g958 +tp1013 +a(g881 +VStmt +p1014 +tp1015 +a(g826 +V) +p1016 +tp1017 +a(g826 +V, +p1018 +tp1019 +a(g826 +g958 +tp1020 +a(g826 +g958 +tp1021 +a(g826 +V\u000a +p1022 +tp1023 +a(g826 +V\u000a +p1024 +tp1025 +a(g826 +g958 +tp1026 +a(g826 +g958 +tp1027 +a(g826 +g958 +tp1028 +a(g826 +g958 +tp1029 +a(g881 +VStmt +p1030 +tp1031 +a(g826 +g958 +tp1032 +a(g826 +g963 +tp1033 +a(g826 +g963 +tp1034 +a(g826 +g958 +tp1035 +a(g826 +g958 +tp1036 +a(g826 +V\u000a +p1037 +tp1038 +a(g826 +g958 +tp1039 +a(g826 +g958 +tp1040 +a(g826 +g958 +tp1041 +a(g826 +g958 +tp1042 +a(g826 +g958 +tp1043 +a(g826 +g958 +tp1044 +a(g826 +g988 +tp1045 +a(g826 +g990 +tp1046 +a(g826 +g992 +tp1047 +a(g826 +VA +p1048 +tp1049 +a(g826 +g1004 +tp1050 +a(g826 +g1000 +tp1051 +a(g826 +Vn +p1052 +tp1053 +a(g826 +g1002 +tp1054 +a(g826 +Vi +p1055 +tp1056 +a(g826 +Vd +p1057 +tp1058 +a(g826 +Ve +p1059 +tp1060 +a(g826 +g958 +tp1061 +a(g826 +g1011 +tp1062 +a(g826 +g958 +tp1063 +a(g881 +VIdentifier +p1064 +tp1065 +a(g826 +g1018 +tp1066 +a(g826 +g958 +tp1067 +a(g826 +g958 +tp1068 +a(g826 +g1059 +tp1069 +a(g826 +Vx +p1070 +tp1071 +a(g826 +Vp +p1072 +tp1073 +a(g826 +g996 +tp1074 +a(g826 +g958 +tp1075 +a(g826 +g1011 +tp1076 +a(g826 +g958 +tp1077 +a(g881 +VExpr +p1078 +tp1079 +a(g826 +g1016 +tp1080 +a(g826 +g958 +tp1081 +a(g826 +V| +p1082 +tp1083 +a(g826 +g958 +tp1084 +a(g826 +g958 +tp1085 +a(g826 +g958 +tp1086 +a(g826 +V\u000a +p1087 +tp1088 +a(g826 +g958 +tp1089 +a(g826 +g958 +tp1090 +a(g826 +g958 +tp1091 +a(g826 +g958 +tp1092 +a(g826 +g958 +tp1093 +a(g826 +g958 +tp1094 +a(g826 +g988 +tp1095 +a(g826 +g990 +tp1096 +a(g826 +g992 +tp1097 +a(g826 +VI +p1098 +tp1099 +a(g826 +Vf +p1100 +tp1101 +a(g826 +g1002 +tp1102 +a(g826 +Vc +p1103 +tp1104 +a(g826 +g998 +tp1105 +a(g826 +g1052 +tp1106 +a(g826 +g1057 +tp1107 +a(g826 +g958 +tp1108 +a(g826 +g1011 +tp1109 +a(g826 +g958 +tp1110 +a(g881 +VExpr +p1111 +tp1112 +a(g826 +g1018 +tp1113 +a(g826 +g958 +tp1114 +a(g826 +g958 +tp1115 +a(g826 +g1004 +tp1116 +a(g22 +V1 +p1117 +tp1118 +a(g826 +g958 +tp1119 +a(g826 +g1011 +tp1120 +a(g826 +g958 +tp1121 +a(g881 +VStmt +p1122 +tp1123 +a(g826 +g1018 +tp1124 +a(g826 +g958 +tp1125 +a(g826 +g1004 +tp1126 +a(g22 +V2 +p1127 +tp1128 +a(g826 +g958 +tp1129 +a(g826 +g1011 +tp1130 +a(g826 +g958 +tp1131 +a(g881 +VStmt +p1132 +tp1133 +a(g826 +g1016 +tp1134 +a(g826 +g958 +tp1135 +a(g826 +g1082 +tp1136 +a(g826 +g958 +tp1137 +a(g826 +g958 +tp1138 +a(g826 +g958 +tp1139 +a(g826 +V\u000a +p1140 +tp1141 +a(g826 +g958 +tp1142 +a(g826 +g958 +tp1143 +a(g826 +g958 +tp1144 +a(g826 +g958 +tp1145 +a(g826 +g958 +tp1146 +a(g826 +g958 +tp1147 +a(g826 +g988 +tp1148 +a(g826 +g990 +tp1149 +a(g826 +g992 +tp1150 +a(g826 +VS +p1151 +tp1152 +a(g826 +g1059 +tp1153 +a(g826 +Vq +p1154 +tp1155 +a(g826 +g1002 +tp1156 +a(g826 +Vh +p1157 +tp1158 +a(g826 +g1059 +tp1159 +a(g826 +Va +p1160 +tp1161 +a(g826 +g1057 +tp1162 +a(g826 +g958 +tp1163 +a(g826 +g1011 +tp1164 +a(g826 +g958 +tp1165 +a(g881 +VStmt +p1166 +tp1167 +a(g826 +g1018 +tp1168 +a(g826 +g958 +tp1169 +a(g826 +g958 +tp1170 +a(g826 +Vl +p1171 +tp1172 +a(g826 +g1160 +tp1173 +a(g826 +g1004 +tp1174 +a(g826 +g1006 +tp1175 +a(g826 +g958 +tp1176 +a(g826 +g1011 +tp1177 +a(g826 +g958 +tp1178 +a(g881 +VStmt +p1179 +tp1180 +a(g826 +g1016 +tp1181 +a(g826 +g1018 +tp1182 +a(g826 +g958 +tp1183 +a(g826 +g958 +tp1184 +a(g826 +V\u000a +p1185 +tp1186 +a(g826 +V\u000a +p1187 +tp1188 +a(g826 +g958 +tp1189 +a(g826 +g958 +tp1190 +a(g826 +g958 +tp1191 +a(g826 +g958 +tp1192 +a(g881 +VExpr +p1193 +tp1194 +a(g826 +g958 +tp1195 +a(g826 +g963 +tp1196 +a(g826 +g963 +tp1197 +a(g826 +g958 +tp1198 +a(g826 +V\u000a +p1199 +tp1200 +a(g826 +g958 +tp1201 +a(g826 +g958 +tp1202 +a(g826 +g958 +tp1203 +a(g826 +g958 +tp1204 +a(g826 +g958 +tp1205 +a(g826 +g958 +tp1206 +a(g826 +g988 +tp1207 +a(g826 +g990 +tp1208 +a(g826 +g992 +tp1209 +a(g826 +VC +p1210 +tp1211 +a(g826 +g998 +tp1212 +a(g826 +g1052 +tp1213 +a(g826 +g1004 +tp1214 +a(g826 +g1006 +tp1215 +a(g826 +g1002 +tp1216 +a(g826 +g1103 +tp1217 +a(g826 +g998 +tp1218 +a(g826 +g1052 +tp1219 +a(g826 +g1004 +tp1220 +a(g826 +g1006 +tp1221 +a(g826 +g958 +tp1222 +a(g826 +g1011 +tp1223 +a(g826 +g958 +tp1224 +a(g7 +VInt +p1225 +tp1226 +a(g826 +g1016 +tp1227 +a(g826 +g958 +tp1228 +a(g826 +g1082 +tp1229 +a(g826 +g958 +tp1230 +a(g826 +g958 +tp1231 +a(g826 +V\u000a +p1232 +tp1233 +a(g826 +g958 +tp1234 +a(g826 +g958 +tp1235 +a(g826 +g958 +tp1236 +a(g826 +g958 +tp1237 +a(g826 +g958 +tp1238 +a(g826 +g958 +tp1239 +a(g826 +g988 +tp1240 +a(g826 +g990 +tp1241 +a(g826 +g992 +tp1242 +a(g826 +g994 +tp1243 +a(g826 +g1171 +tp1244 +a(g826 +Vu +p1245 +tp1246 +a(g826 +g1004 +tp1247 +a(g826 +g1002 +tp1248 +a(g826 +g1100 +tp1249 +a(g826 +g1004 +tp1250 +a(g826 +g1006 +tp1251 +a(g826 +g958 +tp1252 +a(g826 +g1011 +tp1253 +a(g826 +g958 +tp1254 +a(g881 +VExpr +p1255 +tp1256 +a(g826 +g1018 +tp1257 +a(g826 +g958 +tp1258 +a(g826 +g958 +tp1259 +a(g826 +g1004 +tp1260 +a(g826 +g1052 +tp1261 +a(g826 +g1057 +tp1262 +a(g826 +g958 +tp1263 +a(g826 +g1011 +tp1264 +a(g826 +g958 +tp1265 +a(g881 +VExpr +p1266 +tp1267 +a(g826 +g1016 +tp1268 +a(g826 +g958 +tp1269 +a(g826 +g1082 +tp1270 +a(g826 +V\u000a +p1271 +tp1272 +a(g826 +g958 +tp1273 +a(g826 +g958 +tp1274 +a(g826 +g958 +tp1275 +a(g826 +g958 +tp1276 +a(g826 +g958 +tp1277 +a(g826 +g958 +tp1278 +a(g826 +g988 +tp1279 +a(g826 +g990 +tp1280 +a(g826 +g992 +tp1281 +a(g826 +g1098 +tp1282 +a(g826 +g1057 +tp1283 +a(g826 +g1002 +tp1284 +a(g826 +g1055 +tp1285 +a(g826 +g1057 +tp1286 +a(g826 +g1059 +tp1287 +a(g826 +g958 +tp1288 +a(g826 +g1011 +tp1289 +a(g826 +g958 +tp1290 +a(g881 +VIdentifier +p1291 +tp1292 +a(g826 +g1016 +tp1293 +a(g826 +g1018 +tp1294 +a(g826 +V\u000a +p1295 +tp1296 +a(g826 +g958 +tp1297 +a(g826 +g958 +tp1298 +a(g826 +g958 +tp1299 +a(g826 +g958 +tp1300 +a(g881 +VIdentifier +p1301 +tp1302 +a(g826 +g958 +tp1303 +a(g826 +g963 +tp1304 +a(g826 +g958 +tp1305 +a(g7 +VText +p1306 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g826 +V\u000a +p1310 +tp1311 +a(g7 +Vtype +p1312 +tp1313 +a(g826 +g958 +tp1314 +a(g709 +V/* storage for program variables */ +p1315 +tp1316 +a(g826 +V\u000a +p1317 +tp1318 +a(g826 +g958 +tp1319 +a(g826 +g958 +tp1320 +a(g826 +V` +p1321 +tp1322 +a(g881 +VSigma +p1323 +tp1324 +a(g826 +g958 +tp1325 +a(g826 +g963 +tp1326 +a(g826 +g958 +tp1327 +a(g881 +VIdentifier +p1328 +tp1329 +a(g826 +g958 +tp1330 +a(g400 +V-m-> +p1331 +tp1332 +a(g826 +g958 +tp1333 +a(g7 +VInt +p1334 +tp1335 +a(g826 +g958 +tp1336 +a(g826 +V\u000a +p1337 +tp1338 +a(g826 +V\u000a +p1339 +tp1340 +a(g7 +Vvalue +p1341 +tp1342 +a(g826 +g958 +tp1343 +a(g826 +g958 +tp1344 +a(g826 +g958 +tp1345 +a(g826 +g958 +tp1346 +a(g826 +g958 +tp1347 +a(g826 +V\u000a +p1348 +tp1349 +a(g561 +V m : +p1350 +tp1351 +a(g881 +VProg +p1352 +tp1353 +a(g826 +g958 +tp1354 +a(g400 +V-> +p1355 +tp1356 +a(g826 +g958 +tp1357 +a(g826 +g1321 +tp1358 +a(g881 +VSigma +p1359 +tp1360 +a(g826 +g958 +tp1361 +a(g400 +V-> +p1362 +tp1363 +a(g826 +g958 +tp1364 +a(g826 +g1321 +tp1365 +a(g881 +VSigma +p1366 +tp1367 +a(g826 +V\u000a +p1368 +tp1369 +a(g826 +g958 +tp1370 +a(g826 +g958 +tp1371 +a(g826 +g988 +tp1372 +a(g826 +g1002 +tp1373 +a(g826 +g1072 +tp1374 +a(g826 +g1016 +tp1375 +a(g826 +g1002 +tp1376 +a(g826 +g1321 +tp1377 +a(g826 +g1004 +tp1378 +a(g826 +g1055 +tp1379 +a(g826 +g1000 +tp1380 +a(g826 +g988 +tp1381 +a(g826 +g1160 +tp1382 +a(g826 +g1016 +tp1383 +a(g826 +g958 +tp1384 +a(g826 +g958 +tp1385 +a(g7 +Vis +p1386 +tp1387 +a(g826 +g958 +tp1388 +a(g826 +g958 +tp1389 +a(g826 +g988 +tp1390 +a(g826 +g1002 +tp1391 +a(g826 +g1004 +tp1392 +a(g826 +g1006 +tp1393 +a(g826 +g988 +tp1394 +a(g826 +g1006 +tp1395 +a(g826 +g1002 +tp1396 +a(g826 +g1072 +tp1397 +a(g826 +g1016 +tp1398 +a(g826 +g1016 +tp1399 +a(g826 +g1002 +tp1400 +a(g826 +g1321 +tp1401 +a(g826 +g1004 +tp1402 +a(g826 +g1055 +tp1403 +a(g826 +g1000 +tp1404 +a(g826 +g988 +tp1405 +a(g826 +g1160 +tp1406 +a(g826 +g1016 +tp1407 +a(g826 +g1018 +tp1408 +a(g826 +g958 +tp1409 +a(g826 +g958 +tp1410 +a(g826 +V\u000a +p1411 +tp1412 +a(g826 +V\u000a +p1413 +tp1414 +a(g561 +V m : +p1415 +tp1416 +a(g881 +VStmt +p1417 +tp1418 +a(g826 +g958 +tp1419 +a(g400 +V-> +p1420 +tp1421 +a(g826 +g958 +tp1422 +a(g826 +g1321 +tp1423 +a(g881 +VSigma +p1424 +tp1425 +a(g826 +g958 +tp1426 +a(g400 +V-> +p1427 +tp1428 +a(g826 +g958 +tp1429 +a(g826 +g1321 +tp1430 +a(g881 +VSigma +p1431 +tp1432 +a(g826 +V\u000a +p1433 +tp1434 +a(g826 +g958 +tp1435 +a(g826 +g958 +tp1436 +a(g826 +g988 +tp1437 +a(g826 +g1002 +tp1438 +a(g826 +g1004 +tp1439 +a(g826 +g1016 +tp1440 +a(g826 +g1002 +tp1441 +a(g826 +g1321 +tp1442 +a(g826 +g1004 +tp1443 +a(g826 +g1055 +tp1444 +a(g826 +g1000 +tp1445 +a(g826 +g988 +tp1446 +a(g826 +g1160 +tp1447 +a(g826 +g1016 +tp1448 +a(g826 +g958 +tp1449 +a(g826 +g958 +tp1450 +a(g7 +Vis +p1451 +tp1452 +a(g826 +g958 +tp1453 +a(g826 +g958 +tp1454 +a(g826 +V\u000a +p1455 +tp1456 +a(g826 +g958 +tp1457 +a(g826 +g958 +tp1458 +a(g826 +g958 +tp1459 +a(g826 +g958 +tp1460 +a(g7 +Vcase +p1461 +tp1462 +a(g826 +g958 +tp1463 +a(g826 +g1004 +tp1464 +a(g826 +g958 +tp1465 +a(g7 +Vof +p1466 +tp1467 +a(g826 +g958 +tp1468 +a(g826 +V\u000a +p1469 +tp1470 +a(g826 +g958 +tp1471 +a(g826 +g958 +tp1472 +a(g826 +g958 +tp1473 +a(g826 +g958 +tp1474 +a(g826 +g958 +tp1475 +a(g826 +g958 +tp1476 +a(g826 +g988 +tp1477 +a(g826 +g990 +tp1478 +a(g826 +g992 +tp1479 +a(g826 +g1048 +tp1480 +a(g826 +g1004 +tp1481 +a(g826 +g1000 +tp1482 +a(g826 +g1052 +tp1483 +a(g826 +g1002 +tp1484 +a(g826 +g1055 +tp1485 +a(g826 +g1018 +tp1486 +a(g826 +g958 +tp1487 +a(g826 +g1059 +tp1488 +a(g826 +g1016 +tp1489 +a(g826 +g958 +tp1490 +a(g400 +V-> +p1491 +tp1492 +a(g826 +g958 +tp1493 +a(g826 +g1321 +tp1494 +a(g826 +g1004 +tp1495 +a(g826 +g1055 +tp1496 +a(g826 +g1000 +tp1497 +a(g826 +g988 +tp1498 +a(g826 +g1160 +tp1499 +a(g826 +g958 +tp1500 +a(g400 +V!! +p1501 +tp1502 +a(g826 +g958 +tp1503 +a(g826 +V[ +p1504 +tp1505 +a(g826 +g1055 +tp1506 +a(g826 +g958 +tp1507 +a(g400 +V+> +p1508 +tp1509 +a(g826 +g958 +tp1510 +a(g826 +g988 +tp1511 +a(g826 +g1002 +tp1512 +a(g826 +g1059 +tp1513 +a(g826 +g1016 +tp1514 +a(g826 +g1002 +tp1515 +a(g826 +g1321 +tp1516 +a(g826 +g1004 +tp1517 +a(g826 +g1055 +tp1518 +a(g826 +g1000 +tp1519 +a(g826 +g988 +tp1520 +a(g826 +g1160 +tp1521 +a(g826 +g1016 +tp1522 +a(g826 +V] +p1523 +tp1524 +a(g826 +g1018 +tp1525 +a(g826 +g958 +tp1526 +a(g826 +V\u000a +p1527 +tp1528 +a(g826 +g958 +tp1529 +a(g826 +g958 +tp1530 +a(g826 +g958 +tp1531 +a(g826 +g958 +tp1532 +a(g826 +g958 +tp1533 +a(g826 +g958 +tp1534 +a(g826 +g988 +tp1535 +a(g826 +g990 +tp1536 +a(g826 +g992 +tp1537 +a(g826 +g1151 +tp1538 +a(g826 +g1059 +tp1539 +a(g826 +g1154 +tp1540 +a(g826 +g1002 +tp1541 +a(g826 +g1004 +tp1542 +a(g22 +g1117 +tp1543 +a(g826 +g1018 +tp1544 +a(g826 +g958 +tp1545 +a(g826 +g1004 +tp1546 +a(g22 +g1127 +tp1547 +a(g826 +g1016 +tp1548 +a(g826 +g958 +tp1549 +a(g400 +V-> +p1550 +tp1551 +a(g826 +g958 +tp1552 +a(g826 +g988 +tp1553 +a(g826 +g1002 +tp1554 +a(g826 +g1004 +tp1555 +a(g22 +g1127 +tp1556 +a(g826 +g1016 +tp1557 +a(g826 +g1002 +tp1558 +a(g826 +g988 +tp1559 +a(g826 +g1002 +tp1560 +a(g826 +g1004 +tp1561 +a(g22 +g1117 +tp1562 +a(g826 +g1016 +tp1563 +a(g826 +g1002 +tp1564 +a(g826 +g1321 +tp1565 +a(g826 +g1004 +tp1566 +a(g826 +g1055 +tp1567 +a(g826 +g1000 +tp1568 +a(g826 +g988 +tp1569 +a(g826 +g1160 +tp1570 +a(g826 +g1016 +tp1571 +a(g826 +g1016 +tp1572 +a(g826 +g1018 +tp1573 +a(g826 +g958 +tp1574 +a(g826 +V\u000a +p1575 +tp1576 +a(g826 +g958 +tp1577 +a(g826 +g958 +tp1578 +a(g826 +g958 +tp1579 +a(g826 +g958 +tp1580 +a(g826 +g958 +tp1581 +a(g826 +g958 +tp1582 +a(g826 +g988 +tp1583 +a(g826 +g990 +tp1584 +a(g826 +g992 +tp1585 +a(g826 +g1098 +tp1586 +a(g826 +g1100 +tp1587 +a(g826 +g1002 +tp1588 +a(g826 +g1103 +tp1589 +a(g826 +g1018 +tp1590 +a(g826 +g958 +tp1591 +a(g826 +g1004 +tp1592 +a(g22 +g1117 +tp1593 +a(g826 +g1018 +tp1594 +a(g826 +g958 +tp1595 +a(g826 +g1004 +tp1596 +a(g22 +g1127 +tp1597 +a(g826 +g1016 +tp1598 +a(g826 +g958 +tp1599 +a(g400 +V-> +p1600 +tp1601 +a(g826 +g958 +tp1602 +a(g826 +V\u000a +p1603 +tp1604 +a(g826 +g958 +tp1605 +a(g826 +g958 +tp1606 +a(g826 +g958 +tp1607 +a(g826 +g958 +tp1608 +a(g826 +g958 +tp1609 +a(g826 +g958 +tp1610 +a(g826 +g958 +tp1611 +a(g826 +g958 +tp1612 +a(g7 +Vif +p1613 +tp1614 +a(g826 +g958 +tp1615 +a(g826 +g988 +tp1616 +a(g826 +g1002 +tp1617 +a(g826 +g1103 +tp1618 +a(g826 +g1016 +tp1619 +a(g826 +g1002 +tp1620 +a(g826 +g1321 +tp1621 +a(g826 +g1004 +tp1622 +a(g826 +g1055 +tp1623 +a(g826 +g1000 +tp1624 +a(g826 +g988 +tp1625 +a(g826 +g1160 +tp1626 +a(g826 +g1016 +tp1627 +a(g826 +g958 +tp1628 +a(g400 +V~= +p1629 +tp1630 +a(g826 +g958 +tp1631 +a(g22 +V0 +p1632 +tp1633 +a(g826 +g958 +tp1634 +a(g7 +Vthen +p1635 +tp1636 +a(g826 +g958 +tp1637 +a(g826 +g988 +tp1638 +a(g826 +g1002 +tp1639 +a(g826 +g1004 +tp1640 +a(g22 +g1117 +tp1641 +a(g826 +g1016 +tp1642 +a(g826 +g1002 +tp1643 +a(g826 +g1321 +tp1644 +a(g826 +g1004 +tp1645 +a(g826 +g1055 +tp1646 +a(g826 +g1000 +tp1647 +a(g826 +g988 +tp1648 +a(g826 +g1160 +tp1649 +a(g826 +g1016 +tp1650 +a(g826 +g958 +tp1651 +a(g7 +Velse +p1652 +tp1653 +a(g826 +g958 +tp1654 +a(g826 +g988 +tp1655 +a(g826 +g1002 +tp1656 +a(g826 +g1004 +tp1657 +a(g22 +g1127 +tp1658 +a(g826 +g1016 +tp1659 +a(g826 +g1002 +tp1660 +a(g826 +g1321 +tp1661 +a(g826 +g1004 +tp1662 +a(g826 +g1055 +tp1663 +a(g826 +g1000 +tp1664 +a(g826 +g988 +tp1665 +a(g826 +g1160 +tp1666 +a(g826 +g1016 +tp1667 +a(g826 +g958 +tp1668 +a(g7 +Vend +p1669 +tp1670 +a(g826 +g958 +tp1671 +a(g826 +g958 +tp1672 +a(g826 +g958 +tp1673 +a(g826 +g958 +tp1674 +a(g826 +g958 +tp1675 +a(g826 +g958 +tp1676 +a(g826 +V\u000a +p1677 +tp1678 +a(g826 +g958 +tp1679 +a(g826 +g958 +tp1680 +a(g826 +g958 +tp1681 +a(g826 +g958 +tp1682 +a(g7 +Vend +p1683 +tp1684 +a(g826 +g1018 +tp1685 +a(g826 +V\u000a +p1686 +tp1687 +a(g826 +g958 +tp1688 +a(g826 +g958 +tp1689 +a(g826 +V\u000a +p1690 +tp1691 +a(g561 +V m : +p1692 +tp1693 +a(g881 +VExpr +p1694 +tp1695 +a(g826 +g958 +tp1696 +a(g400 +V-> +p1697 +tp1698 +a(g826 +g958 +tp1699 +a(g826 +g1321 +tp1700 +a(g881 +VSigma +p1701 +tp1702 +a(g826 +g958 +tp1703 +a(g400 +V-> +p1704 +tp1705 +a(g826 +g958 +tp1706 +a(g7 +VInt +p1707 +tp1708 +a(g826 +V\u000a +p1709 +tp1710 +a(g826 +g958 +tp1711 +a(g826 +g958 +tp1712 +a(g826 +g988 +tp1713 +a(g826 +g1002 +tp1714 +a(g826 +g1059 +tp1715 +a(g826 +g1016 +tp1716 +a(g826 +g1002 +tp1717 +a(g826 +g1321 +tp1718 +a(g826 +g1004 +tp1719 +a(g826 +g1055 +tp1720 +a(g826 +g1000 +tp1721 +a(g826 +g988 +tp1722 +a(g826 +g1160 +tp1723 +a(g826 +g1016 +tp1724 +a(g826 +g958 +tp1725 +a(g826 +g958 +tp1726 +a(g7 +Vis +p1727 +tp1728 +a(g826 +g958 +tp1729 +a(g826 +g958 +tp1730 +a(g826 +V\u000a +p1731 +tp1732 +a(g826 +g958 +tp1733 +a(g826 +g958 +tp1734 +a(g826 +g958 +tp1735 +a(g826 +g958 +tp1736 +a(g7 +Vcase +p1737 +tp1738 +a(g826 +g958 +tp1739 +a(g826 +g1059 +tp1740 +a(g826 +g958 +tp1741 +a(g7 +Vof +p1742 +tp1743 +a(g826 +g958 +tp1744 +a(g826 +V\u000a +p1745 +tp1746 +a(g826 +g958 +tp1747 +a(g826 +g958 +tp1748 +a(g826 +g958 +tp1749 +a(g826 +g958 +tp1750 +a(g826 +g958 +tp1751 +a(g826 +g958 +tp1752 +a(g826 +g988 +tp1753 +a(g826 +g990 +tp1754 +a(g826 +g992 +tp1755 +a(g826 +g1210 +tp1756 +a(g826 +g998 +tp1757 +a(g826 +g1052 +tp1758 +a(g826 +g1004 +tp1759 +a(g826 +g1006 +tp1760 +a(g826 +g1002 +tp1761 +a(g826 +g1052 +tp1762 +a(g826 +g1016 +tp1763 +a(g826 +g958 +tp1764 +a(g400 +V-> +p1765 +tp1766 +a(g826 +g958 +tp1767 +a(g826 +g1052 +tp1768 +a(g826 +g1018 +tp1769 +a(g826 +g958 +tp1770 +a(g826 +V\u000a +p1771 +tp1772 +a(g826 +g958 +tp1773 +a(g826 +g958 +tp1774 +a(g826 +g958 +tp1775 +a(g826 +g958 +tp1776 +a(g826 +g958 +tp1777 +a(g826 +g958 +tp1778 +a(g826 +g988 +tp1779 +a(g826 +g990 +tp1780 +a(g826 +g992 +tp1781 +a(g826 +g994 +tp1782 +a(g826 +g1171 +tp1783 +a(g826 +g1245 +tp1784 +a(g826 +g1004 +tp1785 +a(g826 +g1002 +tp1786 +a(g826 +g1059 +tp1787 +a(g22 +g1117 +tp1788 +a(g826 +g1018 +tp1789 +a(g826 +g958 +tp1790 +a(g826 +g1059 +tp1791 +a(g22 +g1127 +tp1792 +a(g826 +g1016 +tp1793 +a(g826 +g958 +tp1794 +a(g400 +V-> +p1795 +tp1796 +a(g826 +g958 +tp1797 +a(g826 +g988 +tp1798 +a(g826 +g1002 +tp1799 +a(g826 +g1059 +tp1800 +a(g22 +g1117 +tp1801 +a(g826 +g1016 +tp1802 +a(g826 +g1002 +tp1803 +a(g826 +g1321 +tp1804 +a(g826 +g1004 +tp1805 +a(g826 +g1055 +tp1806 +a(g826 +g1000 +tp1807 +a(g826 +g988 +tp1808 +a(g826 +g1160 +tp1809 +a(g826 +g1016 +tp1810 +a(g826 +g958 +tp1811 +a(g826 +V+ +p1812 +tp1813 +a(g826 +g958 +tp1814 +a(g826 +g988 +tp1815 +a(g826 +g1002 +tp1816 +a(g826 +g1059 +tp1817 +a(g22 +g1127 +tp1818 +a(g826 +g1016 +tp1819 +a(g826 +g1002 +tp1820 +a(g826 +g1321 +tp1821 +a(g826 +g1004 +tp1822 +a(g826 +g1055 +tp1823 +a(g826 +g1000 +tp1824 +a(g826 +g988 +tp1825 +a(g826 +g1160 +tp1826 +a(g826 +g1016 +tp1827 +a(g826 +g1018 +tp1828 +a(g826 +g958 +tp1829 +a(g826 +V\u000a +p1830 +tp1831 +a(g826 +g958 +tp1832 +a(g826 +g958 +tp1833 +a(g826 +g958 +tp1834 +a(g826 +g958 +tp1835 +a(g826 +g958 +tp1836 +a(g826 +g958 +tp1837 +a(g826 +g988 +tp1838 +a(g826 +g990 +tp1839 +a(g826 +g992 +tp1840 +a(g826 +g1098 +tp1841 +a(g826 +g1057 +tp1842 +a(g826 +g1002 +tp1843 +a(g826 +g1055 +tp1844 +a(g826 +g1057 +tp1845 +a(g826 +g1016 +tp1846 +a(g826 +g958 +tp1847 +a(g400 +V-> +p1848 +tp1849 +a(g826 +g958 +tp1850 +a(g7 +Vif +p1851 +tp1852 +a(g826 +g958 +tp1853 +a(g826 +g1055 +tp1854 +a(g826 +g1057 +tp1855 +a(g826 +g958 +tp1856 +a(g7 +Visin +p1857 +tp1858 +a(g826 +g958 +tp1859 +a(g826 +g958 +tp1860 +a(g7 +Vdom +p1861 +tp1862 +a(g826 +g958 +tp1863 +a(g826 +g1321 +tp1864 +a(g826 +g1004 +tp1865 +a(g826 +g1055 +tp1866 +a(g826 +g1000 +tp1867 +a(g826 +g988 +tp1868 +a(g826 +g1160 +tp1869 +a(g826 +g958 +tp1870 +a(g7 +Vthen +p1871 +tp1872 +a(g826 +g958 +tp1873 +a(g826 +g1321 +tp1874 +a(g826 +g1004 +tp1875 +a(g826 +g1055 +tp1876 +a(g826 +g1000 +tp1877 +a(g826 +g988 +tp1878 +a(g826 +g1160 +tp1879 +a(g826 +g1002 +tp1880 +a(g826 +g1055 +tp1881 +a(g826 +g1057 +tp1882 +a(g826 +g1016 +tp1883 +a(g826 +g958 +tp1884 +a(g7 +Velse +p1885 +tp1886 +a(g826 +g958 +tp1887 +a(g22 +g1632 +tp1888 +a(g826 +g958 +tp1889 +a(g7 +Vend +p1890 +tp1891 +a(g826 +g958 +tp1892 +a(g826 +V\u000a +p1893 +tp1894 +a(g826 +g958 +tp1895 +a(g826 +g958 +tp1896 +a(g826 +g958 +tp1897 +a(g826 +g958 +tp1898 +a(g7 +Vend +p1899 +tp1900 +a(g826 +g958 +tp1901 +a(g826 +V\u000a +p1902 +tp1903 +a(g826 +V\u000a +p1904 +tp1905 +a(g7 +Vtype +p1906 +tp1907 +a(g826 +V\u000a +p1908 +tp1909 +a(g826 +g958 +tp1910 +a(g826 +g958 +tp1911 +a(g881 +VMProg +p1912 +tp1913 +a(g826 +g958 +tp1914 +a(g826 +g963 +tp1915 +a(g826 +g958 +tp1916 +a(g881 +VInst +p1917 +tp1918 +a(g7 +V-list +p1919 +tp1920 +a(g826 +g1018 +tp1921 +a(g826 +V\u000a +p1922 +tp1923 +a(g826 +g958 +tp1924 +a(g826 +g958 +tp1925 +a(g881 +VInst +p1926 +tp1927 +a(g826 +g958 +tp1928 +a(g826 +g963 +tp1929 +a(g826 +g963 +tp1930 +a(g826 +g958 +tp1931 +a(g826 +V\u000a +p1932 +tp1933 +a(g826 +g958 +tp1934 +a(g826 +g958 +tp1935 +a(g826 +g958 +tp1936 +a(g826 +g958 +tp1937 +a(g826 +g958 +tp1938 +a(g826 +g988 +tp1939 +a(g826 +g990 +tp1940 +a(g826 +g992 +tp1941 +a(g826 +g994 +tp1942 +a(g826 +g1245 +tp1943 +a(g826 +g1004 +tp1944 +a(g826 +g1157 +tp1945 +a(g826 +g1002 +tp1946 +a(g826 +g1055 +tp1947 +a(g826 +g1057 +tp1948 +a(g826 +g1059 +tp1949 +a(g22 +g1117 +tp1950 +a(g826 +g958 +tp1951 +a(g826 +g1011 +tp1952 +a(g826 +g958 +tp1953 +a(g881 +VIdentifier +p1954 +tp1955 +a(g826 +g1016 +tp1956 +a(g826 +g958 +tp1957 +a(g826 +g1082 +tp1958 +a(g826 +g958 +tp1959 +a(g826 +V\u000a +p1960 +tp1961 +a(g826 +g958 +tp1962 +a(g826 +g958 +tp1963 +a(g826 +g958 +tp1964 +a(g826 +g958 +tp1965 +a(g826 +g958 +tp1966 +a(g826 +g988 +tp1967 +a(g826 +g990 +tp1968 +a(g826 +g992 +tp1969 +a(g826 +g994 +tp1970 +a(g826 +g998 +tp1971 +a(g826 +g1072 +tp1972 +a(g826 +g1002 +tp1973 +a(g7 +VUnit +p1974 +tp1975 +a(g826 +g1016 +tp1976 +a(g826 +g958 +tp1977 +a(g826 +g1082 +tp1978 +a(g826 +g958 +tp1979 +a(g826 +V\u000a +p1980 +tp1981 +a(g826 +g958 +tp1982 +a(g826 +g958 +tp1983 +a(g826 +g958 +tp1984 +a(g826 +g958 +tp1985 +a(g826 +g958 +tp1986 +a(g826 +g988 +tp1987 +a(g826 +g990 +tp1988 +a(g826 +g992 +tp1989 +a(g826 +g1048 +tp1990 +a(g826 +g1057 +tp1991 +a(g826 +g1057 +tp1992 +a(g826 +g1002 +tp1993 +a(g7 +VUnit +p1994 +tp1995 +a(g826 +g1016 +tp1996 +a(g826 +g958 +tp1997 +a(g826 +g1082 +tp1998 +a(g826 +g958 +tp1999 +a(g826 +V\u000a +p2000 +tp2001 +a(g826 +g958 +tp2002 +a(g826 +g958 +tp2003 +a(g826 +g958 +tp2004 +a(g826 +g958 +tp2005 +a(g826 +g958 +tp2006 +a(g826 +g988 +tp2007 +a(g826 +g990 +tp2008 +a(g826 +g992 +tp2009 +a(g826 +g1210 +tp2010 +a(g826 +g1052 +tp2011 +a(g826 +g1004 +tp2012 +a(g826 +g1006 +tp2013 +a(g826 +g1002 +tp2014 +a(g826 +Vv +p2015 +tp2016 +a(g826 +g1160 +tp2017 +a(g826 +g1171 +tp2018 +a(g826 +g958 +tp2019 +a(g826 +g1011 +tp2020 +a(g826 +g958 +tp2021 +a(g7 +VInt +p2022 +tp2023 +a(g826 +g1016 +tp2024 +a(g826 +g958 +tp2025 +a(g826 +g1082 +tp2026 +a(g826 +g958 +tp2027 +a(g826 +V\u000a +p2028 +tp2029 +a(g826 +g958 +tp2030 +a(g826 +g958 +tp2031 +a(g826 +g958 +tp2032 +a(g826 +g958 +tp2033 +a(g826 +g958 +tp2034 +a(g826 +g988 +tp2035 +a(g826 +g990 +tp2036 +a(g826 +g992 +tp2037 +a(g826 +g1151 +tp2038 +a(g826 +g1006 +tp2039 +a(g826 +g998 +tp2040 +a(g826 +g996 +tp2041 +a(g826 +g1059 +tp2042 +a(g826 +g1002 +tp2043 +a(g826 +g1055 +tp2044 +a(g826 +g1057 +tp2045 +a(g826 +g1059 +tp2046 +a(g22 +g1127 +tp2047 +a(g826 +g958 +tp2048 +a(g826 +g1011 +tp2049 +a(g826 +g958 +tp2050 +a(g881 +VIdentifier +p2051 +tp2052 +a(g826 +g1016 +tp2053 +a(g826 +g958 +tp2054 +a(g826 +g1082 +tp2055 +a(g826 +g958 +tp2056 +a(g826 +V\u000a +p2057 +tp2058 +a(g826 +g958 +tp2059 +a(g826 +g958 +tp2060 +a(g826 +g958 +tp2061 +a(g826 +g958 +tp2062 +a(g826 +g958 +tp2063 +a(g826 +g988 +tp2064 +a(g826 +g990 +tp2065 +a(g826 +g992 +tp2066 +a(g826 +VJ +p2067 +tp2068 +a(g826 +g1245 +tp2069 +a(g826 +g988 +tp2070 +a(g826 +g1072 +tp2071 +a(g896 +Vfalse +p2072 +tp2073 +a(g826 +g1002 +tp2074 +a(g826 +g998 +tp2075 +a(g826 +g1100 +tp2076 +a(g826 +g1100 +tp2077 +a(g22 +g1117 +tp2078 +a(g826 +g958 +tp2079 +a(g826 +g1011 +tp2080 +a(g826 +g958 +tp2081 +a(g7 +VInt +p2082 +tp2083 +a(g826 +g1016 +tp2084 +a(g826 +g958 +tp2085 +a(g826 +g1082 +tp2086 +a(g826 +g958 +tp2087 +a(g826 +V\u000a +p2088 +tp2089 +a(g826 +g958 +tp2090 +a(g826 +g958 +tp2091 +a(g826 +g958 +tp2092 +a(g826 +g958 +tp2093 +a(g826 +g958 +tp2094 +a(g826 +g988 +tp2095 +a(g826 +g990 +tp2096 +a(g826 +g992 +tp2097 +a(g826 +g2067 +tp2098 +a(g826 +g1245 +tp2099 +a(g826 +g988 +tp2100 +a(g826 +g1072 +tp2101 +a(g826 +g1002 +tp2102 +a(g826 +g998 +tp2103 +a(g826 +g1100 +tp2104 +a(g826 +g1100 +tp2105 +a(g22 +g1127 +tp2106 +a(g826 +g958 +tp2107 +a(g826 +g1011 +tp2108 +a(g826 +g958 +tp2109 +a(g7 +VInt +p2110 +tp2111 +a(g826 +g1016 +tp2112 +a(g826 +g958 +tp2113 +a(g826 +V\u000a +p2114 +tp2115 +a(g826 +V\u000a +p2116 +tp2117 +a(g826 +V\u000a +p2118 +tp2119 +a(g709 +V/* An interpreter for SMALL instructions */ +p2120 +tp2121 +a(g826 +V\u000a +p2122 +tp2123 +a(g826 +V\u000a +p2124 +tp2125 +a(g7 +Vtype +p2126 +tp2127 +a(g826 +g958 +tp2128 +a(g826 +g958 +tp2129 +a(g881 +VStack +p2130 +tp2131 +a(g826 +g958 +tp2132 +a(g826 +g963 +tp2133 +a(g826 +g958 +tp2134 +a(g7 +VInt +p2135 +tp2136 +a(g7 +V-list +p2137 +tp2138 +a(g826 +V\u000a +p2139 +tp2140 +a(g7 +Vvalue +p2141 +tp2142 +a(g826 +g958 +tp2143 +a(g826 +V\u000a +p2144 +tp2145 +a(g561 +V I : +p2146 +tp2147 +a(g881 +VMProg +p2148 +tp2149 +a(g826 +g958 +tp2150 +a(g400 +V>< +p2151 +tp2152 +a(g826 +g958 +tp2153 +a(g7 +VInt +p2154 +tp2155 +a(g826 +g958 +tp2156 +a(g400 +V>< +p2157 +tp2158 +a(g826 +g958 +tp2159 +a(g881 +VStack +p2160 +tp2161 +a(g826 +g958 +tp2162 +a(g400 +V-> +p2163 +tp2164 +a(g826 +g958 +tp2165 +a(g826 +g1002 +tp2166 +a(g826 +g1321 +tp2167 +a(g881 +VSigma +p2168 +tp2169 +a(g826 +g958 +tp2170 +a(g400 +V-> +p2171 +tp2172 +a(g826 +g1321 +tp2173 +a(g881 +VSigma +p2174 +tp2175 +a(g826 +g1016 +tp2176 +a(g826 +V\u000a +p2177 +tp2178 +a(g826 +g958 +tp2179 +a(g826 +g958 +tp2180 +a(g881 +g1098 +tp2181 +a(g826 +g1002 +tp2182 +a(g826 +g988 +tp2183 +a(g826 +g1072 +tp2184 +a(g826 +g1018 +tp2185 +a(g826 +g958 +tp2186 +a(g826 +g1072 +tp2187 +a(g826 +g1103 +tp2188 +a(g826 +g1018 +tp2189 +a(g826 +g958 +tp2190 +a(g826 +g1004 +tp2191 +a(g826 +g1016 +tp2192 +a(g826 +g1002 +tp2193 +a(g826 +g1321 +tp2194 +a(g826 +g1004 +tp2195 +a(g826 +g1055 +tp2196 +a(g826 +g1000 +tp2197 +a(g826 +g988 +tp2198 +a(g826 +g1160 +tp2199 +a(g826 +g1016 +tp2200 +a(g826 +g958 +tp2201 +a(g7 +Vis +p2202 +tp2203 +a(g826 +g958 +tp2204 +a(g826 +V\u000a +p2205 +tp2206 +a(g826 +g958 +tp2207 +a(g826 +g958 +tp2208 +a(g826 +g958 +tp2209 +a(g826 +g958 +tp2210 +a(g7 +Vif +p2211 +tp2212 +a(g826 +g958 +tp2213 +a(g826 +g1072 +tp2214 +a(g826 +g1103 +tp2215 +a(g826 +g958 +tp2216 +a(g400 +V<= +p2217 +tp2218 +a(g826 +g958 +tp2219 +a(g22 +g1632 +tp2220 +a(g826 +g958 +tp2221 +a(g400 +V\u005c/ +p2222 +tp2223 +a(g826 +g958 +tp2224 +a(g826 +g1072 +tp2225 +a(g826 +g1103 +tp2226 +a(g826 +g958 +tp2227 +a(g826 +V> +p2228 +tp2229 +a(g826 +g958 +tp2230 +a(g7 +Vlen +p2231 +tp2232 +a(g826 +g958 +tp2233 +a(g826 +g988 +tp2234 +a(g826 +g1072 +tp2235 +a(g826 +g958 +tp2236 +a(g7 +Vthen +p2237 +tp2238 +a(g826 +g958 +tp2239 +a(g826 +g1321 +tp2240 +a(g826 +g1004 +tp2241 +a(g826 +g1055 +tp2242 +a(g826 +g1000 +tp2243 +a(g826 +g988 +tp2244 +a(g826 +g1160 +tp2245 +a(g826 +g958 +tp2246 +a(g7 +Velse +p2247 +tp2248 +a(g826 +V\u000a +p2249 +tp2250 +a(g826 +g958 +tp2251 +a(g826 +g958 +tp2252 +a(g826 +g958 +tp2253 +a(g826 +g958 +tp2254 +a(g826 +g958 +tp2255 +a(g826 +g958 +tp2256 +a(g7 +Vcase +p2257 +tp2258 +a(g826 +g958 +tp2259 +a(g826 +g958 +tp2260 +a(g826 +g988 +tp2261 +a(g826 +g1072 +tp2262 +a(g826 +g1002 +tp2263 +a(g826 +g1072 +tp2264 +a(g826 +g1103 +tp2265 +a(g826 +g1016 +tp2266 +a(g826 +g958 +tp2267 +a(g7 +Vof +p2268 +tp2269 +a(g826 +V\u000a +p2270 +tp2271 +a(g826 +g958 +tp2272 +a(g826 +g958 +tp2273 +a(g826 +g958 +tp2274 +a(g826 +g958 +tp2275 +a(g826 +g958 +tp2276 +a(g826 +g958 +tp2277 +a(g826 +g958 +tp2278 +a(g826 +g958 +tp2279 +a(g826 +g988 +tp2280 +a(g826 +g990 +tp2281 +a(g826 +g992 +tp2282 +a(g826 +g994 +tp2283 +a(g826 +g1245 +tp2284 +a(g826 +g1004 +tp2285 +a(g826 +g1157 +tp2286 +a(g826 +g1002 +tp2287 +a(g826 +g1070 +tp2288 +a(g826 +g1016 +tp2289 +a(g826 +g958 +tp2290 +a(g400 +V-> +p2291 +tp2292 +a(g826 +g958 +tp2293 +a(g7 +Vif +p2294 +tp2295 +a(g826 +g958 +tp2296 +a(g826 +g1070 +tp2297 +a(g826 +g958 +tp2298 +a(g7 +Visin +p2299 +tp2300 +a(g826 +g958 +tp2301 +a(g7 +Vdom +p2302 +tp2303 +a(g826 +g958 +tp2304 +a(g826 +g1321 +tp2305 +a(g826 +g1004 +tp2306 +a(g826 +g1055 +tp2307 +a(g826 +g1000 +tp2308 +a(g826 +g988 +tp2309 +a(g826 +g1160 +tp2310 +a(g826 +g958 +tp2311 +a(g826 +V\u000a +p2312 +tp2313 +a(g826 +g958 +tp2314 +a(g826 +g958 +tp2315 +a(g826 +g958 +tp2316 +a(g826 +g958 +tp2317 +a(g826 +g958 +tp2318 +a(g826 +g958 +tp2319 +a(g826 +g958 +tp2320 +a(g826 +g958 +tp2321 +a(g826 +g958 +tp2322 +a(g826 +g958 +tp2323 +a(g7 +Vthen +p2324 +tp2325 +a(g826 +g958 +tp2326 +a(g881 +g1098 +tp2327 +a(g826 +g1002 +tp2328 +a(g826 +g988 +tp2329 +a(g826 +g1072 +tp2330 +a(g826 +g1018 +tp2331 +a(g826 +g958 +tp2332 +a(g826 +g1072 +tp2333 +a(g826 +g1103 +tp2334 +a(g826 +g958 +tp2335 +a(g826 +g1812 +tp2336 +a(g826 +g958 +tp2337 +a(g22 +g1117 +tp2338 +a(g826 +g1018 +tp2339 +a(g826 +g958 +tp2340 +a(g400 +V<. +p2341 +tp2342 +a(g826 +g1321 +tp2343 +a(g826 +g1004 +tp2344 +a(g826 +g1055 +tp2345 +a(g826 +g1000 +tp2346 +a(g826 +g988 +tp2347 +a(g826 +g1160 +tp2348 +a(g826 +g1002 +tp2349 +a(g826 +g1070 +tp2350 +a(g826 +g1016 +tp2351 +a(g400 +V.> +p2352 +tp2353 +a(g826 +g958 +tp2354 +a(g826 +V^ +p2355 +tp2356 +a(g826 +g958 +tp2357 +a(g826 +g1004 +tp2358 +a(g826 +g1016 +tp2359 +a(g826 +g1002 +tp2360 +a(g826 +g1321 +tp2361 +a(g826 +g1004 +tp2362 +a(g826 +g1055 +tp2363 +a(g826 +g1000 +tp2364 +a(g826 +g988 +tp2365 +a(g826 +g1160 +tp2366 +a(g826 +g1016 +tp2367 +a(g826 +V\u000a +p2368 +tp2369 +a(g826 +g958 +tp2370 +a(g826 +g958 +tp2371 +a(g826 +g958 +tp2372 +a(g826 +g958 +tp2373 +a(g826 +g958 +tp2374 +a(g826 +g958 +tp2375 +a(g826 +g958 +tp2376 +a(g826 +g958 +tp2377 +a(g826 +g958 +tp2378 +a(g826 +g958 +tp2379 +a(g7 +Velse +p2380 +tp2381 +a(g826 +g958 +tp2382 +a(g826 +g958 +tp2383 +a(g881 +g1098 +tp2384 +a(g826 +g1002 +tp2385 +a(g826 +g988 +tp2386 +a(g826 +g1072 +tp2387 +a(g826 +g1018 +tp2388 +a(g826 +g958 +tp2389 +a(g826 +g1072 +tp2390 +a(g826 +g1103 +tp2391 +a(g826 +g958 +tp2392 +a(g826 +g1812 +tp2393 +a(g826 +g958 +tp2394 +a(g22 +g1117 +tp2395 +a(g826 +g1018 +tp2396 +a(g826 +g958 +tp2397 +a(g400 +V<. +p2398 +tp2399 +a(g22 +g1632 +tp2400 +a(g400 +V.> +p2401 +tp2402 +a(g826 +g958 +tp2403 +a(g826 +g2355 +tp2404 +a(g826 +g958 +tp2405 +a(g826 +g1004 +tp2406 +a(g826 +g1016 +tp2407 +a(g826 +g1002 +tp2408 +a(g826 +g1321 +tp2409 +a(g826 +g1004 +tp2410 +a(g826 +g1055 +tp2411 +a(g826 +g1000 +tp2412 +a(g826 +g988 +tp2413 +a(g826 +g1160 +tp2414 +a(g826 +g1016 +tp2415 +a(g826 +g958 +tp2416 +a(g7 +Vend +p2417 +tp2418 +a(g826 +g1018 +tp2419 +a(g826 +V\u000a +p2420 +tp2421 +a(g826 +g958 +tp2422 +a(g826 +g958 +tp2423 +a(g826 +g958 +tp2424 +a(g826 +g958 +tp2425 +a(g826 +g958 +tp2426 +a(g826 +g958 +tp2427 +a(g826 +g958 +tp2428 +a(g826 +g958 +tp2429 +a(g826 +g988 +tp2430 +a(g826 +g990 +tp2431 +a(g826 +g992 +tp2432 +a(g826 +g994 +tp2433 +a(g826 +g998 +tp2434 +a(g826 +g1072 +tp2435 +a(g826 +g1002 +tp2436 +a(g826 +g1002 +tp2437 +a(g826 +g1016 +tp2438 +a(g826 +g1016 +tp2439 +a(g826 +g958 +tp2440 +a(g400 +V-> +p2441 +tp2442 +a(g826 +g958 +tp2443 +a(g7 +Vif +p2444 +tp2445 +a(g826 +g958 +tp2446 +a(g7 +Vlen +p2447 +tp2448 +a(g826 +g958 +tp2449 +a(g826 +g1004 +tp2450 +a(g826 +g958 +tp2451 +a(g826 +g963 +tp2452 +a(g826 +g958 +tp2453 +a(g22 +g1632 +tp2454 +a(g826 +g958 +tp2455 +a(g7 +Vthen +p2456 +tp2457 +a(g826 +g958 +tp2458 +a(g826 +g1321 +tp2459 +a(g826 +g1004 +tp2460 +a(g826 +g1055 +tp2461 +a(g826 +g1000 +tp2462 +a(g826 +g988 +tp2463 +a(g826 +g1160 +tp2464 +a(g826 +V\u000a +p2465 +tp2466 +a(g826 +g958 +tp2467 +a(g826 +g958 +tp2468 +a(g826 +g958 +tp2469 +a(g826 +g958 +tp2470 +a(g826 +g958 +tp2471 +a(g826 +g958 +tp2472 +a(g826 +g958 +tp2473 +a(g826 +g958 +tp2474 +a(g826 +g958 +tp2475 +a(g826 +g958 +tp2476 +a(g7 +Velse +p2477 +tp2478 +a(g826 +g958 +tp2479 +a(g881 +g1098 +tp2480 +a(g826 +g1002 +tp2481 +a(g826 +g988 +tp2482 +a(g826 +g1072 +tp2483 +a(g826 +g1018 +tp2484 +a(g826 +g958 +tp2485 +a(g826 +g1072 +tp2486 +a(g826 +g1103 +tp2487 +a(g826 +g958 +tp2488 +a(g826 +g1812 +tp2489 +a(g826 +g958 +tp2490 +a(g22 +g1117 +tp2491 +a(g826 +g1018 +tp2492 +a(g826 +g958 +tp2493 +a(g7 +Vtl +p2494 +tp2495 +a(g826 +g958 +tp2496 +a(g826 +g1004 +tp2497 +a(g826 +g1016 +tp2498 +a(g826 +g1002 +tp2499 +a(g826 +g1321 +tp2500 +a(g826 +g1004 +tp2501 +a(g826 +g1055 +tp2502 +a(g826 +g1000 +tp2503 +a(g826 +g988 +tp2504 +a(g826 +g1160 +tp2505 +a(g826 +g1016 +tp2506 +a(g826 +g958 +tp2507 +a(g7 +Vend +p2508 +tp2509 +a(g826 +g1018 +tp2510 +a(g826 +V\u000a +p2511 +tp2512 +a(g826 +g958 +tp2513 +a(g826 +g958 +tp2514 +a(g826 +g958 +tp2515 +a(g826 +g958 +tp2516 +a(g826 +g958 +tp2517 +a(g826 +g958 +tp2518 +a(g826 +g958 +tp2519 +a(g826 +g958 +tp2520 +a(g826 +g988 +tp2521 +a(g826 +g990 +tp2522 +a(g826 +g992 +tp2523 +a(g826 +g1210 +tp2524 +a(g826 +g1052 +tp2525 +a(g826 +g1004 +tp2526 +a(g826 +g1006 +tp2527 +a(g826 +g1002 +tp2528 +a(g826 +g1052 +tp2529 +a(g826 +g1016 +tp2530 +a(g826 +g958 +tp2531 +a(g826 +g958 +tp2532 +a(g400 +V-> +p2533 +tp2534 +a(g826 +g958 +tp2535 +a(g881 +g1098 +tp2536 +a(g826 +g1002 +tp2537 +a(g826 +g988 +tp2538 +a(g826 +g1072 +tp2539 +a(g826 +g1018 +tp2540 +a(g826 +g958 +tp2541 +a(g826 +g1072 +tp2542 +a(g826 +g1103 +tp2543 +a(g826 +g958 +tp2544 +a(g826 +g1812 +tp2545 +a(g826 +g958 +tp2546 +a(g22 +g1117 +tp2547 +a(g826 +g1018 +tp2548 +a(g826 +g958 +tp2549 +a(g400 +V<. +p2550 +tp2551 +a(g826 +g1052 +tp2552 +a(g400 +V.> +p2553 +tp2554 +a(g826 +g958 +tp2555 +a(g826 +g2355 +tp2556 +a(g826 +g958 +tp2557 +a(g826 +g958 +tp2558 +a(g826 +g1004 +tp2559 +a(g826 +g1016 +tp2560 +a(g826 +g1002 +tp2561 +a(g826 +g1321 +tp2562 +a(g826 +g1004 +tp2563 +a(g826 +g1055 +tp2564 +a(g826 +g1000 +tp2565 +a(g826 +g988 +tp2566 +a(g826 +g1160 +tp2567 +a(g826 +g1016 +tp2568 +a(g826 +g1018 +tp2569 +a(g826 +V\u000a +p2570 +tp2571 +a(g826 +g958 +tp2572 +a(g826 +g958 +tp2573 +a(g826 +g958 +tp2574 +a(g826 +g958 +tp2575 +a(g826 +g958 +tp2576 +a(g826 +g958 +tp2577 +a(g826 +g958 +tp2578 +a(g826 +g958 +tp2579 +a(g826 +g988 +tp2580 +a(g826 +g990 +tp2581 +a(g826 +g992 +tp2582 +a(g826 +g1048 +tp2583 +a(g826 +g1057 +tp2584 +a(g826 +g1057 +tp2585 +a(g826 +g1002 +tp2586 +a(g826 +g1002 +tp2587 +a(g826 +g1016 +tp2588 +a(g826 +g1016 +tp2589 +a(g826 +g958 +tp2590 +a(g400 +V-> +p2591 +tp2592 +a(g826 +g958 +tp2593 +a(g7 +Vif +p2594 +tp2595 +a(g826 +g958 +tp2596 +a(g7 +Vlen +p2597 +tp2598 +a(g826 +g958 +tp2599 +a(g826 +g1004 +tp2600 +a(g826 +g958 +tp2601 +a(g826 +V< +p2602 +tp2603 +a(g826 +g958 +tp2604 +a(g22 +g1127 +tp2605 +a(g826 +g958 +tp2606 +a(g7 +Vthen +p2607 +tp2608 +a(g826 +g958 +tp2609 +a(g826 +g1321 +tp2610 +a(g826 +g1004 +tp2611 +a(g826 +g1055 +tp2612 +a(g826 +g1000 +tp2613 +a(g826 +g988 +tp2614 +a(g826 +g1160 +tp2615 +a(g826 +g958 +tp2616 +a(g826 +V\u000a +p2617 +tp2618 +a(g826 +g958 +tp2619 +a(g826 +g958 +tp2620 +a(g826 +g958 +tp2621 +a(g826 +g958 +tp2622 +a(g826 +g958 +tp2623 +a(g826 +g958 +tp2624 +a(g826 +g958 +tp2625 +a(g826 +g958 +tp2626 +a(g826 +g958 +tp2627 +a(g826 +g958 +tp2628 +a(g7 +Velse +p2629 +tp2630 +a(g826 +g958 +tp2631 +a(g826 +g958 +tp2632 +a(g881 +g1098 +tp2633 +a(g826 +g1002 +tp2634 +a(g826 +g988 +tp2635 +a(g826 +g1072 +tp2636 +a(g826 +g1018 +tp2637 +a(g826 +g958 +tp2638 +a(g826 +g1072 +tp2639 +a(g826 +g1103 +tp2640 +a(g826 +g958 +tp2641 +a(g826 +g1812 +tp2642 +a(g826 +g958 +tp2643 +a(g22 +g1117 +tp2644 +a(g826 +g1018 +tp2645 +a(g400 +V<. +p2646 +tp2647 +a(g826 +g1004 +tp2648 +a(g826 +g1002 +tp2649 +a(g22 +g1117 +tp2650 +a(g826 +g1016 +tp2651 +a(g826 +g958 +tp2652 +a(g826 +g1812 +tp2653 +a(g826 +g958 +tp2654 +a(g826 +g1004 +tp2655 +a(g826 +g1002 +tp2656 +a(g22 +g1127 +tp2657 +a(g826 +g1016 +tp2658 +a(g400 +V.> +p2659 +tp2660 +a(g826 +g958 +tp2661 +a(g826 +g2355 +tp2662 +a(g826 +g958 +tp2663 +a(g7 +Vtl +p2664 +tp2665 +a(g826 +g958 +tp2666 +a(g7 +Vtl +p2667 +tp2668 +a(g826 +g958 +tp2669 +a(g826 +g1004 +tp2670 +a(g826 +g1016 +tp2671 +a(g826 +g1002 +tp2672 +a(g826 +g1321 +tp2673 +a(g826 +g1004 +tp2674 +a(g826 +g1055 +tp2675 +a(g826 +g1000 +tp2676 +a(g826 +g988 +tp2677 +a(g826 +g1160 +tp2678 +a(g826 +g1016 +tp2679 +a(g826 +g958 +tp2680 +a(g7 +Vend +p2681 +tp2682 +a(g826 +g1018 +tp2683 +a(g826 +V\u000a +p2684 +tp2685 +a(g826 +g958 +tp2686 +a(g826 +g958 +tp2687 +a(g826 +g958 +tp2688 +a(g826 +g958 +tp2689 +a(g826 +g958 +tp2690 +a(g826 +g958 +tp2691 +a(g826 +g958 +tp2692 +a(g826 +g958 +tp2693 +a(g826 +g988 +tp2694 +a(g826 +g990 +tp2695 +a(g826 +g992 +tp2696 +a(g826 +g1151 +tp2697 +a(g826 +g1006 +tp2698 +a(g826 +g998 +tp2699 +a(g826 +g996 +tp2700 +a(g826 +g1059 +tp2701 +a(g826 +g1002 +tp2702 +a(g826 +g1070 +tp2703 +a(g826 +g1016 +tp2704 +a(g826 +g958 +tp2705 +a(g400 +V-> +p2706 +tp2707 +a(g826 +g958 +tp2708 +a(g7 +Vif +p2709 +tp2710 +a(g826 +g958 +tp2711 +a(g7 +Vlen +p2712 +tp2713 +a(g826 +g958 +tp2714 +a(g826 +g1004 +tp2715 +a(g826 +g958 +tp2716 +a(g826 +g963 +tp2717 +a(g826 +g958 +tp2718 +a(g22 +g1632 +tp2719 +a(g826 +g958 +tp2720 +a(g7 +Vthen +p2721 +tp2722 +a(g826 +g958 +tp2723 +a(g826 +g1321 +tp2724 +a(g826 +g1004 +tp2725 +a(g826 +g1055 +tp2726 +a(g826 +g1000 +tp2727 +a(g826 +g988 +tp2728 +a(g826 +g1160 +tp2729 +a(g826 +V\u000a +p2730 +tp2731 +a(g826 +g958 +tp2732 +a(g826 +g958 +tp2733 +a(g826 +g958 +tp2734 +a(g826 +g958 +tp2735 +a(g826 +g958 +tp2736 +a(g826 +g958 +tp2737 +a(g826 +g958 +tp2738 +a(g826 +g958 +tp2739 +a(g826 +g958 +tp2740 +a(g826 +g958 +tp2741 +a(g7 +Velse +p2742 +tp2743 +a(g826 +g958 +tp2744 +a(g881 +g1098 +tp2745 +a(g826 +g1002 +tp2746 +a(g826 +g988 +tp2747 +a(g826 +g1072 +tp2748 +a(g826 +g1018 +tp2749 +a(g826 +g958 +tp2750 +a(g826 +g1072 +tp2751 +a(g826 +g1103 +tp2752 +a(g826 +g958 +tp2753 +a(g826 +g1812 +tp2754 +a(g826 +g958 +tp2755 +a(g22 +g1117 +tp2756 +a(g826 +g1018 +tp2757 +a(g826 +g958 +tp2758 +a(g826 +g1004 +tp2759 +a(g826 +g1016 +tp2760 +a(g826 +g1002 +tp2761 +a(g826 +g1321 +tp2762 +a(g826 +g1004 +tp2763 +a(g826 +g1055 +tp2764 +a(g826 +g1000 +tp2765 +a(g826 +g988 +tp2766 +a(g826 +g1160 +tp2767 +a(g826 +g958 +tp2768 +a(g400 +V!! +p2769 +tp2770 +a(g826 +g958 +tp2771 +a(g826 +g1504 +tp2772 +a(g826 +g1070 +tp2773 +a(g826 +g958 +tp2774 +a(g400 +V+> +p2775 +tp2776 +a(g826 +g958 +tp2777 +a(g826 +g1004 +tp2778 +a(g826 +g1002 +tp2779 +a(g22 +g1117 +tp2780 +a(g826 +g1016 +tp2781 +a(g826 +g1523 +tp2782 +a(g826 +g1016 +tp2783 +a(g826 +g958 +tp2784 +a(g7 +Vend +p2785 +tp2786 +a(g826 +g1018 +tp2787 +a(g826 +V\u000a +p2788 +tp2789 +a(g826 +g958 +tp2790 +a(g826 +g958 +tp2791 +a(g826 +g958 +tp2792 +a(g826 +g958 +tp2793 +a(g826 +g958 +tp2794 +a(g826 +g958 +tp2795 +a(g826 +g958 +tp2796 +a(g826 +g958 +tp2797 +a(g826 +g988 +tp2798 +a(g826 +g990 +tp2799 +a(g826 +g992 +tp2800 +a(g826 +g2067 +tp2801 +a(g826 +g1245 +tp2802 +a(g826 +g988 +tp2803 +a(g826 +g1072 +tp2804 +a(g896 +Vfalse +p2805 +tp2806 +a(g826 +g1002 +tp2807 +a(g826 +g1052 +tp2808 +a(g826 +g1016 +tp2809 +a(g826 +g958 +tp2810 +a(g400 +V-> +p2811 +tp2812 +a(g826 +g958 +tp2813 +a(g7 +Vif +p2814 +tp2815 +a(g826 +g958 +tp2816 +a(g7 +Vlen +p2817 +tp2818 +a(g826 +g958 +tp2819 +a(g826 +g1004 +tp2820 +a(g826 +g958 +tp2821 +a(g826 +g963 +tp2822 +a(g826 +g958 +tp2823 +a(g22 +g1632 +tp2824 +a(g826 +g958 +tp2825 +a(g7 +Vthen +p2826 +tp2827 +a(g826 +g958 +tp2828 +a(g826 +g1321 +tp2829 +a(g826 +g1004 +tp2830 +a(g826 +g1055 +tp2831 +a(g826 +g1000 +tp2832 +a(g826 +g988 +tp2833 +a(g826 +g1160 +tp2834 +a(g826 +V\u000a +p2835 +tp2836 +a(g826 +g958 +tp2837 +a(g826 +g958 +tp2838 +a(g826 +g958 +tp2839 +a(g826 +g958 +tp2840 +a(g826 +g958 +tp2841 +a(g826 +g958 +tp2842 +a(g826 +g958 +tp2843 +a(g826 +g958 +tp2844 +a(g826 +g958 +tp2845 +a(g826 +g958 +tp2846 +a(g826 +g1059 +tp2847 +a(g826 +g1171 +tp2848 +a(g826 +g1004 +tp2849 +a(g826 +g1055 +tp2850 +a(g826 +g1100 +tp2851 +a(g826 +g958 +tp2852 +a(g826 +g958 +tp2853 +a(g7 +Vhd +p2854 +tp2855 +a(g826 +g958 +tp2856 +a(g826 +g1004 +tp2857 +a(g826 +g958 +tp2858 +a(g400 +V~= +p2859 +tp2860 +a(g826 +g958 +tp2861 +a(g22 +g1632 +tp2862 +a(g826 +g958 +tp2863 +a(g826 +g958 +tp2864 +a(g7 +Vthen +p2865 +tp2866 +a(g826 +g958 +tp2867 +a(g881 +g1098 +tp2868 +a(g826 +g1002 +tp2869 +a(g826 +g988 +tp2870 +a(g826 +g1072 +tp2871 +a(g826 +g1018 +tp2872 +a(g826 +g958 +tp2873 +a(g826 +g1072 +tp2874 +a(g826 +g1103 +tp2875 +a(g826 +g958 +tp2876 +a(g826 +g1812 +tp2877 +a(g826 +g958 +tp2878 +a(g22 +g1117 +tp2879 +a(g826 +g1018 +tp2880 +a(g826 +g958 +tp2881 +a(g826 +g1004 +tp2882 +a(g826 +g1016 +tp2883 +a(g826 +g1002 +tp2884 +a(g826 +g1321 +tp2885 +a(g826 +g1004 +tp2886 +a(g826 +g1055 +tp2887 +a(g826 +g1000 +tp2888 +a(g826 +g988 +tp2889 +a(g826 +g1160 +tp2890 +a(g826 +g1016 +tp2891 +a(g826 +g958 +tp2892 +a(g826 +V\u000a +p2893 +tp2894 +a(g826 +g958 +tp2895 +a(g826 +g958 +tp2896 +a(g826 +g958 +tp2897 +a(g826 +g958 +tp2898 +a(g826 +g958 +tp2899 +a(g826 +g958 +tp2900 +a(g826 +g958 +tp2901 +a(g826 +g958 +tp2902 +a(g826 +g958 +tp2903 +a(g826 +g958 +tp2904 +a(g7 +Velse +p2905 +tp2906 +a(g826 +g958 +tp2907 +a(g881 +g1098 +tp2908 +a(g826 +g1002 +tp2909 +a(g826 +g988 +tp2910 +a(g826 +g1072 +tp2911 +a(g826 +g1018 +tp2912 +a(g826 +g958 +tp2913 +a(g826 +g1072 +tp2914 +a(g826 +g1103 +tp2915 +a(g826 +g958 +tp2916 +a(g826 +g1812 +tp2917 +a(g826 +g958 +tp2918 +a(g826 +g1052 +tp2919 +a(g826 +g1018 +tp2920 +a(g826 +g958 +tp2921 +a(g826 +g1004 +tp2922 +a(g826 +g1016 +tp2923 +a(g826 +g1002 +tp2924 +a(g826 +g1321 +tp2925 +a(g826 +g1004 +tp2926 +a(g826 +g1055 +tp2927 +a(g826 +g1000 +tp2928 +a(g826 +g988 +tp2929 +a(g826 +g1160 +tp2930 +a(g826 +g1016 +tp2931 +a(g826 +g958 +tp2932 +a(g7 +Vend +p2933 +tp2934 +a(g826 +g1018 +tp2935 +a(g826 +V\u000a +p2936 +tp2937 +a(g826 +g958 +tp2938 +a(g826 +g958 +tp2939 +a(g826 +g958 +tp2940 +a(g826 +g958 +tp2941 +a(g826 +g958 +tp2942 +a(g826 +g958 +tp2943 +a(g826 +g958 +tp2944 +a(g826 +g958 +tp2945 +a(g826 +g988 +tp2946 +a(g826 +g990 +tp2947 +a(g826 +g992 +tp2948 +a(g826 +g2067 +tp2949 +a(g826 +g1245 +tp2950 +a(g826 +g988 +tp2951 +a(g826 +g1072 +tp2952 +a(g826 +g1002 +tp2953 +a(g826 +g1052 +tp2954 +a(g826 +g1016 +tp2955 +a(g826 +g958 +tp2956 +a(g400 +V-> +p2957 +tp2958 +a(g826 +g958 +tp2959 +a(g881 +g1098 +tp2960 +a(g826 +g1002 +tp2961 +a(g826 +g988 +tp2962 +a(g826 +g1072 +tp2963 +a(g826 +g1018 +tp2964 +a(g826 +g958 +tp2965 +a(g826 +g1072 +tp2966 +a(g826 +g1103 +tp2967 +a(g826 +g958 +tp2968 +a(g826 +g1812 +tp2969 +a(g826 +g958 +tp2970 +a(g826 +g1052 +tp2971 +a(g826 +g1018 +tp2972 +a(g826 +g958 +tp2973 +a(g826 +g1004 +tp2974 +a(g826 +g1016 +tp2975 +a(g826 +g1002 +tp2976 +a(g826 +g1321 +tp2977 +a(g826 +g1004 +tp2978 +a(g826 +g1055 +tp2979 +a(g826 +g1000 +tp2980 +a(g826 +g988 +tp2981 +a(g826 +g1160 +tp2982 +a(g826 +g1016 +tp2983 +a(g826 +g958 +tp2984 +a(g826 +V\u000a +p2985 +tp2986 +a(g826 +g958 +tp2987 +a(g826 +g958 +tp2988 +a(g826 +g958 +tp2989 +a(g826 +g958 +tp2990 +a(g826 +g958 +tp2991 +a(g826 +g958 +tp2992 +a(g7 +Vend +p2993 +tp2994 +a(g826 +V\u000a +p2995 +tp2996 +a(g826 +g958 +tp2997 +a(g826 +g958 +tp2998 +a(g826 +g958 +tp2999 +a(g826 +g958 +tp3000 +a(g7 +Vend +p3001 +tp3002 +a(g826 +g958 +tp3003 +a(g826 +g958 +tp3004 +a(g826 +V\u000a +p3005 +tp3006 +a(g826 +V\u000a +p3007 +tp3008 +a(g7 +Vvalue +p3009 +tp3010 +a(g826 +V\u000a +p3011 +tp3012 +a(g561 +V comp_Prog : +p3013 +tp3014 +a(g881 +VProg +p3015 +tp3016 +a(g826 +g958 +tp3017 +a(g400 +V-> +p3018 +tp3019 +a(g826 +g958 +tp3020 +a(g881 +VMProg +p3021 +tp3022 +a(g826 +V\u000a +p3023 +tp3024 +a(g826 +V +p3025 +tp3026 +a(g561 +Vcomp_Prog +p3027 +tp3028 +a(g826 +V(p) +p3029 +tp3030 +a(g7 +Vis +p3031 +tp3032 +a(g826 +g958 +tp3033 +a(g826 +g1103 +tp3034 +a(g826 +g998 +tp3035 +a(g826 +g988 +tp3036 +a(g826 +g1072 +tp3037 +a(g826 +g992 +tp3038 +a(g826 +g1151 +tp3039 +a(g826 +g1006 +tp3040 +a(g826 +g988 +tp3041 +a(g826 +g1006 +tp3042 +a(g826 +g1002 +tp3043 +a(g826 +g1004 +tp3044 +a(g826 +g1006 +tp3045 +a(g826 +g988 +tp3046 +a(g826 +g1006 +tp3047 +a(g826 +g1002 +tp3048 +a(g826 +g1072 +tp3049 +a(g826 +g1016 +tp3050 +a(g826 +g1016 +tp3051 +a(g826 +g1018 +tp3052 +a(g826 +V\u000a +p3053 +tp3054 +a(g826 +V\u000a +p3055 +tp3056 +a(g561 +V comp_Stmt : +p3057 +tp3058 +a(g881 +VStmt +p3059 +tp3060 +a(g826 +g958 +tp3061 +a(g400 +V-> +p3062 +tp3063 +a(g826 +g958 +tp3064 +a(g881 +VMProg +p3065 +tp3066 +a(g826 +V\u000a +p3067 +tp3068 +a(g826 +V +p3069 +tp3070 +a(g561 +Vcomp_Stmt +p3071 +tp3072 +a(g826 +V(s) +p3073 +tp3074 +a(g7 +Vis +p3075 +tp3076 +a(g826 +V\u000a +p3077 +tp3078 +a(g826 +g958 +tp3079 +a(g826 +g958 +tp3080 +a(g826 +g958 +tp3081 +a(g826 +g958 +tp3082 +a(g7 +Vcase +p3083 +tp3084 +a(g826 +g958 +tp3085 +a(g826 +g1004 +tp3086 +a(g826 +g958 +tp3087 +a(g7 +Vof +p3088 +tp3089 +a(g826 +V\u000a +p3090 +tp3091 +a(g826 +g958 +tp3092 +a(g826 +g958 +tp3093 +a(g826 +g958 +tp3094 +a(g826 +g958 +tp3095 +a(g826 +g958 +tp3096 +a(g826 +g958 +tp3097 +a(g826 +g988 +tp3098 +a(g826 +g990 +tp3099 +a(g826 +g992 +tp3100 +a(g826 +g1048 +tp3101 +a(g826 +g1004 +tp3102 +a(g826 +g1000 +tp3103 +a(g826 +g1052 +tp3104 +a(g826 +g1002 +tp3105 +a(g826 +g1055 +tp3106 +a(g826 +g1057 +tp3107 +a(g826 +g1018 +tp3108 +a(g826 +g958 +tp3109 +a(g826 +g1059 +tp3110 +a(g826 +g1016 +tp3111 +a(g826 +g958 +tp3112 +a(g400 +V-> +p3113 +tp3114 +a(g826 +g958 +tp3115 +a(g826 +g1103 +tp3116 +a(g826 +g998 +tp3117 +a(g826 +g988 +tp3118 +a(g826 +g1072 +tp3119 +a(g826 +g992 +tp3120 +a(g826 +VE +p3121 +tp3122 +a(g826 +g1070 +tp3123 +a(g826 +g1072 +tp3124 +a(g826 +g996 +tp3125 +a(g826 +g1002 +tp3126 +a(g826 +g1059 +tp3127 +a(g826 +g1016 +tp3128 +a(g826 +g958 +tp3129 +a(g826 +g2355 +tp3130 +a(g826 +g958 +tp3131 +a(g400 +V<. +p3132 +tp3133 +a(g826 +g958 +tp3134 +a(g826 +g988 +tp3135 +a(g826 +g990 +tp3136 +a(g826 +g992 +tp3137 +a(g826 +g1151 +tp3138 +a(g826 +g1006 +tp3139 +a(g826 +g998 +tp3140 +a(g826 +g996 +tp3141 +a(g826 +g1059 +tp3142 +a(g826 +g1002 +tp3143 +a(g826 +g1055 +tp3144 +a(g826 +g1057 +tp3145 +a(g826 +g1016 +tp3146 +a(g826 +g1018 +tp3147 +a(g826 +g958 +tp3148 +a(g826 +g988 +tp3149 +a(g826 +g990 +tp3150 +a(g826 +g992 +tp3151 +a(g826 +g994 +tp3152 +a(g826 +g998 +tp3153 +a(g826 +g1072 +tp3154 +a(g826 +g1002 +tp3155 +a(g826 +g1016 +tp3156 +a(g826 +g958 +tp3157 +a(g400 +V.> +p3158 +tp3159 +a(g826 +g1018 +tp3160 +a(g826 +V\u000a +p3161 +tp3162 +a(g826 +g958 +tp3163 +a(g826 +g958 +tp3164 +a(g826 +g958 +tp3165 +a(g826 +g958 +tp3166 +a(g826 +g958 +tp3167 +a(g826 +g958 +tp3168 +a(g826 +g988 +tp3169 +a(g826 +g990 +tp3170 +a(g826 +g992 +tp3171 +a(g826 +g1151 +tp3172 +a(g826 +g1059 +tp3173 +a(g826 +g1154 +tp3174 +a(g826 +g1002 +tp3175 +a(g826 +g1004 +tp3176 +a(g22 +g1117 +tp3177 +a(g826 +g1018 +tp3178 +a(g826 +g958 +tp3179 +a(g826 +g1004 +tp3180 +a(g22 +g1127 +tp3181 +a(g826 +g1016 +tp3182 +a(g826 +g958 +tp3183 +a(g400 +V-> +p3184 +tp3185 +a(g826 +g958 +tp3186 +a(g826 +g1103 +tp3187 +a(g826 +g998 +tp3188 +a(g826 +g988 +tp3189 +a(g826 +g1072 +tp3190 +a(g826 +g992 +tp3191 +a(g826 +g1151 +tp3192 +a(g826 +g1006 +tp3193 +a(g826 +g988 +tp3194 +a(g826 +g1006 +tp3195 +a(g826 +g1002 +tp3196 +a(g826 +g1004 +tp3197 +a(g22 +g1117 +tp3198 +a(g826 +g1016 +tp3199 +a(g826 +g958 +tp3200 +a(g826 +g2355 +tp3201 +a(g826 +g958 +tp3202 +a(g826 +g1103 +tp3203 +a(g826 +g998 +tp3204 +a(g826 +g988 +tp3205 +a(g826 +g1072 +tp3206 +a(g826 +g992 +tp3207 +a(g826 +g1151 +tp3208 +a(g826 +g1006 +tp3209 +a(g826 +g988 +tp3210 +a(g826 +g1006 +tp3211 +a(g826 +g1002 +tp3212 +a(g826 +g1004 +tp3213 +a(g22 +g1127 +tp3214 +a(g826 +g1016 +tp3215 +a(g826 +g1018 +tp3216 +a(g826 +V\u000a +p3217 +tp3218 +a(g826 +g958 +tp3219 +a(g826 +g958 +tp3220 +a(g826 +g958 +tp3221 +a(g826 +g958 +tp3222 +a(g826 +g958 +tp3223 +a(g826 +g958 +tp3224 +a(g826 +g988 +tp3225 +a(g826 +g990 +tp3226 +a(g826 +g992 +tp3227 +a(g826 +g1098 +tp3228 +a(g826 +g1100 +tp3229 +a(g826 +g1002 +tp3230 +a(g826 +g1059 +tp3231 +a(g826 +g1018 +tp3232 +a(g826 +g958 +tp3233 +a(g826 +g1004 +tp3234 +a(g22 +g1117 +tp3235 +a(g826 +g1018 +tp3236 +a(g826 +g958 +tp3237 +a(g826 +g1004 +tp3238 +a(g22 +g1127 +tp3239 +a(g826 +g1016 +tp3240 +a(g826 +g958 +tp3241 +a(g400 +V-> +p3242 +tp3243 +a(g826 +g958 +tp3244 +a(g826 +V\u000a +p3245 +tp3246 +a(g826 +g958 +tp3247 +a(g826 +g958 +tp3248 +a(g826 +g958 +tp3249 +a(g826 +g958 +tp3250 +a(g826 +g958 +tp3251 +a(g826 +g958 +tp3252 +a(g826 +g958 +tp3253 +a(g7 +Vlet +p3254 +tp3255 +a(g826 +g958 +tp3256 +a(g826 +V\u000a +p3257 +tp3258 +a(g826 +g958 +tp3259 +a(g826 +g958 +tp3260 +a(g826 +g958 +tp3261 +a(g826 +g958 +tp3262 +a(g826 +g958 +tp3263 +a(g826 +g958 +tp3264 +a(g826 +g958 +tp3265 +a(g826 +g958 +tp3266 +a(g826 +g958 +tp3267 +a(g826 +g1103 +tp3268 +a(g826 +g1059 +tp3269 +a(g826 +g958 +tp3270 +a(g826 +g963 +tp3271 +a(g826 +g958 +tp3272 +a(g826 +g1103 +tp3273 +a(g826 +g998 +tp3274 +a(g826 +g988 +tp3275 +a(g826 +g1072 +tp3276 +a(g826 +g992 +tp3277 +a(g826 +g3121 +tp3278 +a(g826 +g1070 +tp3279 +a(g826 +g1072 +tp3280 +a(g826 +g996 +tp3281 +a(g826 +g1002 +tp3282 +a(g826 +g1059 +tp3283 +a(g826 +g1016 +tp3284 +a(g826 +g1018 +tp3285 +a(g826 +g958 +tp3286 +a(g826 +V\u000a +p3287 +tp3288 +a(g826 +g958 +tp3289 +a(g826 +g958 +tp3290 +a(g826 +g958 +tp3291 +a(g826 +g958 +tp3292 +a(g826 +g958 +tp3293 +a(g826 +g958 +tp3294 +a(g826 +g958 +tp3295 +a(g826 +g958 +tp3296 +a(g826 +g958 +tp3297 +a(g826 +g1103 +tp3298 +a(g826 +g1004 +tp3299 +a(g22 +g1117 +tp3300 +a(g826 +g958 +tp3301 +a(g826 +g963 +tp3302 +a(g826 +g958 +tp3303 +a(g826 +g1103 +tp3304 +a(g826 +g998 +tp3305 +a(g826 +g988 +tp3306 +a(g826 +g1072 +tp3307 +a(g826 +g992 +tp3308 +a(g826 +g1151 +tp3309 +a(g826 +g1006 +tp3310 +a(g826 +g988 +tp3311 +a(g826 +g1006 +tp3312 +a(g826 +g1002 +tp3313 +a(g826 +g1004 +tp3314 +a(g22 +g1117 +tp3315 +a(g826 +g1016 +tp3316 +a(g826 +g1018 +tp3317 +a(g826 +g958 +tp3318 +a(g826 +g1103 +tp3319 +a(g826 +g1004 +tp3320 +a(g22 +g1127 +tp3321 +a(g826 +g958 +tp3322 +a(g826 +g963 +tp3323 +a(g826 +g958 +tp3324 +a(g826 +g1103 +tp3325 +a(g826 +g998 +tp3326 +a(g826 +g988 +tp3327 +a(g826 +g1072 +tp3328 +a(g826 +g992 +tp3329 +a(g826 +g1151 +tp3330 +a(g826 +g1006 +tp3331 +a(g826 +g988 +tp3332 +a(g826 +g1006 +tp3333 +a(g826 +g1002 +tp3334 +a(g826 +g1004 +tp3335 +a(g22 +g1127 +tp3336 +a(g826 +g1016 +tp3337 +a(g826 +g958 +tp3338 +a(g826 +V\u000a +p3339 +tp3340 +a(g826 +g958 +tp3341 +a(g826 +g958 +tp3342 +a(g826 +g958 +tp3343 +a(g826 +g958 +tp3344 +a(g826 +g958 +tp3345 +a(g826 +g958 +tp3346 +a(g826 +g958 +tp3347 +a(g7 +Vin +p3348 +tp3349 +a(g826 +V\u000a +p3350 +tp3351 +a(g826 +g958 +tp3352 +a(g826 +g958 +tp3353 +a(g826 +g958 +tp3354 +a(g826 +g958 +tp3355 +a(g826 +g958 +tp3356 +a(g826 +g958 +tp3357 +a(g826 +g958 +tp3358 +a(g826 +g958 +tp3359 +a(g826 +g958 +tp3360 +a(g826 +g958 +tp3361 +a(g826 +g958 +tp3362 +a(g826 +g1103 +tp3363 +a(g826 +g1059 +tp3364 +a(g826 +g958 +tp3365 +a(g826 +g2355 +tp3366 +a(g826 +g958 +tp3367 +a(g826 +V\u000a +p3368 +tp3369 +a(g826 +g958 +tp3370 +a(g826 +g958 +tp3371 +a(g826 +g958 +tp3372 +a(g826 +g958 +tp3373 +a(g826 +g958 +tp3374 +a(g826 +g958 +tp3375 +a(g826 +g958 +tp3376 +a(g826 +g958 +tp3377 +a(g826 +g958 +tp3378 +a(g826 +g958 +tp3379 +a(g826 +g958 +tp3380 +a(g400 +V<. +p3381 +tp3382 +a(g826 +g958 +tp3383 +a(g826 +g988 +tp3384 +a(g826 +g990 +tp3385 +a(g826 +g992 +tp3386 +a(g826 +g2067 +tp3387 +a(g826 +g1245 +tp3388 +a(g826 +g988 +tp3389 +a(g826 +g1072 +tp3390 +a(g896 +Vfalse +p3391 +tp3392 +a(g826 +g1002 +tp3393 +a(g7 +Vlen +p3394 +tp3395 +a(g826 +g958 +tp3396 +a(g826 +g1103 +tp3397 +a(g826 +g1004 +tp3398 +a(g22 +g1117 +tp3399 +a(g826 +g958 +tp3400 +a(g826 +g1812 +tp3401 +a(g826 +g958 +tp3402 +a(g22 +V3 +p3403 +tp3404 +a(g826 +g1016 +tp3405 +a(g826 +g958 +tp3406 +a(g400 +V.> +p3407 +tp3408 +a(g826 +g958 +tp3409 +a(g826 +g2355 +tp3410 +a(g826 +V\u000a +p3411 +tp3412 +a(g826 +g958 +tp3413 +a(g826 +g958 +tp3414 +a(g826 +g958 +tp3415 +a(g826 +g958 +tp3416 +a(g826 +g958 +tp3417 +a(g826 +g958 +tp3418 +a(g826 +g958 +tp3419 +a(g826 +g958 +tp3420 +a(g826 +g958 +tp3421 +a(g826 +g958 +tp3422 +a(g826 +g958 +tp3423 +a(g400 +V<. +p3424 +tp3425 +a(g826 +g958 +tp3426 +a(g826 +g988 +tp3427 +a(g826 +g990 +tp3428 +a(g826 +g992 +tp3429 +a(g826 +g994 +tp3430 +a(g826 +g998 +tp3431 +a(g826 +g1072 +tp3432 +a(g826 +g1002 +tp3433 +a(g826 +g1016 +tp3434 +a(g826 +g958 +tp3435 +a(g400 +V.> +p3436 +tp3437 +a(g826 +g958 +tp3438 +a(g826 +g2355 +tp3439 +a(g826 +V\u000a +p3440 +tp3441 +a(g826 +g958 +tp3442 +a(g826 +g958 +tp3443 +a(g826 +g958 +tp3444 +a(g826 +g958 +tp3445 +a(g826 +g958 +tp3446 +a(g826 +g958 +tp3447 +a(g826 +g958 +tp3448 +a(g826 +g958 +tp3449 +a(g826 +g958 +tp3450 +a(g826 +g958 +tp3451 +a(g826 +g958 +tp3452 +a(g826 +g1103 +tp3453 +a(g826 +g1004 +tp3454 +a(g22 +g1117 +tp3455 +a(g826 +g958 +tp3456 +a(g826 +g2355 +tp3457 +a(g826 +V\u000a +p3458 +tp3459 +a(g826 +g958 +tp3460 +a(g826 +g958 +tp3461 +a(g826 +g958 +tp3462 +a(g826 +g958 +tp3463 +a(g826 +g958 +tp3464 +a(g826 +g958 +tp3465 +a(g826 +g958 +tp3466 +a(g826 +g958 +tp3467 +a(g826 +g958 +tp3468 +a(g826 +g958 +tp3469 +a(g826 +g958 +tp3470 +a(g400 +V<. +p3471 +tp3472 +a(g826 +g958 +tp3473 +a(g826 +g988 +tp3474 +a(g826 +g990 +tp3475 +a(g826 +g992 +tp3476 +a(g826 +g2067 +tp3477 +a(g826 +g1245 +tp3478 +a(g826 +g988 +tp3479 +a(g826 +g1072 +tp3480 +a(g826 +g1002 +tp3481 +a(g7 +Vlen +p3482 +tp3483 +a(g826 +g958 +tp3484 +a(g826 +g1103 +tp3485 +a(g826 +g1004 +tp3486 +a(g22 +g1127 +tp3487 +a(g826 +g958 +tp3488 +a(g826 +g1812 +tp3489 +a(g826 +g958 +tp3490 +a(g22 +g1127 +tp3491 +a(g826 +g1016 +tp3492 +a(g826 +g958 +tp3493 +a(g400 +V.> +p3494 +tp3495 +a(g826 +g958 +tp3496 +a(g826 +g2355 +tp3497 +a(g826 +V\u000a +p3498 +tp3499 +a(g826 +g958 +tp3500 +a(g826 +g958 +tp3501 +a(g826 +g958 +tp3502 +a(g826 +g958 +tp3503 +a(g826 +g958 +tp3504 +a(g826 +g958 +tp3505 +a(g826 +g958 +tp3506 +a(g826 +g958 +tp3507 +a(g826 +g958 +tp3508 +a(g826 +g958 +tp3509 +a(g826 +g958 +tp3510 +a(g400 +V<. +p3511 +tp3512 +a(g826 +g958 +tp3513 +a(g826 +g988 +tp3514 +a(g826 +g990 +tp3515 +a(g826 +g992 +tp3516 +a(g826 +g994 +tp3517 +a(g826 +g998 +tp3518 +a(g826 +g1072 +tp3519 +a(g826 +g1002 +tp3520 +a(g826 +g1016 +tp3521 +a(g826 +g958 +tp3522 +a(g400 +V.> +p3523 +tp3524 +a(g826 +g958 +tp3525 +a(g826 +g2355 +tp3526 +a(g826 +V\u000a +p3527 +tp3528 +a(g826 +g958 +tp3529 +a(g826 +g958 +tp3530 +a(g826 +g958 +tp3531 +a(g826 +g958 +tp3532 +a(g826 +g958 +tp3533 +a(g826 +g958 +tp3534 +a(g826 +g958 +tp3535 +a(g826 +g958 +tp3536 +a(g826 +g958 +tp3537 +a(g826 +g958 +tp3538 +a(g826 +g958 +tp3539 +a(g826 +g1103 +tp3540 +a(g826 +g1004 +tp3541 +a(g22 +g1127 +tp3542 +a(g826 +V\u000a +p3543 +tp3544 +a(g826 +g958 +tp3545 +a(g826 +g958 +tp3546 +a(g826 +g958 +tp3547 +a(g826 +g958 +tp3548 +a(g826 +g958 +tp3549 +a(g826 +g958 +tp3550 +a(g826 +g958 +tp3551 +a(g7 +Vend +p3552 +tp3553 +a(g826 +V\u000a +p3554 +tp3555 +a(g826 +g958 +tp3556 +a(g826 +g958 +tp3557 +a(g826 +g958 +tp3558 +a(g826 +g958 +tp3559 +a(g7 +Vend +p3560 +tp3561 +a(g826 +g1018 +tp3562 +a(g826 +V\u000a +p3563 +tp3564 +a(g826 +V\u000a +p3565 +tp3566 +a(g561 +V comp_Expr : +p3567 +tp3568 +a(g881 +VExpr +p3569 +tp3570 +a(g826 +g958 +tp3571 +a(g400 +V-> +p3572 +tp3573 +a(g826 +g958 +tp3574 +a(g881 +VMProg +p3575 +tp3576 +a(g826 +V\u000a +p3577 +tp3578 +a(g826 +V +p3579 +tp3580 +a(g561 +Vcomp_Expr +p3581 +tp3582 +a(g826 +V(e) +p3583 +tp3584 +a(g7 +Vis +p3585 +tp3586 +a(g826 +g958 +tp3587 +a(g826 +V\u000a +p3588 +tp3589 +a(g826 +g958 +tp3590 +a(g826 +g958 +tp3591 +a(g826 +g958 +tp3592 +a(g826 +g958 +tp3593 +a(g7 +Vcase +p3594 +tp3595 +a(g826 +g958 +tp3596 +a(g826 +g1059 +tp3597 +a(g826 +g958 +tp3598 +a(g7 +Vof +p3599 +tp3600 +a(g826 +V\u000a +p3601 +tp3602 +a(g826 +g958 +tp3603 +a(g826 +g958 +tp3604 +a(g826 +g958 +tp3605 +a(g826 +g958 +tp3606 +a(g826 +g958 +tp3607 +a(g826 +g958 +tp3608 +a(g826 +g988 +tp3609 +a(g826 +g990 +tp3610 +a(g826 +g992 +tp3611 +a(g826 +g1210 +tp3612 +a(g826 +g998 +tp3613 +a(g826 +g1052 +tp3614 +a(g826 +g1004 +tp3615 +a(g826 +g1006 +tp3616 +a(g826 +g1002 +tp3617 +a(g826 +g1052 +tp3618 +a(g826 +g1016 +tp3619 +a(g826 +g958 +tp3620 +a(g400 +V-> +p3621 +tp3622 +a(g826 +g958 +tp3623 +a(g400 +V<. +p3624 +tp3625 +a(g826 +g958 +tp3626 +a(g826 +g988 +tp3627 +a(g826 +g990 +tp3628 +a(g826 +g992 +tp3629 +a(g826 +g1210 +tp3630 +a(g826 +g1052 +tp3631 +a(g826 +g1004 +tp3632 +a(g826 +g1006 +tp3633 +a(g826 +g1002 +tp3634 +a(g826 +g1052 +tp3635 +a(g826 +g1016 +tp3636 +a(g826 +g958 +tp3637 +a(g400 +V.> +p3638 +tp3639 +a(g826 +g1018 +tp3640 +a(g826 +V\u000a +p3641 +tp3642 +a(g826 +g958 +tp3643 +a(g826 +g958 +tp3644 +a(g826 +g958 +tp3645 +a(g826 +g958 +tp3646 +a(g826 +g958 +tp3647 +a(g826 +g958 +tp3648 +a(g826 +g988 +tp3649 +a(g826 +g990 +tp3650 +a(g826 +g992 +tp3651 +a(g826 +g994 +tp3652 +a(g826 +g1171 +tp3653 +a(g826 +g1245 +tp3654 +a(g826 +g1004 +tp3655 +a(g826 +g1002 +tp3656 +a(g826 +g1059 +tp3657 +a(g22 +g1117 +tp3658 +a(g826 +g1018 +tp3659 +a(g826 +g958 +tp3660 +a(g826 +g1059 +tp3661 +a(g22 +g1127 +tp3662 +a(g826 +g1016 +tp3663 +a(g826 +g958 +tp3664 +a(g400 +V-> +p3665 +tp3666 +a(g826 +g958 +tp3667 +a(g826 +V\u000a +p3668 +tp3669 +a(g826 +g958 +tp3670 +a(g826 +g958 +tp3671 +a(g826 +g958 +tp3672 +a(g826 +g958 +tp3673 +a(g826 +g958 +tp3674 +a(g826 +g958 +tp3675 +a(g826 +g958 +tp3676 +a(g826 +g958 +tp3677 +a(g826 +g1103 +tp3678 +a(g826 +g998 +tp3679 +a(g826 +g988 +tp3680 +a(g826 +g1072 +tp3681 +a(g826 +g992 +tp3682 +a(g826 +g3121 +tp3683 +a(g826 +g1070 +tp3684 +a(g826 +g1072 +tp3685 +a(g826 +g996 +tp3686 +a(g826 +g1002 +tp3687 +a(g826 +g1059 +tp3688 +a(g22 +g1117 +tp3689 +a(g826 +g1016 +tp3690 +a(g826 +g958 +tp3691 +a(g826 +g2355 +tp3692 +a(g826 +g958 +tp3693 +a(g826 +g1103 +tp3694 +a(g826 +g998 +tp3695 +a(g826 +g988 +tp3696 +a(g826 +g1072 +tp3697 +a(g826 +g992 +tp3698 +a(g826 +g3121 +tp3699 +a(g826 +g1070 +tp3700 +a(g826 +g1072 +tp3701 +a(g826 +g996 +tp3702 +a(g826 +g1002 +tp3703 +a(g826 +g1059 +tp3704 +a(g22 +g1127 +tp3705 +a(g826 +g1016 +tp3706 +a(g826 +g958 +tp3707 +a(g826 +g2355 +tp3708 +a(g826 +g958 +tp3709 +a(g400 +V<. +p3710 +tp3711 +a(g826 +g958 +tp3712 +a(g826 +g988 +tp3713 +a(g826 +g990 +tp3714 +a(g826 +g992 +tp3715 +a(g826 +g1048 +tp3716 +a(g826 +g1057 +tp3717 +a(g826 +g1057 +tp3718 +a(g826 +g1002 +tp3719 +a(g826 +g1016 +tp3720 +a(g826 +g958 +tp3721 +a(g400 +V.> +p3722 +tp3723 +a(g826 +g1018 +tp3724 +a(g826 +V\u000a +p3725 +tp3726 +a(g826 +g958 +tp3727 +a(g826 +g958 +tp3728 +a(g826 +g958 +tp3729 +a(g826 +g958 +tp3730 +a(g826 +g958 +tp3731 +a(g826 +g958 +tp3732 +a(g826 +g988 +tp3733 +a(g826 +g990 +tp3734 +a(g826 +g992 +tp3735 +a(g826 +g1098 +tp3736 +a(g826 +g1057 +tp3737 +a(g826 +g1002 +tp3738 +a(g826 +g1055 +tp3739 +a(g826 +g1057 +tp3740 +a(g826 +g1016 +tp3741 +a(g826 +g958 +tp3742 +a(g400 +V-> +p3743 +tp3744 +a(g826 +g958 +tp3745 +a(g400 +V<. +p3746 +tp3747 +a(g826 +g958 +tp3748 +a(g826 +g988 +tp3749 +a(g826 +g990 +tp3750 +a(g826 +g992 +tp3751 +a(g826 +g994 +tp3752 +a(g826 +g1245 +tp3753 +a(g826 +g1004 +tp3754 +a(g826 +g1157 +tp3755 +a(g826 +g1002 +tp3756 +a(g826 +g1055 +tp3757 +a(g826 +g1057 +tp3758 +a(g826 +g1016 +tp3759 +a(g826 +g958 +tp3760 +a(g400 +V.> +p3761 +tp3762 +a(g826 +V\u000a +p3763 +tp3764 +a(g826 +g958 +tp3765 +a(g826 +g958 +tp3766 +a(g826 +g958 +tp3767 +a(g826 +g958 +tp3768 +a(g7 +Vend +p3769 +tp3770 +a(g826 +V\u000a +p3771 +tp3772 +a(g826 +V\u000a +p3773 +tp3774 +a(g7 +Vend +p3775 +tp3776 +a(g826 +V\u000a +p3777 +tp3778 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.scaml b/tests/examplefiles/output/test.scaml new file mode 100644 index 0000000..a7378f9 --- /dev/null +++ b/tests/examplefiles/output/test.scaml @@ -0,0 +1,2607 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g709 +V-@ +p958 +tp959 +a(g842 +Vimport +p960 +tp961 +a(g7 +V +p962 +tp963 +a(g842 +Vval +p964 +tp965 +a(g7 +g962 +tp966 +a(g439 +Vcity +p967 +tp968 +a(g842 +V: +p969 +tp970 +a(g846 +VString +p971 +tp972 +a(g7 +g962 +tp973 +a(g416 +V= +p974 +tp975 +a(g7 +g962 +tp976 +a(g92 +V"Tampa" +p977 +tp978 +a(g7 +V\u000a +p979 +tp980 +a(g7 +g956 +tp981 +a(g709 +V- +p982 +tp983 +a(g7 +g962 +tp984 +a(g842 +Vval +p985 +tp986 +a(g7 +g962 +tp987 +a(g439 +Vname +p988 +tp989 +a(g842 +g969 +tp990 +a(g846 +VString +p991 +tp992 +a(g7 +g962 +tp993 +a(g416 +g974 +tp994 +a(g7 +g962 +tp995 +a(g92 +V"Hiram" +p996 +tp997 +a(g7 +V\u000a +p998 +tp999 +a(g7 +g956 +tp1000 +a(g561 +V%html +p1001 +tp1002 +a(g7 +V\u000a +p1003 +tp1004 +a(g7 +V +p1005 +tp1006 +a(g561 +V%body +p1007 +tp1008 +a(g7 +V\u000a +p1009 +tp1010 +a(g7 +V +p1011 +tp1012 +a(g561 +V%p +p1013 +tp1014 +a(g7 +V Hello +p1015 +tp1016 +a(g128 +V#{ +p1017 +tp1018 +a(g439 +Vname +p1019 +tp1020 +a(g128 +V} +p1021 +tp1022 +a(g7 +V from +p1023 +tp1024 +a(g128 +V#{ +p1025 +tp1026 +a(g439 +Vcity +p1027 +tp1028 +a(g128 +g1021 +tp1029 +a(g7 +V\u000a +p1030 +tp1031 +a(g7 +V +p1032 +tp1033 +a(g561 +V%ul +p1034 +tp1035 +a(g7 +V\u000a +p1036 +tp1037 +a(g7 +V +p1038 +tp1039 +a(g709 +g982 +tp1040 +a(g7 +g962 +tp1041 +a(g842 +Vfor +p1042 +tp1043 +a(g7 +g962 +tp1044 +a(g416 +V( +p1045 +tp1046 +a(g7 +g962 +tp1047 +a(g439 +Vi +p1048 +tp1049 +a(g7 +g962 +tp1050 +a(g842 +V<- +p1051 +tp1052 +a(g7 +g962 +tp1053 +a(g40 +V1 +p1054 +tp1055 +a(g7 +g962 +tp1056 +a(g439 +Vto +p1057 +tp1058 +a(g7 +g962 +tp1059 +a(g40 +V10 +p1060 +tp1061 +a(g7 +g962 +tp1062 +a(g416 +V) +p1063 +tp1064 +a(g7 +V\u000a +p1065 +tp1066 +a(g7 +V +p1067 +tp1068 +a(g561 +V%li +p1069 +tp1070 +a(g7 +V Item +p1071 +tp1072 +a(g128 +V#{ +p1073 +tp1074 +a(g439 +g1048 +tp1075 +a(g128 +g1021 +tp1076 +a(g7 +V\u000a +p1077 +tp1078 +a(g7 +g956 +tp1079 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.sco b/tests/examplefiles/output/test.sco new file mode 100644 index 0000000..e4d7331 --- /dev/null +++ b/tests/examplefiles/output/test.sco @@ -0,0 +1,2801 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Multiline' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsS'Preproc' +p896 +g1 +(g2 +g3 +(g4 +g896 +tp897 +tp898 +Rp899 +(dp900 +g10 +g13 +sg20 +g21 +((lp901 +tp902 +Rp903 +sbsg227 +g1 +(g2 +g3 +(g4 +g227 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsS'Directive' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg20 +g21 +((lp916 +tp917 +Rp918 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Singleline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg5 +g8 +sg20 +g21 +((lp934 +g921 +ag914 +ag891 +ag18 +ag929 +ag899 +ag8 +ag906 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV/*\u000a * comment\u000a */ +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g906 +V; comment +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g906 +V// comment +p964 +tp965 +a(g827 +V\u000a +p966 +tp967 +a(g745 +Va +p968 +tp969 +a(g827 +V +p970 +tp971 +a(g745 +Vb +p972 +tp973 +a(g827 +g970 +tp974 +a(g745 +VC +p975 +tp976 +a(g827 +g970 +tp977 +a(g745 +Vd +p978 +tp979 +a(g827 +g970 +tp980 +a(g745 +Ve +p981 +tp982 +a(g827 +g970 +tp983 +a(g745 +Vf +p984 +tp985 +a(g827 +g970 +tp986 +a(g745 +Vi +p987 +tp988 +a(g827 +g970 +tp989 +a(g745 +Vq +p990 +tp991 +a(g827 +g970 +tp992 +a(g745 +Vs +p993 +tp994 +a(g827 +g970 +tp995 +a(g745 +Vt +p996 +tp997 +a(g827 +g970 +tp998 +a(g745 +Vv +p999 +tp1000 +a(g827 +g970 +tp1001 +a(g745 +Vx +p1002 +tp1003 +a(g827 +g970 +tp1004 +a(g745 +Vy +p1005 +tp1006 +a(g827 +V\u000a +p1007 +tp1008 +a(g764 +Vz +p1009 +tp1010 +a(g827 +V\u000a +p1011 +tp1012 +a(g745 +Vnp +p1013 +tp1014 +a(g37 +V0 +p1015 +tp1016 +a(g827 +g970 +tp1017 +a(g745 +VnP +p1018 +tp1019 +a(g37 +V1 +p1020 +tp1021 +a(g827 +g970 +tp1022 +a(g745 +VNp +p1023 +tp1024 +a(g37 +V2 +p1025 +tp1026 +a(g827 +g970 +tp1027 +a(g745 +VNP +p1028 +tp1029 +a(g37 +V3 +p1030 +tp1031 +a(g827 +V\u000a +p1032 +tp1033 +a(g745 +Vm +p1034 +tp1035 +a(g8 +V/**/ +p1036 +tp1037 +a(g597 +Vlabel +p1038 +tp1039 +a(g906 +V; +p1040 +tp1041 +a(g827 +V\u000a +p1042 +tp1043 +a(g745 +Vn +p1044 +tp1045 +a(g827 +g970 +tp1046 +a(g597 +Vlabel +p1047 +tp1048 +a(g827 +V\u000a +p1049 +tp1050 +a(g37 +V123 +p1051 +tp1052 +a(g827 +g970 +tp1053 +a(g37 +V0123456789 +p1054 +tp1055 +a(g827 +V\u000a +p1056 +tp1057 +a(g749 +V0x +p1058 +tp1059 +a(g298 +Vabcdef0123456789 +p1060 +tp1061 +a(g827 +g970 +tp1062 +a(g749 +V0X +p1063 +tp1064 +a(g298 +VABCDEF +p1065 +tp1066 +a(g827 +V\u000a +p1067 +tp1068 +a(g315 +V1e2 +p1069 +tp1070 +a(g827 +g970 +tp1071 +a(g315 +V3e+4 +p1072 +tp1073 +a(g827 +g970 +tp1074 +a(g315 +V5e-6 +p1075 +tp1076 +a(g827 +g970 +tp1077 +a(g315 +V7E8 +p1078 +tp1079 +a(g827 +g970 +tp1080 +a(g315 +V9E+0 +p1081 +tp1082 +a(g827 +g970 +tp1083 +a(g315 +V1E-2 +p1084 +tp1085 +a(g827 +g970 +tp1086 +a(g315 +V3. +p1087 +tp1088 +a(g827 +g970 +tp1089 +a(g315 +V4.56 +p1090 +tp1091 +a(g827 +g970 +tp1092 +a(g315 +V.789 +p1093 +tp1094 +a(g827 +V\u000a +p1095 +tp1096 +a(g89 +V" +p1097 +tp1098 +a(g89 +Vcharacters +p1099 +tp1100 +a(g899 +V$MACRO. +p1101 +tp1102 +a(g89 +g1097 +tp1103 +a(g827 +V\u000a +p1104 +tp1105 +a(g899 +V{ +p1106 +tp1107 +a(g827 +g970 +tp1108 +a(g37 +g1020 +tp1109 +a(g827 +g970 +tp1110 +a(g899 +VI +p1111 +tp1112 +a(g827 +V\u000a +p1113 +tp1114 +a(g827 +V +p1115 +tp1116 +a(g899 +g1106 +tp1117 +a(g827 +g970 +tp1118 +a(g37 +g1025 +tp1119 +a(g827 +g970 +tp1120 +a(g899 +VJ +p1121 +tp1122 +a(g827 +V\u000a +p1123 +tp1124 +a(g827 +V +p1125 +tp1126 +a(g899 +g1106 +tp1127 +a(g827 +g970 +tp1128 +a(g37 +g1030 +tp1129 +a(g827 +g970 +tp1130 +a(g899 +VK +p1131 +tp1132 +a(g827 +V\u000a +p1133 +tp1134 +a(g827 +V +p1135 +tp1136 +a(g899 +V$I +p1137 +tp1138 +a(g827 +g970 +tp1139 +a(g899 +V$J +p1140 +tp1141 +a(g827 +g970 +tp1142 +a(g899 +V$K +p1143 +tp1144 +a(g827 +V\u000a +p1145 +tp1146 +a(g827 +V +p1147 +tp1148 +a(g899 +V} +p1149 +tp1150 +a(g827 +V\u000a +p1151 +tp1152 +a(g827 +V +p1153 +tp1154 +a(g899 +g1149 +tp1155 +a(g827 +V\u000a +p1156 +tp1157 +a(g899 +g1149 +tp1158 +a(g827 +V\u000a +p1159 +tp1160 +a(g899 +V#include +p1161 +tp1162 +a(g827 +g970 +tp1163 +a(g89 +V"score.sco" +p1164 +tp1165 +a(g827 +V\u000a +p1166 +tp1167 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.shen b/tests/examplefiles/output/test.shen new file mode 100644 index 0000000..b1f41b5 --- /dev/null +++ b/tests/examplefiles/output/test.shen @@ -0,0 +1,6813 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV( +p956 +tp957 +a(g810 +Vpackage +p958 +tp959 +a(g892 +V +p960 +tp961 +a(g608 +Vpygments-test +p962 +tp963 +a(g892 +g960 +tp964 +a(g7 +V[ +p965 +tp966 +a(g39 +Vsome +p967 +tp968 +a(g892 +g960 +tp969 +a(g39 +Vsymbols +p970 +tp971 +a(g7 +V] +p972 +tp973 +a(g892 +V\u000a\u000a +p974 +tp975 +a(g760 +V\u005c* multiline\u000a comment\u000a*\u005c +p976 +tp977 +a(g892 +V\u000a\u000a +p978 +tp979 +a(g729 +V\u005c\u005c With vars as functions +p980 +tp981 +a(g892 +V\u000a\u000a +p982 +tp983 +a(g7 +g956 +tp984 +a(g810 +Vdefine +p985 +tp986 +a(g892 +g960 +tp987 +a(g561 +Vsuper +p988 +tp989 +a(g892 +V\u000a +p990 +tp991 +a(g7 +g965 +tp992 +a(g428 +VValue +p993 +tp994 +a(g892 +g960 +tp995 +a(g428 +VSucc +p996 +tp997 +a(g892 +g960 +tp998 +a(g428 +VEnd +p999 +tp1000 +a(g7 +g972 +tp1001 +a(g892 +g960 +tp1002 +a(g428 +VAction +p1003 +tp1004 +a(g892 +g960 +tp1005 +a(g428 +VCombine +p1006 +tp1007 +a(g892 +g960 +tp1008 +a(g428 +VZero +p1009 +tp1010 +a(g892 +g960 +tp1011 +a(g7 +V-> +p1012 +tp1013 +a(g892 +V\u000a +p1014 +tp1015 +a(g7 +g956 +tp1016 +a(g810 +Vif +p1017 +tp1018 +a(g892 +g960 +tp1019 +a(g7 +g956 +tp1020 +a(g561 +VEnd +p1021 +tp1022 +a(g892 +g960 +tp1023 +a(g428 +VValue +p1024 +tp1025 +a(g7 +V) +p1026 +tp1027 +a(g892 +V\u000a +p1028 +tp1029 +a(g428 +VZero +p1030 +tp1031 +a(g892 +V\u000a +p1032 +tp1033 +a(g7 +g956 +tp1034 +a(g561 +VCombine +p1035 +tp1036 +a(g892 +g960 +tp1037 +a(g7 +g956 +tp1038 +a(g561 +VAction +p1039 +tp1040 +a(g892 +g960 +tp1041 +a(g428 +VValue +p1042 +tp1043 +a(g7 +g1026 +tp1044 +a(g892 +V\u000a +p1045 +tp1046 +a(g7 +g956 +tp1047 +a(g561 +Vsuper +p1048 +tp1049 +a(g892 +g960 +tp1050 +a(g7 +g965 +tp1051 +a(g7 +g956 +tp1052 +a(g561 +VSucc +p1053 +tp1054 +a(g892 +g960 +tp1055 +a(g428 +VValue +p1056 +tp1057 +a(g7 +g1026 +tp1058 +a(g892 +g960 +tp1059 +a(g428 +VSucc +p1060 +tp1061 +a(g892 +g960 +tp1062 +a(g428 +VEnd +p1063 +tp1064 +a(g7 +g972 +tp1065 +a(g892 +V\u000a +p1066 +tp1067 +a(g428 +VAction +p1068 +tp1069 +a(g892 +g960 +tp1070 +a(g428 +VCombine +p1071 +tp1072 +a(g892 +g960 +tp1073 +a(g428 +VZero +p1074 +tp1075 +a(g7 +g1026 +tp1076 +a(g7 +g1026 +tp1077 +a(g7 +g1026 +tp1078 +a(g7 +g1026 +tp1079 +a(g892 +V\u000a\u000a +p1080 +tp1081 +a(g7 +g956 +tp1082 +a(g810 +Vdefine +p1083 +tp1084 +a(g892 +g960 +tp1085 +a(g561 +Vfor +p1086 +tp1087 +a(g892 +V\u000a +p1088 +tp1089 +a(g428 +VStream +p1090 +tp1091 +a(g892 +g960 +tp1092 +a(g428 +VAction +p1093 +tp1094 +a(g892 +g960 +tp1095 +a(g7 +V-> +p1096 +tp1097 +a(g892 +g960 +tp1098 +a(g7 +g956 +tp1099 +a(g561 +Vsuper +p1100 +tp1101 +a(g892 +g960 +tp1102 +a(g428 +VStream +p1103 +tp1104 +a(g892 +g960 +tp1105 +a(g428 +VAction +p1106 +tp1107 +a(g892 +g960 +tp1108 +a(g7 +g956 +tp1109 +a(g810 +Vfunction +p1110 +tp1111 +a(g892 +g960 +tp1112 +a(g561 +Vdo +p1113 +tp1114 +a(g7 +g1026 +tp1115 +a(g892 +g960 +tp1116 +a(g22 +V0 +p1117 +tp1118 +a(g7 +g1026 +tp1119 +a(g7 +g1026 +tp1120 +a(g892 +V\u000a\u000a +p1121 +tp1122 +a(g7 +g956 +tp1123 +a(g810 +Vdefine +p1124 +tp1125 +a(g892 +g960 +tp1126 +a(g561 +Vfilter +p1127 +tp1128 +a(g892 +V\u000a +p1129 +tp1130 +a(g428 +VStream +p1131 +tp1132 +a(g892 +g960 +tp1133 +a(g428 +VCondition +p1134 +tp1135 +a(g892 +g960 +tp1136 +a(g7 +V-> +p1137 +tp1138 +a(g892 +V\u000a +p1139 +tp1140 +a(g7 +g956 +tp1141 +a(g561 +Vsuper +p1142 +tp1143 +a(g892 +g960 +tp1144 +a(g428 +VStream +p1145 +tp1146 +a(g892 +V\u000a +p1147 +tp1148 +a(g7 +g956 +tp1149 +a(g810 +V/. +p1150 +tp1151 +a(g892 +g960 +tp1152 +a(g428 +VVal +p1153 +tp1154 +a(g892 +g960 +tp1155 +a(g7 +g956 +tp1156 +a(g810 +Vif +p1157 +tp1158 +a(g892 +g960 +tp1159 +a(g7 +g956 +tp1160 +a(g561 +VCondition +p1161 +tp1162 +a(g892 +g960 +tp1163 +a(g428 +VVal +p1164 +tp1165 +a(g7 +g1026 +tp1166 +a(g892 +g960 +tp1167 +a(g7 +g965 +tp1168 +a(g428 +VVal +p1169 +tp1170 +a(g7 +g972 +tp1171 +a(g892 +g960 +tp1172 +a(g851 +V[] +p1173 +tp1174 +a(g7 +g1026 +tp1175 +a(g7 +g1026 +tp1176 +a(g892 +V\u000a +p1177 +tp1178 +a(g7 +g956 +tp1179 +a(g810 +Vfunction +p1180 +tp1181 +a(g892 +g960 +tp1182 +a(g561 +Vappend +p1183 +tp1184 +a(g7 +g1026 +tp1185 +a(g892 +V\u000a +p1186 +tp1187 +a(g851 +V[] +p1188 +tp1189 +a(g7 +g1026 +tp1190 +a(g7 +g1026 +tp1191 +a(g892 +V\u000a\u000a +p1192 +tp1193 +a(g7 +g956 +tp1194 +a(g561 +Vfor +p1195 +tp1196 +a(g892 +g960 +tp1197 +a(g7 +g965 +tp1198 +a(g22 +g1117 +tp1199 +a(g892 +g960 +tp1200 +a(g7 +g956 +tp1201 +a(g669 +V+ +p1202 +tp1203 +a(g892 +g960 +tp1204 +a(g22 +V1 +p1205 +tp1206 +a(g7 +g1026 +tp1207 +a(g892 +g960 +tp1208 +a(g7 +g956 +tp1209 +a(g669 +V= +p1210 +tp1211 +a(g892 +g960 +tp1212 +a(g22 +V10 +p1213 +tp1214 +a(g7 +g1026 +tp1215 +a(g7 +g972 +tp1216 +a(g892 +g960 +tp1217 +a(g7 +g956 +tp1218 +a(g810 +Vfunction +p1219 +tp1220 +a(g892 +g960 +tp1221 +a(g561 +Vprint +p1222 +tp1223 +a(g7 +g1026 +tp1224 +a(g7 +g1026 +tp1225 +a(g892 +V\u000a\u000a +p1226 +tp1227 +a(g7 +g956 +tp1228 +a(g561 +Vfilter +p1229 +tp1230 +a(g892 +g960 +tp1231 +a(g7 +g965 +tp1232 +a(g22 +g1117 +tp1233 +a(g892 +g960 +tp1234 +a(g7 +g956 +tp1235 +a(g669 +g1202 +tp1236 +a(g892 +g960 +tp1237 +a(g22 +g1205 +tp1238 +a(g7 +g1026 +tp1239 +a(g892 +g960 +tp1240 +a(g7 +g956 +tp1241 +a(g669 +g1210 +tp1242 +a(g892 +g960 +tp1243 +a(g22 +V100 +p1244 +tp1245 +a(g7 +g1026 +tp1246 +a(g7 +g972 +tp1247 +a(g892 +V\u000a +p1248 +tp1249 +a(g7 +g956 +tp1250 +a(g810 +V/. +p1251 +tp1252 +a(g892 +g960 +tp1253 +a(g428 +VX +p1254 +tp1255 +a(g892 +g960 +tp1256 +a(g7 +g956 +tp1257 +a(g669 +Vinteger? +p1258 +tp1259 +a(g892 +g960 +tp1260 +a(g7 +g956 +tp1261 +a(g669 +V/ +p1262 +tp1263 +a(g892 +g960 +tp1264 +a(g428 +g1254 +tp1265 +a(g892 +g960 +tp1266 +a(g22 +V3 +p1267 +tp1268 +a(g7 +g1026 +tp1269 +a(g7 +g1026 +tp1270 +a(g7 +g1026 +tp1271 +a(g7 +g1026 +tp1272 +a(g892 +V\u000a\u000a\u000a +p1273 +tp1274 +a(g729 +V\u005c\u005c Typed functions +p1275 +tp1276 +a(g892 +V\u000a\u000a +p1277 +tp1278 +a(g7 +g956 +tp1279 +a(g810 +Vdefine +p1280 +tp1281 +a(g892 +g960 +tp1282 +a(g561 +Vtyped-map +p1283 +tp1284 +a(g892 +V\u000a +p1285 +tp1286 +a(g7 +V{ +p1287 +tp1288 +a(g892 +g960 +tp1289 +a(g7 +g956 +tp1290 +a(g428 +VA +p1291 +tp1292 +a(g892 +g960 +tp1293 +a(g7 +V--> +p1294 +tp1295 +a(g892 +g960 +tp1296 +a(g428 +VB +p1297 +tp1298 +a(g7 +g1026 +tp1299 +a(g892 +g960 +tp1300 +a(g7 +V--> +p1301 +tp1302 +a(g892 +g960 +tp1303 +a(g7 +g956 +tp1304 +a(g814 +Vlist +p1305 +tp1306 +a(g892 +g960 +tp1307 +a(g428 +g1291 +tp1308 +a(g7 +g1026 +tp1309 +a(g892 +g960 +tp1310 +a(g7 +V--> +p1311 +tp1312 +a(g892 +g960 +tp1313 +a(g7 +g956 +tp1314 +a(g814 +Vlist +p1315 +tp1316 +a(g892 +g960 +tp1317 +a(g428 +g1297 +tp1318 +a(g7 +g1026 +tp1319 +a(g892 +g960 +tp1320 +a(g7 +V} +p1321 +tp1322 +a(g892 +V\u000a +p1323 +tp1324 +a(g428 +VF +p1325 +tp1326 +a(g892 +g960 +tp1327 +a(g428 +g1254 +tp1328 +a(g892 +g960 +tp1329 +a(g7 +V-> +p1330 +tp1331 +a(g892 +g960 +tp1332 +a(g7 +g956 +tp1333 +a(g561 +Vtyped-map-h +p1334 +tp1335 +a(g892 +g960 +tp1336 +a(g428 +g1325 +tp1337 +a(g892 +g960 +tp1338 +a(g428 +g1254 +tp1339 +a(g892 +g960 +tp1340 +a(g851 +V[] +p1341 +tp1342 +a(g7 +g1026 +tp1343 +a(g7 +g1026 +tp1344 +a(g892 +V\u000a\u000a +p1345 +tp1346 +a(g7 +g956 +tp1347 +a(g810 +Vdefine +p1348 +tp1349 +a(g892 +g960 +tp1350 +a(g561 +Vtyped-map-h +p1351 +tp1352 +a(g892 +V\u000a +p1353 +tp1354 +a(g7 +g1287 +tp1355 +a(g892 +g960 +tp1356 +a(g7 +g956 +tp1357 +a(g428 +g1291 +tp1358 +a(g892 +g960 +tp1359 +a(g7 +V--> +p1360 +tp1361 +a(g892 +g960 +tp1362 +a(g428 +g1297 +tp1363 +a(g7 +g1026 +tp1364 +a(g892 +g960 +tp1365 +a(g7 +V--> +p1366 +tp1367 +a(g892 +g960 +tp1368 +a(g7 +g956 +tp1369 +a(g814 +Vlist +p1370 +tp1371 +a(g892 +g960 +tp1372 +a(g428 +g1291 +tp1373 +a(g7 +g1026 +tp1374 +a(g892 +g960 +tp1375 +a(g7 +V--> +p1376 +tp1377 +a(g892 +g960 +tp1378 +a(g7 +g956 +tp1379 +a(g814 +Vlist +p1380 +tp1381 +a(g892 +g960 +tp1382 +a(g428 +g1297 +tp1383 +a(g7 +g1026 +tp1384 +a(g892 +g960 +tp1385 +a(g729 +V\u005c\u005c comment +p1386 +tp1387 +a(g892 +V\u000a +p1388 +tp1389 +a(g7 +V--> +p1390 +tp1391 +a(g892 +g960 +tp1392 +a(g7 +g956 +tp1393 +a(g814 +Vlist +p1394 +tp1395 +a(g892 +g960 +tp1396 +a(g428 +g1297 +tp1397 +a(g7 +g1026 +tp1398 +a(g892 +g960 +tp1399 +a(g7 +g1321 +tp1400 +a(g892 +V\u000a +p1401 +tp1402 +a(g669 +V_ +p1403 +tp1404 +a(g892 +g960 +tp1405 +a(g851 +V[] +p1406 +tp1407 +a(g892 +g960 +tp1408 +a(g428 +g1254 +tp1409 +a(g892 +g960 +tp1410 +a(g7 +V-> +p1411 +tp1412 +a(g892 +g960 +tp1413 +a(g7 +g956 +tp1414 +a(g669 +Vreverse +p1415 +tp1416 +a(g892 +g960 +tp1417 +a(g428 +g1254 +tp1418 +a(g7 +g1026 +tp1419 +a(g892 +V\u000a +p1420 +tp1421 +a(g428 +g1325 +tp1422 +a(g892 +g960 +tp1423 +a(g7 +g965 +tp1424 +a(g428 +g1254 +tp1425 +a(g892 +g960 +tp1426 +a(g7 +V| +p1427 +tp1428 +a(g892 +g960 +tp1429 +a(g428 +VY +p1430 +tp1431 +a(g7 +g972 +tp1432 +a(g892 +g960 +tp1433 +a(g428 +VZ +p1434 +tp1435 +a(g892 +g960 +tp1436 +a(g7 +V-> +p1437 +tp1438 +a(g892 +g960 +tp1439 +a(g7 +g956 +tp1440 +a(g561 +Vtyped-map-h +p1441 +tp1442 +a(g892 +g960 +tp1443 +a(g428 +g1325 +tp1444 +a(g892 +g960 +tp1445 +a(g428 +g1430 +tp1446 +a(g892 +g960 +tp1447 +a(g7 +g965 +tp1448 +a(g7 +g956 +tp1449 +a(g561 +g1325 +tp1450 +a(g892 +g960 +tp1451 +a(g428 +g1254 +tp1452 +a(g7 +g1026 +tp1453 +a(g892 +g960 +tp1454 +a(g7 +g1427 +tp1455 +a(g892 +g960 +tp1456 +a(g428 +g1434 +tp1457 +a(g7 +g972 +tp1458 +a(g7 +g1026 +tp1459 +a(g7 +g1026 +tp1460 +a(g892 +V\u000a\u000a +p1461 +tp1462 +a(g7 +g956 +tp1463 +a(g810 +Vdefine +p1464 +tp1465 +a(g892 +g960 +tp1466 +a(g561 +Vappend-string +p1467 +tp1468 +a(g892 +V\u000a +p1469 +tp1470 +a(g7 +g1287 +tp1471 +a(g892 +g960 +tp1472 +a(g814 +Vstring +p1473 +tp1474 +a(g892 +g960 +tp1475 +a(g7 +V--> +p1476 +tp1477 +a(g892 +g960 +tp1478 +a(g814 +Vstring +p1479 +tp1480 +a(g892 +g960 +tp1481 +a(g760 +V\u005c* comment *\u005c +p1482 +tp1483 +a(g892 +g960 +tp1484 +a(g7 +V--> +p1485 +tp1486 +a(g892 +g960 +tp1487 +a(g814 +Vstring +p1488 +tp1489 +a(g892 +g960 +tp1490 +a(g7 +g1321 +tp1491 +a(g892 +V\u000a +p1492 +tp1493 +a(g428 +VS1 +p1494 +tp1495 +a(g892 +g960 +tp1496 +a(g428 +VS2 +p1497 +tp1498 +a(g892 +g960 +tp1499 +a(g7 +V-> +p1500 +tp1501 +a(g892 +g960 +tp1502 +a(g7 +g956 +tp1503 +a(g669 +Vcn +p1504 +tp1505 +a(g892 +g960 +tp1506 +a(g428 +VS1 +p1507 +tp1508 +a(g892 +g960 +tp1509 +a(g428 +VS2 +p1510 +tp1511 +a(g7 +g1026 +tp1512 +a(g7 +g1026 +tp1513 +a(g892 +V\u000a\u000a +p1514 +tp1515 +a(g7 +g956 +tp1516 +a(g810 +Vlet +p1517 +tp1518 +a(g892 +g960 +tp1519 +a(g428 +g1254 +tp1520 +a(g892 +g960 +tp1521 +a(g22 +g1205 +tp1522 +a(g892 +V\u000a +p1523 +tp1524 +a(g428 +g1430 +tp1525 +a(g892 +g960 +tp1526 +a(g22 +V2 +p1527 +tp1528 +a(g892 +V\u000a +p1529 +tp1530 +a(g7 +g956 +tp1531 +a(g669 +g1202 +tp1532 +a(g892 +g960 +tp1533 +a(g7 +g956 +tp1534 +a(g810 +Vtype +p1535 +tp1536 +a(g892 +g960 +tp1537 +a(g428 +g1254 +tp1538 +a(g892 +g960 +tp1539 +a(g39 +Vnumber +p1540 +tp1541 +a(g7 +g1026 +tp1542 +a(g892 +g960 +tp1543 +a(g7 +g956 +tp1544 +a(g810 +Vtype +p1545 +tp1546 +a(g892 +g960 +tp1547 +a(g428 +g1430 +tp1548 +a(g892 +g960 +tp1549 +a(g39 +Vnumber +p1550 +tp1551 +a(g7 +g1026 +tp1552 +a(g7 +g1026 +tp1553 +a(g7 +g1026 +tp1554 +a(g892 +V\u000a\u000a +p1555 +tp1556 +a(g729 +V\u005c\u005c Yacc +p1557 +tp1558 +a(g892 +V\u000a\u000a +p1559 +tp1560 +a(g7 +g956 +tp1561 +a(g810 +Vdefcc +p1562 +tp1563 +a(g892 +g960 +tp1564 +a(g561 +V +p1565 +tp1566 +a(g892 +V\u000a +p1567 +tp1568 +a(g39 +V +p1569 +tp1570 +a(g892 +V +p1571 +tp1572 +a(g39 +V +p1573 +tp1574 +a(g892 +g960 +tp1575 +a(g39 +V +p1576 +tp1577 +a(g892 +g960 +tp1578 +a(g39 +V +p1579 +tp1580 +a(g892 +V \u000a +p1581 +tp1582 +a(g7 +V:= +p1583 +tp1584 +a(g892 +g960 +tp1585 +a(g7 +g956 +tp1586 +a(g561 +Vpackage-macro +p1587 +tp1588 +a(g892 +g960 +tp1589 +a(g7 +g956 +tp1590 +a(g669 +Vmacroexpand +p1591 +tp1592 +a(g892 +g960 +tp1593 +a(g39 +V +p1594 +tp1595 +a(g7 +g1026 +tp1596 +a(g892 +g960 +tp1597 +a(g39 +V +p1598 +tp1599 +a(g7 +g1026 +tp1600 +a(g7 +V; +p1601 +tp1602 +a(g892 +V\u000a +p1603 +tp1604 +a(g39 +V +p1605 +tp1606 +a(g892 +g960 +tp1607 +a(g39 +V +p1608 +tp1609 +a(g892 +g960 +tp1610 +a(g7 +V:= +p1611 +tp1612 +a(g892 +g960 +tp1613 +a(g7 +g965 +tp1614 +a(g39 +g1287 +tp1615 +a(g892 +g960 +tp1616 +a(g7 +g1427 +tp1617 +a(g892 +g960 +tp1618 +a(g39 +V +p1619 +tp1620 +a(g7 +g972 +tp1621 +a(g7 +g1601 +tp1622 +a(g892 +V\u000a +p1623 +tp1624 +a(g39 +V +p1625 +tp1626 +a(g892 +g960 +tp1627 +a(g39 +V +p1628 +tp1629 +a(g892 +g960 +tp1630 +a(g7 +V:= +p1631 +tp1632 +a(g892 +g960 +tp1633 +a(g7 +g965 +tp1634 +a(g39 +g1321 +tp1635 +a(g892 +g960 +tp1636 +a(g7 +g1427 +tp1637 +a(g892 +g960 +tp1638 +a(g39 +V +p1639 +tp1640 +a(g7 +g972 +tp1641 +a(g7 +g1601 +tp1642 +a(g892 +V \u000a +p1643 +tp1644 +a(g39 +V +p1645 +tp1646 +a(g892 +g960 +tp1647 +a(g39 +V +p1648 +tp1649 +a(g892 +g960 +tp1650 +a(g7 +V:= +p1651 +tp1652 +a(g892 +g960 +tp1653 +a(g7 +g965 +tp1654 +a(g39 +Vbar! +p1655 +tp1656 +a(g892 +g960 +tp1657 +a(g7 +g1427 +tp1658 +a(g892 +g960 +tp1659 +a(g39 +V +p1660 +tp1661 +a(g7 +g972 +tp1662 +a(g7 +g1601 +tp1663 +a(g892 +V \u000a +p1664 +tp1665 +a(g39 +V +p1666 +tp1667 +a(g892 +g960 +tp1668 +a(g39 +V +p1669 +tp1670 +a(g892 +g960 +tp1671 +a(g7 +V:= +p1672 +tp1673 +a(g892 +g960 +tp1674 +a(g7 +g965 +tp1675 +a(g7 +g1601 +tp1676 +a(g892 +g960 +tp1677 +a(g7 +g1427 +tp1678 +a(g892 +g960 +tp1679 +a(g39 +V +p1680 +tp1681 +a(g7 +g972 +tp1682 +a(g7 +g1601 +tp1683 +a(g892 +V\u000a +p1684 +tp1685 +a(g39 +V +p1686 +tp1687 +a(g892 +g960 +tp1688 +a(g39 +V +p1689 +tp1690 +a(g892 +g960 +tp1691 +a(g39 +V +p1692 +tp1693 +a(g892 +g960 +tp1694 +a(g7 +V:= +p1695 +tp1696 +a(g892 +g960 +tp1697 +a(g7 +g965 +tp1698 +a(g7 +V:= +p1699 +tp1700 +a(g892 +g960 +tp1701 +a(g7 +g1427 +tp1702 +a(g892 +g960 +tp1703 +a(g39 +V +p1704 +tp1705 +a(g7 +g972 +tp1706 +a(g7 +g1601 +tp1707 +a(g892 +V\u000a +p1708 +tp1709 +a(g39 +V +p1710 +tp1711 +a(g892 +g960 +tp1712 +a(g39 +V +p1713 +tp1714 +a(g892 +g960 +tp1715 +a(g39 +V +p1716 +tp1717 +a(g892 +g960 +tp1718 +a(g7 +V:= +p1719 +tp1720 +a(g892 +g960 +tp1721 +a(g7 +g965 +tp1722 +a(g39 +V:- +p1723 +tp1724 +a(g892 +g960 +tp1725 +a(g7 +g1427 +tp1726 +a(g892 +g960 +tp1727 +a(g39 +V +p1728 +tp1729 +a(g7 +g972 +tp1730 +a(g7 +g1601 +tp1731 +a(g892 +V\u000a +p1732 +tp1733 +a(g39 +V +p1734 +tp1735 +a(g892 +g960 +tp1736 +a(g39 +V +p1737 +tp1738 +a(g892 +g960 +tp1739 +a(g7 +V:= +p1740 +tp1741 +a(g892 +g960 +tp1742 +a(g7 +g965 +tp1743 +a(g39 +V: +p1744 +tp1745 +a(g892 +g960 +tp1746 +a(g7 +g1427 +tp1747 +a(g892 +g960 +tp1748 +a(g39 +V +p1749 +tp1750 +a(g7 +g972 +tp1751 +a(g7 +g1601 +tp1752 +a(g892 +V\u000a +p1753 +tp1754 +a(g39 +V +p1755 +tp1756 +a(g892 +g960 +tp1757 +a(g39 +V +p1758 +tp1759 +a(g892 +g960 +tp1760 +a(g7 +V:= +p1761 +tp1762 +a(g892 +g960 +tp1763 +a(g7 +g965 +tp1764 +a(g7 +g956 +tp1765 +a(g669 +Vintern +p1766 +tp1767 +a(g892 +g960 +tp1768 +a(g76 +V" +p1769 +tp1770 +a(g76 +V, +p1771 +tp1772 +a(g76 +g1769 +tp1773 +a(g7 +g1026 +tp1774 +a(g892 +g960 +tp1775 +a(g7 +g1427 +tp1776 +a(g892 +g960 +tp1777 +a(g39 +V +p1778 +tp1779 +a(g7 +g972 +tp1780 +a(g7 +g1601 +tp1781 +a(g892 +V\u000a +p1782 +tp1783 +a(g669 +V +p1784 +tp1785 +a(g892 +g960 +tp1786 +a(g7 +V:= +p1787 +tp1788 +a(g892 +g960 +tp1789 +a(g851 +V[] +p1790 +tp1791 +a(g7 +g1601 +tp1792 +a(g7 +g1026 +tp1793 +a(g892 +V\u000a \u000a +p1794 +tp1795 +a(g7 +g956 +tp1796 +a(g810 +Vdefcc +p1797 +tp1798 +a(g892 +g960 +tp1799 +a(g561 +V +p1800 +tp1801 +a(g892 +V\u000a +p1802 +tp1803 +a(g22 +V91 +p1804 +tp1805 +a(g892 +g960 +tp1806 +a(g7 +V:= +p1807 +tp1808 +a(g892 +g960 +tp1809 +a(g669 +Vskip +p1810 +tp1811 +a(g7 +g1601 +tp1812 +a(g7 +g1026 +tp1813 +a(g892 +V\u000a\u000a +p1814 +tp1815 +a(g729 +V\u005c\u005c Pattern matching +p1816 +tp1817 +a(g892 +V\u000a\u000a +p1818 +tp1819 +a(g7 +g956 +tp1820 +a(g810 +Vdefine +p1821 +tp1822 +a(g892 +g960 +tp1823 +a(g561 +Vmatches +p1824 +tp1825 +a(g892 +V\u000a +p1826 +tp1827 +a(g22 +g1205 +tp1828 +a(g892 +g960 +tp1829 +a(g428 +g1254 +tp1830 +a(g892 +g960 +tp1831 +a(g22 +g1267 +tp1832 +a(g892 +g960 +tp1833 +a(g7 +V-> +p1834 +tp1835 +a(g892 +g960 +tp1836 +a(g428 +g1254 +tp1837 +a(g892 +V\u000a +p1838 +tp1839 +a(g428 +g1254 +tp1840 +a(g892 +g960 +tp1841 +a(g428 +g1430 +tp1842 +a(g892 +g960 +tp1843 +a(g428 +g1434 +tp1844 +a(g892 +g960 +tp1845 +a(g7 +V-> +p1846 +tp1847 +a(g892 +g960 +tp1848 +a(g428 +g1430 +tp1849 +a(g892 +g960 +tp1850 +a(g669 +Vwhere +p1851 +tp1852 +a(g892 +V +p1853 +tp1854 +a(g7 +g956 +tp1855 +a(g810 +Vand +p1856 +tp1857 +a(g892 +g960 +tp1858 +a(g7 +g956 +tp1859 +a(g669 +g1210 +tp1860 +a(g892 +g960 +tp1861 +a(g428 +g1254 +tp1862 +a(g892 +g960 +tp1863 +a(g22 +g1205 +tp1864 +a(g7 +g1026 +tp1865 +a(g892 +g960 +tp1866 +a(g7 +g956 +tp1867 +a(g669 +g1210 +tp1868 +a(g892 +g960 +tp1869 +a(g428 +g1434 +tp1870 +a(g892 +g960 +tp1871 +a(g22 +g1267 +tp1872 +a(g7 +g1026 +tp1873 +a(g7 +g1026 +tp1874 +a(g892 +V\u000a +p1875 +tp1876 +a(g851 +Vtrue +p1877 +tp1878 +a(g892 +g960 +tp1879 +a(g851 +Vfalse +p1880 +tp1881 +a(g892 +g960 +tp1882 +a(g669 +g1403 +tp1883 +a(g892 +g960 +tp1884 +a(g7 +V-> +p1885 +tp1886 +a(g892 +g960 +tp1887 +a(g851 +Vtrue +p1888 +tp1889 +a(g892 +V\u000a +p1890 +tp1891 +a(g7 +g956 +tp1892 +a(g810 +V@p +p1893 +tp1894 +a(g892 +g960 +tp1895 +a(g39 +Va +p1896 +tp1897 +a(g892 +g960 +tp1898 +a(g428 +g1254 +tp1899 +a(g892 +g960 +tp1900 +a(g39 +Vc +p1901 +tp1902 +a(g7 +g1026 +tp1903 +a(g892 +g960 +tp1904 +a(g7 +g956 +tp1905 +a(g810 +V@s +p1906 +tp1907 +a(g892 +g960 +tp1908 +a(g428 +g1254 +tp1909 +a(g892 +g960 +tp1910 +a(g76 +g1769 +tp1911 +a(g76 +g1896 +tp1912 +a(g76 +Vb +p1913 +tp1914 +a(g76 +g1901 +tp1915 +a(g76 +g1769 +tp1916 +a(g7 +g1026 +tp1917 +a(g892 +g960 +tp1918 +a(g7 +g956 +tp1919 +a(g810 +V@v +p1920 +tp1921 +a(g892 +g960 +tp1922 +a(g22 +g1205 +tp1923 +a(g892 +g960 +tp1924 +a(g22 +g1527 +tp1925 +a(g892 +g960 +tp1926 +a(g22 +g1267 +tp1927 +a(g892 +g960 +tp1928 +a(g851 +V<> +p1929 +tp1930 +a(g7 +g1026 +tp1931 +a(g892 +g960 +tp1932 +a(g7 +V-> +p1933 +tp1934 +a(g892 +g960 +tp1935 +a(g851 +Vtrue +p1936 +tp1937 +a(g892 +V\u000a +p1938 +tp1939 +a(g7 +g965 +tp1940 +a(g428 +g1254 +tp1941 +a(g892 +g960 +tp1942 +a(g7 +g1427 +tp1943 +a(g892 +g960 +tp1944 +a(g428 +VRest +p1945 +tp1946 +a(g7 +g972 +tp1947 +a(g892 +g960 +tp1948 +a(g851 +V[] +p1949 +tp1950 +a(g892 +g960 +tp1951 +a(g7 +g965 +tp1952 +a(g39 +g1896 +tp1953 +a(g892 +g960 +tp1954 +a(g39 +g1913 +tp1955 +a(g892 +g960 +tp1956 +a(g39 +g1901 +tp1957 +a(g7 +g972 +tp1958 +a(g892 +g960 +tp1959 +a(g7 +V-> +p1960 +tp1961 +a(g892 +g960 +tp1962 +a(g851 +Vtrue +p1963 +tp1964 +a(g892 +V\u000a +p1965 +tp1966 +a(g7 +g965 +tp1967 +a(g7 +g956 +tp1968 +a(g810 +V@p +p1969 +tp1970 +a(g892 +g960 +tp1971 +a(g39 +g1896 +tp1972 +a(g892 +g960 +tp1973 +a(g39 +g1913 +tp1974 +a(g7 +g1026 +tp1975 +a(g7 +g972 +tp1976 +a(g892 +g960 +tp1977 +a(g7 +g965 +tp1978 +a(g7 +g965 +tp1979 +a(g7 +g965 +tp1980 +a(g22 +g1205 +tp1981 +a(g7 +g972 +tp1982 +a(g892 +g960 +tp1983 +a(g22 +g1527 +tp1984 +a(g7 +g972 +tp1985 +a(g892 +g960 +tp1986 +a(g428 +g1254 +tp1987 +a(g7 +g972 +tp1988 +a(g892 +g960 +tp1989 +a(g76 +g1769 +tp1990 +a(g76 +Vs +p1991 +tp1992 +a(g76 +Vt +p1993 +tp1994 +a(g76 +Vr +p1995 +tp1996 +a(g76 +Vi +p1997 +tp1998 +a(g76 +Vn +p1999 +tp2000 +a(g76 +Vg +p2001 +tp2002 +a(g76 +g1769 +tp2003 +a(g892 +g960 +tp2004 +a(g7 +V-> +p2005 +tp2006 +a(g892 +g960 +tp2007 +a(g851 +Vtrue +p2008 +tp2009 +a(g892 +V\u000a +p2010 +tp2011 +a(g669 +g1403 +tp2012 +a(g892 +g960 +tp2013 +a(g669 +g1403 +tp2014 +a(g892 +g960 +tp2015 +a(g669 +g1403 +tp2016 +a(g892 +g960 +tp2017 +a(g7 +V-> +p2018 +tp2019 +a(g892 +g960 +tp2020 +a(g851 +Vfalse +p2021 +tp2022 +a(g7 +g1026 +tp2023 +a(g892 +V\u000a\u000a\u000a +p2024 +tp2025 +a(g729 +V\u005c\u005c Prolog +p2026 +tp2027 +a(g892 +V\u000a\u000a +p2028 +tp2029 +a(g7 +g956 +tp2030 +a(g810 +Vdefprolog +p2031 +tp2032 +a(g892 +g960 +tp2033 +a(g561 +Vth* +p2034 +tp2035 +a(g892 +V\u000a +p2036 +tp2037 +a(g428 +g1254 +tp2038 +a(g892 +g960 +tp2039 +a(g428 +g1291 +tp2040 +a(g892 +g960 +tp2041 +a(g428 +VHyps +p2042 +tp2043 +a(g892 +g960 +tp2044 +a(g7 +V<-- +p2045 +tp2046 +a(g892 +g960 +tp2047 +a(g7 +g956 +tp2048 +a(g561 +Vshow +p2049 +tp2050 +a(g892 +g960 +tp2051 +a(g7 +g965 +tp2052 +a(g428 +g1254 +tp2053 +a(g892 +g960 +tp2054 +a(g39 +g1744 +tp2055 +a(g892 +g960 +tp2056 +a(g428 +g1291 +tp2057 +a(g7 +g972 +tp2058 +a(g892 +g960 +tp2059 +a(g428 +VHyps +p2060 +tp2061 +a(g7 +g1026 +tp2062 +a(g892 +g960 +tp2063 +a(g7 +g956 +tp2064 +a(g669 +Vwhen +p2065 +tp2066 +a(g892 +g960 +tp2067 +a(g851 +Vfalse +p2068 +tp2069 +a(g7 +g1026 +tp2070 +a(g7 +g1601 +tp2071 +a(g892 +V\u000a +p2072 +tp2073 +a(g428 +g1254 +tp2074 +a(g892 +g960 +tp2075 +a(g428 +g1291 +tp2076 +a(g892 +g960 +tp2077 +a(g669 +g1403 +tp2078 +a(g892 +g960 +tp2079 +a(g7 +V<-- +p2080 +tp2081 +a(g892 +g960 +tp2082 +a(g7 +g956 +tp2083 +a(g669 +Vfwhen +p2084 +tp2085 +a(g892 +g960 +tp2086 +a(g7 +g956 +tp2087 +a(g561 +Vtypedf? +p2088 +tp2089 +a(g892 +g960 +tp2090 +a(g428 +g1254 +tp2091 +a(g7 +g1026 +tp2092 +a(g7 +g1026 +tp2093 +a(g892 +g960 +tp2094 +a(g7 +g956 +tp2095 +a(g669 +Vbind +p2096 +tp2097 +a(g892 +g960 +tp2098 +a(g428 +g1325 +tp2099 +a(g892 +g960 +tp2100 +a(g7 +g956 +tp2101 +a(g561 +Vsigf +p2102 +tp2103 +a(g892 +g960 +tp2104 +a(g428 +g1254 +tp2105 +a(g7 +g1026 +tp2106 +a(g7 +g1026 +tp2107 +a(g892 +g960 +tp2108 +a(g7 +g956 +tp2109 +a(g669 +Vcall +p2110 +tp2111 +a(g892 +g960 +tp2112 +a(g7 +g965 +tp2113 +a(g428 +g1325 +tp2114 +a(g892 +g960 +tp2115 +a(g428 +g1291 +tp2116 +a(g7 +g972 +tp2117 +a(g7 +g1026 +tp2118 +a(g7 +g1601 +tp2119 +a(g892 +V\u000a +p2120 +tp2121 +a(g7 +g956 +tp2122 +a(g669 +Vmode +p2123 +tp2124 +a(g892 +g960 +tp2125 +a(g7 +g965 +tp2126 +a(g428 +g1325 +tp2127 +a(g7 +g972 +tp2128 +a(g892 +g960 +tp2129 +a(g39 +V- +p2130 +tp2131 +a(g7 +g1026 +tp2132 +a(g892 +g960 +tp2133 +a(g428 +g1291 +tp2134 +a(g892 +g960 +tp2135 +a(g428 +VHyp +p2136 +tp2137 +a(g892 +g960 +tp2138 +a(g7 +V<-- +p2139 +tp2140 +a(g892 +g960 +tp2141 +a(g7 +g956 +tp2142 +a(g561 +Vth* +p2143 +tp2144 +a(g892 +g960 +tp2145 +a(g428 +g1325 +tp2146 +a(g892 +g960 +tp2147 +a(g7 +g965 +tp2148 +a(g7 +V--> +p2149 +tp2150 +a(g892 +g960 +tp2151 +a(g428 +g1291 +tp2152 +a(g7 +g972 +tp2153 +a(g892 +g960 +tp2154 +a(g428 +VHyp +p2155 +tp2156 +a(g7 +g1026 +tp2157 +a(g7 +g1601 +tp2158 +a(g892 +V\u000a +p2159 +tp2160 +a(g7 +g956 +tp2161 +a(g669 +Vmode +p2162 +tp2163 +a(g892 +g960 +tp2164 +a(g7 +g965 +tp2165 +a(g39 +Vcons +p2166 +tp2167 +a(g892 +g960 +tp2168 +a(g428 +g1254 +tp2169 +a(g892 +g960 +tp2170 +a(g428 +g1430 +tp2171 +a(g7 +g972 +tp2172 +a(g892 +g960 +tp2173 +a(g39 +g2130 +tp2174 +a(g7 +g1026 +tp2175 +a(g892 +g960 +tp2176 +a(g7 +g965 +tp2177 +a(g39 +Vlist +p2178 +tp2179 +a(g892 +g960 +tp2180 +a(g428 +g1291 +tp2181 +a(g7 +g972 +tp2182 +a(g892 +g960 +tp2183 +a(g428 +VHyp +p2184 +tp2185 +a(g892 +g960 +tp2186 +a(g7 +V<-- +p2187 +tp2188 +a(g892 +g960 +tp2189 +a(g7 +g956 +tp2190 +a(g561 +Vth* +p2191 +tp2192 +a(g892 +g960 +tp2193 +a(g428 +g1254 +tp2194 +a(g892 +g960 +tp2195 +a(g428 +g1291 +tp2196 +a(g892 +g960 +tp2197 +a(g428 +VHyp +p2198 +tp2199 +a(g7 +g1026 +tp2200 +a(g892 +g960 +tp2201 +a(g7 +g956 +tp2202 +a(g561 +Vth* +p2203 +tp2204 +a(g892 +g960 +tp2205 +a(g428 +g1430 +tp2206 +a(g892 +g960 +tp2207 +a(g7 +g965 +tp2208 +a(g39 +Vlist +p2209 +tp2210 +a(g892 +g960 +tp2211 +a(g428 +g1291 +tp2212 +a(g7 +g972 +tp2213 +a(g892 +g960 +tp2214 +a(g428 +VHyp +p2215 +tp2216 +a(g7 +g1026 +tp2217 +a(g7 +g1601 +tp2218 +a(g892 +V\u000a +p2219 +tp2220 +a(g7 +g956 +tp2221 +a(g669 +Vmode +p2222 +tp2223 +a(g892 +g960 +tp2224 +a(g7 +g965 +tp2225 +a(g39 +V@s +p2226 +tp2227 +a(g892 +g960 +tp2228 +a(g428 +g1254 +tp2229 +a(g892 +g960 +tp2230 +a(g428 +g1430 +tp2231 +a(g7 +g972 +tp2232 +a(g892 +g960 +tp2233 +a(g39 +g2130 +tp2234 +a(g7 +g1026 +tp2235 +a(g892 +g960 +tp2236 +a(g39 +Vstring +p2237 +tp2238 +a(g892 +g960 +tp2239 +a(g428 +VHyp +p2240 +tp2241 +a(g892 +g960 +tp2242 +a(g7 +V<-- +p2243 +tp2244 +a(g892 +g960 +tp2245 +a(g7 +g956 +tp2246 +a(g561 +Vth* +p2247 +tp2248 +a(g892 +g960 +tp2249 +a(g428 +g1254 +tp2250 +a(g892 +g960 +tp2251 +a(g39 +Vstring +p2252 +tp2253 +a(g892 +g960 +tp2254 +a(g428 +VHyp +p2255 +tp2256 +a(g7 +g1026 +tp2257 +a(g892 +g960 +tp2258 +a(g7 +g956 +tp2259 +a(g561 +Vth* +p2260 +tp2261 +a(g892 +g960 +tp2262 +a(g428 +g1430 +tp2263 +a(g892 +g960 +tp2264 +a(g39 +Vstring +p2265 +tp2266 +a(g892 +g960 +tp2267 +a(g428 +VHyp +p2268 +tp2269 +a(g7 +g1026 +tp2270 +a(g7 +g1601 +tp2271 +a(g892 +V\u000a +p2272 +tp2273 +a(g7 +g956 +tp2274 +a(g669 +Vmode +p2275 +tp2276 +a(g892 +g960 +tp2277 +a(g7 +g965 +tp2278 +a(g39 +Vlambda +p2279 +tp2280 +a(g892 +g960 +tp2281 +a(g428 +g1254 +tp2282 +a(g892 +g960 +tp2283 +a(g428 +g1430 +tp2284 +a(g7 +g972 +tp2285 +a(g892 +g960 +tp2286 +a(g39 +g2130 +tp2287 +a(g7 +g1026 +tp2288 +a(g892 +g960 +tp2289 +a(g7 +g965 +tp2290 +a(g428 +g1291 +tp2291 +a(g892 +g960 +tp2292 +a(g7 +V--> +p2293 +tp2294 +a(g892 +g960 +tp2295 +a(g428 +g1297 +tp2296 +a(g7 +g972 +tp2297 +a(g892 +g960 +tp2298 +a(g428 +VHyp +p2299 +tp2300 +a(g892 +g960 +tp2301 +a(g7 +V<-- +p2302 +tp2303 +a(g892 +g960 +tp2304 +a(g669 +V! +p2305 +tp2306 +a(g892 +V \u000a +p2307 +tp2308 +a(g7 +g956 +tp2309 +a(g669 +Vbind +p2310 +tp2311 +a(g892 +g960 +tp2312 +a(g428 +VX&& +p2313 +tp2314 +a(g892 +g960 +tp2315 +a(g7 +g956 +tp2316 +a(g561 +Vplaceholder +p2317 +tp2318 +a(g7 +g1026 +tp2319 +a(g7 +g1026 +tp2320 +a(g892 +V \u000a +p2321 +tp2322 +a(g7 +g956 +tp2323 +a(g669 +Vbind +p2324 +tp2325 +a(g892 +g960 +tp2326 +a(g428 +g1434 +tp2327 +a(g892 +g960 +tp2328 +a(g7 +g956 +tp2329 +a(g561 +Vebr +p2330 +tp2331 +a(g892 +g960 +tp2332 +a(g428 +VX&& +p2333 +tp2334 +a(g892 +g960 +tp2335 +a(g428 +g1254 +tp2336 +a(g892 +g960 +tp2337 +a(g428 +g1430 +tp2338 +a(g7 +g1026 +tp2339 +a(g7 +g1026 +tp2340 +a(g892 +V\u000a +p2341 +tp2342 +a(g7 +g956 +tp2343 +a(g561 +Vth* +p2344 +tp2345 +a(g892 +g960 +tp2346 +a(g428 +g1434 +tp2347 +a(g892 +g960 +tp2348 +a(g428 +g1297 +tp2349 +a(g892 +g960 +tp2350 +a(g7 +g965 +tp2351 +a(g7 +g965 +tp2352 +a(g428 +VX&& +p2353 +tp2354 +a(g892 +g960 +tp2355 +a(g39 +g1744 +tp2356 +a(g892 +g960 +tp2357 +a(g428 +g1291 +tp2358 +a(g7 +g972 +tp2359 +a(g892 +g960 +tp2360 +a(g7 +g1427 +tp2361 +a(g892 +g960 +tp2362 +a(g428 +VHyp +p2363 +tp2364 +a(g7 +g972 +tp2365 +a(g7 +g1026 +tp2366 +a(g7 +g1601 +tp2367 +a(g892 +V \u000a +p2368 +tp2369 +a(g7 +g956 +tp2370 +a(g669 +Vmode +p2371 +tp2372 +a(g892 +g960 +tp2373 +a(g7 +g965 +tp2374 +a(g39 +Vtype +p2375 +tp2376 +a(g892 +g960 +tp2377 +a(g428 +g1254 +tp2378 +a(g892 +g960 +tp2379 +a(g428 +g1291 +tp2380 +a(g7 +g972 +tp2381 +a(g892 +g960 +tp2382 +a(g39 +g2130 +tp2383 +a(g7 +g1026 +tp2384 +a(g892 +g960 +tp2385 +a(g428 +g1297 +tp2386 +a(g892 +g960 +tp2387 +a(g428 +VHyp +p2388 +tp2389 +a(g892 +g960 +tp2390 +a(g7 +V<-- +p2391 +tp2392 +a(g892 +g960 +tp2393 +a(g669 +g2305 +tp2394 +a(g892 +g960 +tp2395 +a(g7 +g956 +tp2396 +a(g669 +Vunify +p2397 +tp2398 +a(g892 +g960 +tp2399 +a(g428 +g1291 +tp2400 +a(g892 +g960 +tp2401 +a(g428 +g1297 +tp2402 +a(g7 +g1026 +tp2403 +a(g892 +g960 +tp2404 +a(g7 +g956 +tp2405 +a(g561 +Vth* +p2406 +tp2407 +a(g892 +g960 +tp2408 +a(g428 +g1254 +tp2409 +a(g892 +g960 +tp2410 +a(g428 +g1291 +tp2411 +a(g892 +g960 +tp2412 +a(g428 +VHyp +p2413 +tp2414 +a(g7 +g1026 +tp2415 +a(g7 +g1601 +tp2416 +a(g7 +g1026 +tp2417 +a(g892 +V\u000a\u000a +p2418 +tp2419 +a(g729 +V\u005c\u005c Macros +p2420 +tp2421 +a(g892 +V\u000a\u000a +p2422 +tp2423 +a(g7 +g956 +tp2424 +a(g810 +Vdefmacro +p2425 +tp2426 +a(g892 +g960 +tp2427 +a(g561 +Vlog-macro +p2428 +tp2429 +a(g892 +V\u000a +p2430 +tp2431 +a(g7 +g965 +tp2432 +a(g39 +Vlog +p2433 +tp2434 +a(g892 +g960 +tp2435 +a(g428 +VN +p2436 +tp2437 +a(g7 +g972 +tp2438 +a(g892 +g960 +tp2439 +a(g7 +V-> +p2440 +tp2441 +a(g892 +g960 +tp2442 +a(g7 +g965 +tp2443 +a(g39 +Vlog +p2444 +tp2445 +a(g892 +g960 +tp2446 +a(g428 +g2436 +tp2447 +a(g892 +g960 +tp2448 +a(g22 +V10 +p2449 +tp2450 +a(g7 +g972 +tp2451 +a(g7 +g1026 +tp2452 +a(g892 +V\u000a\u000a +p2453 +tp2454 +a(g729 +V\u005c\u005c Sequent calculus +p2455 +tp2456 +a(g892 +V\u000a\u000a +p2457 +tp2458 +a(g7 +g956 +tp2459 +a(g810 +Vdatatype +p2460 +tp2461 +a(g892 +g960 +tp2462 +a(g814 +Vrank +p2463 +tp2464 +a(g892 +V\u000a\u000a +p2465 +tp2466 +a(g39 +Vif +p2467 +tp2468 +a(g892 +g960 +tp2469 +a(g7 +g956 +tp2470 +a(g669 +Velement? +p2471 +tp2472 +a(g892 +g960 +tp2473 +a(g428 +g1254 +tp2474 +a(g892 +g960 +tp2475 +a(g7 +g965 +tp2476 +a(g39 +Vace +p2477 +tp2478 +a(g892 +g960 +tp2479 +a(g22 +g1527 +tp2480 +a(g892 +g960 +tp2481 +a(g22 +g1267 +tp2482 +a(g892 +g960 +tp2483 +a(g22 +V4 +p2484 +tp2485 +a(g892 +g960 +tp2486 +a(g22 +V5 +p2487 +tp2488 +a(g892 +g960 +tp2489 +a(g22 +V6 +p2490 +tp2491 +a(g892 +g960 +tp2492 +a(g22 +V7 +p2493 +tp2494 +a(g892 +g960 +tp2495 +a(g22 +V8 +p2496 +tp2497 +a(g892 +g960 +tp2498 +a(g22 +V9 +p2499 +tp2500 +a(g892 +g960 +tp2501 +a(g22 +V10 +p2502 +tp2503 +a(g892 +g960 +tp2504 +a(g39 +Vjack +p2505 +tp2506 +a(g892 +g960 +tp2507 +a(g39 +Vqueen +p2508 +tp2509 +a(g892 +g960 +tp2510 +a(g39 +Vking +p2511 +tp2512 +a(g7 +g972 +tp2513 +a(g7 +g1026 +tp2514 +a(g892 +V\u000a +p2515 +tp2516 +a(g7 +V________ +p2517 +tp2518 +a(g892 +V\u000a +p2519 +tp2520 +a(g428 +g1254 +tp2521 +a(g892 +g960 +tp2522 +a(g39 +g1744 +tp2523 +a(g892 +g960 +tp2524 +a(g814 +Vrank +p2525 +tp2526 +a(g7 +g1601 +tp2527 +a(g7 +g1026 +tp2528 +a(g892 +V\u000a\u000a +p2529 +tp2530 +a(g7 +g956 +tp2531 +a(g810 +Vdatatype +p2532 +tp2533 +a(g892 +g960 +tp2534 +a(g39 +Vsuit +p2535 +tp2536 +a(g892 +V\u000a\u000a +p2537 +tp2538 +a(g39 +Vif +p2539 +tp2540 +a(g892 +g960 +tp2541 +a(g7 +g956 +tp2542 +a(g669 +Velement? +p2543 +tp2544 +a(g892 +g960 +tp2545 +a(g428 +VSuit +p2546 +tp2547 +a(g892 +g960 +tp2548 +a(g7 +g965 +tp2549 +a(g39 +Vspades +p2550 +tp2551 +a(g892 +g960 +tp2552 +a(g39 +Vhearts +p2553 +tp2554 +a(g892 +g960 +tp2555 +a(g39 +Vdiamonds +p2556 +tp2557 +a(g892 +g960 +tp2558 +a(g39 +Vclubs +p2559 +tp2560 +a(g7 +g972 +tp2561 +a(g7 +g1026 +tp2562 +a(g892 +V\u000a +p2563 +tp2564 +a(g7 +V_________ +p2565 +tp2566 +a(g892 +V\u000a +p2567 +tp2568 +a(g428 +VSuit +p2569 +tp2570 +a(g892 +g960 +tp2571 +a(g39 +g1744 +tp2572 +a(g892 +g960 +tp2573 +a(g814 +Vsuit +p2574 +tp2575 +a(g7 +g1601 +tp2576 +a(g7 +g1026 +tp2577 +a(g892 +V\u000a\u000a +p2578 +tp2579 +a(g7 +g956 +tp2580 +a(g810 +Vdatatype +p2581 +tp2582 +a(g892 +g960 +tp2583 +a(g39 +Vcard +p2584 +tp2585 +a(g892 +V\u000a\u000a +p2586 +tp2587 +a(g428 +VRank +p2588 +tp2589 +a(g892 +g960 +tp2590 +a(g39 +g1744 +tp2591 +a(g892 +g960 +tp2592 +a(g814 +Vrank +p2593 +tp2594 +a(g7 +g1601 +tp2595 +a(g892 +g960 +tp2596 +a(g428 +VSuit +p2597 +tp2598 +a(g892 +g960 +tp2599 +a(g39 +g1744 +tp2600 +a(g892 +g960 +tp2601 +a(g814 +Vsuit +p2602 +tp2603 +a(g7 +g1601 +tp2604 +a(g892 +V\u000a +p2605 +tp2606 +a(g7 +V_________________ +p2607 +tp2608 +a(g892 +V\u000a +p2609 +tp2610 +a(g7 +g965 +tp2611 +a(g428 +VRank +p2612 +tp2613 +a(g892 +g960 +tp2614 +a(g428 +VSuit +p2615 +tp2616 +a(g7 +g972 +tp2617 +a(g892 +g960 +tp2618 +a(g39 +g1744 +tp2619 +a(g892 +g960 +tp2620 +a(g814 +Vcard +p2621 +tp2622 +a(g7 +g1601 +tp2623 +a(g892 +V\u000a\u000a +p2624 +tp2625 +a(g428 +VRank +p2626 +tp2627 +a(g892 +g960 +tp2628 +a(g39 +g1744 +tp2629 +a(g892 +g960 +tp2630 +a(g814 +Vrank, +p2631 +tp2632 +a(g892 +g960 +tp2633 +a(g428 +VSuit +p2634 +tp2635 +a(g892 +g960 +tp2636 +a(g39 +g1744 +tp2637 +a(g892 +g960 +tp2638 +a(g814 +Vsuit +p2639 +tp2640 +a(g892 +g960 +tp2641 +a(g669 +V>> +p2642 +tp2643 +a(g892 +g960 +tp2644 +a(g428 +VP +p2645 +tp2646 +a(g7 +g1601 +tp2647 +a(g892 +V\u000a +p2648 +tp2649 +a(g7 +V_____________________ +p2650 +tp2651 +a(g892 +V\u000a +p2652 +tp2653 +a(g7 +g965 +tp2654 +a(g428 +VRank +p2655 +tp2656 +a(g892 +g960 +tp2657 +a(g428 +VSuit +p2658 +tp2659 +a(g7 +g972 +tp2660 +a(g892 +g960 +tp2661 +a(g39 +g1744 +tp2662 +a(g892 +g960 +tp2663 +a(g814 +Vcard +p2664 +tp2665 +a(g892 +g960 +tp2666 +a(g669 +V>> +p2667 +tp2668 +a(g892 +g960 +tp2669 +a(g428 +g2645 +tp2670 +a(g7 +g1601 +tp2671 +a(g7 +g1026 +tp2672 +a(g892 +V\u000a\u000a +p2673 +tp2674 +a(g7 +g956 +tp2675 +a(g810 +Vdatatype +p2676 +tp2677 +a(g892 +g960 +tp2678 +a(g39 +Vcard +p2679 +tp2680 +a(g892 +V\u000a\u000a +p2681 +tp2682 +a(g428 +VRank +p2683 +tp2684 +a(g892 +g960 +tp2685 +a(g39 +g1744 +tp2686 +a(g892 +g960 +tp2687 +a(g814 +Vrank +p2688 +tp2689 +a(g7 +g1601 +tp2690 +a(g892 +g960 +tp2691 +a(g428 +VSuit +p2692 +tp2693 +a(g892 +g960 +tp2694 +a(g39 +g1744 +tp2695 +a(g892 +g960 +tp2696 +a(g814 +Vsuit +p2697 +tp2698 +a(g7 +g1601 +tp2699 +a(g892 +V\u000a +p2700 +tp2701 +a(g7 +V================== +p2702 +tp2703 +a(g892 +V\u000a +p2704 +tp2705 +a(g7 +g965 +tp2706 +a(g428 +VRank +p2707 +tp2708 +a(g892 +g960 +tp2709 +a(g428 +VSuit +p2710 +tp2711 +a(g7 +g972 +tp2712 +a(g892 +g960 +tp2713 +a(g39 +g1744 +tp2714 +a(g892 +g960 +tp2715 +a(g814 +Vcard +p2716 +tp2717 +a(g7 +g1601 +tp2718 +a(g7 +g1026 +tp2719 +a(g892 +V\u000a\u000a +p2720 +tp2721 +a(g729 +V\u005c\u005c String interpolation and escape sequences +p2722 +tp2723 +a(g892 +V\u000a\u000a +p2724 +tp2725 +a(g76 +g1769 +tp2726 +a(g76 +g1896 +tp2727 +a(g76 +g1913 +tp2728 +a(g76 +g1901 +tp2729 +a(g112 +V~A +p2730 +tp2731 +a(g76 +g960 +tp2732 +a(g112 +V~S +p2733 +tp2734 +a(g112 +V~R +p2735 +tp2736 +a(g76 +g960 +tp2737 +a(g112 +V~% +p2738 +tp2739 +a(g76 +g960 +tp2740 +a(g76 +g1913 +tp2741 +a(g76 +Vl +p2742 +tp2743 +a(g76 +g1896 +tp2744 +a(g76 +Vh +p2745 +tp2746 +a(g76 +V\u000a +p2747 +tp2748 +a(g76 +g960 +tp2749 +a(g200 +Vc#30; +p2750 +tp2751 +a(g200 +Vc#31; +p2752 +tp2753 +a(g76 +g1913 +tp2754 +a(g76 +g2742 +tp2755 +a(g76 +g1896 +tp2756 +a(g76 +g2745 +tp2757 +a(g76 +g1769 +tp2758 +a(g892 +V\u000a\u000a +p2759 +tp2760 +a(g7 +g1026 +tp2761 +a(g892 +V\u000a +p2762 +tp2763 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.sil b/tests/examplefiles/output/test.sil new file mode 100644 index 0000000..0c9cd80 --- /dev/null +++ b/tests/examplefiles/output/test.sil @@ -0,0 +1,9920 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVdomain +p956 +tp957 +a(g839 +V +p958 +tp959 +a(g423 +VOption__Node +p960 +tp961 +a(g839 +g958 +tp962 +a(g693 +V{ +p963 +tp964 +a(g839 +V\u000a +p965 +tp966 +a(g839 +V +p967 +tp968 +a(g7 +Vunique +p969 +tp970 +a(g839 +g958 +tp971 +a(g7 +Vfunction +p972 +tp973 +a(g839 +g958 +tp974 +a(g423 +VOption__Node__Some +p975 +tp976 +a(g693 +V( +p977 +tp978 +a(g693 +V) +p979 +tp980 +a(g400 +V: +p981 +tp982 +a(g839 +g958 +tp983 +a(g423 +VOption__Node +p984 +tp985 +a(g839 +V\u000a +p986 +tp987 +a(g839 +V +p988 +tp989 +a(g7 +Vunique +p990 +tp991 +a(g839 +g958 +tp992 +a(g7 +Vfunction +p993 +tp994 +a(g839 +g958 +tp995 +a(g423 +VOption__Node__None +p996 +tp997 +a(g693 +g977 +tp998 +a(g693 +g979 +tp999 +a(g400 +g981 +tp1000 +a(g839 +g958 +tp1001 +a(g423 +VOption__Node +p1002 +tp1003 +a(g839 +V\u000a +p1004 +tp1005 +a(g839 +V\u000a +p1006 +tp1007 +a(g839 +V +p1008 +tp1009 +a(g7 +Vfunction +p1010 +tp1011 +a(g839 +g958 +tp1012 +a(g423 +VvariantOfOptionNode +p1013 +tp1014 +a(g693 +g977 +tp1015 +a(g423 +Vself +p1016 +tp1017 +a(g400 +g981 +tp1018 +a(g839 +g958 +tp1019 +a(g881 +VRef +p1020 +tp1021 +a(g693 +g979 +tp1022 +a(g400 +g981 +tp1023 +a(g839 +g958 +tp1024 +a(g423 +VOption__Node +p1025 +tp1026 +a(g839 +V\u000a +p1027 +tp1028 +a(g839 +V\u000a +p1029 +tp1030 +a(g839 +V +p1031 +tp1032 +a(g7 +Vfunction +p1033 +tp1034 +a(g839 +g958 +tp1035 +a(g423 +VisOptionNode +p1036 +tp1037 +a(g693 +g977 +tp1038 +a(g423 +Vself +p1039 +tp1040 +a(g400 +g981 +tp1041 +a(g839 +g958 +tp1042 +a(g881 +VRef +p1043 +tp1044 +a(g693 +g979 +tp1045 +a(g400 +g981 +tp1046 +a(g839 +g958 +tp1047 +a(g881 +VBool +p1048 +tp1049 +a(g839 +V\u000a +p1050 +tp1051 +a(g839 +V\u000a +p1052 +tp1053 +a(g839 +V +p1054 +tp1055 +a(g7 +Vaxiom +p1056 +tp1057 +a(g839 +g958 +tp1058 +a(g423 +Vax_variantOfOptionNodeChoices +p1059 +tp1060 +a(g839 +g958 +tp1061 +a(g693 +g963 +tp1062 +a(g839 +V\u000a +p1063 +tp1064 +a(g839 +V +p1065 +tp1066 +a(g7 +Vforall +p1067 +tp1068 +a(g839 +g958 +tp1069 +a(g423 +Vx +p1070 +tp1071 +a(g400 +g981 +tp1072 +a(g839 +g958 +tp1073 +a(g881 +VRef +p1074 +tp1075 +a(g839 +g958 +tp1076 +a(g400 +g981 +tp1077 +a(g400 +g981 +tp1078 +a(g839 +g958 +tp1079 +a(g693 +g963 +tp1080 +a(g839 +g958 +tp1081 +a(g423 +VvariantOfOptionNode +p1082 +tp1083 +a(g693 +g977 +tp1084 +a(g423 +g1070 +tp1085 +a(g693 +g979 +tp1086 +a(g839 +g958 +tp1087 +a(g693 +V} +p1088 +tp1089 +a(g839 +V\u000a +p1090 +tp1091 +a(g839 +V +p1092 +tp1093 +a(g693 +g977 +tp1094 +a(g423 +VvariantOfOptionNode +p1095 +tp1096 +a(g693 +g977 +tp1097 +a(g423 +g1070 +tp1098 +a(g693 +g979 +tp1099 +a(g839 +g958 +tp1100 +a(g400 +V= +p1101 +tp1102 +a(g400 +g1101 +tp1103 +a(g839 +g958 +tp1104 +a(g423 +VOption__Node__Some +p1105 +tp1106 +a(g693 +g977 +tp1107 +a(g693 +g979 +tp1108 +a(g839 +g958 +tp1109 +a(g400 +V| +p1110 +tp1111 +a(g400 +g1110 +tp1112 +a(g839 +g958 +tp1113 +a(g423 +VvariantOfOptionNode +p1114 +tp1115 +a(g693 +g977 +tp1116 +a(g423 +g1070 +tp1117 +a(g693 +g979 +tp1118 +a(g839 +g958 +tp1119 +a(g400 +g1101 +tp1120 +a(g400 +g1101 +tp1121 +a(g839 +g958 +tp1122 +a(g423 +VOption__Node__None +p1123 +tp1124 +a(g693 +g977 +tp1125 +a(g693 +g979 +tp1126 +a(g693 +g979 +tp1127 +a(g839 +V\u000a +p1128 +tp1129 +a(g839 +V +p1130 +tp1131 +a(g693 +g1088 +tp1132 +a(g839 +V\u000a +p1133 +tp1134 +a(g839 +V\u000a +p1135 +tp1136 +a(g839 +V +p1137 +tp1138 +a(g7 +Vaxiom +p1139 +tp1140 +a(g839 +g958 +tp1141 +a(g423 +Vax_isCounterState +p1142 +tp1143 +a(g839 +g958 +tp1144 +a(g693 +g963 +tp1145 +a(g839 +V\u000a +p1146 +tp1147 +a(g839 +V +p1148 +tp1149 +a(g7 +Vforall +p1150 +tp1151 +a(g839 +g958 +tp1152 +a(g423 +g1070 +tp1153 +a(g400 +g981 +tp1154 +a(g839 +g958 +tp1155 +a(g881 +VRef +p1156 +tp1157 +a(g839 +g958 +tp1158 +a(g400 +g981 +tp1159 +a(g400 +g981 +tp1160 +a(g839 +V +p1161 +tp1162 +a(g693 +g963 +tp1163 +a(g839 +g958 +tp1164 +a(g423 +VvariantOfOptionNode +p1165 +tp1166 +a(g693 +g977 +tp1167 +a(g423 +g1070 +tp1168 +a(g693 +g979 +tp1169 +a(g839 +g958 +tp1170 +a(g693 +g1088 +tp1171 +a(g839 +V\u000a +p1172 +tp1173 +a(g839 +V +p1174 +tp1175 +a(g423 +VisOptionNode +p1176 +tp1177 +a(g693 +g977 +tp1178 +a(g423 +g1070 +tp1179 +a(g693 +g979 +tp1180 +a(g839 +g958 +tp1181 +a(g400 +g1101 +tp1182 +a(g400 +g1101 +tp1183 +a(g839 +g958 +tp1184 +a(g693 +g977 +tp1185 +a(g423 +VvariantOfOptionNode +p1186 +tp1187 +a(g693 +g977 +tp1188 +a(g423 +g1070 +tp1189 +a(g693 +g979 +tp1190 +a(g839 +g958 +tp1191 +a(g400 +g1101 +tp1192 +a(g400 +g1101 +tp1193 +a(g839 +g958 +tp1194 +a(g423 +VOption__Node__Some +p1195 +tp1196 +a(g693 +g977 +tp1197 +a(g693 +g979 +tp1198 +a(g839 +g958 +tp1199 +a(g400 +g1110 +tp1200 +a(g400 +g1110 +tp1201 +a(g839 +V\u000a +p1202 +tp1203 +a(g839 +V +p1204 +tp1205 +a(g423 +VvariantOfOptionNode +p1206 +tp1207 +a(g693 +g977 +tp1208 +a(g423 +g1070 +tp1209 +a(g693 +g979 +tp1210 +a(g839 +g958 +tp1211 +a(g400 +g1101 +tp1212 +a(g400 +g1101 +tp1213 +a(g839 +g958 +tp1214 +a(g423 +VOption__Node__None +p1215 +tp1216 +a(g693 +g977 +tp1217 +a(g693 +g979 +tp1218 +a(g693 +g979 +tp1219 +a(g839 +V\u000a +p1220 +tp1221 +a(g839 +V +p1222 +tp1223 +a(g693 +g1088 +tp1224 +a(g839 +V\u000a +p1225 +tp1226 +a(g693 +g1088 +tp1227 +a(g839 +V\u000a +p1228 +tp1229 +a(g839 +V\u000a +p1230 +tp1231 +a(g7 +Vpredicate +p1232 +tp1233 +a(g839 +g958 +tp1234 +a(g423 +VvalidOption +p1235 +tp1236 +a(g693 +g977 +tp1237 +a(g423 +Vthis +p1238 +tp1239 +a(g400 +g981 +tp1240 +a(g839 +g958 +tp1241 +a(g881 +VRef +p1242 +tp1243 +a(g693 +g979 +tp1244 +a(g839 +g958 +tp1245 +a(g693 +g963 +tp1246 +a(g839 +V\u000a +p1247 +tp1248 +a(g839 +V +p1249 +tp1250 +a(g423 +VisOptionNode +p1251 +tp1252 +a(g693 +g977 +tp1253 +a(g423 +Vthis +p1254 +tp1255 +a(g693 +g979 +tp1256 +a(g839 +g958 +tp1257 +a(g400 +V& +p1258 +tp1259 +a(g400 +g1258 +tp1260 +a(g839 +V\u000a +p1261 +tp1262 +a(g839 +V +p1263 +tp1264 +a(g423 +VvariantOfOptionNode +p1265 +tp1266 +a(g693 +g977 +tp1267 +a(g423 +Vthis +p1268 +tp1269 +a(g693 +g979 +tp1270 +a(g839 +g958 +tp1271 +a(g400 +g1101 +tp1272 +a(g400 +g1101 +tp1273 +a(g839 +g958 +tp1274 +a(g423 +VOption__Node__Some +p1275 +tp1276 +a(g693 +g977 +tp1277 +a(g693 +g979 +tp1278 +a(g839 +g958 +tp1279 +a(g400 +g1101 +tp1280 +a(g400 +g1101 +tp1281 +a(g400 +V> +p1282 +tp1283 +a(g839 +g958 +tp1284 +a(g693 +g977 +tp1285 +a(g839 +V\u000a +p1286 +tp1287 +a(g839 +V +p1288 +tp1289 +a(g7 +Vacc +p1290 +tp1291 +a(g693 +g977 +tp1292 +a(g423 +Vthis +p1293 +tp1294 +a(g693 +V. +p1295 +tp1296 +a(g423 +VOption__Node__Some__1 +p1297 +tp1298 +a(g693 +V, +p1299 +tp1300 +a(g839 +g958 +tp1301 +a(g7 +Vwrite +p1302 +tp1303 +a(g693 +g979 +tp1304 +a(g839 +g958 +tp1305 +a(g400 +g1258 +tp1306 +a(g400 +g1258 +tp1307 +a(g839 +V\u000a +p1308 +tp1309 +a(g839 +V +p1310 +tp1311 +a(g7 +Vacc +p1312 +tp1313 +a(g693 +g977 +tp1314 +a(g423 +VvalidNode +p1315 +tp1316 +a(g693 +g977 +tp1317 +a(g423 +Vthis +p1318 +tp1319 +a(g693 +g1295 +tp1320 +a(g423 +VOption__Node__Some__1 +p1321 +tp1322 +a(g693 +g979 +tp1323 +a(g693 +g979 +tp1324 +a(g839 +V\u000a +p1325 +tp1326 +a(g839 +V +p1327 +tp1328 +a(g693 +g979 +tp1329 +a(g839 +V\u000a +p1330 +tp1331 +a(g693 +g1088 +tp1332 +a(g839 +V\u000a +p1333 +tp1334 +a(g839 +V\u000a +p1335 +tp1336 +a(g7 +Vfield +p1337 +tp1338 +a(g839 +g958 +tp1339 +a(g423 +VOption__Node__Some__1 +p1340 +tp1341 +a(g400 +g981 +tp1342 +a(g839 +g958 +tp1343 +a(g881 +VRef +p1344 +tp1345 +a(g839 +V\u000a +p1346 +tp1347 +a(g839 +V\u000a +p1348 +tp1349 +a(g7 +Vfield +p1350 +tp1351 +a(g839 +g958 +tp1352 +a(g423 +VNode__v +p1353 +tp1354 +a(g400 +g981 +tp1355 +a(g839 +g958 +tp1356 +a(g881 +VInt +p1357 +tp1358 +a(g839 +V\u000a +p1359 +tp1360 +a(g7 +Vfield +p1361 +tp1362 +a(g839 +g958 +tp1363 +a(g423 +VNode__next +p1364 +tp1365 +a(g400 +g981 +tp1366 +a(g839 +g958 +tp1367 +a(g881 +VRef +p1368 +tp1369 +a(g839 +V\u000a +p1370 +tp1371 +a(g839 +V\u000a +p1372 +tp1373 +a(g7 +Vpredicate +p1374 +tp1375 +a(g839 +g958 +tp1376 +a(g423 +VvalidNode +p1377 +tp1378 +a(g693 +g977 +tp1379 +a(g423 +Vthis +p1380 +tp1381 +a(g400 +g981 +tp1382 +a(g839 +g958 +tp1383 +a(g881 +VRef +p1384 +tp1385 +a(g693 +g979 +tp1386 +a(g839 +g958 +tp1387 +a(g693 +g963 +tp1388 +a(g839 +V\u000a +p1389 +tp1390 +a(g839 +V +p1391 +tp1392 +a(g7 +Vacc +p1393 +tp1394 +a(g693 +g977 +tp1395 +a(g423 +Vthis +p1396 +tp1397 +a(g693 +g1295 +tp1398 +a(g423 +VNode__v +p1399 +tp1400 +a(g693 +g979 +tp1401 +a(g839 +g958 +tp1402 +a(g400 +g1258 +tp1403 +a(g400 +g1258 +tp1404 +a(g839 +V\u000a +p1405 +tp1406 +a(g839 +V +p1407 +tp1408 +a(g7 +Vacc +p1409 +tp1410 +a(g693 +g977 +tp1411 +a(g423 +Vthis +p1412 +tp1413 +a(g693 +g1295 +tp1414 +a(g423 +VNode__next +p1415 +tp1416 +a(g693 +g979 +tp1417 +a(g839 +g958 +tp1418 +a(g400 +g1258 +tp1419 +a(g400 +g1258 +tp1420 +a(g839 +V\u000a +p1421 +tp1422 +a(g839 +V +p1423 +tp1424 +a(g7 +Vacc +p1425 +tp1426 +a(g693 +g977 +tp1427 +a(g423 +VvalidOption +p1428 +tp1429 +a(g693 +g977 +tp1430 +a(g423 +Vthis +p1431 +tp1432 +a(g693 +g1295 +tp1433 +a(g423 +VNode__next +p1434 +tp1435 +a(g693 +g979 +tp1436 +a(g693 +g979 +tp1437 +a(g839 +V\u000a +p1438 +tp1439 +a(g693 +g1088 +tp1440 +a(g839 +V\u000a +p1441 +tp1442 +a(g839 +V\u000a +p1443 +tp1444 +a(g839 +V\u000a +p1445 +tp1446 +a(g7 +Vfunction +p1447 +tp1448 +a(g839 +g958 +tp1449 +a(g423 +Vlength +p1450 +tp1451 +a(g693 +g977 +tp1452 +a(g423 +Vthis +p1453 +tp1454 +a(g400 +g981 +tp1455 +a(g839 +g958 +tp1456 +a(g881 +VRef +p1457 +tp1458 +a(g693 +g979 +tp1459 +a(g400 +g981 +tp1460 +a(g839 +g958 +tp1461 +a(g881 +VInt +p1462 +tp1463 +a(g839 +V\u000a +p1464 +tp1465 +a(g839 +V +p1466 +tp1467 +a(g7 +Vrequires +p1468 +tp1469 +a(g839 +g958 +tp1470 +a(g7 +Vacc +p1471 +tp1472 +a(g693 +g977 +tp1473 +a(g423 +VvalidNode +p1474 +tp1475 +a(g693 +g977 +tp1476 +a(g423 +Vthis +p1477 +tp1478 +a(g693 +g979 +tp1479 +a(g693 +g1299 +tp1480 +a(g839 +g958 +tp1481 +a(g7 +Vwrite +p1482 +tp1483 +a(g693 +g979 +tp1484 +a(g839 +V\u000a +p1485 +tp1486 +a(g839 +V +p1487 +tp1488 +a(g7 +Vensures +p1489 +tp1490 +a(g839 +g958 +tp1491 +a(g7 +Vresult +p1492 +tp1493 +a(g839 +g958 +tp1494 +a(g400 +g1282 +tp1495 +a(g400 +g1101 +tp1496 +a(g839 +g958 +tp1497 +a(g22 +V1 +p1498 +tp1499 +a(g839 +V\u000a +p1500 +tp1501 +a(g693 +g963 +tp1502 +a(g839 +V\u000a +p1503 +tp1504 +a(g839 +V +p1505 +tp1506 +a(g693 +g977 +tp1507 +a(g7 +Vunfolding +p1508 +tp1509 +a(g839 +g958 +tp1510 +a(g7 +Vacc +p1511 +tp1512 +a(g693 +g977 +tp1513 +a(g423 +VvalidNode +p1514 +tp1515 +a(g693 +g977 +tp1516 +a(g423 +Vthis +p1517 +tp1518 +a(g693 +g979 +tp1519 +a(g693 +g1299 +tp1520 +a(g839 +g958 +tp1521 +a(g7 +Vwrite +p1522 +tp1523 +a(g693 +g979 +tp1524 +a(g839 +g958 +tp1525 +a(g7 +Vin +p1526 +tp1527 +a(g839 +V\u000a +p1528 +tp1529 +a(g839 +V +p1530 +tp1531 +a(g7 +Vunfolding +p1532 +tp1533 +a(g839 +g958 +tp1534 +a(g7 +Vacc +p1535 +tp1536 +a(g693 +g977 +tp1537 +a(g423 +VvalidOption +p1538 +tp1539 +a(g693 +g977 +tp1540 +a(g423 +Vthis +p1541 +tp1542 +a(g693 +g1295 +tp1543 +a(g423 +VNode__next +p1544 +tp1545 +a(g693 +g979 +tp1546 +a(g693 +g979 +tp1547 +a(g839 +g958 +tp1548 +a(g7 +Vin +p1549 +tp1550 +a(g839 +V\u000a +p1551 +tp1552 +a(g839 +V +p1553 +tp1554 +a(g693 +g977 +tp1555 +a(g423 +VvariantOfOptionNode +p1556 +tp1557 +a(g693 +g977 +tp1558 +a(g423 +Vthis +p1559 +tp1560 +a(g693 +g1295 +tp1561 +a(g423 +VNode__next +p1562 +tp1563 +a(g693 +g979 +tp1564 +a(g839 +g958 +tp1565 +a(g400 +g1101 +tp1566 +a(g400 +g1101 +tp1567 +a(g839 +g958 +tp1568 +a(g423 +VOption__Node__None +p1569 +tp1570 +a(g693 +g977 +tp1571 +a(g693 +g979 +tp1572 +a(g693 +g979 +tp1573 +a(g839 +g958 +tp1574 +a(g400 +V? +p1575 +tp1576 +a(g839 +V \u000a +p1577 +tp1578 +a(g22 +g1498 +tp1579 +a(g839 +g958 +tp1580 +a(g400 +g981 +tp1581 +a(g839 +g958 +tp1582 +a(g22 +g1498 +tp1583 +a(g839 +g958 +tp1584 +a(g400 +V+ +p1585 +tp1586 +a(g839 +g958 +tp1587 +a(g423 +Vlength +p1588 +tp1589 +a(g693 +g977 +tp1590 +a(g423 +Vthis +p1591 +tp1592 +a(g693 +g1295 +tp1593 +a(g423 +VNode__next +p1594 +tp1595 +a(g693 +g1295 +tp1596 +a(g423 +VOption__Node__Some__1 +p1597 +tp1598 +a(g693 +g979 +tp1599 +a(g839 +V\u000a +p1600 +tp1601 +a(g839 +V +p1602 +tp1603 +a(g693 +g979 +tp1604 +a(g839 +V\u000a +p1605 +tp1606 +a(g693 +g1088 +tp1607 +a(g839 +V\u000a +p1608 +tp1609 +a(g839 +V\u000a +p1610 +tp1611 +a(g7 +Vfunction +p1612 +tp1613 +a(g839 +g958 +tp1614 +a(g423 +VitemAt +p1615 +tp1616 +a(g693 +g977 +tp1617 +a(g423 +Vthis +p1618 +tp1619 +a(g400 +g981 +tp1620 +a(g839 +g958 +tp1621 +a(g881 +VRef +p1622 +tp1623 +a(g693 +g1299 +tp1624 +a(g839 +g958 +tp1625 +a(g423 +Vi +p1626 +tp1627 +a(g400 +g981 +tp1628 +a(g839 +g958 +tp1629 +a(g881 +VInt +p1630 +tp1631 +a(g693 +g979 +tp1632 +a(g400 +g981 +tp1633 +a(g839 +g958 +tp1634 +a(g881 +VInt +p1635 +tp1636 +a(g839 +V\u000a +p1637 +tp1638 +a(g839 +V +p1639 +tp1640 +a(g7 +Vrequires +p1641 +tp1642 +a(g839 +g958 +tp1643 +a(g7 +Vacc +p1644 +tp1645 +a(g693 +g977 +tp1646 +a(g423 +VvalidNode +p1647 +tp1648 +a(g693 +g977 +tp1649 +a(g423 +Vthis +p1650 +tp1651 +a(g693 +g979 +tp1652 +a(g693 +g1299 +tp1653 +a(g839 +g958 +tp1654 +a(g7 +Vwrite +p1655 +tp1656 +a(g693 +g979 +tp1657 +a(g839 +V\u000a +p1658 +tp1659 +a(g839 +V +p1660 +tp1661 +a(g7 +Vrequires +p1662 +tp1663 +a(g839 +g958 +tp1664 +a(g22 +V0 +p1665 +tp1666 +a(g839 +g958 +tp1667 +a(g400 +V< +p1668 +tp1669 +a(g400 +g1101 +tp1670 +a(g839 +g958 +tp1671 +a(g423 +g1626 +tp1672 +a(g839 +g958 +tp1673 +a(g400 +g1258 +tp1674 +a(g400 +g1258 +tp1675 +a(g839 +g958 +tp1676 +a(g423 +g1626 +tp1677 +a(g839 +g958 +tp1678 +a(g400 +g1668 +tp1679 +a(g839 +g958 +tp1680 +a(g423 +Vlength +p1681 +tp1682 +a(g693 +g977 +tp1683 +a(g423 +Vthis +p1684 +tp1685 +a(g693 +g979 +tp1686 +a(g839 +V\u000a +p1687 +tp1688 +a(g693 +g963 +tp1689 +a(g839 +V\u000a +p1690 +tp1691 +a(g839 +V +p1692 +tp1693 +a(g7 +Vunfolding +p1694 +tp1695 +a(g839 +g958 +tp1696 +a(g7 +Vacc +p1697 +tp1698 +a(g693 +g977 +tp1699 +a(g423 +VvalidNode +p1700 +tp1701 +a(g693 +g977 +tp1702 +a(g423 +Vthis +p1703 +tp1704 +a(g693 +g979 +tp1705 +a(g693 +g1299 +tp1706 +a(g839 +g958 +tp1707 +a(g7 +Vwrite +p1708 +tp1709 +a(g693 +g979 +tp1710 +a(g839 +g958 +tp1711 +a(g7 +Vin +p1712 +tp1713 +a(g839 +g958 +tp1714 +a(g7 +Vunfolding +p1715 +tp1716 +a(g839 +g958 +tp1717 +a(g7 +Vacc +p1718 +tp1719 +a(g693 +g977 +tp1720 +a(g423 +VvalidOption +p1721 +tp1722 +a(g693 +g977 +tp1723 +a(g423 +Vthis +p1724 +tp1725 +a(g693 +g1295 +tp1726 +a(g423 +VNode__next +p1727 +tp1728 +a(g693 +g979 +tp1729 +a(g693 +g979 +tp1730 +a(g839 +g958 +tp1731 +a(g7 +Vin +p1732 +tp1733 +a(g839 +g958 +tp1734 +a(g693 +g977 +tp1735 +a(g839 +V\u000a +p1736 +tp1737 +a(g839 +V +p1738 +tp1739 +a(g693 +g977 +tp1740 +a(g423 +g1626 +tp1741 +a(g839 +g958 +tp1742 +a(g400 +g1101 +tp1743 +a(g400 +g1101 +tp1744 +a(g839 +g958 +tp1745 +a(g22 +g1665 +tp1746 +a(g693 +g979 +tp1747 +a(g839 +g958 +tp1748 +a(g400 +g1575 +tp1749 +a(g839 +V\u000a +p1750 +tp1751 +a(g839 +V +p1752 +tp1753 +a(g423 +Vthis +p1754 +tp1755 +a(g693 +g1295 +tp1756 +a(g423 +VNode__v +p1757 +tp1758 +a(g400 +g981 +tp1759 +a(g839 +V\u000a +p1760 +tp1761 +a(g839 +V +p1762 +tp1763 +a(g693 +g977 +tp1764 +a(g423 +VvariantOfOptionNode +p1765 +tp1766 +a(g693 +g977 +tp1767 +a(g423 +Vthis +p1768 +tp1769 +a(g693 +g1295 +tp1770 +a(g423 +VNode__next +p1771 +tp1772 +a(g693 +g979 +tp1773 +a(g839 +g958 +tp1774 +a(g400 +g1101 +tp1775 +a(g400 +g1101 +tp1776 +a(g839 +g958 +tp1777 +a(g423 +VOption__Node__Some +p1778 +tp1779 +a(g693 +g977 +tp1780 +a(g693 +g979 +tp1781 +a(g693 +g979 +tp1782 +a(g839 +g958 +tp1783 +a(g400 +g1575 +tp1784 +a(g839 +V \u000a +p1785 +tp1786 +a(g423 +VitemAt +p1787 +tp1788 +a(g693 +g977 +tp1789 +a(g423 +Vthis +p1790 +tp1791 +a(g693 +g1295 +tp1792 +a(g423 +VNode__next +p1793 +tp1794 +a(g693 +g1295 +tp1795 +a(g423 +VOption__Node__Some__1 +p1796 +tp1797 +a(g693 +g1299 +tp1798 +a(g839 +g958 +tp1799 +a(g423 +g1626 +tp1800 +a(g400 +V- +p1801 +tp1802 +a(g22 +g1498 +tp1803 +a(g693 +g979 +tp1804 +a(g839 +g958 +tp1805 +a(g400 +g981 +tp1806 +a(g839 +g958 +tp1807 +a(g423 +Vthis +p1808 +tp1809 +a(g693 +g1295 +tp1810 +a(g423 +VNode__v +p1811 +tp1812 +a(g839 +V\u000a +p1813 +tp1814 +a(g839 +V +p1815 +tp1816 +a(g693 +g979 +tp1817 +a(g839 +V\u000a +p1818 +tp1819 +a(g693 +g1088 +tp1820 +a(g839 +V\u000a +p1821 +tp1822 +a(g839 +V\u000a +p1823 +tp1824 +a(g7 +Vfunction +p1825 +tp1826 +a(g839 +g958 +tp1827 +a(g423 +Vsum +p1828 +tp1829 +a(g693 +g977 +tp1830 +a(g423 +Vthis +p1831 +tp1832 +a(g423 +V$1 +p1833 +tp1834 +a(g400 +g981 +tp1835 +a(g839 +g958 +tp1836 +a(g881 +VRef +p1837 +tp1838 +a(g693 +g979 +tp1839 +a(g400 +g981 +tp1840 +a(g839 +g958 +tp1841 +a(g881 +VInt +p1842 +tp1843 +a(g839 +V\u000a +p1844 +tp1845 +a(g839 +V +p1846 +tp1847 +a(g7 +Vrequires +p1848 +tp1849 +a(g839 +g958 +tp1850 +a(g7 +Vacc +p1851 +tp1852 +a(g693 +g977 +tp1853 +a(g423 +VvalidNode +p1854 +tp1855 +a(g693 +g977 +tp1856 +a(g423 +Vthis +p1857 +tp1858 +a(g423 +V$1 +p1859 +tp1860 +a(g693 +g979 +tp1861 +a(g693 +g1299 +tp1862 +a(g839 +g958 +tp1863 +a(g7 +Vwrite +p1864 +tp1865 +a(g693 +g979 +tp1866 +a(g839 +V\u000a +p1867 +tp1868 +a(g693 +g963 +tp1869 +a(g839 +V\u000a +p1870 +tp1871 +a(g839 +V +p1872 +tp1873 +a(g693 +g977 +tp1874 +a(g7 +Vunfolding +p1875 +tp1876 +a(g839 +g958 +tp1877 +a(g7 +Vacc +p1878 +tp1879 +a(g693 +g977 +tp1880 +a(g423 +VvalidNode +p1881 +tp1882 +a(g693 +g977 +tp1883 +a(g423 +Vthis +p1884 +tp1885 +a(g423 +V$1 +p1886 +tp1887 +a(g693 +g979 +tp1888 +a(g693 +g1299 +tp1889 +a(g839 +g958 +tp1890 +a(g7 +Vwrite +p1891 +tp1892 +a(g693 +g979 +tp1893 +a(g839 +g958 +tp1894 +a(g7 +Vin +p1895 +tp1896 +a(g839 +g958 +tp1897 +a(g7 +Vunfolding +p1898 +tp1899 +a(g839 +g958 +tp1900 +a(g7 +Vacc +p1901 +tp1902 +a(g693 +g977 +tp1903 +a(g423 +VvalidOption +p1904 +tp1905 +a(g693 +g977 +tp1906 +a(g423 +Vthis +p1907 +tp1908 +a(g423 +V$1 +p1909 +tp1910 +a(g693 +g1295 +tp1911 +a(g423 +VNode__next +p1912 +tp1913 +a(g693 +g979 +tp1914 +a(g693 +g979 +tp1915 +a(g839 +g958 +tp1916 +a(g7 +Vin +p1917 +tp1918 +a(g839 +V \u000a +p1919 +tp1920 +a(g693 +g977 +tp1921 +a(g423 +VvariantOfOptionNode +p1922 +tp1923 +a(g693 +g977 +tp1924 +a(g423 +Vthis +p1925 +tp1926 +a(g423 +V$1 +p1927 +tp1928 +a(g693 +g1295 +tp1929 +a(g423 +VNode__next +p1930 +tp1931 +a(g693 +g979 +tp1932 +a(g839 +g958 +tp1933 +a(g400 +g1101 +tp1934 +a(g400 +g1101 +tp1935 +a(g839 +g958 +tp1936 +a(g423 +VOption__Node__None +p1937 +tp1938 +a(g693 +g977 +tp1939 +a(g693 +g979 +tp1940 +a(g693 +g979 +tp1941 +a(g839 +g958 +tp1942 +a(g400 +g1575 +tp1943 +a(g839 +g958 +tp1944 +a(g423 +Vthis +p1945 +tp1946 +a(g423 +V$1 +p1947 +tp1948 +a(g693 +g1295 +tp1949 +a(g423 +VNode__v +p1950 +tp1951 +a(g839 +g958 +tp1952 +a(g400 +g981 +tp1953 +a(g839 +g958 +tp1954 +a(g423 +Vthis +p1955 +tp1956 +a(g423 +V$1 +p1957 +tp1958 +a(g693 +g1295 +tp1959 +a(g423 +VNode__v +p1960 +tp1961 +a(g839 +g958 +tp1962 +a(g400 +g1585 +tp1963 +a(g839 +g958 +tp1964 +a(g423 +Vsum +p1965 +tp1966 +a(g693 +g977 +tp1967 +a(g423 +Vthis +p1968 +tp1969 +a(g423 +V$1 +p1970 +tp1971 +a(g693 +g1295 +tp1972 +a(g423 +VNode__next +p1973 +tp1974 +a(g693 +g1295 +tp1975 +a(g423 +VOption__Node__Some__1 +p1976 +tp1977 +a(g693 +g979 +tp1978 +a(g693 +g979 +tp1979 +a(g839 +V\u000a +p1980 +tp1981 +a(g693 +g1088 +tp1982 +a(g839 +V\u000a +p1983 +tp1984 +a(g839 +V\u000a +p1985 +tp1986 +a(g7 +Vmethod +p1987 +tp1988 +a(g839 +g958 +tp1989 +a(g423 +Vappend +p1990 +tp1991 +a(g693 +g977 +tp1992 +a(g423 +Vthis +p1993 +tp1994 +a(g400 +g981 +tp1995 +a(g839 +g958 +tp1996 +a(g881 +VRef +p1997 +tp1998 +a(g693 +g1299 +tp1999 +a(g839 +g958 +tp2000 +a(g423 +Vval +p2001 +tp2002 +a(g400 +g981 +tp2003 +a(g839 +g958 +tp2004 +a(g881 +VInt +p2005 +tp2006 +a(g693 +g979 +tp2007 +a(g839 +V\u000a +p2008 +tp2009 +a(g839 +V +p2010 +tp2011 +a(g7 +Vrequires +p2012 +tp2013 +a(g839 +g958 +tp2014 +a(g7 +Vacc +p2015 +tp2016 +a(g693 +g977 +tp2017 +a(g423 +VvalidNode +p2018 +tp2019 +a(g693 +g977 +tp2020 +a(g423 +Vthis +p2021 +tp2022 +a(g693 +g979 +tp2023 +a(g693 +g1299 +tp2024 +a(g839 +g958 +tp2025 +a(g7 +Vwrite +p2026 +tp2027 +a(g693 +g979 +tp2028 +a(g839 +V\u000a +p2029 +tp2030 +a(g839 +V +p2031 +tp2032 +a(g7 +Vensures +p2033 +tp2034 +a(g839 +g958 +tp2035 +a(g7 +Vacc +p2036 +tp2037 +a(g693 +g977 +tp2038 +a(g423 +VvalidNode +p2039 +tp2040 +a(g693 +g977 +tp2041 +a(g423 +Vthis +p2042 +tp2043 +a(g693 +g979 +tp2044 +a(g693 +g1299 +tp2045 +a(g839 +g958 +tp2046 +a(g7 +Vwrite +p2047 +tp2048 +a(g693 +g979 +tp2049 +a(g839 +g958 +tp2050 +a(g776 +V/* +p2051 +tp2052 +a(g776 +V POST1 +p2053 +tp2054 +a(g776 +V*/ +p2055 +tp2056 +a(g839 +V\u000a +p2057 +tp2058 +a(g839 +V +p2059 +tp2060 +a(g7 +Vensures +p2061 +tp2062 +a(g839 +g958 +tp2063 +a(g423 +Vlength +p2064 +tp2065 +a(g693 +g977 +tp2066 +a(g423 +Vthis +p2067 +tp2068 +a(g693 +g979 +tp2069 +a(g839 +g958 +tp2070 +a(g400 +g1101 +tp2071 +a(g400 +g1101 +tp2072 +a(g839 +g958 +tp2073 +a(g693 +g977 +tp2074 +a(g7 +Vold +p2075 +tp2076 +a(g693 +g977 +tp2077 +a(g423 +Vlength +p2078 +tp2079 +a(g693 +g977 +tp2080 +a(g423 +Vthis +p2081 +tp2082 +a(g693 +g979 +tp2083 +a(g693 +g979 +tp2084 +a(g839 +g958 +tp2085 +a(g400 +g1585 +tp2086 +a(g839 +g958 +tp2087 +a(g22 +g1498 +tp2088 +a(g693 +g979 +tp2089 +a(g839 +g958 +tp2090 +a(g776 +V/* +p2091 +tp2092 +a(g776 +V POST2 +p2093 +tp2094 +a(g776 +V*/ +p2095 +tp2096 +a(g839 +V\u000a +p2097 +tp2098 +a(g839 +V +p2099 +tp2100 +a(g7 +Vensures +p2101 +tp2102 +a(g839 +g958 +tp2103 +a(g693 +g977 +tp2104 +a(g7 +Vforall +p2105 +tp2106 +a(g839 +g958 +tp2107 +a(g423 +g1626 +tp2108 +a(g400 +g981 +tp2109 +a(g839 +g958 +tp2110 +a(g881 +VInt +p2111 +tp2112 +a(g839 +g958 +tp2113 +a(g400 +g981 +tp2114 +a(g400 +g981 +tp2115 +a(g839 +g958 +tp2116 +a(g693 +g977 +tp2117 +a(g22 +g1665 +tp2118 +a(g839 +g958 +tp2119 +a(g400 +g1668 +tp2120 +a(g400 +g1101 +tp2121 +a(g839 +g958 +tp2122 +a(g423 +g1626 +tp2123 +a(g839 +g958 +tp2124 +a(g400 +g1258 +tp2125 +a(g400 +g1258 +tp2126 +a(g839 +g958 +tp2127 +a(g423 +g1626 +tp2128 +a(g839 +g958 +tp2129 +a(g400 +g1668 +tp2130 +a(g839 +g958 +tp2131 +a(g7 +Vold +p2132 +tp2133 +a(g693 +g977 +tp2134 +a(g423 +Vlength +p2135 +tp2136 +a(g693 +g977 +tp2137 +a(g423 +Vthis +p2138 +tp2139 +a(g693 +g979 +tp2140 +a(g693 +g979 +tp2141 +a(g693 +g979 +tp2142 +a(g839 +g958 +tp2143 +a(g400 +g1101 +tp2144 +a(g400 +g1101 +tp2145 +a(g400 +g1282 +tp2146 +a(g839 +g958 +tp2147 +a(g693 +g977 +tp2148 +a(g423 +VitemAt +p2149 +tp2150 +a(g693 +g977 +tp2151 +a(g423 +Vthis +p2152 +tp2153 +a(g693 +g1299 +tp2154 +a(g839 +g958 +tp2155 +a(g423 +g1626 +tp2156 +a(g693 +g979 +tp2157 +a(g839 +g958 +tp2158 +a(g400 +g1101 +tp2159 +a(g400 +g1101 +tp2160 +a(g839 +g958 +tp2161 +a(g7 +Vold +p2162 +tp2163 +a(g693 +g977 +tp2164 +a(g423 +VitemAt +p2165 +tp2166 +a(g693 +g977 +tp2167 +a(g423 +Vthis +p2168 +tp2169 +a(g693 +g1299 +tp2170 +a(g839 +g958 +tp2171 +a(g423 +g1626 +tp2172 +a(g693 +g979 +tp2173 +a(g693 +g979 +tp2174 +a(g693 +g979 +tp2175 +a(g693 +g979 +tp2176 +a(g839 +g958 +tp2177 +a(g776 +V/* +p2178 +tp2179 +a(g776 +V POST3 +p2180 +tp2181 +a(g776 +V*/ +p2182 +tp2183 +a(g839 +V\u000a +p2184 +tp2185 +a(g839 +V +p2186 +tp2187 +a(g7 +Vensures +p2188 +tp2189 +a(g839 +g958 +tp2190 +a(g423 +VitemAt +p2191 +tp2192 +a(g693 +g977 +tp2193 +a(g423 +Vthis +p2194 +tp2195 +a(g693 +g1299 +tp2196 +a(g839 +g958 +tp2197 +a(g423 +Vlength +p2198 +tp2199 +a(g693 +g977 +tp2200 +a(g423 +Vthis +p2201 +tp2202 +a(g693 +g979 +tp2203 +a(g839 +g958 +tp2204 +a(g400 +g1801 +tp2205 +a(g839 +g958 +tp2206 +a(g22 +g1498 +tp2207 +a(g693 +g979 +tp2208 +a(g839 +g958 +tp2209 +a(g400 +g1101 +tp2210 +a(g400 +g1101 +tp2211 +a(g839 +g958 +tp2212 +a(g423 +Vval +p2213 +tp2214 +a(g839 +g958 +tp2215 +a(g776 +V/* +p2216 +tp2217 +a(g776 +V POST4 +p2218 +tp2219 +a(g776 +V*/ +p2220 +tp2221 +a(g839 +V\u000a +p2222 +tp2223 +a(g839 +V +p2224 +tp2225 +a(g7 +Vensures +p2226 +tp2227 +a(g839 +g958 +tp2228 +a(g7 +Vtrue +p2229 +tp2230 +a(g839 +g958 +tp2231 +a(g400 +g1101 +tp2232 +a(g400 +g1101 +tp2233 +a(g400 +g1282 +tp2234 +a(g839 +g958 +tp2235 +a(g7 +Vtrue +p2236 +tp2237 +a(g839 +V\u000a +p2238 +tp2239 +a(g693 +g963 +tp2240 +a(g839 +V\u000a +p2241 +tp2242 +a(g839 +V +p2243 +tp2244 +a(g7 +Vvar +p2245 +tp2246 +a(g839 +g958 +tp2247 +a(g423 +Vtmp_node +p2248 +tp2249 +a(g400 +g981 +tp2250 +a(g839 +g958 +tp2251 +a(g881 +VRef +p2252 +tp2253 +a(g839 +V\u000a +p2254 +tp2255 +a(g839 +V +p2256 +tp2257 +a(g7 +Vvar +p2258 +tp2259 +a(g839 +g958 +tp2260 +a(g423 +Vtmp_option +p2261 +tp2262 +a(g400 +g981 +tp2263 +a(g839 +g958 +tp2264 +a(g881 +VRef +p2265 +tp2266 +a(g839 +V\u000a +p2267 +tp2268 +a(g839 +V\u000a +p2269 +tp2270 +a(g839 +V +p2271 +tp2272 +a(g7 +Vunfold +p2273 +tp2274 +a(g839 +g958 +tp2275 +a(g7 +Vacc +p2276 +tp2277 +a(g693 +g977 +tp2278 +a(g423 +VvalidNode +p2279 +tp2280 +a(g693 +g977 +tp2281 +a(g423 +Vthis +p2282 +tp2283 +a(g693 +g979 +tp2284 +a(g693 +g1299 +tp2285 +a(g839 +g958 +tp2286 +a(g7 +Vwrite +p2287 +tp2288 +a(g693 +g979 +tp2289 +a(g839 +V\u000a +p2290 +tp2291 +a(g839 +V +p2292 +tp2293 +a(g7 +Vunfold +p2294 +tp2295 +a(g839 +g958 +tp2296 +a(g7 +Vacc +p2297 +tp2298 +a(g693 +g977 +tp2299 +a(g423 +VvalidOption +p2300 +tp2301 +a(g693 +g977 +tp2302 +a(g423 +Vthis +p2303 +tp2304 +a(g693 +g1295 +tp2305 +a(g423 +VNode__next +p2306 +tp2307 +a(g693 +g979 +tp2308 +a(g693 +g1299 +tp2309 +a(g839 +g958 +tp2310 +a(g7 +Vwrite +p2311 +tp2312 +a(g693 +g979 +tp2313 +a(g839 +V\u000a +p2314 +tp2315 +a(g839 +V\u000a +p2316 +tp2317 +a(g839 +V +p2318 +tp2319 +a(g7 +Vif +p2320 +tp2321 +a(g839 +g958 +tp2322 +a(g693 +g977 +tp2323 +a(g423 +VvariantOfOptionNode +p2324 +tp2325 +a(g693 +g977 +tp2326 +a(g423 +Vthis +p2327 +tp2328 +a(g693 +g1295 +tp2329 +a(g423 +VNode__next +p2330 +tp2331 +a(g693 +g979 +tp2332 +a(g839 +g958 +tp2333 +a(g400 +g1101 +tp2334 +a(g400 +g1101 +tp2335 +a(g839 +g958 +tp2336 +a(g423 +VOption__Node__None +p2337 +tp2338 +a(g693 +g977 +tp2339 +a(g693 +g979 +tp2340 +a(g693 +g979 +tp2341 +a(g839 +g958 +tp2342 +a(g693 +g963 +tp2343 +a(g839 +V\u000a +p2344 +tp2345 +a(g839 +V +p2346 +tp2347 +a(g423 +Vtmp_node +p2348 +tp2349 +a(g839 +g958 +tp2350 +a(g400 +g981 +tp2351 +a(g400 +g1101 +tp2352 +a(g839 +g958 +tp2353 +a(g7 +Vnew +p2354 +tp2355 +a(g693 +g977 +tp2356 +a(g423 +VNode__next +p2357 +tp2358 +a(g693 +g1299 +tp2359 +a(g839 +g958 +tp2360 +a(g423 +VNode__v +p2361 +tp2362 +a(g693 +g979 +tp2363 +a(g839 +V\u000a +p2364 +tp2365 +a(g839 +V +p2366 +tp2367 +a(g423 +Vtmp_node +p2368 +tp2369 +a(g693 +g1295 +tp2370 +a(g423 +VNode__next +p2371 +tp2372 +a(g839 +g958 +tp2373 +a(g400 +g981 +tp2374 +a(g400 +g1101 +tp2375 +a(g839 +g958 +tp2376 +a(g7 +Vnull +p2377 +tp2378 +a(g839 +V\u000a +p2379 +tp2380 +a(g839 +V +p2381 +tp2382 +a(g423 +Vtmp_node +p2383 +tp2384 +a(g693 +g1295 +tp2385 +a(g423 +VNode__v +p2386 +tp2387 +a(g839 +g958 +tp2388 +a(g400 +g981 +tp2389 +a(g400 +g1101 +tp2390 +a(g839 +g958 +tp2391 +a(g423 +Vval +p2392 +tp2393 +a(g839 +V\u000a +p2394 +tp2395 +a(g839 +V\u000a +p2396 +tp2397 +a(g839 +V +p2398 +tp2399 +a(g7 +Vassume +p2400 +tp2401 +a(g839 +g958 +tp2402 +a(g423 +VvariantOfOptionNode +p2403 +tp2404 +a(g693 +g977 +tp2405 +a(g423 +Vtmp_node +p2406 +tp2407 +a(g693 +g1295 +tp2408 +a(g423 +VNode__next +p2409 +tp2410 +a(g693 +g979 +tp2411 +a(g839 +g958 +tp2412 +a(g400 +g1101 +tp2413 +a(g400 +g1101 +tp2414 +a(g839 +g958 +tp2415 +a(g423 +VOption__Node__None +p2416 +tp2417 +a(g693 +g977 +tp2418 +a(g693 +g979 +tp2419 +a(g839 +V\u000a +p2420 +tp2421 +a(g839 +V +p2422 +tp2423 +a(g7 +Vfold +p2424 +tp2425 +a(g839 +g958 +tp2426 +a(g7 +Vacc +p2427 +tp2428 +a(g693 +g977 +tp2429 +a(g423 +VvalidOption +p2430 +tp2431 +a(g693 +g977 +tp2432 +a(g423 +Vtmp_node +p2433 +tp2434 +a(g693 +g1295 +tp2435 +a(g423 +VNode__next +p2436 +tp2437 +a(g693 +g979 +tp2438 +a(g693 +g979 +tp2439 +a(g839 +V\u000a +p2440 +tp2441 +a(g839 +V +p2442 +tp2443 +a(g7 +Vfold +p2444 +tp2445 +a(g839 +g958 +tp2446 +a(g7 +Vacc +p2447 +tp2448 +a(g693 +g977 +tp2449 +a(g423 +VvalidNode +p2450 +tp2451 +a(g693 +g977 +tp2452 +a(g423 +Vtmp_node +p2453 +tp2454 +a(g693 +g979 +tp2455 +a(g693 +g1299 +tp2456 +a(g839 +g958 +tp2457 +a(g7 +Vwrite +p2458 +tp2459 +a(g693 +g979 +tp2460 +a(g839 +V\u000a +p2461 +tp2462 +a(g839 +V\u000a +p2463 +tp2464 +a(g839 +V +p2465 +tp2466 +a(g423 +Vtmp_option +p2467 +tp2468 +a(g839 +g958 +tp2469 +a(g400 +g981 +tp2470 +a(g400 +g1101 +tp2471 +a(g839 +g958 +tp2472 +a(g7 +Vnew +p2473 +tp2474 +a(g693 +g977 +tp2475 +a(g423 +VOption__Node__Some__1 +p2476 +tp2477 +a(g693 +g979 +tp2478 +a(g839 +V\u000a +p2479 +tp2480 +a(g839 +V +p2481 +tp2482 +a(g423 +Vtmp_option +p2483 +tp2484 +a(g693 +g1295 +tp2485 +a(g423 +VOption__Node__Some__1 +p2486 +tp2487 +a(g839 +g958 +tp2488 +a(g400 +g981 +tp2489 +a(g400 +g1101 +tp2490 +a(g839 +g958 +tp2491 +a(g423 +Vtmp_node +p2492 +tp2493 +a(g839 +V\u000a +p2494 +tp2495 +a(g839 +V +p2496 +tp2497 +a(g7 +Vassume +p2498 +tp2499 +a(g839 +g958 +tp2500 +a(g423 +VvariantOfOptionNode +p2501 +tp2502 +a(g693 +g977 +tp2503 +a(g423 +Vtmp_option +p2504 +tp2505 +a(g693 +g979 +tp2506 +a(g839 +g958 +tp2507 +a(g400 +g1101 +tp2508 +a(g400 +g1101 +tp2509 +a(g839 +g958 +tp2510 +a(g423 +VOption__Node__Some +p2511 +tp2512 +a(g693 +g977 +tp2513 +a(g693 +g979 +tp2514 +a(g839 +V\u000a +p2515 +tp2516 +a(g839 +V +p2517 +tp2518 +a(g7 +Vfold +p2519 +tp2520 +a(g839 +g958 +tp2521 +a(g7 +Vacc +p2522 +tp2523 +a(g693 +g977 +tp2524 +a(g423 +VvalidOption +p2525 +tp2526 +a(g693 +g977 +tp2527 +a(g423 +Vtmp_option +p2528 +tp2529 +a(g693 +g979 +tp2530 +a(g693 +g979 +tp2531 +a(g839 +V\u000a +p2532 +tp2533 +a(g839 +V\u000a +p2534 +tp2535 +a(g839 +V +p2536 +tp2537 +a(g423 +Vthis +p2538 +tp2539 +a(g693 +g1295 +tp2540 +a(g423 +VNode__next +p2541 +tp2542 +a(g839 +g958 +tp2543 +a(g400 +g981 +tp2544 +a(g400 +g1101 +tp2545 +a(g839 +g958 +tp2546 +a(g423 +Vtmp_option +p2547 +tp2548 +a(g839 +V\u000a +p2549 +tp2550 +a(g839 +V\u000a +p2551 +tp2552 +a(g839 +V \u000a +p2553 +tp2554 +a(g7 +Vunfold +p2555 +tp2556 +a(g839 +g958 +tp2557 +a(g423 +VvalidOption +p2558 +tp2559 +a(g693 +g977 +tp2560 +a(g423 +Vtmp_option +p2561 +tp2562 +a(g693 +g979 +tp2563 +a(g839 +V\u000a +p2564 +tp2565 +a(g839 +V +p2566 +tp2567 +a(g7 +Vassert +p2568 +tp2569 +a(g839 +g958 +tp2570 +a(g423 +Vlength +p2571 +tp2572 +a(g693 +g977 +tp2573 +a(g423 +Vtmp_node +p2574 +tp2575 +a(g693 +g979 +tp2576 +a(g839 +g958 +tp2577 +a(g400 +g1101 +tp2578 +a(g400 +g1101 +tp2579 +a(g839 +g958 +tp2580 +a(g22 +g1498 +tp2581 +a(g839 +g958 +tp2582 +a(g776 +V/* +p2583 +tp2584 +a(g776 +V TODO: Required by Silicon, POST2 fails otherwise +p2585 +tp2586 +a(g776 +V*/ +p2587 +tp2588 +a(g839 +V\u000a +p2589 +tp2590 +a(g839 +V +p2591 +tp2592 +a(g7 +Vassert +p2593 +tp2594 +a(g839 +g958 +tp2595 +a(g423 +VitemAt +p2596 +tp2597 +a(g693 +g977 +tp2598 +a(g423 +Vtmp_node +p2599 +tp2600 +a(g693 +g1299 +tp2601 +a(g839 +g958 +tp2602 +a(g22 +g1665 +tp2603 +a(g693 +g979 +tp2604 +a(g839 +g958 +tp2605 +a(g400 +g1101 +tp2606 +a(g400 +g1101 +tp2607 +a(g839 +g958 +tp2608 +a(g423 +Vval +p2609 +tp2610 +a(g839 +g958 +tp2611 +a(g776 +V/* +p2612 +tp2613 +a(g776 +V TODO: Required by Silicon, POST4 fails otherwise +p2614 +tp2615 +a(g776 +V*/ +p2616 +tp2617 +a(g839 +V\u000a +p2618 +tp2619 +a(g839 +V +p2620 +tp2621 +a(g7 +Vfold +p2622 +tp2623 +a(g839 +g958 +tp2624 +a(g423 +VvalidOption +p2625 +tp2626 +a(g693 +g977 +tp2627 +a(g423 +Vtmp_option +p2628 +tp2629 +a(g693 +g979 +tp2630 +a(g839 +V\u000a +p2631 +tp2632 +a(g839 +V +p2633 +tp2634 +a(g693 +g1088 +tp2635 +a(g839 +g958 +tp2636 +a(g7 +Velse +p2637 +tp2638 +a(g839 +g958 +tp2639 +a(g693 +g963 +tp2640 +a(g839 +V\u000a +p2641 +tp2642 +a(g839 +V +p2643 +tp2644 +a(g423 +Vappend +p2645 +tp2646 +a(g693 +g977 +tp2647 +a(g423 +Vthis +p2648 +tp2649 +a(g693 +g1295 +tp2650 +a(g423 +VNode__next +p2651 +tp2652 +a(g693 +g1295 +tp2653 +a(g423 +VOption__Node__Some__1 +p2654 +tp2655 +a(g693 +g1299 +tp2656 +a(g839 +g958 +tp2657 +a(g423 +Vval +p2658 +tp2659 +a(g693 +g979 +tp2660 +a(g839 +V\u000a +p2661 +tp2662 +a(g839 +V +p2663 +tp2664 +a(g7 +Vfold +p2665 +tp2666 +a(g839 +g958 +tp2667 +a(g7 +Vacc +p2668 +tp2669 +a(g693 +g977 +tp2670 +a(g423 +VvalidOption +p2671 +tp2672 +a(g693 +g977 +tp2673 +a(g423 +Vthis +p2674 +tp2675 +a(g693 +g1295 +tp2676 +a(g423 +VNode__next +p2677 +tp2678 +a(g693 +g979 +tp2679 +a(g693 +g1299 +tp2680 +a(g839 +g958 +tp2681 +a(g7 +Vwrite +p2682 +tp2683 +a(g693 +g979 +tp2684 +a(g839 +V\u000a +p2685 +tp2686 +a(g839 +V +p2687 +tp2688 +a(g693 +g1088 +tp2689 +a(g839 +V\u000a +p2690 +tp2691 +a(g839 +V\u000a +p2692 +tp2693 +a(g839 +V +p2694 +tp2695 +a(g7 +Vfold +p2696 +tp2697 +a(g839 +g958 +tp2698 +a(g7 +Vacc +p2699 +tp2700 +a(g693 +g977 +tp2701 +a(g423 +VvalidNode +p2702 +tp2703 +a(g693 +g977 +tp2704 +a(g423 +Vthis +p2705 +tp2706 +a(g693 +g979 +tp2707 +a(g693 +g1299 +tp2708 +a(g839 +g958 +tp2709 +a(g7 +Vwrite +p2710 +tp2711 +a(g693 +g979 +tp2712 +a(g839 +V\u000a +p2713 +tp2714 +a(g693 +g1088 +tp2715 +a(g839 +V\u000a +p2716 +tp2717 +a(g839 +V\u000a +p2718 +tp2719 +a(g7 +Vmethod +p2720 +tp2721 +a(g839 +g958 +tp2722 +a(g423 +Vprepend +p2723 +tp2724 +a(g693 +g977 +tp2725 +a(g423 +Vtail +p2726 +tp2727 +a(g400 +g981 +tp2728 +a(g839 +g958 +tp2729 +a(g881 +VRef +p2730 +tp2731 +a(g693 +g1299 +tp2732 +a(g839 +g958 +tp2733 +a(g423 +Vval +p2734 +tp2735 +a(g400 +g981 +tp2736 +a(g839 +g958 +tp2737 +a(g881 +VInt +p2738 +tp2739 +a(g693 +g979 +tp2740 +a(g839 +g958 +tp2741 +a(g7 +Vreturns +p2742 +tp2743 +a(g839 +g958 +tp2744 +a(g693 +g977 +tp2745 +a(g423 +Vres +p2746 +tp2747 +a(g400 +g981 +tp2748 +a(g839 +g958 +tp2749 +a(g881 +VRef +p2750 +tp2751 +a(g693 +g979 +tp2752 +a(g839 +V\u000a +p2753 +tp2754 +a(g839 +V +p2755 +tp2756 +a(g7 +Vrequires +p2757 +tp2758 +a(g839 +g958 +tp2759 +a(g7 +Vacc +p2760 +tp2761 +a(g693 +g977 +tp2762 +a(g423 +VvalidNode +p2763 +tp2764 +a(g693 +g977 +tp2765 +a(g423 +Vtail +p2766 +tp2767 +a(g693 +g979 +tp2768 +a(g693 +g979 +tp2769 +a(g839 +V\u000a +p2770 +tp2771 +a(g839 +V +p2772 +tp2773 +a(g7 +Vensures +p2774 +tp2775 +a(g839 +g958 +tp2776 +a(g7 +Vacc +p2777 +tp2778 +a(g693 +g977 +tp2779 +a(g423 +VvalidNode +p2780 +tp2781 +a(g693 +g977 +tp2782 +a(g423 +Vres +p2783 +tp2784 +a(g693 +g979 +tp2785 +a(g693 +g979 +tp2786 +a(g839 +V\u000a +p2787 +tp2788 +a(g839 +V +p2789 +tp2790 +a(g745 +V//ensures acc(validNode(tail))\u000a +p2791 +tp2792 +a(g839 +V +p2793 +tp2794 +a(g7 +Vensures +p2795 +tp2796 +a(g839 +g958 +tp2797 +a(g423 +Vlength +p2798 +tp2799 +a(g693 +g977 +tp2800 +a(g423 +Vres +p2801 +tp2802 +a(g693 +g979 +tp2803 +a(g839 +g958 +tp2804 +a(g400 +g1101 +tp2805 +a(g400 +g1101 +tp2806 +a(g839 +g958 +tp2807 +a(g7 +Vold +p2808 +tp2809 +a(g693 +g977 +tp2810 +a(g423 +Vlength +p2811 +tp2812 +a(g693 +g977 +tp2813 +a(g423 +Vtail +p2814 +tp2815 +a(g693 +g979 +tp2816 +a(g693 +g979 +tp2817 +a(g839 +g958 +tp2818 +a(g400 +g1585 +tp2819 +a(g839 +g958 +tp2820 +a(g22 +g1498 +tp2821 +a(g839 +V\u000a +p2822 +tp2823 +a(g839 +V\u000a +p2824 +tp2825 +a(g839 +V +p2826 +tp2827 +a(g7 +Vensures +p2828 +tp2829 +a(g839 +g958 +tp2830 +a(g693 +g977 +tp2831 +a(g7 +Vforall +p2832 +tp2833 +a(g839 +g958 +tp2834 +a(g423 +g1626 +tp2835 +a(g400 +g981 +tp2836 +a(g839 +g958 +tp2837 +a(g881 +VInt +p2838 +tp2839 +a(g839 +g958 +tp2840 +a(g400 +g981 +tp2841 +a(g400 +g981 +tp2842 +a(g839 +g958 +tp2843 +a(g693 +g977 +tp2844 +a(g22 +g1498 +tp2845 +a(g839 +g958 +tp2846 +a(g400 +g1668 +tp2847 +a(g400 +g1101 +tp2848 +a(g839 +g958 +tp2849 +a(g423 +g1626 +tp2850 +a(g839 +g958 +tp2851 +a(g400 +g1258 +tp2852 +a(g400 +g1258 +tp2853 +a(g839 +g958 +tp2854 +a(g423 +g1626 +tp2855 +a(g839 +g958 +tp2856 +a(g400 +g1668 +tp2857 +a(g839 +g958 +tp2858 +a(g423 +Vlength +p2859 +tp2860 +a(g693 +g977 +tp2861 +a(g423 +Vres +p2862 +tp2863 +a(g693 +g979 +tp2864 +a(g693 +g979 +tp2865 +a(g839 +g958 +tp2866 +a(g400 +g1101 +tp2867 +a(g400 +g1101 +tp2868 +a(g400 +g1282 +tp2869 +a(g839 +g958 +tp2870 +a(g693 +g977 +tp2871 +a(g423 +VitemAt +p2872 +tp2873 +a(g693 +g977 +tp2874 +a(g423 +Vres +p2875 +tp2876 +a(g693 +g1299 +tp2877 +a(g839 +g958 +tp2878 +a(g423 +g1626 +tp2879 +a(g693 +g979 +tp2880 +a(g839 +g958 +tp2881 +a(g400 +g1101 +tp2882 +a(g400 +g1101 +tp2883 +a(g839 +g958 +tp2884 +a(g7 +Vold +p2885 +tp2886 +a(g693 +g977 +tp2887 +a(g423 +VitemAt +p2888 +tp2889 +a(g693 +g977 +tp2890 +a(g423 +Vtail +p2891 +tp2892 +a(g693 +g1299 +tp2893 +a(g839 +g958 +tp2894 +a(g423 +g1626 +tp2895 +a(g400 +g1801 +tp2896 +a(g22 +g1498 +tp2897 +a(g693 +g979 +tp2898 +a(g693 +g979 +tp2899 +a(g693 +g979 +tp2900 +a(g693 +g979 +tp2901 +a(g839 +g958 +tp2902 +a(g776 +V/* +p2903 +tp2904 +a(g776 +V POST3 +p2905 +tp2906 +a(g776 +V*/ +p2907 +tp2908 +a(g839 +V\u000a +p2909 +tp2910 +a(g839 +V +p2911 +tp2912 +a(g7 +Vensures +p2913 +tp2914 +a(g839 +g958 +tp2915 +a(g423 +VitemAt +p2916 +tp2917 +a(g693 +g977 +tp2918 +a(g423 +Vres +p2919 +tp2920 +a(g693 +g1299 +tp2921 +a(g839 +g958 +tp2922 +a(g22 +g1665 +tp2923 +a(g693 +g979 +tp2924 +a(g839 +g958 +tp2925 +a(g400 +g1101 +tp2926 +a(g400 +g1101 +tp2927 +a(g839 +g958 +tp2928 +a(g423 +Vval +p2929 +tp2930 +a(g839 +V\u000a +p2931 +tp2932 +a(g693 +g963 +tp2933 +a(g839 +V\u000a +p2934 +tp2935 +a(g839 +V +p2936 +tp2937 +a(g7 +Vvar +p2938 +tp2939 +a(g839 +g958 +tp2940 +a(g423 +Vtmp_option +p2941 +tp2942 +a(g400 +g981 +tp2943 +a(g839 +g958 +tp2944 +a(g881 +VRef +p2945 +tp2946 +a(g839 +V\u000a +p2947 +tp2948 +a(g839 +V\u000a +p2949 +tp2950 +a(g839 +V +p2951 +tp2952 +a(g423 +Vres +p2953 +tp2954 +a(g839 +g958 +tp2955 +a(g400 +g981 +tp2956 +a(g400 +g1101 +tp2957 +a(g839 +g958 +tp2958 +a(g7 +Vnew +p2959 +tp2960 +a(g693 +g977 +tp2961 +a(g423 +VNode__v +p2962 +tp2963 +a(g693 +g1299 +tp2964 +a(g839 +g958 +tp2965 +a(g423 +VNode__next +p2966 +tp2967 +a(g693 +g979 +tp2968 +a(g839 +V\u000a +p2969 +tp2970 +a(g839 +V +p2971 +tp2972 +a(g423 +Vres +p2973 +tp2974 +a(g693 +g1295 +tp2975 +a(g423 +VNode__v +p2976 +tp2977 +a(g839 +g958 +tp2978 +a(g400 +g981 +tp2979 +a(g400 +g1101 +tp2980 +a(g839 +g958 +tp2981 +a(g423 +Vval +p2982 +tp2983 +a(g839 +V\u000a +p2984 +tp2985 +a(g839 +V\u000a +p2986 +tp2987 +a(g839 +V +p2988 +tp2989 +a(g423 +Vtmp_option +p2990 +tp2991 +a(g839 +g958 +tp2992 +a(g400 +g981 +tp2993 +a(g400 +g1101 +tp2994 +a(g839 +g958 +tp2995 +a(g7 +Vnew +p2996 +tp2997 +a(g693 +g977 +tp2998 +a(g423 +VOption__Node__Some__1 +p2999 +tp3000 +a(g693 +g979 +tp3001 +a(g839 +V\u000a +p3002 +tp3003 +a(g839 +V +p3004 +tp3005 +a(g423 +Vtmp_option +p3006 +tp3007 +a(g693 +g1295 +tp3008 +a(g423 +VOption__Node__Some__1 +p3009 +tp3010 +a(g839 +g958 +tp3011 +a(g400 +g981 +tp3012 +a(g400 +g1101 +tp3013 +a(g839 +g958 +tp3014 +a(g423 +Vtail +p3015 +tp3016 +a(g839 +V\u000a +p3017 +tp3018 +a(g839 +V +p3019 +tp3020 +a(g7 +Vassume +p3021 +tp3022 +a(g839 +g958 +tp3023 +a(g423 +VvariantOfOptionNode +p3024 +tp3025 +a(g693 +g977 +tp3026 +a(g423 +Vtmp_option +p3027 +tp3028 +a(g693 +g979 +tp3029 +a(g839 +g958 +tp3030 +a(g400 +g1101 +tp3031 +a(g400 +g1101 +tp3032 +a(g839 +g958 +tp3033 +a(g423 +VOption__Node__Some +p3034 +tp3035 +a(g693 +g977 +tp3036 +a(g693 +g979 +tp3037 +a(g839 +V\u000a +p3038 +tp3039 +a(g839 +V\u000a +p3040 +tp3041 +a(g839 +V +p3042 +tp3043 +a(g423 +Vres +p3044 +tp3045 +a(g693 +g1295 +tp3046 +a(g423 +VNode__next +p3047 +tp3048 +a(g839 +g958 +tp3049 +a(g400 +g981 +tp3050 +a(g400 +g1101 +tp3051 +a(g839 +g958 +tp3052 +a(g423 +Vtmp_option +p3053 +tp3054 +a(g839 +V\u000a +p3055 +tp3056 +a(g839 +V\u000a +p3057 +tp3058 +a(g839 +V +p3059 +tp3060 +a(g7 +Vassert +p3061 +tp3062 +a(g839 +g958 +tp3063 +a(g7 +Vacc +p3064 +tp3065 +a(g693 +g977 +tp3066 +a(g423 +VvalidNode +p3067 +tp3068 +a(g693 +g977 +tp3069 +a(g423 +Vtail +p3070 +tp3071 +a(g693 +g979 +tp3072 +a(g693 +g979 +tp3073 +a(g839 +V\u000a +p3074 +tp3075 +a(g839 +V +p3076 +tp3077 +a(g7 +Vfold +p3078 +tp3079 +a(g839 +g958 +tp3080 +a(g7 +Vacc +p3081 +tp3082 +a(g693 +g977 +tp3083 +a(g423 +VvalidOption +p3084 +tp3085 +a(g693 +g977 +tp3086 +a(g423 +Vres +p3087 +tp3088 +a(g693 +g1295 +tp3089 +a(g423 +VNode__next +p3090 +tp3091 +a(g693 +g979 +tp3092 +a(g693 +g979 +tp3093 +a(g839 +V\u000a +p3094 +tp3095 +a(g839 +V +p3096 +tp3097 +a(g7 +Vfold +p3098 +tp3099 +a(g839 +g958 +tp3100 +a(g7 +Vacc +p3101 +tp3102 +a(g693 +g977 +tp3103 +a(g423 +VvalidNode +p3104 +tp3105 +a(g693 +g977 +tp3106 +a(g423 +Vres +p3107 +tp3108 +a(g693 +g979 +tp3109 +a(g693 +g979 +tp3110 +a(g839 +V\u000a +p3111 +tp3112 +a(g693 +g1088 +tp3113 +a(g839 +V\u000a +p3114 +tp3115 +a(g839 +V\u000a +p3116 +tp3117 +a(g7 +Vmethod +p3118 +tp3119 +a(g839 +g958 +tp3120 +a(g423 +Vlength_iter +p3121 +tp3122 +a(g693 +g977 +tp3123 +a(g423 +Vlist +p3124 +tp3125 +a(g400 +g981 +tp3126 +a(g839 +g958 +tp3127 +a(g881 +VRef +p3128 +tp3129 +a(g693 +g979 +tp3130 +a(g839 +g958 +tp3131 +a(g7 +Vreturns +p3132 +tp3133 +a(g839 +g958 +tp3134 +a(g693 +g977 +tp3135 +a(g423 +Vlen +p3136 +tp3137 +a(g400 +g981 +tp3138 +a(g839 +g958 +tp3139 +a(g881 +VInt +p3140 +tp3141 +a(g693 +g979 +tp3142 +a(g839 +V\u000a +p3143 +tp3144 +a(g839 +V +p3145 +tp3146 +a(g7 +Vrequires +p3147 +tp3148 +a(g839 +g958 +tp3149 +a(g7 +Vacc +p3150 +tp3151 +a(g693 +g977 +tp3152 +a(g423 +VvalidNode +p3153 +tp3154 +a(g693 +g977 +tp3155 +a(g423 +Vlist +p3156 +tp3157 +a(g693 +g979 +tp3158 +a(g693 +g1299 +tp3159 +a(g839 +g958 +tp3160 +a(g7 +Vwrite +p3161 +tp3162 +a(g693 +g979 +tp3163 +a(g839 +V\u000a +p3164 +tp3165 +a(g839 +V +p3166 +tp3167 +a(g7 +Vensures +p3168 +tp3169 +a(g839 +g958 +tp3170 +a(g7 +Vold +p3171 +tp3172 +a(g693 +g977 +tp3173 +a(g423 +Vlength +p3174 +tp3175 +a(g693 +g977 +tp3176 +a(g423 +Vlist +p3177 +tp3178 +a(g693 +g979 +tp3179 +a(g693 +g979 +tp3180 +a(g839 +g958 +tp3181 +a(g400 +g1101 +tp3182 +a(g400 +g1101 +tp3183 +a(g839 +g958 +tp3184 +a(g423 +Vlen +p3185 +tp3186 +a(g839 +V\u000a +p3187 +tp3188 +a(g839 +V +p3189 +tp3190 +a(g745 +V// TODO we have to preserve this property\u000a +p3191 +tp3192 +a(g839 +V +p3193 +tp3194 +a(g745 +V// ensures acc(validNode(list))\u000a +p3195 +tp3196 +a(g693 +g963 +tp3197 +a(g839 +V\u000a +p3198 +tp3199 +a(g839 +V +p3200 +tp3201 +a(g7 +Vvar +p3202 +tp3203 +a(g839 +g958 +tp3204 +a(g423 +Vcurr +p3205 +tp3206 +a(g400 +g981 +tp3207 +a(g839 +g958 +tp3208 +a(g881 +VRef +p3209 +tp3210 +a(g839 +g958 +tp3211 +a(g400 +g981 +tp3212 +a(g400 +g1101 +tp3213 +a(g839 +g958 +tp3214 +a(g423 +Vlist +p3215 +tp3216 +a(g839 +V\u000a +p3217 +tp3218 +a(g839 +V +p3219 +tp3220 +a(g7 +Vvar +p3221 +tp3222 +a(g839 +g958 +tp3223 +a(g423 +Vtmp +p3224 +tp3225 +a(g400 +g981 +tp3226 +a(g839 +g958 +tp3227 +a(g881 +VRef +p3228 +tp3229 +a(g839 +g958 +tp3230 +a(g400 +g981 +tp3231 +a(g400 +g1101 +tp3232 +a(g839 +g958 +tp3233 +a(g423 +Vlist +p3234 +tp3235 +a(g839 +V\u000a +p3236 +tp3237 +a(g839 +V\u000a +p3238 +tp3239 +a(g839 +V +p3240 +tp3241 +a(g423 +Vlen +p3242 +tp3243 +a(g839 +g958 +tp3244 +a(g400 +g981 +tp3245 +a(g400 +g1101 +tp3246 +a(g839 +g958 +tp3247 +a(g22 +g1498 +tp3248 +a(g839 +V\u000a +p3249 +tp3250 +a(g839 +V\u000a +p3251 +tp3252 +a(g839 +V +p3253 +tp3254 +a(g7 +Vunfold +p3255 +tp3256 +a(g839 +g958 +tp3257 +a(g7 +Vacc +p3258 +tp3259 +a(g693 +g977 +tp3260 +a(g423 +VvalidNode +p3261 +tp3262 +a(g693 +g977 +tp3263 +a(g423 +Vcurr +p3264 +tp3265 +a(g693 +g979 +tp3266 +a(g693 +g979 +tp3267 +a(g839 +V\u000a +p3268 +tp3269 +a(g839 +V +p3270 +tp3271 +a(g7 +Vunfold +p3272 +tp3273 +a(g839 +g958 +tp3274 +a(g7 +Vacc +p3275 +tp3276 +a(g693 +g977 +tp3277 +a(g423 +VvalidOption +p3278 +tp3279 +a(g693 +g977 +tp3280 +a(g423 +Vcurr +p3281 +tp3282 +a(g693 +g1295 +tp3283 +a(g423 +VNode__next +p3284 +tp3285 +a(g693 +g979 +tp3286 +a(g693 +g979 +tp3287 +a(g839 +V\u000a +p3288 +tp3289 +a(g839 +V +p3290 +tp3291 +a(g7 +Vwhile +p3292 +tp3293 +a(g693 +g977 +tp3294 +a(g423 +VvariantOfOptionNode +p3295 +tp3296 +a(g693 +g977 +tp3297 +a(g423 +Vcurr +p3298 +tp3299 +a(g693 +g1295 +tp3300 +a(g423 +VNode__next +p3301 +tp3302 +a(g693 +g979 +tp3303 +a(g839 +g958 +tp3304 +a(g400 +g1101 +tp3305 +a(g400 +g1101 +tp3306 +a(g839 +g958 +tp3307 +a(g423 +VOption__Node__Some +p3308 +tp3309 +a(g693 +g977 +tp3310 +a(g693 +g979 +tp3311 +a(g693 +g979 +tp3312 +a(g839 +V\u000a +p3313 +tp3314 +a(g839 +V +p3315 +tp3316 +a(g7 +Vinvariant +p3317 +tp3318 +a(g839 +g958 +tp3319 +a(g7 +Vacc +p3320 +tp3321 +a(g693 +g977 +tp3322 +a(g423 +Vcurr +p3323 +tp3324 +a(g693 +g1295 +tp3325 +a(g423 +VNode__v +p3326 +tp3327 +a(g693 +g979 +tp3328 +a(g839 +V\u000a +p3329 +tp3330 +a(g839 +V +p3331 +tp3332 +a(g7 +Vinvariant +p3333 +tp3334 +a(g839 +g958 +tp3335 +a(g7 +Vacc +p3336 +tp3337 +a(g693 +g977 +tp3338 +a(g423 +Vcurr +p3339 +tp3340 +a(g693 +g1295 +tp3341 +a(g423 +VNode__next +p3342 +tp3343 +a(g693 +g979 +tp3344 +a(g839 +V\u000a +p3345 +tp3346 +a(g839 +V +p3347 +tp3348 +a(g7 +Vinvariant +p3349 +tp3350 +a(g839 +g958 +tp3351 +a(g693 +g977 +tp3352 +a(g423 +VvariantOfOptionNode +p3353 +tp3354 +a(g693 +g977 +tp3355 +a(g423 +Vcurr +p3356 +tp3357 +a(g693 +g1295 +tp3358 +a(g423 +VNode__next +p3359 +tp3360 +a(g693 +g979 +tp3361 +a(g839 +g958 +tp3362 +a(g400 +g1101 +tp3363 +a(g400 +g1101 +tp3364 +a(g839 +g958 +tp3365 +a(g423 +VOption__Node__Some +p3366 +tp3367 +a(g693 +g977 +tp3368 +a(g693 +g979 +tp3369 +a(g839 +g958 +tp3370 +a(g400 +g1101 +tp3371 +a(g400 +g1101 +tp3372 +a(g400 +g1282 +tp3373 +a(g839 +g958 +tp3374 +a(g693 +g977 +tp3375 +a(g839 +V\u000a +p3376 +tp3377 +a(g839 +V +p3378 +tp3379 +a(g7 +Vacc +p3380 +tp3381 +a(g693 +g977 +tp3382 +a(g423 +Vcurr +p3383 +tp3384 +a(g693 +g1295 +tp3385 +a(g423 +VNode__next +p3386 +tp3387 +a(g693 +g1295 +tp3388 +a(g423 +VOption__Node__Some__1 +p3389 +tp3390 +a(g693 +g1299 +tp3391 +a(g839 +g958 +tp3392 +a(g7 +Vwrite +p3393 +tp3394 +a(g693 +g979 +tp3395 +a(g839 +g958 +tp3396 +a(g400 +g1258 +tp3397 +a(g400 +g1258 +tp3398 +a(g839 +V\u000a +p3399 +tp3400 +a(g839 +V +p3401 +tp3402 +a(g7 +Vacc +p3403 +tp3404 +a(g693 +g977 +tp3405 +a(g423 +VvalidNode +p3406 +tp3407 +a(g693 +g977 +tp3408 +a(g423 +Vcurr +p3409 +tp3410 +a(g693 +g1295 +tp3411 +a(g423 +VNode__next +p3412 +tp3413 +a(g693 +g1295 +tp3414 +a(g423 +VOption__Node__Some__1 +p3415 +tp3416 +a(g693 +g979 +tp3417 +a(g693 +g979 +tp3418 +a(g839 +V\u000a +p3419 +tp3420 +a(g839 +V +p3421 +tp3422 +a(g693 +g979 +tp3423 +a(g693 +g979 +tp3424 +a(g839 +V\u000a +p3425 +tp3426 +a(g839 +V +p3427 +tp3428 +a(g7 +Vinvariant +p3429 +tp3430 +a(g839 +g958 +tp3431 +a(g693 +g977 +tp3432 +a(g423 +VvariantOfOptionNode +p3433 +tp3434 +a(g693 +g977 +tp3435 +a(g423 +Vcurr +p3436 +tp3437 +a(g693 +g1295 +tp3438 +a(g423 +VNode__next +p3439 +tp3440 +a(g693 +g979 +tp3441 +a(g839 +g958 +tp3442 +a(g400 +g1101 +tp3443 +a(g400 +g1101 +tp3444 +a(g839 +g958 +tp3445 +a(g423 +VOption__Node__Some +p3446 +tp3447 +a(g693 +g977 +tp3448 +a(g693 +g979 +tp3449 +a(g839 +g958 +tp3450 +a(g400 +g1101 +tp3451 +a(g400 +g1101 +tp3452 +a(g400 +g1282 +tp3453 +a(g839 +g958 +tp3454 +a(g423 +Vlen +p3455 +tp3456 +a(g839 +g958 +tp3457 +a(g400 +g1585 +tp3458 +a(g839 +g958 +tp3459 +a(g423 +Vlength +p3460 +tp3461 +a(g693 +g977 +tp3462 +a(g423 +Vcurr +p3463 +tp3464 +a(g693 +g1295 +tp3465 +a(g423 +VNode__next +p3466 +tp3467 +a(g693 +g1295 +tp3468 +a(g423 +VOption__Node__Some__1 +p3469 +tp3470 +a(g693 +g979 +tp3471 +a(g839 +g958 +tp3472 +a(g400 +g1101 +tp3473 +a(g400 +g1101 +tp3474 +a(g839 +g958 +tp3475 +a(g7 +Vold +p3476 +tp3477 +a(g693 +g977 +tp3478 +a(g423 +Vlength +p3479 +tp3480 +a(g693 +g977 +tp3481 +a(g423 +Vlist +p3482 +tp3483 +a(g693 +g979 +tp3484 +a(g693 +g979 +tp3485 +a(g693 +g979 +tp3486 +a(g839 +V\u000a +p3487 +tp3488 +a(g839 +V +p3489 +tp3490 +a(g7 +Vinvariant +p3491 +tp3492 +a(g839 +g958 +tp3493 +a(g693 +g977 +tp3494 +a(g423 +VvariantOfOptionNode +p3495 +tp3496 +a(g693 +g977 +tp3497 +a(g423 +Vcurr +p3498 +tp3499 +a(g693 +g1295 +tp3500 +a(g423 +VNode__next +p3501 +tp3502 +a(g693 +g979 +tp3503 +a(g839 +g958 +tp3504 +a(g400 +g1101 +tp3505 +a(g400 +g1101 +tp3506 +a(g839 +g958 +tp3507 +a(g423 +VOption__Node__None +p3508 +tp3509 +a(g693 +g977 +tp3510 +a(g693 +g979 +tp3511 +a(g839 +g958 +tp3512 +a(g400 +g1101 +tp3513 +a(g400 +g1101 +tp3514 +a(g400 +g1282 +tp3515 +a(g839 +g958 +tp3516 +a(g423 +Vlen +p3517 +tp3518 +a(g839 +g958 +tp3519 +a(g400 +g1101 +tp3520 +a(g400 +g1101 +tp3521 +a(g839 +g958 +tp3522 +a(g7 +Vold +p3523 +tp3524 +a(g693 +g977 +tp3525 +a(g423 +Vlength +p3526 +tp3527 +a(g693 +g977 +tp3528 +a(g423 +Vlist +p3529 +tp3530 +a(g693 +g979 +tp3531 +a(g693 +g979 +tp3532 +a(g693 +g979 +tp3533 +a(g839 +V\u000a +p3534 +tp3535 +a(g839 +V +p3536 +tp3537 +a(g693 +g963 +tp3538 +a(g839 +V\u000a +p3539 +tp3540 +a(g839 +V +p3541 +tp3542 +a(g7 +Vassert +p3543 +tp3544 +a(g839 +g958 +tp3545 +a(g7 +Vacc +p3546 +tp3547 +a(g693 +g977 +tp3548 +a(g423 +VvalidNode +p3549 +tp3550 +a(g693 +g977 +tp3551 +a(g423 +Vcurr +p3552 +tp3553 +a(g693 +g1295 +tp3554 +a(g423 +VNode__next +p3555 +tp3556 +a(g693 +g1295 +tp3557 +a(g423 +VOption__Node__Some__1 +p3558 +tp3559 +a(g693 +g979 +tp3560 +a(g693 +g979 +tp3561 +a(g839 +V\u000a +p3562 +tp3563 +a(g839 +V +p3564 +tp3565 +a(g423 +Vlen +p3566 +tp3567 +a(g839 +g958 +tp3568 +a(g400 +g981 +tp3569 +a(g400 +g1101 +tp3570 +a(g839 +g958 +tp3571 +a(g423 +Vlen +p3572 +tp3573 +a(g839 +g958 +tp3574 +a(g400 +g1585 +tp3575 +a(g839 +g958 +tp3576 +a(g22 +g1498 +tp3577 +a(g839 +V\u000a +p3578 +tp3579 +a(g839 +V +p3580 +tp3581 +a(g423 +Vtmp +p3582 +tp3583 +a(g839 +g958 +tp3584 +a(g400 +g981 +tp3585 +a(g400 +g1101 +tp3586 +a(g839 +g958 +tp3587 +a(g423 +Vcurr +p3588 +tp3589 +a(g839 +V\u000a +p3590 +tp3591 +a(g839 +V +p3592 +tp3593 +a(g423 +Vcurr +p3594 +tp3595 +a(g839 +g958 +tp3596 +a(g400 +g981 +tp3597 +a(g400 +g1101 +tp3598 +a(g839 +g958 +tp3599 +a(g423 +Vcurr +p3600 +tp3601 +a(g693 +g1295 +tp3602 +a(g423 +VNode__next +p3603 +tp3604 +a(g693 +g1295 +tp3605 +a(g423 +VOption__Node__Some__1 +p3606 +tp3607 +a(g839 +V\u000a +p3608 +tp3609 +a(g839 +V +p3610 +tp3611 +a(g7 +Vunfold +p3612 +tp3613 +a(g839 +g958 +tp3614 +a(g7 +Vacc +p3615 +tp3616 +a(g693 +g977 +tp3617 +a(g423 +VvalidNode +p3618 +tp3619 +a(g693 +g977 +tp3620 +a(g423 +Vcurr +p3621 +tp3622 +a(g693 +g979 +tp3623 +a(g693 +g979 +tp3624 +a(g839 +V\u000a +p3625 +tp3626 +a(g839 +V +p3627 +tp3628 +a(g7 +Vunfold +p3629 +tp3630 +a(g839 +g958 +tp3631 +a(g7 +Vacc +p3632 +tp3633 +a(g693 +g977 +tp3634 +a(g423 +VvalidOption +p3635 +tp3636 +a(g693 +g977 +tp3637 +a(g423 +Vcurr +p3638 +tp3639 +a(g693 +g1295 +tp3640 +a(g423 +VNode__next +p3641 +tp3642 +a(g693 +g979 +tp3643 +a(g693 +g979 +tp3644 +a(g839 +V\u000a +p3645 +tp3646 +a(g839 +V +p3647 +tp3648 +a(g693 +g1088 +tp3649 +a(g839 +V\u000a +p3650 +tp3651 +a(g693 +g1088 +tp3652 +a(g839 +V\u000a +p3653 +tp3654 +a(g839 +V\u000a +p3655 +tp3656 +a(g7 +Vmethod +p3657 +tp3658 +a(g839 +g958 +tp3659 +a(g423 +Vt1 +p3660 +tp3661 +a(g693 +g977 +tp3662 +a(g693 +g979 +tp3663 +a(g839 +V\u000a +p3664 +tp3665 +a(g693 +g963 +tp3666 +a(g839 +V\u000a +p3667 +tp3668 +a(g839 +V +p3669 +tp3670 +a(g7 +Vvar +p3671 +tp3672 +a(g839 +g958 +tp3673 +a(g423 +Vl +p3674 +tp3675 +a(g400 +g981 +tp3676 +a(g839 +g958 +tp3677 +a(g881 +VRef +p3678 +tp3679 +a(g839 +V\u000a +p3680 +tp3681 +a(g839 +V\u000a +p3682 +tp3683 +a(g839 +V +p3684 +tp3685 +a(g423 +g3674 +tp3686 +a(g839 +g958 +tp3687 +a(g400 +g981 +tp3688 +a(g400 +g1101 +tp3689 +a(g839 +g958 +tp3690 +a(g7 +Vnew +p3691 +tp3692 +a(g693 +g977 +tp3693 +a(g423 +VNode__v +p3694 +tp3695 +a(g693 +g1299 +tp3696 +a(g839 +g958 +tp3697 +a(g423 +VNode__next +p3698 +tp3699 +a(g693 +g979 +tp3700 +a(g839 +V\u000a +p3701 +tp3702 +a(g839 +V +p3703 +tp3704 +a(g423 +g3674 +tp3705 +a(g693 +g1295 +tp3706 +a(g423 +VNode__next +p3707 +tp3708 +a(g839 +g958 +tp3709 +a(g400 +g981 +tp3710 +a(g400 +g1101 +tp3711 +a(g839 +g958 +tp3712 +a(g7 +Vnull +p3713 +tp3714 +a(g839 +V\u000a +p3715 +tp3716 +a(g839 +V +p3717 +tp3718 +a(g423 +g3674 +tp3719 +a(g693 +g1295 +tp3720 +a(g423 +VNode__v +p3721 +tp3722 +a(g839 +g958 +tp3723 +a(g400 +g981 +tp3724 +a(g400 +g1101 +tp3725 +a(g839 +g958 +tp3726 +a(g22 +g1498 +tp3727 +a(g839 +V\u000a +p3728 +tp3729 +a(g839 +V +p3730 +tp3731 +a(g7 +Vassume +p3732 +tp3733 +a(g839 +g958 +tp3734 +a(g423 +VvariantOfOptionNode +p3735 +tp3736 +a(g693 +g977 +tp3737 +a(g423 +g3674 +tp3738 +a(g693 +g1295 +tp3739 +a(g423 +VNode__next +p3740 +tp3741 +a(g693 +g979 +tp3742 +a(g839 +g958 +tp3743 +a(g400 +g1101 +tp3744 +a(g400 +g1101 +tp3745 +a(g839 +g958 +tp3746 +a(g423 +VOption__Node__None +p3747 +tp3748 +a(g693 +g977 +tp3749 +a(g693 +g979 +tp3750 +a(g839 +V\u000a +p3751 +tp3752 +a(g839 +V\u000a +p3753 +tp3754 +a(g839 +V +p3755 +tp3756 +a(g7 +Vfold +p3757 +tp3758 +a(g839 +g958 +tp3759 +a(g423 +VvalidOption +p3760 +tp3761 +a(g693 +g977 +tp3762 +a(g423 +g3674 +tp3763 +a(g693 +g1295 +tp3764 +a(g423 +VNode__next +p3765 +tp3766 +a(g693 +g979 +tp3767 +a(g839 +V\u000a +p3768 +tp3769 +a(g839 +V +p3770 +tp3771 +a(g7 +Vfold +p3772 +tp3773 +a(g839 +g958 +tp3774 +a(g423 +VvalidNode +p3775 +tp3776 +a(g693 +g977 +tp3777 +a(g423 +g3674 +tp3778 +a(g693 +g979 +tp3779 +a(g839 +V\u000a +p3780 +tp3781 +a(g839 +V\u000a +p3782 +tp3783 +a(g839 +V +p3784 +tp3785 +a(g7 +Vassert +p3786 +tp3787 +a(g839 +g958 +tp3788 +a(g423 +Vlength +p3789 +tp3790 +a(g693 +g977 +tp3791 +a(g423 +g3674 +tp3792 +a(g693 +g979 +tp3793 +a(g839 +g958 +tp3794 +a(g400 +g1101 +tp3795 +a(g400 +g1101 +tp3796 +a(g839 +g958 +tp3797 +a(g22 +g1498 +tp3798 +a(g839 +V\u000a +p3799 +tp3800 +a(g839 +V +p3801 +tp3802 +a(g7 +Vassert +p3803 +tp3804 +a(g839 +g958 +tp3805 +a(g423 +VitemAt +p3806 +tp3807 +a(g693 +g977 +tp3808 +a(g423 +g3674 +tp3809 +a(g693 +g1299 +tp3810 +a(g839 +g958 +tp3811 +a(g22 +g1665 +tp3812 +a(g693 +g979 +tp3813 +a(g839 +g958 +tp3814 +a(g400 +g1101 +tp3815 +a(g400 +g1101 +tp3816 +a(g839 +g958 +tp3817 +a(g22 +g1498 +tp3818 +a(g839 +V\u000a +p3819 +tp3820 +a(g839 +V\u000a +p3821 +tp3822 +a(g839 +V +p3823 +tp3824 +a(g423 +Vappend +p3825 +tp3826 +a(g693 +g977 +tp3827 +a(g423 +g3674 +tp3828 +a(g693 +g1299 +tp3829 +a(g839 +g958 +tp3830 +a(g22 +V7 +p3831 +tp3832 +a(g693 +g979 +tp3833 +a(g839 +V\u000a +p3834 +tp3835 +a(g839 +V +p3836 +tp3837 +a(g7 +Vassert +p3838 +tp3839 +a(g839 +g958 +tp3840 +a(g423 +VitemAt +p3841 +tp3842 +a(g693 +g977 +tp3843 +a(g423 +g3674 +tp3844 +a(g693 +g1299 +tp3845 +a(g839 +g958 +tp3846 +a(g22 +g1498 +tp3847 +a(g693 +g979 +tp3848 +a(g839 +g958 +tp3849 +a(g400 +g1101 +tp3850 +a(g400 +g1101 +tp3851 +a(g839 +g958 +tp3852 +a(g22 +g3831 +tp3853 +a(g839 +V\u000a +p3854 +tp3855 +a(g839 +V +p3856 +tp3857 +a(g7 +Vassert +p3858 +tp3859 +a(g839 +g958 +tp3860 +a(g423 +VitemAt +p3861 +tp3862 +a(g693 +g977 +tp3863 +a(g423 +g3674 +tp3864 +a(g693 +g1299 +tp3865 +a(g839 +g958 +tp3866 +a(g22 +g1665 +tp3867 +a(g693 +g979 +tp3868 +a(g839 +g958 +tp3869 +a(g400 +g1101 +tp3870 +a(g400 +g1101 +tp3871 +a(g839 +g958 +tp3872 +a(g22 +g1498 +tp3873 +a(g839 +V\u000a +p3874 +tp3875 +a(g839 +V +p3876 +tp3877 +a(g7 +Vassert +p3878 +tp3879 +a(g839 +g958 +tp3880 +a(g423 +Vlength +p3881 +tp3882 +a(g693 +g977 +tp3883 +a(g423 +g3674 +tp3884 +a(g693 +g979 +tp3885 +a(g839 +g958 +tp3886 +a(g400 +g1101 +tp3887 +a(g400 +g1101 +tp3888 +a(g839 +g958 +tp3889 +a(g22 +V2 +p3890 +tp3891 +a(g839 +V\u000a +p3892 +tp3893 +a(g839 +V\u000a +p3894 +tp3895 +a(g839 +V +p3896 +tp3897 +a(g423 +g3674 +tp3898 +a(g839 +g958 +tp3899 +a(g400 +g981 +tp3900 +a(g400 +g1101 +tp3901 +a(g839 +g958 +tp3902 +a(g423 +Vprepend +p3903 +tp3904 +a(g693 +g977 +tp3905 +a(g423 +g3674 +tp3906 +a(g693 +g1299 +tp3907 +a(g839 +g958 +tp3908 +a(g22 +V10 +p3909 +tp3910 +a(g693 +g979 +tp3911 +a(g839 +V\u000a +p3912 +tp3913 +a(g839 +V +p3914 +tp3915 +a(g7 +Vassert +p3916 +tp3917 +a(g839 +g958 +tp3918 +a(g423 +VitemAt +p3919 +tp3920 +a(g693 +g977 +tp3921 +a(g423 +g3674 +tp3922 +a(g693 +g1299 +tp3923 +a(g839 +g958 +tp3924 +a(g22 +g3890 +tp3925 +a(g693 +g979 +tp3926 +a(g839 +g958 +tp3927 +a(g400 +g1101 +tp3928 +a(g400 +g1101 +tp3929 +a(g839 +g958 +tp3930 +a(g22 +g3831 +tp3931 +a(g839 +V\u000a +p3932 +tp3933 +a(g839 +V +p3934 +tp3935 +a(g7 +Vassert +p3936 +tp3937 +a(g839 +g958 +tp3938 +a(g423 +VitemAt +p3939 +tp3940 +a(g693 +g977 +tp3941 +a(g423 +g3674 +tp3942 +a(g693 +g1299 +tp3943 +a(g839 +g958 +tp3944 +a(g22 +g1498 +tp3945 +a(g693 +g979 +tp3946 +a(g839 +g958 +tp3947 +a(g400 +g1101 +tp3948 +a(g400 +g1101 +tp3949 +a(g839 +g958 +tp3950 +a(g22 +g1498 +tp3951 +a(g839 +V\u000a +p3952 +tp3953 +a(g839 +V +p3954 +tp3955 +a(g7 +Vassert +p3956 +tp3957 +a(g839 +g958 +tp3958 +a(g423 +VitemAt +p3959 +tp3960 +a(g693 +g977 +tp3961 +a(g423 +g3674 +tp3962 +a(g693 +g1299 +tp3963 +a(g839 +g958 +tp3964 +a(g22 +g1665 +tp3965 +a(g693 +g979 +tp3966 +a(g839 +g958 +tp3967 +a(g400 +g1101 +tp3968 +a(g400 +g1101 +tp3969 +a(g839 +g958 +tp3970 +a(g22 +V10 +p3971 +tp3972 +a(g839 +V\u000a +p3973 +tp3974 +a(g839 +V +p3975 +tp3976 +a(g7 +Vassert +p3977 +tp3978 +a(g839 +g958 +tp3979 +a(g423 +Vlength +p3980 +tp3981 +a(g693 +g977 +tp3982 +a(g423 +g3674 +tp3983 +a(g693 +g979 +tp3984 +a(g839 +g958 +tp3985 +a(g400 +g1101 +tp3986 +a(g400 +g1101 +tp3987 +a(g839 +g958 +tp3988 +a(g22 +V3 +p3989 +tp3990 +a(g839 +V\u000a +p3991 +tp3992 +a(g839 +V\u000a +p3993 +tp3994 +a(g839 +V +p3995 +tp3996 +a(g745 +V//assert sum(l) == 18\u000a +p3997 +tp3998 +a(g693 +g1088 +tp3999 +a(g839 +V\u000a +p4000 +tp4001 +a(g839 +V\u000a +p4002 +tp4003 +a(g7 +Vmethod +p4004 +tp4005 +a(g839 +g958 +tp4006 +a(g423 +Vt2 +p4007 +tp4008 +a(g693 +g977 +tp4009 +a(g423 +g3674 +tp4010 +a(g400 +g981 +tp4011 +a(g839 +g958 +tp4012 +a(g881 +VRef +p4013 +tp4014 +a(g693 +g979 +tp4015 +a(g839 +g958 +tp4016 +a(g7 +Vreturns +p4017 +tp4018 +a(g839 +g958 +tp4019 +a(g693 +g977 +tp4020 +a(g423 +Vres +p4021 +tp4022 +a(g400 +g981 +tp4023 +a(g839 +g958 +tp4024 +a(g881 +VRef +p4025 +tp4026 +a(g693 +g979 +tp4027 +a(g839 +V\u000a +p4028 +tp4029 +a(g839 +V +p4030 +tp4031 +a(g7 +Vrequires +p4032 +tp4033 +a(g839 +g958 +tp4034 +a(g7 +Vacc +p4035 +tp4036 +a(g693 +g977 +tp4037 +a(g423 +VvalidNode +p4038 +tp4039 +a(g693 +g977 +tp4040 +a(g423 +g3674 +tp4041 +a(g693 +g979 +tp4042 +a(g693 +g1299 +tp4043 +a(g839 +g958 +tp4044 +a(g7 +Vwrite +p4045 +tp4046 +a(g693 +g979 +tp4047 +a(g839 +V\u000a +p4048 +tp4049 +a(g839 +V +p4050 +tp4051 +a(g7 +Vensures +p4052 +tp4053 +a(g839 +g958 +tp4054 +a(g7 +Vacc +p4055 +tp4056 +a(g693 +g977 +tp4057 +a(g423 +VvalidNode +p4058 +tp4059 +a(g693 +g977 +tp4060 +a(g423 +Vres +p4061 +tp4062 +a(g693 +g979 +tp4063 +a(g693 +g1299 +tp4064 +a(g839 +g958 +tp4065 +a(g7 +Vwrite +p4066 +tp4067 +a(g693 +g979 +tp4068 +a(g839 +V\u000a +p4069 +tp4070 +a(g839 +V +p4071 +tp4072 +a(g7 +Vensures +p4073 +tp4074 +a(g839 +g958 +tp4075 +a(g423 +Vlength +p4076 +tp4077 +a(g693 +g977 +tp4078 +a(g423 +Vres +p4079 +tp4080 +a(g693 +g979 +tp4081 +a(g839 +g958 +tp4082 +a(g400 +g1282 +tp4083 +a(g839 +g958 +tp4084 +a(g7 +Vold +p4085 +tp4086 +a(g693 +g977 +tp4087 +a(g423 +Vlength +p4088 +tp4089 +a(g693 +g977 +tp4090 +a(g423 +g3674 +tp4091 +a(g693 +g979 +tp4092 +a(g693 +g979 +tp4093 +a(g839 +V\u000a +p4094 +tp4095 +a(g693 +g963 +tp4096 +a(g839 +V\u000a +p4097 +tp4098 +a(g839 +V +p4099 +tp4100 +a(g423 +Vres +p4101 +tp4102 +a(g839 +g958 +tp4103 +a(g400 +g981 +tp4104 +a(g400 +g1101 +tp4105 +a(g839 +g958 +tp4106 +a(g423 +Vprepend +p4107 +tp4108 +a(g693 +g977 +tp4109 +a(g423 +g3674 +tp4110 +a(g693 +g1299 +tp4111 +a(g839 +g958 +tp4112 +a(g22 +V10 +p4113 +tp4114 +a(g693 +g979 +tp4115 +a(g839 +V\u000a +p4116 +tp4117 +a(g693 +g1088 +tp4118 +a(g839 +V\u000a +p4119 +tp4120 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.ssp b/tests/examplefiles/output/test.ssp new file mode 100644 index 0000000..02dca53 --- /dev/null +++ b/tests/examplefiles/output/test.ssp @@ -0,0 +1,2587 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g842 +V<%@ +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g439 +Vval +p962 +tp963 +a(g7 +g960 +tp964 +a(g439 +VsomeName +p965 +tp966 +a(g416 +V: +p967 +tp968 +a(g7 +g960 +tp969 +a(g439 +VString +p970 +tp971 +a(g7 +g960 +tp972 +a(g416 +V= +p973 +tp974 +a(g7 +g960 +tp975 +a(g92 +V"someDefaultValue" +p976 +tp977 +a(g7 +g960 +tp978 +a(g842 +V%> +p979 +tp980 +a(g7 +V\u000a +p981 +tp982 +a(g842 +V<% +p983 +tp984 +a(g7 +g960 +tp985 +a(g868 +Vimport +p986 +tp987 +a(g7 +g960 +tp988 +a(g624 +Vcom.acme.MySnippets._ +p989 +tp990 +a(g7 +g960 +tp991 +a(g842 +V%> +p992 +tp993 +a(g7 +V\u000a +p994 +tp995 +a(g561 +V +p998 +tp999 +a(g7 +V\u000a +p1000 +tp1001 +a(g561 +V +p1012 +tp1013 +a(g7 +V\u000a\u000a +p1014 +tp1015 +a(g561 +V
      +p1045 +tp1046 +a(g7 +V\u000a +p1047 +tp1048 +a(g561 +V
    • +p1054 +tp1055 +a(g7 +V\u000a +p1056 +tp1057 +a(g842 +V<% +p1058 +tp1059 +a(g7 +g960 +tp1060 +a(g416 +V} +p1061 +tp1062 +a(g7 +g960 +tp1063 +a(g842 +V%> +p1064 +tp1065 +a(g7 +V\u000a +p1066 +tp1067 +a(g561 +V
    +p1068 +tp1069 +a(g7 +V \u000a +p1070 +tp1071 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.swift b/tests/examplefiles/output/test.swift new file mode 100644 index 0000000..a17dbaa --- /dev/null +++ b/tests/examplefiles/output/test.swift @@ -0,0 +1,4976 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV// +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V// +p960 +tp961 +a(g8 +V +p962 +tp963 +a(g8 +g962 +tp964 +a(g8 +Vt +p965 +tp966 +a(g8 +Ve +p967 +tp968 +a(g8 +Vs +p969 +tp970 +a(g8 +g965 +tp971 +a(g8 +V. +p972 +tp973 +a(g8 +g969 +tp974 +a(g8 +Vw +p975 +tp976 +a(g8 +Vi +p977 +tp978 +a(g8 +Vf +p979 +tp980 +a(g8 +g965 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g8 +V// +p984 +tp985 +a(g8 +g962 +tp986 +a(g8 +g962 +tp987 +a(g8 +g979 +tp988 +a(g8 +Vr +p989 +tp990 +a(g8 +Vo +p991 +tp992 +a(g8 +Vm +p993 +tp994 +a(g8 +g962 +tp995 +a(g8 +Vh +p996 +tp997 +a(g8 +g965 +tp998 +a(g8 +g965 +tp999 +a(g8 +Vp +p1000 +tp1001 +a(g8 +g969 +tp1002 +a(g8 +V: +p1003 +tp1004 +a(g8 +V/ +p1005 +tp1006 +a(g8 +g1005 +tp1007 +a(g8 +Vg +p1008 +tp1009 +a(g8 +g977 +tp1010 +a(g8 +g965 +tp1011 +a(g8 +g996 +tp1012 +a(g8 +Vu +p1013 +tp1014 +a(g8 +Vb +p1015 +tp1016 +a(g8 +g972 +tp1017 +a(g8 +Vc +p1018 +tp1019 +a(g8 +g991 +tp1020 +a(g8 +g993 +tp1021 +a(g8 +g1005 +tp1022 +a(g8 +g979 +tp1023 +a(g8 +g1013 +tp1024 +a(g8 +Vl +p1025 +tp1026 +a(g8 +g1025 +tp1027 +a(g8 +g969 +tp1028 +a(g8 +g965 +tp1029 +a(g8 +Va +p1030 +tp1031 +a(g8 +g1018 +tp1032 +a(g8 +Vk +p1033 +tp1034 +a(g8 +g977 +tp1035 +a(g8 +g991 +tp1036 +a(g8 +g1005 +tp1037 +a(g8 +VF +p1038 +tp1039 +a(g8 +g1025 +tp1040 +a(g8 +g1030 +tp1041 +a(g8 +g1000 +tp1042 +a(g8 +g1000 +tp1043 +a(g8 +Vy +p1044 +tp1045 +a(g8 +VS +p1046 +tp1047 +a(g8 +g975 +tp1048 +a(g8 +g977 +tp1049 +a(g8 +g979 +tp1050 +a(g8 +g965 +tp1051 +a(g826 +V\u000a +p1052 +tp1053 +a(g8 +V// +p1054 +tp1055 +a(g826 +V\u000a +p1056 +tp1057 +a(g8 +V// +p1058 +tp1059 +a(g8 +g962 +tp1060 +a(g8 +g962 +tp1061 +a(g8 +VC +p1062 +tp1063 +a(g8 +g989 +tp1064 +a(g8 +g967 +tp1065 +a(g8 +g1030 +tp1066 +a(g8 +g965 +tp1067 +a(g8 +g967 +tp1068 +a(g8 +Vd +p1069 +tp1070 +a(g8 +g962 +tp1071 +a(g8 +g1015 +tp1072 +a(g8 +g1044 +tp1073 +a(g8 +g962 +tp1074 +a(g8 +VN +p1075 +tp1076 +a(g8 +g1030 +tp1077 +a(g8 +g965 +tp1078 +a(g8 +g967 +tp1079 +a(g8 +g962 +tp1080 +a(g8 +VM +p1081 +tp1082 +a(g8 +g1013 +tp1083 +a(g8 +g989 +tp1084 +a(g8 +g989 +tp1085 +a(g8 +g1030 +tp1086 +a(g8 +g1044 +tp1087 +a(g8 +g962 +tp1088 +a(g8 +g991 +tp1089 +a(g8 +Vn +p1090 +tp1091 +a(g8 +g962 +tp1092 +a(g8 +V6 +p1093 +tp1094 +a(g8 +g1005 +tp1095 +a(g8 +V2 +p1096 +tp1097 +a(g8 +g1005 +tp1098 +a(g8 +V1 +p1099 +tp1100 +a(g8 +V4 +p1101 +tp1102 +a(g8 +g972 +tp1103 +a(g826 +V\u000a +p1104 +tp1105 +a(g8 +V// +p1106 +tp1107 +a(g8 +g962 +tp1108 +a(g8 +g962 +tp1109 +a(g8 +g1062 +tp1110 +a(g8 +g991 +tp1111 +a(g8 +g1000 +tp1112 +a(g8 +g1044 +tp1113 +a(g8 +g989 +tp1114 +a(g8 +g977 +tp1115 +a(g8 +g1008 +tp1116 +a(g8 +g996 +tp1117 +a(g8 +g965 +tp1118 +a(g8 +g962 +tp1119 +a(g8 +V( +p1120 +tp1121 +a(g8 +g1018 +tp1122 +a(g8 +V) +p1123 +tp1124 +a(g8 +g962 +tp1125 +a(g8 +g1096 +tp1126 +a(g8 +V0 +p1127 +tp1128 +a(g8 +g1099 +tp1129 +a(g8 +g1101 +tp1130 +a(g8 +g962 +tp1131 +a(g8 +g1038 +tp1132 +a(g8 +g1013 +tp1133 +a(g8 +g1025 +tp1134 +a(g8 +g1025 +tp1135 +a(g8 +g969 +tp1136 +a(g8 +g965 +tp1137 +a(g8 +g1030 +tp1138 +a(g8 +g1018 +tp1139 +a(g8 +g1033 +tp1140 +a(g8 +g972 +tp1141 +a(g8 +g977 +tp1142 +a(g8 +g991 +tp1143 +a(g8 +g972 +tp1144 +a(g8 +g962 +tp1145 +a(g8 +VA +p1146 +tp1147 +a(g8 +g1025 +tp1148 +a(g8 +g1025 +tp1149 +a(g8 +g962 +tp1150 +a(g8 +g989 +tp1151 +a(g8 +g977 +tp1152 +a(g8 +g1008 +tp1153 +a(g8 +g996 +tp1154 +a(g8 +g965 +tp1155 +a(g8 +g969 +tp1156 +a(g8 +g962 +tp1157 +a(g8 +g989 +tp1158 +a(g8 +g967 +tp1159 +a(g8 +g969 +tp1160 +a(g8 +g967 +tp1161 +a(g8 +g989 +tp1162 +a(g8 +Vv +p1163 +tp1164 +a(g8 +g967 +tp1165 +a(g8 +g1069 +tp1166 +a(g8 +g972 +tp1167 +a(g826 +V\u000a +p1168 +tp1169 +a(g8 +V// +p1170 +tp1171 +a(g826 +V\u000a +p1172 +tp1173 +a(g826 +V\u000a +p1174 +tp1175 +a(g809 +Vimport +p1176 +tp1177 +a(g826 +g962 +tp1178 +a(g628 +VUIKit +p1179 +tp1180 +a(g826 +V\u000a +p1181 +tp1182 +a(g809 +Vimport +p1183 +tp1184 +a(g826 +g962 +tp1185 +a(g628 +VSpriteKit +p1186 +tp1187 +a(g826 +V\u000a +p1188 +tp1189 +a(g826 +V\u000a +p1190 +tp1191 +a(g809 +Vextension +p1192 +tp1193 +a(g826 +g962 +tp1194 +a(g693 +VSKNode +p1195 +tp1196 +a(g826 +g962 +tp1197 +a(g705 +V{ +p1198 +tp1199 +a(g826 +V\u000a +p1200 +tp1201 +a(g826 +V +p1202 +tp1203 +a(g809 +Vclass +p1204 +tp1205 +a(g826 +g962 +tp1206 +a(g628 +Vfunc +p1207 +tp1208 +a(g826 +g962 +tp1209 +a(g435 +VunarchiveFromFile +p1210 +tp1211 +a(g705 +g1120 +tp1212 +a(g435 +Vfile +p1213 +tp1214 +a(g826 +g962 +tp1215 +a(g705 +g1003 +tp1216 +a(g826 +g962 +tp1217 +a(g693 +VNSString +p1218 +tp1219 +a(g705 +g1123 +tp1220 +a(g826 +g962 +tp1221 +a(g705 +V-> +p1222 +tp1223 +a(g826 +g962 +tp1224 +a(g693 +VSKNode +p1225 +tp1226 +a(g705 +V? +p1227 +tp1228 +a(g826 +g962 +tp1229 +a(g705 +g1198 +tp1230 +a(g826 +V\u000a +p1231 +tp1232 +a(g826 +V \u000a +p1233 +tp1234 +a(g809 +Vlet +p1235 +tp1236 +a(g826 +g962 +tp1237 +a(g440 +Vpath +p1238 +tp1239 +a(g826 +g962 +tp1240 +a(g705 +V= +p1241 +tp1242 +a(g826 +g962 +tp1243 +a(g693 +VNSBundle +p1244 +tp1245 +a(g705 +g972 +tp1246 +a(g435 +VmainBundle +p1247 +tp1248 +a(g705 +g1120 +tp1249 +a(g705 +g1123 +tp1250 +a(g705 +g972 +tp1251 +a(g435 +VpathForResource +p1252 +tp1253 +a(g705 +g1120 +tp1254 +a(g435 +Vfile +p1255 +tp1256 +a(g705 +V, +p1257 +tp1258 +a(g826 +g962 +tp1259 +a(g435 +VofType +p1260 +tp1261 +a(g705 +g1003 +tp1262 +a(g826 +g962 +tp1263 +a(g89 +V" +p1264 +tp1265 +a(g89 +Vsks +p1266 +tp1267 +a(g89 +g1264 +tp1268 +a(g705 +g1123 +tp1269 +a(g826 +V\u000a +p1270 +tp1271 +a(g826 +V \u000a +p1272 +tp1273 +a(g809 +Vvar +p1274 +tp1275 +a(g826 +g962 +tp1276 +a(g440 +VsceneData +p1277 +tp1278 +a(g826 +g962 +tp1279 +a(g705 +g1241 +tp1280 +a(g826 +g962 +tp1281 +a(g693 +VNSData +p1282 +tp1283 +a(g705 +g972 +tp1284 +a(g435 +VdataWithContentsOfFile +p1285 +tp1286 +a(g705 +g1120 +tp1287 +a(g435 +Vpath +p1288 +tp1289 +a(g705 +g1257 +tp1290 +a(g826 +g962 +tp1291 +a(g435 +Voptions +p1292 +tp1293 +a(g705 +g1003 +tp1294 +a(g826 +g962 +tp1295 +a(g705 +g972 +tp1296 +a(g435 +VDataReadingMappedIfSafe +p1297 +tp1298 +a(g705 +g1257 +tp1299 +a(g826 +g962 +tp1300 +a(g435 +Verror +p1301 +tp1302 +a(g705 +g1003 +tp1303 +a(g826 +g962 +tp1304 +a(g763 +Vnil +p1305 +tp1306 +a(g705 +g1123 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g826 +V +p1310 +tp1311 +a(g809 +Vvar +p1312 +tp1313 +a(g826 +g962 +tp1314 +a(g440 +Varchiver +p1315 +tp1316 +a(g826 +g962 +tp1317 +a(g705 +g1241 +tp1318 +a(g826 +g962 +tp1319 +a(g693 +VNSKeyedUnarchiver +p1320 +tp1321 +a(g705 +g1120 +tp1322 +a(g435 +VforReadingWithData +p1323 +tp1324 +a(g705 +g1003 +tp1325 +a(g826 +g962 +tp1326 +a(g435 +VsceneData +p1327 +tp1328 +a(g705 +g1123 +tp1329 +a(g826 +V\u000a +p1330 +tp1331 +a(g826 +V \u000a +p1332 +tp1333 +a(g435 +Varchiver +p1334 +tp1335 +a(g705 +g972 +tp1336 +a(g435 +VsetClass +p1337 +tp1338 +a(g705 +g1120 +tp1339 +a(g763 +Vself +p1340 +tp1341 +a(g705 +g972 +tp1342 +a(g435 +VclassForKeyedUnarchiver +p1343 +tp1344 +a(g705 +g1120 +tp1345 +a(g705 +g1123 +tp1346 +a(g705 +g1257 +tp1347 +a(g826 +g962 +tp1348 +a(g435 +VforClassName +p1349 +tp1350 +a(g705 +g1003 +tp1351 +a(g826 +g962 +tp1352 +a(g89 +g1264 +tp1353 +a(g89 +VSKScene +p1354 +tp1355 +a(g89 +g1264 +tp1356 +a(g705 +g1123 +tp1357 +a(g826 +V\u000a +p1358 +tp1359 +a(g826 +V +p1360 +tp1361 +a(g809 +Vlet +p1362 +tp1363 +a(g826 +g962 +tp1364 +a(g440 +Vscene +p1365 +tp1366 +a(g826 +g962 +tp1367 +a(g705 +g1241 +tp1368 +a(g826 +g962 +tp1369 +a(g435 +Varchiver +p1370 +tp1371 +a(g705 +g972 +tp1372 +a(g435 +VdecodeObjectForKey +p1373 +tp1374 +a(g705 +g1120 +tp1375 +a(g435 +VNSKeyedArchiveRootObjectKey +p1376 +tp1377 +a(g705 +g1123 +tp1378 +a(g826 +g962 +tp1379 +a(g744 +Vas +p1380 +tp1381 +a(g826 +g962 +tp1382 +a(g435 +VGameScene +p1383 +tp1384 +a(g826 +V\u000a +p1385 +tp1386 +a(g826 +V +p1387 +tp1388 +a(g435 +Varchiver +p1389 +tp1390 +a(g705 +g972 +tp1391 +a(g435 +VfinishDecoding +p1392 +tp1393 +a(g705 +g1120 +tp1394 +a(g705 +g1123 +tp1395 +a(g826 +V\u000a +p1396 +tp1397 +a(g826 +V +p1398 +tp1399 +a(g744 +Vreturn +p1400 +tp1401 +a(g826 +g962 +tp1402 +a(g435 +Vscene +p1403 +tp1404 +a(g826 +V\u000a +p1405 +tp1406 +a(g826 +V +p1407 +tp1408 +a(g705 +V} +p1409 +tp1410 +a(g826 +V\u000a +p1411 +tp1412 +a(g705 +g1409 +tp1413 +a(g826 +V\u000a +p1414 +tp1415 +a(g826 +V\u000a +p1416 +tp1417 +a(g809 +Vclass +p1418 +tp1419 +a(g826 +g962 +tp1420 +a(g628 +VGameViewController +p1421 +tp1422 +a(g705 +g1003 +tp1423 +a(g826 +g962 +tp1424 +a(g693 +VUIViewController +p1425 +tp1426 +a(g826 +g962 +tp1427 +a(g705 +g1198 +tp1428 +a(g826 +V\u000a +p1429 +tp1430 +a(g826 +V\u000a +p1431 +tp1432 +a(g826 +V +p1433 +tp1434 +a(g793 +Voverride +p1435 +tp1436 +a(g826 +g962 +tp1437 +a(g809 +Vfunc +p1438 +tp1439 +a(g826 +g962 +tp1440 +a(g573 +VviewDidLoad +p1441 +tp1442 +a(g705 +g1120 +tp1443 +a(g705 +g1123 +tp1444 +a(g826 +g962 +tp1445 +a(g705 +g1198 +tp1446 +a(g826 +V\u000a +p1447 +tp1448 +a(g826 +V +p1449 +tp1450 +a(g763 +Vsuper +p1451 +tp1452 +a(g705 +g972 +tp1453 +a(g435 +VviewDidLoad +p1454 +tp1455 +a(g705 +g1120 +tp1456 +a(g705 +g1123 +tp1457 +a(g826 +V\u000a +p1458 +tp1459 +a(g826 +V\u000a +p1460 +tp1461 +a(g826 +V +p1462 +tp1463 +a(g744 +Vif +p1464 +tp1465 +a(g826 +g962 +tp1466 +a(g809 +Vlet +p1467 +tp1468 +a(g826 +g962 +tp1469 +a(g440 +Vscene +p1470 +tp1471 +a(g826 +g962 +tp1472 +a(g705 +g1241 +tp1473 +a(g826 +g962 +tp1474 +a(g435 +VGameScene +p1475 +tp1476 +a(g705 +g972 +tp1477 +a(g435 +VunarchiveFromFile +p1478 +tp1479 +a(g705 +g1120 +tp1480 +a(g89 +g1264 +tp1481 +a(g89 +VGameScene +p1482 +tp1483 +a(g89 +g1264 +tp1484 +a(g705 +g1123 +tp1485 +a(g826 +g962 +tp1486 +a(g744 +Vas +p1487 +tp1488 +a(g705 +g1227 +tp1489 +a(g826 +g962 +tp1490 +a(g435 +VGameScene +p1491 +tp1492 +a(g826 +g962 +tp1493 +a(g705 +g1198 +tp1494 +a(g826 +V\u000a +p1495 +tp1496 +a(g826 +V +p1497 +tp1498 +a(g8 +V// +p1499 +tp1500 +a(g8 +g962 +tp1501 +a(g8 +g1062 +tp1502 +a(g8 +g991 +tp1503 +a(g8 +g1090 +tp1504 +a(g8 +g979 +tp1505 +a(g8 +g977 +tp1506 +a(g8 +g1008 +tp1507 +a(g8 +g1013 +tp1508 +a(g8 +g989 +tp1509 +a(g8 +g967 +tp1510 +a(g8 +g962 +tp1511 +a(g8 +g965 +tp1512 +a(g8 +g996 +tp1513 +a(g8 +g967 +tp1514 +a(g8 +g962 +tp1515 +a(g8 +g1163 +tp1516 +a(g8 +g977 +tp1517 +a(g8 +g967 +tp1518 +a(g8 +g975 +tp1519 +a(g8 +g972 +tp1520 +a(g826 +V\u000a +p1521 +tp1522 +a(g826 +V +p1523 +tp1524 +a(g809 +Vlet +p1525 +tp1526 +a(g826 +g962 +tp1527 +a(g440 +VskView +p1528 +tp1529 +a(g826 +g962 +tp1530 +a(g705 +g1241 +tp1531 +a(g826 +g962 +tp1532 +a(g763 +Vself +p1533 +tp1534 +a(g705 +g972 +tp1535 +a(g435 +Vview +p1536 +tp1537 +a(g826 +g962 +tp1538 +a(g744 +Vas +p1539 +tp1540 +a(g826 +g962 +tp1541 +a(g693 +VSKView +p1542 +tp1543 +a(g826 +V\u000a +p1544 +tp1545 +a(g826 +V +p1546 +tp1547 +a(g435 +VskView +p1548 +tp1549 +a(g705 +g972 +tp1550 +a(g435 +VshowsFPS +p1551 +tp1552 +a(g826 +g962 +tp1553 +a(g705 +g1241 +tp1554 +a(g826 +g962 +tp1555 +a(g763 +Vtrue +p1556 +tp1557 +a(g826 +V\u000a +p1558 +tp1559 +a(g826 +V +p1560 +tp1561 +a(g435 +VskView +p1562 +tp1563 +a(g705 +g972 +tp1564 +a(g435 +VshowsNodeCount +p1565 +tp1566 +a(g826 +g962 +tp1567 +a(g705 +g1241 +tp1568 +a(g826 +g962 +tp1569 +a(g763 +Vtrue +p1570 +tp1571 +a(g826 +V\u000a +p1572 +tp1573 +a(g826 +V \u000a +p1574 +tp1575 +a(g929 +V/* +p1576 +tp1577 +a(g929 +g962 +tp1578 +a(g929 +g1046 +tp1579 +a(g929 +g1000 +tp1580 +a(g929 +g989 +tp1581 +a(g929 +g977 +tp1582 +a(g929 +g965 +tp1583 +a(g929 +g967 +tp1584 +a(g929 +g962 +tp1585 +a(g929 +VK +p1586 +tp1587 +a(g929 +g977 +tp1588 +a(g929 +g965 +tp1589 +a(g929 +g962 +tp1590 +a(g929 +g1030 +tp1591 +a(g929 +g1000 +tp1592 +a(g929 +g1000 +tp1593 +a(g929 +g1025 +tp1594 +a(g929 +g977 +tp1595 +a(g929 +g967 +tp1596 +a(g929 +g969 +tp1597 +a(g929 +g962 +tp1598 +a(g929 +g1030 +tp1599 +a(g929 +g1069 +tp1600 +a(g929 +g1069 +tp1601 +a(g929 +g977 +tp1602 +a(g929 +g965 +tp1603 +a(g929 +g977 +tp1604 +a(g929 +g991 +tp1605 +a(g929 +g1090 +tp1606 +a(g929 +g1030 +tp1607 +a(g929 +g1025 +tp1608 +a(g929 +g962 +tp1609 +a(g929 +g991 +tp1610 +a(g929 +g1000 +tp1611 +a(g929 +g965 +tp1612 +a(g929 +g977 +tp1613 +a(g929 +g993 +tp1614 +a(g929 +g977 +tp1615 +a(g929 +Vz +p1616 +tp1617 +a(g929 +g1030 +tp1618 +a(g929 +g965 +tp1619 +a(g929 +g977 +tp1620 +a(g929 +g991 +tp1621 +a(g929 +g1090 +tp1622 +a(g929 +g969 +tp1623 +a(g929 +g962 +tp1624 +a(g929 +g965 +tp1625 +a(g929 +g991 +tp1626 +a(g929 +g962 +tp1627 +a(g929 +g977 +tp1628 +a(g929 +g993 +tp1629 +a(g929 +g1000 +tp1630 +a(g929 +g989 +tp1631 +a(g929 +g991 +tp1632 +a(g929 +g1163 +tp1633 +a(g929 +g967 +tp1634 +a(g929 +g962 +tp1635 +a(g929 +g989 +tp1636 +a(g929 +g967 +tp1637 +a(g929 +g1090 +tp1638 +a(g929 +g1069 +tp1639 +a(g929 +g967 +tp1640 +a(g929 +g989 +tp1641 +a(g929 +g977 +tp1642 +a(g929 +g1090 +tp1643 +a(g929 +g1008 +tp1644 +a(g929 +g962 +tp1645 +a(g929 +g1000 +tp1646 +a(g929 +g967 +tp1647 +a(g929 +g989 +tp1648 +a(g929 +g979 +tp1649 +a(g929 +g991 +tp1650 +a(g929 +g989 +tp1651 +a(g929 +g993 +tp1652 +a(g929 +g1030 +tp1653 +a(g929 +g1090 +tp1654 +a(g929 +g1018 +tp1655 +a(g929 +g967 +tp1656 +a(g929 +g962 +tp1657 +a(g929 +V*/ +p1658 +tp1659 +a(g826 +V\u000a +p1660 +tp1661 +a(g826 +V +p1662 +tp1663 +a(g435 +VskView +p1664 +tp1665 +a(g705 +g972 +tp1666 +a(g435 +VignoresSiblingOrder +p1667 +tp1668 +a(g826 +g962 +tp1669 +a(g705 +g1241 +tp1670 +a(g826 +g962 +tp1671 +a(g763 +Vtrue +p1672 +tp1673 +a(g826 +V\u000a +p1674 +tp1675 +a(g826 +V \u000a +p1676 +tp1677 +a(g929 +V/* +p1678 +tp1679 +a(g929 +g962 +tp1680 +a(g929 +g1046 +tp1681 +a(g929 +g967 +tp1682 +a(g929 +g965 +tp1683 +a(g929 +g962 +tp1684 +a(g929 +g965 +tp1685 +a(g929 +g996 +tp1686 +a(g929 +g967 +tp1687 +a(g929 +g962 +tp1688 +a(g929 +g969 +tp1689 +a(g929 +g1018 +tp1690 +a(g929 +g1030 +tp1691 +a(g929 +g1025 +tp1692 +a(g929 +g967 +tp1693 +a(g929 +g962 +tp1694 +a(g929 +g993 +tp1695 +a(g929 +g991 +tp1696 +a(g929 +g1069 +tp1697 +a(g929 +g967 +tp1698 +a(g929 +g962 +tp1699 +a(g929 +g965 +tp1700 +a(g929 +g991 +tp1701 +a(g929 +g962 +tp1702 +a(g929 +g969 +tp1703 +a(g929 +g1018 +tp1704 +a(g929 +g1030 +tp1705 +a(g929 +g1025 +tp1706 +a(g929 +g967 +tp1707 +a(g929 +g962 +tp1708 +a(g929 +g965 +tp1709 +a(g929 +g991 +tp1710 +a(g929 +g962 +tp1711 +a(g929 +g979 +tp1712 +a(g929 +g977 +tp1713 +a(g929 +g965 +tp1714 +a(g929 +g962 +tp1715 +a(g929 +g965 +tp1716 +a(g929 +g996 +tp1717 +a(g929 +g967 +tp1718 +a(g929 +g962 +tp1719 +a(g929 +g975 +tp1720 +a(g929 +g977 +tp1721 +a(g929 +g1090 +tp1722 +a(g929 +g1069 +tp1723 +a(g929 +g991 +tp1724 +a(g929 +g975 +tp1725 +a(g929 +g962 +tp1726 +a(g929 +V*/ +p1727 +tp1728 +a(g826 +V\u000a +p1729 +tp1730 +a(g826 +V +p1731 +tp1732 +a(g435 +Vscene +p1733 +tp1734 +a(g705 +g972 +tp1735 +a(g435 +VscaleMode +p1736 +tp1737 +a(g826 +g962 +tp1738 +a(g705 +g1241 +tp1739 +a(g826 +g962 +tp1740 +a(g705 +g972 +tp1741 +a(g435 +VAspectFill +p1742 +tp1743 +a(g826 +V\u000a +p1744 +tp1745 +a(g826 +V \u000a +p1746 +tp1747 +a(g435 +VskView +p1748 +tp1749 +a(g705 +g972 +tp1750 +a(g435 +VpresentScene +p1751 +tp1752 +a(g705 +g1120 +tp1753 +a(g435 +Vscene +p1754 +tp1755 +a(g705 +g1123 +tp1756 +a(g826 +V\u000a +p1757 +tp1758 +a(g826 +V +p1759 +tp1760 +a(g705 +g1409 +tp1761 +a(g826 +V\u000a +p1762 +tp1763 +a(g826 +V +p1764 +tp1765 +a(g705 +g1409 +tp1766 +a(g826 +V\u000a +p1767 +tp1768 +a(g826 +V\u000a +p1769 +tp1770 +a(g826 +V +p1771 +tp1772 +a(g793 +Voverride +p1773 +tp1774 +a(g826 +g962 +tp1775 +a(g809 +Vfunc +p1776 +tp1777 +a(g826 +g962 +tp1778 +a(g573 +VshouldAutorotate +p1779 +tp1780 +a(g705 +g1120 +tp1781 +a(g705 +g1123 +tp1782 +a(g826 +g962 +tp1783 +a(g705 +V-> +p1784 +tp1785 +a(g826 +g962 +tp1786 +a(g681 +VBool +p1787 +tp1788 +a(g826 +g962 +tp1789 +a(g705 +g1198 +tp1790 +a(g826 +V\u000a +p1791 +tp1792 +a(g826 +V +p1793 +tp1794 +a(g744 +Vreturn +p1795 +tp1796 +a(g826 +g962 +tp1797 +a(g763 +Vtrue +p1798 +tp1799 +a(g826 +V\u000a +p1800 +tp1801 +a(g826 +V +p1802 +tp1803 +a(g705 +g1409 +tp1804 +a(g826 +V\u000a +p1805 +tp1806 +a(g826 +V\u000a +p1807 +tp1808 +a(g826 +V +p1809 +tp1810 +a(g793 +Voverride +p1811 +tp1812 +a(g826 +g962 +tp1813 +a(g809 +Vfunc +p1814 +tp1815 +a(g826 +g962 +tp1816 +a(g573 +VsupportedInterfaceOrientations +p1817 +tp1818 +a(g705 +g1120 +tp1819 +a(g705 +g1123 +tp1820 +a(g826 +g962 +tp1821 +a(g705 +V-> +p1822 +tp1823 +a(g826 +g962 +tp1824 +a(g681 +VInt +p1825 +tp1826 +a(g826 +g962 +tp1827 +a(g705 +g1198 +tp1828 +a(g826 +V\u000a +p1829 +tp1830 +a(g826 +V +p1831 +tp1832 +a(g744 +Vif +p1833 +tp1834 +a(g826 +g962 +tp1835 +a(g693 +VUIDevice +p1836 +tp1837 +a(g705 +g972 +tp1838 +a(g435 +VcurrentDevice +p1839 +tp1840 +a(g705 +g1120 +tp1841 +a(g705 +g1123 +tp1842 +a(g705 +g972 +tp1843 +a(g435 +VuserInterfaceIdiom +p1844 +tp1845 +a(g826 +g962 +tp1846 +a(g705 +g1241 +tp1847 +a(g705 +g1241 +tp1848 +a(g826 +g962 +tp1849 +a(g705 +g972 +tp1850 +a(g435 +VPhone +p1851 +tp1852 +a(g826 +g962 +tp1853 +a(g705 +g1198 +tp1854 +a(g826 +V\u000a +p1855 +tp1856 +a(g826 +V +p1857 +tp1858 +a(g744 +Vreturn +p1859 +tp1860 +a(g826 +g962 +tp1861 +a(g681 +VInt +p1862 +tp1863 +a(g705 +g1120 +tp1864 +a(g435 +VUIInterfaceOrientationMask +p1865 +tp1866 +a(g705 +g972 +tp1867 +a(g435 +VAllButUpsideDown +p1868 +tp1869 +a(g705 +g972 +tp1870 +a(g435 +VtoRaw +p1871 +tp1872 +a(g705 +g1120 +tp1873 +a(g705 +g1123 +tp1874 +a(g705 +g1123 +tp1875 +a(g826 +V\u000a +p1876 +tp1877 +a(g826 +V +p1878 +tp1879 +a(g705 +g1409 +tp1880 +a(g826 +g962 +tp1881 +a(g744 +Velse +p1882 +tp1883 +a(g826 +g962 +tp1884 +a(g705 +g1198 +tp1885 +a(g826 +V\u000a +p1886 +tp1887 +a(g826 +V +p1888 +tp1889 +a(g744 +Vreturn +p1890 +tp1891 +a(g826 +g962 +tp1892 +a(g681 +VInt +p1893 +tp1894 +a(g705 +g1120 +tp1895 +a(g435 +VUIInterfaceOrientationMask +p1896 +tp1897 +a(g705 +g972 +tp1898 +a(g435 +VAll +p1899 +tp1900 +a(g705 +g972 +tp1901 +a(g435 +VtoRaw +p1902 +tp1903 +a(g705 +g1120 +tp1904 +a(g705 +g1123 +tp1905 +a(g705 +g1123 +tp1906 +a(g826 +V\u000a +p1907 +tp1908 +a(g826 +V +p1909 +tp1910 +a(g705 +g1409 +tp1911 +a(g826 +V\u000a +p1912 +tp1913 +a(g826 +V +p1914 +tp1915 +a(g705 +g1409 +tp1916 +a(g826 +V\u000a +p1917 +tp1918 +a(g826 +V\u000a +p1919 +tp1920 +a(g826 +V +p1921 +tp1922 +a(g793 +Voverride +p1923 +tp1924 +a(g826 +g962 +tp1925 +a(g809 +Vfunc +p1926 +tp1927 +a(g826 +g962 +tp1928 +a(g573 +VdidReceiveMemoryWarning +p1929 +tp1930 +a(g705 +g1120 +tp1931 +a(g705 +g1123 +tp1932 +a(g826 +g962 +tp1933 +a(g705 +g1198 +tp1934 +a(g826 +V\u000a +p1935 +tp1936 +a(g826 +V +p1937 +tp1938 +a(g763 +Vsuper +p1939 +tp1940 +a(g705 +g972 +tp1941 +a(g435 +VdidReceiveMemoryWarning +p1942 +tp1943 +a(g705 +g1120 +tp1944 +a(g705 +g1123 +tp1945 +a(g826 +V\u000a +p1946 +tp1947 +a(g826 +V +p1948 +tp1949 +a(g8 +V// +p1950 +tp1951 +a(g8 +g962 +tp1952 +a(g8 +VR +p1953 +tp1954 +a(g8 +g967 +tp1955 +a(g8 +g1025 +tp1956 +a(g8 +g967 +tp1957 +a(g8 +g1030 +tp1958 +a(g8 +g969 +tp1959 +a(g8 +g967 +tp1960 +a(g8 +g962 +tp1961 +a(g8 +g1030 +tp1962 +a(g8 +g1090 +tp1963 +a(g8 +g1044 +tp1964 +a(g8 +g962 +tp1965 +a(g8 +g1018 +tp1966 +a(g8 +g1030 +tp1967 +a(g8 +g1018 +tp1968 +a(g8 +g996 +tp1969 +a(g8 +g967 +tp1970 +a(g8 +g1069 +tp1971 +a(g8 +g962 +tp1972 +a(g8 +g1069 +tp1973 +a(g8 +g1030 +tp1974 +a(g8 +g965 +tp1975 +a(g8 +g1030 +tp1976 +a(g8 +g1257 +tp1977 +a(g8 +g962 +tp1978 +a(g8 +g977 +tp1979 +a(g8 +g993 +tp1980 +a(g8 +g1030 +tp1981 +a(g8 +g1008 +tp1982 +a(g8 +g967 +tp1983 +a(g8 +g969 +tp1984 +a(g8 +g1257 +tp1985 +a(g8 +g962 +tp1986 +a(g8 +g967 +tp1987 +a(g8 +g965 +tp1988 +a(g8 +g1018 +tp1989 +a(g8 +g962 +tp1990 +a(g8 +g965 +tp1991 +a(g8 +g996 +tp1992 +a(g8 +g1030 +tp1993 +a(g8 +g965 +tp1994 +a(g8 +g962 +tp1995 +a(g8 +g1030 +tp1996 +a(g8 +g989 +tp1997 +a(g8 +g967 +tp1998 +a(g8 +g1090 +tp1999 +a(g8 +V' +p2000 +tp2001 +a(g8 +g965 +tp2002 +a(g8 +g962 +tp2003 +a(g8 +g977 +tp2004 +a(g8 +g1090 +tp2005 +a(g8 +g962 +tp2006 +a(g8 +g1013 +tp2007 +a(g8 +g969 +tp2008 +a(g8 +g967 +tp2009 +a(g8 +g972 +tp2010 +a(g826 +V\u000a +p2011 +tp2012 +a(g826 +V +p2013 +tp2014 +a(g705 +g1409 +tp2015 +a(g826 +V\u000a +p2016 +tp2017 +a(g826 +V \u000a +p2018 +tp2019 +a(g705 +g1409 +tp2020 +a(g826 +V\u000a +p2021 +tp2022 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.tcsh b/tests/examplefiles/output/test.tcsh new file mode 100644 index 0000000..12b3021 --- /dev/null +++ b/tests/examplefiles/output/test.tcsh @@ -0,0 +1,16628 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V# $Id: complete.tcsh,v 1.2 1998/05/11 10:40:54 luisgh Exp $ +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +V# example file using the new completion code +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g7 +g956 +tp968 +a(g822 +V\u000a\u000a +p969 +tp970 +a(g7 +V# Debian GNU/Linux +p971 +tp972 +a(g822 +V\u000a +p973 +tp974 +a(g7 +V# file: /usr/share/doc/examples/tcsh/complete.tcsh +p975 +tp976 +a(g822 +V\u000a +p977 +tp978 +a(g7 +g956 +tp979 +a(g822 +V\u000a +p980 +tp981 +a(g7 +V# This file may be read from user's .cshrc file by decompressing it into +p982 +tp983 +a(g822 +V\u000a +p984 +tp985 +a(g7 +V# the home directory as ~/.complete and then adding the line +p986 +tp987 +a(g822 +V\u000a +p988 +tp989 +a(g7 +V# "source ${HOME}/.complete" and maybe defining some of +p990 +tp991 +a(g822 +V\u000a +p992 +tp993 +a(g7 +V# the shell variables described below. +p994 +tp995 +a(g822 +V\u000a +p996 +tp997 +a(g7 +g956 +tp998 +a(g822 +V\u000a +p999 +tp1000 +a(g7 +V# Debian enhancements by Vadim Vygonets : +p1001 +tp1002 +a(g822 +V\u000a +p1003 +tp1004 +a(g7 +g956 +tp1005 +a(g822 +V\u000a +p1006 +tp1007 +a(g7 +V# Added two Debian-specific completions: dpkg and dpkg-deb (who wrote +p1008 +tp1009 +a(g822 +V\u000a +p1010 +tp1011 +a(g7 +V# them?). To turn it off, define no_debian_complete before loading +p1012 +tp1013 +a(g822 +V\u000a +p1014 +tp1015 +a(g7 +V# this file. +p1016 +tp1017 +a(g822 +V\u000a +p1018 +tp1019 +a(g7 +g956 +tp1020 +a(g822 +V\u000a +p1021 +tp1022 +a(g7 +V# Added some new completions. To turn them off, define +p1023 +tp1024 +a(g822 +V\u000a +p1025 +tp1026 +a(g7 +V# no_new_complete before loading this file. +p1027 +tp1028 +a(g822 +V\u000a +p1029 +tp1030 +a(g7 +g956 +tp1031 +a(g822 +V\u000a +p1032 +tp1033 +a(g7 +V# Changed completions of several commands. The ones are evaluated if +p1034 +tp1035 +a(g822 +V\u000a +p1036 +tp1037 +a(g7 +V# the following shell variables are defined: +p1038 +tp1039 +a(g822 +V\u000a +p1040 +tp1041 +a(g7 +g956 +tp1042 +a(g822 +V\u000a +p1043 +tp1044 +a(g7 +V# traditional_cp_mv_complete +p1045 +tp1046 +a(g822 +V\u000a +p1047 +tp1048 +a(g7 +V# for traditional completion of cp and mv commands +p1049 +tp1050 +a(g822 +V\u000a +p1051 +tp1052 +a(g7 +V# traditional_zcat_complete +p1053 +tp1054 +a(g822 +V\u000a +p1055 +tp1056 +a(g7 +V# for traditional completion of zcat command +p1057 +tp1058 +a(g822 +V\u000a +p1059 +tp1060 +a(g7 +V# traditional_nm_complete +p1061 +tp1062 +a(g822 +V\u000a +p1063 +tp1064 +a(g7 +V# for traditional completion of nm command +p1065 +tp1066 +a(g822 +V\u000a +p1067 +tp1068 +a(g7 +V# traditilnal_tex_complete +p1069 +tp1070 +a(g822 +V\u000a +p1071 +tp1072 +a(g7 +V# for traditional completion of tex command +p1073 +tp1074 +a(g822 +V\u000a +p1075 +tp1076 +a(g7 +V# traditional_find_complete +p1077 +tp1078 +a(g822 +V\u000a +p1079 +tp1080 +a(g7 +V# for traditional completion of find command +p1081 +tp1082 +a(g822 +V\u000a +p1083 +tp1084 +a(g7 +V# traditional_configure_complete +p1085 +tp1086 +a(g822 +V\u000a +p1087 +tp1088 +a(g7 +V# for traditional completion of ./configure command +p1089 +tp1090 +a(g822 +V\u000a +p1091 +tp1092 +a(g7 +V# foolproof_rm_complete or traditional_rm_complete +p1093 +tp1094 +a(g822 +V\u000a +p1095 +tp1096 +a(g7 +V# for traditional completion of rm command +p1097 +tp1098 +a(g822 +V\u000a +p1099 +tp1100 +a(g7 +V# traditional_complete +p1101 +tp1102 +a(g822 +V\u000a +p1103 +tp1104 +a(g7 +V# all of the above +p1105 +tp1106 +a(g822 +V\u000a\u000a +p1107 +tp1108 +a(g740 +Vif +p1109 +tp1110 +a(g822 +V +p1111 +tp1112 +a(g408 +V( +p1113 +tp1114 +a(g436 +V$? +p1115 +tp1116 +a(g822 +Vtraditional_complete +p1117 +tp1118 +a(g408 +V) +p1119 +tp1120 +a(g822 +g1111 +tp1121 +a(g740 +Vthen\u000a +p1122 +tp1123 +a(g677 +Vset +p1124 +tp1125 +a(g822 +Vtraditional_cp_mv_complete +p1126 +tp1127 +a(g822 +V\u000a +p1128 +tp1129 +a(g677 +Vset +p1130 +tp1131 +a(g822 +Vtraditional_zcat_complete +p1132 +tp1133 +a(g822 +V\u000a +p1134 +tp1135 +a(g677 +Vset +p1136 +tp1137 +a(g822 +Vtraditional_nm_complete +p1138 +tp1139 +a(g822 +V\u000a +p1140 +tp1141 +a(g677 +Vset +p1142 +tp1143 +a(g822 +Vtraditilnal_tex_complete +p1144 +tp1145 +a(g822 +V\u000a +p1146 +tp1147 +a(g677 +Vset +p1148 +tp1149 +a(g822 +Vtraditional_find_complete +p1150 +tp1151 +a(g822 +V\u000a +p1152 +tp1153 +a(g677 +Vset +p1154 +tp1155 +a(g822 +Vtraditional_configure_complete +p1156 +tp1157 +a(g822 +V\u000a +p1158 +tp1159 +a(g677 +Vset +p1160 +tp1161 +a(g822 +Vfoolproof_rm_complete +p1162 +tp1163 +a(g822 +V\u000a +p1164 +tp1165 +a(g740 +Vendif\u000a\u000a +p1166 +tp1167 +a(g740 +Vif +p1168 +tp1169 +a(g822 +g1111 +tp1170 +a(g408 +g1113 +tp1171 +a(g436 +V$? +p1172 +tp1173 +a(g822 +Vtraditional_rm_complete +p1174 +tp1175 +a(g408 +g1119 +tp1176 +a(g822 +g1111 +tp1177 +a(g740 +Vthen\u000a +p1178 +tp1179 +a(g677 +Vset +p1180 +tp1181 +a(g822 +Vfoolproof_rm_complete +p1182 +tp1183 +a(g822 +V\u000a +p1184 +tp1185 +a(g740 +Vendif\u000a\u000a +p1186 +tp1187 +a(g677 +Vonintr +p1188 +tp1189 +a(g822 +g1111 +tp1190 +a(g822 +V- +p1191 +tp1192 +a(g822 +V\u000a +p1193 +tp1194 +a(g740 +Vif +p1195 +tp1196 +a(g822 +g1111 +tp1197 +a(g408 +g1113 +tp1198 +a(g822 +V! +p1199 +tp1200 +a(g822 +g1111 +tp1201 +a(g436 +V$? +p1202 +tp1203 +a(g822 +Vprompt +p1204 +tp1205 +a(g408 +g1119 +tp1206 +a(g822 +g1111 +tp1207 +a(g740 +Vgoto +p1208 +tp1209 +a(g740 +Vend\u000a\u000a +p1210 +tp1211 +a(g740 +Vif +p1212 +tp1213 +a(g822 +g1111 +tp1214 +a(g408 +g1113 +tp1215 +a(g436 +V$? +p1216 +tp1217 +a(g822 +Vtcsh +p1218 +tp1219 +a(g408 +g1119 +tp1220 +a(g822 +g1111 +tp1221 +a(g740 +Vthen\u000a +p1222 +tp1223 +a(g740 +Vif +p1224 +tp1225 +a(g822 +g1111 +tp1226 +a(g408 +g1113 +tp1227 +a(g436 +V$tcsh +p1228 +tp1229 +a(g822 +g1111 +tp1230 +a(g822 +g1199 +tp1231 +a(g408 +V= +p1232 +tp1233 +a(g822 +g1111 +tp1234 +a(g822 +V1 +p1235 +tp1236 +a(g408 +g1119 +tp1237 +a(g822 +g1111 +tp1238 +a(g740 +Vthen\u000a +p1239 +tp1240 +a(g677 +Vset +p1241 +tp1242 +a(g436 +Vrev +p1243 +tp1244 +a(g408 +g1232 +tp1245 +a(g436 +V$tcsh +p1246 +tp1247 +a(g822 +V:r +p1248 +tp1249 +a(g822 +V\u000a +p1250 +tp1251 +a(g677 +Vset +p1252 +tp1253 +a(g436 +Vrel +p1254 +tp1255 +a(g408 +g1232 +tp1256 +a(g436 +V$rev +p1257 +tp1258 +a(g822 +V:e +p1259 +tp1260 +a(g822 +V\u000a +p1261 +tp1262 +a(g677 +Vset +p1263 +tp1264 +a(g436 +Vpat +p1265 +tp1266 +a(g408 +g1232 +tp1267 +a(g436 +V$tcsh +p1268 +tp1269 +a(g822 +V:e +p1270 +tp1271 +a(g822 +V\u000a +p1272 +tp1273 +a(g677 +Vset +p1274 +tp1275 +a(g436 +Vrev +p1276 +tp1277 +a(g408 +g1232 +tp1278 +a(g436 +V$rev +p1279 +tp1280 +a(g822 +V:r +p1281 +tp1282 +a(g822 +V\u000a +p1283 +tp1284 +a(g740 +Vendif\u000a +p1285 +tp1286 +a(g740 +Vif +p1287 +tp1288 +a(g822 +g1111 +tp1289 +a(g408 +g1113 +tp1290 +a(g436 +V$rev +p1291 +tp1292 +a(g822 +g1111 +tp1293 +a(g822 +V> +p1294 +tp1295 +a(g822 +g1111 +tp1296 +a(g822 +V5 +p1297 +tp1298 +a(g822 +g1111 +tp1299 +a(g822 +V&& +p1300 +tp1301 +a(g822 +g1111 +tp1302 +a(g436 +V$rel +p1303 +tp1304 +a(g822 +g1111 +tp1305 +a(g822 +g1294 +tp1306 +a(g822 +g1111 +tp1307 +a(g822 +g1235 +tp1308 +a(g408 +g1119 +tp1309 +a(g822 +g1111 +tp1310 +a(g740 +Vthen\u000a +p1311 +tp1312 +a(g677 +Vset +p1313 +tp1314 +a(g677 +Vcomplete +p1315 +tp1316 +a(g408 +g1232 +tp1317 +a(g822 +g1235 +tp1318 +a(g822 +V\u000a +p1319 +tp1320 +a(g740 +Vendif\u000a +p1321 +tp1322 +a(g677 +Vunset +p1323 +tp1324 +a(g822 +Vrev +p1325 +tp1326 +a(g822 +g1111 +tp1327 +a(g822 +Vrel +p1328 +tp1329 +a(g822 +g1111 +tp1330 +a(g822 +Vpat +p1331 +tp1332 +a(g822 +V\u000a +p1333 +tp1334 +a(g740 +Vendif\u000a\u000a +p1335 +tp1336 +a(g740 +Vif +p1337 +tp1338 +a(g822 +g1111 +tp1339 +a(g408 +g1113 +tp1340 +a(g436 +V$? +p1341 +tp1342 +a(g677 +Vcomplete +p1343 +tp1344 +a(g408 +g1119 +tp1345 +a(g822 +g1111 +tp1346 +a(g740 +Vthen\u000a +p1347 +tp1348 +a(g677 +Vset +p1349 +tp1350 +a(g822 +Vnoglob +p1351 +tp1352 +a(g822 +V\u000a +p1353 +tp1354 +a(g677 +Vset +p1355 +tp1356 +a(g822 +Vhosts +p1357 +tp1358 +a(g822 +V\u000a +p1359 +tp1360 +a(g740 +Vforeach +p1361 +tp1362 +a(g822 +Vf +p1363 +tp1364 +a(g822 +g1111 +tp1365 +a(g408 +g1113 +tp1366 +a(g436 +V$HOME +p1367 +tp1368 +a(g822 +V/.hosts +p1369 +tp1370 +a(g822 +g1111 +tp1371 +a(g822 +V/usr/local/etc/csh.hosts +p1372 +tp1373 +a(g822 +g1111 +tp1374 +a(g436 +V$HOME +p1375 +tp1376 +a(g822 +V/.rhosts +p1377 +tp1378 +a(g822 +g1111 +tp1379 +a(g822 +V/etc/hosts.equiv +p1380 +tp1381 +a(g408 +g1119 +tp1382 +a(g822 +V\u000a +p1383 +tp1384 +a(g740 +Vif +p1385 +tp1386 +a(g822 +g1111 +tp1387 +a(g408 +g1113 +tp1388 +a(g822 +g1111 +tp1389 +a(g822 +V-r +p1390 +tp1391 +a(g822 +g1111 +tp1392 +a(g436 +V$f +p1393 +tp1394 +a(g822 +g1111 +tp1395 +a(g408 +g1119 +tp1396 +a(g822 +g1111 +tp1397 +a(g740 +Vthen\u000a +p1398 +tp1399 +a(g677 +Vset +p1400 +tp1401 +a(g436 +Vhosts +p1402 +tp1403 +a(g408 +g1232 +tp1404 +a(g408 +g1113 +tp1405 +a(g436 +V$hosts +p1406 +tp1407 +a(g822 +g1111 +tp1408 +a(g96 +V` +p1409 +tp1410 +a(g822 +Vcut +p1411 +tp1412 +a(g822 +g1111 +tp1413 +a(g822 +V-d +p1414 +tp1415 +a(g822 +g1111 +tp1416 +a(g152 +V" " +p1417 +tp1418 +a(g822 +g1111 +tp1419 +a(g822 +V-f +p1420 +tp1421 +a(g822 +g1111 +tp1422 +a(g822 +g1235 +tp1423 +a(g822 +g1111 +tp1424 +a(g436 +V$f +p1425 +tp1426 +a(g822 +g1111 +tp1427 +a(g822 +V| +p1428 +tp1429 +a(g822 +g1111 +tp1430 +a(g822 +Vgrep +p1431 +tp1432 +a(g822 +g1111 +tp1433 +a(g822 +V-v +p1434 +tp1435 +a(g822 +g1111 +tp1436 +a(g822 +V+ +p1437 +tp1438 +a(g96 +g1409 +tp1439 +a(g408 +g1119 +tp1440 +a(g822 +V\u000a +p1441 +tp1442 +a(g740 +Vendif\u000a +p1443 +tp1444 +a(g740 +Vend\u000a +p1445 +tp1446 +a(g740 +Vif +p1447 +tp1448 +a(g822 +g1111 +tp1449 +a(g408 +g1113 +tp1450 +a(g822 +g1111 +tp1451 +a(g822 +V-r +p1452 +tp1453 +a(g822 +g1111 +tp1454 +a(g436 +V$HOME +p1455 +tp1456 +a(g822 +V/.netrc +p1457 +tp1458 +a(g822 +g1111 +tp1459 +a(g408 +g1119 +tp1460 +a(g822 +g1111 +tp1461 +a(g740 +Vthen\u000a +p1462 +tp1463 +a(g677 +Vset +p1464 +tp1465 +a(g436 +g1363 +tp1466 +a(g408 +g1232 +tp1467 +a(g96 +g1409 +tp1468 +a(g822 +Vawk +p1469 +tp1470 +a(g822 +g1111 +tp1471 +a(g225 +V'/machine/ { print $2 }' +p1472 +tp1473 +a(g822 +g1111 +tp1474 +a(g822 +V< +p1475 +tp1476 +a(g822 +g1111 +tp1477 +a(g436 +V$HOME +p1478 +tp1479 +a(g822 +V/.netrc +p1480 +tp1481 +a(g96 +g1409 +tp1482 +a(g822 +g1111 +tp1483 +a(g822 +V>& +p1484 +tp1485 +a(g822 +g1111 +tp1486 +a(g822 +V/dev/null +p1487 +tp1488 +a(g822 +V\u000a +p1489 +tp1490 +a(g677 +Vset +p1491 +tp1492 +a(g436 +Vhosts +p1493 +tp1494 +a(g408 +g1232 +tp1495 +a(g408 +g1113 +tp1496 +a(g436 +V$hosts +p1497 +tp1498 +a(g822 +g1111 +tp1499 +a(g436 +V$f +p1500 +tp1501 +a(g408 +g1119 +tp1502 +a(g822 +V\u000a +p1503 +tp1504 +a(g740 +Vendif\u000a +p1505 +tp1506 +a(g677 +Vunset +p1507 +tp1508 +a(g822 +g1363 +tp1509 +a(g822 +V\u000a +p1510 +tp1511 +a(g740 +Vif +p1512 +tp1513 +a(g822 +g1111 +tp1514 +a(g408 +g1113 +tp1515 +a(g822 +g1111 +tp1516 +a(g822 +g1199 +tp1517 +a(g822 +g1111 +tp1518 +a(g436 +V$? +p1519 +tp1520 +a(g822 +Vhosts +p1521 +tp1522 +a(g822 +g1111 +tp1523 +a(g408 +g1119 +tp1524 +a(g822 +g1111 +tp1525 +a(g740 +Vthen\u000a +p1526 +tp1527 +a(g677 +Vset +p1528 +tp1529 +a(g436 +Vhosts +p1530 +tp1531 +a(g408 +g1232 +tp1532 +a(g408 +g1113 +tp1533 +a(g822 +Vhyperion.ee.cornell.edu +p1534 +tp1535 +a(g822 +g1111 +tp1536 +a(g822 +Vphaeton.ee.cornell.edu +p1537 +tp1538 +a(g822 +g1111 +tp1539 +a(g208 +V\u005c\u000a +p1540 +tp1541 +a(g822 +V +p1542 +tp1543 +a(g822 +Vguillemin.ee.cornell.edu +p1544 +tp1545 +a(g822 +g1111 +tp1546 +a(g822 +Vvangogh.cs.berkeley.edu +p1547 +tp1548 +a(g822 +g1111 +tp1549 +a(g208 +V\u005c\u000a +p1550 +tp1551 +a(g822 +V +p1552 +tp1553 +a(g822 +Vftp.uu.net +p1554 +tp1555 +a(g822 +g1111 +tp1556 +a(g822 +Vprep.ai.mit.edu +p1557 +tp1558 +a(g822 +g1111 +tp1559 +a(g822 +Vexport.lcs.mit.edu +p1560 +tp1561 +a(g822 +g1111 +tp1562 +a(g208 +V\u005c\u000a +p1563 +tp1564 +a(g822 +V +p1565 +tp1566 +a(g822 +Vlabrea.stanford.edu +p1567 +tp1568 +a(g822 +g1111 +tp1569 +a(g822 +Vsumex-aim.stanford.edu +p1570 +tp1571 +a(g822 +g1111 +tp1572 +a(g208 +V\u005c\u000a +p1573 +tp1574 +a(g822 +V +p1575 +tp1576 +a(g822 +Vtut.cis.ohio-state.edu +p1577 +tp1578 +a(g408 +g1119 +tp1579 +a(g822 +V\u000a +p1580 +tp1581 +a(g740 +Vendif\u000a\u000a +p1582 +tp1583 +a(g677 +Vcomplete +p1584 +tp1585 +a(g822 +Vywho +p1586 +tp1587 +a(g822 +V +p1588 +tp1589 +a(g822 +Vn/*/ +p1590 +tp1591 +a(g208 +V\u005c$ +p1592 +tp1593 +a(g822 +Vhosts/ +p1594 +tp1595 +a(g822 +V +p1596 +tp1597 +a(g7 +V# argument from list in $hosts +p1598 +tp1599 +a(g822 +V\u000a +p1600 +tp1601 +a(g677 +Vcomplete +p1602 +tp1603 +a(g822 +Vrsh +p1604 +tp1605 +a(g822 +g1596 +tp1606 +a(g822 +Vp/1/ +p1607 +tp1608 +a(g208 +V\u005c$ +p1609 +tp1610 +a(g822 +Vhosts/ +p1611 +tp1612 +a(g822 +g1111 +tp1613 +a(g822 +Vc/-/ +p1614 +tp1615 +a(g152 +V"(l n)" +p1616 +tp1617 +a(g822 +V/ +p1618 +tp1619 +a(g822 +V +p1620 +tp1621 +a(g822 +Vn/-l/u/ +p1622 +tp1623 +a(g822 +g1111 +tp1624 +a(g822 +VN/-l/c/ +p1625 +tp1626 +a(g822 +g1111 +tp1627 +a(g822 +Vn/-/c/ +p1628 +tp1629 +a(g822 +g1111 +tp1630 +a(g822 +Vp/2/c/ +p1631 +tp1632 +a(g822 +g1111 +tp1633 +a(g822 +Vp/*/f/ +p1634 +tp1635 +a(g822 +V\u000a +p1636 +tp1637 +a(g677 +Vcomplete +p1638 +tp1639 +a(g822 +Vxrsh +p1640 +tp1641 +a(g822 +g1596 +tp1642 +a(g822 +Vp/1/ +p1643 +tp1644 +a(g208 +V\u005c$ +p1645 +tp1646 +a(g822 +Vhosts/ +p1647 +tp1648 +a(g822 +g1111 +tp1649 +a(g822 +Vc/-/ +p1650 +tp1651 +a(g152 +V"(l 8 e)" +p1652 +tp1653 +a(g822 +g1618 +tp1654 +a(g822 +g1111 +tp1655 +a(g822 +Vn/-l/u/ +p1656 +tp1657 +a(g822 +g1111 +tp1658 +a(g822 +VN/-l/c/ +p1659 +tp1660 +a(g822 +g1111 +tp1661 +a(g822 +Vn/-/c/ +p1662 +tp1663 +a(g822 +g1111 +tp1664 +a(g822 +Vp/2/c/ +p1665 +tp1666 +a(g822 +g1111 +tp1667 +a(g822 +Vp/*/f/ +p1668 +tp1669 +a(g822 +V\u000a +p1670 +tp1671 +a(g677 +Vcomplete +p1672 +tp1673 +a(g822 +Vrlogin +p1674 +tp1675 +a(g822 +V +p1676 +tp1677 +a(g822 +Vp/1/ +p1678 +tp1679 +a(g208 +V\u005c$ +p1680 +tp1681 +a(g822 +Vhosts/ +p1682 +tp1683 +a(g822 +g1111 +tp1684 +a(g822 +Vc/-/ +p1685 +tp1686 +a(g152 +V"(l 8 e)" +p1687 +tp1688 +a(g822 +g1618 +tp1689 +a(g822 +g1111 +tp1690 +a(g822 +Vn/-l/u/ +p1691 +tp1692 +a(g822 +V\u000a +p1693 +tp1694 +a(g677 +Vcomplete +p1695 +tp1696 +a(g822 +Vtelnet +p1697 +tp1698 +a(g822 +V +p1699 +tp1700 +a(g822 +Vp/1/ +p1701 +tp1702 +a(g208 +V\u005c$ +p1703 +tp1704 +a(g822 +Vhosts/ +p1705 +tp1706 +a(g822 +g1111 +tp1707 +a(g822 +Vp/2/x: +p1708 +tp1709 +a(g225 +V'' +p1710 +tp1711 +a(g822 +g1618 +tp1712 +a(g822 +g1111 +tp1713 +a(g822 +Vn/*/n/ +p1714 +tp1715 +a(g822 +V\u000a\u000a +p1716 +tp1717 +a(g677 +Vcomplete +p1718 +tp1719 +a(g677 +Vcd +p1720 +tp1721 +a(g822 +Vp/1/d/ +p1722 +tp1723 +a(g822 +V +p1724 +tp1725 +a(g7 +V# Directories only +p1726 +tp1727 +a(g822 +V\u000a +p1728 +tp1729 +a(g677 +Vcomplete +p1730 +tp1731 +a(g677 +Vchdir +p1732 +tp1733 +a(g822 +Vp/1/d/ +p1734 +tp1735 +a(g822 +V\u000a +p1736 +tp1737 +a(g677 +Vcomplete +p1738 +tp1739 +a(g677 +Vpushd +p1740 +tp1741 +a(g822 +Vp/1/d/ +p1742 +tp1743 +a(g822 +V\u000a +p1744 +tp1745 +a(g677 +Vcomplete +p1746 +tp1747 +a(g677 +Vpopd +p1748 +tp1749 +a(g822 +Vp/1/d/ +p1750 +tp1751 +a(g822 +V\u000a +p1752 +tp1753 +a(g677 +Vcomplete +p1754 +tp1755 +a(g822 +Vpu +p1756 +tp1757 +a(g822 +V +p1758 +tp1759 +a(g822 +Vp/1/d/ +p1760 +tp1761 +a(g822 +V\u000a +p1762 +tp1763 +a(g677 +Vcomplete +p1764 +tp1765 +a(g822 +Vpo +p1766 +tp1767 +a(g822 +V +p1768 +tp1769 +a(g822 +Vp/1/d/ +p1770 +tp1771 +a(g822 +V\u000a +p1772 +tp1773 +a(g677 +Vcomplete +p1774 +tp1775 +a(g677 +Vcomplete +p1776 +tp1777 +a(g822 +Vp/1/X/ +p1778 +tp1779 +a(g822 +V +p1780 +tp1781 +a(g7 +V# Completions only +p1782 +tp1783 +a(g822 +V\u000a +p1784 +tp1785 +a(g677 +Vcomplete +p1786 +tp1787 +a(g677 +Vuncomplete +p1788 +tp1789 +a(g822 +Vn/*/X/ +p1790 +tp1791 +a(g822 +V\u000a +p1792 +tp1793 +a(g677 +Vcomplete +p1794 +tp1795 +a(g677 +Vexec +p1796 +tp1797 +a(g822 +Vp/1/c/ +p1798 +tp1799 +a(g822 +V +p1800 +tp1801 +a(g7 +V# Commands only +p1802 +tp1803 +a(g822 +V\u000a +p1804 +tp1805 +a(g677 +Vcomplete +p1806 +tp1807 +a(g822 +Vtrace +p1808 +tp1809 +a(g822 +V +p1810 +tp1811 +a(g822 +Vp/1/c/ +p1812 +tp1813 +a(g822 +V\u000a +p1814 +tp1815 +a(g677 +Vcomplete +p1816 +tp1817 +a(g822 +Vstrace +p1818 +tp1819 +a(g822 +V +p1820 +tp1821 +a(g822 +Vp/1/c/ +p1822 +tp1823 +a(g822 +V\u000a +p1824 +tp1825 +a(g677 +Vcomplete +p1826 +tp1827 +a(g677 +Vwhich +p1828 +tp1829 +a(g822 +Vn/*/c/ +p1830 +tp1831 +a(g822 +V\u000a +p1832 +tp1833 +a(g677 +Vcomplete +p1834 +tp1835 +a(g677 +Vwhere +p1836 +tp1837 +a(g822 +Vn/*/c/ +p1838 +tp1839 +a(g822 +V\u000a +p1840 +tp1841 +a(g677 +Vcomplete +p1842 +tp1843 +a(g822 +Vskill +p1844 +tp1845 +a(g822 +V +p1846 +tp1847 +a(g822 +Vp/1/c/ +p1848 +tp1849 +a(g822 +V\u000a +p1850 +tp1851 +a(g677 +Vcomplete +p1852 +tp1853 +a(g822 +Vdde +p1854 +tp1855 +a(g822 +g1596 +tp1856 +a(g822 +Vp/1/c/ +p1857 +tp1858 +a(g822 +V \u000a +p1859 +tp1860 +a(g677 +Vcomplete +p1861 +tp1862 +a(g822 +Vadb +p1863 +tp1864 +a(g822 +g1596 +tp1865 +a(g822 +Vc/-I/d/ +p1866 +tp1867 +a(g822 +g1111 +tp1868 +a(g822 +Vn/-/c/ +p1869 +tp1870 +a(g822 +g1111 +tp1871 +a(g822 +VN/-/ +p1872 +tp1873 +a(g152 +V"(core)" +p1874 +tp1875 +a(g822 +g1618 +tp1876 +a(g822 +g1111 +tp1877 +a(g822 +Vp/1/c/ +p1878 +tp1879 +a(g822 +g1111 +tp1880 +a(g822 +Vp/2/ +p1881 +tp1882 +a(g152 +V"(core)" +p1883 +tp1884 +a(g822 +g1618 +tp1885 +a(g822 +V\u000a +p1886 +tp1887 +a(g677 +Vcomplete +p1888 +tp1889 +a(g822 +Vsdb +p1890 +tp1891 +a(g822 +g1596 +tp1892 +a(g822 +Vp/1/c/ +p1893 +tp1894 +a(g822 +V\u000a +p1895 +tp1896 +a(g677 +Vcomplete +p1897 +tp1898 +a(g822 +Vdbx +p1899 +tp1900 +a(g822 +g1596 +tp1901 +a(g822 +Vc/-I/d/ +p1902 +tp1903 +a(g822 +g1111 +tp1904 +a(g822 +Vn/-/c/ +p1905 +tp1906 +a(g822 +g1111 +tp1907 +a(g822 +VN/-/ +p1908 +tp1909 +a(g152 +V"(core)" +p1910 +tp1911 +a(g822 +g1618 +tp1912 +a(g822 +g1111 +tp1913 +a(g822 +Vp/1/c/ +p1914 +tp1915 +a(g822 +g1111 +tp1916 +a(g822 +Vp/2/ +p1917 +tp1918 +a(g152 +V"(core)" +p1919 +tp1920 +a(g822 +g1618 +tp1921 +a(g822 +V\u000a +p1922 +tp1923 +a(g677 +Vcomplete +p1924 +tp1925 +a(g822 +Vxdb +p1926 +tp1927 +a(g822 +g1596 +tp1928 +a(g822 +Vp/1/c/ +p1929 +tp1930 +a(g822 +V\u000a +p1931 +tp1932 +a(g677 +Vcomplete +p1933 +tp1934 +a(g822 +Vgdb +p1935 +tp1936 +a(g822 +g1596 +tp1937 +a(g822 +Vn/-d/d/ +p1938 +tp1939 +a(g822 +g1111 +tp1940 +a(g822 +Vn/*/c/ +p1941 +tp1942 +a(g822 +V\u000a +p1943 +tp1944 +a(g677 +Vcomplete +p1945 +tp1946 +a(g822 +Vups +p1947 +tp1948 +a(g822 +g1596 +tp1949 +a(g822 +Vp/1/c/ +p1950 +tp1951 +a(g822 +V\u000a +p1952 +tp1953 +a(g677 +Vcomplete +p1954 +tp1955 +a(g677 +Vset +p1956 +tp1957 +a(g822 +g1596 +tp1958 +a(g225 +V'c/*=/f/' +p1959 +tp1960 +a(g822 +g1111 +tp1961 +a(g225 +V'p/1/s/=' +p1962 +tp1963 +a(g822 +g1111 +tp1964 +a(g225 +V'n/=/f/' +p1965 +tp1966 +a(g822 +V\u000a +p1967 +tp1968 +a(g677 +Vcomplete +p1969 +tp1970 +a(g677 +Vunset +p1971 +tp1972 +a(g822 +Vn/*/s/ +p1973 +tp1974 +a(g822 +V\u000a +p1975 +tp1976 +a(g677 +Vcomplete +p1977 +tp1978 +a(g677 +Valias +p1979 +tp1980 +a(g822 +Vp/1/a/ +p1981 +tp1982 +a(g822 +V +p1983 +tp1984 +a(g7 +V# only aliases are valid +p1985 +tp1986 +a(g822 +V\u000a +p1987 +tp1988 +a(g677 +Vcomplete +p1989 +tp1990 +a(g677 +Vunalias +p1991 +tp1992 +a(g822 +Vn/*/a/ +p1993 +tp1994 +a(g822 +V\u000a +p1995 +tp1996 +a(g677 +Vcomplete +p1997 +tp1998 +a(g822 +Vxdvi +p1999 +tp2000 +a(g822 +V +p2001 +tp2002 +a(g822 +Vn/*/f:*.dvi/ +p2003 +tp2004 +a(g822 +g1596 +tp2005 +a(g7 +V# Only files that match *.dvi +p2006 +tp2007 +a(g822 +V\u000a +p2008 +tp2009 +a(g677 +Vcomplete +p2010 +tp2011 +a(g822 +Vdvips +p2012 +tp2013 +a(g822 +V +p2014 +tp2015 +a(g822 +Vn/*/f:*.dvi/ +p2016 +tp2017 +a(g822 +V\u000a +p2018 +tp2019 +a(g740 +Vif +p2020 +tp2021 +a(g822 +g1111 +tp2022 +a(g408 +g1113 +tp2023 +a(g436 +V$? +p2024 +tp2025 +a(g822 +Vtraditilnal_tex_complete +p2026 +tp2027 +a(g408 +g1119 +tp2028 +a(g822 +g1111 +tp2029 +a(g740 +Vthen\u000a +p2030 +tp2031 +a(g677 +Vcomplete +p2032 +tp2033 +a(g822 +Vtex +p2034 +tp2035 +a(g822 +V +p2036 +tp2037 +a(g822 +Vn/*/f:*.tex/ +p2038 +tp2039 +a(g822 +g1596 +tp2040 +a(g7 +V# Only files that match *.tex +p2041 +tp2042 +a(g822 +V\u000a +p2043 +tp2044 +a(g740 +Velse\u000a +p2045 +tp2046 +a(g677 +Vcomplete +p2047 +tp2048 +a(g822 +Vtex +p2049 +tp2050 +a(g822 +V +p2051 +tp2052 +a(g822 +Vn/*/f:*. +p2053 +tp2054 +a(g408 +V{ +p2055 +tp2056 +a(g822 +Vtex,texi +p2057 +tp2058 +a(g408 +V} +p2059 +tp2060 +a(g822 +g1618 +tp2061 +a(g822 +g1596 +tp2062 +a(g7 +V# Files that match *.tex and *.texi +p2063 +tp2064 +a(g822 +V\u000a +p2065 +tp2066 +a(g740 +Vendif\u000a +p2067 +tp2068 +a(g677 +Vcomplete +p2069 +tp2070 +a(g822 +Vlatex +p2071 +tp2072 +a(g822 +V +p2073 +tp2074 +a(g822 +Vn/*/f:*. +p2075 +tp2076 +a(g408 +g2055 +tp2077 +a(g822 +Vtex,ltx +p2078 +tp2079 +a(g408 +g2059 +tp2080 +a(g822 +g1618 +tp2081 +a(g822 +V\u000a +p2082 +tp2083 +a(g677 +Vcomplete +p2084 +tp2085 +a(g822 +Vsu +p2086 +tp2087 +a(g822 +V +p2088 +tp2089 +a(g822 +Vc/--/ +p2090 +tp2091 +a(g152 +V"(login fast preserve-environment command shell \u005c\u000a help version)" +p2092 +tp2093 +a(g822 +g1618 +tp2094 +a(g822 +g1596 +tp2095 +a(g822 +Vc/-/ +p2096 +tp2097 +a(g152 +V"(f l m p c s -)" +p2098 +tp2099 +a(g822 +g1618 +tp2100 +a(g822 +g1111 +tp2101 +a(g208 +V\u005c\u000a +p2102 +tp2103 +a(g822 +V +p2104 +tp2105 +a(g822 +Vn/ +p2106 +tp2107 +a(g408 +g2055 +tp2108 +a(g822 +V-c,--command +p2109 +tp2110 +a(g408 +g2059 +tp2111 +a(g822 +V/c/ +p2112 +tp2113 +a(g822 +g1111 +tp2114 +a(g208 +V\u005c\u000a +p2115 +tp2116 +a(g822 +V +p2117 +tp2118 +a(g822 +Vn@ +p2119 +tp2120 +a(g408 +g2055 +tp2121 +a(g822 +V-s,--shell +p2122 +tp2123 +a(g408 +g2059 +tp2124 +a(g822 +V@ +p2125 +tp2126 +a(g225 +V'`cat /etc/shells`' +p2127 +tp2128 +a(g822 +g2125 +tp2129 +a(g822 +g1111 +tp2130 +a(g822 +Vn/*/u/ +p2131 +tp2132 +a(g822 +V\u000a +p2133 +tp2134 +a(g677 +Vcomplete +p2135 +tp2136 +a(g822 +Vcc +p2137 +tp2138 +a(g822 +V +p2139 +tp2140 +a(g822 +Vc/- +p2141 +tp2142 +a(g408 +V[ +p2143 +tp2144 +a(g822 +VIL +p2145 +tp2146 +a(g408 +V] +p2147 +tp2148 +a(g822 +V/d/ +p2149 +tp2150 +a(g822 +g1111 +tp2151 +a(g208 +V\u005c\u000a +p2152 +tp2153 +a(g822 +V +p2154 +tp2155 +a(g822 +Vc@-l@ +p2156 +tp2157 +a(g225 +V'`\u005cls -1 /usr/lib/lib*.a | sed s%^.\u005c*/lib%%\u005c;s%\u005c\u005c.a\u005c$%%`' +p2158 +tp2159 +a(g822 +g2125 +tp2160 +a(g822 +g1111 +tp2161 +a(g208 +V\u005c\u000a +p2162 +tp2163 +a(g822 +V +p2164 +tp2165 +a(g822 +Vc/-/ +p2166 +tp2167 +a(g152 +V"(o l c g L I D U)" +p2168 +tp2169 +a(g822 +g1618 +tp2170 +a(g822 +g1111 +tp2171 +a(g822 +Vn/*/f:*. +p2172 +tp2173 +a(g408 +g2143 +tp2174 +a(g822 +Vcoasi +p2175 +tp2176 +a(g408 +g2147 +tp2177 +a(g822 +g1618 +tp2178 +a(g822 +V\u000a +p2179 +tp2180 +a(g677 +Vcomplete +p2181 +tp2182 +a(g822 +Vacc +p2183 +tp2184 +a(g822 +V +p2185 +tp2186 +a(g822 +Vc/- +p2187 +tp2188 +a(g408 +g2143 +tp2189 +a(g822 +VIL +p2190 +tp2191 +a(g408 +g2147 +tp2192 +a(g822 +V/d/ +p2193 +tp2194 +a(g822 +g1111 +tp2195 +a(g208 +V\u005c\u000a +p2196 +tp2197 +a(g822 +V +p2198 +tp2199 +a(g822 +Vc@-l@ +p2200 +tp2201 +a(g225 +V'`\u005cls -1 /usr/lang/SC1.0/lib*.a | sed s%^.\u005c*/lib%%\u005c;s%\u005c\u005c.a\u005c$%%`' +p2202 +tp2203 +a(g822 +g2125 +tp2204 +a(g822 +g1111 +tp2205 +a(g208 +V\u005c\u000a +p2206 +tp2207 +a(g822 +V +p2208 +tp2209 +a(g822 +Vc/-/ +p2210 +tp2211 +a(g152 +V"(o l c g L I D U)" +p2212 +tp2213 +a(g822 +g1618 +tp2214 +a(g822 +g1111 +tp2215 +a(g822 +Vn/*/f:*. +p2216 +tp2217 +a(g408 +g2143 +tp2218 +a(g822 +Vcoasi +p2219 +tp2220 +a(g408 +g2147 +tp2221 +a(g822 +g1618 +tp2222 +a(g822 +V\u000a +p2223 +tp2224 +a(g677 +Vcomplete +p2225 +tp2226 +a(g822 +Vgcc +p2227 +tp2228 +a(g822 +V +p2229 +tp2230 +a(g822 +Vc/- +p2231 +tp2232 +a(g408 +g2143 +tp2233 +a(g822 +VIL +p2234 +tp2235 +a(g408 +g2147 +tp2236 +a(g822 +V/d/ +p2237 +tp2238 +a(g822 +g1111 +tp2239 +a(g208 +V\u005c\u000a +p2240 +tp2241 +a(g822 +V +p2242 +tp2243 +a(g822 +Vc/-f/ +p2244 +tp2245 +a(g152 +V"(caller-saves cse-follow-jumps delayed-branch \u005c\u000a elide-constructors expensive-optimizations \u005c\u000a float-store force-addr force-mem inline \u005c\u000a inline-functions keep-inline-functions \u005c\u000a memoize-lookups no-default-inline \u005c\u000a no-defer-pop no-function-cse omit-frame-pointer \u005c\u000a rerun-cse-after-loop schedule-insns \u005c\u000a schedule-insns2 strength-reduce \u005c\u000a thread-jumps unroll-all-loops \u005c\u000a unroll-loops syntax-only all-virtual \u005c\u000a cond-mismatch dollars-in-identifiers \u005c\u000a enum-int-equiv no-asm no-builtin \u005c\u000a no-strict-prototype signed-bitfields \u005c\u000a signed-char this-is-variable unsigned-bitfields \u005c\u000a unsigned-char writable-strings call-saved-reg \u005c\u000a call-used-reg fixed-reg no-common \u005c\u000a no-gnu-binutils nonnull-objects \u005c\u000a pcc-struct-return pic PIC shared-data \u005c\u000a short-enums short-double volatile)" +p2246 +tp2247 +a(g822 +g1618 +tp2248 +a(g822 +g1111 +tp2249 +a(g208 +V\u005c\u000a +p2250 +tp2251 +a(g822 +V +p2252 +tp2253 +a(g822 +Vc/-W/ +p2254 +tp2255 +a(g152 +V"(all aggregate-return cast-align cast-qual \u005c\u000a comment conversion enum-clash error format \u005c\u000a id-clash-len implicit missing-prototypes \u005c\u000a no-parentheses pointer-arith return-type shadow \u005c\u000a strict-prototypes switch uninitialized unused \u005c\u000a write-strings)" +p2256 +tp2257 +a(g822 +g1618 +tp2258 +a(g822 +g1111 +tp2259 +a(g208 +V\u005c\u000a +p2260 +tp2261 +a(g822 +V +p2262 +tp2263 +a(g822 +Vc/-m/ +p2264 +tp2265 +a(g152 +V"(68000 68020 68881 bitfield fpa nobitfield rtd \u005c\u000a short c68000 c68020 soft-float g gnu unix fpu \u005c\u000a no-epilogue)" +p2266 +tp2267 +a(g822 +g1618 +tp2268 +a(g822 +g1111 +tp2269 +a(g208 +V\u005c\u000a +p2270 +tp2271 +a(g822 +V +p2272 +tp2273 +a(g822 +Vc/-d/ +p2274 +tp2275 +a(g152 +V"(D M N)" +p2276 +tp2277 +a(g822 +g1618 +tp2278 +a(g822 +g1111 +tp2279 +a(g208 +V\u005c\u000a +p2280 +tp2281 +a(g822 +V +p2282 +tp2283 +a(g822 +Vc/-/ +p2284 +tp2285 +a(g152 +V"(f W vspec v vpath ansi traditional \u005c\u000a traditional-cpp trigraphs pedantic x o l c g L \u005c\u000a I D U O O2 C E H B b V M MD MM i dynamic \u005c\u000a nodtdlib static nostdinc undef)" +p2286 +tp2287 +a(g822 +g1618 +tp2288 +a(g822 +g1111 +tp2289 +a(g208 +V\u005c\u000a +p2290 +tp2291 +a(g822 +V +p2292 +tp2293 +a(g822 +Vc/-l/f:*.a/ +p2294 +tp2295 +a(g822 +g1111 +tp2296 +a(g208 +V\u005c\u000a +p2297 +tp2298 +a(g822 +V +p2299 +tp2300 +a(g822 +Vn/*/f:*. +p2301 +tp2302 +a(g408 +g2055 +tp2303 +a(g822 +Vc,C,cc,o,a,s,i +p2304 +tp2305 +a(g408 +g2059 +tp2306 +a(g822 +g1618 +tp2307 +a(g822 +V\u000a +p2308 +tp2309 +a(g677 +Vcomplete +p2310 +tp2311 +a(g822 +Vg++ +p2312 +tp2313 +a(g822 +V +p2314 +tp2315 +a(g822 +Vn/*/f:*. +p2316 +tp2317 +a(g408 +g2055 +tp2318 +a(g822 +VC,cc,o,s,i +p2319 +tp2320 +a(g408 +g2059 +tp2321 +a(g822 +g1618 +tp2322 +a(g822 +V\u000a +p2323 +tp2324 +a(g677 +Vcomplete +p2325 +tp2326 +a(g822 +VCC +p2327 +tp2328 +a(g822 +V +p2329 +tp2330 +a(g822 +Vn/*/f:*. +p2331 +tp2332 +a(g408 +g2055 +tp2333 +a(g822 +VC,cc,o,s,i +p2334 +tp2335 +a(g408 +g2059 +tp2336 +a(g822 +g1618 +tp2337 +a(g822 +V\u000a +p2338 +tp2339 +a(g740 +Vif +p2340 +tp2341 +a(g822 +g1111 +tp2342 +a(g408 +g1113 +tp2343 +a(g436 +V$? +p2344 +tp2345 +a(g822 +Vfoolproof_rm_complete +p2346 +tp2347 +a(g408 +g1119 +tp2348 +a(g822 +g1111 +tp2349 +a(g740 +Vthen\u000a +p2350 +tp2351 +a(g677 +Vcomplete +p2352 +tp2353 +a(g822 +Vrm +p2354 +tp2355 +a(g822 +V +p2356 +tp2357 +a(g822 +Vc/--/ +p2358 +tp2359 +a(g152 +V"(directory force interactive verbose \u005c\u000a recursive help version)" +p2360 +tp2361 +a(g822 +g1618 +tp2362 +a(g822 +g1111 +tp2363 +a(g822 +Vc/-/ +p2364 +tp2365 +a(g152 +V"(d f i v r R -)" +p2366 +tp2367 +a(g822 +g1618 +tp2368 +a(g822 +g1111 +tp2369 +a(g208 +V\u005c\u000a +p2370 +tp2371 +a(g822 +V +p2372 +tp2373 +a(g822 +Vn/*/f:^*. +p2374 +tp2375 +a(g408 +g2055 +tp2376 +a(g822 +Vc,cc,C,h,in +p2377 +tp2378 +a(g408 +g2059 +tp2379 +a(g822 +g1618 +tp2380 +a(g822 +g1596 +tp2381 +a(g7 +V# Protect precious files +p2382 +tp2383 +a(g822 +V\u000a +p2384 +tp2385 +a(g740 +Velse\u000a +p2386 +tp2387 +a(g677 +Vcomplete +p2388 +tp2389 +a(g822 +Vrm +p2390 +tp2391 +a(g822 +V +p2392 +tp2393 +a(g822 +Vc/--/ +p2394 +tp2395 +a(g152 +V"(directory force interactive verbose \u005c\u000a recursive help version)" +p2396 +tp2397 +a(g822 +g1618 +tp2398 +a(g822 +g1111 +tp2399 +a(g822 +Vc/-/ +p2400 +tp2401 +a(g152 +V"(d f i v r R -)" +p2402 +tp2403 +a(g822 +g1618 +tp2404 +a(g822 +V\u000a +p2405 +tp2406 +a(g740 +Vendif\u000a +p2407 +tp2408 +a(g677 +Vcomplete +p2409 +tp2410 +a(g822 +Vvi +p2411 +tp2412 +a(g822 +V +p2413 +tp2414 +a(g822 +Vn/*/f:^*. +p2415 +tp2416 +a(g408 +g2143 +tp2417 +a(g822 +Voa +p2418 +tp2419 +a(g408 +g2147 +tp2420 +a(g822 +g1618 +tp2421 +a(g822 +V\u000a +p2422 +tp2423 +a(g677 +Vcomplete +p2424 +tp2425 +a(g677 +Vbindkey +p2426 +tp2427 +a(g822 +VN/-a/b/ +p2428 +tp2429 +a(g822 +g1111 +tp2430 +a(g822 +VN/-c/c/ +p2431 +tp2432 +a(g822 +g1111 +tp2433 +a(g822 +Vn/- +p2434 +tp2435 +a(g408 +g2143 +tp2436 +a(g822 +Vascr +p2437 +tp2438 +a(g408 +g2147 +tp2439 +a(g822 +g1618 +tp2440 +a(g225 +V'x:' +p2441 +tp2442 +a(g822 +g1618 +tp2443 +a(g822 +g1111 +tp2444 +a(g208 +V\u005c\u000a +p2445 +tp2446 +a(g822 +V +p2447 +tp2448 +a(g822 +Vn/- +p2449 +tp2450 +a(g408 +g2143 +tp2451 +a(g822 +Vsvedlr +p2452 +tp2453 +a(g408 +g2147 +tp2454 +a(g822 +V/n/ +p2455 +tp2456 +a(g822 +g1111 +tp2457 +a(g822 +Vc/- +p2458 +tp2459 +a(g408 +g2143 +tp2460 +a(g822 +Vvedl +p2461 +tp2462 +a(g408 +g2147 +tp2463 +a(g822 +V/n/ +p2464 +tp2465 +a(g822 +g1111 +tp2466 +a(g822 +Vc/-/ +p2467 +tp2468 +a(g152 +V"(a s k c v e d l r)" +p2469 +tp2470 +a(g822 +g1618 +tp2471 +a(g208 +V\u005c\u000a +p2472 +tp2473 +a(g822 +V +p2474 +tp2475 +a(g822 +Vn/-k/ +p2476 +tp2477 +a(g152 +V"(left right up down)" +p2478 +tp2479 +a(g822 +g1618 +tp2480 +a(g822 +g1111 +tp2481 +a(g822 +Vp/2-/b/ +p2482 +tp2483 +a(g822 +g1111 +tp2484 +a(g208 +V\u005c\u000a +p2485 +tp2486 +a(g822 +V +p2487 +tp2488 +a(g822 +Vp/1/ +p2489 +tp2490 +a(g225 +V'x:' +p2491 +tp2492 +a(g822 +g1618 +tp2493 +a(g822 +V\u000a\u000a +p2494 +tp2495 +a(g740 +Vif +p2496 +tp2497 +a(g822 +g1111 +tp2498 +a(g408 +g1113 +tp2499 +a(g436 +V$? +p2500 +tp2501 +a(g822 +Vtraditional_find_complete +p2502 +tp2503 +a(g408 +g1119 +tp2504 +a(g822 +g1111 +tp2505 +a(g740 +Vthen\u000a +p2506 +tp2507 +a(g677 +Vcomplete +p2508 +tp2509 +a(g822 +Vfind +p2510 +tp2511 +a(g822 +V +p2512 +tp2513 +a(g822 +Vn/-fstype/ +p2514 +tp2515 +a(g152 +V"(nfs 4.2)" +p2516 +tp2517 +a(g822 +g1618 +tp2518 +a(g822 +g1111 +tp2519 +a(g822 +Vn/-name/f/ +p2520 +tp2521 +a(g822 +g1111 +tp2522 +a(g208 +V\u005c\u000a +p2523 +tp2524 +a(g822 +V +p2525 +tp2526 +a(g822 +Vn/-type/ +p2527 +tp2528 +a(g152 +V"(c b d f p l s)" +p2529 +tp2530 +a(g822 +g1618 +tp2531 +a(g822 +g1111 +tp2532 +a(g822 +Vn/-user/u/ +p2533 +tp2534 +a(g822 +g1111 +tp2535 +a(g822 +Vn/-group/g/ +p2536 +tp2537 +a(g822 +g1111 +tp2538 +a(g208 +V\u005c\u000a +p2539 +tp2540 +a(g822 +V +p2541 +tp2542 +a(g822 +Vn/-exec/c/ +p2543 +tp2544 +a(g822 +g1111 +tp2545 +a(g822 +Vn/-ok/c/ +p2546 +tp2547 +a(g822 +g1111 +tp2548 +a(g822 +Vn/-cpio/f/ +p2549 +tp2550 +a(g822 +g1111 +tp2551 +a(g822 +Vn/-ncpio/f/ +p2552 +tp2553 +a(g822 +g1111 +tp2554 +a(g822 +Vn/-newer/f/ +p2555 +tp2556 +a(g822 +g1111 +tp2557 +a(g208 +V\u005c\u000a +p2558 +tp2559 +a(g822 +V +p2560 +tp2561 +a(g822 +Vc/-/ +p2562 +tp2563 +a(g152 +V"(fstype name perm prune type user nouser \u005c\u000a group nogroup size inum atime mtime ctime exec \u005c\u000a ok print ls cpio ncpio newer xdev depth \u005c\u000a daystart follow maxdepth mindepth noleaf version \u005c\u000a anewer cnewer amin cmin mmin true false uid gid \u005c\u000a ilname iname ipath iregex links lname empty path \u005c\u000a regex used xtype fprint fprint0 fprintf \u005c\u000a print0 printf not a and o or)" +p2564 +tp2565 +a(g822 +g1618 +tp2566 +a(g822 +g1111 +tp2567 +a(g208 +V\u005c\u000a +p2568 +tp2569 +a(g822 +V +p2570 +tp2571 +a(g822 +Vn/*/d/ +p2572 +tp2573 +a(g822 +V\u000a +p2574 +tp2575 +a(g740 +Velse\u000a +p2576 +tp2577 +a(g677 +Vcomplete +p2578 +tp2579 +a(g822 +Vfind +p2580 +tp2581 +a(g822 +V +p2582 +tp2583 +a(g822 +Vn/-fstype/ +p2584 +tp2585 +a(g152 +V"(ufs nfs tmp mfs minix ext2 msdos umsdos vfat proc iso9660 4.2 4.3 local)" +p2586 +tp2587 +a(g822 +g1618 +tp2588 +a(g822 +g1111 +tp2589 +a(g208 +V\u005c\u000a +p2590 +tp2591 +a(g822 +V +p2592 +tp2593 +a(g822 +Vn/-name/f/ +p2594 +tp2595 +a(g822 +g1111 +tp2596 +a(g208 +V\u005c\u000a +p2597 +tp2598 +a(g822 +V +p2599 +tp2600 +a(g822 +Vn/-type/ +p2601 +tp2602 +a(g152 +V"(c b d f p l s)" +p2603 +tp2604 +a(g822 +g1618 +tp2605 +a(g822 +g1111 +tp2606 +a(g822 +Vn/-user/u/ +p2607 +tp2608 +a(g822 +g1111 +tp2609 +a(g822 +Vn/-group/g/ +p2610 +tp2611 +a(g822 +g1111 +tp2612 +a(g208 +V\u005c\u000a +p2613 +tp2614 +a(g822 +V +p2615 +tp2616 +a(g822 +Vn/-exec/c/ +p2617 +tp2618 +a(g822 +g1111 +tp2619 +a(g822 +Vn/-ok/c/ +p2620 +tp2621 +a(g822 +g1111 +tp2622 +a(g822 +Vn/-cpio/f/ +p2623 +tp2624 +a(g822 +g1111 +tp2625 +a(g822 +Vn/-ncpio/f/ +p2626 +tp2627 +a(g822 +g1111 +tp2628 +a(g822 +Vn/-newer/f/ +p2629 +tp2630 +a(g822 +g1111 +tp2631 +a(g208 +V\u005c\u000a +p2632 +tp2633 +a(g822 +V +p2634 +tp2635 +a(g822 +Vc/-/ +p2636 +tp2637 +a(g152 +V"(fstype name perm prune type user nouser \u005c\u000a group nogroup size inum atime mtime ctime exec \u005c\u000a ok print ls cpio ncpio newer xdev depth \u005c\u000a daystart follow maxdepth mindepth noleaf version \u005c\u000a anewer cnewer amin cmin mmin true false uid gid \u005c\u000a ilname iname ipath iregex links lname empty path \u005c\u000a regex used xtype fprint fprint0 fprintf \u005c\u000a print0 printf not a and o or)" +p2638 +tp2639 +a(g822 +g1618 +tp2640 +a(g822 +g1111 +tp2641 +a(g208 +V\u005c\u000a +p2642 +tp2643 +a(g822 +V +p2644 +tp2645 +a(g822 +Vn/*/d/ +p2646 +tp2647 +a(g822 +V\u000a +p2648 +tp2649 +a(g740 +Vendif\u000a +p2650 +tp2651 +a(g677 +Vcomplete +p2652 +tp2653 +a(g822 +g1111 +tp2654 +a(g822 +V-%* +p2655 +tp2656 +a(g822 +g1596 +tp2657 +a(g822 +Vc/%/j/ +p2658 +tp2659 +a(g822 +V +p2660 +tp2661 +a(g7 +V# fill in the jobs builtin +p2662 +tp2663 +a(g822 +V\u000a +p2664 +tp2665 +a(g677 +Vcomplete +p2666 +tp2667 +a(g822 +g1111 +tp2668 +a(g408 +g2055 +tp2669 +a(g677 +Vfg +p2670 +tp2671 +a(g822 +V,bg,stop +p2672 +tp2673 +a(g408 +g2059 +tp2674 +a(g822 +g1596 +tp2675 +a(g822 +Vc/%/j/ +p2676 +tp2677 +a(g822 +g1111 +tp2678 +a(g822 +Vp/1/ +p2679 +tp2680 +a(g152 +V"(%)" +p2681 +tp2682 +a(g822 +V// +p2683 +tp2684 +a(g822 +V\u000a\u000a +p2685 +tp2686 +a(g677 +Vcomplete +p2687 +tp2688 +a(g677 +Vlimit +p2689 +tp2690 +a(g822 +Vc/-/ +p2691 +tp2692 +a(g152 +V"(h)" +p2693 +tp2694 +a(g822 +g1618 +tp2695 +a(g822 +g1111 +tp2696 +a(g822 +Vn/*/l/ +p2697 +tp2698 +a(g822 +V\u000a +p2699 +tp2700 +a(g677 +Vcomplete +p2701 +tp2702 +a(g677 +Vunlimit +p2703 +tp2704 +a(g822 +Vc/-/ +p2705 +tp2706 +a(g152 +V"(h)" +p2707 +tp2708 +a(g822 +g1618 +tp2709 +a(g822 +g1111 +tp2710 +a(g822 +Vn/*/l/ +p2711 +tp2712 +a(g822 +V\u000a\u000a +p2713 +tp2714 +a(g677 +Vcomplete +p2715 +tp2716 +a(g822 +g1111 +tp2717 +a(g822 +V-co* +p2718 +tp2719 +a(g822 +g1596 +tp2720 +a(g822 +Vp/0/ +p2721 +tp2722 +a(g152 +V"(compress)" +p2723 +tp2724 +a(g822 +g1618 +tp2725 +a(g822 +g1596 +tp2726 +a(g7 +V# make compress completion +p2727 +tp2728 +a(g822 +V\u000a +p2729 +tp2730 +a(g7 +V# not ambiguous +p2731 +tp2732 +a(g822 +V\u000a +p2733 +tp2734 +a(g740 +Vif +p2735 +tp2736 +a(g822 +g1111 +tp2737 +a(g408 +g1113 +tp2738 +a(g436 +V$? +p2739 +tp2740 +a(g822 +Vtraditional_zcat_complete +p2741 +tp2742 +a(g408 +g1119 +tp2743 +a(g822 +g1111 +tp2744 +a(g740 +Vthen\u000a +p2745 +tp2746 +a(g677 +Vcomplete +p2747 +tp2748 +a(g822 +Vzcat +p2749 +tp2750 +a(g822 +g1596 +tp2751 +a(g822 +Vn/*/f:*.Z/ +p2752 +tp2753 +a(g822 +V\u000a +p2754 +tp2755 +a(g740 +Velse\u000a +p2756 +tp2757 +a(g677 +Vcomplete +p2758 +tp2759 +a(g822 +Vzcat +p2760 +tp2761 +a(g822 +g1596 +tp2762 +a(g822 +Vc/--/ +p2763 +tp2764 +a(g152 +V"(force help license quiet version)" +p2765 +tp2766 +a(g822 +g1618 +tp2767 +a(g822 +g1111 +tp2768 +a(g208 +V\u005c\u000a +p2769 +tp2770 +a(g822 +V +p2771 +tp2772 +a(g822 +Vc/-/ +p2773 +tp2774 +a(g152 +V"(f h L q V -)" +p2775 +tp2776 +a(g822 +g1618 +tp2777 +a(g822 +g1111 +tp2778 +a(g822 +Vn/*/f:*. +p2779 +tp2780 +a(g408 +g2055 +tp2781 +a(g822 +Vgz,Z,z,zip +p2782 +tp2783 +a(g408 +g2059 +tp2784 +a(g822 +g1618 +tp2785 +a(g822 +V\u000a +p2786 +tp2787 +a(g740 +Vendif\u000a +p2788 +tp2789 +a(g740 +Vif +p2790 +tp2791 +a(g822 +g1111 +tp2792 +a(g408 +g1113 +tp2793 +a(g436 +V$? +p2794 +tp2795 +a(g822 +Vtraditional_nm_complete +p2796 +tp2797 +a(g408 +g1119 +tp2798 +a(g822 +g1111 +tp2799 +a(g740 +Vthen\u000a +p2800 +tp2801 +a(g677 +Vcomplete +p2802 +tp2803 +a(g822 +Vnm +p2804 +tp2805 +a(g822 +V +p2806 +tp2807 +a(g822 +Vn/*/f:^*. +p2808 +tp2809 +a(g408 +g2055 +tp2810 +a(g822 +Vh,C,c,cc +p2811 +tp2812 +a(g408 +g2059 +tp2813 +a(g822 +g1618 +tp2814 +a(g822 +V\u000a +p2815 +tp2816 +a(g740 +Velse\u000a +p2817 +tp2818 +a(g677 +Vcomplete +p2819 +tp2820 +a(g822 +Vnm +p2821 +tp2822 +a(g822 +g1596 +tp2823 +a(g225 +V'c/--radix=/x:/' +p2824 +tp2825 +a(g822 +g1111 +tp2826 +a(g208 +V\u005c\u000a +p2827 +tp2828 +a(g822 +V +p2829 +tp2830 +a(g225 +V'c/--target=/x:/' +p2831 +tp2832 +a(g822 +g1111 +tp2833 +a(g208 +V\u005c\u000a +p2834 +tp2835 +a(g822 +V +p2836 +tp2837 +a(g225 +V'c/--format=/(bsd sysv posix)/n/' +p2838 +tp2839 +a(g822 +g1111 +tp2840 +a(g208 +V\u005c\u000a +p2841 +tp2842 +a(g822 +V +p2843 +tp2844 +a(g225 +V'c/--/(debugsyms extern-only demangle dynamic print-armap \u005c\u000a print-file-name numeric-sort no-sort reverse-sort \u005c\u000a size-sort undefined-only portability target= radix= \u005c\u000a format= defined-only\u005c line-numbers no-demangle version \u005c\u000a help)//' +p2845 +tp2846 +a(g822 +g1111 +tp2847 +a(g208 +V\u005c\u000a +p2848 +tp2849 +a(g822 +V +p2850 +tp2851 +a(g225 +V'n/*/f:^*.{h,c,cc,s,S}/' +p2852 +tp2853 +a(g822 +V\u000a +p2854 +tp2855 +a(g740 +Vendif\u000a\u000a +p2856 +tp2857 +a(g677 +Vcomplete +p2858 +tp2859 +a(g822 +Vfinger +p2860 +tp2861 +a(g822 +g1596 +tp2862 +a(g822 +Vc/*@/ +p2863 +tp2864 +a(g208 +V\u005c$ +p2865 +tp2866 +a(g822 +Vhosts/ +p2867 +tp2868 +a(g822 +g1111 +tp2869 +a(g822 +Vn/*/u/@ +p2870 +tp2871 +a(g822 +V \u000a +p2872 +tp2873 +a(g677 +Vcomplete +p2874 +tp2875 +a(g822 +Vping +p2876 +tp2877 +a(g822 +g1596 +tp2878 +a(g822 +Vp/1/ +p2879 +tp2880 +a(g208 +V\u005c$ +p2881 +tp2882 +a(g822 +Vhosts/ +p2883 +tp2884 +a(g822 +V\u000a +p2885 +tp2886 +a(g677 +Vcomplete +p2887 +tp2888 +a(g822 +Vtraceroute +p2889 +tp2890 +a(g822 +g1596 +tp2891 +a(g822 +Vp/1/ +p2892 +tp2893 +a(g208 +V\u005c$ +p2894 +tp2895 +a(g822 +Vhosts/ +p2896 +tp2897 +a(g822 +V\u000a\u000a +p2898 +tp2899 +a(g677 +Vcomplete +p2900 +tp2901 +a(g822 +g1111 +tp2902 +a(g408 +g2055 +tp2903 +a(g822 +Vtalk,ntalk,phone +p2904 +tp2905 +a(g408 +g2059 +tp2906 +a(g822 +g1596 +tp2907 +a(g822 +Vp/1/ +p2908 +tp2909 +a(g225 +V'`users | tr " " "\u005c012" | uniq`' +p2910 +tp2911 +a(g822 +g1618 +tp2912 +a(g822 +g1111 +tp2913 +a(g208 +V\u005c\u000a +p2914 +tp2915 +a(g822 +V +p2916 +tp2917 +a(g822 +Vn/*/ +p2918 +tp2919 +a(g208 +V\u005c` +p2920 +tp2921 +a(g822 +Vwho +p2922 +tp2923 +a(g208 +V\u005c +p2924 +tp2925 +a(g208 +V\u005c| +p2926 +tp2927 +a(g208 +V\u005c +p2928 +tp2929 +a(g822 +Vgrep +p2930 +tp2931 +a(g208 +V\u005c +p2932 +tp2933 +a(g208 +V\u005c$ +p2934 +tp2935 +a(g822 +V:1 +p2936 +tp2937 +a(g208 +V\u005c +p2938 +tp2939 +a(g208 +V\u005c| +p2940 +tp2941 +a(g208 +V\u005c +p2942 +tp2943 +a(g822 +Vawk +p2944 +tp2945 +a(g208 +V\u005c +p2946 +tp2947 +a(g208 +V\u005c' +p2948 +tp2949 +a(g208 +V\u005c{ +p2950 +tp2951 +a(g208 +V\u005c +p2952 +tp2953 +a(g822 +Vprint +p2954 +tp2955 +a(g208 +V\u005c +p2956 +tp2957 +a(g208 +V\u005c$ +p2958 +tp2959 +a(g822 +V2 +p2960 +tp2961 +a(g208 +V\u005c +p2962 +tp2963 +a(g208 +V\u005c} +p2964 +tp2965 +a(g208 +V\u005c' +p2966 +tp2967 +a(g208 +V\u005c` +p2968 +tp2969 +a(g822 +g1618 +tp2970 +a(g822 +V\u000a\u000a +p2971 +tp2972 +a(g677 +Vcomplete +p2973 +tp2974 +a(g822 +Vftp +p2975 +tp2976 +a(g822 +g1596 +tp2977 +a(g822 +Vc/-/ +p2978 +tp2979 +a(g152 +V"(d i g n v)" +p2980 +tp2981 +a(g822 +g1618 +tp2982 +a(g822 +g1111 +tp2983 +a(g822 +Vn/-/ +p2984 +tp2985 +a(g208 +V\u005c$ +p2986 +tp2987 +a(g822 +Vhosts/ +p2988 +tp2989 +a(g822 +g1111 +tp2990 +a(g822 +Vp/1/ +p2991 +tp2992 +a(g208 +V\u005c$ +p2993 +tp2994 +a(g822 +Vhosts/ +p2995 +tp2996 +a(g822 +g1111 +tp2997 +a(g822 +Vn/*/n/ +p2998 +tp2999 +a(g822 +V\u000a\u000a +p3000 +tp3001 +a(g7 +V# this one is simple... +p3002 +tp3003 +a(g822 +V\u000a +p3004 +tp3005 +a(g7 +V#complete rcp c/*:/f/ C@[./\u005c$~]*@f@ n/*/\u005c$hosts/: +p3006 +tp3007 +a(g822 +V\u000a +p3008 +tp3009 +a(g7 +V# From Michael Schroeder +p3010 +tp3011 +a(g822 +V\u000a +p3012 +tp3013 +a(g7 +V# This one will rsh to the file to fetch the list of files! +p3014 +tp3015 +a(g822 +V\u000a +p3016 +tp3017 +a(g677 +Vcomplete +p3018 +tp3019 +a(g822 +Vrcp +p3020 +tp3021 +a(g822 +g1111 +tp3022 +a(g225 +V'c%*@*:%`set q=$:-0;set q="$q:s/@/ /";set q="$q:s/:/ /";set q=($q " ");rsh $q[2] -l $q[1] ls -dp $q[3]\u005c*`%' +p3023 +tp3024 +a(g822 +g1111 +tp3025 +a(g225 +V'c%*:%`set q=$:-0;set q="$q:s/:/ /";set q=($q " ");rsh $q[1] ls -dp $q[2]\u005c*`%' +p3026 +tp3027 +a(g822 +g1111 +tp3028 +a(g225 +V'c%*@%$hosts%:' +p3029 +tp3030 +a(g822 +g1111 +tp3031 +a(g225 +V'C@[./$~]*@f@' +p3032 +tp3033 +a(g822 +V +p3034 +tp3035 +a(g225 +V'n/*/$hosts/:' +p3036 +tp3037 +a(g822 +V\u000a\u000a +p3038 +tp3039 +a(g677 +Vcomplete +p3040 +tp3041 +a(g822 +Vdd +p3042 +tp3043 +a(g822 +g1111 +tp3044 +a(g822 +Vc/--/ +p3045 +tp3046 +a(g152 +V"(help version)" +p3047 +tp3048 +a(g822 +g1618 +tp3049 +a(g822 +g1111 +tp3050 +a(g822 +Vc/ +p3051 +tp3052 +a(g408 +g2143 +tp3053 +a(g822 +Vio +p3054 +tp3055 +a(g408 +g2147 +tp3056 +a(g436 +g1363 +tp3057 +a(g408 +g1232 +tp3058 +a(g822 +V/f/ +p3059 +tp3060 +a(g822 +g1111 +tp3061 +a(g208 +V\u005c\u000a +p3062 +tp3063 +a(g822 +V +p3064 +tp3065 +a(g822 +Vc/conv +p3066 +tp3067 +a(g408 +g1232 +tp3068 +a(g822 +V*,/ +p3069 +tp3070 +a(g152 +V"(ascii ebcdic ibm block unblock \u005c\u000a lcase ucase swab noerror sync)" +p3071 +tp3072 +a(g822 +V/, +p3073 +tp3074 +a(g208 +V\u005c\u000a +p3075 +tp3076 +a(g822 +V +p3077 +tp3078 +a(g822 +Vc/conv +p3079 +tp3080 +a(g408 +g1232 +tp3081 +a(g822 +g1618 +tp3082 +a(g152 +V"(ascii ebcdic ibm block unblock \u005c\u000a lcase ucase swab noerror sync)" +p3083 +tp3084 +a(g822 +V/, +p3085 +tp3086 +a(g208 +V\u005c\u000a +p3087 +tp3088 +a(g822 +V +p3089 +tp3090 +a(g822 +Vc/* +p3091 +tp3092 +a(g408 +g1232 +tp3093 +a(g822 +V/x: +p3094 +tp3095 +a(g225 +V'' +p3096 +tp3097 +a(g822 +g1618 +tp3098 +a(g822 +g1111 +tp3099 +a(g208 +V\u005c\u000a +p3100 +tp3101 +a(g822 +V +p3102 +tp3103 +a(g822 +Vn/*/ +p3104 +tp3105 +a(g152 +V"(if of conv ibs obs bs cbs files skip file seek count)" +p3106 +tp3107 +a(g822 +g1618 +tp3108 +a(g408 +g1232 +tp3109 +a(g822 +V\u000a\u000a +p3110 +tp3111 +a(g677 +Vcomplete +p3112 +tp3113 +a(g822 +Vnslookup +p3114 +tp3115 +a(g822 +V +p3116 +tp3117 +a(g822 +Vp/1/x: +p3118 +tp3119 +a(g225 +V'' +p3120 +tp3121 +a(g822 +g1618 +tp3122 +a(g822 +g1111 +tp3123 +a(g822 +Vp/2/ +p3124 +tp3125 +a(g208 +V\u005c$ +p3126 +tp3127 +a(g822 +Vhosts/ +p3128 +tp3129 +a(g822 +V\u000a\u000a +p3130 +tp3131 +a(g677 +Vcomplete +p3132 +tp3133 +a(g822 +Var +p3134 +tp3135 +a(g822 +g1111 +tp3136 +a(g822 +Vc/ +p3137 +tp3138 +a(g408 +g2143 +tp3139 +a(g822 +Vdmpqrtx +p3140 +tp3141 +a(g408 +g2147 +tp3142 +a(g822 +g1618 +tp3143 +a(g152 +V"(c l o u v a b i)" +p3144 +tp3145 +a(g822 +g1618 +tp3146 +a(g822 +g1111 +tp3147 +a(g822 +Vp/1/ +p3148 +tp3149 +a(g152 +V"(d m p q r t x)" +p3150 +tp3151 +a(g822 +V// +p3152 +tp3153 +a(g822 +g1111 +tp3154 +a(g208 +V\u005c\u000a +p3155 +tp3156 +a(g822 +V +p3157 +tp3158 +a(g822 +Vp/2/f:*.a/ +p3159 +tp3160 +a(g822 +g1111 +tp3161 +a(g822 +Vp/*/f:*.o/ +p3162 +tp3163 +a(g822 +V\u000a\u000a +p3164 +tp3165 +a(g677 +Vcomplete +p3166 +tp3167 +a(g822 +g1111 +tp3168 +a(g408 +g2055 +tp3169 +a(g822 +Vrefile,sprev,snext,scan,pick,rmm,inc,folder,show +p3170 +tp3171 +a(g408 +g2059 +tp3172 +a(g822 +g1111 +tp3173 +a(g208 +V\u005c\u000a +p3174 +tp3175 +a(g822 +V +p3176 +tp3177 +a(g822 +Vc@+@F: +p3178 +tp3179 +a(g436 +V$HOME +p3180 +tp3181 +a(g822 +V/Mail/@ +p3182 +tp3183 +a(g822 +V\u000a\u000a +p3184 +tp3185 +a(g7 +V# these and interrupt handling from Jaap Vermeulen +p3186 +tp3187 +a(g822 +V\u000a +p3188 +tp3189 +a(g677 +Vcomplete +p3190 +tp3191 +a(g822 +g1111 +tp3192 +a(g408 +g2055 +tp3193 +a(g822 +Vrexec,rxexec,rxterm,rmterm +p3194 +tp3195 +a(g408 +g2059 +tp3196 +a(g822 +g1111 +tp3197 +a(g208 +V\u005c\u000a +p3198 +tp3199 +a(g822 +V +p3200 +tp3201 +a(g225 +V'p/1/$hosts/' +p3202 +tp3203 +a(g822 +g1111 +tp3204 +a(g225 +V'c/-/(l L E)/' +p3205 +tp3206 +a(g822 +g1111 +tp3207 +a(g225 +V'n/-l/u/' +p3208 +tp3209 +a(g822 +g1111 +tp3210 +a(g225 +V'n/-L/f/' +p3211 +tp3212 +a(g822 +g1111 +tp3213 +a(g208 +V\u005c\u000a +p3214 +tp3215 +a(g822 +V +p3216 +tp3217 +a(g225 +V'n/-E/e/' +p3218 +tp3219 +a(g822 +g1111 +tp3220 +a(g225 +V'n/*/c/' +p3221 +tp3222 +a(g822 +V\u000a +p3223 +tp3224 +a(g677 +Vcomplete +p3225 +tp3226 +a(g677 +Vkill +p3227 +tp3228 +a(g822 +g1596 +tp3229 +a(g225 +V'c/-/S/' +p3230 +tp3231 +a(g822 +g1111 +tp3232 +a(g225 +V'c/%/j/' +p3233 +tp3234 +a(g822 +g1111 +tp3235 +a(g208 +V\u005c\u000a +p3236 +tp3237 +a(g822 +V +p3238 +tp3239 +a(g225 +V'n/*/`ps -u $LOGNAME | awk ' +p3240 +tp3241 +a(g152 +V"'" +p3242 +tp3243 +a(g225 +V'{print $1}' +p3244 +tp3245 +a(g152 +V"'" +p3246 +tp3247 +a(g225 +V'`/' +p3248 +tp3249 +a(g822 +V\u000a\u000a +p3250 +tp3251 +a(g7 +V# these from Marc Horowitz +p3252 +tp3253 +a(g822 +V\u000a +p3254 +tp3255 +a(g677 +Vcomplete +p3256 +tp3257 +a(g822 +Vattach +p3258 +tp3259 +a(g822 +g1111 +tp3260 +a(g225 +V'n/-mountpoint/d/' +p3261 +tp3262 +a(g822 +g1111 +tp3263 +a(g225 +V'n/-m/d/' +p3264 +tp3265 +a(g822 +g1111 +tp3266 +a(g225 +V'n/-type/(afs nfs rvd ufs)/' +p3267 +tp3268 +a(g822 +g1111 +tp3269 +a(g208 +V\u005c\u000a +p3270 +tp3271 +a(g822 +V +p3272 +tp3273 +a(g225 +V'n/-t/(afs nfs rvd ufs)/' +p3274 +tp3275 +a(g822 +g1111 +tp3276 +a(g225 +V'n/-user/u/' +p3277 +tp3278 +a(g822 +g1111 +tp3279 +a(g225 +V'n/-U/u/' +p3280 +tp3281 +a(g822 +g1111 +tp3282 +a(g208 +V\u005c\u000a +p3283 +tp3284 +a(g822 +V +p3285 +tp3286 +a(g225 +V'c/-/(verbose quiet force printpath lookup debug map \u005c\u000a nomap remap zephyr nozephyr readonly write \u005c\u000a mountpoint noexplicit explicit type mountoptions \u005c\u000a nosetuid setuid override skipfsck lock user host)/' +p3287 +tp3288 +a(g822 +g1111 +tp3289 +a(g208 +V\u005c\u000a +p3290 +tp3291 +a(g822 +V +p3292 +tp3293 +a(g225 +V'n/-e/f/' +p3294 +tp3295 +a(g822 +g1111 +tp3296 +a(g225 +V'n/*/()/' +p3297 +tp3298 +a(g822 +V\u000a +p3299 +tp3300 +a(g677 +Vcomplete +p3301 +tp3302 +a(g822 +Vhesinfo +p3303 +tp3304 +a(g822 +g1596 +tp3305 +a(g225 +V'p/1/u/' +p3306 +tp3307 +a(g822 +g1111 +tp3308 +a(g208 +V\u005c\u000a +p3309 +tp3310 +a(g822 +V +p3311 +tp3312 +a(g225 +V'p/2/(passwd group uid grplist pcap pobox cluster \u005c\u000a filsys sloc service)/' +p3313 +tp3314 +a(g822 +V\u000a\u000a +p3315 +tp3316 +a(g7 +V# these from E. Jay Berkenbilt +p3317 +tp3318 +a(g822 +V\u000a +p3319 +tp3320 +a(g740 +Vif +p3321 +tp3322 +a(g822 +g1111 +tp3323 +a(g408 +g1113 +tp3324 +a(g436 +V$? +p3325 +tp3326 +a(g822 +Vtraditional_configure_complete +p3327 +tp3328 +a(g408 +g1119 +tp3329 +a(g822 +g1111 +tp3330 +a(g740 +Vthen\u000a +p3331 +tp3332 +a(g677 +Vcomplete +p3333 +tp3334 +a(g822 +g1111 +tp3335 +a(g822 +V./configure +p3336 +tp3337 +a(g822 +g1111 +tp3338 +a(g225 +V'c/--*=/f/' +p3339 +tp3340 +a(g822 +g1111 +tp3341 +a(g225 +V'c/--{cache-file,prefix,srcdir}/(=)//' +p3342 +tp3343 +a(g822 +g1111 +tp3344 +a(g208 +V\u005c\u000a +p3345 +tp3346 +a(g822 +V +p3347 +tp3348 +a(g225 +V'c/--/(cache-file verbose prefix srcdir)//' +p3349 +tp3350 +a(g822 +V\u000a +p3351 +tp3352 +a(g740 +Velse\u000a +p3353 +tp3354 +a(g677 +Vcomplete +p3355 +tp3356 +a(g822 +g1111 +tp3357 +a(g822 +V./configure +p3358 +tp3359 +a(g822 +g1111 +tp3360 +a(g208 +V\u005c\u000a +p3361 +tp3362 +a(g822 +V +p3363 +tp3364 +a(g225 +V'c@--{prefix,exec-prefix,bindir,sbindir,libexecdir,datadir,sysconfdir,sharedstatedir,localstatedir,infodir,mandir,srcdir,x-includes,x-libraries}=*@x:' +p3365 +tp3366 +a(g822 +g2125 +tp3367 +a(g822 +g1111 +tp3368 +a(g208 +V\u005c\u000a +p3369 +tp3370 +a(g822 +V +p3371 +tp3372 +a(g225 +V'c/--cachefile=*/x:/' +p3373 +tp3374 +a(g822 +g1111 +tp3375 +a(g208 +V\u005c\u000a +p3376 +tp3377 +a(g822 +V +p3378 +tp3379 +a(g225 +V'c/--{enable,disable,with}-*/x://' +p3380 +tp3381 +a(g822 +g1111 +tp3382 +a(g208 +V\u005c\u000a +p3383 +tp3384 +a(g822 +V +p3385 +tp3386 +a(g225 +V'c/--*=/x:/' +p3387 +tp3388 +a(g822 +g1111 +tp3389 +a(g208 +V\u005c\u000a +p3390 +tp3391 +a(g822 +V +p3392 +tp3393 +a(g225 +V'c/--/(prefix= exec-prefix= bindir= sbindir= \u005c\u000a libexecdir= datadir= sysconfdir= \u005c\u000a sharedstatedir= localstatedir= infodir= \u005c\u000a mandir= srcdir= x-includes= x-libraries= \u005c\u000a enable- disable- with- )//' +p3394 +tp3395 +a(g822 +g1111 +tp3396 +a(g208 +V\u005c\u000a +p3397 +tp3398 +a(g822 +V +p3399 +tp3400 +a(g225 +V'c/--(help no-create quiet silent version \u005c\u000a verbose)/' +p3401 +tp3402 +a(g822 +V\u000a +p3403 +tp3404 +a(g740 +Vendif\u000a +p3405 +tp3406 +a(g677 +Vcomplete +p3407 +tp3408 +a(g822 +Vgs +p3409 +tp3410 +a(g822 +g1111 +tp3411 +a(g225 +V'c/-sDEVICE=/(x11 cdjmono cdj550 epson eps9high epsonc \u005c\u000a dfaxhigh dfaxlow laserjet ljet4 sparc pbm \u005c\u000a pbmraw pgm pgmraw ppm ppmraw bit)/' +p3412 +tp3413 +a(g822 +g1111 +tp3414 +a(g208 +V\u005c\u000a +p3415 +tp3416 +a(g822 +V +p3417 +tp3418 +a(g225 +V'c/-sOutputFile=/f/' +p3419 +tp3420 +a(g822 +g1111 +tp3421 +a(g225 +V'c/-s/(DEVICE OutputFile)/=' +p3422 +tp3423 +a(g822 +g1111 +tp3424 +a(g208 +V\u005c\u000a +p3425 +tp3426 +a(g822 +V +p3427 +tp3428 +a(g225 +V'c/-d/(NODISPLAY NOPLATFONTS NOPAUSE)/' +p3429 +tp3430 +a(g822 +g1111 +tp3431 +a(g225 +V'n/*/f/' +p3432 +tp3433 +a(g822 +V\u000a +p3434 +tp3435 +a(g677 +Vcomplete +p3436 +tp3437 +a(g822 +Vperl +p3438 +tp3439 +a(g822 +g1596 +tp3440 +a(g225 +V'n/-S/c/' +p3441 +tp3442 +a(g822 +V\u000a +p3443 +tp3444 +a(g677 +Vcomplete +p3445 +tp3446 +a(g677 +Vprintenv +p3447 +tp3448 +a(g822 +g1596 +tp3449 +a(g225 +V'n/*/e/' +p3450 +tp3451 +a(g822 +V\u000a +p3452 +tp3453 +a(g677 +Vcomplete +p3454 +tp3455 +a(g822 +Vsccs +p3456 +tp3457 +a(g822 +g1596 +tp3458 +a(g822 +Vp/1/ +p3459 +tp3460 +a(g152 +V"(admin cdc check clean comb deledit delget \u005c\u000a delta diffs edit enter fix get help info \u005c\u000a print prs prt rmdel sccsdiff tell unedit \u005c\u000a unget val what)" +p3461 +tp3462 +a(g822 +g1618 +tp3463 +a(g822 +V\u000a +p3464 +tp3465 +a(g677 +Vcomplete +p3466 +tp3467 +a(g677 +Vsetenv +p3468 +tp3469 +a(g822 +g1596 +tp3470 +a(g225 +V'p/1/e/' +p3471 +tp3472 +a(g822 +g1111 +tp3473 +a(g225 +V'c/*:/f/' +p3474 +tp3475 +a(g822 +V\u000a\u000a +p3476 +tp3477 +a(g7 +V# these and method of setting hosts from Kimmo Suominen +p3478 +tp3479 +a(g822 +V\u000a +p3480 +tp3481 +a(g740 +Vif +p3482 +tp3483 +a(g822 +g1111 +tp3484 +a(g408 +g1113 +tp3485 +a(g822 +g1111 +tp3486 +a(g822 +V-f +p3487 +tp3488 +a(g822 +g1111 +tp3489 +a(g436 +V$HOME +p3490 +tp3491 +a(g822 +V/.mh_profile +p3492 +tp3493 +a(g822 +g1111 +tp3494 +a(g822 +V&& +p3495 +tp3496 +a(g822 +g1111 +tp3497 +a(g822 +V-x +p3498 +tp3499 +a(g822 +g1111 +tp3500 +a(g152 +V"`which folders`" +p3501 +tp3502 +a(g822 +g1111 +tp3503 +a(g408 +g1119 +tp3504 +a(g822 +g1111 +tp3505 +a(g740 +Vthen \u000a\u000a +p3506 +tp3507 +a(g740 +Vif +p3508 +tp3509 +a(g822 +g1111 +tp3510 +a(g408 +g1113 +tp3511 +a(g822 +g1111 +tp3512 +a(g822 +g1199 +tp3513 +a(g822 +g1111 +tp3514 +a(g436 +V$? +p3515 +tp3516 +a(g822 +VFOLDERS +p3517 +tp3518 +a(g822 +g1111 +tp3519 +a(g408 +g1119 +tp3520 +a(g822 +g1111 +tp3521 +a(g677 +Vsetenv +p3522 +tp3523 +a(g822 +VFOLDERS +p3524 +tp3525 +a(g822 +g1111 +tp3526 +a(g152 +V"`folders -fast -recurse`" +p3527 +tp3528 +a(g822 +V\u000a +p3529 +tp3530 +a(g740 +Vif +p3531 +tp3532 +a(g822 +g1111 +tp3533 +a(g408 +g1113 +tp3534 +a(g822 +g1111 +tp3535 +a(g822 +g1199 +tp3536 +a(g822 +g1111 +tp3537 +a(g436 +V$? +p3538 +tp3539 +a(g822 +VMHA +p3540 +tp3541 +a(g822 +g1111 +tp3542 +a(g408 +g1119 +tp3543 +a(g822 +V +p3544 +tp3545 +a(g677 +Vsetenv +p3546 +tp3547 +a(g822 +VMHA +p3548 +tp3549 +a(g822 +V +p3550 +tp3551 +a(g152 +V"`ali | sed -e '/^ /d' -e 's/:.*//'`" +p3552 +tp3553 +a(g822 +V\u000a\u000a +p3554 +tp3555 +a(g677 +Vset +p3556 +tp3557 +a(g436 +Vfolders +p3558 +tp3559 +a(g822 +g1111 +tp3560 +a(g408 +g1232 +tp3561 +a(g822 +g1111 +tp3562 +a(g408 +g1113 +tp3563 +a(g822 +g1111 +tp3564 +a(g436 +V$FOLDERS +p3565 +tp3566 +a(g822 +g1111 +tp3567 +a(g408 +g1119 +tp3568 +a(g822 +V\u000a +p3569 +tp3570 +a(g677 +Vset +p3571 +tp3572 +a(g436 +Vmha +p3573 +tp3574 +a(g822 +g1111 +tp3575 +a(g408 +g1232 +tp3576 +a(g822 +g1111 +tp3577 +a(g408 +g1113 +tp3578 +a(g822 +g1111 +tp3579 +a(g436 +V$MHA +p3580 +tp3581 +a(g822 +g1111 +tp3582 +a(g408 +g1119 +tp3583 +a(g822 +V\u000a\u000a +p3584 +tp3585 +a(g677 +Vcomplete +p3586 +tp3587 +a(g822 +Vali +p3588 +tp3589 +a(g822 +g1111 +tp3590 +a(g208 +V\u005c\u000a +p3591 +tp3592 +a(g822 +V +p3593 +tp3594 +a(g225 +V'c/-/(alias nolist list nonormalize normalize nouser user help)/' +p3595 +tp3596 +a(g822 +g1111 +tp3597 +a(g208 +V\u005c\u000a +p3598 +tp3599 +a(g822 +V +p3600 +tp3601 +a(g225 +V'n,-alias,f,' +p3602 +tp3603 +a(g822 +V\u000a\u000a +p3604 +tp3605 +a(g677 +Vcomplete +p3606 +tp3607 +a(g822 +Vanno +p3608 +tp3609 +a(g822 +g1111 +tp3610 +a(g208 +V\u005c\u000a +p3611 +tp3612 +a(g822 +V +p3613 +tp3614 +a(g225 +V'c/-/(component noinplace inplace nodate date text help)/' +p3615 +tp3616 +a(g822 +g1111 +tp3617 +a(g208 +V\u005c\u000a +p3618 +tp3619 +a(g822 +V +p3620 +tp3621 +a(g225 +V'c,+,$folders,' +p3622 +tp3623 +a(g822 +V +p3624 +tp3625 +a(g208 +V\u005c\u000a +p3626 +tp3627 +a(g822 +V +p3628 +tp3629 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3630 +tp3631 +a(g822 +V\u000a\u000a +p3632 +tp3633 +a(g677 +Vcomplete +p3634 +tp3635 +a(g822 +Vburst +p3636 +tp3637 +a(g822 +g1111 +tp3638 +a(g208 +V\u005c\u000a +p3639 +tp3640 +a(g822 +V +p3641 +tp3642 +a(g225 +V'c/-/(noinplace inplace noquiet quiet noverbose verbose help)/' +p3643 +tp3644 +a(g822 +g1111 +tp3645 +a(g208 +V\u005c\u000a +p3646 +tp3647 +a(g822 +V +p3648 +tp3649 +a(g225 +V'c,+,$folders,' +p3650 +tp3651 +a(g822 +V +p3652 +tp3653 +a(g208 +V\u005c\u000a +p3654 +tp3655 +a(g822 +V +p3656 +tp3657 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3658 +tp3659 +a(g822 +V\u000a\u000a +p3660 +tp3661 +a(g677 +Vcomplete +p3662 +tp3663 +a(g822 +Vcomp +p3664 +tp3665 +a(g822 +g1111 +tp3666 +a(g208 +V\u005c\u000a +p3667 +tp3668 +a(g822 +V +p3669 +tp3670 +a(g225 +V'c/-/(draftfolder draftmessage nodraftfolder editor noedit file form nouse use whatnowproc nowhatnowproc help)/' +p3671 +tp3672 +a(g822 +g1111 +tp3673 +a(g208 +V\u005c\u000a +p3674 +tp3675 +a(g822 +V +p3676 +tp3677 +a(g225 +V'c,+,$folders,' +p3678 +tp3679 +a(g822 +V +p3680 +tp3681 +a(g208 +V\u005c\u000a +p3682 +tp3683 +a(g822 +V +p3684 +tp3685 +a(g225 +V'n,-whatnowproc,c,' +p3686 +tp3687 +a(g822 +V +p3688 +tp3689 +a(g208 +V\u005c\u000a +p3690 +tp3691 +a(g822 +V +p3692 +tp3693 +a(g225 +V'n,-file,f,' +p3694 +tp3695 +a(g208 +V\u005c\u000a +p3696 +tp3697 +a(g822 +V +p3698 +tp3699 +a(g225 +V'n,-form,f,' +p3700 +tp3701 +a(g208 +V\u005c\u000a +p3702 +tp3703 +a(g822 +V +p3704 +tp3705 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3706 +tp3707 +a(g822 +V\u000a\u000a +p3708 +tp3709 +a(g677 +Vcomplete +p3710 +tp3711 +a(g822 +Vdist +p3712 +tp3713 +a(g822 +g1111 +tp3714 +a(g208 +V\u005c\u000a +p3715 +tp3716 +a(g822 +V +p3717 +tp3718 +a(g225 +V'c/-/(noannotate annotate draftfolder draftmessage nodraftfolder editor noedit form noinplace inplace whatnowproc nowhatnowproc help)/' +p3719 +tp3720 +a(g822 +g1111 +tp3721 +a(g208 +V\u005c\u000a +p3722 +tp3723 +a(g822 +V +p3724 +tp3725 +a(g225 +V'c,+,$folders,' +p3726 +tp3727 +a(g822 +V +p3728 +tp3729 +a(g208 +V\u005c\u000a +p3730 +tp3731 +a(g822 +V +p3732 +tp3733 +a(g225 +V'n,-whatnowproc,c,' +p3734 +tp3735 +a(g822 +V +p3736 +tp3737 +a(g208 +V\u005c\u000a +p3738 +tp3739 +a(g822 +V +p3740 +tp3741 +a(g225 +V'n,-form,f,' +p3742 +tp3743 +a(g208 +V\u005c\u000a +p3744 +tp3745 +a(g822 +V +p3746 +tp3747 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3748 +tp3749 +a(g822 +V\u000a\u000a +p3750 +tp3751 +a(g677 +Vcomplete +p3752 +tp3753 +a(g822 +Vfolder +p3754 +tp3755 +a(g822 +g1111 +tp3756 +a(g208 +V\u005c\u000a +p3757 +tp3758 +a(g822 +V +p3759 +tp3760 +a(g225 +V'c/-/(all nofast fast noheader header nopack pack noverbose verbose norecurse recurse nototal total noprint print nolist list push pop help)/' +p3761 +tp3762 +a(g822 +g1111 +tp3763 +a(g208 +V\u005c\u000a +p3764 +tp3765 +a(g822 +V +p3766 +tp3767 +a(g225 +V'c,+,$folders,' +p3768 +tp3769 +a(g822 +V +p3770 +tp3771 +a(g208 +V\u005c\u000a +p3772 +tp3773 +a(g822 +V +p3774 +tp3775 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3776 +tp3777 +a(g822 +V\u000a\u000a +p3778 +tp3779 +a(g677 +Vcomplete +p3780 +tp3781 +a(g822 +Vfolders +p3782 +tp3783 +a(g822 +g1111 +tp3784 +a(g208 +V\u005c\u000a +p3785 +tp3786 +a(g822 +V +p3787 +tp3788 +a(g225 +V'c/-/(all nofast fast noheader header nopack pack noverbose verbose norecurse recurse nototal total noprint print nolist list push pop help)/' +p3789 +tp3790 +a(g822 +g1111 +tp3791 +a(g208 +V\u005c\u000a +p3792 +tp3793 +a(g822 +V +p3794 +tp3795 +a(g225 +V'c,+,$folders,' +p3796 +tp3797 +a(g822 +V +p3798 +tp3799 +a(g208 +V\u005c\u000a +p3800 +tp3801 +a(g822 +V +p3802 +tp3803 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3804 +tp3805 +a(g822 +V\u000a\u000a +p3806 +tp3807 +a(g677 +Vcomplete +p3808 +tp3809 +a(g822 +Vforw +p3810 +tp3811 +a(g822 +g1111 +tp3812 +a(g208 +V\u005c\u000a +p3813 +tp3814 +a(g822 +V +p3815 +tp3816 +a(g225 +V'c/-/(noannotate annotate draftfolder draftmessage nodraftfolder editor noedit filter form noformat format noinplace inplace digest issue volume whatnowproc nowhatnowproc help)/' +p3817 +tp3818 +a(g822 +g1111 +tp3819 +a(g208 +V\u005c\u000a +p3820 +tp3821 +a(g822 +V +p3822 +tp3823 +a(g225 +V'c,+,$folders,' +p3824 +tp3825 +a(g822 +V +p3826 +tp3827 +a(g208 +V\u005c\u000a +p3828 +tp3829 +a(g822 +V +p3830 +tp3831 +a(g225 +V'n,-whatnowproc,c,' +p3832 +tp3833 +a(g822 +V +p3834 +tp3835 +a(g208 +V\u005c\u000a +p3836 +tp3837 +a(g822 +V +p3838 +tp3839 +a(g225 +V'n,-filter,f,' +p3840 +tp3841 +a(g208 +V\u005c\u000a +p3842 +tp3843 +a(g822 +V +p3844 +tp3845 +a(g225 +V'n,-form,f,' +p3846 +tp3847 +a(g208 +V\u005c\u000a +p3848 +tp3849 +a(g822 +V +p3850 +tp3851 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3852 +tp3853 +a(g822 +V\u000a\u000a +p3854 +tp3855 +a(g677 +Vcomplete +p3856 +tp3857 +a(g822 +Vinc +p3858 +tp3859 +a(g822 +g1111 +tp3860 +a(g208 +V\u005c\u000a +p3861 +tp3862 +a(g822 +V +p3863 +tp3864 +a(g225 +V'c/-/(audit file noaudit nochangecur changecur file form format nosilent silent notruncate truncate width help)/' +p3865 +tp3866 +a(g822 +g1111 +tp3867 +a(g208 +V\u005c\u000a +p3868 +tp3869 +a(g822 +V +p3870 +tp3871 +a(g225 +V'c,+,$folders,' +p3872 +tp3873 +a(g822 +V +p3874 +tp3875 +a(g208 +V\u005c\u000a +p3876 +tp3877 +a(g822 +V +p3878 +tp3879 +a(g225 +V'n,-audit,f,' +p3880 +tp3881 +a(g208 +V\u005c\u000a +p3882 +tp3883 +a(g822 +V +p3884 +tp3885 +a(g225 +V'n,-form,f,' +p3886 +tp3887 +a(g822 +V\u000a\u000a +p3888 +tp3889 +a(g677 +Vcomplete +p3890 +tp3891 +a(g822 +Vmark +p3892 +tp3893 +a(g822 +g1111 +tp3894 +a(g208 +V\u005c\u000a +p3895 +tp3896 +a(g822 +V +p3897 +tp3898 +a(g225 +V'c/-/(add delete list sequence nopublic public nozero zero help)/' +p3899 +tp3900 +a(g822 +g1111 +tp3901 +a(g208 +V\u005c\u000a +p3902 +tp3903 +a(g822 +V +p3904 +tp3905 +a(g225 +V'c,+,$folders,' +p3906 +tp3907 +a(g822 +V +p3908 +tp3909 +a(g208 +V\u005c\u000a +p3910 +tp3911 +a(g822 +V +p3912 +tp3913 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3914 +tp3915 +a(g822 +V\u000a\u000a +p3916 +tp3917 +a(g677 +Vcomplete +p3918 +tp3919 +a(g822 +Vmhmail +p3920 +tp3921 +a(g822 +g1111 +tp3922 +a(g208 +V\u005c\u000a +p3923 +tp3924 +a(g822 +V +p3925 +tp3926 +a(g225 +V'c/-/(body cc from subject help)/' +p3927 +tp3928 +a(g822 +g1111 +tp3929 +a(g208 +V\u005c\u000a +p3930 +tp3931 +a(g822 +V +p3932 +tp3933 +a(g225 +V'n,-cc,$mha,' +p3934 +tp3935 +a(g822 +V +p3936 +tp3937 +a(g208 +V\u005c\u000a +p3938 +tp3939 +a(g822 +V +p3940 +tp3941 +a(g225 +V'n,-from,$mha,' +p3942 +tp3943 +a(g822 +V +p3944 +tp3945 +a(g208 +V\u005c\u000a +p3946 +tp3947 +a(g822 +V +p3948 +tp3949 +a(g225 +V'n/*/$mha/' +p3950 +tp3951 +a(g822 +V\u000a\u000a +p3952 +tp3953 +a(g677 +Vcomplete +p3954 +tp3955 +a(g822 +Vmhpath +p3956 +tp3957 +a(g822 +g1111 +tp3958 +a(g208 +V\u005c\u000a +p3959 +tp3960 +a(g822 +V +p3961 +tp3962 +a(g225 +V'c/-/(help)/' +p3963 +tp3964 +a(g822 +g1111 +tp3965 +a(g208 +V\u005c\u000a +p3966 +tp3967 +a(g822 +V +p3968 +tp3969 +a(g225 +V'c,+,$folders,' +p3970 +tp3971 +a(g822 +V +p3972 +tp3973 +a(g208 +V\u005c\u000a +p3974 +tp3975 +a(g822 +V +p3976 +tp3977 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3978 +tp3979 +a(g822 +V\u000a\u000a +p3980 +tp3981 +a(g677 +Vcomplete +p3982 +tp3983 +a(g822 +Vmsgchk +p3984 +tp3985 +a(g822 +g1111 +tp3986 +a(g208 +V\u005c\u000a +p3987 +tp3988 +a(g822 +V +p3989 +tp3990 +a(g225 +V'c/-/(nodate date nonotify notify help)/' +p3991 +tp3992 +a(g822 +V \u000a\u000a +p3993 +tp3994 +a(g677 +Vcomplete +p3995 +tp3996 +a(g822 +Vmsh +p3997 +tp3998 +a(g822 +g1111 +tp3999 +a(g208 +V\u005c\u000a +p4000 +tp4001 +a(g822 +V +p4002 +tp4003 +a(g225 +V'c/-/(prompt noscan scan notopcur topcur help)/' +p4004 +tp4005 +a(g822 +V \u000a\u000a +p4006 +tp4007 +a(g677 +Vcomplete +p4008 +tp4009 +a(g822 +Vnext +p4010 +tp4011 +a(g822 +g1111 +tp4012 +a(g208 +V\u005c\u000a +p4013 +tp4014 +a(g822 +V +p4015 +tp4016 +a(g225 +V'c/-/(draft form moreproc nomoreproc length width showproc noshowproc header noheader help)/' +p4017 +tp4018 +a(g822 +g1111 +tp4019 +a(g208 +V\u005c\u000a +p4020 +tp4021 +a(g822 +V +p4022 +tp4023 +a(g225 +V'c,+,$folders,' +p4024 +tp4025 +a(g822 +V +p4026 +tp4027 +a(g208 +V\u005c\u000a +p4028 +tp4029 +a(g822 +V +p4030 +tp4031 +a(g225 +V'n,-moreproc,c,' +p4032 +tp4033 +a(g822 +V +p4034 +tp4035 +a(g208 +V\u005c\u000a +p4036 +tp4037 +a(g822 +V +p4038 +tp4039 +a(g225 +V'n,-showproc,c,' +p4040 +tp4041 +a(g822 +V +p4042 +tp4043 +a(g208 +V\u005c\u000a +p4044 +tp4045 +a(g822 +V +p4046 +tp4047 +a(g225 +V'n,-form,f,' +p4048 +tp4049 +a(g822 +V\u000a\u000a +p4050 +tp4051 +a(g677 +Vcomplete +p4052 +tp4053 +a(g822 +Vpackf +p4054 +tp4055 +a(g822 +g1111 +tp4056 +a(g208 +V\u005c\u000a +p4057 +tp4058 +a(g822 +V +p4059 +tp4060 +a(g225 +V'c/-/(file help)/' +p4061 +tp4062 +a(g822 +g1111 +tp4063 +a(g208 +V\u005c\u000a +p4064 +tp4065 +a(g822 +V +p4066 +tp4067 +a(g225 +V'c,+,$folders,' +p4068 +tp4069 +a(g822 +V +p4070 +tp4071 +a(g208 +V\u005c\u000a +p4072 +tp4073 +a(g822 +V +p4074 +tp4075 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4076 +tp4077 +a(g822 +V\u000a\u000a +p4078 +tp4079 +a(g677 +Vcomplete +p4080 +tp4081 +a(g822 +Vpick +p4082 +tp4083 +a(g822 +g1111 +tp4084 +a(g208 +V\u005c\u000a +p4085 +tp4086 +a(g822 +V +p4087 +tp4088 +a(g225 +V'c/-/(and or not lbrace rbrace cc date from search subject to othercomponent after before datefield sequence nopublic public nozero zero nolist list help)/' +p4089 +tp4090 +a(g822 +g1111 +tp4091 +a(g208 +V\u005c\u000a +p4092 +tp4093 +a(g822 +V +p4094 +tp4095 +a(g225 +V'c,+,$folders,' +p4096 +tp4097 +a(g822 +V +p4098 +tp4099 +a(g208 +V\u005c\u000a +p4100 +tp4101 +a(g822 +V +p4102 +tp4103 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4104 +tp4105 +a(g822 +V\u000a\u000a +p4106 +tp4107 +a(g677 +Vcomplete +p4108 +tp4109 +a(g822 +Vprev +p4110 +tp4111 +a(g822 +g1111 +tp4112 +a(g208 +V\u005c\u000a +p4113 +tp4114 +a(g822 +V +p4115 +tp4116 +a(g225 +V'c/-/(draft form moreproc nomoreproc length width showproc noshowproc header noheader help)/' +p4117 +tp4118 +a(g822 +g1111 +tp4119 +a(g208 +V\u005c\u000a +p4120 +tp4121 +a(g822 +V +p4122 +tp4123 +a(g225 +V'c,+,$folders,' +p4124 +tp4125 +a(g822 +V +p4126 +tp4127 +a(g208 +V\u005c\u000a +p4128 +tp4129 +a(g822 +V +p4130 +tp4131 +a(g225 +V'n,-moreproc,c,' +p4132 +tp4133 +a(g822 +V +p4134 +tp4135 +a(g208 +V\u005c\u000a +p4136 +tp4137 +a(g822 +V +p4138 +tp4139 +a(g225 +V'n,-showproc,c,' +p4140 +tp4141 +a(g822 +V +p4142 +tp4143 +a(g208 +V\u005c\u000a +p4144 +tp4145 +a(g822 +V +p4146 +tp4147 +a(g225 +V'n,-form,f,' +p4148 +tp4149 +a(g822 +V\u000a\u000a +p4150 +tp4151 +a(g677 +Vcomplete +p4152 +tp4153 +a(g822 +Vprompter +p4154 +tp4155 +a(g822 +g1111 +tp4156 +a(g208 +V\u005c\u000a +p4157 +tp4158 +a(g822 +V +p4159 +tp4160 +a(g225 +V'c/-/(erase kill noprepend prepend norapid rapid nodoteof doteof help)/' +p4161 +tp4162 +a(g822 +V \u000a\u000a +p4163 +tp4164 +a(g677 +Vcomplete +p4165 +tp4166 +a(g822 +Vrefile +p4167 +tp4168 +a(g822 +g1111 +tp4169 +a(g208 +V\u005c\u000a +p4170 +tp4171 +a(g822 +V +p4172 +tp4173 +a(g225 +V'c/-/(draft nolink link nopreserve preserve src file help)/' +p4174 +tp4175 +a(g822 +g1111 +tp4176 +a(g208 +V\u005c\u000a +p4177 +tp4178 +a(g822 +V +p4179 +tp4180 +a(g225 +V'c,+,$folders,' +p4181 +tp4182 +a(g822 +V +p4183 +tp4184 +a(g208 +V\u005c\u000a +p4185 +tp4186 +a(g822 +V +p4187 +tp4188 +a(g225 +V'n,-file,f,' +p4189 +tp4190 +a(g208 +V\u005c\u000a +p4191 +tp4192 +a(g822 +V +p4193 +tp4194 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4195 +tp4196 +a(g822 +V\u000a\u000a +p4197 +tp4198 +a(g677 +Vcomplete +p4199 +tp4200 +a(g822 +Vrmf +p4201 +tp4202 +a(g822 +g1111 +tp4203 +a(g208 +V\u005c\u000a +p4204 +tp4205 +a(g822 +V +p4206 +tp4207 +a(g225 +V'c/-/(nointeractive interactive help)/' +p4208 +tp4209 +a(g822 +g1111 +tp4210 +a(g208 +V\u005c\u000a +p4211 +tp4212 +a(g822 +V +p4213 +tp4214 +a(g225 +V'c,+,$folders,' +p4215 +tp4216 +a(g822 +V \u000a\u000a +p4217 +tp4218 +a(g677 +Vcomplete +p4219 +tp4220 +a(g822 +Vrmm +p4221 +tp4222 +a(g822 +g1111 +tp4223 +a(g208 +V\u005c\u000a +p4224 +tp4225 +a(g822 +V +p4226 +tp4227 +a(g225 +V'c/-/(help)/' +p4228 +tp4229 +a(g822 +g1111 +tp4230 +a(g208 +V\u005c\u000a +p4231 +tp4232 +a(g822 +V +p4233 +tp4234 +a(g225 +V'c,+,$folders,' +p4235 +tp4236 +a(g822 +V +p4237 +tp4238 +a(g208 +V\u005c\u000a +p4239 +tp4240 +a(g822 +V +p4241 +tp4242 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4243 +tp4244 +a(g822 +V\u000a\u000a +p4245 +tp4246 +a(g677 +Vcomplete +p4247 +tp4248 +a(g822 +Vscan +p4249 +tp4250 +a(g822 +g1111 +tp4251 +a(g208 +V\u005c\u000a +p4252 +tp4253 +a(g822 +V +p4254 +tp4255 +a(g225 +V'c/-/(noclear clear form format noheader header width noreverse reverse file help)/' +p4256 +tp4257 +a(g822 +g1111 +tp4258 +a(g208 +V\u005c\u000a +p4259 +tp4260 +a(g822 +V +p4261 +tp4262 +a(g225 +V'c,+,$folders,' +p4263 +tp4264 +a(g822 +V +p4265 +tp4266 +a(g208 +V\u005c\u000a +p4267 +tp4268 +a(g822 +V +p4269 +tp4270 +a(g225 +V'n,-form,f,' +p4271 +tp4272 +a(g208 +V\u005c\u000a +p4273 +tp4274 +a(g822 +V +p4275 +tp4276 +a(g225 +V'n,-file,f,' +p4277 +tp4278 +a(g208 +V\u005c\u000a +p4279 +tp4280 +a(g822 +V +p4281 +tp4282 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4283 +tp4284 +a(g822 +V\u000a\u000a +p4285 +tp4286 +a(g677 +Vcomplete +p4287 +tp4288 +a(g822 +Vsend +p4289 +tp4290 +a(g822 +g1111 +tp4291 +a(g208 +V\u005c\u000a +p4292 +tp4293 +a(g822 +V +p4294 +tp4295 +a(g225 +V'c/-/(alias draft draftfolder draftmessage nodraftfolder filter nofilter noformat format noforward forward nomsgid msgid nopush push noverbose verbose nowatch watch width help)/' +p4296 +tp4297 +a(g822 +g1111 +tp4298 +a(g208 +V\u005c\u000a +p4299 +tp4300 +a(g822 +V +p4301 +tp4302 +a(g225 +V'n,-alias,f,' +p4303 +tp4304 +a(g208 +V\u005c\u000a +p4305 +tp4306 +a(g822 +V +p4307 +tp4308 +a(g225 +V'n,-filter,f,' +p4309 +tp4310 +a(g822 +V\u000a\u000a +p4311 +tp4312 +a(g677 +Vcomplete +p4313 +tp4314 +a(g822 +Vshow +p4315 +tp4316 +a(g822 +g1111 +tp4317 +a(g208 +V\u005c\u000a +p4318 +tp4319 +a(g822 +V +p4320 +tp4321 +a(g225 +V'c/-/(draft form moreproc nomoreproc length width showproc noshowproc header noheader help)/' +p4322 +tp4323 +a(g822 +g1111 +tp4324 +a(g208 +V\u005c\u000a +p4325 +tp4326 +a(g822 +V +p4327 +tp4328 +a(g225 +V'c,+,$folders,' +p4329 +tp4330 +a(g822 +V +p4331 +tp4332 +a(g208 +V\u005c\u000a +p4333 +tp4334 +a(g822 +V +p4335 +tp4336 +a(g225 +V'n,-moreproc,c,' +p4337 +tp4338 +a(g822 +V +p4339 +tp4340 +a(g208 +V\u005c\u000a +p4341 +tp4342 +a(g822 +V +p4343 +tp4344 +a(g225 +V'n,-showproc,c,' +p4345 +tp4346 +a(g822 +V +p4347 +tp4348 +a(g208 +V\u005c\u000a +p4349 +tp4350 +a(g822 +V +p4351 +tp4352 +a(g225 +V'n,-form,f,' +p4353 +tp4354 +a(g208 +V\u005c\u000a +p4355 +tp4356 +a(g822 +V +p4357 +tp4358 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4359 +tp4360 +a(g822 +V\u000a\u000a +p4361 +tp4362 +a(g677 +Vcomplete +p4363 +tp4364 +a(g822 +Vsortm +p4365 +tp4366 +a(g822 +g1111 +tp4367 +a(g208 +V\u005c\u000a +p4368 +tp4369 +a(g822 +V +p4370 +tp4371 +a(g225 +V'c/-/(datefield textfield notextfield limit nolimit noverbose verbose help)/' +p4372 +tp4373 +a(g822 +g1111 +tp4374 +a(g208 +V\u005c\u000a +p4375 +tp4376 +a(g822 +V +p4377 +tp4378 +a(g225 +V'c,+,$folders,' +p4379 +tp4380 +a(g822 +V +p4381 +tp4382 +a(g208 +V\u005c\u000a +p4383 +tp4384 +a(g822 +V +p4385 +tp4386 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4387 +tp4388 +a(g822 +V\u000a\u000a +p4389 +tp4390 +a(g677 +Vcomplete +p4391 +tp4392 +a(g822 +Vvmh +p4393 +tp4394 +a(g822 +g1111 +tp4395 +a(g208 +V\u005c\u000a +p4396 +tp4397 +a(g822 +V +p4398 +tp4399 +a(g225 +V'c/-/(prompt vmhproc novmhproc help)/' +p4400 +tp4401 +a(g822 +g1111 +tp4402 +a(g208 +V\u005c\u000a +p4403 +tp4404 +a(g822 +V +p4405 +tp4406 +a(g225 +V'n,-vmhproc,c,' +p4407 +tp4408 +a(g822 +V \u000a\u000a +p4409 +tp4410 +a(g677 +Vcomplete +p4411 +tp4412 +a(g822 +Vwhatnow +p4413 +tp4414 +a(g822 +g1111 +tp4415 +a(g208 +V\u005c\u000a +p4416 +tp4417 +a(g822 +V +p4418 +tp4419 +a(g225 +V'c/-/(draftfolder draftmessage nodraftfolder editor noedit prompt help)/' +p4420 +tp4421 +a(g822 +V \u000a\u000a +p4422 +tp4423 +a(g677 +Vcomplete +p4424 +tp4425 +a(g822 +Vwhom +p4426 +tp4427 +a(g822 +g1111 +tp4428 +a(g208 +V\u005c\u000a +p4429 +tp4430 +a(g822 +V +p4431 +tp4432 +a(g225 +V'c/-/(alias nocheck check draft draftfolder draftmessage nodraftfolder help)/' +p4433 +tp4434 +a(g822 +g1111 +tp4435 +a(g208 +V\u005c\u000a +p4436 +tp4437 +a(g822 +V +p4438 +tp4439 +a(g225 +V'n,-alias,f,' +p4440 +tp4441 +a(g822 +V\u000a\u000a +p4442 +tp4443 +a(g677 +Vcomplete +p4444 +tp4445 +a(g822 +Vplum +p4446 +tp4447 +a(g822 +g1111 +tp4448 +a(g208 +V\u005c\u000a +p4449 +tp4450 +a(g822 +V +p4451 +tp4452 +a(g225 +V'c/-/()/' +p4453 +tp4454 +a(g822 +g1111 +tp4455 +a(g208 +V\u005c\u000a +p4456 +tp4457 +a(g822 +V +p4458 +tp4459 +a(g225 +V'c,+,$folders,' +p4460 +tp4461 +a(g822 +V +p4462 +tp4463 +a(g208 +V\u005c\u000a +p4464 +tp4465 +a(g822 +V +p4466 +tp4467 +a(g225 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p4468 +tp4469 +a(g822 +V\u000a\u000a +p4470 +tp4471 +a(g677 +Vcomplete +p4472 +tp4473 +a(g822 +Vmail +p4474 +tp4475 +a(g822 +g1111 +tp4476 +a(g208 +V\u005c\u000a +p4477 +tp4478 +a(g822 +V +p4479 +tp4480 +a(g225 +V'c/-/()/' +p4481 +tp4482 +a(g822 +g1111 +tp4483 +a(g208 +V\u005c\u000a +p4484 +tp4485 +a(g822 +V +p4486 +tp4487 +a(g225 +V'n/*/$mha/' +p4488 +tp4489 +a(g822 +V\u000a\u000a +p4490 +tp4491 +a(g740 +Vendif +p4492 +tp4493 +a(g822 +V\u000a\u000a +p4494 +tp4495 +a(g7 +V# these from Tom Warzeka +p4496 +tp4497 +a(g822 +V\u000a +p4498 +tp4499 +a(g7 +V# you may need to set the following variables for your host +p4500 +tp4501 +a(g822 +V\u000a +p4502 +tp4503 +a(g677 +Vset +p4504 +tp4505 +a(g436 +V_elispdir +p4506 +tp4507 +a(g822 +g1111 +tp4508 +a(g408 +g1232 +tp4509 +a(g822 +g1111 +tp4510 +a(g822 +V/usr/lib/emacs/19.34/lisp +p4511 +tp4512 +a(g822 +V +p4513 +tp4514 +a(g7 +V# GNU Emacs lisp directory +p4515 +tp4516 +a(g822 +V\u000a +p4517 +tp4518 +a(g677 +Vset +p4519 +tp4520 +a(g436 +V_maildir +p4521 +tp4522 +a(g822 +g1111 +tp4523 +a(g408 +g1232 +tp4524 +a(g822 +g1111 +tp4525 +a(g822 +V/var/spool/mail +p4526 +tp4527 +a(g822 +V +p4528 +tp4529 +a(g7 +V# Post Office: /var/spool/mail or /usr/mail +p4530 +tp4531 +a(g822 +V\u000a +p4532 +tp4533 +a(g677 +Vset +p4534 +tp4535 +a(g436 +V_ypdir +p4536 +tp4537 +a(g822 +V +p4538 +tp4539 +a(g408 +g1232 +tp4540 +a(g822 +g1111 +tp4541 +a(g822 +V/var/yp +p4542 +tp4543 +a(g822 +g1596 +tp4544 +a(g7 +V# directory where NIS (YP) maps are kept +p4545 +tp4546 +a(g822 +V\u000a +p4547 +tp4548 +a(g677 +Vset +p4549 +tp4550 +a(g436 +V_domain +p4551 +tp4552 +a(g822 +g1111 +tp4553 +a(g408 +g1232 +tp4554 +a(g822 +g1111 +tp4555 +a(g152 +V"`dnsdomainname`" +p4556 +tp4557 +a(g822 +V\u000a\u000a +p4558 +tp4559 +a(g7 +V# this one works but is slow and doesn't descend into subdirectories +p4560 +tp4561 +a(g822 +V\u000a +p4562 +tp4563 +a(g7 +V# complete cd C@[./\u005c$~]*@d@ \u005c +p4564 +tp4565 +a(g822 +V\u000a +p4566 +tp4567 +a(g7 +V# p@1@'`\u005cls -1F . $cdpath | grep /\u005c$ | sort -u`'@ n@*@n@ +p4568 +tp4569 +a(g822 +V\u000a\u000a +p4570 +tp4571 +a(g740 +Vif +p4572 +tp4573 +a(g822 +g1111 +tp4574 +a(g408 +g1113 +tp4575 +a(g822 +g1111 +tp4576 +a(g822 +V-r +p4577 +tp4578 +a(g822 +g1111 +tp4579 +a(g822 +V/etc/shells +p4580 +tp4581 +a(g822 +g1111 +tp4582 +a(g408 +g1119 +tp4583 +a(g822 +g1111 +tp4584 +a(g740 +Vthen\u000a +p4585 +tp4586 +a(g677 +Vcomplete +p4587 +tp4588 +a(g677 +Vsetenv +p4589 +tp4590 +a(g822 +Vp@1@e@ +p4591 +tp4592 +a(g822 +g1111 +tp4593 +a(g822 +Vn@DISPLAY@ +p4594 +tp4595 +a(g208 +V\u005c$ +p4596 +tp4597 +a(g822 +Vhosts@: +p4598 +tp4599 +a(g822 +g1111 +tp4600 +a(g822 +Vn@SHELL@ +p4601 +tp4602 +a(g225 +V'`cat /etc/shells`' +p4603 +tp4604 +a(g822 +g2125 +tp4605 +a(g822 +V\u000a +p4606 +tp4607 +a(g740 +Velse\u000a +p4608 +tp4609 +a(g677 +Vcomplete +p4610 +tp4611 +a(g677 +Vsetenv +p4612 +tp4613 +a(g822 +Vp@1@e@ +p4614 +tp4615 +a(g822 +g1111 +tp4616 +a(g822 +Vn@DISPLAY@ +p4617 +tp4618 +a(g208 +V\u005c$ +p4619 +tp4620 +a(g822 +Vhosts@: +p4621 +tp4622 +a(g822 +V\u000a +p4623 +tp4624 +a(g740 +Vendif\u000a +p4625 +tp4626 +a(g677 +Vcomplete +p4627 +tp4628 +a(g677 +Vunsetenv +p4629 +tp4630 +a(g822 +Vn/*/e/ +p4631 +tp4632 +a(g822 +V\u000a\u000a +p4633 +tp4634 +a(g740 +Vif +p4635 +tp4636 +a(g822 +g1111 +tp4637 +a(g408 +g1113 +tp4638 +a(g822 +V-r +p4639 +tp4640 +a(g822 +g1111 +tp4641 +a(g436 +V$HOME +p4642 +tp4643 +a(g822 +V/.mailrc +p4644 +tp4645 +a(g408 +g1119 +tp4646 +a(g822 +g1111 +tp4647 +a(g740 +Vthen\u000a +p4648 +tp4649 +a(g677 +Vcomplete +p4650 +tp4651 +a(g822 +Vmail +p4652 +tp4653 +a(g822 +g1596 +tp4654 +a(g822 +Vc/-/ +p4655 +tp4656 +a(g152 +V"(e i f n s u v)" +p4657 +tp4658 +a(g822 +g1618 +tp4659 +a(g822 +g1111 +tp4660 +a(g822 +Vc/*@/ +p4661 +tp4662 +a(g208 +V\u005c$ +p4663 +tp4664 +a(g822 +Vhosts/ +p4665 +tp4666 +a(g822 +g1111 +tp4667 +a(g208 +V\u005c\u000a +p4668 +tp4669 +a(g822 +V +p4670 +tp4671 +a(g822 +Vc@+@F: +p4672 +tp4673 +a(g436 +V$HOME +p4674 +tp4675 +a(g822 +V/Mail@ +p4676 +tp4677 +a(g822 +g1111 +tp4678 +a(g822 +VC@ +p4679 +tp4680 +a(g408 +g2143 +tp4681 +a(g822 +V./ +p4682 +tp4683 +a(g208 +V\u005c$ +p4684 +tp4685 +a(g822 +V~ +p4686 +tp4687 +a(g408 +g2147 +tp4688 +a(g822 +V@f@ +p4689 +tp4690 +a(g822 +g1111 +tp4691 +a(g822 +Vn/-s/x: +p4692 +tp4693 +a(g225 +V'' +p4694 +tp4695 +a(g822 +g1618 +tp4696 +a(g822 +g1111 +tp4697 +a(g208 +V\u005c\u000a +p4698 +tp4699 +a(g822 +V +p4700 +tp4701 +a(g822 +Vn@-u@T: +p4702 +tp4703 +a(g436 +V$_maildir +p4704 +tp4705 +a(g822 +g2125 +tp4706 +a(g822 +g1111 +tp4707 +a(g822 +Vn/-f/f/ +p4708 +tp4709 +a(g822 +g1111 +tp4710 +a(g208 +V\u005c\u000a +p4711 +tp4712 +a(g822 +V +p4713 +tp4714 +a(g822 +Vn@*@ +p4715 +tp4716 +a(g225 +V'`sed -n s/alias//p $HOME/.mailrc | tr -s " " " " | cut -f 2`' +p4717 +tp4718 +a(g822 +g2125 +tp4719 +a(g822 +V\u000a +p4720 +tp4721 +a(g740 +Velse\u000a +p4722 +tp4723 +a(g677 +Vcomplete +p4724 +tp4725 +a(g822 +Vmail +p4726 +tp4727 +a(g822 +g1596 +tp4728 +a(g822 +Vc/-/ +p4729 +tp4730 +a(g152 +V"(e i f n s u v)" +p4731 +tp4732 +a(g822 +g1618 +tp4733 +a(g822 +g1111 +tp4734 +a(g822 +Vc/*@/ +p4735 +tp4736 +a(g208 +V\u005c$ +p4737 +tp4738 +a(g822 +Vhosts/ +p4739 +tp4740 +a(g822 +g1111 +tp4741 +a(g208 +V\u005c\u000a +p4742 +tp4743 +a(g822 +V +p4744 +tp4745 +a(g822 +Vc@+@F: +p4746 +tp4747 +a(g436 +V$HOME +p4748 +tp4749 +a(g822 +V/Mail@ +p4750 +tp4751 +a(g822 +g1111 +tp4752 +a(g822 +VC@ +p4753 +tp4754 +a(g408 +g2143 +tp4755 +a(g822 +V./ +p4756 +tp4757 +a(g208 +V\u005c$ +p4758 +tp4759 +a(g822 +g4686 +tp4760 +a(g408 +g2147 +tp4761 +a(g822 +V@f@ +p4762 +tp4763 +a(g822 +g1111 +tp4764 +a(g822 +Vn/-s/x: +p4765 +tp4766 +a(g225 +V'' +p4767 +tp4768 +a(g822 +g1618 +tp4769 +a(g822 +g1111 +tp4770 +a(g208 +V\u005c\u000a +p4771 +tp4772 +a(g822 +V +p4773 +tp4774 +a(g822 +Vn@-u@T: +p4775 +tp4776 +a(g436 +V$_maildir +p4777 +tp4778 +a(g822 +g2125 +tp4779 +a(g822 +g1111 +tp4780 +a(g822 +Vn/-f/f/ +p4781 +tp4782 +a(g822 +g1111 +tp4783 +a(g822 +Vn/*/u/ +p4784 +tp4785 +a(g822 +V\u000a +p4786 +tp4787 +a(g740 +Vendif\u000a\u000a +p4788 +tp4789 +a(g677 +Vcomplete +p4790 +tp4791 +a(g822 +Vman +p4792 +tp4793 +a(g822 +V +p4794 +tp4795 +a(g822 +Vn@1@ +p4796 +tp4797 +a(g225 +V'`\u005cls -1 /usr/man/man1 | sed s%\u005c\u005c.1.\u005c*\u005c$%%`' +p4798 +tp4799 +a(g822 +g2125 +tp4800 +a(g822 +g1111 +tp4801 +a(g208 +V\u005c\u000a +p4802 +tp4803 +a(g822 +V +p4804 +tp4805 +a(g822 +Vn@2@ +p4806 +tp4807 +a(g225 +V'`\u005cls -1 /usr/man/man2 | sed s%\u005c\u005c.2.\u005c*\u005c$%%`' +p4808 +tp4809 +a(g822 +g2125 +tp4810 +a(g822 +g1111 +tp4811 +a(g208 +V\u005c\u000a +p4812 +tp4813 +a(g822 +V +p4814 +tp4815 +a(g822 +Vn@3@ +p4816 +tp4817 +a(g225 +V'`\u005cls -1 /usr/man/man3 | sed s%\u005c\u005c.3.\u005c*\u005c$%%`' +p4818 +tp4819 +a(g822 +g2125 +tp4820 +a(g822 +g1111 +tp4821 +a(g208 +V\u005c\u000a +p4822 +tp4823 +a(g822 +V +p4824 +tp4825 +a(g822 +Vn@4@ +p4826 +tp4827 +a(g225 +V'`\u005cls -1 /usr/man/man4 | sed s%\u005c\u005c.4.\u005c*\u005c$%%`' +p4828 +tp4829 +a(g822 +g2125 +tp4830 +a(g822 +g1111 +tp4831 +a(g208 +V\u005c\u000a +p4832 +tp4833 +a(g822 +V +p4834 +tp4835 +a(g822 +Vn@5@ +p4836 +tp4837 +a(g225 +V'`\u005cls -1 /usr/man/man5 | sed s%\u005c\u005c.5.\u005c*\u005c$%%`' +p4838 +tp4839 +a(g822 +g2125 +tp4840 +a(g822 +g1111 +tp4841 +a(g208 +V\u005c\u000a +p4842 +tp4843 +a(g822 +V +p4844 +tp4845 +a(g822 +Vn@6@ +p4846 +tp4847 +a(g225 +V'`\u005cls -1 /usr/man/man6 | sed s%\u005c\u005c.6.\u005c*\u005c$%%`' +p4848 +tp4849 +a(g822 +g2125 +tp4850 +a(g822 +g1111 +tp4851 +a(g208 +V\u005c\u000a +p4852 +tp4853 +a(g822 +V +p4854 +tp4855 +a(g822 +Vn@7@ +p4856 +tp4857 +a(g225 +V'`\u005cls -1 /usr/man/man7 | sed s%\u005c\u005c.7.\u005c*\u005c$%%`' +p4858 +tp4859 +a(g822 +g2125 +tp4860 +a(g822 +g1111 +tp4861 +a(g208 +V\u005c\u000a +p4862 +tp4863 +a(g822 +V +p4864 +tp4865 +a(g822 +Vn@8@ +p4866 +tp4867 +a(g225 +V'`\u005cls -1 /usr/man/man8 | sed s%\u005c\u005c.8.\u005c*\u005c$%%`' +p4868 +tp4869 +a(g822 +g2125 +tp4870 +a(g822 +g1111 +tp4871 +a(g208 +V\u005c\u000a +p4872 +tp4873 +a(g822 +V +p4874 +tp4875 +a(g822 +Vn@9@ +p4876 +tp4877 +a(g225 +V'`[ -r /usr/man/man9 ] && \u005cls -1 /usr/man/man9 | sed s%\u005c\u005c.9.\u005c*\u005c$%%`' +p4878 +tp4879 +a(g822 +g2125 +tp4880 +a(g822 +g1111 +tp4881 +a(g208 +V\u005c\u000a +p4882 +tp4883 +a(g822 +V +p4884 +tp4885 +a(g822 +Vn@0@ +p4886 +tp4887 +a(g225 +V'`[ -r /usr/man/man0 ] && \u005cls -1 /usr/man/man0 | sed s%\u005c\u005c.0.\u005c*\u005c$%%`' +p4888 +tp4889 +a(g822 +g2125 +tp4890 +a(g822 +g1111 +tp4891 +a(g208 +V\u005c\u000a +p4892 +tp4893 +a(g822 +V +p4894 +tp4895 +a(g822 +Vn@new@ +p4896 +tp4897 +a(g225 +V'`[ -r /usr/man/mann ] && \u005cls -1 /usr/man/mann | sed s%\u005c\u005c.n.\u005c*\u005c$%%`' +p4898 +tp4899 +a(g822 +g2125 +tp4900 +a(g822 +g1111 +tp4901 +a(g208 +V\u005c\u000a +p4902 +tp4903 +a(g822 +V +p4904 +tp4905 +a(g822 +Vn@old@ +p4906 +tp4907 +a(g225 +V'`[ -r /usr/man/mano ] && \u005cls -1 /usr/man/mano | sed s%\u005c\u005c.o.\u005c*\u005c$%%`' +p4908 +tp4909 +a(g822 +g2125 +tp4910 +a(g822 +g1111 +tp4911 +a(g208 +V\u005c\u000a +p4912 +tp4913 +a(g822 +Vn@local@ +p4914 +tp4915 +a(g225 +V'`[ -r /usr/man/manl ] && \u005cls -1 /usr/man/manl | sed s%\u005c\u005c.l.\u005c*\u005c$%%`' +p4916 +tp4917 +a(g822 +g2125 +tp4918 +a(g822 +g1111 +tp4919 +a(g208 +V\u005c\u000a +p4920 +tp4921 +a(g822 +Vn@public@ +p4922 +tp4923 +a(g225 +V'`[ -r /usr/man/manp ]&& \u005cls -1 /usr/man/manp | sed s%\u005c\u005c.p.\u005c*\u005c$%%`' +p4924 +tp4925 +a(g822 +g2125 +tp4926 +a(g822 +g1111 +tp4927 +a(g208 +V\u005c\u000a +p4928 +tp4929 +a(g822 +V +p4930 +tp4931 +a(g822 +Vc/-/ +p4932 +tp4933 +a(g152 +V"(- f k P s t)" +p4934 +tp4935 +a(g822 +g1618 +tp4936 +a(g822 +g1111 +tp4937 +a(g822 +Vn/-f/c/ +p4938 +tp4939 +a(g822 +g1111 +tp4940 +a(g822 +Vn/-k/x: +p4941 +tp4942 +a(g225 +V'' +p4943 +tp4944 +a(g822 +g1618 +tp4945 +a(g822 +g1111 +tp4946 +a(g822 +Vn/-P/d/ +p4947 +tp4948 +a(g822 +g1111 +tp4949 +a(g208 +V\u005c\u000a +p4950 +tp4951 +a(g822 +V +p4952 +tp4953 +a(g822 +VN@-P@ +p4954 +tp4955 +a(g225 +V'`\u005cls -1 $:-1/man? | sed s%\u005c\u005c..\u005c*\u005c$%%`' +p4956 +tp4957 +a(g822 +g2125 +tp4958 +a(g822 +g1111 +tp4959 +a(g822 +Vn/*/c/ +p4960 +tp4961 +a(g822 +V\u000a\u000a +p4962 +tp4963 +a(g677 +Vcomplete +p4964 +tp4965 +a(g822 +Vps +p4966 +tp4967 +a(g822 +V +p4968 +tp4969 +a(g822 +Vc/-t/x: +p4970 +tp4971 +a(g225 +V'' +p4972 +tp4973 +a(g822 +g1618 +tp4974 +a(g822 +g1111 +tp4975 +a(g822 +Vc/-/ +p4976 +tp4977 +a(g152 +V"(a c C e g k l S t u v w x)" +p4978 +tp4979 +a(g822 +g1618 +tp4980 +a(g822 +g1111 +tp4981 +a(g208 +V\u005c\u000a +p4982 +tp4983 +a(g822 +V +p4984 +tp4985 +a(g822 +Vn/-k/x: +p4986 +tp4987 +a(g225 +V'' +p4988 +tp4989 +a(g822 +g1618 +tp4990 +a(g822 +g1111 +tp4991 +a(g822 +VN/-k/x: +p4992 +tp4993 +a(g225 +V'' +p4994 +tp4995 +a(g822 +g1618 +tp4996 +a(g822 +g1111 +tp4997 +a(g822 +Vn/*/x: +p4998 +tp4999 +a(g225 +V'' +p5000 +tp5001 +a(g822 +g1618 +tp5002 +a(g822 +V\u000a +p5003 +tp5004 +a(g677 +Vcomplete +p5005 +tp5006 +a(g822 +Vcompress +p5007 +tp5008 +a(g822 +g1596 +tp5009 +a(g822 +Vc/-/ +p5010 +tp5011 +a(g152 +V"(c f v b)" +p5012 +tp5013 +a(g822 +g1618 +tp5014 +a(g822 +g1111 +tp5015 +a(g822 +Vn/-b/x: +p5016 +tp5017 +a(g225 +V'' +p5018 +tp5019 +a(g822 +g1618 +tp5020 +a(g822 +g1111 +tp5021 +a(g822 +Vn/*/f:^*.Z/ +p5022 +tp5023 +a(g822 +V\u000a +p5024 +tp5025 +a(g677 +Vcomplete +p5026 +tp5027 +a(g822 +Vuncompress +p5028 +tp5029 +a(g822 +g1596 +tp5030 +a(g822 +Vc/-/ +p5031 +tp5032 +a(g152 +V"(c f v)" +p5033 +tp5034 +a(g822 +g1618 +tp5035 +a(g822 +V +p5036 +tp5037 +a(g822 +Vn/*/f:*.Z/ +p5038 +tp5039 +a(g822 +V\u000a\u000a +p5040 +tp5041 +a(g677 +Vcomplete +p5042 +tp5043 +a(g822 +Vxhost +p5044 +tp5045 +a(g822 +g1596 +tp5046 +a(g822 +Vc/ +p5047 +tp5048 +a(g408 +g2143 +tp5049 +a(g822 +V+- +p5050 +tp5051 +a(g408 +g2147 +tp5052 +a(g822 +g1618 +tp5053 +a(g208 +V\u005c$ +p5054 +tp5055 +a(g822 +Vhosts/ +p5056 +tp5057 +a(g822 +g1111 +tp5058 +a(g822 +Vn/*/ +p5059 +tp5060 +a(g208 +V\u005c$ +p5061 +tp5062 +a(g822 +Vhosts/ +p5063 +tp5064 +a(g822 +V\u000a\u000a +p5065 +tp5066 +a(g7 +V# these conform to the latest GNU versions available at press time ... +p5067 +tp5068 +a(g822 +V\u000a\u000a +p5069 +tp5070 +a(g677 +Vcomplete +p5071 +tp5072 +a(g822 +Vemacs +p5073 +tp5074 +a(g822 +g1596 +tp5075 +a(g822 +Vc/-/ +p5076 +tp5077 +a(g152 +V"(batch d f funcall i insert kill l load \u005c\u000a no-init-file nw q t u user)" +p5078 +tp5079 +a(g822 +g1618 +tp5080 +a(g822 +g1111 +tp5081 +a(g822 +Vc/+/x: +p5082 +tp5083 +a(g225 +V'' +p5084 +tp5085 +a(g822 +g1618 +tp5086 +a(g822 +g1111 +tp5087 +a(g208 +V\u005c\u000a +p5088 +tp5089 +a(g822 +V +p5090 +tp5091 +a(g822 +Vn/-d/x: +p5092 +tp5093 +a(g225 +V'' +p5094 +tp5095 +a(g822 +g1618 +tp5096 +a(g822 +g1111 +tp5097 +a(g822 +Vn/-f/x: +p5098 +tp5099 +a(g225 +V'' +p5100 +tp5101 +a(g822 +g1618 +tp5102 +a(g822 +g1111 +tp5103 +a(g822 +Vn/-i/f/ +p5104 +tp5105 +a(g822 +g1111 +tp5106 +a(g208 +V\u005c\u000a +p5107 +tp5108 +a(g822 +V +p5109 +tp5110 +a(g822 +Vn@-l@F: +p5111 +tp5112 +a(g436 +V$_elispdir +p5113 +tp5114 +a(g822 +g2125 +tp5115 +a(g822 +g1111 +tp5116 +a(g822 +Vn/-t/x: +p5117 +tp5118 +a(g225 +V'' +p5119 +tp5120 +a(g822 +g1618 +tp5121 +a(g822 +g1111 +tp5122 +a(g208 +V\u005c\u000a +p5123 +tp5124 +a(g822 +V +p5125 +tp5126 +a(g822 +Vn/-u/u/ +p5127 +tp5128 +a(g822 +g1111 +tp5129 +a(g822 +Vn/*/f:^* +p5130 +tp5131 +a(g408 +g2143 +tp5132 +a(g208 +V\u005c# +p5133 +tp5134 +a(g822 +g4686 +tp5135 +a(g408 +g2147 +tp5136 +a(g822 +g1618 +tp5137 +a(g822 +V\u000a\u000a +p5138 +tp5139 +a(g677 +Vcomplete +p5140 +tp5141 +a(g822 +Vgzcat +p5142 +tp5143 +a(g822 +g1596 +tp5144 +a(g822 +Vc/--/ +p5145 +tp5146 +a(g152 +V"(force help license quiet version)" +p5147 +tp5148 +a(g822 +g1618 +tp5149 +a(g822 +g1111 +tp5150 +a(g208 +V\u005c\u000a +p5151 +tp5152 +a(g822 +V +p5153 +tp5154 +a(g822 +Vc/-/ +p5155 +tp5156 +a(g152 +V"(f h L q V -)" +p5157 +tp5158 +a(g822 +g1618 +tp5159 +a(g822 +g1111 +tp5160 +a(g822 +Vn/*/f:*. +p5161 +tp5162 +a(g408 +g2055 +tp5163 +a(g822 +Vgz,Z,z,zip +p5164 +tp5165 +a(g408 +g2059 +tp5166 +a(g822 +g1618 +tp5167 +a(g822 +V\u000a +p5168 +tp5169 +a(g677 +Vcomplete +p5170 +tp5171 +a(g822 +Vgzip +p5172 +tp5173 +a(g822 +g1596 +tp5174 +a(g822 +Vc/--/ +p5175 +tp5176 +a(g152 +V"(stdout to-stdout decompress uncompress \u005c\u000a force help list license no-name quiet recurse \u005c\u000a suffix test verbose version fast best)" +p5177 +tp5178 +a(g822 +g1618 +tp5179 +a(g822 +g1111 +tp5180 +a(g208 +V\u005c\u000a +p5181 +tp5182 +a(g822 +V +p5183 +tp5184 +a(g822 +Vc/-/ +p5185 +tp5186 +a(g152 +V"(c d f h l L n q r S t v V 1 2 3 4 5 6 7 8 9 -)" +p5187 +tp5188 +a(g822 +g1618 +tp5189 +a(g208 +V\u005c\u000a +p5190 +tp5191 +a(g822 +V +p5192 +tp5193 +a(g822 +Vn/ +p5194 +tp5195 +a(g408 +g2055 +tp5196 +a(g822 +V-S,--suffix +p5197 +tp5198 +a(g408 +g2059 +tp5199 +a(g822 +V/x: +p5200 +tp5201 +a(g225 +V'' +p5202 +tp5203 +a(g822 +g1618 +tp5204 +a(g822 +g1111 +tp5205 +a(g208 +V\u005c\u000a +p5206 +tp5207 +a(g822 +V +p5208 +tp5209 +a(g822 +Vn/ +p5210 +tp5211 +a(g408 +g2055 +tp5212 +a(g822 +V-d,-- +p5213 +tp5214 +a(g408 +g2055 +tp5215 +a(g822 +Vde,un +p5216 +tp5217 +a(g408 +g2059 +tp5218 +a(g822 +Vcompress +p5219 +tp5220 +a(g408 +g2059 +tp5221 +a(g822 +V/f:*. +p5222 +tp5223 +a(g408 +g2055 +tp5224 +a(g822 +Vgz,Z,z,zip,taz,tgz +p5225 +tp5226 +a(g408 +g2059 +tp5227 +a(g822 +g1618 +tp5228 +a(g822 +g1111 +tp5229 +a(g208 +V\u005c\u000a +p5230 +tp5231 +a(g822 +V +p5232 +tp5233 +a(g822 +VN/ +p5234 +tp5235 +a(g408 +g2055 +tp5236 +a(g822 +V-d,-- +p5237 +tp5238 +a(g408 +g2055 +tp5239 +a(g822 +Vde,un +p5240 +tp5241 +a(g408 +g2059 +tp5242 +a(g822 +Vcompress +p5243 +tp5244 +a(g408 +g2059 +tp5245 +a(g822 +V/f:*. +p5246 +tp5247 +a(g408 +g2055 +tp5248 +a(g822 +Vgz,Z,z,zip,taz,tgz +p5249 +tp5250 +a(g408 +g2059 +tp5251 +a(g822 +g1618 +tp5252 +a(g822 +g1111 +tp5253 +a(g208 +V\u005c\u000a +p5254 +tp5255 +a(g822 +V +p5256 +tp5257 +a(g822 +Vn/*/f:^*. +p5258 +tp5259 +a(g408 +g2055 +tp5260 +a(g822 +Vgz,Z,z,zip,taz,tgz +p5261 +tp5262 +a(g408 +g2059 +tp5263 +a(g822 +g1618 +tp5264 +a(g822 +V\u000a +p5265 +tp5266 +a(g677 +Vcomplete +p5267 +tp5268 +a(g822 +g1111 +tp5269 +a(g408 +g2055 +tp5270 +a(g822 +Vgunzip,ungzip +p5271 +tp5272 +a(g408 +g2059 +tp5273 +a(g822 +g1111 +tp5274 +a(g822 +Vc/--/ +p5275 +tp5276 +a(g152 +V"(stdout to-stdout force help list license \u005c\u000a no-name quiet recurse suffix test verbose version)" +p5277 +tp5278 +a(g822 +g1618 +tp5279 +a(g822 +g1111 +tp5280 +a(g208 +V\u005c\u000a +p5281 +tp5282 +a(g822 +V +p5283 +tp5284 +a(g822 +Vc/-/ +p5285 +tp5286 +a(g152 +V"(c f h l L n q r S t v V -)" +p5287 +tp5288 +a(g822 +g1618 +tp5289 +a(g822 +g1111 +tp5290 +a(g208 +V\u005c\u000a +p5291 +tp5292 +a(g822 +V +p5293 +tp5294 +a(g822 +Vn/ +p5295 +tp5296 +a(g408 +g2055 +tp5297 +a(g822 +V-S,--suffix +p5298 +tp5299 +a(g408 +g2059 +tp5300 +a(g822 +V/x: +p5301 +tp5302 +a(g225 +V'' +p5303 +tp5304 +a(g822 +g1618 +tp5305 +a(g822 +g1111 +tp5306 +a(g208 +V\u005c\u000a +p5307 +tp5308 +a(g822 +V +p5309 +tp5310 +a(g822 +Vn/*/f:*. +p5311 +tp5312 +a(g408 +g2055 +tp5313 +a(g822 +Vgz,Z,z,zip,taz,tgz +p5314 +tp5315 +a(g408 +g2059 +tp5316 +a(g822 +g1618 +tp5317 +a(g822 +V\u000a +p5318 +tp5319 +a(g677 +Vcomplete +p5320 +tp5321 +a(g822 +Vzgrep +p5322 +tp5323 +a(g822 +g1596 +tp5324 +a(g822 +Vc/-*A/x: +p5325 +tp5326 +a(g225 +V'<#_lines_after>' +p5327 +tp5328 +a(g822 +g1618 +tp5329 +a(g822 +g1111 +tp5330 +a(g822 +Vc/-*B/x: +p5331 +tp5332 +a(g225 +V'<#_lines_before>' +p5333 +tp5334 +a(g822 +g1618 +tp5335 +a(g208 +V\u005c\u000a +p5336 +tp5337 +a(g822 +V +p5338 +tp5339 +a(g822 +Vc/-/ +p5340 +tp5341 +a(g152 +V"(A b B c C e f h i l n s v V w x)" +p5342 +tp5343 +a(g822 +g1618 +tp5344 +a(g822 +g1111 +tp5345 +a(g208 +V\u005c\u000a +p5346 +tp5347 +a(g822 +V +p5348 +tp5349 +a(g822 +Vp/1/x: +p5350 +tp5351 +a(g225 +V'' +p5352 +tp5353 +a(g822 +g1618 +tp5354 +a(g822 +g1111 +tp5355 +a(g208 +V\u005c\u000a +p5356 +tp5357 +a(g822 +V +p5358 +tp5359 +a(g822 +Vn/-*e/x: +p5360 +tp5361 +a(g225 +V'' +p5362 +tp5363 +a(g822 +g1618 +tp5364 +a(g822 +g1111 +tp5365 +a(g822 +Vn/-*f/f/ +p5366 +tp5367 +a(g822 +g1111 +tp5368 +a(g822 +Vn/*/f/ +p5369 +tp5370 +a(g822 +V\u000a +p5371 +tp5372 +a(g677 +Vcomplete +p5373 +tp5374 +a(g822 +Vzegrep +p5375 +tp5376 +a(g822 +g1596 +tp5377 +a(g822 +Vc/-*A/x: +p5378 +tp5379 +a(g225 +V'<#_lines_after>' +p5380 +tp5381 +a(g822 +g1618 +tp5382 +a(g822 +g1111 +tp5383 +a(g822 +Vc/-*B/x: +p5384 +tp5385 +a(g225 +V'<#_lines_before>' +p5386 +tp5387 +a(g822 +g1618 +tp5388 +a(g208 +V\u005c\u000a +p5389 +tp5390 +a(g822 +V +p5391 +tp5392 +a(g822 +Vc/-/ +p5393 +tp5394 +a(g152 +V"(A b B c C e f h i l n s v V w x)" +p5395 +tp5396 +a(g822 +g1618 +tp5397 +a(g822 +g1111 +tp5398 +a(g208 +V\u005c\u000a +p5399 +tp5400 +a(g822 +V +p5401 +tp5402 +a(g822 +Vp/1/x: +p5403 +tp5404 +a(g225 +V'' +p5405 +tp5406 +a(g822 +g1618 +tp5407 +a(g822 +g1111 +tp5408 +a(g208 +V\u005c\u000a +p5409 +tp5410 +a(g822 +V +p5411 +tp5412 +a(g822 +Vn/-*e/x: +p5413 +tp5414 +a(g225 +V'' +p5415 +tp5416 +a(g822 +g1618 +tp5417 +a(g822 +g1111 +tp5418 +a(g822 +Vn/-*f/f/ +p5419 +tp5420 +a(g822 +g1111 +tp5421 +a(g822 +Vn/*/f/ +p5422 +tp5423 +a(g822 +V\u000a +p5424 +tp5425 +a(g677 +Vcomplete +p5426 +tp5427 +a(g822 +Vzfgrep +p5428 +tp5429 +a(g822 +g1596 +tp5430 +a(g822 +Vc/-*A/x: +p5431 +tp5432 +a(g225 +V'<#_lines_after>' +p5433 +tp5434 +a(g822 +g1618 +tp5435 +a(g822 +g1111 +tp5436 +a(g822 +Vc/-*B/x: +p5437 +tp5438 +a(g225 +V'<#_lines_before>' +p5439 +tp5440 +a(g822 +g1618 +tp5441 +a(g208 +V\u005c\u000a +p5442 +tp5443 +a(g822 +V +p5444 +tp5445 +a(g822 +Vc/-/ +p5446 +tp5447 +a(g152 +V"(A b B c C e f h i l n s v V w x)" +p5448 +tp5449 +a(g822 +g1618 +tp5450 +a(g822 +g1111 +tp5451 +a(g208 +V\u005c\u000a +p5452 +tp5453 +a(g822 +V +p5454 +tp5455 +a(g822 +Vp/1/x: +p5456 +tp5457 +a(g225 +V'' +p5458 +tp5459 +a(g822 +g1618 +tp5460 +a(g822 +g1111 +tp5461 +a(g208 +V\u005c\u000a +p5462 +tp5463 +a(g822 +V +p5464 +tp5465 +a(g822 +Vn/-*e/x: +p5466 +tp5467 +a(g225 +V'' +p5468 +tp5469 +a(g822 +g1618 +tp5470 +a(g822 +g1111 +tp5471 +a(g822 +Vn/-*f/f/ +p5472 +tp5473 +a(g822 +g1111 +tp5474 +a(g822 +Vn/*/f/ +p5475 +tp5476 +a(g822 +V\u000a\u000a +p5477 +tp5478 +a(g677 +Vcomplete +p5479 +tp5480 +a(g822 +Vznew +p5481 +tp5482 +a(g822 +g1596 +tp5483 +a(g822 +Vc/-/ +p5484 +tp5485 +a(g152 +V"(f t v 9 P K)" +p5486 +tp5487 +a(g822 +g1618 +tp5488 +a(g822 +g1111 +tp5489 +a(g822 +Vn/*/f:*.Z/ +p5490 +tp5491 +a(g822 +V\u000a +p5492 +tp5493 +a(g677 +Vcomplete +p5494 +tp5495 +a(g822 +Vzmore +p5496 +tp5497 +a(g822 +g1596 +tp5498 +a(g822 +Vn/*/f:*. +p5499 +tp5500 +a(g408 +g2055 +tp5501 +a(g822 +Vgz,Z,z,zip +p5502 +tp5503 +a(g408 +g2059 +tp5504 +a(g822 +g1618 +tp5505 +a(g822 +V\u000a +p5506 +tp5507 +a(g677 +Vcomplete +p5508 +tp5509 +a(g822 +Vzfile +p5510 +tp5511 +a(g822 +g1596 +tp5512 +a(g822 +Vn/*/f:*. +p5513 +tp5514 +a(g408 +g2055 +tp5515 +a(g822 +Vgz,Z,z,zip,taz,tgz +p5516 +tp5517 +a(g408 +g2059 +tp5518 +a(g822 +g1618 +tp5519 +a(g822 +V\u000a +p5520 +tp5521 +a(g677 +Vcomplete +p5522 +tp5523 +a(g822 +Vztouch +p5524 +tp5525 +a(g822 +g1596 +tp5526 +a(g822 +Vn/*/f:*. +p5527 +tp5528 +a(g408 +g2055 +tp5529 +a(g822 +Vgz,Z,z,zip,taz,tgz +p5530 +tp5531 +a(g408 +g2059 +tp5532 +a(g822 +g1618 +tp5533 +a(g822 +V\u000a +p5534 +tp5535 +a(g677 +Vcomplete +p5536 +tp5537 +a(g822 +Vzforce +p5538 +tp5539 +a(g822 +g1596 +tp5540 +a(g822 +Vn/*/f:^*. +p5541 +tp5542 +a(g408 +g2055 +tp5543 +a(g822 +Vgz,tgz +p5544 +tp5545 +a(g408 +g2059 +tp5546 +a(g822 +g1618 +tp5547 +a(g822 +V\u000a\u000a +p5548 +tp5549 +a(g677 +Vcomplete +p5550 +tp5551 +a(g822 +Vgrep +p5552 +tp5553 +a(g822 +g1596 +tp5554 +a(g822 +Vc/-*A/x: +p5555 +tp5556 +a(g225 +V'<#_lines_after>' +p5557 +tp5558 +a(g822 +g1618 +tp5559 +a(g822 +g1111 +tp5560 +a(g822 +Vc/-*B/x: +p5561 +tp5562 +a(g225 +V'<#_lines_before>' +p5563 +tp5564 +a(g822 +g1618 +tp5565 +a(g208 +V\u005c\u000a +p5566 +tp5567 +a(g822 +V +p5568 +tp5569 +a(g822 +Vc/-/ +p5570 +tp5571 +a(g152 +V"(A b B c C e f h i l n s v V w x)" +p5572 +tp5573 +a(g822 +g1618 +tp5574 +a(g822 +g1111 +tp5575 +a(g208 +V\u005c\u000a +p5576 +tp5577 +a(g822 +V +p5578 +tp5579 +a(g822 +Vp/1/x: +p5580 +tp5581 +a(g225 +V'' +p5582 +tp5583 +a(g822 +g1618 +tp5584 +a(g822 +g1111 +tp5585 +a(g208 +V\u005c\u000a +p5586 +tp5587 +a(g822 +V +p5588 +tp5589 +a(g822 +Vn/-*e/x: +p5590 +tp5591 +a(g225 +V'' +p5592 +tp5593 +a(g822 +g1618 +tp5594 +a(g822 +g1111 +tp5595 +a(g822 +Vn/-*f/f/ +p5596 +tp5597 +a(g822 +g1111 +tp5598 +a(g822 +Vn/*/f/ +p5599 +tp5600 +a(g822 +V\u000a +p5601 +tp5602 +a(g677 +Vcomplete +p5603 +tp5604 +a(g822 +Vegrep +p5605 +tp5606 +a(g822 +g1596 +tp5607 +a(g822 +Vc/-*A/x: +p5608 +tp5609 +a(g225 +V'<#_lines_after>' +p5610 +tp5611 +a(g822 +g1618 +tp5612 +a(g822 +g1111 +tp5613 +a(g822 +Vc/-*B/x: +p5614 +tp5615 +a(g225 +V'<#_lines_before>' +p5616 +tp5617 +a(g822 +g1618 +tp5618 +a(g208 +V\u005c\u000a +p5619 +tp5620 +a(g822 +V +p5621 +tp5622 +a(g822 +Vc/-/ +p5623 +tp5624 +a(g152 +V"(A b B c C e f h i l n s v V w x)" +p5625 +tp5626 +a(g822 +g1618 +tp5627 +a(g822 +g1111 +tp5628 +a(g208 +V\u005c\u000a +p5629 +tp5630 +a(g822 +V +p5631 +tp5632 +a(g822 +Vp/1/x: +p5633 +tp5634 +a(g225 +V'' +p5635 +tp5636 +a(g822 +g1618 +tp5637 +a(g822 +g1111 +tp5638 +a(g208 +V\u005c\u000a +p5639 +tp5640 +a(g822 +V +p5641 +tp5642 +a(g822 +Vn/-*e/x: +p5643 +tp5644 +a(g225 +V'' +p5645 +tp5646 +a(g822 +g1618 +tp5647 +a(g822 +g1111 +tp5648 +a(g822 +Vn/-*f/f/ +p5649 +tp5650 +a(g822 +g1111 +tp5651 +a(g822 +Vn/*/f/ +p5652 +tp5653 +a(g822 +V\u000a +p5654 +tp5655 +a(g677 +Vcomplete +p5656 +tp5657 +a(g822 +Vfgrep +p5658 +tp5659 +a(g822 +g1596 +tp5660 +a(g822 +Vc/-*A/x: +p5661 +tp5662 +a(g225 +V'<#_lines_after>' +p5663 +tp5664 +a(g822 +g1618 +tp5665 +a(g822 +g1111 +tp5666 +a(g822 +Vc/-*B/x: +p5667 +tp5668 +a(g225 +V'<#_lines_before>' +p5669 +tp5670 +a(g822 +g1618 +tp5671 +a(g208 +V\u005c\u000a +p5672 +tp5673 +a(g822 +V +p5674 +tp5675 +a(g822 +Vc/-/ +p5676 +tp5677 +a(g152 +V"(A b B c C e f h i l n s v V w x)" +p5678 +tp5679 +a(g822 +g1618 +tp5680 +a(g822 +g1111 +tp5681 +a(g208 +V\u005c\u000a +p5682 +tp5683 +a(g822 +V +p5684 +tp5685 +a(g822 +Vp/1/x: +p5686 +tp5687 +a(g225 +V'' +p5688 +tp5689 +a(g822 +g1618 +tp5690 +a(g822 +g1111 +tp5691 +a(g208 +V\u005c\u000a +p5692 +tp5693 +a(g822 +V +p5694 +tp5695 +a(g822 +Vn/-*e/x: +p5696 +tp5697 +a(g225 +V'' +p5698 +tp5699 +a(g822 +g1618 +tp5700 +a(g822 +g1111 +tp5701 +a(g822 +Vn/-*f/f/ +p5702 +tp5703 +a(g822 +g1111 +tp5704 +a(g822 +Vn/*/f/ +p5705 +tp5706 +a(g822 +V\u000a\u000a +p5707 +tp5708 +a(g677 +Vcomplete +p5709 +tp5710 +a(g822 +Vusers +p5711 +tp5712 +a(g822 +g1596 +tp5713 +a(g822 +Vc/--/ +p5714 +tp5715 +a(g152 +V"(help version)" +p5716 +tp5717 +a(g822 +g1618 +tp5718 +a(g822 +g1111 +tp5719 +a(g822 +Vp/1/x: +p5720 +tp5721 +a(g225 +V'' +p5722 +tp5723 +a(g822 +g1618 +tp5724 +a(g822 +V\u000a +p5725 +tp5726 +a(g677 +Vcomplete +p5727 +tp5728 +a(g822 +Vwho +p5729 +tp5730 +a(g822 +g1596 +tp5731 +a(g822 +Vc/--/ +p5732 +tp5733 +a(g152 +V"(heading mesg idle count help message version \u005c\u000a writable)" +p5734 +tp5735 +a(g822 +g1618 +tp5736 +a(g822 +g1111 +tp5737 +a(g822 +Vc/-/ +p5738 +tp5739 +a(g152 +V"(H T w i u m q s -)" +p5740 +tp5741 +a(g822 +g1618 +tp5742 +a(g822 +g1111 +tp5743 +a(g208 +V\u005c\u000a +p5744 +tp5745 +a(g822 +V +p5746 +tp5747 +a(g822 +Vp/1/x: +p5748 +tp5749 +a(g225 +V'' +p5750 +tp5751 +a(g822 +g1618 +tp5752 +a(g822 +g1111 +tp5753 +a(g822 +Vn/am/ +p5754 +tp5755 +a(g152 +V"(i)" +p5756 +tp5757 +a(g822 +g1618 +tp5758 +a(g822 +g1111 +tp5759 +a(g822 +Vn/are/ +p5760 +tp5761 +a(g152 +V"(you)" +p5762 +tp5763 +a(g822 +g1618 +tp5764 +a(g822 +V\u000a\u000a +p5765 +tp5766 +a(g677 +Vcomplete +p5767 +tp5768 +a(g822 +Vchown +p5769 +tp5770 +a(g822 +g1596 +tp5771 +a(g822 +Vc/--/ +p5772 +tp5773 +a(g152 +V"(changes silent quiet verbose recursive help \u005c\u000a version)" +p5774 +tp5775 +a(g822 +g1618 +tp5776 +a(g822 +g1111 +tp5777 +a(g822 +Vc/-/ +p5778 +tp5779 +a(g152 +V"(c f v R -)" +p5780 +tp5781 +a(g822 +g1618 +tp5782 +a(g822 +g1111 +tp5783 +a(g822 +VC@ +p5784 +tp5785 +a(g408 +g2143 +tp5786 +a(g822 +V./ +p5787 +tp5788 +a(g208 +V\u005c$ +p5789 +tp5790 +a(g822 +g4686 +tp5791 +a(g408 +g2147 +tp5792 +a(g822 +V@f@ +p5793 +tp5794 +a(g822 +g1111 +tp5795 +a(g822 +Vc/* +p5796 +tp5797 +a(g408 +g2143 +tp5798 +a(g822 +V.: +p5799 +tp5800 +a(g408 +g2147 +tp5801 +a(g822 +V/g/ +p5802 +tp5803 +a(g822 +g1111 +tp5804 +a(g208 +V\u005c\u000a +p5805 +tp5806 +a(g822 +V +p5807 +tp5808 +a(g822 +Vn/-/u/. +p5809 +tp5810 +a(g822 +g1111 +tp5811 +a(g822 +Vp/1/u/. +p5812 +tp5813 +a(g822 +g1111 +tp5814 +a(g822 +Vn/*/f/ +p5815 +tp5816 +a(g822 +V\u000a +p5817 +tp5818 +a(g677 +Vcomplete +p5819 +tp5820 +a(g822 +Vchgrp +p5821 +tp5822 +a(g822 +g1596 +tp5823 +a(g822 +Vc/--/ +p5824 +tp5825 +a(g152 +V"(changes silent quiet verbose recursive help \u005c\u000a version)" +p5826 +tp5827 +a(g822 +g1618 +tp5828 +a(g822 +g1111 +tp5829 +a(g822 +Vc/-/ +p5830 +tp5831 +a(g152 +V"(c f v R -)" +p5832 +tp5833 +a(g822 +g1618 +tp5834 +a(g822 +g1111 +tp5835 +a(g822 +Vn/-/g/ +p5836 +tp5837 +a(g822 +g1111 +tp5838 +a(g822 +Vp/1/g/ +p5839 +tp5840 +a(g822 +g1111 +tp5841 +a(g822 +Vn/*/f/ +p5842 +tp5843 +a(g822 +V\u000a\u000a +p5844 +tp5845 +a(g677 +Vcomplete +p5846 +tp5847 +a(g822 +Vcat +p5848 +tp5849 +a(g822 +g1596 +tp5850 +a(g822 +Vc/--/ +p5851 +tp5852 +a(g152 +V"(number-nonblank number squeeze-blank show-all \u005c\u000a show-nonprinting show-ends show-tabs help version)" +p5853 +tp5854 +a(g822 +g1618 +tp5855 +a(g822 +g1111 +tp5856 +a(g208 +V\u005c\u000a +p5857 +tp5858 +a(g822 +V +p5859 +tp5860 +a(g822 +Vc/-/ +p5861 +tp5862 +a(g152 +V"(b e n s t u v A E T -)" +p5863 +tp5864 +a(g822 +g1618 +tp5865 +a(g822 +g1111 +tp5866 +a(g822 +Vn/*/f/ +p5867 +tp5868 +a(g822 +V\u000a +p5869 +tp5870 +a(g740 +Vif +p5871 +tp5872 +a(g822 +g1111 +tp5873 +a(g408 +g1113 +tp5874 +a(g436 +V$? +p5875 +tp5876 +a(g822 +Vtraditional_cp_mv_complete +p5877 +tp5878 +a(g408 +g1119 +tp5879 +a(g822 +g1111 +tp5880 +a(g740 +Vthen\u000a +p5881 +tp5882 +a(g677 +Vcomplete +p5883 +tp5884 +a(g822 +Vmv +p5885 +tp5886 +a(g822 +V +p5887 +tp5888 +a(g822 +Vc/--/ +p5889 +tp5890 +a(g152 +V"(backup force interactive update verbose suffix \u005c\u000a version-control help version)" +p5891 +tp5892 +a(g822 +g1618 +tp5893 +a(g822 +g1111 +tp5894 +a(g208 +V\u005c\u000a +p5895 +tp5896 +a(g822 +V +p5897 +tp5898 +a(g822 +Vc/-/ +p5899 +tp5900 +a(g152 +V"(b f i u v S V -)" +p5901 +tp5902 +a(g822 +g1618 +tp5903 +a(g822 +g1111 +tp5904 +a(g208 +V\u005c\u000a +p5905 +tp5906 +a(g822 +V +p5907 +tp5908 +a(g822 +Vn/ +p5909 +tp5910 +a(g408 +g2055 +tp5911 +a(g822 +V-S,--suffix +p5912 +tp5913 +a(g408 +g2059 +tp5914 +a(g822 +V/x: +p5915 +tp5916 +a(g225 +V'' +p5917 +tp5918 +a(g822 +g1618 +tp5919 +a(g822 +g1111 +tp5920 +a(g208 +V\u005c\u000a +p5921 +tp5922 +a(g822 +V +p5923 +tp5924 +a(g822 +Vn/ +p5925 +tp5926 +a(g408 +g2055 +tp5927 +a(g822 +V-V,--version-control +p5928 +tp5929 +a(g408 +g2059 +tp5930 +a(g822 +g1618 +tp5931 +a(g152 +V"(t numbered nil existing \u005c\u000a never simple)" +p5932 +tp5933 +a(g822 +g1618 +tp5934 +a(g822 +g1111 +tp5935 +a(g822 +Vn/-/f/ +p5936 +tp5937 +a(g822 +g1111 +tp5938 +a(g822 +VN/-/d/ +p5939 +tp5940 +a(g822 +g1111 +tp5941 +a(g822 +Vp/1/f/ +p5942 +tp5943 +a(g822 +g1111 +tp5944 +a(g822 +Vp/2/d/ +p5945 +tp5946 +a(g822 +g1111 +tp5947 +a(g822 +Vn/*/f/ +p5948 +tp5949 +a(g822 +V\u000a +p5950 +tp5951 +a(g677 +Vcomplete +p5952 +tp5953 +a(g822 +Vcp +p5954 +tp5955 +a(g822 +V +p5956 +tp5957 +a(g822 +Vc/--/ +p5958 +tp5959 +a(g152 +V"(archive backup no-dereference force interactive \u005c\u000a link preserve symbolic-link update verbose parents \u005c\u000a one-file-system recursive suffix version-control help \u005c\u000a version)" +p5960 +tp5961 +a(g822 +g1618 +tp5962 +a(g822 +g1111 +tp5963 +a(g822 +Vc/-/ +p5964 +tp5965 +a(g152 +V"(a b d f i l p r s u v x P R S V -)" +p5966 +tp5967 +a(g822 +g1618 +tp5968 +a(g822 +g1111 +tp5969 +a(g208 +V\u005c\u000a +p5970 +tp5971 +a(g822 +V +p5972 +tp5973 +a(g822 +Vn/-*r/d/ +p5974 +tp5975 +a(g822 +g1111 +tp5976 +a(g822 +Vn/ +p5977 +tp5978 +a(g408 +g2055 +tp5979 +a(g822 +V-S,--suffix +p5980 +tp5981 +a(g408 +g2059 +tp5982 +a(g822 +V/x: +p5983 +tp5984 +a(g225 +V'' +p5985 +tp5986 +a(g822 +g1618 +tp5987 +a(g822 +g1111 +tp5988 +a(g208 +V\u005c\u000a +p5989 +tp5990 +a(g822 +V +p5991 +tp5992 +a(g822 +Vn/ +p5993 +tp5994 +a(g408 +g2055 +tp5995 +a(g822 +V-V,--version-control +p5996 +tp5997 +a(g408 +g2059 +tp5998 +a(g822 +g1618 +tp5999 +a(g152 +V"(t numbered nil existing \u005c\u000a never simple)" +p6000 +tp6001 +a(g822 +g1618 +tp6002 +a(g822 +g1111 +tp6003 +a(g822 +Vn/-/f/ +p6004 +tp6005 +a(g822 +g1111 +tp6006 +a(g822 +VN/-/d/ +p6007 +tp6008 +a(g822 +g1111 +tp6009 +a(g822 +Vp/1/f/ +p6010 +tp6011 +a(g822 +g1111 +tp6012 +a(g822 +Vp/2/d/ +p6013 +tp6014 +a(g822 +g1111 +tp6015 +a(g822 +Vn/*/f/ +p6016 +tp6017 +a(g822 +V\u000a +p6018 +tp6019 +a(g740 +Velse\u000a +p6020 +tp6021 +a(g677 +Vcomplete +p6022 +tp6023 +a(g822 +Vmv +p6024 +tp6025 +a(g822 +V +p6026 +tp6027 +a(g822 +Vc/--/ +p6028 +tp6029 +a(g152 +V"(backup force interactive update verbose suffix \u005c\u000a version-control help version)" +p6030 +tp6031 +a(g822 +g1618 +tp6032 +a(g822 +g1111 +tp6033 +a(g208 +V\u005c\u000a +p6034 +tp6035 +a(g822 +V +p6036 +tp6037 +a(g822 +Vc/-/ +p6038 +tp6039 +a(g152 +V"(b f i u v S V -)" +p6040 +tp6041 +a(g822 +g1618 +tp6042 +a(g822 +g1111 +tp6043 +a(g208 +V\u005c\u000a +p6044 +tp6045 +a(g822 +V +p6046 +tp6047 +a(g822 +Vn/ +p6048 +tp6049 +a(g408 +g2055 +tp6050 +a(g822 +V-S,--suffix +p6051 +tp6052 +a(g408 +g2059 +tp6053 +a(g822 +V/x: +p6054 +tp6055 +a(g225 +V'' +p6056 +tp6057 +a(g822 +g1618 +tp6058 +a(g822 +g1111 +tp6059 +a(g208 +V\u005c\u000a +p6060 +tp6061 +a(g822 +V +p6062 +tp6063 +a(g822 +Vn/ +p6064 +tp6065 +a(g408 +g2055 +tp6066 +a(g822 +V-V,--version-control +p6067 +tp6068 +a(g408 +g2059 +tp6069 +a(g822 +g1618 +tp6070 +a(g152 +V"(t numbered nil existing \u005c\u000a never simple)" +p6071 +tp6072 +a(g822 +g1618 +tp6073 +a(g822 +g1111 +tp6074 +a(g822 +Vn/-/f/ +p6075 +tp6076 +a(g822 +g1111 +tp6077 +a(g822 +VN/-/d/ +p6078 +tp6079 +a(g822 +g1111 +tp6080 +a(g822 +Vn/*/f/ +p6081 +tp6082 +a(g822 +V\u000a +p6083 +tp6084 +a(g677 +Vcomplete +p6085 +tp6086 +a(g822 +Vcp +p6087 +tp6088 +a(g822 +V +p6089 +tp6090 +a(g822 +Vc/--/ +p6091 +tp6092 +a(g152 +V"(archive backup no-dereference force interactive \u005c\u000a link preserve symbolic-link update verbose parents \u005c\u000a one-file-system recursive suffix version-control help \u005c\u000a version)" +p6093 +tp6094 +a(g822 +g1618 +tp6095 +a(g822 +g1111 +tp6096 +a(g822 +Vc/-/ +p6097 +tp6098 +a(g152 +V"(a b d f i l p r s u v x P R S V -)" +p6099 +tp6100 +a(g822 +g1618 +tp6101 +a(g822 +g1111 +tp6102 +a(g208 +V\u005c\u000a +p6103 +tp6104 +a(g822 +V +p6105 +tp6106 +a(g822 +Vn/-*r/d/ +p6107 +tp6108 +a(g822 +g1111 +tp6109 +a(g822 +Vn/ +p6110 +tp6111 +a(g408 +g2055 +tp6112 +a(g822 +V-S,--suffix +p6113 +tp6114 +a(g408 +g2059 +tp6115 +a(g822 +V/x: +p6116 +tp6117 +a(g225 +V'' +p6118 +tp6119 +a(g822 +g1618 +tp6120 +a(g822 +g1111 +tp6121 +a(g208 +V\u005c\u000a +p6122 +tp6123 +a(g822 +V +p6124 +tp6125 +a(g822 +Vn/ +p6126 +tp6127 +a(g408 +g2055 +tp6128 +a(g822 +V-V,--version-control +p6129 +tp6130 +a(g408 +g2059 +tp6131 +a(g822 +g1618 +tp6132 +a(g152 +V"(t numbered nil existing \u005c\u000a never simple)" +p6133 +tp6134 +a(g822 +g1618 +tp6135 +a(g822 +g1111 +tp6136 +a(g822 +Vn/-/f/ +p6137 +tp6138 +a(g822 +g1111 +tp6139 +a(g822 +VN/-/d/ +p6140 +tp6141 +a(g822 +g1111 +tp6142 +a(g822 +Vn/*/f/ +p6143 +tp6144 +a(g822 +V\u000a +p6145 +tp6146 +a(g740 +Vendif\u000a +p6147 +tp6148 +a(g677 +Vcomplete +p6149 +tp6150 +a(g822 +Vln +p6151 +tp6152 +a(g822 +V +p6153 +tp6154 +a(g822 +Vc/--/ +p6155 +tp6156 +a(g152 +V"(backup directory force interactive symbolic \u005c\u000a verbose suffix version-control help version)" +p6157 +tp6158 +a(g822 +g1618 +tp6159 +a(g822 +g1111 +tp6160 +a(g208 +V\u005c\u000a +p6161 +tp6162 +a(g822 +V +p6163 +tp6164 +a(g822 +Vc/-/ +p6165 +tp6166 +a(g152 +V"(b d F f i s v S V -)" +p6167 +tp6168 +a(g822 +g1618 +tp6169 +a(g822 +g1111 +tp6170 +a(g208 +V\u005c\u000a +p6171 +tp6172 +a(g822 +V +p6173 +tp6174 +a(g822 +Vn/ +p6175 +tp6176 +a(g408 +g2055 +tp6177 +a(g822 +V-S,--suffix +p6178 +tp6179 +a(g408 +g2059 +tp6180 +a(g822 +V/x: +p6181 +tp6182 +a(g225 +V'' +p6183 +tp6184 +a(g822 +g1618 +tp6185 +a(g822 +g1111 +tp6186 +a(g208 +V\u005c\u000a +p6187 +tp6188 +a(g822 +V +p6189 +tp6190 +a(g822 +Vn/ +p6191 +tp6192 +a(g408 +g2055 +tp6193 +a(g822 +V-V,--version-control +p6194 +tp6195 +a(g408 +g2059 +tp6196 +a(g822 +g1618 +tp6197 +a(g152 +V"(t numbered nil existing \u005c\u000a never simple)" +p6198 +tp6199 +a(g822 +g1618 +tp6200 +a(g822 +g1111 +tp6201 +a(g822 +Vn/-/f/ +p6202 +tp6203 +a(g822 +g1111 +tp6204 +a(g822 +VN/-/x: +p6205 +tp6206 +a(g225 +V'' +p6207 +tp6208 +a(g822 +g1618 +tp6209 +a(g822 +g1111 +tp6210 +a(g208 +V\u005c\u000a +p6211 +tp6212 +a(g822 +V +p6213 +tp6214 +a(g822 +Vp/1/f/ +p6215 +tp6216 +a(g822 +g1111 +tp6217 +a(g822 +Vp/2/x: +p6218 +tp6219 +a(g225 +V'' +p6220 +tp6221 +a(g822 +g1618 +tp6222 +a(g822 +V\u000a +p6223 +tp6224 +a(g677 +Vcomplete +p6225 +tp6226 +a(g822 +Vtouch +p6227 +tp6228 +a(g822 +g1596 +tp6229 +a(g822 +Vc/--/ +p6230 +tp6231 +a(g152 +V"(date file help time version)" +p6232 +tp6233 +a(g822 +g1618 +tp6234 +a(g822 +g1111 +tp6235 +a(g208 +V\u005c\u000a +p6236 +tp6237 +a(g822 +V +p6238 +tp6239 +a(g822 +Vc/-/ +p6240 +tp6241 +a(g152 +V"(a c d f m r t -)" +p6242 +tp6243 +a(g822 +g1618 +tp6244 +a(g822 +g1111 +tp6245 +a(g208 +V\u005c\u000a +p6246 +tp6247 +a(g822 +V +p6248 +tp6249 +a(g822 +Vn/ +p6250 +tp6251 +a(g408 +g2055 +tp6252 +a(g822 +V-d,--date +p6253 +tp6254 +a(g408 +g2059 +tp6255 +a(g822 +V/x: +p6256 +tp6257 +a(g225 +V'' +p6258 +tp6259 +a(g822 +g1618 +tp6260 +a(g822 +g1111 +tp6261 +a(g208 +V\u005c\u000a +p6262 +tp6263 +a(g822 +V +p6264 +tp6265 +a(g822 +Vc/--time/ +p6266 +tp6267 +a(g152 +V"(access atime mtime modify use)" +p6268 +tp6269 +a(g822 +g1618 +tp6270 +a(g822 +g1111 +tp6271 +a(g208 +V\u005c\u000a +p6272 +tp6273 +a(g822 +V +p6274 +tp6275 +a(g822 +Vn/ +p6276 +tp6277 +a(g408 +g2055 +tp6278 +a(g822 +V-r,--file +p6279 +tp6280 +a(g408 +g2059 +tp6281 +a(g822 +V/f/ +p6282 +tp6283 +a(g822 +g1111 +tp6284 +a(g822 +Vn/-t/x: +p6285 +tp6286 +a(g225 +V'' +p6287 +tp6288 +a(g822 +g1618 +tp6289 +a(g822 +g1111 +tp6290 +a(g822 +Vn/*/f/ +p6291 +tp6292 +a(g822 +V\u000a +p6293 +tp6294 +a(g677 +Vcomplete +p6295 +tp6296 +a(g822 +Vmkdir +p6297 +tp6298 +a(g822 +g1596 +tp6299 +a(g822 +Vc/--/ +p6300 +tp6301 +a(g152 +V"(parents help version mode)" +p6302 +tp6303 +a(g822 +g1618 +tp6304 +a(g822 +g1111 +tp6305 +a(g822 +Vc/-/ +p6306 +tp6307 +a(g152 +V"(p m -)" +p6308 +tp6309 +a(g822 +g1618 +tp6310 +a(g822 +g1111 +tp6311 +a(g208 +V\u005c\u000a +p6312 +tp6313 +a(g822 +V +p6314 +tp6315 +a(g822 +Vn/ +p6316 +tp6317 +a(g408 +g2055 +tp6318 +a(g822 +V-m,--mode +p6319 +tp6320 +a(g408 +g2059 +tp6321 +a(g822 +V/x: +p6322 +tp6323 +a(g225 +V'' +p6324 +tp6325 +a(g822 +g1618 +tp6326 +a(g822 +g1111 +tp6327 +a(g822 +Vn/*/d/ +p6328 +tp6329 +a(g822 +V\u000a +p6330 +tp6331 +a(g677 +Vcomplete +p6332 +tp6333 +a(g822 +Vrmdir +p6334 +tp6335 +a(g822 +g1596 +tp6336 +a(g822 +Vc/--/ +p6337 +tp6338 +a(g152 +V"(parents help version)" +p6339 +tp6340 +a(g822 +g1618 +tp6341 +a(g822 +g1111 +tp6342 +a(g822 +Vc/-/ +p6343 +tp6344 +a(g152 +V"(p -)" +p6345 +tp6346 +a(g822 +g1618 +tp6347 +a(g822 +g1111 +tp6348 +a(g822 +Vn/*/d/ +p6349 +tp6350 +a(g822 +V\u000a\u000a +p6351 +tp6352 +a(g677 +Vcomplete +p6353 +tp6354 +a(g822 +Vtar +p6355 +tp6356 +a(g822 +g1596 +tp6357 +a(g822 +Vc/- +p6358 +tp6359 +a(g408 +g2143 +tp6360 +a(g822 +VAcru +p6361 +tp6362 +a(g408 +g2147 +tp6363 +a(g822 +V*/ +p6364 +tp6365 +a(g152 +V"(b B C f F g G h i l L M N o P \u005c\u000a R S T v V w W X z Z)" +p6366 +tp6367 +a(g822 +g1618 +tp6368 +a(g822 +g1111 +tp6369 +a(g208 +V\u005c\u000a +p6370 +tp6371 +a(g822 +V +p6372 +tp6373 +a(g822 +Vc/- +p6374 +tp6375 +a(g408 +g2143 +tp6376 +a(g822 +Vdtx +p6377 +tp6378 +a(g408 +g2147 +tp6379 +a(g822 +V*/ +p6380 +tp6381 +a(g152 +V"( B C f F g G i k K m M O p P \u005c\u000a R s S T v w x X z Z)" +p6382 +tp6383 +a(g822 +g1618 +tp6384 +a(g822 +g1111 +tp6385 +a(g208 +V\u005c\u000a +p6386 +tp6387 +a(g822 +V +p6388 +tp6389 +a(g822 +Vp/1/ +p6390 +tp6391 +a(g152 +V"(A c d r t u x -A -c -d -r -t -u -x \u005c\u000a --catenate --concatenate --create --diff --compare \u005c\u000a --delete --append --list --update --extract --get)" +p6392 +tp6393 +a(g822 +g1618 +tp6394 +a(g822 +g1111 +tp6395 +a(g208 +V\u005c\u000a +p6396 +tp6397 +a(g822 +V +p6398 +tp6399 +a(g822 +Vc/--/ +p6400 +tp6401 +a(g152 +V"(catenate concatenate create diff compare \u005c\u000a delete append list update extract get atime-preserve \u005c\u000a block-size read-full-blocks directory checkpoint file \u005c\u000a force-local info-script new-volume-script incremental \u005c\u000a listed-incremental dereference ignore-zeros \u005c\u000a ignore-failed-read keep-old-files starting-file \u005c\u000a one-file-system tape-length modification-time \u005c\u000a multi-volume after-date newer old-archive portability \u005c\u000a to-stdout same-permissions preserve-permissions \u005c\u000a absolute-paths preserve record-number remove-files \u005c\u000a same-order preserve-order same-owner sparse \u005c\u000a files-from null totals verbose label version \u005c\u000a interactive confirmation verify exclude exclude-from \u005c\u000a compress uncompress gzip ungzip use-compress-program \u005c\u000a block-compress)" +p6402 +tp6403 +a(g822 +g1618 +tp6404 +a(g822 +g1111 +tp6405 +a(g208 +V\u005c\u000a +p6406 +tp6407 +a(g822 +V +p6408 +tp6409 +a(g822 +Vc/-/ +p6410 +tp6411 +a(g152 +V"(b B C f F g G h i k K l L m M N o O p P R s S \u005c\u000a T v V w W X z Z 0 1 2 3 4 5 6 7 -)" +p6412 +tp6413 +a(g822 +g1618 +tp6414 +a(g822 +g1111 +tp6415 +a(g208 +V\u005c\u000a +p6416 +tp6417 +a(g822 +V +p6418 +tp6419 +a(g822 +Vn/-c*f/x: +p6420 +tp6421 +a(g225 +V'' +p6422 +tp6423 +a(g822 +g1618 +tp6424 +a(g822 +g1111 +tp6425 +a(g208 +V\u005c\u000a +p6426 +tp6427 +a(g822 +V +p6428 +tp6429 +a(g822 +Vn/ +p6430 +tp6431 +a(g408 +g2055 +tp6432 +a(g822 +g1191 +tp6433 +a(g408 +g2143 +tp6434 +a(g822 +VAdrtux +p6435 +tp6436 +a(g408 +g2147 +tp6437 +a(g822 +V*f,--file +p6438 +tp6439 +a(g408 +g2059 +tp6440 +a(g822 +V/f:*.tar/ +p6441 +tp6442 +a(g822 +g1111 +tp6443 +a(g208 +V\u005c\u000a +p6444 +tp6445 +a(g822 +V +p6446 +tp6447 +a(g822 +VN/ +p6448 +tp6449 +a(g408 +g2055 +tp6450 +a(g822 +V-x*f,--file +p6451 +tp6452 +a(g408 +g2059 +tp6453 +a(g822 +g1618 +tp6454 +a(g225 +V'`tar -tf $:-1`' +p6455 +tp6456 +a(g822 +g1618 +tp6457 +a(g822 +g1111 +tp6458 +a(g208 +V\u005c\u000a +p6459 +tp6460 +a(g822 +V +p6461 +tp6462 +a(g822 +Vn/--use-compress-program/c/ +p6463 +tp6464 +a(g822 +g1111 +tp6465 +a(g208 +V\u005c\u000a +p6466 +tp6467 +a(g822 +V +p6468 +tp6469 +a(g822 +Vn/ +p6470 +tp6471 +a(g408 +g2055 +tp6472 +a(g822 +V-b,--block-size +p6473 +tp6474 +a(g408 +g2059 +tp6475 +a(g822 +V/x: +p6476 +tp6477 +a(g225 +V'' +p6478 +tp6479 +a(g822 +g1618 +tp6480 +a(g822 +g1111 +tp6481 +a(g208 +V\u005c\u000a +p6482 +tp6483 +a(g822 +V +p6484 +tp6485 +a(g822 +Vn/ +p6486 +tp6487 +a(g408 +g2055 +tp6488 +a(g822 +V-V,--label +p6489 +tp6490 +a(g408 +g2059 +tp6491 +a(g822 +V/x: +p6492 +tp6493 +a(g225 +V'' +p6494 +tp6495 +a(g822 +g1618 +tp6496 +a(g822 +g1111 +tp6497 +a(g208 +V\u005c\u000a +p6498 +tp6499 +a(g822 +V +p6500 +tp6501 +a(g822 +Vn/ +p6502 +tp6503 +a(g408 +g2055 +tp6504 +a(g822 +V-N,-- +p6505 +tp6506 +a(g408 +g2055 +tp6507 +a(g822 +Vafter-date,newer +p6508 +tp6509 +a(g408 +V}} +p6510 +tp6511 +a(g822 +V/x: +p6512 +tp6513 +a(g225 +V'' +p6514 +tp6515 +a(g822 +g1618 +tp6516 +a(g822 +g1111 +tp6517 +a(g208 +V\u005c\u000a +p6518 +tp6519 +a(g822 +V +p6520 +tp6521 +a(g822 +Vn/ +p6522 +tp6523 +a(g408 +g2055 +tp6524 +a(g822 +V-L,--tape-length +p6525 +tp6526 +a(g408 +g2059 +tp6527 +a(g822 +V/x: +p6528 +tp6529 +a(g225 +V'' +p6530 +tp6531 +a(g822 +g1618 +tp6532 +a(g822 +g1111 +tp6533 +a(g208 +V\u005c\u000a +p6534 +tp6535 +a(g822 +V +p6536 +tp6537 +a(g822 +Vn/ +p6538 +tp6539 +a(g408 +g2055 +tp6540 +a(g822 +V-C,--directory +p6541 +tp6542 +a(g408 +g2059 +tp6543 +a(g822 +V/d/ +p6544 +tp6545 +a(g822 +g1111 +tp6546 +a(g208 +V\u005c\u000a +p6547 +tp6548 +a(g822 +V +p6549 +tp6550 +a(g822 +VN/ +p6551 +tp6552 +a(g408 +g2055 +tp6553 +a(g822 +V-C,--directory +p6554 +tp6555 +a(g408 +g2059 +tp6556 +a(g822 +g1618 +tp6557 +a(g225 +V'`\u005cls $:-1`' +p6558 +tp6559 +a(g822 +g1618 +tp6560 +a(g822 +g1111 +tp6561 +a(g208 +V\u005c\u000a +p6562 +tp6563 +a(g822 +V +p6564 +tp6565 +a(g822 +Vn/- +p6566 +tp6567 +a(g408 +g2143 +tp6568 +a(g822 +V0-7 +p6569 +tp6570 +a(g408 +g2147 +tp6571 +a(g822 +g1618 +tp6572 +a(g152 +V"(l m h)" +p6573 +tp6574 +a(g822 +g1618 +tp6575 +a(g822 +V\u000a\u000a +p6576 +tp6577 +a(g7 +V# BSD 4.3 filesystems +p6578 +tp6579 +a(g822 +V\u000a +p6580 +tp6581 +a(g677 +Vcomplete +p6582 +tp6583 +a(g822 +Vmount +p6584 +tp6585 +a(g822 +g1596 +tp6586 +a(g822 +Vc/-/ +p6587 +tp6588 +a(g152 +V"(a h v t r)" +p6589 +tp6590 +a(g822 +g1618 +tp6591 +a(g822 +g1111 +tp6592 +a(g822 +Vn/-h/ +p6593 +tp6594 +a(g208 +V\u005c$ +p6595 +tp6596 +a(g822 +Vhosts/ +p6597 +tp6598 +a(g822 +g1111 +tp6599 +a(g822 +Vn/-t/ +p6600 +tp6601 +a(g152 +V"(4.2 nfs)" +p6602 +tp6603 +a(g822 +g1618 +tp6604 +a(g822 +g1111 +tp6605 +a(g208 +V\u005c\u000a +p6606 +tp6607 +a(g822 +V +p6608 +tp6609 +a(g822 +Vn@*@ +p6610 +tp6611 +a(g225 +V'`cut -d " " -f 2 /etc/fstab`' +p6612 +tp6613 +a(g822 +g2125 +tp6614 +a(g822 +V\u000a +p6615 +tp6616 +a(g677 +Vcomplete +p6617 +tp6618 +a(g822 +Vumount +p6619 +tp6620 +a(g822 +g1596 +tp6621 +a(g822 +Vc/-/ +p6622 +tp6623 +a(g152 +V"(a h v t)" +p6624 +tp6625 +a(g822 +g1618 +tp6626 +a(g822 +V +p6627 +tp6628 +a(g822 +Vn/-h/ +p6629 +tp6630 +a(g208 +V\u005c$ +p6631 +tp6632 +a(g822 +Vhosts/ +p6633 +tp6634 +a(g822 +g1111 +tp6635 +a(g822 +Vn/-t/ +p6636 +tp6637 +a(g152 +V"(4.2 nfs)" +p6638 +tp6639 +a(g822 +g1618 +tp6640 +a(g822 +g1111 +tp6641 +a(g208 +V\u005c\u000a +p6642 +tp6643 +a(g822 +V +p6644 +tp6645 +a(g822 +Vn/*/ +p6646 +tp6647 +a(g225 +V'`mount | cut -d " " -f 3`' +p6648 +tp6649 +a(g822 +g1618 +tp6650 +a(g822 +V\u000a +p6651 +tp6652 +a(g7 +V# BSD 4.2 filesystems +p6653 +tp6654 +a(g822 +V\u000a +p6655 +tp6656 +a(g7 +V#complete mount c/-/"(a h v t r)"/ n/-h/\u005c$hosts/ n/-t/"(ufs nfs)"/ \u005c +p6657 +tp6658 +a(g822 +V\u000a +p6659 +tp6660 +a(g7 +V# n@*@'`cut -d ":" -f 2 /etc/fstab`'@ +p6661 +tp6662 +a(g822 +V\u000a +p6663 +tp6664 +a(g7 +V#complete umount c/-/"(a h v t)"/ n/-h/\u005c$hosts/ n/-t/"(ufs nfs)"/ \u005c +p6665 +tp6666 +a(g822 +V\u000a +p6667 +tp6668 +a(g7 +V# n/*/'`mount | cut -d " " -f 3`'/ +p6669 +tp6670 +a(g822 +V\u000a\u000a +p6671 +tp6672 +a(g7 +V# these deal with NIS (formerly YP); if it's not running you don't need 'em +p6673 +tp6674 +a(g822 +V\u000a +p6675 +tp6676 +a(g677 +Vcomplete +p6677 +tp6678 +a(g822 +Vdomainname +p6679 +tp6680 +a(g822 +g1596 +tp6681 +a(g822 +Vp@1@D: +p6682 +tp6683 +a(g436 +V$_ypdir +p6684 +tp6685 +a(g822 +g2125 +tp6686 +a(g152 +V" " +p6687 +tp6688 +a(g822 +g1111 +tp6689 +a(g822 +Vn@*@n@ +p6690 +tp6691 +a(g822 +V\u000a +p6692 +tp6693 +a(g677 +Vcomplete +p6694 +tp6695 +a(g822 +Vypcat +p6696 +tp6697 +a(g822 +g1596 +tp6698 +a(g822 +Vc@-@ +p6699 +tp6700 +a(g152 +V"(d k t x)" +p6701 +tp6702 +a(g822 +g2125 +tp6703 +a(g822 +g1111 +tp6704 +a(g822 +Vn@-x@n@ +p6705 +tp6706 +a(g822 +g1111 +tp6707 +a(g822 +Vn@-d@D: +p6708 +tp6709 +a(g436 +V$_ypdir +p6710 +tp6711 +a(g822 +g2125 +tp6712 +a(g152 +V" " +p6713 +tp6714 +a(g822 +g1111 +tp6715 +a(g208 +V\u005c\u000a +p6716 +tp6717 +a(g822 +V +p6718 +tp6719 +a(g822 +VN@-d@ +p6720 +tp6721 +a(g208 +V\u005c` +p6722 +tp6723 +a(g208 +V\u005c\u005c +p6724 +tp6725 +a(g822 +Vls +p6726 +tp6727 +a(g208 +V\u005c +p6728 +tp6729 +a(g822 +V-1 +p6730 +tp6731 +a(g208 +V\u005c +p6732 +tp6733 +a(g436 +V$_ypdir +p6734 +tp6735 +a(g822 +g1618 +tp6736 +a(g208 +V\u005c$ +p6737 +tp6738 +a(g822 +V:-1 +p6739 +tp6740 +a(g208 +V\u005c +p6741 +tp6742 +a(g208 +V\u005c| +p6743 +tp6744 +a(g208 +V\u005c +p6745 +tp6746 +a(g822 +Vsed +p6747 +tp6748 +a(g208 +V\u005c +p6749 +tp6750 +a(g822 +V-n +p6751 +tp6752 +a(g208 +V\u005c +p6753 +tp6754 +a(g822 +Vs% +p6755 +tp6756 +a(g208 +V\u005c\u005c +p6757 +tp6758 +a(g208 +V\u005c\u005c +p6759 +tp6760 +a(g822 +V.pag +p6761 +tp6762 +a(g208 +V\u005c\u005c +p6763 +tp6764 +a(g208 +V\u005c$ +p6765 +tp6766 +a(g822 +V%%p +p6767 +tp6768 +a(g208 +V\u005c` +p6769 +tp6770 +a(g822 +g2125 +tp6771 +a(g822 +g1111 +tp6772 +a(g208 +V\u005c\u000a +p6773 +tp6774 +a(g822 +V +p6775 +tp6776 +a(g822 +Vn@*@ +p6777 +tp6778 +a(g208 +V\u005c` +p6779 +tp6780 +a(g208 +V\u005c\u005c +p6781 +tp6782 +a(g822 +Vls +p6783 +tp6784 +a(g208 +V\u005c +p6785 +tp6786 +a(g822 +V-1 +p6787 +tp6788 +a(g208 +V\u005c +p6789 +tp6790 +a(g436 +V$_ypdir +p6791 +tp6792 +a(g822 +g1618 +tp6793 +a(g436 +V$_domain +p6794 +tp6795 +a(g208 +V\u005c +p6796 +tp6797 +a(g208 +V\u005c| +p6798 +tp6799 +a(g208 +V\u005c +p6800 +tp6801 +a(g822 +Vsed +p6802 +tp6803 +a(g208 +V\u005c +p6804 +tp6805 +a(g822 +V-n +p6806 +tp6807 +a(g208 +V\u005c +p6808 +tp6809 +a(g822 +Vs% +p6810 +tp6811 +a(g208 +V\u005c\u005c +p6812 +tp6813 +a(g208 +V\u005c\u005c +p6814 +tp6815 +a(g822 +V.pag +p6816 +tp6817 +a(g208 +V\u005c\u005c +p6818 +tp6819 +a(g208 +V\u005c$ +p6820 +tp6821 +a(g822 +V%%p +p6822 +tp6823 +a(g208 +V\u005c` +p6824 +tp6825 +a(g822 +g2125 +tp6826 +a(g822 +V\u000a +p6827 +tp6828 +a(g677 +Vcomplete +p6829 +tp6830 +a(g822 +Vypmatch +p6831 +tp6832 +a(g822 +g1596 +tp6833 +a(g822 +Vc@-@ +p6834 +tp6835 +a(g152 +V"(d k t x)" +p6836 +tp6837 +a(g822 +g2125 +tp6838 +a(g822 +g1111 +tp6839 +a(g822 +Vn@-x@n@ +p6840 +tp6841 +a(g822 +g1111 +tp6842 +a(g822 +Vn@-d@D: +p6843 +tp6844 +a(g436 +V$_ypdir +p6845 +tp6846 +a(g822 +g2125 +tp6847 +a(g152 +V" " +p6848 +tp6849 +a(g822 +g1111 +tp6850 +a(g208 +V\u005c\u000a +p6851 +tp6852 +a(g822 +V +p6853 +tp6854 +a(g822 +VN@-d@x: +p6855 +tp6856 +a(g225 +V'' +p6857 +tp6858 +a(g822 +g2125 +tp6859 +a(g822 +g1111 +tp6860 +a(g822 +Vn@-@x: +p6861 +tp6862 +a(g225 +V'' +p6863 +tp6864 +a(g822 +g2125 +tp6865 +a(g822 +g1111 +tp6866 +a(g822 +Vp@1@x: +p6867 +tp6868 +a(g225 +V'' +p6869 +tp6870 +a(g822 +g2125 +tp6871 +a(g822 +g1111 +tp6872 +a(g208 +V\u005c\u000a +p6873 +tp6874 +a(g822 +V +p6875 +tp6876 +a(g822 +Vn@*@ +p6877 +tp6878 +a(g208 +V\u005c` +p6879 +tp6880 +a(g208 +V\u005c\u005c +p6881 +tp6882 +a(g822 +Vls +p6883 +tp6884 +a(g208 +V\u005c +p6885 +tp6886 +a(g822 +V-1 +p6887 +tp6888 +a(g208 +V\u005c +p6889 +tp6890 +a(g436 +V$_ypdir +p6891 +tp6892 +a(g822 +g1618 +tp6893 +a(g436 +V$_domain +p6894 +tp6895 +a(g208 +V\u005c +p6896 +tp6897 +a(g208 +V\u005c| +p6898 +tp6899 +a(g208 +V\u005c +p6900 +tp6901 +a(g822 +Vsed +p6902 +tp6903 +a(g208 +V\u005c +p6904 +tp6905 +a(g822 +V-n +p6906 +tp6907 +a(g208 +V\u005c +p6908 +tp6909 +a(g822 +Vs% +p6910 +tp6911 +a(g208 +V\u005c\u005c +p6912 +tp6913 +a(g208 +V\u005c\u005c +p6914 +tp6915 +a(g822 +V.pag +p6916 +tp6917 +a(g208 +V\u005c\u005c +p6918 +tp6919 +a(g208 +V\u005c$ +p6920 +tp6921 +a(g822 +V%%p +p6922 +tp6923 +a(g208 +V\u005c` +p6924 +tp6925 +a(g822 +g2125 +tp6926 +a(g822 +V\u000a +p6927 +tp6928 +a(g677 +Vcomplete +p6929 +tp6930 +a(g822 +Vypwhich +p6931 +tp6932 +a(g822 +g1596 +tp6933 +a(g822 +Vc@-@ +p6934 +tp6935 +a(g152 +V"(d m t x V1 V2)" +p6936 +tp6937 +a(g822 +g2125 +tp6938 +a(g822 +g1111 +tp6939 +a(g822 +Vn@-x@n@ +p6940 +tp6941 +a(g822 +g1111 +tp6942 +a(g822 +Vn@-d@D: +p6943 +tp6944 +a(g436 +V$_ypdir +p6945 +tp6946 +a(g822 +g2125 +tp6947 +a(g152 +V" " +p6948 +tp6949 +a(g822 +g1111 +tp6950 +a(g208 +V\u005c\u000a +p6951 +tp6952 +a(g822 +V +p6953 +tp6954 +a(g822 +Vn@-m@ +p6955 +tp6956 +a(g208 +V\u005c` +p6957 +tp6958 +a(g208 +V\u005c\u005c +p6959 +tp6960 +a(g822 +Vls +p6961 +tp6962 +a(g208 +V\u005c +p6963 +tp6964 +a(g822 +V-1 +p6965 +tp6966 +a(g208 +V\u005c +p6967 +tp6968 +a(g436 +V$_ypdir +p6969 +tp6970 +a(g822 +g1618 +tp6971 +a(g436 +V$_domain +p6972 +tp6973 +a(g208 +V\u005c +p6974 +tp6975 +a(g208 +V\u005c| +p6976 +tp6977 +a(g208 +V\u005c +p6978 +tp6979 +a(g822 +Vsed +p6980 +tp6981 +a(g208 +V\u005c +p6982 +tp6983 +a(g822 +V-n +p6984 +tp6985 +a(g208 +V\u005c +p6986 +tp6987 +a(g822 +Vs% +p6988 +tp6989 +a(g208 +V\u005c\u005c +p6990 +tp6991 +a(g208 +V\u005c\u005c +p6992 +tp6993 +a(g822 +V.pag +p6994 +tp6995 +a(g208 +V\u005c\u005c +p6996 +tp6997 +a(g208 +V\u005c$ +p6998 +tp6999 +a(g822 +V%%p +p7000 +tp7001 +a(g208 +V\u005c` +p7002 +tp7003 +a(g822 +g2125 +tp7004 +a(g822 +g1111 +tp7005 +a(g208 +V\u005c\u000a +p7006 +tp7007 +a(g822 +V +p7008 +tp7009 +a(g822 +VN@-m@n@ +p7010 +tp7011 +a(g822 +g1111 +tp7012 +a(g822 +Vn@*@ +p7013 +tp7014 +a(g208 +V\u005c$ +p7015 +tp7016 +a(g822 +Vhosts@ +p7017 +tp7018 +a(g822 +V\u000a\u000a +p7019 +tp7020 +a(g7 +V# there's no need to clutter the user's shell with these +p7021 +tp7022 +a(g822 +V\u000a +p7023 +tp7024 +a(g677 +Vunset +p7025 +tp7026 +a(g822 +V_elispdir +p7027 +tp7028 +a(g822 +g1111 +tp7029 +a(g822 +V_maildir +p7030 +tp7031 +a(g822 +g1111 +tp7032 +a(g822 +V_ypdir +p7033 +tp7034 +a(g822 +g1111 +tp7035 +a(g822 +V_domain +p7036 +tp7037 +a(g822 +V\u000a\u000a +p7038 +tp7039 +a(g677 +Vcomplete +p7040 +tp7041 +a(g822 +Vmake +p7042 +tp7043 +a(g822 +g1111 +tp7044 +a(g208 +V\u005c\u000a +p7045 +tp7046 +a(g822 +g1596 +tp7047 +a(g225 +V'n/-f/f/' +p7048 +tp7049 +a(g822 +g1111 +tp7050 +a(g208 +V\u005c\u000a +p7051 +tp7052 +a(g822 +V +p7053 +tp7054 +a(g225 +V'c/*=/f/' +p7055 +tp7056 +a(g822 +g1111 +tp7057 +a(g208 +V\u005c\u000a +p7058 +tp7059 +a(g822 +g1596 +tp7060 +a(g225 +V'n@*@`cat -s GNUmakefile Makefile makefile |& sed -n -e "/No such file/d" -e "/^[^ #].*:/s/:.*//p"`@' +p7061 +tp7062 +a(g822 +V\u000a\u000a +p7063 +tp7064 +a(g740 +Vif +p7065 +tp7066 +a(g822 +g1111 +tp7067 +a(g408 +g1113 +tp7068 +a(g822 +g1111 +tp7069 +a(g822 +V-f +p7070 +tp7071 +a(g822 +g1111 +tp7072 +a(g822 +V/etc/printcap +p7073 +tp7074 +a(g822 +g1111 +tp7075 +a(g408 +g1119 +tp7076 +a(g822 +g1111 +tp7077 +a(g740 +Vthen\u000a +p7078 +tp7079 +a(g677 +Vset +p7080 +tp7081 +a(g436 +Vprinters +p7082 +tp7083 +a(g408 +g1232 +tp7084 +a(g408 +g1113 +tp7085 +a(g96 +g1409 +tp7086 +a(g822 +Vsed +p7087 +tp7088 +a(g822 +g1111 +tp7089 +a(g822 +V-n +p7090 +tp7091 +a(g822 +g1111 +tp7092 +a(g822 +V-e +p7093 +tp7094 +a(g822 +g1111 +tp7095 +a(g152 +V"/^[^ #].*:/s/:.*//p" +p7096 +tp7097 +a(g822 +g1111 +tp7098 +a(g822 +V/etc/printcap +p7099 +tp7100 +a(g96 +g1409 +tp7101 +a(g408 +g1119 +tp7102 +a(g822 +V\u000a\u000a +p7103 +tp7104 +a(g677 +Vcomplete +p7105 +tp7106 +a(g822 +Vlpr +p7107 +tp7108 +a(g822 +V +p7109 +tp7110 +a(g225 +V'c/-P/$printers/' +p7111 +tp7112 +a(g822 +V\u000a +p7113 +tp7114 +a(g677 +Vcomplete +p7115 +tp7116 +a(g822 +Vlpq +p7117 +tp7118 +a(g822 +V +p7119 +tp7120 +a(g225 +V'c/-P/$printers/' +p7121 +tp7122 +a(g822 +V\u000a +p7123 +tp7124 +a(g677 +Vcomplete +p7125 +tp7126 +a(g822 +Vlprm +p7127 +tp7128 +a(g822 +V +p7129 +tp7130 +a(g225 +V'c/-P/$printers/' +p7131 +tp7132 +a(g822 +V\u000a +p7133 +tp7134 +a(g677 +Vcomplete +p7135 +tp7136 +a(g822 +Vlpquota +p7137 +tp7138 +a(g822 +V +p7139 +tp7140 +a(g225 +V'p/1/(-Qprlogger)/' +p7141 +tp7142 +a(g822 +g1111 +tp7143 +a(g225 +V'c/-P/$printers/' +p7144 +tp7145 +a(g822 +V\u000a +p7146 +tp7147 +a(g677 +Vcomplete +p7148 +tp7149 +a(g822 +Vdvips +p7150 +tp7151 +a(g822 +V +p7152 +tp7153 +a(g225 +V'c/-P/$printers/' +p7154 +tp7155 +a(g822 +g1111 +tp7156 +a(g225 +V'n/-o/f:*.{ps,PS}/' +p7157 +tp7158 +a(g822 +g1111 +tp7159 +a(g225 +V'n/*/f:*.dvi/' +p7160 +tp7161 +a(g822 +V\u000a +p7162 +tp7163 +a(g740 +Vendif +p7164 +tp7165 +a(g822 +V\u000a\u000a +p7166 +tp7167 +a(g7 +V# New +p7168 +tp7169 +a(g822 +V\u000a +p7170 +tp7171 +a(g740 +Vif +p7172 +tp7173 +a(g822 +g1111 +tp7174 +a(g408 +g1113 +tp7175 +a(g822 +g1199 +tp7176 +a(g822 +g1111 +tp7177 +a(g436 +V$? +p7178 +tp7179 +a(g822 +Vno_new_complete +p7180 +tp7181 +a(g408 +g1119 +tp7182 +a(g822 +g1111 +tp7183 +a(g740 +Vthen\u000a +p7184 +tp7185 +a(g677 +Vuncomplete +p7186 +tp7187 +a(g822 +Vvi +p7188 +tp7189 +a(g822 +V\u000a +p7190 +tp7191 +a(g677 +Vcomplete +p7192 +tp7193 +a(g822 +g1111 +tp7194 +a(g408 +g2055 +tp7195 +a(g822 +Vvi,vim,gvim,nvi,elvis +p7196 +tp7197 +a(g408 +g2059 +tp7198 +a(g822 +V +p7199 +tp7200 +a(g822 +Vn/*/f:^*. +p7201 +tp7202 +a(g408 +g2055 +tp7203 +a(g822 +Vo,a,so,sa,aux,dvi,log,fig,bbl,blg,bst,idx,ilg,ind,toc +p7204 +tp7205 +a(g408 +g2059 +tp7206 +a(g822 +g1618 +tp7207 +a(g822 +V\u000a +p7208 +tp7209 +a(g677 +Vcomplete +p7210 +tp7211 +a(g822 +g1111 +tp7212 +a(g408 +g2055 +tp7213 +a(g822 +Vispell,spell,spellword +p7214 +tp7215 +a(g408 +g2059 +tp7216 +a(g822 +g1596 +tp7217 +a(g225 +V'n@-d@`ls /usr/lib/ispell/*.aff | sed -e "s/\u005c.aff//" `@' +p7218 +tp7219 +a(g822 +g1111 +tp7220 +a(g225 +V'n/*/f:^*.{o,a,so,sa,aux,dvi,log,fig,bbl,blg,bst,idx,ilg,ind,toc}/' +p7221 +tp7222 +a(g822 +V\u000a +p7223 +tp7224 +a(g677 +Vcomplete +p7225 +tp7226 +a(g822 +Vmutt +p7227 +tp7228 +a(g822 +g1596 +tp7229 +a(g225 +V'n/-[ai]/f/' +p7230 +tp7231 +a(g822 +g1111 +tp7232 +a(g225 +V'n/-c/u/' +p7233 +tp7234 +a(g822 +g1111 +tp7235 +a(g822 +Vc@ +p7236 +tp7237 +a(g408 +g1232 +tp7238 +a(g822 +V@F: +p7239 +tp7240 +a(g436 +V$HOME +p7241 +tp7242 +a(g822 +V/Mail/@ +p7243 +tp7244 +a(g822 +g1111 +tp7245 +a(g208 +V\u005c\u000a +p7246 +tp7247 +a(g822 +V +p7248 +tp7249 +a(g225 +V'n/-s/x:\u005c/' +p7250 +tp7251 +a(g822 +g1111 +tp7252 +a(g225 +V'n/[^-]/u/' +p7253 +tp7254 +a(g822 +V\u000a +p7255 +tp7256 +a(g677 +Vcomplete +p7257 +tp7258 +a(g822 +Velm +p7259 +tp7260 +a(g822 +g1596 +tp7261 +a(g225 +V'n/-[Ai]/f/' +p7262 +tp7263 +a(g822 +g1111 +tp7264 +a(g225 +V'c@=@F:$HOME/Mail/@' +p7265 +tp7266 +a(g822 +g1111 +tp7267 +a(g225 +V'n/-s/x:\u005c/' +p7268 +tp7269 +a(g822 +V\u000a +p7270 +tp7271 +a(g677 +Vcomplete +p7272 +tp7273 +a(g822 +Vncftp +p7274 +tp7275 +a(g822 +g1596 +tp7276 +a(g225 +V'n@*@`sed -e ' +p7277 +tp7278 +a(g822 +V1,2d +p7279 +tp7280 +a(g225 +V' $HOME/.ncftp/bookmarks | cut -f 1,2 -d "," | tr "," "\u005c012" | sort | uniq ` ' +p7281 +tp7282 +a(g822 +g2125 +tp7283 +a(g822 +V\u000a +p7284 +tp7285 +a(g677 +Vcomplete +p7286 +tp7287 +a(g822 +Vbibtex +p7288 +tp7289 +a(g822 +g1596 +tp7290 +a(g225 +V'n@*@`ls *.aux | sed -e "s/\u005c.aux//"`' +p7291 +tp7292 +a(g822 +g2125 +tp7293 +a(g822 +V\u000a +p7294 +tp7295 +a(g677 +Vcomplete +p7296 +tp7297 +a(g822 +Vdvi2tty +p7298 +tp7299 +a(g822 +V +p7300 +tp7301 +a(g822 +Vn/*/f:*.dvi/ +p7302 +tp7303 +a(g822 +g1596 +tp7304 +a(g7 +V# Only files that match *.dvi +p7305 +tp7306 +a(g822 +V\u000a +p7307 +tp7308 +a(g677 +Vcomplete +p7309 +tp7310 +a(g822 +g1111 +tp7311 +a(g408 +g2055 +tp7312 +a(g822 +Vxpdf,acroread +p7313 +tp7314 +a(g408 +g2059 +tp7315 +a(g822 +g1596 +tp7316 +a(g225 +V'n/*/f:*.pdf/' +p7317 +tp7318 +a(g822 +V\u000a +p7319 +tp7320 +a(g677 +Vcomplete +p7321 +tp7322 +a(g822 +g1111 +tp7323 +a(g408 +g2055 +tp7324 +a(g822 +Vgv,ghostview +p7325 +tp7326 +a(g408 +g2059 +tp7327 +a(g822 +g1596 +tp7328 +a(g225 +V'n/*/f:*.{ps,eps,epsi}/' +p7329 +tp7330 +a(g822 +V\u000a +p7331 +tp7332 +a(g677 +Vcomplete +p7333 +tp7334 +a(g822 +Venscript +p7335 +tp7336 +a(g822 +g1111 +tp7337 +a(g208 +V\u005c\u000a +p7338 +tp7339 +a(g822 +V +p7340 +tp7341 +a(g225 +V'c/--/(columns= pages= header= no-header truncate-lines \u005c\u000a line-numbers setpagedevice= escapes font= \u005c\u000a header-font= fancy-header no-job-header \u005c\u000a highlight-bars indent= filter= borders page-prefeed \u005c\u000a no-page-prefeed lineprinter lines-per-page= mail \u005c\u000a media= copies= newline= output= missing-characters \u005c\u000a printer= quiet silent landscape portrait \u005c\u000a baselineskip= statusdict= title= tabsize= underlay= \u005c\u000a verbose version encoding pass-through download-font= \u005c\u000a filter-stdin= help highlight-bar-gray= list-media \u005c\u000a list-options non-printable-format= page-label-format= \u005c\u000a printer-options= ul-angle= ul-font= ul-gray= \u005c\u000a ul-position= ul-style= \u005c\u000a )/' +p7342 +tp7343 +a(g822 +V\u000a +p7344 +tp7345 +a(g740 +Vendif +p7346 +tp7347 +a(g822 +g1596 +tp7348 +a(g7 +V# ! $?no_new_complete +p7349 +tp7350 +a(g822 +V\u000a\u000a +p7351 +tp7352 +a(g7 +V# Debian specific +p7353 +tp7354 +a(g822 +V\u000a +p7355 +tp7356 +a(g740 +Vif +p7357 +tp7358 +a(g822 +g1111 +tp7359 +a(g408 +g1113 +tp7360 +a(g822 +g1199 +tp7361 +a(g822 +g1111 +tp7362 +a(g436 +V$? +p7363 +tp7364 +a(g822 +Vno_debian_complete +p7365 +tp7366 +a(g408 +g1119 +tp7367 +a(g822 +g1111 +tp7368 +a(g740 +Vthen\u000a +p7369 +tp7370 +a(g677 +Vcomplete +p7371 +tp7372 +a(g822 +Vdpkg +p7373 +tp7374 +a(g822 +g1596 +tp7375 +a(g225 +V'c/--{admindir,instdir,root}=/d/' +p7376 +tp7377 +a(g822 +g1111 +tp7378 +a(g208 +V\u005c\u000a +p7379 +tp7380 +a(g822 +V +p7381 +tp7382 +a(g225 +V'c/--debug=/n/' +p7383 +tp7384 +a(g822 +g1111 +tp7385 +a(g208 +V\u005c\u000a +p7386 +tp7387 +a(g822 +V +p7388 +tp7389 +a(g225 +V'c/--{admindir,debug,instdir,root}/(=)//' +p7390 +tp7391 +a(g822 +g1111 +tp7392 +a(g208 +V\u005c\u000a +p7393 +tp7394 +a(g822 +V +p7395 +tp7396 +a(g225 +V'c/--/(admindir= debug= instdir= root= \u005c\u000a assert-support-predepends assert-working-epoch \u005c\u000a audit auto-deconfigure clear-avail \u005c\u000a compare-versions configure contents control \u005c\u000a extract force-bad-path field \u005c\u000a force-configure-any force-conflicts \u005c\u000a force-depends force-depends-version force-help \u005c\u000a force-hold force-non-root \u005c\u000a force-overwrite-diverted \u005c\u000a force-remove-essential force-remove-reinstreq \u005c\u000a forget-old-unavail fsys-tarfile get-selections \u005c\u000a help ignore-depends info install largemem \u005c\u000a license list listfiles merge-avail no-act \u005c\u000a pending predep-package print-architecture \u005c\u000a print-gnu-build-architecture \u005c\u000a print-installation-architecture print-avail \u005c\u000a purge record-avail recursive refuse-downgrade \u005c\u000a remove search set-selections selected-only \u005c\u000a skip-same-version smallmem status unpack \u005c\u000a update-avail version vextract \u005c\u000a )//' +p7397 +tp7398 +a(g822 +g1111 +tp7399 +a(g208 +V\u005c\u000a +p7400 +tp7401 +a(g822 +V +p7402 +tp7403 +a(g225 +V'n/*/f:*.deb' +p7404 +tp7405 +a(g822 +g1618 +tp7406 +a(g822 +V\u000a +p7407 +tp7408 +a(g677 +Vcomplete +p7409 +tp7410 +a(g822 +Vdpkg-deb +p7411 +tp7412 +a(g822 +g1596 +tp7413 +a(g225 +V'c/--{build}=/d/' +p7414 +tp7415 +a(g822 +g1111 +tp7416 +a(g208 +V\u005c\u000a +p7417 +tp7418 +a(g822 +V +p7419 +tp7420 +a(g225 +V'c/--/"( build contents info field control extract \u005c\u000a vextract fsys-tarfile help version \u005c\u000a license )"' +p7421 +tp7422 +a(g822 +g1111 +tp7423 +a(g208 +V\u005c\u000a +p7424 +tp7425 +a(g822 +V +p7426 +tp7427 +a(g225 +V'n/*/f:*.deb/' +p7428 +tp7429 +a(g822 +V\u000a +p7430 +tp7431 +a(g740 +Vendif +p7432 +tp7433 +a(g822 +g1596 +tp7434 +a(g7 +V# ! $?no_debian_complete +p7435 +tp7436 +a(g822 +V\u000a\u000a +p7437 +tp7438 +a(g677 +Vunset +p7439 +tp7440 +a(g822 +Vnoglob +p7441 +tp7442 +a(g822 +V\u000a +p7443 +tp7444 +a(g677 +Vunset +p7445 +tp7446 +a(g677 +Vcomplete\u000a +p7447 +tp7448 +a(g677 +Vunset +p7449 +tp7450 +a(g822 +Vtraditional_complete +p7451 +tp7452 +a(g822 +V\u000a +p7453 +tp7454 +a(g677 +Vunset +p7455 +tp7456 +a(g822 +Vtraditional_cp_mv_complete +p7457 +tp7458 +a(g822 +V\u000a +p7459 +tp7460 +a(g677 +Vunset +p7461 +tp7462 +a(g822 +Vtraditional_zcat_complete +p7463 +tp7464 +a(g822 +V\u000a +p7465 +tp7466 +a(g677 +Vunset +p7467 +tp7468 +a(g822 +Vtraditional_nm_complete +p7469 +tp7470 +a(g822 +V\u000a +p7471 +tp7472 +a(g677 +Vunset +p7473 +tp7474 +a(g822 +Vtraditilnal_tex_complete +p7475 +tp7476 +a(g822 +V\u000a +p7477 +tp7478 +a(g677 +Vunset +p7479 +tp7480 +a(g822 +Vtraditional_find_complete +p7481 +tp7482 +a(g822 +V\u000a +p7483 +tp7484 +a(g677 +Vunset +p7485 +tp7486 +a(g822 +Vtraditional_configure_complete +p7487 +tp7488 +a(g822 +V\u000a +p7489 +tp7490 +a(g677 +Vunset +p7491 +tp7492 +a(g822 +Vtraditional_rm_complete +p7493 +tp7494 +a(g822 +V\u000a +p7495 +tp7496 +a(g677 +Vunset +p7497 +tp7498 +a(g822 +Vfoolproof_rm_complete +p7499 +tp7500 +a(g822 +V\u000a +p7501 +tp7502 +a(g677 +Vunset +p7503 +tp7504 +a(g822 +Vno_new_complete +p7505 +tp7506 +a(g822 +V\u000a +p7507 +tp7508 +a(g677 +Vunset +p7509 +tp7510 +a(g822 +Vno_debian_complete +p7511 +tp7512 +a(g822 +V\u000a +p7513 +tp7514 +a(g740 +Vendif\u000a\u000a +p7515 +tp7516 +a(g740 +Vend +p7517 +tp7518 +a(g822 +V: +p7519 +tp7520 +a(g822 +V\u000a +p7521 +tp7522 +a(g677 +Vonintr +p7523 +tp7524 +a(g822 +V\u000a +p7525 +tp7526 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.vb b/tests/examplefiles/output/test.vb new file mode 100644 index 0000000..986e65c --- /dev/null +++ b/tests/examplefiles/output/test.vb @@ -0,0 +1,10698 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV' Copyright (c) 2008 Silken Web - Free BSD License\u000a +p956 +tp957 +a(g7 +V' All rights reserved.\u000a +p958 +tp959 +a(g7 +V'\u000a +p960 +tp961 +a(g7 +V' Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:\u000a +p962 +tp963 +a(g7 +V' * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer\u000a +p964 +tp965 +a(g7 +V' * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.\u000a +p966 +tp967 +a(g7 +V' * Neither the name of Silken Web nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission.\u000a +p968 +tp969 +a(g7 +V'\u000a +p970 +tp971 +a(g7 +V' THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,\u000a +p972 +tp973 +a(g7 +V' THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS\u000a +p974 +tp975 +a(g7 +V' BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE\u000a +p976 +tp977 +a(g7 +V' GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT\u000a +p978 +tp979 +a(g7 +V' LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH\u000a +p980 +tp981 +a(g7 +V' DAMAGE.\u000a +p982 +tp983 +a(g822 +V\u000a +p984 +tp985 +a(g740 +VImports +p986 +tp987 +a(g822 +V +p988 +tp989 +a(g616 +VSystem +p990 +tp991 +a(g616 +V. +p992 +tp993 +a(g616 +VNet +p994 +tp995 +a(g616 +g992 +tp996 +a(g616 +VMail +p997 +tp998 +a(g822 +V\u000a +p999 +tp1000 +a(g740 +VImports +p1001 +tp1002 +a(g822 +g988 +tp1003 +a(g616 +VSilkenWeb +p1004 +tp1005 +a(g616 +g992 +tp1006 +a(g616 +VEntities +p1007 +tp1008 +a(g822 +V\u000a +p1009 +tp1010 +a(g740 +VImports +p1011 +tp1012 +a(g822 +g988 +tp1013 +a(g616 +VSystem +p1014 +tp1015 +a(g616 +g992 +tp1016 +a(g616 +VText +p1017 +tp1018 +a(g616 +g992 +tp1019 +a(g616 +VRegularExpressions +p1020 +tp1021 +a(g822 +V\u000a +p1022 +tp1023 +a(g740 +VImports +p1024 +tp1025 +a(g822 +g988 +tp1026 +a(g616 +VSystem +p1027 +tp1028 +a(g616 +g992 +tp1029 +a(g616 +VReflection +p1030 +tp1031 +a(g822 +V\u000a +p1032 +tp1033 +a(g740 +VImports +p1034 +tp1035 +a(g822 +g988 +tp1036 +a(g616 +VSilkenWeb +p1037 +tp1038 +a(g616 +g992 +tp1039 +a(g616 +VValidation +p1040 +tp1041 +a(g822 +V\u000a +p1042 +tp1043 +a(g740 +VImports +p1044 +tp1045 +a(g822 +g988 +tp1046 +a(g616 +VSystem +p1047 +tp1048 +a(g616 +g992 +tp1049 +a(g616 +VGlobalization +p1050 +tp1051 +a(g822 +V\u000a +p1052 +tp1053 +a(g740 +VImports +p1054 +tp1055 +a(g822 +g988 +tp1056 +a(g616 +VSilkenWeb +p1057 +tp1058 +a(g616 +g992 +tp1059 +a(g616 +VReflection +p1060 +tp1061 +a(g822 +V\u000a\u000a +p1062 +tp1063 +a(g740 +VNamespace +p1064 +tp1065 +a(g822 +g988 +tp1066 +a(g616 +VSilkenWeb +p1067 +tp1068 +a(g822 +V\u000a\u000a +p1069 +tp1070 +a(g7 +V''' \u000a +p1071 +tp1072 +a(g822 +V +p1073 +tp1074 +a(g7 +V''' Represents an Email and what you can do with it.\u000a +p1075 +tp1076 +a(g822 +V +p1077 +tp1078 +a(g7 +V''' \u000a +p1079 +tp1080 +a(g822 +V +p1081 +tp1082 +a(g7 +V''' \u000a +p1083 +tp1084 +a(g822 +V +p1085 +tp1086 +a(g7 +V''' Keith Jackson\u000a +p1087 +tp1088 +a(g822 +V +p1089 +tp1090 +a(g7 +V''' 11/04/2008\u000a +p1091 +tp1092 +a(g822 +V +p1093 +tp1094 +a(g7 +V'''\u000a +p1095 +tp1096 +a(g822 +V +p1097 +tp1098 +a(g7 +V''' This class is intended to be inherrited for providing all manner of system generated emails, each represented by it's own class.\u000a +p1099 +tp1100 +a(g822 +V +p1101 +tp1102 +a(g7 +V''' \u000a +p1103 +tp1104 +a(g822 +V +p1105 +tp1106 +a(g740 +VPublic +p1107 +tp1108 +a(g822 +g988 +tp1109 +a(g740 +VMustInherit +p1110 +tp1111 +a(g822 +g988 +tp1112 +a(g740 +VClass +p1113 +tp1114 +a(g822 +g988 +tp1115 +a(g624 +VEmailBase +p1116 +tp1117 +a(g822 +g988 +tp1118 +a(g701 +V: +p1119 +tp1120 +a(g822 +g988 +tp1121 +a(g740 +VImplements +p1122 +tp1123 +a(g822 +g988 +tp1124 +a(g431 +VIValidatable +p1125 +tp1126 +a(g701 +V, +p1127 +tp1128 +a(g822 +g988 +tp1129 +a(g431 +VIDisposable +p1130 +tp1131 +a(g822 +V\u000a\u000a +p1132 +tp1133 +a(g894 +V#Region " Constants "\u000a +p1134 +tp1135 +a(g822 +V\u000a +p1136 +tp1137 +a(g740 +VPublic +p1138 +tp1139 +a(g822 +g988 +tp1140 +a(g740 +VConst +p1141 +tp1142 +a(g822 +g988 +tp1143 +a(g431 +VLenientRegexPattern +p1144 +tp1145 +a(g822 +g988 +tp1146 +a(g422 +VAs +p1147 +tp1148 +a(g822 +g988 +tp1149 +a(g744 +VString +p1150 +tp1151 +a(g822 +g988 +tp1152 +a(g408 +V= +p1153 +tp1154 +a(g822 +g988 +tp1155 +a(g84 +V" +p1156 +tp1157 +a(g84 +V\u005cw+([-+.]\u005cw+)*@\u005cw+([-.]\u005cw+)*\u005c.\u005cw+([-.]\u005cw+)* +p1158 +tp1159 +a(g84 +g1156 +tp1160 +a(g822 +V\u000a +p1161 +tp1162 +a(g740 +VPublic +p1163 +tp1164 +a(g822 +g988 +tp1165 +a(g740 +VConst +p1166 +tp1167 +a(g822 +g988 +tp1168 +a(g431 +VStrictRegexPattern +p1169 +tp1170 +a(g822 +g988 +tp1171 +a(g422 +VAs +p1172 +tp1173 +a(g822 +g988 +tp1174 +a(g744 +VString +p1175 +tp1176 +a(g822 +g988 +tp1177 +a(g408 +g1153 +tp1178 +a(g822 +g988 +tp1179 +a(g84 +g1156 +tp1180 +a(g84 +V^(([^<>()[\u005c]\u005c\u005c.,;:\u005cs@\u005c +p1181 +tp1182 +a(g84 +V"" +p1183 +tp1184 +a(g84 +V]+(\u005c.[^<>()[\u005c]\u005c\u005c.,;:\u005cs@\u005c +p1185 +tp1186 +a(g84 +V"" +p1187 +tp1188 +a(g84 +V]+)*)|(\u005c +p1189 +tp1190 +a(g84 +V"" +p1191 +tp1192 +a(g84 +V.+\u005c +p1193 +tp1194 +a(g84 +V"" +p1195 +tp1196 +a(g84 +V))@((\u005c[[0-9]{1,3}\u005c.[0-9]{1,3}\u005c.[0-9]{1,3}\u005c.[0-9]{1,3}\u005c])|(([a-zA-Z\u005c-0-9]+\u005c.)+[a-zA-Z]{2,}))$ +p1197 +tp1198 +a(g84 +g1156 +tp1199 +a(g822 +V\u000a +p1200 +tp1201 +a(g740 +VPublic +p1202 +tp1203 +a(g822 +g988 +tp1204 +a(g740 +VConst +p1205 +tp1206 +a(g822 +g988 +tp1207 +a(g431 +VInvalidEmailAddressError +p1208 +tp1209 +a(g822 +g988 +tp1210 +a(g422 +VAs +p1211 +tp1212 +a(g822 +g988 +tp1213 +a(g744 +VString +p1214 +tp1215 +a(g822 +g988 +tp1216 +a(g408 +g1153 +tp1217 +a(g822 +g988 +tp1218 +a(g84 +g1156 +tp1219 +a(g84 +VThe Email address provided was invalid +p1220 +tp1221 +a(g84 +g1156 +tp1222 +a(g822 +V\u000a +p1223 +tp1224 +a(g740 +VPublic +p1225 +tp1226 +a(g822 +g988 +tp1227 +a(g740 +VConst +p1228 +tp1229 +a(g822 +g988 +tp1230 +a(g431 +VInvalidEmailAddressErrorWithAddress +p1231 +tp1232 +a(g822 +g988 +tp1233 +a(g422 +VAs +p1234 +tp1235 +a(g822 +g988 +tp1236 +a(g744 +VString +p1237 +tp1238 +a(g822 +g988 +tp1239 +a(g408 +g1153 +tp1240 +a(g822 +g988 +tp1241 +a(g84 +g1156 +tp1242 +a(g84 +VThe Email address, {0}, provided was invalid +p1243 +tp1244 +a(g84 +g1156 +tp1245 +a(g822 +V\u000a +p1246 +tp1247 +a(g740 +VPublic +p1248 +tp1249 +a(g822 +g988 +tp1250 +a(g740 +VConst +p1251 +tp1252 +a(g822 +g988 +tp1253 +a(g431 +VNullEmailAddressError +p1254 +tp1255 +a(g822 +g988 +tp1256 +a(g422 +VAs +p1257 +tp1258 +a(g822 +g988 +tp1259 +a(g744 +VString +p1260 +tp1261 +a(g822 +g988 +tp1262 +a(g408 +g1153 +tp1263 +a(g822 +g988 +tp1264 +a(g84 +g1156 +tp1265 +a(g84 +VThe Email address was not provided +p1266 +tp1267 +a(g84 +g1156 +tp1268 +a(g822 +V\u000a\u000a +p1269 +tp1270 +a(g894 +V#End Region +p1271 +tp1272 +a(g822 +V\u000a\u000a +p1273 +tp1274 +a(g894 +V#Region " Fields "\u000a +p1275 +tp1276 +a(g822 +V\u000a +p1277 +tp1278 +a(g740 +VPrivate +p1279 +tp1280 +a(g822 +g988 +tp1281 +a(g431 +VdisposedValue +p1282 +tp1283 +a(g822 +g988 +tp1284 +a(g422 +VAs +p1285 +tp1286 +a(g822 +g988 +tp1287 +a(g744 +VBoolean +p1288 +tp1289 +a(g822 +V\u000a\u000a +p1290 +tp1291 +a(g740 +VPrivate +p1292 +tp1293 +a(g822 +g988 +tp1294 +a(g431 +V_message +p1295 +tp1296 +a(g822 +g988 +tp1297 +a(g422 +VAs +p1298 +tp1299 +a(g822 +g988 +tp1300 +a(g431 +VMailMessage +p1301 +tp1302 +a(g822 +g988 +tp1303 +a(g408 +g1153 +tp1304 +a(g822 +g988 +tp1305 +a(g740 +VNew +p1306 +tp1307 +a(g822 +g988 +tp1308 +a(g431 +VMailMessage +p1309 +tp1310 +a(g701 +V( +p1311 +tp1312 +a(g701 +V) +p1313 +tp1314 +a(g822 +V\u000a +p1315 +tp1316 +a(g740 +VPrivate +p1317 +tp1318 +a(g822 +g988 +tp1319 +a(g431 +V_mailClient +p1320 +tp1321 +a(g822 +g988 +tp1322 +a(g422 +VAs +p1323 +tp1324 +a(g822 +g988 +tp1325 +a(g431 +VSmtpClient +p1326 +tp1327 +a(g822 +V\u000a\u000a +p1328 +tp1329 +a(g740 +VPrivate +p1330 +tp1331 +a(g822 +g988 +tp1332 +a(g431 +V_useStrictValidation +p1333 +tp1334 +a(g822 +g988 +tp1335 +a(g422 +VAs +p1336 +tp1337 +a(g822 +g988 +tp1338 +a(g744 +VBoolean +p1339 +tp1340 +a(g822 +V\u000a\u000a +p1341 +tp1342 +a(g894 +V#End Region +p1343 +tp1344 +a(g822 +V\u000a\u000a +p1345 +tp1346 +a(g894 +V#Region " Construction "\u000a +p1347 +tp1348 +a(g822 +V\u000a +p1349 +tp1350 +a(g7 +V''' \u000a +p1351 +tp1352 +a(g822 +V +p1353 +tp1354 +a(g7 +V''' Instantiates a new Email of the derived type.\u000a +p1355 +tp1356 +a(g822 +V +p1357 +tp1358 +a(g7 +V''' \u000a +p1359 +tp1360 +a(g822 +V +p1361 +tp1362 +a(g7 +V''' The email address of the sender of the message.\u000a +p1363 +tp1364 +a(g822 +V +p1365 +tp1366 +a(g7 +V''' The email addresses of the recipients of the message.\u000a +p1367 +tp1368 +a(g822 +V +p1369 +tp1370 +a(g7 +V''' The subject of the message.\u000a +p1371 +tp1372 +a(g822 +V +p1373 +tp1374 +a(g7 +V''' The body of the message.\u000a +p1375 +tp1376 +a(g822 +V +p1377 +tp1378 +a(g740 +VProtected +p1379 +tp1380 +a(g822 +g988 +tp1381 +a(g740 +VSub +p1382 +tp1383 +a(g822 +g988 +tp1384 +a(g569 +VNew +p1385 +tp1386 +a(g701 +g1311 +tp1387 +a(g740 +VByVal +p1388 +tp1389 +a(g822 +g988 +tp1390 +a(g431 +Vsender +p1391 +tp1392 +a(g822 +g988 +tp1393 +a(g422 +VAs +p1394 +tp1395 +a(g822 +g988 +tp1396 +a(g744 +VString +p1397 +tp1398 +a(g701 +g1127 +tp1399 +a(g822 +g988 +tp1400 +a(g740 +VByVal +p1401 +tp1402 +a(g822 +g988 +tp1403 +a(g431 +Vsubject +p1404 +tp1405 +a(g822 +g988 +tp1406 +a(g422 +VAs +p1407 +tp1408 +a(g822 +g988 +tp1409 +a(g744 +VString +p1410 +tp1411 +a(g701 +g1127 +tp1412 +a(g822 +g988 +tp1413 +a(g740 +VByVal +p1414 +tp1415 +a(g822 +g988 +tp1416 +a(g431 +Vbody +p1417 +tp1418 +a(g822 +g988 +tp1419 +a(g422 +VAs +p1420 +tp1421 +a(g822 +g988 +tp1422 +a(g744 +VString +p1423 +tp1424 +a(g701 +g1127 +tp1425 +a(g822 +g988 +tp1426 +a(g740 +VByVal +p1427 +tp1428 +a(g822 +g988 +tp1429 +a(g740 +VParamArray +p1430 +tp1431 +a(g822 +g988 +tp1432 +a(g431 +Vrecipients +p1433 +tp1434 +a(g822 +g988 +tp1435 +a(g422 +VAs +p1436 +tp1437 +a(g822 +g988 +tp1438 +a(g744 +VString +p1439 +tp1440 +a(g701 +g1311 +tp1441 +a(g701 +g1313 +tp1442 +a(g701 +g1313 +tp1443 +a(g822 +V\u000a +p1444 +tp1445 +a(g431 +V_message +p1446 +tp1447 +a(g701 +g992 +tp1448 +a(g431 +VFrom +p1449 +tp1450 +a(g822 +g988 +tp1451 +a(g408 +g1153 +tp1452 +a(g822 +g988 +tp1453 +a(g740 +VNew +p1454 +tp1455 +a(g822 +g988 +tp1456 +a(g431 +VMailAddress +p1457 +tp1458 +a(g701 +g1311 +tp1459 +a(g431 +Vsender +p1460 +tp1461 +a(g701 +g1313 +tp1462 +a(g822 +V\u000a +p1463 +tp1464 +a(g740 +VFor +p1465 +tp1466 +a(g822 +g988 +tp1467 +a(g431 +Vi +p1468 +tp1469 +a(g822 +g988 +tp1470 +a(g422 +VAs +p1471 +tp1472 +a(g822 +g988 +tp1473 +a(g744 +VInteger +p1474 +tp1475 +a(g822 +g988 +tp1476 +a(g408 +g1153 +tp1477 +a(g822 +g988 +tp1478 +a(g32 +V0 +p1479 +tp1480 +a(g822 +g988 +tp1481 +a(g740 +VTo +p1482 +tp1483 +a(g822 +g988 +tp1484 +a(g431 +Vrecipients +p1485 +tp1486 +a(g701 +g992 +tp1487 +a(g431 +VLength +p1488 +tp1489 +a(g822 +g988 +tp1490 +a(g408 +V- +p1491 +tp1492 +a(g822 +g988 +tp1493 +a(g32 +V1 +p1494 +tp1495 +a(g822 +V\u000a +p1496 +tp1497 +a(g431 +V_message +p1498 +tp1499 +a(g701 +g992 +tp1500 +a(g431 +VTo +p1501 +tp1502 +a(g701 +g992 +tp1503 +a(g431 +VAdd +p1504 +tp1505 +a(g701 +g1311 +tp1506 +a(g431 +Vrecipients +p1507 +tp1508 +a(g701 +g1311 +tp1509 +a(g431 +g1468 +tp1510 +a(g701 +g1313 +tp1511 +a(g701 +g1313 +tp1512 +a(g822 +V\u000a +p1513 +tp1514 +a(g740 +VNext +p1515 +tp1516 +a(g822 +V\u000a +p1517 +tp1518 +a(g431 +V_message +p1519 +tp1520 +a(g701 +g992 +tp1521 +a(g431 +VSubject +p1522 +tp1523 +a(g822 +g988 +tp1524 +a(g408 +g1153 +tp1525 +a(g822 +g988 +tp1526 +a(g431 +Vsubject +p1527 +tp1528 +a(g822 +V\u000a +p1529 +tp1530 +a(g431 +V_message +p1531 +tp1532 +a(g701 +g992 +tp1533 +a(g431 +VBody +p1534 +tp1535 +a(g822 +g988 +tp1536 +a(g408 +g1153 +tp1537 +a(g822 +g988 +tp1538 +a(g431 +Vbody +p1539 +tp1540 +a(g822 +V\u000a +p1541 +tp1542 +a(g740 +VEnd +p1543 +tp1544 +a(g822 +g988 +tp1545 +a(g740 +VSub +p1546 +tp1547 +a(g822 +V\u000a\u000a +p1548 +tp1549 +a(g894 +V#End Region +p1550 +tp1551 +a(g822 +V\u000a\u000a +p1552 +tp1553 +a(g894 +V#Region " Properties "\u000a +p1554 +tp1555 +a(g822 +V\u000a +p1556 +tp1557 +a(g7 +V''' \u000a +p1558 +tp1559 +a(g822 +V +p1560 +tp1561 +a(g7 +V''' Gets the Attachments for the message.\u000a +p1562 +tp1563 +a(g822 +V +p1564 +tp1565 +a(g7 +V''' \u000a +p1566 +tp1567 +a(g822 +V +p1568 +tp1569 +a(g740 +VProtected +p1570 +tp1571 +a(g822 +g988 +tp1572 +a(g740 +VOverridable +p1573 +tp1574 +a(g822 +g988 +tp1575 +a(g740 +VReadOnly +p1576 +tp1577 +a(g822 +g988 +tp1578 +a(g740 +VProperty +p1579 +tp1580 +a(g822 +g988 +tp1581 +a(g569 +VAttachments +p1582 +tp1583 +a(g701 +g1311 +tp1584 +a(g701 +g1313 +tp1585 +a(g822 +g988 +tp1586 +a(g422 +VAs +p1587 +tp1588 +a(g822 +g988 +tp1589 +a(g431 +VAttachmentCollection +p1590 +tp1591 +a(g822 +V\u000a +p1592 +tp1593 +a(g740 +VGet +p1594 +tp1595 +a(g822 +V\u000a +p1596 +tp1597 +a(g740 +VReturn +p1598 +tp1599 +a(g822 +g988 +tp1600 +a(g431 +V_message +p1601 +tp1602 +a(g701 +g992 +tp1603 +a(g431 +VAttachments +p1604 +tp1605 +a(g822 +V\u000a +p1606 +tp1607 +a(g740 +VEnd +p1608 +tp1609 +a(g822 +g988 +tp1610 +a(g740 +VGet +p1611 +tp1612 +a(g822 +V\u000a +p1613 +tp1614 +a(g740 +VEnd +p1615 +tp1616 +a(g822 +g988 +tp1617 +a(g740 +VProperty +p1618 +tp1619 +a(g822 +V\u000a\u000a +p1620 +tp1621 +a(g7 +V''' \u000a +p1622 +tp1623 +a(g822 +V +p1624 +tp1625 +a(g7 +V''' The email addresses of the BCC recipients of the message.\u000a +p1626 +tp1627 +a(g822 +V +p1628 +tp1629 +a(g7 +V''' \u000a +p1630 +tp1631 +a(g822 +V +p1632 +tp1633 +a(g740 +VPublic +p1634 +tp1635 +a(g822 +g988 +tp1636 +a(g740 +VProperty +p1637 +tp1638 +a(g822 +g988 +tp1639 +a(g569 +VBccRecipients +p1640 +tp1641 +a(g701 +g1311 +tp1642 +a(g701 +g1313 +tp1643 +a(g822 +g988 +tp1644 +a(g422 +VAs +p1645 +tp1646 +a(g822 +g988 +tp1647 +a(g744 +VString +p1648 +tp1649 +a(g701 +g1311 +tp1650 +a(g701 +g1313 +tp1651 +a(g822 +V\u000a +p1652 +tp1653 +a(g740 +VGet +p1654 +tp1655 +a(g822 +V\u000a +p1656 +tp1657 +a(g740 +VReturn +p1658 +tp1659 +a(g822 +g988 +tp1660 +a(g431 +V_message +p1661 +tp1662 +a(g701 +g992 +tp1663 +a(g431 +VBcc +p1664 +tp1665 +a(g701 +g992 +tp1666 +a(g431 +VToAddressStringArray +p1667 +tp1668 +a(g701 +g1311 +tp1669 +a(g701 +g1313 +tp1670 +a(g822 +V\u000a +p1671 +tp1672 +a(g740 +VEnd +p1673 +tp1674 +a(g822 +g988 +tp1675 +a(g740 +VGet +p1676 +tp1677 +a(g822 +V\u000a +p1678 +tp1679 +a(g740 +VSet +p1680 +tp1681 +a(g701 +g1311 +tp1682 +a(g740 +VByVal +p1683 +tp1684 +a(g822 +g988 +tp1685 +a(g431 +Vvalue +p1686 +tp1687 +a(g822 +g988 +tp1688 +a(g422 +VAs +p1689 +tp1690 +a(g822 +g988 +tp1691 +a(g744 +VString +p1692 +tp1693 +a(g701 +g1311 +tp1694 +a(g701 +g1313 +tp1695 +a(g701 +g1313 +tp1696 +a(g822 +V\u000a +p1697 +tp1698 +a(g431 +V_message +p1699 +tp1700 +a(g701 +g992 +tp1701 +a(g431 +VBcc +p1702 +tp1703 +a(g701 +g992 +tp1704 +a(g431 +VClear +p1705 +tp1706 +a(g701 +g1311 +tp1707 +a(g701 +g1313 +tp1708 +a(g822 +V\u000a +p1709 +tp1710 +a(g431 +V_message +p1711 +tp1712 +a(g701 +g992 +tp1713 +a(g431 +VBcc +p1714 +tp1715 +a(g701 +g992 +tp1716 +a(g431 +VAdd +p1717 +tp1718 +a(g701 +g1311 +tp1719 +a(g431 +Vvalue +p1720 +tp1721 +a(g701 +g992 +tp1722 +a(g431 +VToDelimitedString +p1723 +tp1724 +a(g701 +g1311 +tp1725 +a(g701 +g1313 +tp1726 +a(g701 +g1313 +tp1727 +a(g822 +V\u000a +p1728 +tp1729 +a(g740 +VEnd +p1730 +tp1731 +a(g822 +g988 +tp1732 +a(g740 +VSet +p1733 +tp1734 +a(g822 +V\u000a +p1735 +tp1736 +a(g740 +VEnd +p1737 +tp1738 +a(g822 +g988 +tp1739 +a(g740 +VProperty +p1740 +tp1741 +a(g822 +V\u000a\u000a +p1742 +tp1743 +a(g7 +V''' \u000a +p1744 +tp1745 +a(g822 +V +p1746 +tp1747 +a(g7 +V''' The body of the message.\u000a +p1748 +tp1749 +a(g822 +V +p1750 +tp1751 +a(g7 +V''' \u000a +p1752 +tp1753 +a(g822 +V +p1754 +tp1755 +a(g740 +VProtected +p1756 +tp1757 +a(g822 +g988 +tp1758 +a(g740 +VOverridable +p1759 +tp1760 +a(g822 +g988 +tp1761 +a(g740 +VProperty +p1762 +tp1763 +a(g822 +g988 +tp1764 +a(g569 +VBody +p1765 +tp1766 +a(g701 +g1311 +tp1767 +a(g701 +g1313 +tp1768 +a(g822 +g988 +tp1769 +a(g422 +VAs +p1770 +tp1771 +a(g822 +g988 +tp1772 +a(g744 +VString +p1773 +tp1774 +a(g822 +V\u000a +p1775 +tp1776 +a(g740 +VGet +p1777 +tp1778 +a(g822 +V\u000a +p1779 +tp1780 +a(g740 +VReturn +p1781 +tp1782 +a(g822 +g988 +tp1783 +a(g431 +V_message +p1784 +tp1785 +a(g701 +g992 +tp1786 +a(g431 +VBody +p1787 +tp1788 +a(g822 +V\u000a +p1789 +tp1790 +a(g740 +VEnd +p1791 +tp1792 +a(g822 +g988 +tp1793 +a(g740 +VGet +p1794 +tp1795 +a(g822 +V\u000a +p1796 +tp1797 +a(g740 +VSet +p1798 +tp1799 +a(g701 +g1311 +tp1800 +a(g740 +VByVal +p1801 +tp1802 +a(g822 +g988 +tp1803 +a(g431 +Vvalue +p1804 +tp1805 +a(g822 +g988 +tp1806 +a(g422 +VAs +p1807 +tp1808 +a(g822 +g988 +tp1809 +a(g744 +VString +p1810 +tp1811 +a(g701 +g1313 +tp1812 +a(g822 +V\u000a +p1813 +tp1814 +a(g431 +V_message +p1815 +tp1816 +a(g701 +g992 +tp1817 +a(g431 +VBody +p1818 +tp1819 +a(g822 +g988 +tp1820 +a(g408 +g1153 +tp1821 +a(g822 +g988 +tp1822 +a(g431 +Vvalue +p1823 +tp1824 +a(g822 +V\u000a +p1825 +tp1826 +a(g740 +VEnd +p1827 +tp1828 +a(g822 +g988 +tp1829 +a(g740 +VSet +p1830 +tp1831 +a(g822 +V\u000a +p1832 +tp1833 +a(g740 +VEnd +p1834 +tp1835 +a(g822 +g988 +tp1836 +a(g740 +VProperty +p1837 +tp1838 +a(g822 +V\u000a\u000a +p1839 +tp1840 +a(g7 +V''' \u000a +p1841 +tp1842 +a(g822 +V +p1843 +tp1844 +a(g7 +V''' The email addresses of the CC recipients of the message.\u000a +p1845 +tp1846 +a(g822 +V +p1847 +tp1848 +a(g7 +V''' \u000a +p1849 +tp1850 +a(g822 +V +p1851 +tp1852 +a(g740 +VPublic +p1853 +tp1854 +a(g822 +g988 +tp1855 +a(g740 +VProperty +p1856 +tp1857 +a(g822 +g988 +tp1858 +a(g569 +VCCRecipients +p1859 +tp1860 +a(g701 +g1311 +tp1861 +a(g701 +g1313 +tp1862 +a(g822 +g988 +tp1863 +a(g422 +VAs +p1864 +tp1865 +a(g822 +g988 +tp1866 +a(g744 +VString +p1867 +tp1868 +a(g701 +g1311 +tp1869 +a(g701 +g1313 +tp1870 +a(g822 +V\u000a +p1871 +tp1872 +a(g740 +VGet +p1873 +tp1874 +a(g822 +V\u000a +p1875 +tp1876 +a(g740 +VReturn +p1877 +tp1878 +a(g822 +g988 +tp1879 +a(g431 +V_message +p1880 +tp1881 +a(g701 +g992 +tp1882 +a(g431 +VCC +p1883 +tp1884 +a(g701 +g992 +tp1885 +a(g431 +VToAddressStringArray +p1886 +tp1887 +a(g701 +g1311 +tp1888 +a(g701 +g1313 +tp1889 +a(g822 +V\u000a +p1890 +tp1891 +a(g740 +VEnd +p1892 +tp1893 +a(g822 +g988 +tp1894 +a(g740 +VGet +p1895 +tp1896 +a(g822 +V\u000a +p1897 +tp1898 +a(g740 +VSet +p1899 +tp1900 +a(g701 +g1311 +tp1901 +a(g740 +VByVal +p1902 +tp1903 +a(g822 +g988 +tp1904 +a(g431 +Vvalue +p1905 +tp1906 +a(g822 +g988 +tp1907 +a(g422 +VAs +p1908 +tp1909 +a(g822 +g988 +tp1910 +a(g744 +VString +p1911 +tp1912 +a(g701 +g1311 +tp1913 +a(g701 +g1313 +tp1914 +a(g701 +g1313 +tp1915 +a(g822 +V\u000a +p1916 +tp1917 +a(g431 +V_message +p1918 +tp1919 +a(g701 +g992 +tp1920 +a(g431 +VCC +p1921 +tp1922 +a(g701 +g992 +tp1923 +a(g431 +VClear +p1924 +tp1925 +a(g701 +g1311 +tp1926 +a(g701 +g1313 +tp1927 +a(g822 +V\u000a +p1928 +tp1929 +a(g431 +V_message +p1930 +tp1931 +a(g701 +g992 +tp1932 +a(g431 +VCC +p1933 +tp1934 +a(g701 +g992 +tp1935 +a(g431 +VAdd +p1936 +tp1937 +a(g701 +g1311 +tp1938 +a(g431 +Vvalue +p1939 +tp1940 +a(g701 +g992 +tp1941 +a(g431 +VToDelimitedString +p1942 +tp1943 +a(g701 +g1311 +tp1944 +a(g701 +g1313 +tp1945 +a(g701 +g1313 +tp1946 +a(g822 +V\u000a +p1947 +tp1948 +a(g740 +VEnd +p1949 +tp1950 +a(g822 +g988 +tp1951 +a(g740 +VSet +p1952 +tp1953 +a(g822 +V\u000a +p1954 +tp1955 +a(g740 +VEnd +p1956 +tp1957 +a(g822 +g988 +tp1958 +a(g740 +VProperty +p1959 +tp1960 +a(g822 +V\u000a\u000a +p1961 +tp1962 +a(g7 +V''' \u000a +p1963 +tp1964 +a(g822 +V +p1965 +tp1966 +a(g7 +V''' Gets or Sets a flag to indicate if the body of the message is HTML.\u000a +p1967 +tp1968 +a(g822 +V +p1969 +tp1970 +a(g7 +V''' \u000a +p1971 +tp1972 +a(g822 +V +p1973 +tp1974 +a(g740 +VPublic +p1975 +tp1976 +a(g822 +g988 +tp1977 +a(g740 +VProperty +p1978 +tp1979 +a(g822 +g988 +tp1980 +a(g569 +VIsBodyHtml +p1981 +tp1982 +a(g701 +g1311 +tp1983 +a(g701 +g1313 +tp1984 +a(g822 +g988 +tp1985 +a(g422 +VAs +p1986 +tp1987 +a(g822 +g988 +tp1988 +a(g744 +VBoolean +p1989 +tp1990 +a(g822 +V\u000a +p1991 +tp1992 +a(g740 +VGet +p1993 +tp1994 +a(g822 +V\u000a +p1995 +tp1996 +a(g740 +VReturn +p1997 +tp1998 +a(g822 +g988 +tp1999 +a(g431 +V_message +p2000 +tp2001 +a(g701 +g992 +tp2002 +a(g431 +VIsBodyHtml +p2003 +tp2004 +a(g822 +V\u000a +p2005 +tp2006 +a(g740 +VEnd +p2007 +tp2008 +a(g822 +g988 +tp2009 +a(g740 +VGet +p2010 +tp2011 +a(g822 +V\u000a +p2012 +tp2013 +a(g740 +VSet +p2014 +tp2015 +a(g701 +g1311 +tp2016 +a(g740 +VByVal +p2017 +tp2018 +a(g822 +g988 +tp2019 +a(g431 +Vvalue +p2020 +tp2021 +a(g822 +g988 +tp2022 +a(g422 +VAs +p2023 +tp2024 +a(g822 +g988 +tp2025 +a(g744 +VBoolean +p2026 +tp2027 +a(g701 +g1313 +tp2028 +a(g822 +V\u000a +p2029 +tp2030 +a(g431 +V_message +p2031 +tp2032 +a(g701 +g992 +tp2033 +a(g431 +VIsBodyHtml +p2034 +tp2035 +a(g822 +g988 +tp2036 +a(g408 +g1153 +tp2037 +a(g822 +g988 +tp2038 +a(g431 +Vvalue +p2039 +tp2040 +a(g822 +V\u000a +p2041 +tp2042 +a(g740 +VEnd +p2043 +tp2044 +a(g822 +g988 +tp2045 +a(g740 +VSet +p2046 +tp2047 +a(g822 +V\u000a +p2048 +tp2049 +a(g740 +VEnd +p2050 +tp2051 +a(g822 +g988 +tp2052 +a(g740 +VProperty +p2053 +tp2054 +a(g822 +V\u000a\u000a +p2055 +tp2056 +a(g7 +V''' \u000a +p2057 +tp2058 +a(g822 +V +p2059 +tp2060 +a(g7 +V''' Gets the Mail message wrapped by the EmailBase class.\u000a +p2061 +tp2062 +a(g822 +V +p2063 +tp2064 +a(g7 +V''' \u000a +p2065 +tp2066 +a(g822 +V +p2067 +tp2068 +a(g740 +VProtected +p2069 +tp2070 +a(g822 +g988 +tp2071 +a(g740 +VReadOnly +p2072 +tp2073 +a(g822 +g988 +tp2074 +a(g740 +VProperty +p2075 +tp2076 +a(g822 +g988 +tp2077 +a(g569 +VMessage +p2078 +tp2079 +a(g701 +g1311 +tp2080 +a(g701 +g1313 +tp2081 +a(g822 +g988 +tp2082 +a(g422 +VAs +p2083 +tp2084 +a(g822 +g988 +tp2085 +a(g431 +VMailMessage +p2086 +tp2087 +a(g822 +V\u000a +p2088 +tp2089 +a(g740 +VGet +p2090 +tp2091 +a(g822 +V\u000a +p2092 +tp2093 +a(g740 +VReturn +p2094 +tp2095 +a(g822 +g988 +tp2096 +a(g431 +V_message +p2097 +tp2098 +a(g822 +V\u000a +p2099 +tp2100 +a(g740 +VEnd +p2101 +tp2102 +a(g822 +g988 +tp2103 +a(g740 +VGet +p2104 +tp2105 +a(g822 +V\u000a +p2106 +tp2107 +a(g740 +VEnd +p2108 +tp2109 +a(g822 +g988 +tp2110 +a(g740 +VProperty +p2111 +tp2112 +a(g822 +V\u000a\u000a +p2113 +tp2114 +a(g7 +V''' \u000a +p2115 +tp2116 +a(g822 +V +p2117 +tp2118 +a(g7 +V''' Gets or Sets the Priority of the message.\u000a +p2119 +tp2120 +a(g822 +V +p2121 +tp2122 +a(g7 +V''' \u000a +p2123 +tp2124 +a(g822 +V +p2125 +tp2126 +a(g740 +VPublic +p2127 +tp2128 +a(g822 +g988 +tp2129 +a(g740 +VProperty +p2130 +tp2131 +a(g822 +g988 +tp2132 +a(g569 +VPriority +p2133 +tp2134 +a(g701 +g1311 +tp2135 +a(g701 +g1313 +tp2136 +a(g822 +g988 +tp2137 +a(g422 +VAs +p2138 +tp2139 +a(g822 +g988 +tp2140 +a(g431 +VMailPriority +p2141 +tp2142 +a(g822 +V\u000a +p2143 +tp2144 +a(g740 +VGet +p2145 +tp2146 +a(g822 +V\u000a +p2147 +tp2148 +a(g740 +VReturn +p2149 +tp2150 +a(g822 +g988 +tp2151 +a(g431 +V_message +p2152 +tp2153 +a(g701 +g992 +tp2154 +a(g431 +VPriority +p2155 +tp2156 +a(g822 +V\u000a +p2157 +tp2158 +a(g740 +VEnd +p2159 +tp2160 +a(g822 +g988 +tp2161 +a(g740 +VGet +p2162 +tp2163 +a(g822 +V\u000a +p2164 +tp2165 +a(g740 +VSet +p2166 +tp2167 +a(g701 +g1311 +tp2168 +a(g740 +VByVal +p2169 +tp2170 +a(g822 +g988 +tp2171 +a(g431 +Vvalue +p2172 +tp2173 +a(g822 +g988 +tp2174 +a(g422 +VAs +p2175 +tp2176 +a(g822 +g988 +tp2177 +a(g431 +VMailPriority +p2178 +tp2179 +a(g701 +g1313 +tp2180 +a(g822 +V\u000a +p2181 +tp2182 +a(g431 +V_message +p2183 +tp2184 +a(g701 +g992 +tp2185 +a(g431 +VPriority +p2186 +tp2187 +a(g822 +g988 +tp2188 +a(g408 +g1153 +tp2189 +a(g822 +g988 +tp2190 +a(g431 +Vvalue +p2191 +tp2192 +a(g822 +V\u000a +p2193 +tp2194 +a(g740 +VEnd +p2195 +tp2196 +a(g822 +g988 +tp2197 +a(g740 +VSet +p2198 +tp2199 +a(g822 +V\u000a +p2200 +tp2201 +a(g740 +VEnd +p2202 +tp2203 +a(g822 +g988 +tp2204 +a(g740 +VProperty +p2205 +tp2206 +a(g822 +V\u000a\u000a +p2207 +tp2208 +a(g7 +V''' \u000a +p2209 +tp2210 +a(g822 +V +p2211 +tp2212 +a(g7 +V''' The email addresses of the recipients of the message.\u000a +p2213 +tp2214 +a(g822 +V +p2215 +tp2216 +a(g7 +V''' \u000a +p2217 +tp2218 +a(g822 +V +p2219 +tp2220 +a(g740 +VPublic +p2221 +tp2222 +a(g822 +g988 +tp2223 +a(g740 +VProperty +p2224 +tp2225 +a(g822 +g988 +tp2226 +a(g569 +VRecipients +p2227 +tp2228 +a(g701 +g1311 +tp2229 +a(g701 +g1313 +tp2230 +a(g822 +g988 +tp2231 +a(g422 +VAs +p2232 +tp2233 +a(g822 +g988 +tp2234 +a(g744 +VString +p2235 +tp2236 +a(g701 +g1311 +tp2237 +a(g701 +g1313 +tp2238 +a(g822 +V\u000a +p2239 +tp2240 +a(g740 +VGet +p2241 +tp2242 +a(g822 +V\u000a +p2243 +tp2244 +a(g740 +VReturn +p2245 +tp2246 +a(g822 +g988 +tp2247 +a(g431 +V_message +p2248 +tp2249 +a(g701 +g992 +tp2250 +a(g431 +VTo +p2251 +tp2252 +a(g701 +g992 +tp2253 +a(g431 +VToAddressStringArray +p2254 +tp2255 +a(g701 +g1311 +tp2256 +a(g701 +g1313 +tp2257 +a(g822 +V\u000a +p2258 +tp2259 +a(g740 +VEnd +p2260 +tp2261 +a(g822 +g988 +tp2262 +a(g740 +VGet +p2263 +tp2264 +a(g822 +V\u000a +p2265 +tp2266 +a(g740 +VSet +p2267 +tp2268 +a(g701 +g1311 +tp2269 +a(g740 +VByVal +p2270 +tp2271 +a(g822 +g988 +tp2272 +a(g431 +Vvalue +p2273 +tp2274 +a(g822 +g988 +tp2275 +a(g422 +VAs +p2276 +tp2277 +a(g822 +g988 +tp2278 +a(g744 +VString +p2279 +tp2280 +a(g701 +g1311 +tp2281 +a(g701 +g1313 +tp2282 +a(g701 +g1313 +tp2283 +a(g822 +V\u000a +p2284 +tp2285 +a(g431 +V_message +p2286 +tp2287 +a(g701 +g992 +tp2288 +a(g431 +VTo +p2289 +tp2290 +a(g701 +g992 +tp2291 +a(g431 +VClear +p2292 +tp2293 +a(g701 +g1311 +tp2294 +a(g701 +g1313 +tp2295 +a(g822 +V\u000a +p2296 +tp2297 +a(g431 +V_message +p2298 +tp2299 +a(g701 +g992 +tp2300 +a(g431 +VTo +p2301 +tp2302 +a(g701 +g992 +tp2303 +a(g431 +VAdd +p2304 +tp2305 +a(g701 +g1311 +tp2306 +a(g431 +Vvalue +p2307 +tp2308 +a(g701 +g992 +tp2309 +a(g431 +VToDelimitedString +p2310 +tp2311 +a(g701 +g1311 +tp2312 +a(g701 +g1313 +tp2313 +a(g701 +g1313 +tp2314 +a(g822 +V\u000a +p2315 +tp2316 +a(g740 +VEnd +p2317 +tp2318 +a(g822 +g988 +tp2319 +a(g740 +VSet +p2320 +tp2321 +a(g822 +V\u000a +p2322 +tp2323 +a(g740 +VEnd +p2324 +tp2325 +a(g822 +g988 +tp2326 +a(g740 +VProperty +p2327 +tp2328 +a(g822 +V\u000a\u000a +p2329 +tp2330 +a(g7 +V''' \u000a +p2331 +tp2332 +a(g822 +V +p2333 +tp2334 +a(g7 +V''' The reply email address of the sender of the message.\u000a +p2335 +tp2336 +a(g822 +V +p2337 +tp2338 +a(g7 +V''' \u000a +p2339 +tp2340 +a(g822 +V +p2341 +tp2342 +a(g740 +VPublic +p2343 +tp2344 +a(g822 +g988 +tp2345 +a(g740 +VProperty +p2346 +tp2347 +a(g822 +g988 +tp2348 +a(g569 +VReplyTo +p2349 +tp2350 +a(g701 +g1311 +tp2351 +a(g701 +g1313 +tp2352 +a(g822 +g988 +tp2353 +a(g422 +VAs +p2354 +tp2355 +a(g822 +g988 +tp2356 +a(g744 +VString +p2357 +tp2358 +a(g822 +V\u000a +p2359 +tp2360 +a(g740 +VGet +p2361 +tp2362 +a(g822 +V\u000a +p2363 +tp2364 +a(g740 +VIf +p2365 +tp2366 +a(g822 +g988 +tp2367 +a(g431 +V_message +p2368 +tp2369 +a(g701 +g992 +tp2370 +a(g431 +VReplyTo +p2371 +tp2372 +a(g822 +g988 +tp2373 +a(g422 +VIs +p2374 +tp2375 +a(g822 +g988 +tp2376 +a(g740 +VNothing +p2377 +tp2378 +a(g822 +g988 +tp2379 +a(g740 +VThen +p2380 +tp2381 +a(g822 +V\u000a +p2382 +tp2383 +a(g740 +VReturn +p2384 +tp2385 +a(g822 +g988 +tp2386 +a(g744 +VString +p2387 +tp2388 +a(g701 +g992 +tp2389 +a(g431 +VEmpty +p2390 +tp2391 +a(g822 +V\u000a +p2392 +tp2393 +a(g740 +VElse +p2394 +tp2395 +a(g822 +V\u000a +p2396 +tp2397 +a(g740 +VReturn +p2398 +tp2399 +a(g822 +g988 +tp2400 +a(g431 +V_message +p2401 +tp2402 +a(g701 +g992 +tp2403 +a(g431 +VReplyTo +p2404 +tp2405 +a(g701 +g992 +tp2406 +a(g431 +VAddress +p2407 +tp2408 +a(g822 +V\u000a +p2409 +tp2410 +a(g740 +VEnd +p2411 +tp2412 +a(g822 +g988 +tp2413 +a(g740 +VIf +p2414 +tp2415 +a(g822 +V\u000a +p2416 +tp2417 +a(g740 +VEnd +p2418 +tp2419 +a(g822 +g988 +tp2420 +a(g740 +VGet +p2421 +tp2422 +a(g822 +V\u000a +p2423 +tp2424 +a(g740 +VSet +p2425 +tp2426 +a(g701 +g1311 +tp2427 +a(g740 +VByVal +p2428 +tp2429 +a(g822 +g988 +tp2430 +a(g431 +Vvalue +p2431 +tp2432 +a(g822 +g988 +tp2433 +a(g422 +VAs +p2434 +tp2435 +a(g822 +g988 +tp2436 +a(g744 +VString +p2437 +tp2438 +a(g701 +g1313 +tp2439 +a(g822 +V\u000a +p2440 +tp2441 +a(g740 +VIf +p2442 +tp2443 +a(g822 +g988 +tp2444 +a(g431 +V_message +p2445 +tp2446 +a(g701 +g992 +tp2447 +a(g431 +VReplyTo +p2448 +tp2449 +a(g822 +g988 +tp2450 +a(g422 +VIs +p2451 +tp2452 +a(g822 +g988 +tp2453 +a(g740 +VNothing +p2454 +tp2455 +a(g822 +g988 +tp2456 +a(g740 +VThen +p2457 +tp2458 +a(g822 +V\u000a +p2459 +tp2460 +a(g431 +V_message +p2461 +tp2462 +a(g701 +g992 +tp2463 +a(g431 +VReplyTo +p2464 +tp2465 +a(g822 +g988 +tp2466 +a(g408 +g1153 +tp2467 +a(g822 +g988 +tp2468 +a(g740 +VNew +p2469 +tp2470 +a(g822 +g988 +tp2471 +a(g431 +VMailAddress +p2472 +tp2473 +a(g701 +g1311 +tp2474 +a(g431 +Vvalue +p2475 +tp2476 +a(g701 +g1313 +tp2477 +a(g822 +V\u000a +p2478 +tp2479 +a(g740 +VElse +p2480 +tp2481 +a(g822 +V\u000a +p2482 +tp2483 +a(g431 +V_message +p2484 +tp2485 +a(g701 +g992 +tp2486 +a(g431 +VReplyTo +p2487 +tp2488 +a(g822 +g988 +tp2489 +a(g408 +g1153 +tp2490 +a(g822 +g988 +tp2491 +a(g740 +VNew +p2492 +tp2493 +a(g822 +g988 +tp2494 +a(g431 +VMailAddress +p2495 +tp2496 +a(g701 +g1311 +tp2497 +a(g431 +Vvalue +p2498 +tp2499 +a(g701 +g1127 +tp2500 +a(g822 +g988 +tp2501 +a(g431 +V_message +p2502 +tp2503 +a(g701 +g992 +tp2504 +a(g431 +VReplyTo +p2505 +tp2506 +a(g701 +g992 +tp2507 +a(g431 +VDisplayName +p2508 +tp2509 +a(g701 +g1313 +tp2510 +a(g822 +V\u000a +p2511 +tp2512 +a(g740 +VEnd +p2513 +tp2514 +a(g822 +g988 +tp2515 +a(g740 +VIf +p2516 +tp2517 +a(g822 +V\u000a +p2518 +tp2519 +a(g740 +VEnd +p2520 +tp2521 +a(g822 +g988 +tp2522 +a(g740 +VSet +p2523 +tp2524 +a(g822 +V\u000a +p2525 +tp2526 +a(g740 +VEnd +p2527 +tp2528 +a(g822 +g988 +tp2529 +a(g740 +VProperty +p2530 +tp2531 +a(g822 +V\u000a\u000a +p2532 +tp2533 +a(g7 +V''' \u000a +p2534 +tp2535 +a(g822 +V +p2536 +tp2537 +a(g7 +V''' The reply display name of the sender of the message.\u000a +p2538 +tp2539 +a(g822 +V +p2540 +tp2541 +a(g7 +V''' \u000a +p2542 +tp2543 +a(g822 +V +p2544 +tp2545 +a(g740 +VPublic +p2546 +tp2547 +a(g822 +g988 +tp2548 +a(g740 +VProperty +p2549 +tp2550 +a(g822 +g988 +tp2551 +a(g569 +VReplyToDisplayName +p2552 +tp2553 +a(g701 +g1311 +tp2554 +a(g701 +g1313 +tp2555 +a(g822 +g988 +tp2556 +a(g422 +VAs +p2557 +tp2558 +a(g822 +g988 +tp2559 +a(g744 +VString +p2560 +tp2561 +a(g822 +V\u000a +p2562 +tp2563 +a(g740 +VGet +p2564 +tp2565 +a(g822 +V\u000a +p2566 +tp2567 +a(g740 +VIf +p2568 +tp2569 +a(g822 +g988 +tp2570 +a(g431 +V_message +p2571 +tp2572 +a(g701 +g992 +tp2573 +a(g431 +VReplyTo +p2574 +tp2575 +a(g822 +g988 +tp2576 +a(g422 +VIs +p2577 +tp2578 +a(g822 +g988 +tp2579 +a(g740 +VNothing +p2580 +tp2581 +a(g822 +g988 +tp2582 +a(g740 +VThen +p2583 +tp2584 +a(g822 +V\u000a +p2585 +tp2586 +a(g740 +VReturn +p2587 +tp2588 +a(g822 +g988 +tp2589 +a(g744 +VString +p2590 +tp2591 +a(g701 +g992 +tp2592 +a(g431 +VEmpty +p2593 +tp2594 +a(g822 +V\u000a +p2595 +tp2596 +a(g740 +VElse +p2597 +tp2598 +a(g822 +V\u000a +p2599 +tp2600 +a(g740 +VReturn +p2601 +tp2602 +a(g822 +g988 +tp2603 +a(g431 +V_message +p2604 +tp2605 +a(g701 +g992 +tp2606 +a(g431 +VReplyTo +p2607 +tp2608 +a(g701 +g992 +tp2609 +a(g431 +VDisplayName +p2610 +tp2611 +a(g822 +V\u000a +p2612 +tp2613 +a(g740 +VEnd +p2614 +tp2615 +a(g822 +g988 +tp2616 +a(g740 +VIf +p2617 +tp2618 +a(g822 +V\u000a +p2619 +tp2620 +a(g740 +VEnd +p2621 +tp2622 +a(g822 +g988 +tp2623 +a(g740 +VGet +p2624 +tp2625 +a(g822 +V\u000a +p2626 +tp2627 +a(g740 +VSet +p2628 +tp2629 +a(g701 +g1311 +tp2630 +a(g740 +VByVal +p2631 +tp2632 +a(g822 +g988 +tp2633 +a(g431 +Vvalue +p2634 +tp2635 +a(g822 +g988 +tp2636 +a(g422 +VAs +p2637 +tp2638 +a(g822 +g988 +tp2639 +a(g744 +VString +p2640 +tp2641 +a(g701 +g1313 +tp2642 +a(g822 +V\u000a +p2643 +tp2644 +a(g740 +VIf +p2645 +tp2646 +a(g822 +g988 +tp2647 +a(g431 +V_message +p2648 +tp2649 +a(g701 +g992 +tp2650 +a(g431 +VReplyTo +p2651 +tp2652 +a(g822 +g988 +tp2653 +a(g422 +VIs +p2654 +tp2655 +a(g822 +g988 +tp2656 +a(g740 +VNothing +p2657 +tp2658 +a(g822 +g988 +tp2659 +a(g740 +VThen +p2660 +tp2661 +a(g822 +V\u000a +p2662 +tp2663 +a(g431 +V_message +p2664 +tp2665 +a(g701 +g992 +tp2666 +a(g431 +VReplyTo +p2667 +tp2668 +a(g822 +g988 +tp2669 +a(g408 +g1153 +tp2670 +a(g822 +g988 +tp2671 +a(g740 +VNew +p2672 +tp2673 +a(g822 +g988 +tp2674 +a(g431 +VMailAddress +p2675 +tp2676 +a(g701 +g1311 +tp2677 +a(g431 +V_message +p2678 +tp2679 +a(g701 +g992 +tp2680 +a(g431 +VFrom +p2681 +tp2682 +a(g701 +g992 +tp2683 +a(g431 +VAddress +p2684 +tp2685 +a(g701 +g1127 +tp2686 +a(g822 +g988 +tp2687 +a(g431 +Vvalue +p2688 +tp2689 +a(g701 +g1313 +tp2690 +a(g822 +V\u000a +p2691 +tp2692 +a(g740 +VElse +p2693 +tp2694 +a(g822 +V\u000a +p2695 +tp2696 +a(g431 +V_message +p2697 +tp2698 +a(g701 +g992 +tp2699 +a(g431 +VReplyTo +p2700 +tp2701 +a(g822 +g988 +tp2702 +a(g408 +g1153 +tp2703 +a(g822 +g988 +tp2704 +a(g740 +VNew +p2705 +tp2706 +a(g822 +g988 +tp2707 +a(g431 +VMailAddress +p2708 +tp2709 +a(g701 +g1311 +tp2710 +a(g431 +V_message +p2711 +tp2712 +a(g701 +g992 +tp2713 +a(g431 +VReplyTo +p2714 +tp2715 +a(g701 +g992 +tp2716 +a(g431 +VAddress +p2717 +tp2718 +a(g701 +g1127 +tp2719 +a(g822 +g988 +tp2720 +a(g431 +Vvalue +p2721 +tp2722 +a(g701 +g1313 +tp2723 +a(g822 +V\u000a +p2724 +tp2725 +a(g740 +VEnd +p2726 +tp2727 +a(g822 +g988 +tp2728 +a(g740 +VIf +p2729 +tp2730 +a(g822 +V\u000a +p2731 +tp2732 +a(g740 +VEnd +p2733 +tp2734 +a(g822 +g988 +tp2735 +a(g740 +VSet +p2736 +tp2737 +a(g822 +V\u000a +p2738 +tp2739 +a(g740 +VEnd +p2740 +tp2741 +a(g822 +g988 +tp2742 +a(g740 +VProperty +p2743 +tp2744 +a(g822 +V\u000a\u000a +p2745 +tp2746 +a(g7 +V''' \u000a +p2747 +tp2748 +a(g822 +V +p2749 +tp2750 +a(g7 +V''' The email address of the sender of the message.\u000a +p2751 +tp2752 +a(g822 +V +p2753 +tp2754 +a(g7 +V''' \u000a +p2755 +tp2756 +a(g822 +V +p2757 +tp2758 +a(g740 +VPublic +p2759 +tp2760 +a(g822 +g988 +tp2761 +a(g740 +VOverridable +p2762 +tp2763 +a(g822 +g988 +tp2764 +a(g740 +VProperty +p2765 +tp2766 +a(g822 +g988 +tp2767 +a(g569 +VSender +p2768 +tp2769 +a(g701 +g1311 +tp2770 +a(g701 +g1313 +tp2771 +a(g822 +g988 +tp2772 +a(g422 +VAs +p2773 +tp2774 +a(g822 +g988 +tp2775 +a(g744 +VString +p2776 +tp2777 +a(g822 +V\u000a +p2778 +tp2779 +a(g740 +VGet +p2780 +tp2781 +a(g822 +V\u000a +p2782 +tp2783 +a(g740 +VReturn +p2784 +tp2785 +a(g822 +g988 +tp2786 +a(g431 +V_message +p2787 +tp2788 +a(g701 +g992 +tp2789 +a(g431 +VFrom +p2790 +tp2791 +a(g701 +g992 +tp2792 +a(g431 +VAddress +p2793 +tp2794 +a(g822 +V\u000a +p2795 +tp2796 +a(g740 +VEnd +p2797 +tp2798 +a(g822 +g988 +tp2799 +a(g740 +VGet +p2800 +tp2801 +a(g822 +V\u000a +p2802 +tp2803 +a(g740 +VProtected +p2804 +tp2805 +a(g822 +g988 +tp2806 +a(g740 +VSet +p2807 +tp2808 +a(g701 +g1311 +tp2809 +a(g740 +VByVal +p2810 +tp2811 +a(g822 +g988 +tp2812 +a(g431 +Vvalue +p2813 +tp2814 +a(g822 +g988 +tp2815 +a(g422 +VAs +p2816 +tp2817 +a(g822 +g988 +tp2818 +a(g744 +VString +p2819 +tp2820 +a(g701 +g1313 +tp2821 +a(g822 +V\u000a +p2822 +tp2823 +a(g431 +V_message +p2824 +tp2825 +a(g701 +g992 +tp2826 +a(g431 +VFrom +p2827 +tp2828 +a(g822 +g988 +tp2829 +a(g408 +g1153 +tp2830 +a(g822 +g988 +tp2831 +a(g740 +VNew +p2832 +tp2833 +a(g822 +g988 +tp2834 +a(g431 +VMailAddress +p2835 +tp2836 +a(g701 +g1311 +tp2837 +a(g431 +Vvalue +p2838 +tp2839 +a(g701 +g1127 +tp2840 +a(g822 +g988 +tp2841 +a(g431 +V_message +p2842 +tp2843 +a(g701 +g992 +tp2844 +a(g431 +VFrom +p2845 +tp2846 +a(g701 +g992 +tp2847 +a(g431 +VDisplayName +p2848 +tp2849 +a(g701 +g1313 +tp2850 +a(g822 +V\u000a +p2851 +tp2852 +a(g740 +VEnd +p2853 +tp2854 +a(g822 +g988 +tp2855 +a(g740 +VSet +p2856 +tp2857 +a(g822 +V\u000a +p2858 +tp2859 +a(g740 +VEnd +p2860 +tp2861 +a(g822 +g988 +tp2862 +a(g740 +VProperty +p2863 +tp2864 +a(g822 +V\u000a\u000a +p2865 +tp2866 +a(g7 +V''' \u000a +p2867 +tp2868 +a(g822 +V +p2869 +tp2870 +a(g7 +V''' The display name of the sender of the message.\u000a +p2871 +tp2872 +a(g822 +V +p2873 +tp2874 +a(g7 +V''' \u000a +p2875 +tp2876 +a(g822 +V +p2877 +tp2878 +a(g740 +VPublic +p2879 +tp2880 +a(g822 +g988 +tp2881 +a(g740 +VOverridable +p2882 +tp2883 +a(g822 +g988 +tp2884 +a(g740 +VProperty +p2885 +tp2886 +a(g822 +g988 +tp2887 +a(g569 +VSenderDisplayName +p2888 +tp2889 +a(g701 +g1311 +tp2890 +a(g701 +g1313 +tp2891 +a(g822 +g988 +tp2892 +a(g422 +VAs +p2893 +tp2894 +a(g822 +g988 +tp2895 +a(g744 +VString +p2896 +tp2897 +a(g822 +V\u000a +p2898 +tp2899 +a(g740 +VGet +p2900 +tp2901 +a(g822 +V\u000a +p2902 +tp2903 +a(g740 +VReturn +p2904 +tp2905 +a(g822 +g988 +tp2906 +a(g431 +V_message +p2907 +tp2908 +a(g701 +g992 +tp2909 +a(g431 +VFrom +p2910 +tp2911 +a(g701 +g992 +tp2912 +a(g431 +VDisplayName +p2913 +tp2914 +a(g822 +V\u000a +p2915 +tp2916 +a(g740 +VEnd +p2917 +tp2918 +a(g822 +g988 +tp2919 +a(g740 +VGet +p2920 +tp2921 +a(g822 +V\u000a +p2922 +tp2923 +a(g740 +VProtected +p2924 +tp2925 +a(g822 +g988 +tp2926 +a(g740 +VSet +p2927 +tp2928 +a(g701 +g1311 +tp2929 +a(g740 +VByVal +p2930 +tp2931 +a(g822 +g988 +tp2932 +a(g431 +Vvalue +p2933 +tp2934 +a(g822 +g988 +tp2935 +a(g422 +VAs +p2936 +tp2937 +a(g822 +g988 +tp2938 +a(g744 +VString +p2939 +tp2940 +a(g701 +g1313 +tp2941 +a(g822 +V\u000a +p2942 +tp2943 +a(g431 +V_message +p2944 +tp2945 +a(g701 +g992 +tp2946 +a(g431 +VFrom +p2947 +tp2948 +a(g822 +g988 +tp2949 +a(g408 +g1153 +tp2950 +a(g822 +g988 +tp2951 +a(g740 +VNew +p2952 +tp2953 +a(g822 +g988 +tp2954 +a(g431 +VMailAddress +p2955 +tp2956 +a(g701 +g1311 +tp2957 +a(g431 +V_message +p2958 +tp2959 +a(g701 +g992 +tp2960 +a(g431 +VFrom +p2961 +tp2962 +a(g701 +g992 +tp2963 +a(g431 +VAddress +p2964 +tp2965 +a(g701 +g1127 +tp2966 +a(g822 +g988 +tp2967 +a(g431 +Vvalue +p2968 +tp2969 +a(g701 +g1313 +tp2970 +a(g822 +V\u000a +p2971 +tp2972 +a(g740 +VEnd +p2973 +tp2974 +a(g822 +g988 +tp2975 +a(g740 +VSet +p2976 +tp2977 +a(g822 +V\u000a +p2978 +tp2979 +a(g740 +VEnd +p2980 +tp2981 +a(g822 +g988 +tp2982 +a(g740 +VProperty +p2983 +tp2984 +a(g822 +V\u000a\u000a +p2985 +tp2986 +a(g7 +V''' \u000a +p2987 +tp2988 +a(g822 +V +p2989 +tp2990 +a(g7 +V''' The subject of the message.\u000a +p2991 +tp2992 +a(g822 +V +p2993 +tp2994 +a(g7 +V''' \u000a +p2995 +tp2996 +a(g822 +V +p2997 +tp2998 +a(g740 +VPublic +p2999 +tp3000 +a(g822 +g988 +tp3001 +a(g740 +VOverridable +p3002 +tp3003 +a(g822 +g988 +tp3004 +a(g740 +VProperty +p3005 +tp3006 +a(g822 +g988 +tp3007 +a(g569 +VSubject +p3008 +tp3009 +a(g701 +g1311 +tp3010 +a(g701 +g1313 +tp3011 +a(g822 +g988 +tp3012 +a(g422 +VAs +p3013 +tp3014 +a(g822 +g988 +tp3015 +a(g744 +VString +p3016 +tp3017 +a(g822 +V\u000a +p3018 +tp3019 +a(g740 +VGet +p3020 +tp3021 +a(g822 +V\u000a +p3022 +tp3023 +a(g740 +VReturn +p3024 +tp3025 +a(g822 +g988 +tp3026 +a(g431 +V_message +p3027 +tp3028 +a(g701 +g992 +tp3029 +a(g431 +VSubject +p3030 +tp3031 +a(g822 +V\u000a +p3032 +tp3033 +a(g740 +VEnd +p3034 +tp3035 +a(g822 +g988 +tp3036 +a(g740 +VGet +p3037 +tp3038 +a(g822 +V\u000a +p3039 +tp3040 +a(g740 +VProtected +p3041 +tp3042 +a(g822 +g988 +tp3043 +a(g740 +VSet +p3044 +tp3045 +a(g701 +g1311 +tp3046 +a(g740 +VByVal +p3047 +tp3048 +a(g822 +g988 +tp3049 +a(g431 +Vvalue +p3050 +tp3051 +a(g822 +g988 +tp3052 +a(g422 +VAs +p3053 +tp3054 +a(g822 +g988 +tp3055 +a(g744 +VString +p3056 +tp3057 +a(g701 +g1313 +tp3058 +a(g822 +V\u000a +p3059 +tp3060 +a(g431 +V_message +p3061 +tp3062 +a(g701 +g992 +tp3063 +a(g431 +VSubject +p3064 +tp3065 +a(g822 +g988 +tp3066 +a(g408 +g1153 +tp3067 +a(g822 +g988 +tp3068 +a(g431 +Vvalue +p3069 +tp3070 +a(g822 +V\u000a +p3071 +tp3072 +a(g740 +VEnd +p3073 +tp3074 +a(g822 +g988 +tp3075 +a(g740 +VSet +p3076 +tp3077 +a(g822 +V\u000a +p3078 +tp3079 +a(g740 +VEnd +p3080 +tp3081 +a(g822 +g988 +tp3082 +a(g740 +VProperty +p3083 +tp3084 +a(g822 +V\u000a\u000a +p3085 +tp3086 +a(g894 +V#End Region +p3087 +tp3088 +a(g822 +V\u000a\u000a +p3089 +tp3090 +a(g894 +V#Region " Methods "\u000a +p3091 +tp3092 +a(g822 +V\u000a +p3093 +tp3094 +a(g894 +V#Region " Send Methods "\u000a +p3095 +tp3096 +a(g822 +V\u000a +p3097 +tp3098 +a(g7 +V''' \u000a +p3099 +tp3100 +a(g822 +V +p3101 +tp3102 +a(g7 +V''' Sends this email\u000a +p3103 +tp3104 +a(g822 +V +p3105 +tp3106 +a(g7 +V''' \u000a +p3107 +tp3108 +a(g822 +V +p3109 +tp3110 +a(g7 +V''' The SMTP server to use to send the email.\u000a +p3111 +tp3112 +a(g822 +V +p3113 +tp3114 +a(g740 +VPublic +p3115 +tp3116 +a(g822 +g988 +tp3117 +a(g740 +VSub +p3118 +tp3119 +a(g822 +g988 +tp3120 +a(g569 +VSend +p3121 +tp3122 +a(g701 +g1311 +tp3123 +a(g740 +VByVal +p3124 +tp3125 +a(g822 +g988 +tp3126 +a(g431 +VmailServer +p3127 +tp3128 +a(g822 +g988 +tp3129 +a(g422 +VAs +p3130 +tp3131 +a(g822 +g988 +tp3132 +a(g744 +VString +p3133 +tp3134 +a(g701 +g1313 +tp3135 +a(g822 +V\u000a +p3136 +tp3137 +a(g431 +V_mailClient +p3138 +tp3139 +a(g822 +g988 +tp3140 +a(g408 +g1153 +tp3141 +a(g822 +g988 +tp3142 +a(g740 +VNew +p3143 +tp3144 +a(g822 +g988 +tp3145 +a(g431 +VSmtpClient +p3146 +tp3147 +a(g701 +g1311 +tp3148 +a(g431 +VmailServer +p3149 +tp3150 +a(g701 +g1313 +tp3151 +a(g822 +V\u000a +p3152 +tp3153 +a(g431 +V_mailClient +p3154 +tp3155 +a(g701 +g992 +tp3156 +a(g431 +VSend +p3157 +tp3158 +a(g701 +g1311 +tp3159 +a(g431 +V_message +p3160 +tp3161 +a(g701 +g1313 +tp3162 +a(g822 +V\u000a +p3163 +tp3164 +a(g740 +VEnd +p3165 +tp3166 +a(g822 +g988 +tp3167 +a(g740 +VSub +p3168 +tp3169 +a(g822 +V\u000a\u000a +p3170 +tp3171 +a(g7 +V''' \u000a +p3172 +tp3173 +a(g822 +V +p3174 +tp3175 +a(g7 +V''' Sends this email asynchronously.\u000a +p3176 +tp3177 +a(g822 +V +p3178 +tp3179 +a(g7 +V''' \u000a +p3180 +tp3181 +a(g822 +V +p3182 +tp3183 +a(g7 +V''' The SMTP server to use to send the email.\u000a +p3184 +tp3185 +a(g822 +V +p3186 +tp3187 +a(g7 +V''' A user defined token passed to the recieving method on completion of the asynchronous task.\u000a +p3188 +tp3189 +a(g822 +V +p3190 +tp3191 +a(g740 +VPublic +p3192 +tp3193 +a(g822 +g988 +tp3194 +a(g740 +VSub +p3195 +tp3196 +a(g822 +g988 +tp3197 +a(g569 +VSendAsync +p3198 +tp3199 +a(g701 +g1311 +tp3200 +a(g740 +VByVal +p3201 +tp3202 +a(g822 +g988 +tp3203 +a(g431 +VmailServer +p3204 +tp3205 +a(g822 +g988 +tp3206 +a(g422 +VAs +p3207 +tp3208 +a(g822 +g988 +tp3209 +a(g744 +VString +p3210 +tp3211 +a(g701 +g1127 +tp3212 +a(g822 +g988 +tp3213 +a(g740 +VByVal +p3214 +tp3215 +a(g822 +g988 +tp3216 +a(g431 +VuserToken +p3217 +tp3218 +a(g822 +g988 +tp3219 +a(g422 +VAs +p3220 +tp3221 +a(g822 +g988 +tp3222 +a(g744 +VObject +p3223 +tp3224 +a(g701 +g1313 +tp3225 +a(g822 +V\u000a +p3226 +tp3227 +a(g431 +V_mailClient +p3228 +tp3229 +a(g822 +g988 +tp3230 +a(g408 +g1153 +tp3231 +a(g822 +g988 +tp3232 +a(g740 +VNew +p3233 +tp3234 +a(g822 +g988 +tp3235 +a(g431 +VSmtpClient +p3236 +tp3237 +a(g701 +g1311 +tp3238 +a(g431 +VmailServer +p3239 +tp3240 +a(g701 +g1313 +tp3241 +a(g822 +V\u000a +p3242 +tp3243 +a(g431 +V_mailClient +p3244 +tp3245 +a(g701 +g992 +tp3246 +a(g431 +VSendAsync +p3247 +tp3248 +a(g701 +g1311 +tp3249 +a(g431 +V_message +p3250 +tp3251 +a(g701 +g1127 +tp3252 +a(g822 +g988 +tp3253 +a(g431 +VuserToken +p3254 +tp3255 +a(g701 +g1313 +tp3256 +a(g822 +V\u000a +p3257 +tp3258 +a(g740 +VEnd +p3259 +tp3260 +a(g822 +g988 +tp3261 +a(g740 +VSub +p3262 +tp3263 +a(g822 +V\u000a\u000a +p3264 +tp3265 +a(g7 +V''' \u000a +p3266 +tp3267 +a(g822 +V +p3268 +tp3269 +a(g7 +V''' Cancels an attempt to send this email asynchronously.\u000a +p3270 +tp3271 +a(g822 +V +p3272 +tp3273 +a(g7 +V''' \u000a +p3274 +tp3275 +a(g822 +V +p3276 +tp3277 +a(g740 +VPublic +p3278 +tp3279 +a(g822 +g988 +tp3280 +a(g740 +VSub +p3281 +tp3282 +a(g822 +g988 +tp3283 +a(g569 +VSendAsyncCancel +p3284 +tp3285 +a(g701 +g1311 +tp3286 +a(g701 +g1313 +tp3287 +a(g822 +V\u000a +p3288 +tp3289 +a(g431 +V_mailClient +p3290 +tp3291 +a(g701 +g992 +tp3292 +a(g431 +VSendAsyncCancel +p3293 +tp3294 +a(g701 +g1311 +tp3295 +a(g701 +g1313 +tp3296 +a(g822 +V\u000a +p3297 +tp3298 +a(g740 +VEnd +p3299 +tp3300 +a(g822 +g988 +tp3301 +a(g740 +VSub +p3302 +tp3303 +a(g822 +V\u000a\u000a +p3304 +tp3305 +a(g894 +V#End Region +p3306 +tp3307 +a(g822 +V\u000a\u000a +p3308 +tp3309 +a(g894 +V#End Region +p3310 +tp3311 +a(g822 +V\u000a\u000a +p3312 +tp3313 +a(g894 +V#Region " IValidatable Implementation "\u000a +p3314 +tp3315 +a(g822 +V\u000a +p3316 +tp3317 +a(g7 +V''' \u000a +p3318 +tp3319 +a(g822 +V +p3320 +tp3321 +a(g7 +V''' gets and Sets a flag to indicate whether to use strict validation.\u000a +p3322 +tp3323 +a(g822 +V +p3324 +tp3325 +a(g7 +V''' \u000a +p3326 +tp3327 +a(g822 +V +p3328 +tp3329 +a(g740 +VPublic +p3330 +tp3331 +a(g822 +g988 +tp3332 +a(g740 +VProperty +p3333 +tp3334 +a(g822 +g988 +tp3335 +a(g569 +VUseStrictValidation +p3336 +tp3337 +a(g701 +g1311 +tp3338 +a(g701 +g1313 +tp3339 +a(g822 +g988 +tp3340 +a(g422 +VAs +p3341 +tp3342 +a(g822 +g988 +tp3343 +a(g744 +VBoolean +p3344 +tp3345 +a(g822 +V\u000a +p3346 +tp3347 +a(g740 +VGet +p3348 +tp3349 +a(g822 +V\u000a +p3350 +tp3351 +a(g740 +VReturn +p3352 +tp3353 +a(g822 +g988 +tp3354 +a(g431 +V_useStrictValidation +p3355 +tp3356 +a(g822 +V\u000a +p3357 +tp3358 +a(g740 +VEnd +p3359 +tp3360 +a(g822 +g988 +tp3361 +a(g740 +VGet +p3362 +tp3363 +a(g822 +V\u000a +p3364 +tp3365 +a(g740 +VSet +p3366 +tp3367 +a(g701 +g1311 +tp3368 +a(g740 +VByVal +p3369 +tp3370 +a(g822 +g988 +tp3371 +a(g431 +Vvalue +p3372 +tp3373 +a(g822 +g988 +tp3374 +a(g422 +VAs +p3375 +tp3376 +a(g822 +g988 +tp3377 +a(g744 +VBoolean +p3378 +tp3379 +a(g701 +g1313 +tp3380 +a(g822 +V\u000a +p3381 +tp3382 +a(g431 +V_useStrictValidation +p3383 +tp3384 +a(g822 +g988 +tp3385 +a(g408 +g1153 +tp3386 +a(g822 +g988 +tp3387 +a(g431 +Vvalue +p3388 +tp3389 +a(g822 +V\u000a +p3390 +tp3391 +a(g740 +VEnd +p3392 +tp3393 +a(g822 +g988 +tp3394 +a(g740 +VSet +p3395 +tp3396 +a(g822 +V\u000a +p3397 +tp3398 +a(g740 +VEnd +p3399 +tp3400 +a(g822 +g988 +tp3401 +a(g740 +VProperty +p3402 +tp3403 +a(g822 +V\u000a\u000a +p3404 +tp3405 +a(g7 +V''' \u000a +p3406 +tp3407 +a(g822 +V +p3408 +tp3409 +a(g7 +V''' Validates this email.\u000a +p3410 +tp3411 +a(g822 +V +p3412 +tp3413 +a(g7 +V''' \u000a +p3414 +tp3415 +a(g822 +V +p3416 +tp3417 +a(g7 +V''' A ValidationResponse, containing a flag to indicate if validation was passed and a collection of Property Names and validation errors.\u000a +p3418 +tp3419 +a(g822 +V +p3420 +tp3421 +a(g740 +VPublic +p3422 +tp3423 +a(g822 +g988 +tp3424 +a(g740 +VFunction +p3425 +tp3426 +a(g822 +g988 +tp3427 +a(g569 +VValidate +p3428 +tp3429 +a(g701 +g1311 +tp3430 +a(g701 +g1313 +tp3431 +a(g822 +g988 +tp3432 +a(g422 +VAs +p3433 +tp3434 +a(g822 +g988 +tp3435 +a(g431 +VValidationResponse +p3436 +tp3437 +a(g822 +g988 +tp3438 +a(g740 +VImplements +p3439 +tp3440 +a(g822 +g988 +tp3441 +a(g431 +VIValidatable +p3442 +tp3443 +a(g701 +g992 +tp3444 +a(g431 +VValidate +p3445 +tp3446 +a(g822 +V\u000a\u000a +p3447 +tp3448 +a(g740 +VDim +p3449 +tp3450 +a(g822 +g988 +tp3451 +a(g431 +VretVal +p3452 +tp3453 +a(g822 +g988 +tp3454 +a(g422 +VAs +p3455 +tp3456 +a(g822 +g988 +tp3457 +a(g740 +VNew +p3458 +tp3459 +a(g822 +g988 +tp3460 +a(g431 +VValidationResponse +p3461 +tp3462 +a(g701 +g1311 +tp3463 +a(g701 +g1313 +tp3464 +a(g822 +V\u000a +p3465 +tp3466 +a(g740 +VDim +p3467 +tp3468 +a(g822 +g988 +tp3469 +a(g431 +VmailRegEx +p3470 +tp3471 +a(g822 +g988 +tp3472 +a(g422 +VAs +p3473 +tp3474 +a(g822 +g988 +tp3475 +a(g744 +VString +p3476 +tp3477 +a(g822 +g988 +tp3478 +a(g408 +g1153 +tp3479 +a(g822 +g988 +tp3480 +a(g740 +VIf +p3481 +tp3482 +a(g701 +g1311 +tp3483 +a(g431 +V_useStrictValidation +p3484 +tp3485 +a(g701 +g1127 +tp3486 +a(g822 +g988 +tp3487 +a(g431 +VStrictRegexPattern +p3488 +tp3489 +a(g701 +g1127 +tp3490 +a(g822 +g988 +tp3491 +a(g431 +VLenientRegexPattern +p3492 +tp3493 +a(g701 +g1313 +tp3494 +a(g822 +V\u000a\u000a +p3495 +tp3496 +a(g431 +VValidateAddress +p3497 +tp3498 +a(g701 +g1311 +tp3499 +a(g84 +g1156 +tp3500 +a(g84 +VSender +p3501 +tp3502 +a(g84 +g1156 +tp3503 +a(g701 +g1127 +tp3504 +a(g822 +g988 +tp3505 +a(g431 +VretVal +p3506 +tp3507 +a(g701 +g1127 +tp3508 +a(g822 +g988 +tp3509 +a(g431 +VmailRegEx +p3510 +tp3511 +a(g701 +g1127 +tp3512 +a(g822 +g988 +tp3513 +a(g740 +VTrue +p3514 +tp3515 +a(g701 +g1313 +tp3516 +a(g822 +V\u000a +p3517 +tp3518 +a(g431 +VValidateAddresses +p3519 +tp3520 +a(g701 +g1311 +tp3521 +a(g84 +g1156 +tp3522 +a(g84 +VRecipients +p3523 +tp3524 +a(g84 +g1156 +tp3525 +a(g701 +g1127 +tp3526 +a(g822 +g988 +tp3527 +a(g431 +VretVal +p3528 +tp3529 +a(g701 +g1127 +tp3530 +a(g822 +g988 +tp3531 +a(g431 +VmailRegEx +p3532 +tp3533 +a(g701 +g1127 +tp3534 +a(g822 +g988 +tp3535 +a(g740 +VTrue +p3536 +tp3537 +a(g701 +g1313 +tp3538 +a(g822 +V\u000a +p3539 +tp3540 +a(g431 +VValidateAddresses +p3541 +tp3542 +a(g701 +g1311 +tp3543 +a(g84 +g1156 +tp3544 +a(g84 +VCcRecipients +p3545 +tp3546 +a(g84 +g1156 +tp3547 +a(g701 +g1127 +tp3548 +a(g822 +g988 +tp3549 +a(g431 +VretVal +p3550 +tp3551 +a(g701 +g1127 +tp3552 +a(g822 +g988 +tp3553 +a(g431 +VmailRegEx +p3554 +tp3555 +a(g701 +g1313 +tp3556 +a(g822 +V\u000a +p3557 +tp3558 +a(g431 +VValidateAddresses +p3559 +tp3560 +a(g701 +g1311 +tp3561 +a(g84 +g1156 +tp3562 +a(g84 +VBccRecipients +p3563 +tp3564 +a(g84 +g1156 +tp3565 +a(g701 +g1127 +tp3566 +a(g822 +g988 +tp3567 +a(g431 +VretVal +p3568 +tp3569 +a(g701 +g1127 +tp3570 +a(g822 +g988 +tp3571 +a(g431 +VmailRegEx +p3572 +tp3573 +a(g701 +g1313 +tp3574 +a(g822 +V\u000a +p3575 +tp3576 +a(g431 +VValidateAddress +p3577 +tp3578 +a(g701 +g1311 +tp3579 +a(g84 +g1156 +tp3580 +a(g84 +VReplyTo +p3581 +tp3582 +a(g84 +g1156 +tp3583 +a(g701 +g1127 +tp3584 +a(g822 +g988 +tp3585 +a(g431 +VretVal +p3586 +tp3587 +a(g701 +g1127 +tp3588 +a(g822 +g988 +tp3589 +a(g431 +VmailRegEx +p3590 +tp3591 +a(g701 +g1313 +tp3592 +a(g822 +V\u000a\u000a +p3593 +tp3594 +a(g740 +VReturn +p3595 +tp3596 +a(g822 +g988 +tp3597 +a(g431 +VretVal +p3598 +tp3599 +a(g822 +V\u000a\u000a +p3600 +tp3601 +a(g740 +VEnd +p3602 +tp3603 +a(g822 +g988 +tp3604 +a(g740 +VFunction +p3605 +tp3606 +a(g822 +V\u000a\u000a +p3607 +tp3608 +a(g7 +V''' \u000a +p3609 +tp3610 +a(g822 +V +p3611 +tp3612 +a(g7 +V''' Validates a single Email Address property.\u000a +p3613 +tp3614 +a(g822 +V +p3615 +tp3616 +a(g7 +V''' \u000a +p3617 +tp3618 +a(g822 +V +p3619 +tp3620 +a(g7 +V''' The name of the property to validate.\u000a +p3621 +tp3622 +a(g822 +V +p3623 +tp3624 +a(g7 +V''' The validation response object.\u000a +p3625 +tp3626 +a(g822 +V +p3627 +tp3628 +a(g7 +V''' The regular expression pattern to use for validation.\u000a +p3629 +tp3630 +a(g822 +V +p3631 +tp3632 +a(g740 +VPrivate +p3633 +tp3634 +a(g822 +g988 +tp3635 +a(g740 +VOverloads +p3636 +tp3637 +a(g822 +g988 +tp3638 +a(g740 +VSub +p3639 +tp3640 +a(g822 +g988 +tp3641 +a(g569 +VValidateAddress +p3642 +tp3643 +a(g701 +g1311 +tp3644 +a(g740 +VByVal +p3645 +tp3646 +a(g822 +g988 +tp3647 +a(g431 +VpropertyName +p3648 +tp3649 +a(g822 +g988 +tp3650 +a(g422 +VAs +p3651 +tp3652 +a(g822 +g988 +tp3653 +a(g744 +VString +p3654 +tp3655 +a(g701 +g1127 +tp3656 +a(g822 +g988 +tp3657 +a(g740 +VByRef +p3658 +tp3659 +a(g822 +g988 +tp3660 +a(g431 +VretVal +p3661 +tp3662 +a(g822 +g988 +tp3663 +a(g422 +VAs +p3664 +tp3665 +a(g822 +g988 +tp3666 +a(g431 +VValidationResponse +p3667 +tp3668 +a(g701 +g1127 +tp3669 +a(g822 +g988 +tp3670 +a(g740 +VByVal +p3671 +tp3672 +a(g822 +g988 +tp3673 +a(g431 +VmailRegEx +p3674 +tp3675 +a(g822 +g988 +tp3676 +a(g422 +VAs +p3677 +tp3678 +a(g822 +g988 +tp3679 +a(g744 +VString +p3680 +tp3681 +a(g701 +g1313 +tp3682 +a(g822 +V\u000a +p3683 +tp3684 +a(g431 +VValidateAddress +p3685 +tp3686 +a(g701 +g1311 +tp3687 +a(g431 +VpropertyName +p3688 +tp3689 +a(g701 +g1127 +tp3690 +a(g822 +g988 +tp3691 +a(g431 +VretVal +p3692 +tp3693 +a(g701 +g1127 +tp3694 +a(g822 +g988 +tp3695 +a(g431 +VmailRegEx +p3696 +tp3697 +a(g701 +g1127 +tp3698 +a(g822 +g988 +tp3699 +a(g740 +VFalse +p3700 +tp3701 +a(g701 +g1313 +tp3702 +a(g822 +V\u000a +p3703 +tp3704 +a(g740 +VEnd +p3705 +tp3706 +a(g822 +g988 +tp3707 +a(g740 +VSub +p3708 +tp3709 +a(g822 +V\u000a\u000a +p3710 +tp3711 +a(g7 +V''' \u000a +p3712 +tp3713 +a(g822 +V +p3714 +tp3715 +a(g7 +V''' Validates a single Email Address property.\u000a +p3716 +tp3717 +a(g822 +V +p3718 +tp3719 +a(g7 +V''' \u000a +p3720 +tp3721 +a(g822 +V +p3722 +tp3723 +a(g7 +V''' The name of the property to validate.\u000a +p3724 +tp3725 +a(g822 +V +p3726 +tp3727 +a(g7 +V''' The validation response object.\u000a +p3728 +tp3729 +a(g822 +V +p3730 +tp3731 +a(g7 +V''' The regular expression pattern to use for validation.\u000a +p3732 +tp3733 +a(g822 +V +p3734 +tp3735 +a(g7 +V''' Indicates if the address is required; False if not specified.\u000a +p3736 +tp3737 +a(g822 +V +p3738 +tp3739 +a(g740 +VPrivate +p3740 +tp3741 +a(g822 +g988 +tp3742 +a(g740 +VOverloads +p3743 +tp3744 +a(g822 +g988 +tp3745 +a(g740 +VSub +p3746 +tp3747 +a(g822 +g988 +tp3748 +a(g569 +VValidateAddress +p3749 +tp3750 +a(g701 +g1311 +tp3751 +a(g740 +VByVal +p3752 +tp3753 +a(g822 +g988 +tp3754 +a(g431 +VpropertyName +p3755 +tp3756 +a(g822 +g988 +tp3757 +a(g422 +VAs +p3758 +tp3759 +a(g822 +g988 +tp3760 +a(g744 +VString +p3761 +tp3762 +a(g701 +g1127 +tp3763 +a(g822 +g988 +tp3764 +a(g740 +VByRef +p3765 +tp3766 +a(g822 +g988 +tp3767 +a(g431 +VretVal +p3768 +tp3769 +a(g822 +g988 +tp3770 +a(g422 +VAs +p3771 +tp3772 +a(g822 +g988 +tp3773 +a(g431 +VValidationResponse +p3774 +tp3775 +a(g701 +g1127 +tp3776 +a(g822 +g988 +tp3777 +a(g740 +VByVal +p3778 +tp3779 +a(g822 +g988 +tp3780 +a(g431 +VmailRegEx +p3781 +tp3782 +a(g822 +g988 +tp3783 +a(g422 +VAs +p3784 +tp3785 +a(g822 +g988 +tp3786 +a(g744 +VString +p3787 +tp3788 +a(g701 +g1127 +tp3789 +a(g822 +g988 +tp3790 +a(g740 +VByVal +p3791 +tp3792 +a(g822 +g988 +tp3793 +a(g431 +Vrequired +p3794 +tp3795 +a(g822 +g988 +tp3796 +a(g422 +VAs +p3797 +tp3798 +a(g822 +g988 +tp3799 +a(g744 +VBoolean +p3800 +tp3801 +a(g701 +g1313 +tp3802 +a(g822 +V\u000a\u000a +p3803 +tp3804 +a(g740 +VDim +p3805 +tp3806 +a(g822 +g988 +tp3807 +a(g431 +VemailAddress +p3808 +tp3809 +a(g822 +g988 +tp3810 +a(g422 +VAs +p3811 +tp3812 +a(g822 +g988 +tp3813 +a(g744 +VString +p3814 +tp3815 +a(g822 +g988 +tp3816 +a(g408 +g1153 +tp3817 +a(g822 +g988 +tp3818 +a(g431 +VReflectionHelper +p3819 +tp3820 +a(g701 +g992 +tp3821 +a(g431 +VProperties +p3822 +tp3823 +a(g701 +g992 +tp3824 +a(g431 +VGetProperty +p3825 +tp3826 +a(g701 +g1311 +tp3827 +a(g740 +VOf +p3828 +tp3829 +a(g822 +g988 +tp3830 +a(g744 +VString +p3831 +tp3832 +a(g701 +g1313 +tp3833 +a(g701 +g1311 +tp3834 +a(g740 +VMe +p3835 +tp3836 +a(g701 +g1127 +tp3837 +a(g822 +g988 +tp3838 +a(g431 +VpropertyName +p3839 +tp3840 +a(g701 +g1313 +tp3841 +a(g822 +V\u000a\u000a +p3842 +tp3843 +a(g740 +VIf +p3844 +tp3845 +a(g822 +g988 +tp3846 +a(g431 +VemailAddress +p3847 +tp3848 +a(g822 +g988 +tp3849 +a(g422 +VIs +p3850 +tp3851 +a(g822 +g988 +tp3852 +a(g740 +VNothing +p3853 +tp3854 +a(g822 +g988 +tp3855 +a(g422 +VOrElse +p3856 +tp3857 +a(g822 +g988 +tp3858 +a(g431 +VemailAddress +p3859 +tp3860 +a(g701 +g992 +tp3861 +a(g431 +VLength +p3862 +tp3863 +a(g822 +g988 +tp3864 +a(g408 +g1153 +tp3865 +a(g822 +g988 +tp3866 +a(g32 +g1479 +tp3867 +a(g822 +g988 +tp3868 +a(g740 +VThen +p3869 +tp3870 +a(g822 +V\u000a +p3871 +tp3872 +a(g740 +VIf +p3873 +tp3874 +a(g822 +g988 +tp3875 +a(g431 +Vrequired +p3876 +tp3877 +a(g822 +g988 +tp3878 +a(g740 +VThen +p3879 +tp3880 +a(g822 +g988 +tp3881 +a(g431 +VretVal +p3882 +tp3883 +a(g701 +g992 +tp3884 +a(g431 +VAdd +p3885 +tp3886 +a(g701 +g1311 +tp3887 +a(g740 +VNew +p3888 +tp3889 +a(g822 +g988 +tp3890 +a(g431 +VKeyValuePair +p3891 +tp3892 +a(g701 +g1311 +tp3893 +a(g740 +VOf +p3894 +tp3895 +a(g822 +g988 +tp3896 +a(g744 +VString +p3897 +tp3898 +a(g701 +g1127 +tp3899 +a(g822 +g988 +tp3900 +a(g744 +VString +p3901 +tp3902 +a(g701 +g1313 +tp3903 +a(g701 +g1311 +tp3904 +a(g431 +VpropertyName +p3905 +tp3906 +a(g701 +g1127 +tp3907 +a(g822 +g988 +tp3908 +a(g431 +VNullEmailAddressError +p3909 +tp3910 +a(g701 +g1313 +tp3911 +a(g701 +g1313 +tp3912 +a(g822 +V\u000a +p3913 +tp3914 +a(g740 +VElse +p3915 +tp3916 +a(g822 +V\u000a +p3917 +tp3918 +a(g740 +VIf +p3919 +tp3920 +a(g822 +g988 +tp3921 +a(g701 +g1311 +tp3922 +a(g740 +VNot +p3923 +tp3924 +a(g822 +g988 +tp3925 +a(g431 +VRegex +p3926 +tp3927 +a(g701 +g992 +tp3928 +a(g431 +VIsMatch +p3929 +tp3930 +a(g701 +g1311 +tp3931 +a(g431 +VemailAddress +p3932 +tp3933 +a(g701 +g1127 +tp3934 +a(g822 +g988 +tp3935 +a(g431 +VmailRegEx +p3936 +tp3937 +a(g701 +g1313 +tp3938 +a(g701 +g1313 +tp3939 +a(g822 +g988 +tp3940 +a(g740 +VThen +p3941 +tp3942 +a(g822 +V\u000a +p3943 +tp3944 +a(g431 +VretVal +p3945 +tp3946 +a(g701 +g992 +tp3947 +a(g431 +VAdd +p3948 +tp3949 +a(g701 +g1311 +tp3950 +a(g740 +VNew +p3951 +tp3952 +a(g822 +g988 +tp3953 +a(g431 +VKeyValuePair +p3954 +tp3955 +a(g701 +g1311 +tp3956 +a(g740 +VOf +p3957 +tp3958 +a(g822 +g988 +tp3959 +a(g744 +VString +p3960 +tp3961 +a(g701 +g1127 +tp3962 +a(g822 +g988 +tp3963 +a(g744 +VString +p3964 +tp3965 +a(g701 +g1313 +tp3966 +a(g701 +g1311 +tp3967 +a(g431 +VpropertyName +p3968 +tp3969 +a(g701 +g1127 +tp3970 +a(g822 +g988 +tp3971 +a(g431 +VInvalidEmailAddressError +p3972 +tp3973 +a(g701 +g1313 +tp3974 +a(g701 +g1313 +tp3975 +a(g822 +V\u000a +p3976 +tp3977 +a(g740 +VEnd +p3978 +tp3979 +a(g822 +g988 +tp3980 +a(g740 +VIf +p3981 +tp3982 +a(g822 +V\u000a +p3983 +tp3984 +a(g740 +VEnd +p3985 +tp3986 +a(g822 +g988 +tp3987 +a(g740 +VIf +p3988 +tp3989 +a(g822 +V\u000a\u000a +p3990 +tp3991 +a(g740 +VEnd +p3992 +tp3993 +a(g822 +g988 +tp3994 +a(g740 +VSub +p3995 +tp3996 +a(g822 +V\u000a\u000a +p3997 +tp3998 +a(g7 +V''' \u000a +p3999 +tp4000 +a(g822 +V +p4001 +tp4002 +a(g7 +V''' Validates a string array of Email Address property.\u000a +p4003 +tp4004 +a(g822 +V +p4005 +tp4006 +a(g7 +V''' \u000a +p4007 +tp4008 +a(g822 +V +p4009 +tp4010 +a(g7 +V''' The name of the property to validate.\u000a +p4011 +tp4012 +a(g822 +V +p4013 +tp4014 +a(g7 +V''' The validation response object.\u000a +p4015 +tp4016 +a(g822 +V +p4017 +tp4018 +a(g7 +V''' The regular expression pattern to use for validation.\u000a +p4019 +tp4020 +a(g822 +V +p4021 +tp4022 +a(g740 +VPrivate +p4023 +tp4024 +a(g822 +g988 +tp4025 +a(g740 +VOverloads +p4026 +tp4027 +a(g822 +g988 +tp4028 +a(g740 +VSub +p4029 +tp4030 +a(g822 +g988 +tp4031 +a(g569 +VValidateAddresses +p4032 +tp4033 +a(g701 +g1311 +tp4034 +a(g740 +VByVal +p4035 +tp4036 +a(g822 +g988 +tp4037 +a(g431 +VpropertyName +p4038 +tp4039 +a(g822 +g988 +tp4040 +a(g422 +VAs +p4041 +tp4042 +a(g822 +g988 +tp4043 +a(g744 +VString +p4044 +tp4045 +a(g701 +g1127 +tp4046 +a(g822 +g988 +tp4047 +a(g740 +VByRef +p4048 +tp4049 +a(g822 +g988 +tp4050 +a(g431 +VretVal +p4051 +tp4052 +a(g822 +g988 +tp4053 +a(g422 +VAs +p4054 +tp4055 +a(g822 +g988 +tp4056 +a(g431 +VValidationResponse +p4057 +tp4058 +a(g701 +g1127 +tp4059 +a(g822 +g988 +tp4060 +a(g740 +VByVal +p4061 +tp4062 +a(g822 +g988 +tp4063 +a(g431 +VmailRegEx +p4064 +tp4065 +a(g822 +g988 +tp4066 +a(g422 +VAs +p4067 +tp4068 +a(g822 +g988 +tp4069 +a(g744 +VString +p4070 +tp4071 +a(g701 +g1313 +tp4072 +a(g822 +V\u000a +p4073 +tp4074 +a(g431 +VValidateAddresses +p4075 +tp4076 +a(g701 +g1311 +tp4077 +a(g431 +VpropertyName +p4078 +tp4079 +a(g701 +g1127 +tp4080 +a(g822 +g988 +tp4081 +a(g431 +VretVal +p4082 +tp4083 +a(g701 +g1127 +tp4084 +a(g822 +g988 +tp4085 +a(g431 +VmailRegEx +p4086 +tp4087 +a(g701 +g1127 +tp4088 +a(g822 +g988 +tp4089 +a(g740 +VFalse +p4090 +tp4091 +a(g701 +g1313 +tp4092 +a(g822 +V\u000a +p4093 +tp4094 +a(g740 +VEnd +p4095 +tp4096 +a(g822 +g988 +tp4097 +a(g740 +VSub +p4098 +tp4099 +a(g822 +V\u000a\u000a +p4100 +tp4101 +a(g7 +V''' \u000a +p4102 +tp4103 +a(g822 +V +p4104 +tp4105 +a(g7 +V''' Validates a string array of Email Address property.\u000a +p4106 +tp4107 +a(g822 +V +p4108 +tp4109 +a(g7 +V''' \u000a +p4110 +tp4111 +a(g822 +V +p4112 +tp4113 +a(g7 +V''' The name of the property to validate.\u000a +p4114 +tp4115 +a(g822 +V +p4116 +tp4117 +a(g7 +V''' The validation response object.\u000a +p4118 +tp4119 +a(g822 +V +p4120 +tp4121 +a(g7 +V''' The regular expression pattern to use for validation.\u000a +p4122 +tp4123 +a(g822 +V +p4124 +tp4125 +a(g7 +V''' Indicates if the address is required; False if not specified.\u000a +p4126 +tp4127 +a(g822 +V +p4128 +tp4129 +a(g740 +VPrivate +p4130 +tp4131 +a(g822 +g988 +tp4132 +a(g740 +VOverloads +p4133 +tp4134 +a(g822 +g988 +tp4135 +a(g740 +VSub +p4136 +tp4137 +a(g822 +g988 +tp4138 +a(g569 +VValidateAddresses +p4139 +tp4140 +a(g701 +g1311 +tp4141 +a(g740 +VByVal +p4142 +tp4143 +a(g822 +g988 +tp4144 +a(g431 +VpropertyName +p4145 +tp4146 +a(g822 +g988 +tp4147 +a(g422 +VAs +p4148 +tp4149 +a(g822 +g988 +tp4150 +a(g744 +VString +p4151 +tp4152 +a(g701 +g1127 +tp4153 +a(g822 +g988 +tp4154 +a(g740 +VByRef +p4155 +tp4156 +a(g822 +g988 +tp4157 +a(g431 +VretVal +p4158 +tp4159 +a(g822 +g988 +tp4160 +a(g422 +VAs +p4161 +tp4162 +a(g822 +g988 +tp4163 +a(g431 +VValidationResponse +p4164 +tp4165 +a(g701 +g1127 +tp4166 +a(g822 +g988 +tp4167 +a(g740 +VByVal +p4168 +tp4169 +a(g822 +g988 +tp4170 +a(g431 +VmailRegEx +p4171 +tp4172 +a(g822 +g988 +tp4173 +a(g422 +VAs +p4174 +tp4175 +a(g822 +g988 +tp4176 +a(g744 +VString +p4177 +tp4178 +a(g701 +g1127 +tp4179 +a(g822 +g988 +tp4180 +a(g740 +VByVal +p4181 +tp4182 +a(g822 +g988 +tp4183 +a(g431 +Vrequired +p4184 +tp4185 +a(g822 +g988 +tp4186 +a(g422 +VAs +p4187 +tp4188 +a(g822 +g988 +tp4189 +a(g744 +VBoolean +p4190 +tp4191 +a(g701 +g1313 +tp4192 +a(g822 +V\u000a\u000a +p4193 +tp4194 +a(g740 +VDim +p4195 +tp4196 +a(g822 +g988 +tp4197 +a(g431 +VemailAddresses +p4198 +tp4199 +a(g701 +g1311 +tp4200 +a(g701 +g1313 +tp4201 +a(g822 +g988 +tp4202 +a(g422 +VAs +p4203 +tp4204 +a(g822 +g988 +tp4205 +a(g744 +VString +p4206 +tp4207 +a(g822 +g988 +tp4208 +a(g408 +g1153 +tp4209 +a(g822 +g988 +tp4210 +a(g431 +VReflectionHelper +p4211 +tp4212 +a(g701 +g992 +tp4213 +a(g431 +VProperties +p4214 +tp4215 +a(g701 +g992 +tp4216 +a(g431 +VGetProperty +p4217 +tp4218 +a(g701 +g1311 +tp4219 +a(g740 +VOf +p4220 +tp4221 +a(g822 +g988 +tp4222 +a(g744 +VString +p4223 +tp4224 +a(g701 +g1311 +tp4225 +a(g701 +g1313 +tp4226 +a(g701 +g1313 +tp4227 +a(g701 +g1311 +tp4228 +a(g740 +VMe +p4229 +tp4230 +a(g701 +g1127 +tp4231 +a(g822 +g988 +tp4232 +a(g431 +VpropertyName +p4233 +tp4234 +a(g701 +g1313 +tp4235 +a(g822 +V\u000a\u000a +p4236 +tp4237 +a(g740 +VIf +p4238 +tp4239 +a(g822 +g988 +tp4240 +a(g431 +VemailAddresses +p4241 +tp4242 +a(g822 +g988 +tp4243 +a(g422 +VIs +p4244 +tp4245 +a(g822 +g988 +tp4246 +a(g740 +VNothing +p4247 +tp4248 +a(g822 +g988 +tp4249 +a(g422 +VOrElse +p4250 +tp4251 +a(g822 +g988 +tp4252 +a(g431 +VemailAddresses +p4253 +tp4254 +a(g701 +g992 +tp4255 +a(g431 +VLength +p4256 +tp4257 +a(g822 +g988 +tp4258 +a(g408 +g1153 +tp4259 +a(g822 +g988 +tp4260 +a(g32 +g1479 +tp4261 +a(g822 +g988 +tp4262 +a(g740 +VThen +p4263 +tp4264 +a(g822 +V\u000a +p4265 +tp4266 +a(g740 +VIf +p4267 +tp4268 +a(g822 +g988 +tp4269 +a(g431 +Vrequired +p4270 +tp4271 +a(g822 +g988 +tp4272 +a(g740 +VThen +p4273 +tp4274 +a(g822 +g988 +tp4275 +a(g431 +VretVal +p4276 +tp4277 +a(g701 +g992 +tp4278 +a(g431 +VAdd +p4279 +tp4280 +a(g701 +g1311 +tp4281 +a(g740 +VNew +p4282 +tp4283 +a(g822 +g988 +tp4284 +a(g431 +VKeyValuePair +p4285 +tp4286 +a(g701 +g1311 +tp4287 +a(g740 +VOf +p4288 +tp4289 +a(g822 +g988 +tp4290 +a(g744 +VString +p4291 +tp4292 +a(g701 +g1127 +tp4293 +a(g822 +g988 +tp4294 +a(g744 +VString +p4295 +tp4296 +a(g701 +g1313 +tp4297 +a(g701 +g1311 +tp4298 +a(g431 +VpropertyName +p4299 +tp4300 +a(g701 +g1127 +tp4301 +a(g822 +g988 +tp4302 +a(g744 +VString +p4303 +tp4304 +a(g701 +g992 +tp4305 +a(g431 +VFormat +p4306 +tp4307 +a(g701 +g1311 +tp4308 +a(g431 +VCultureInfo +p4309 +tp4310 +a(g701 +g992 +tp4311 +a(g431 +VCurrentCulture +p4312 +tp4313 +a(g701 +g1127 +tp4314 +a(g822 +g988 +tp4315 +a(g431 +VNullEmailAddressError +p4316 +tp4317 +a(g701 +g1313 +tp4318 +a(g701 +g1313 +tp4319 +a(g701 +g1313 +tp4320 +a(g822 +V\u000a +p4321 +tp4322 +a(g740 +VElse +p4323 +tp4324 +a(g822 +V\u000a +p4325 +tp4326 +a(g740 +VFor +p4327 +tp4328 +a(g822 +g988 +tp4329 +a(g431 +g1468 +tp4330 +a(g822 +g988 +tp4331 +a(g422 +VAs +p4332 +tp4333 +a(g822 +g988 +tp4334 +a(g744 +VInteger +p4335 +tp4336 +a(g822 +g988 +tp4337 +a(g408 +g1153 +tp4338 +a(g822 +g988 +tp4339 +a(g32 +g1479 +tp4340 +a(g822 +g988 +tp4341 +a(g740 +VTo +p4342 +tp4343 +a(g822 +g988 +tp4344 +a(g431 +VemailAddresses +p4345 +tp4346 +a(g701 +g992 +tp4347 +a(g431 +VLength +p4348 +tp4349 +a(g822 +g988 +tp4350 +a(g408 +g1491 +tp4351 +a(g822 +g988 +tp4352 +a(g32 +g1494 +tp4353 +a(g822 +V\u000a +p4354 +tp4355 +a(g740 +VIf +p4356 +tp4357 +a(g822 +g988 +tp4358 +a(g701 +g1311 +tp4359 +a(g740 +VNot +p4360 +tp4361 +a(g822 +g988 +tp4362 +a(g431 +VRegex +p4363 +tp4364 +a(g701 +g992 +tp4365 +a(g431 +VIsMatch +p4366 +tp4367 +a(g701 +g1311 +tp4368 +a(g431 +VemailAddresses +p4369 +tp4370 +a(g701 +g1311 +tp4371 +a(g431 +g1468 +tp4372 +a(g701 +g1313 +tp4373 +a(g701 +g1127 +tp4374 +a(g822 +g988 +tp4375 +a(g431 +VmailRegEx +p4376 +tp4377 +a(g701 +g1313 +tp4378 +a(g701 +g1313 +tp4379 +a(g822 +g988 +tp4380 +a(g740 +VThen +p4381 +tp4382 +a(g822 +V\u000a +p4383 +tp4384 +a(g431 +VretVal +p4385 +tp4386 +a(g701 +g992 +tp4387 +a(g431 +VAdd +p4388 +tp4389 +a(g701 +g1311 +tp4390 +a(g740 +VNew +p4391 +tp4392 +a(g822 +g988 +tp4393 +a(g431 +VKeyValuePair +p4394 +tp4395 +a(g701 +g1311 +tp4396 +a(g740 +VOf +p4397 +tp4398 +a(g822 +g988 +tp4399 +a(g744 +VString +p4400 +tp4401 +a(g701 +g1127 +tp4402 +a(g822 +g988 +tp4403 +a(g744 +VString +p4404 +tp4405 +a(g701 +g1313 +tp4406 +a(g701 +g1311 +tp4407 +a(g431 +VpropertyName +p4408 +tp4409 +a(g701 +g1127 +tp4410 +a(g822 +g988 +tp4411 +a(g744 +VString +p4412 +tp4413 +a(g701 +g992 +tp4414 +a(g431 +VFormat +p4415 +tp4416 +a(g701 +g1311 +tp4417 +a(g431 +VCultureInfo +p4418 +tp4419 +a(g701 +g992 +tp4420 +a(g431 +VCurrentCulture +p4421 +tp4422 +a(g701 +g1127 +tp4423 +a(g822 +g988 +tp4424 +a(g431 +VInvalidEmailAddressErrorWithAddress +p4425 +tp4426 +a(g701 +g1127 +tp4427 +a(g822 +g988 +tp4428 +a(g431 +VemailAddresses +p4429 +tp4430 +a(g701 +g1311 +tp4431 +a(g431 +g1468 +tp4432 +a(g701 +g1313 +tp4433 +a(g701 +g1313 +tp4434 +a(g701 +g1313 +tp4435 +a(g701 +g1313 +tp4436 +a(g822 +V\u000a +p4437 +tp4438 +a(g740 +VEnd +p4439 +tp4440 +a(g822 +g988 +tp4441 +a(g740 +VIf +p4442 +tp4443 +a(g822 +V\u000a +p4444 +tp4445 +a(g740 +VNext +p4446 +tp4447 +a(g822 +V\u000a +p4448 +tp4449 +a(g740 +VEnd +p4450 +tp4451 +a(g822 +g988 +tp4452 +a(g740 +VIf +p4453 +tp4454 +a(g822 +V\u000a\u000a +p4455 +tp4456 +a(g740 +VEnd +p4457 +tp4458 +a(g822 +g988 +tp4459 +a(g740 +VSub +p4460 +tp4461 +a(g822 +V\u000a\u000a +p4462 +tp4463 +a(g894 +V#End Region +p4464 +tp4465 +a(g822 +V\u000a\u000a +p4466 +tp4467 +a(g894 +V#Region " IDisposable Implementation "\u000a +p4468 +tp4469 +a(g822 +V\u000a +p4470 +tp4471 +a(g740 +VProtected +p4472 +tp4473 +a(g822 +g988 +tp4474 +a(g740 +VOverridable +p4475 +tp4476 +a(g822 +g988 +tp4477 +a(g740 +VSub +p4478 +tp4479 +a(g822 +g988 +tp4480 +a(g569 +VDispose +p4481 +tp4482 +a(g701 +g1311 +tp4483 +a(g740 +VByVal +p4484 +tp4485 +a(g822 +g988 +tp4486 +a(g431 +Vdisposing +p4487 +tp4488 +a(g822 +g988 +tp4489 +a(g422 +VAs +p4490 +tp4491 +a(g822 +g988 +tp4492 +a(g744 +VBoolean +p4493 +tp4494 +a(g701 +g1313 +tp4495 +a(g822 +V\u000a +p4496 +tp4497 +a(g740 +VIf +p4498 +tp4499 +a(g822 +g988 +tp4500 +a(g740 +VNot +p4501 +tp4502 +a(g822 +g988 +tp4503 +a(g740 +VMe +p4504 +tp4505 +a(g701 +g992 +tp4506 +a(g431 +VdisposedValue +p4507 +tp4508 +a(g822 +g988 +tp4509 +a(g740 +VThen +p4510 +tp4511 +a(g822 +V\u000a +p4512 +tp4513 +a(g740 +VIf +p4514 +tp4515 +a(g822 +g988 +tp4516 +a(g431 +Vdisposing +p4517 +tp4518 +a(g822 +g988 +tp4519 +a(g740 +VThen +p4520 +tp4521 +a(g822 +V\u000a +p4522 +tp4523 +a(g431 +V_message +p4524 +tp4525 +a(g701 +g992 +tp4526 +a(g431 +VDispose +p4527 +tp4528 +a(g701 +g1311 +tp4529 +a(g701 +g1313 +tp4530 +a(g822 +V\u000a +p4531 +tp4532 +a(g740 +VEnd +p4533 +tp4534 +a(g822 +g988 +tp4535 +a(g740 +VIf +p4536 +tp4537 +a(g822 +V\u000a +p4538 +tp4539 +a(g431 +V_mailClient +p4540 +tp4541 +a(g822 +g988 +tp4542 +a(g408 +g1153 +tp4543 +a(g822 +g988 +tp4544 +a(g740 +VNothing +p4545 +tp4546 +a(g822 +V\u000a +p4547 +tp4548 +a(g431 +V_message +p4549 +tp4550 +a(g822 +g988 +tp4551 +a(g408 +g1153 +tp4552 +a(g822 +g988 +tp4553 +a(g740 +VNothing +p4554 +tp4555 +a(g822 +V\u000a +p4556 +tp4557 +a(g740 +VEnd +p4558 +tp4559 +a(g822 +g988 +tp4560 +a(g740 +VIf +p4561 +tp4562 +a(g822 +V\u000a +p4563 +tp4564 +a(g740 +VMe +p4565 +tp4566 +a(g701 +g992 +tp4567 +a(g431 +VdisposedValue +p4568 +tp4569 +a(g822 +g988 +tp4570 +a(g408 +g1153 +tp4571 +a(g822 +g988 +tp4572 +a(g740 +VTrue +p4573 +tp4574 +a(g822 +V\u000a +p4575 +tp4576 +a(g740 +VEnd +p4577 +tp4578 +a(g822 +g988 +tp4579 +a(g740 +VSub +p4580 +tp4581 +a(g822 +V\u000a\u000a +p4582 +tp4583 +a(g740 +VPublic +p4584 +tp4585 +a(g822 +g988 +tp4586 +a(g740 +VSub +p4587 +tp4588 +a(g822 +g988 +tp4589 +a(g569 +VDispose +p4590 +tp4591 +a(g701 +g1311 +tp4592 +a(g701 +g1313 +tp4593 +a(g822 +g988 +tp4594 +a(g740 +VImplements +p4595 +tp4596 +a(g822 +g988 +tp4597 +a(g431 +VIDisposable +p4598 +tp4599 +a(g701 +g992 +tp4600 +a(g431 +VDispose +p4601 +tp4602 +a(g822 +V\u000a +p4603 +tp4604 +a(g7 +V' Do not change this code. Put cleanup code in Dispose(ByVal disposing As Boolean) above.\u000a +p4605 +tp4606 +a(g822 +V +p4607 +tp4608 +a(g431 +VDispose +p4609 +tp4610 +a(g701 +g1311 +tp4611 +a(g740 +VTrue +p4612 +tp4613 +a(g701 +g1313 +tp4614 +a(g822 +V\u000a +p4615 +tp4616 +a(g431 +VGC +p4617 +tp4618 +a(g701 +g992 +tp4619 +a(g431 +VSuppressFinalize +p4620 +tp4621 +a(g701 +g1311 +tp4622 +a(g740 +VMe +p4623 +tp4624 +a(g701 +g1313 +tp4625 +a(g822 +V\u000a +p4626 +tp4627 +a(g740 +VEnd +p4628 +tp4629 +a(g822 +g988 +tp4630 +a(g740 +VSub +p4631 +tp4632 +a(g822 +V\u000a\u000a +p4633 +tp4634 +a(g894 +V#End Region +p4635 +tp4636 +a(g822 +V\u000a\u000a +p4637 +tp4638 +a(g740 +VEnd +p4639 +tp4640 +a(g822 +g988 +tp4641 +a(g740 +VClass +p4642 +tp4643 +a(g822 +V\u000a\u000a +p4644 +tp4645 +a(g740 +VEnd +p4646 +tp4647 +a(g822 +g988 +tp4648 +a(g740 +VNamespace +p4649 +tp4650 +a(g822 +V\u000a +p4651 +tp4652 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.vhdl b/tests/examplefiles/output/test.vhdl new file mode 100644 index 0000000..50f5c2c --- /dev/null +++ b/tests/examplefiles/output/test.vhdl @@ -0,0 +1,7460 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVlibrary +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g608 +Vieee +p960 +tp961 +a(g693 +V; +p962 +tp963 +a(g826 +V\u000a +p964 +tp965 +a(g7 +Vuse +p966 +tp967 +a(g826 +g958 +tp968 +a(g608 +Vieee.std_logic_unsigned. +p969 +tp970 +a(g7 +Vall +p971 +tp972 +a(g693 +g962 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g7 +Vuse +p976 +tp977 +a(g826 +g958 +tp978 +a(g608 +Vieee.std_logic_1164. +p979 +tp980 +a(g7 +Vall +p981 +tp982 +a(g693 +g962 +tp983 +a(g826 +V \u000a +p984 +tp985 +a(g7 +Vuse +p986 +tp987 +a(g826 +g958 +tp988 +a(g608 +Vieee.numeric_std. +p989 +tp990 +a(g7 +Vall +p991 +tp992 +a(g693 +g962 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g7 +Ventity +p1000 +tp1001 +a(g826 +g958 +tp1002 +a(g616 +Vtop_testbench +p1003 +tp1004 +a(g826 +g958 +tp1005 +a(g7 +Vis +p1006 +tp1007 +a(g826 +g958 +tp1008 +a(g745 +V--test +p1009 +tp1010 +a(g826 +V\u000a +p1011 +tp1012 +a(g826 +V +p1013 +tp1014 +a(g7 +Vgeneric +p1015 +tp1016 +a(g826 +g958 +tp1017 +a(g693 +V( +p1018 +tp1019 +a(g826 +g958 +tp1020 +a(g745 +V-- test +p1021 +tp1022 +a(g826 +V\u000a +p1023 +tp1024 +a(g826 +V +p1025 +tp1026 +a(g423 +Vn +p1027 +tp1028 +a(g826 +g958 +tp1029 +a(g400 +V: +p1030 +tp1031 +a(g826 +g958 +tp1032 +a(g881 +Vinteger +p1033 +tp1034 +a(g826 +g958 +tp1035 +a(g400 +g1030 +tp1036 +a(g400 +V= +p1037 +tp1038 +a(g826 +g958 +tp1039 +a(g22 +V8 +p1040 +tp1041 +a(g826 +g958 +tp1042 +a(g745 +V-- test +p1043 +tp1044 +a(g826 +V\u000a +p1045 +tp1046 +a(g826 +g1013 +tp1047 +a(g693 +V) +p1048 +tp1049 +a(g693 +g962 +tp1050 +a(g826 +g958 +tp1051 +a(g745 +V-- test +p1052 +tp1053 +a(g826 +V\u000a +p1054 +tp1055 +a(g7 +Vend +p1056 +tp1057 +a(g826 +g958 +tp1058 +a(g616 +Vtop_testbench +p1059 +tp1060 +a(g693 +g962 +tp1061 +a(g826 +g958 +tp1062 +a(g745 +V-- test +p1063 +tp1064 +a(g826 +V\u000a +p1065 +tp1066 +a(g826 +V\u000a +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g7 +Varchitecture +p1071 +tp1072 +a(g826 +g958 +tp1073 +a(g616 +Vtop_testbench_arch +p1074 +tp1075 +a(g826 +g958 +tp1076 +a(g7 +Vof +p1077 +tp1078 +a(g826 +g958 +tp1079 +a(g616 +Vtop_testbench +p1080 +tp1081 +a(g826 +g958 +tp1082 +a(g7 +Vis +p1083 +tp1084 +a(g826 +V \u000a\u000a +p1085 +tp1086 +a(g7 +Vcomponent +p1087 +tp1088 +a(g826 +g958 +tp1089 +a(g616 +Vtop +p1090 +tp1091 +a(g826 +g958 +tp1092 +a(g7 +Vis +p1093 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g826 +V +p1097 +tp1098 +a(g7 +Vgeneric +p1099 +tp1100 +a(g826 +g958 +tp1101 +a(g693 +g1018 +tp1102 +a(g826 +V\u000a +p1103 +tp1104 +a(g826 +V +p1105 +tp1106 +a(g423 +g1027 +tp1107 +a(g826 +g958 +tp1108 +a(g400 +g1030 +tp1109 +a(g826 +g958 +tp1110 +a(g881 +Vinteger +p1111 +tp1112 +a(g826 +V\u000a +p1113 +tp1114 +a(g826 +V +p1115 +tp1116 +a(g693 +g1048 +tp1117 +a(g826 +V +p1118 +tp1119 +a(g693 +g962 +tp1120 +a(g826 +V\u000a +p1121 +tp1122 +a(g826 +V +p1123 +tp1124 +a(g7 +Vport +p1125 +tp1126 +a(g826 +g958 +tp1127 +a(g693 +g1018 +tp1128 +a(g826 +V\u000a +p1129 +tp1130 +a(g826 +V +p1131 +tp1132 +a(g423 +Vclk +p1133 +tp1134 +a(g826 +g958 +tp1135 +a(g400 +g1030 +tp1136 +a(g826 +g958 +tp1137 +a(g7 +Vin +p1138 +tp1139 +a(g826 +g958 +tp1140 +a(g881 +Vstd_logic +p1141 +tp1142 +a(g693 +g962 +tp1143 +a(g826 +V\u000a +p1144 +tp1145 +a(g826 +V +p1146 +tp1147 +a(g423 +Vrst +p1148 +tp1149 +a(g826 +g958 +tp1150 +a(g400 +g1030 +tp1151 +a(g826 +g958 +tp1152 +a(g7 +Vin +p1153 +tp1154 +a(g826 +g958 +tp1155 +a(g881 +Vstd_logic +p1156 +tp1157 +a(g693 +g962 +tp1158 +a(g826 +V\u000a +p1159 +tp1160 +a(g826 +V +p1161 +tp1162 +a(g423 +Vd1 +p1163 +tp1164 +a(g826 +g958 +tp1165 +a(g400 +g1030 +tp1166 +a(g826 +g958 +tp1167 +a(g7 +Vin +p1168 +tp1169 +a(g826 +g958 +tp1170 +a(g881 +Vstd_logic_vector +p1171 +tp1172 +a(g826 +g958 +tp1173 +a(g693 +g1018 +tp1174 +a(g423 +g1027 +tp1175 +a(g400 +V- +p1176 +tp1177 +a(g22 +V1 +p1178 +tp1179 +a(g826 +g958 +tp1180 +a(g7 +Vdownto +p1181 +tp1182 +a(g826 +g958 +tp1183 +a(g22 +V0 +p1184 +tp1185 +a(g693 +g1048 +tp1186 +a(g693 +g962 +tp1187 +a(g826 +V\u000a +p1188 +tp1189 +a(g826 +V +p1190 +tp1191 +a(g423 +Vd2 +p1192 +tp1193 +a(g826 +g958 +tp1194 +a(g400 +g1030 +tp1195 +a(g826 +g958 +tp1196 +a(g7 +Vin +p1197 +tp1198 +a(g826 +g958 +tp1199 +a(g881 +Vstd_logic_vector +p1200 +tp1201 +a(g826 +g958 +tp1202 +a(g693 +g1018 +tp1203 +a(g423 +g1027 +tp1204 +a(g400 +g1176 +tp1205 +a(g22 +g1178 +tp1206 +a(g826 +g958 +tp1207 +a(g7 +Vdownto +p1208 +tp1209 +a(g826 +g958 +tp1210 +a(g22 +g1184 +tp1211 +a(g693 +g1048 +tp1212 +a(g693 +g962 +tp1213 +a(g826 +V\u000a +p1214 +tp1215 +a(g826 +V +p1216 +tp1217 +a(g423 +Voperation +p1218 +tp1219 +a(g826 +g958 +tp1220 +a(g400 +g1030 +tp1221 +a(g826 +g958 +tp1222 +a(g7 +Vin +p1223 +tp1224 +a(g826 +g958 +tp1225 +a(g881 +Vstd_logic +p1226 +tp1227 +a(g693 +g962 +tp1228 +a(g826 +V\u000a +p1229 +tp1230 +a(g826 +V +p1231 +tp1232 +a(g423 +Vresult +p1233 +tp1234 +a(g826 +g958 +tp1235 +a(g400 +g1030 +tp1236 +a(g826 +g958 +tp1237 +a(g7 +Vout +p1238 +tp1239 +a(g826 +g958 +tp1240 +a(g881 +Vstd_logic_vector +p1241 +tp1242 +a(g826 +g958 +tp1243 +a(g693 +g1018 +tp1244 +a(g22 +V2 +p1245 +tp1246 +a(g400 +V* +p1247 +tp1248 +a(g423 +g1027 +tp1249 +a(g400 +g1176 +tp1250 +a(g22 +g1178 +tp1251 +a(g826 +g958 +tp1252 +a(g7 +Vdownto +p1253 +tp1254 +a(g826 +g958 +tp1255 +a(g22 +g1184 +tp1256 +a(g693 +g1048 +tp1257 +a(g826 +V\u000a +p1258 +tp1259 +a(g826 +V +p1260 +tp1261 +a(g693 +g1048 +tp1262 +a(g693 +g962 +tp1263 +a(g826 +V\u000a +p1264 +tp1265 +a(g826 +V +p1266 +tp1267 +a(g7 +Vend +p1268 +tp1269 +a(g826 +g958 +tp1270 +a(g7 +Vcomponent +p1271 +tp1272 +a(g693 +g962 +tp1273 +a(g826 +V\u000a +p1274 +tp1275 +a(g826 +V\u000a +p1276 +tp1277 +a(g826 +V +p1278 +tp1279 +a(g7 +Vsignal +p1280 +tp1281 +a(g826 +g958 +tp1282 +a(g423 +Vclk +p1283 +tp1284 +a(g826 +g958 +tp1285 +a(g400 +g1030 +tp1286 +a(g826 +g958 +tp1287 +a(g881 +Vstd_logic +p1288 +tp1289 +a(g693 +g962 +tp1290 +a(g826 +V\u000a +p1291 +tp1292 +a(g826 +V +p1293 +tp1294 +a(g7 +Vsignal +p1295 +tp1296 +a(g826 +g958 +tp1297 +a(g423 +Vrst +p1298 +tp1299 +a(g826 +g958 +tp1300 +a(g400 +g1030 +tp1301 +a(g826 +g958 +tp1302 +a(g881 +Vstd_logic +p1303 +tp1304 +a(g693 +g962 +tp1305 +a(g826 +V\u000a +p1306 +tp1307 +a(g826 +g1013 +tp1308 +a(g7 +Vsignal +p1309 +tp1310 +a(g826 +g958 +tp1311 +a(g423 +Voperation +p1312 +tp1313 +a(g826 +g958 +tp1314 +a(g400 +g1030 +tp1315 +a(g826 +g958 +tp1316 +a(g881 +Vstd_logic +p1317 +tp1318 +a(g693 +g962 +tp1319 +a(g826 +V\u000a +p1320 +tp1321 +a(g826 +V +p1322 +tp1323 +a(g7 +Vsignal +p1324 +tp1325 +a(g826 +g958 +tp1326 +a(g423 +Vd1 +p1327 +tp1328 +a(g826 +g958 +tp1329 +a(g400 +g1030 +tp1330 +a(g826 +g958 +tp1331 +a(g881 +Vstd_logic_vector +p1332 +tp1333 +a(g826 +g958 +tp1334 +a(g693 +g1018 +tp1335 +a(g423 +g1027 +tp1336 +a(g400 +g1176 +tp1337 +a(g22 +g1178 +tp1338 +a(g826 +g958 +tp1339 +a(g7 +Vdownto +p1340 +tp1341 +a(g826 +g958 +tp1342 +a(g22 +g1184 +tp1343 +a(g693 +g1048 +tp1344 +a(g693 +g962 +tp1345 +a(g826 +V\u000a +p1346 +tp1347 +a(g826 +V +p1348 +tp1349 +a(g7 +Vsignal +p1350 +tp1351 +a(g826 +g958 +tp1352 +a(g423 +Vd2 +p1353 +tp1354 +a(g826 +g958 +tp1355 +a(g400 +g1030 +tp1356 +a(g826 +g958 +tp1357 +a(g881 +Vstd_logic_vector +p1358 +tp1359 +a(g826 +g958 +tp1360 +a(g693 +g1018 +tp1361 +a(g423 +g1027 +tp1362 +a(g400 +g1176 +tp1363 +a(g22 +g1178 +tp1364 +a(g826 +g958 +tp1365 +a(g7 +Vdownto +p1366 +tp1367 +a(g826 +g958 +tp1368 +a(g22 +g1184 +tp1369 +a(g693 +g1048 +tp1370 +a(g693 +g962 +tp1371 +a(g826 +V\u000a +p1372 +tp1373 +a(g826 +V +p1374 +tp1375 +a(g7 +Vsignal +p1376 +tp1377 +a(g826 +g958 +tp1378 +a(g423 +Vresult +p1379 +tp1380 +a(g826 +g958 +tp1381 +a(g400 +g1030 +tp1382 +a(g826 +g958 +tp1383 +a(g881 +Vstd_logic_vector +p1384 +tp1385 +a(g826 +g958 +tp1386 +a(g693 +g1018 +tp1387 +a(g22 +g1245 +tp1388 +a(g400 +g1247 +tp1389 +a(g423 +g1027 +tp1390 +a(g400 +g1176 +tp1391 +a(g22 +g1178 +tp1392 +a(g826 +g958 +tp1393 +a(g7 +Vdownto +p1394 +tp1395 +a(g826 +g958 +tp1396 +a(g22 +g1184 +tp1397 +a(g693 +g1048 +tp1398 +a(g693 +g962 +tp1399 +a(g826 +V\u000a +p1400 +tp1401 +a(g826 +V \u000a +p1402 +tp1403 +a(g7 +Vtype +p1404 +tp1405 +a(g826 +g958 +tp1406 +a(g423 +Vtest_type +p1407 +tp1408 +a(g826 +g958 +tp1409 +a(g7 +Vis +p1410 +tp1411 +a(g826 +g958 +tp1412 +a(g693 +g1018 +tp1413 +a(g826 +g958 +tp1414 +a(g423 +Va1 +p1415 +tp1416 +a(g693 +V, +p1417 +tp1418 +a(g826 +g958 +tp1419 +a(g423 +Va2 +p1420 +tp1421 +a(g693 +g1417 +tp1422 +a(g826 +g958 +tp1423 +a(g423 +Va3 +p1424 +tp1425 +a(g693 +g1417 +tp1426 +a(g826 +g958 +tp1427 +a(g423 +Va4 +p1428 +tp1429 +a(g693 +g1417 +tp1430 +a(g826 +g958 +tp1431 +a(g423 +Va5 +p1432 +tp1433 +a(g693 +g1417 +tp1434 +a(g826 +g958 +tp1435 +a(g423 +Va6 +p1436 +tp1437 +a(g693 +g1417 +tp1438 +a(g826 +g958 +tp1439 +a(g423 +Va7 +p1440 +tp1441 +a(g693 +g1417 +tp1442 +a(g826 +g958 +tp1443 +a(g423 +Va8 +p1444 +tp1445 +a(g693 +g1417 +tp1446 +a(g826 +g958 +tp1447 +a(g423 +Va9 +p1448 +tp1449 +a(g693 +g1417 +tp1450 +a(g826 +g958 +tp1451 +a(g423 +Va10 +p1452 +tp1453 +a(g693 +g1048 +tp1454 +a(g693 +g962 +tp1455 +a(g826 +V\u000a +p1456 +tp1457 +a(g826 +V +p1458 +tp1459 +a(g7 +Vattribute +p1460 +tp1461 +a(g826 +g958 +tp1462 +a(g423 +Venum_encoding +p1463 +tp1464 +a(g826 +g958 +tp1465 +a(g7 +Vof +p1466 +tp1467 +a(g826 +g958 +tp1468 +a(g423 +Vmy_state +p1469 +tp1470 +a(g826 +g958 +tp1471 +a(g400 +g1030 +tp1472 +a(g826 +g958 +tp1473 +a(g7 +Vtype +p1474 +tp1475 +a(g826 +g958 +tp1476 +a(g7 +Vis +p1477 +tp1478 +a(g826 +g958 +tp1479 +a(g76 +V"001 010 011 100 111" +p1480 +tp1481 +a(g693 +g962 +tp1482 +a(g826 +V\u000a +p1483 +tp1484 +a(g7 +Vbegin +p1485 +tp1486 +a(g826 +V\u000a +p1487 +tp1488 +a(g826 +V\u000a +p1489 +tp1490 +a(g826 +V +p1491 +tp1492 +a(g423 +VTESTUNIT +p1493 +tp1494 +a(g826 +g958 +tp1495 +a(g400 +g1030 +tp1496 +a(g826 +g958 +tp1497 +a(g423 +Vtop +p1498 +tp1499 +a(g826 +g958 +tp1500 +a(g7 +Vgeneric +p1501 +tp1502 +a(g826 +g958 +tp1503 +a(g7 +Vmap +p1504 +tp1505 +a(g826 +g958 +tp1506 +a(g693 +g1018 +tp1507 +a(g423 +g1027 +tp1508 +a(g826 +g958 +tp1509 +a(g400 +g1037 +tp1510 +a(g400 +V> +p1511 +tp1512 +a(g826 +g958 +tp1513 +a(g423 +g1027 +tp1514 +a(g693 +g1048 +tp1515 +a(g826 +V\u000a +p1516 +tp1517 +a(g826 +V +p1518 +tp1519 +a(g7 +Vport +p1520 +tp1521 +a(g826 +g958 +tp1522 +a(g7 +Vmap +p1523 +tp1524 +a(g826 +g958 +tp1525 +a(g693 +g1018 +tp1526 +a(g423 +Vclk +p1527 +tp1528 +a(g826 +g958 +tp1529 +a(g400 +g1037 +tp1530 +a(g400 +g1511 +tp1531 +a(g826 +g958 +tp1532 +a(g423 +Vclk +p1533 +tp1534 +a(g693 +g1417 +tp1535 +a(g826 +V\u000a +p1536 +tp1537 +a(g826 +V +p1538 +tp1539 +a(g423 +Vrst +p1540 +tp1541 +a(g826 +g958 +tp1542 +a(g400 +g1037 +tp1543 +a(g400 +g1511 +tp1544 +a(g826 +g958 +tp1545 +a(g423 +Vrst +p1546 +tp1547 +a(g693 +g1417 +tp1548 +a(g826 +V\u000a +p1549 +tp1550 +a(g826 +V +p1551 +tp1552 +a(g423 +Vd1 +p1553 +tp1554 +a(g826 +V +p1555 +tp1556 +a(g400 +g1037 +tp1557 +a(g400 +g1511 +tp1558 +a(g826 +g958 +tp1559 +a(g423 +Vd1 +p1560 +tp1561 +a(g693 +g1417 +tp1562 +a(g826 +V\u000a +p1563 +tp1564 +a(g826 +V +p1565 +tp1566 +a(g423 +Vd2 +p1567 +tp1568 +a(g826 +V +p1569 +tp1570 +a(g400 +g1037 +tp1571 +a(g400 +g1511 +tp1572 +a(g826 +g958 +tp1573 +a(g423 +Vd2 +p1574 +tp1575 +a(g693 +g1417 +tp1576 +a(g826 +V\u000a +p1577 +tp1578 +a(g826 +V +p1579 +tp1580 +a(g423 +Voperation +p1581 +tp1582 +a(g826 +g958 +tp1583 +a(g400 +g1037 +tp1584 +a(g400 +g1511 +tp1585 +a(g826 +g958 +tp1586 +a(g423 +Voperation +p1587 +tp1588 +a(g693 +g1417 +tp1589 +a(g826 +V\u000a +p1590 +tp1591 +a(g826 +V +p1592 +tp1593 +a(g423 +Vresult +p1594 +tp1595 +a(g826 +g958 +tp1596 +a(g400 +g1037 +tp1597 +a(g400 +g1511 +tp1598 +a(g826 +g958 +tp1599 +a(g423 +Vresult +p1600 +tp1601 +a(g693 +g1048 +tp1602 +a(g693 +g962 +tp1603 +a(g826 +V\u000a +p1604 +tp1605 +a(g826 +V\u000a +p1606 +tp1607 +a(g826 +V +p1608 +tp1609 +a(g423 +Vclock_process +p1610 +tp1611 +a(g826 +g958 +tp1612 +a(g400 +g1030 +tp1613 +a(g826 +g958 +tp1614 +a(g7 +Vprocess +p1615 +tp1616 +a(g826 +V\u000a +p1617 +tp1618 +a(g826 +V +p1619 +tp1620 +a(g7 +Vbegin +p1621 +tp1622 +a(g826 +V\u000a +p1623 +tp1624 +a(g826 +V +p1625 +tp1626 +a(g423 +Vclk +p1627 +tp1628 +a(g826 +g958 +tp1629 +a(g400 +V< +p1630 +tp1631 +a(g400 +g1037 +tp1632 +a(g826 +g958 +tp1633 +a(g80 +V'0' +p1634 +tp1635 +a(g693 +g962 +tp1636 +a(g826 +V\u000a +p1637 +tp1638 +a(g826 +V +p1639 +tp1640 +a(g7 +Vwait +p1641 +tp1642 +a(g826 +g958 +tp1643 +a(g7 +Vfor +p1644 +tp1645 +a(g826 +g958 +tp1646 +a(g22 +V5 +p1647 +tp1648 +a(g826 +g958 +tp1649 +a(g423 +Vns +p1650 +tp1651 +a(g693 +g962 +tp1652 +a(g826 +V\u000a +p1653 +tp1654 +a(g826 +V +p1655 +tp1656 +a(g423 +Vclk +p1657 +tp1658 +a(g826 +g958 +tp1659 +a(g400 +g1630 +tp1660 +a(g400 +g1037 +tp1661 +a(g826 +g958 +tp1662 +a(g80 +V'1' +p1663 +tp1664 +a(g693 +g962 +tp1665 +a(g826 +V\u000a +p1666 +tp1667 +a(g826 +V +p1668 +tp1669 +a(g7 +Vwait +p1670 +tp1671 +a(g826 +g958 +tp1672 +a(g7 +Vfor +p1673 +tp1674 +a(g826 +g958 +tp1675 +a(g22 +g1647 +tp1676 +a(g826 +g958 +tp1677 +a(g423 +Vns +p1678 +tp1679 +a(g693 +g962 +tp1680 +a(g826 +V\u000a +p1681 +tp1682 +a(g826 +V +p1683 +tp1684 +a(g7 +Vend +p1685 +tp1686 +a(g826 +g958 +tp1687 +a(g7 +Vprocess +p1688 +tp1689 +a(g693 +g962 +tp1690 +a(g826 +V\u000a +p1691 +tp1692 +a(g826 +V\u000a +p1693 +tp1694 +a(g826 +V +p1695 +tp1696 +a(g423 +Vdata_process +p1697 +tp1698 +a(g826 +g958 +tp1699 +a(g400 +g1030 +tp1700 +a(g826 +g958 +tp1701 +a(g7 +Vprocess +p1702 +tp1703 +a(g826 +V\u000a +p1704 +tp1705 +a(g826 +V +p1706 +tp1707 +a(g7 +Vbegin +p1708 +tp1709 +a(g826 +V \u000a \u000a +p1710 +tp1711 +a(g745 +V-- test case #1 +p1712 +tp1713 +a(g826 +V\u000a +p1714 +tp1715 +a(g826 +V +p1716 +tp1717 +a(g423 +Voperation +p1718 +tp1719 +a(g826 +g958 +tp1720 +a(g400 +g1630 +tp1721 +a(g400 +g1037 +tp1722 +a(g826 +g958 +tp1723 +a(g80 +V'0' +p1724 +tp1725 +a(g693 +g962 +tp1726 +a(g826 +V\u000a +p1727 +tp1728 +a(g826 +V \u000a +p1729 +tp1730 +a(g423 +Vrst +p1731 +tp1732 +a(g826 +g958 +tp1733 +a(g400 +g1630 +tp1734 +a(g400 +g1037 +tp1735 +a(g826 +g958 +tp1736 +a(g80 +V'1' +p1737 +tp1738 +a(g693 +g962 +tp1739 +a(g826 +V\u000a +p1740 +tp1741 +a(g826 +V +p1742 +tp1743 +a(g7 +Vwait +p1744 +tp1745 +a(g826 +g958 +tp1746 +a(g7 +Vfor +p1747 +tp1748 +a(g826 +g958 +tp1749 +a(g22 +g1647 +tp1750 +a(g826 +g958 +tp1751 +a(g423 +Vns +p1752 +tp1753 +a(g693 +g962 +tp1754 +a(g826 +V\u000a +p1755 +tp1756 +a(g826 +V +p1757 +tp1758 +a(g423 +Vrst +p1759 +tp1760 +a(g826 +g958 +tp1761 +a(g400 +g1630 +tp1762 +a(g400 +g1037 +tp1763 +a(g826 +g958 +tp1764 +a(g80 +V'0' +p1765 +tp1766 +a(g693 +g962 +tp1767 +a(g826 +V\u000a +p1768 +tp1769 +a(g826 +V +p1770 +tp1771 +a(g7 +Vwait +p1772 +tp1773 +a(g826 +g958 +tp1774 +a(g7 +Vfor +p1775 +tp1776 +a(g826 +g958 +tp1777 +a(g22 +g1647 +tp1778 +a(g826 +g958 +tp1779 +a(g423 +Vns +p1780 +tp1781 +a(g693 +g962 +tp1782 +a(g826 +V\u000a +p1783 +tp1784 +a(g826 +V \u000a +p1785 +tp1786 +a(g423 +Vd1 +p1787 +tp1788 +a(g826 +g958 +tp1789 +a(g400 +g1630 +tp1790 +a(g400 +g1037 +tp1791 +a(g826 +g958 +tp1792 +a(g881 +Vstd_logic_vector +p1793 +tp1794 +a(g693 +g1018 +tp1795 +a(g423 +Vto_unsigned +p1796 +tp1797 +a(g693 +g1018 +tp1798 +a(g22 +V60 +p1799 +tp1800 +a(g693 +g1417 +tp1801 +a(g826 +g958 +tp1802 +a(g423 +Vd1 +p1803 +tp1804 +a(g654 +V'length +p1805 +tp1806 +a(g693 +g1048 +tp1807 +a(g693 +g1048 +tp1808 +a(g693 +g962 +tp1809 +a(g826 +V\u000a +p1810 +tp1811 +a(g826 +V +p1812 +tp1813 +a(g423 +Vd2 +p1814 +tp1815 +a(g826 +g958 +tp1816 +a(g400 +g1630 +tp1817 +a(g400 +g1037 +tp1818 +a(g826 +g958 +tp1819 +a(g881 +Vstd_logic_vector +p1820 +tp1821 +a(g693 +g1018 +tp1822 +a(g423 +Vto_unsigned +p1823 +tp1824 +a(g693 +g1018 +tp1825 +a(g22 +V12 +p1826 +tp1827 +a(g693 +g1417 +tp1828 +a(g826 +g958 +tp1829 +a(g423 +Vd2 +p1830 +tp1831 +a(g654 +V'length +p1832 +tp1833 +a(g693 +g1048 +tp1834 +a(g693 +g1048 +tp1835 +a(g693 +g962 +tp1836 +a(g826 +V\u000a +p1837 +tp1838 +a(g826 +V +p1839 +tp1840 +a(g7 +Vwait +p1841 +tp1842 +a(g826 +g958 +tp1843 +a(g7 +Vfor +p1844 +tp1845 +a(g826 +g958 +tp1846 +a(g22 +V360 +p1847 +tp1848 +a(g826 +g958 +tp1849 +a(g423 +Vns +p1850 +tp1851 +a(g693 +g962 +tp1852 +a(g826 +V\u000a +p1853 +tp1854 +a(g826 +V \u000a +p1855 +tp1856 +a(g7 +Vassert +p1857 +tp1858 +a(g826 +g958 +tp1859 +a(g693 +g1018 +tp1860 +a(g423 +Vresult +p1861 +tp1862 +a(g826 +g958 +tp1863 +a(g400 +g1037 +tp1864 +a(g826 +g958 +tp1865 +a(g881 +Vstd_logic_vector +p1866 +tp1867 +a(g693 +g1018 +tp1868 +a(g423 +Vto_unsigned +p1869 +tp1870 +a(g693 +g1018 +tp1871 +a(g22 +V720 +p1872 +tp1873 +a(g693 +g1417 +tp1874 +a(g826 +g958 +tp1875 +a(g423 +Vresult +p1876 +tp1877 +a(g654 +V'length +p1878 +tp1879 +a(g693 +g1048 +tp1880 +a(g693 +g1048 +tp1881 +a(g693 +g1048 +tp1882 +a(g826 +V\u000a +p1883 +tp1884 +a(g826 +V +p1885 +tp1886 +a(g423 +Vreport +p1887 +tp1888 +a(g826 +g958 +tp1889 +a(g76 +V"Test case #1 failed" +p1890 +tp1891 +a(g826 +g958 +tp1892 +a(g7 +Vseverity +p1893 +tp1894 +a(g826 +g958 +tp1895 +a(g423 +Verror +p1896 +tp1897 +a(g693 +g962 +tp1898 +a(g826 +V \u000a \u000a +p1899 +tp1900 +a(g745 +V-- test case #2 +p1901 +tp1902 +a(g826 +V\u000a +p1903 +tp1904 +a(g826 +V +p1905 +tp1906 +a(g423 +Voperation +p1907 +tp1908 +a(g826 +g958 +tp1909 +a(g400 +g1630 +tp1910 +a(g400 +g1037 +tp1911 +a(g826 +g958 +tp1912 +a(g80 +V'0' +p1913 +tp1914 +a(g693 +g962 +tp1915 +a(g826 +V\u000a +p1916 +tp1917 +a(g826 +V \u000a +p1918 +tp1919 +a(g423 +Vrst +p1920 +tp1921 +a(g826 +g958 +tp1922 +a(g400 +g1630 +tp1923 +a(g400 +g1037 +tp1924 +a(g826 +g958 +tp1925 +a(g80 +V'1' +p1926 +tp1927 +a(g693 +g962 +tp1928 +a(g826 +V\u000a +p1929 +tp1930 +a(g826 +V +p1931 +tp1932 +a(g7 +Vwait +p1933 +tp1934 +a(g826 +g958 +tp1935 +a(g7 +Vfor +p1936 +tp1937 +a(g826 +g958 +tp1938 +a(g22 +g1647 +tp1939 +a(g826 +g958 +tp1940 +a(g423 +Vns +p1941 +tp1942 +a(g693 +g962 +tp1943 +a(g826 +V\u000a +p1944 +tp1945 +a(g826 +V +p1946 +tp1947 +a(g423 +Vrst +p1948 +tp1949 +a(g826 +g958 +tp1950 +a(g400 +g1630 +tp1951 +a(g400 +g1037 +tp1952 +a(g826 +g958 +tp1953 +a(g80 +V'0' +p1954 +tp1955 +a(g693 +g962 +tp1956 +a(g826 +V\u000a +p1957 +tp1958 +a(g826 +V +p1959 +tp1960 +a(g7 +Vwait +p1961 +tp1962 +a(g826 +g958 +tp1963 +a(g7 +Vfor +p1964 +tp1965 +a(g826 +g958 +tp1966 +a(g22 +g1647 +tp1967 +a(g826 +g958 +tp1968 +a(g423 +Vns +p1969 +tp1970 +a(g693 +g962 +tp1971 +a(g826 +V\u000a +p1972 +tp1973 +a(g826 +V \u000a +p1974 +tp1975 +a(g423 +Vd1 +p1976 +tp1977 +a(g826 +g958 +tp1978 +a(g400 +g1630 +tp1979 +a(g400 +g1037 +tp1980 +a(g826 +g958 +tp1981 +a(g881 +Vstd_logic_vector +p1982 +tp1983 +a(g693 +g1018 +tp1984 +a(g423 +Vto_unsigned +p1985 +tp1986 +a(g693 +g1018 +tp1987 +a(g22 +V55 +p1988 +tp1989 +a(g693 +g1417 +tp1990 +a(g826 +g958 +tp1991 +a(g423 +Vd1 +p1992 +tp1993 +a(g654 +V'length +p1994 +tp1995 +a(g693 +g1048 +tp1996 +a(g693 +g1048 +tp1997 +a(g693 +g962 +tp1998 +a(g826 +V\u000a +p1999 +tp2000 +a(g826 +V +p2001 +tp2002 +a(g423 +Vd2 +p2003 +tp2004 +a(g826 +g958 +tp2005 +a(g400 +g1630 +tp2006 +a(g400 +g1037 +tp2007 +a(g826 +g958 +tp2008 +a(g881 +Vstd_logic_vector +p2009 +tp2010 +a(g693 +g1018 +tp2011 +a(g423 +Vto_unsigned +p2012 +tp2013 +a(g693 +g1018 +tp2014 +a(g22 +g1178 +tp2015 +a(g693 +g1417 +tp2016 +a(g826 +g958 +tp2017 +a(g423 +Vd2 +p2018 +tp2019 +a(g654 +V'length +p2020 +tp2021 +a(g693 +g1048 +tp2022 +a(g693 +g1048 +tp2023 +a(g693 +g962 +tp2024 +a(g826 +V\u000a +p2025 +tp2026 +a(g826 +V +p2027 +tp2028 +a(g7 +Vwait +p2029 +tp2030 +a(g826 +g958 +tp2031 +a(g7 +Vfor +p2032 +tp2033 +a(g826 +g958 +tp2034 +a(g22 +V360 +p2035 +tp2036 +a(g826 +g958 +tp2037 +a(g423 +Vns +p2038 +tp2039 +a(g693 +g962 +tp2040 +a(g826 +V\u000a +p2041 +tp2042 +a(g826 +V \u000a +p2043 +tp2044 +a(g7 +Vassert +p2045 +tp2046 +a(g826 +g958 +tp2047 +a(g693 +g1018 +tp2048 +a(g423 +Vresult +p2049 +tp2050 +a(g826 +g958 +tp2051 +a(g400 +g1037 +tp2052 +a(g826 +g958 +tp2053 +a(g881 +Vstd_logic_vector +p2054 +tp2055 +a(g693 +g1018 +tp2056 +a(g423 +Vto_unsigned +p2057 +tp2058 +a(g693 +g1018 +tp2059 +a(g22 +V55 +p2060 +tp2061 +a(g693 +g1417 +tp2062 +a(g826 +g958 +tp2063 +a(g423 +Vresult +p2064 +tp2065 +a(g654 +V'length +p2066 +tp2067 +a(g693 +g1048 +tp2068 +a(g693 +g1048 +tp2069 +a(g693 +g1048 +tp2070 +a(g826 +V\u000a +p2071 +tp2072 +a(g826 +V +p2073 +tp2074 +a(g423 +Vreport +p2075 +tp2076 +a(g826 +g958 +tp2077 +a(g76 +V"Test case #2 failed" +p2078 +tp2079 +a(g826 +g958 +tp2080 +a(g7 +Vseverity +p2081 +tp2082 +a(g826 +g958 +tp2083 +a(g423 +Verror +p2084 +tp2085 +a(g693 +g962 +tp2086 +a(g826 +V\u000a +p2087 +tp2088 +a(g826 +V \u000a +p2089 +tp2090 +a(g745 +V-- etc +p2091 +tp2092 +a(g826 +V\u000a +p2093 +tp2094 +a(g826 +V \u000a +p2095 +tp2096 +a(g7 +Vend +p2097 +tp2098 +a(g826 +g958 +tp2099 +a(g7 +Vprocess +p2100 +tp2101 +a(g693 +g962 +tp2102 +a(g826 +V\u000a +p2103 +tp2104 +a(g826 +V\u000a +p2105 +tp2106 +a(g7 +Vend +p2107 +tp2108 +a(g826 +g958 +tp2109 +a(g616 +Vtop_testbench_arch +p2110 +tp2111 +a(g693 +g962 +tp2112 +a(g826 +V\u000a +p2113 +tp2114 +a(g826 +V\u000a +p2115 +tp2116 +a(g826 +V\u000a +p2117 +tp2118 +a(g7 +Vconfiguration +p2119 +tp2120 +a(g826 +g958 +tp2121 +a(g616 +Vtestbench_for_top +p2122 +tp2123 +a(g826 +g958 +tp2124 +a(g7 +Vof +p2125 +tp2126 +a(g826 +g958 +tp2127 +a(g616 +Vtop_testbench +p2128 +tp2129 +a(g826 +g958 +tp2130 +a(g7 +Vis +p2131 +tp2132 +a(g826 +V\u000a +p2133 +tp2134 +a(g826 +g1013 +tp2135 +a(g7 +Vfor +p2136 +tp2137 +a(g826 +g958 +tp2138 +a(g423 +Vtop_testbench_arch +p2139 +tp2140 +a(g826 +V\u000a +p2141 +tp2142 +a(g826 +V +p2143 +tp2144 +a(g7 +Vfor +p2145 +tp2146 +a(g826 +g958 +tp2147 +a(g423 +VTESTUNIT +p2148 +tp2149 +a(g826 +g958 +tp2150 +a(g400 +g1030 +tp2151 +a(g826 +g958 +tp2152 +a(g423 +Vtop +p2153 +tp2154 +a(g826 +V\u000a +p2155 +tp2156 +a(g826 +V +p2157 +tp2158 +a(g7 +Vuse +p2159 +tp2160 +a(g826 +g958 +tp2161 +a(g7 +Ventity +p2162 +tp2163 +a(g826 +g958 +tp2164 +a(g608 +Vwork +p2165 +tp2166 +a(g693 +V. +p2167 +tp2168 +a(g423 +Vtop +p2169 +tp2170 +a(g693 +g1018 +tp2171 +a(g423 +Vtop_arch +p2172 +tp2173 +a(g693 +g1048 +tp2174 +a(g693 +g962 +tp2175 +a(g826 +V\u000a +p2176 +tp2177 +a(g826 +V +p2178 +tp2179 +a(g7 +Vend +p2180 +tp2181 +a(g826 +g958 +tp2182 +a(g7 +Vfor +p2183 +tp2184 +a(g693 +g962 +tp2185 +a(g826 +V\u000a +p2186 +tp2187 +a(g826 +g1013 +tp2188 +a(g7 +Vend +p2189 +tp2190 +a(g826 +g958 +tp2191 +a(g7 +Vfor +p2192 +tp2193 +a(g693 +g962 +tp2194 +a(g826 +V\u000a +p2195 +tp2196 +a(g7 +Vend +p2197 +tp2198 +a(g826 +g958 +tp2199 +a(g616 +Vtestbench_for_top +p2200 +tp2201 +a(g693 +g962 +tp2202 +a(g826 +V\u000a +p2203 +tp2204 +a(g826 +V\u000a +p2205 +tp2206 +a(g826 +V\u000a +p2207 +tp2208 +a(g7 +Vfunction +p2209 +tp2210 +a(g826 +g958 +tp2211 +a(g423 +Vcompare +p2212 +tp2213 +a(g693 +g1018 +tp2214 +a(g423 +VA +p2215 +tp2216 +a(g400 +g1030 +tp2217 +a(g826 +g958 +tp2218 +a(g881 +Vstd_logic +p2219 +tp2220 +a(g693 +g1417 +tp2221 +a(g826 +g958 +tp2222 +a(g423 +VB +p2223 +tp2224 +a(g400 +g1030 +tp2225 +a(g826 +g958 +tp2226 +a(g881 +Vstd_Logic +p2227 +tp2228 +a(g693 +g1048 +tp2229 +a(g826 +g958 +tp2230 +a(g7 +Vreturn +p2231 +tp2232 +a(g826 +g958 +tp2233 +a(g881 +Vstd_logic +p2234 +tp2235 +a(g826 +g958 +tp2236 +a(g7 +Vis +p2237 +tp2238 +a(g826 +V\u000a +p2239 +tp2240 +a(g826 +V +p2241 +tp2242 +a(g7 +Vconstant +p2243 +tp2244 +a(g826 +g958 +tp2245 +a(g423 +Vpi +p2246 +tp2247 +a(g826 +g958 +tp2248 +a(g400 +g1030 +tp2249 +a(g826 +g958 +tp2250 +a(g423 +Vreal +p2251 +tp2252 +a(g826 +g958 +tp2253 +a(g400 +g1030 +tp2254 +a(g400 +g1037 +tp2255 +a(g826 +g958 +tp2256 +a(g22 +V3 +p2257 +tp2258 +a(g693 +g2167 +tp2259 +a(g22 +V14159 +p2260 +tp2261 +a(g693 +g962 +tp2262 +a(g826 +V\u000a +p2263 +tp2264 +a(g826 +V +p2265 +tp2266 +a(g7 +Vconstant +p2267 +tp2268 +a(g826 +g958 +tp2269 +a(g423 +Vhalf_pi +p2270 +tp2271 +a(g826 +g958 +tp2272 +a(g400 +g1030 +tp2273 +a(g826 +g958 +tp2274 +a(g423 +Vreal +p2275 +tp2276 +a(g826 +g958 +tp2277 +a(g400 +g1030 +tp2278 +a(g400 +g1037 +tp2279 +a(g826 +g958 +tp2280 +a(g423 +Vpi +p2281 +tp2282 +a(g826 +g958 +tp2283 +a(g400 +V/ +p2284 +tp2285 +a(g826 +g958 +tp2286 +a(g22 +g1245 +tp2287 +a(g693 +g2167 +tp2288 +a(g22 +g1184 +tp2289 +a(g693 +g962 +tp2290 +a(g826 +V\u000a +p2291 +tp2292 +a(g826 +V +p2293 +tp2294 +a(g7 +Vconstant +p2295 +tp2296 +a(g826 +g958 +tp2297 +a(g423 +Vcycle_time +p2298 +tp2299 +a(g826 +g958 +tp2300 +a(g400 +g1030 +tp2301 +a(g826 +g958 +tp2302 +a(g881 +Vtime +p2303 +tp2304 +a(g826 +g958 +tp2305 +a(g400 +g1030 +tp2306 +a(g400 +g1037 +tp2307 +a(g826 +g958 +tp2308 +a(g22 +g1245 +tp2309 +a(g826 +g958 +tp2310 +a(g423 +Vns +p2311 +tp2312 +a(g693 +g962 +tp2313 +a(g826 +V\u000a +p2314 +tp2315 +a(g826 +V +p2316 +tp2317 +a(g7 +Vconstant +p2318 +tp2319 +a(g826 +g958 +tp2320 +a(g423 +VN +p2321 +tp2322 +a(g693 +g1417 +tp2323 +a(g826 +g958 +tp2324 +a(g423 +VN5 +p2325 +tp2326 +a(g826 +g958 +tp2327 +a(g400 +g1030 +tp2328 +a(g826 +g958 +tp2329 +a(g881 +Vinteger +p2330 +tp2331 +a(g826 +g958 +tp2332 +a(g400 +g1030 +tp2333 +a(g400 +g1037 +tp2334 +a(g826 +g958 +tp2335 +a(g22 +g1647 +tp2336 +a(g693 +g962 +tp2337 +a(g826 +V\u000a +p2338 +tp2339 +a(g7 +Vbegin +p2340 +tp2341 +a(g826 +V\u000a +p2342 +tp2343 +a(g826 +V +p2344 +tp2345 +a(g7 +Vif +p2346 +tp2347 +a(g826 +g958 +tp2348 +a(g693 +g1018 +tp2349 +a(g423 +g2215 +tp2350 +a(g826 +g958 +tp2351 +a(g400 +g1037 +tp2352 +a(g826 +g958 +tp2353 +a(g80 +V'0' +p2354 +tp2355 +a(g826 +g958 +tp2356 +a(g7 +Vand +p2357 +tp2358 +a(g826 +g958 +tp2359 +a(g423 +g2223 +tp2360 +a(g826 +g958 +tp2361 +a(g400 +g1037 +tp2362 +a(g826 +g958 +tp2363 +a(g80 +V'1' +p2364 +tp2365 +a(g693 +g1048 +tp2366 +a(g826 +g958 +tp2367 +a(g7 +Vthen +p2368 +tp2369 +a(g826 +V\u000a +p2370 +tp2371 +a(g826 +V +p2372 +tp2373 +a(g7 +Vreturn +p2374 +tp2375 +a(g826 +g958 +tp2376 +a(g423 +g2223 +tp2377 +a(g693 +g962 +tp2378 +a(g826 +V\u000a +p2379 +tp2380 +a(g826 +V +p2381 +tp2382 +a(g7 +Velse +p2383 +tp2384 +a(g826 +V\u000a +p2385 +tp2386 +a(g826 +V +p2387 +tp2388 +a(g7 +Vreturn +p2389 +tp2390 +a(g826 +g958 +tp2391 +a(g423 +g2215 +tp2392 +a(g693 +g962 +tp2393 +a(g826 +V\u000a +p2394 +tp2395 +a(g826 +V +p2396 +tp2397 +a(g7 +Vend +p2398 +tp2399 +a(g826 +g958 +tp2400 +a(g7 +Vif +p2401 +tp2402 +a(g826 +g958 +tp2403 +a(g693 +g962 +tp2404 +a(g826 +V\u000a +p2405 +tp2406 +a(g7 +Vend +p2407 +tp2408 +a(g826 +g958 +tp2409 +a(g616 +Vcompare +p2410 +tp2411 +a(g693 +g962 +tp2412 +a(g826 +V\u000a +p2413 +tp2414 +a(g826 +V\u000a +p2415 +tp2416 +a(g826 +V\u000a +p2417 +tp2418 +a(g7 +Vprocedure +p2419 +tp2420 +a(g826 +g958 +tp2421 +a(g423 +Vprint +p2422 +tp2423 +a(g693 +g1018 +tp2424 +a(g423 +VP +p2425 +tp2426 +a(g826 +g958 +tp2427 +a(g400 +g1030 +tp2428 +a(g826 +g958 +tp2429 +a(g881 +Vstd_logic_vector +p2430 +tp2431 +a(g693 +g1018 +tp2432 +a(g22 +V7 +p2433 +tp2434 +a(g826 +g958 +tp2435 +a(g7 +Vdownto +p2436 +tp2437 +a(g826 +g958 +tp2438 +a(g22 +g1184 +tp2439 +a(g693 +g1048 +tp2440 +a(g693 +g962 +tp2441 +a(g826 +V\u000a +p2442 +tp2443 +a(g826 +V +p2444 +tp2445 +a(g423 +VU +p2446 +tp2447 +a(g826 +g958 +tp2448 +a(g400 +g1030 +tp2449 +a(g826 +g958 +tp2450 +a(g881 +Vstd_logic_vector +p2451 +tp2452 +a(g693 +g1018 +tp2453 +a(g22 +g2257 +tp2454 +a(g826 +g958 +tp2455 +a(g7 +Vdownto +p2456 +tp2457 +a(g826 +g958 +tp2458 +a(g22 +g1184 +tp2459 +a(g693 +g1048 +tp2460 +a(g693 +g1048 +tp2461 +a(g826 +g958 +tp2462 +a(g7 +Vis +p2463 +tp2464 +a(g826 +V\u000a +p2465 +tp2466 +a(g826 +V +p2467 +tp2468 +a(g7 +Vvariable +p2469 +tp2470 +a(g826 +g958 +tp2471 +a(g423 +Vmy_line +p2472 +tp2473 +a(g826 +g958 +tp2474 +a(g400 +g1030 +tp2475 +a(g826 +g958 +tp2476 +a(g423 +Vline +p2477 +tp2478 +a(g693 +g962 +tp2479 +a(g826 +V\u000a +p2480 +tp2481 +a(g826 +V +p2482 +tp2483 +a(g7 +Valias +p2484 +tp2485 +a(g826 +g958 +tp2486 +a(g423 +Vswrite +p2487 +tp2488 +a(g826 +g958 +tp2489 +a(g7 +Vis +p2490 +tp2491 +a(g826 +g958 +tp2492 +a(g423 +Vwrite +p2493 +tp2494 +a(g826 +g958 +tp2495 +a(g693 +V[ +p2496 +tp2497 +a(g423 +Vline +p2498 +tp2499 +a(g693 +g1417 +tp2500 +a(g826 +g958 +tp2501 +a(g881 +Vstring +p2502 +tp2503 +a(g693 +g1417 +tp2504 +a(g826 +g958 +tp2505 +a(g423 +Vside +p2506 +tp2507 +a(g693 +g1417 +tp2508 +a(g826 +g958 +tp2509 +a(g423 +Vwidth +p2510 +tp2511 +a(g693 +V] +p2512 +tp2513 +a(g826 +g958 +tp2514 +a(g693 +g962 +tp2515 +a(g826 +V\u000a +p2516 +tp2517 +a(g7 +Vbegin +p2518 +tp2519 +a(g826 +V\u000a +p2520 +tp2521 +a(g826 +V +p2522 +tp2523 +a(g423 +Vswrite +p2524 +tp2525 +a(g693 +g1018 +tp2526 +a(g423 +Vmy_line +p2527 +tp2528 +a(g693 +g1417 +tp2529 +a(g826 +g958 +tp2530 +a(g76 +V"sqrt( " +p2531 +tp2532 +a(g693 +g1048 +tp2533 +a(g693 +g962 +tp2534 +a(g826 +V\u000a +p2535 +tp2536 +a(g826 +V +p2537 +tp2538 +a(g423 +Vwrite +p2539 +tp2540 +a(g693 +g1018 +tp2541 +a(g423 +Vmy_line +p2542 +tp2543 +a(g693 +g1417 +tp2544 +a(g826 +g958 +tp2545 +a(g423 +g2425 +tp2546 +a(g693 +g1048 +tp2547 +a(g693 +g962 +tp2548 +a(g826 +V\u000a +p2549 +tp2550 +a(g826 +V +p2551 +tp2552 +a(g423 +Vswrite +p2553 +tp2554 +a(g693 +g1018 +tp2555 +a(g423 +Vmy_line +p2556 +tp2557 +a(g693 +g1417 +tp2558 +a(g826 +g958 +tp2559 +a(g76 +V" )= " +p2560 +tp2561 +a(g693 +g1048 +tp2562 +a(g693 +g962 +tp2563 +a(g826 +V\u000a +p2564 +tp2565 +a(g826 +V +p2566 +tp2567 +a(g423 +Vwrite +p2568 +tp2569 +a(g693 +g1018 +tp2570 +a(g423 +Vmy_line +p2571 +tp2572 +a(g693 +g1417 +tp2573 +a(g826 +g958 +tp2574 +a(g423 +g2446 +tp2575 +a(g693 +g1048 +tp2576 +a(g693 +g962 +tp2577 +a(g826 +V\u000a +p2578 +tp2579 +a(g826 +V +p2580 +tp2581 +a(g423 +Vwriteline +p2582 +tp2583 +a(g693 +g1018 +tp2584 +a(g423 +Voutput +p2585 +tp2586 +a(g693 +g1417 +tp2587 +a(g826 +g958 +tp2588 +a(g423 +Vmy_line +p2589 +tp2590 +a(g693 +g1048 +tp2591 +a(g693 +g962 +tp2592 +a(g826 +V\u000a +p2593 +tp2594 +a(g7 +Vend +p2595 +tp2596 +a(g826 +g958 +tp2597 +a(g616 +Vprint +p2598 +tp2599 +a(g693 +g962 +tp2600 +a(g826 +V\u000a +p2601 +tp2602 +a(g826 +V\u000a +p2603 +tp2604 +a(g826 +V\u000a +p2605 +tp2606 +a(g7 +Ventity +p2607 +tp2608 +a(g826 +g958 +tp2609 +a(g616 +Vadd32csa +p2610 +tp2611 +a(g826 +g958 +tp2612 +a(g7 +Vis +p2613 +tp2614 +a(g826 +V +p2615 +tp2616 +a(g745 +V-- one stage of carry save adder for multiplier +p2617 +tp2618 +a(g826 +V\u000a +p2619 +tp2620 +a(g826 +V +p2621 +tp2622 +a(g7 +Vport +p2623 +tp2624 +a(g693 +g1018 +tp2625 +a(g826 +V\u000a +p2626 +tp2627 +a(g826 +V +p2628 +tp2629 +a(g423 +Vb +p2630 +tp2631 +a(g826 +V +p2632 +tp2633 +a(g400 +g1030 +tp2634 +a(g826 +g958 +tp2635 +a(g7 +Vin +p2636 +tp2637 +a(g826 +V +p2638 +tp2639 +a(g881 +Vstd_logic +p2640 +tp2641 +a(g693 +g962 +tp2642 +a(g826 +V +p2643 +tp2644 +a(g745 +V-- a multiplier bit +p2645 +tp2646 +a(g826 +V\u000a +p2647 +tp2648 +a(g826 +V +p2649 +tp2650 +a(g423 +Va +p2651 +tp2652 +a(g826 +V +p2653 +tp2654 +a(g400 +g1030 +tp2655 +a(g826 +g958 +tp2656 +a(g7 +Vin +p2657 +tp2658 +a(g826 +V +p2659 +tp2660 +a(g881 +Vstd_logic_vector +p2661 +tp2662 +a(g693 +g1018 +tp2663 +a(g22 +V31 +p2664 +tp2665 +a(g826 +g958 +tp2666 +a(g7 +Vdownto +p2667 +tp2668 +a(g826 +g958 +tp2669 +a(g22 +g1184 +tp2670 +a(g693 +g1048 +tp2671 +a(g693 +g962 +tp2672 +a(g826 +V +p2673 +tp2674 +a(g745 +V-- multiplicand +p2675 +tp2676 +a(g826 +V\u000a +p2677 +tp2678 +a(g826 +V +p2679 +tp2680 +a(g423 +Vsum_in +p2681 +tp2682 +a(g826 +V +p2683 +tp2684 +a(g400 +g1030 +tp2685 +a(g826 +g958 +tp2686 +a(g7 +Vin +p2687 +tp2688 +a(g826 +V +p2689 +tp2690 +a(g881 +Vstd_logic_vector +p2691 +tp2692 +a(g693 +g1018 +tp2693 +a(g22 +V31 +p2694 +tp2695 +a(g826 +g958 +tp2696 +a(g7 +Vdownto +p2697 +tp2698 +a(g826 +g958 +tp2699 +a(g22 +g1184 +tp2700 +a(g693 +g1048 +tp2701 +a(g693 +g962 +tp2702 +a(g826 +V +p2703 +tp2704 +a(g745 +V-- sums from previous stage +p2705 +tp2706 +a(g826 +V\u000a +p2707 +tp2708 +a(g826 +V +p2709 +tp2710 +a(g423 +Vcin +p2711 +tp2712 +a(g826 +V +p2713 +tp2714 +a(g400 +g1030 +tp2715 +a(g826 +g958 +tp2716 +a(g7 +Vin +p2717 +tp2718 +a(g826 +V +p2719 +tp2720 +a(g881 +Vstd_logic_vector +p2721 +tp2722 +a(g693 +g1018 +tp2723 +a(g22 +V31 +p2724 +tp2725 +a(g826 +g958 +tp2726 +a(g7 +Vdownto +p2727 +tp2728 +a(g826 +g958 +tp2729 +a(g22 +g1184 +tp2730 +a(g693 +g1048 +tp2731 +a(g693 +g962 +tp2732 +a(g826 +V +p2733 +tp2734 +a(g745 +V-- carrys from previous stage +p2735 +tp2736 +a(g826 +V\u000a +p2737 +tp2738 +a(g826 +V +p2739 +tp2740 +a(g423 +Vsum_out +p2741 +tp2742 +a(g826 +g958 +tp2743 +a(g400 +g1030 +tp2744 +a(g826 +g958 +tp2745 +a(g7 +Vout +p2746 +tp2747 +a(g826 +g958 +tp2748 +a(g881 +Vstd_logic_vector +p2749 +tp2750 +a(g693 +g1018 +tp2751 +a(g22 +V31 +p2752 +tp2753 +a(g826 +g958 +tp2754 +a(g7 +Vdownto +p2755 +tp2756 +a(g826 +g958 +tp2757 +a(g22 +g1184 +tp2758 +a(g693 +g1048 +tp2759 +a(g693 +g962 +tp2760 +a(g826 +V +p2761 +tp2762 +a(g745 +V-- sums to next stage +p2763 +tp2764 +a(g826 +V\u000a +p2765 +tp2766 +a(g826 +V +p2767 +tp2768 +a(g423 +Vcout +p2769 +tp2770 +a(g826 +V +p2771 +tp2772 +a(g400 +g1030 +tp2773 +a(g826 +g958 +tp2774 +a(g7 +Vout +p2775 +tp2776 +a(g826 +g958 +tp2777 +a(g881 +Vstd_logic_vector +p2778 +tp2779 +a(g693 +g1018 +tp2780 +a(g22 +V31 +p2781 +tp2782 +a(g826 +g958 +tp2783 +a(g7 +Vdownto +p2784 +tp2785 +a(g826 +g958 +tp2786 +a(g22 +g1184 +tp2787 +a(g693 +g1048 +tp2788 +a(g693 +g1048 +tp2789 +a(g693 +g962 +tp2790 +a(g826 +g958 +tp2791 +a(g745 +V-- carrys to next stage +p2792 +tp2793 +a(g826 +V\u000a +p2794 +tp2795 +a(g7 +Vend +p2796 +tp2797 +a(g826 +g958 +tp2798 +a(g616 +Vadd32csa +p2799 +tp2800 +a(g693 +g962 +tp2801 +a(g826 +V\u000a +p2802 +tp2803 +a(g826 +V\u000a +p2804 +tp2805 +a(g826 +V\u000a +p2806 +tp2807 +a(g7 +VARCHITECTURE +p2808 +tp2809 +a(g826 +g958 +tp2810 +a(g616 +Vcircuits +p2811 +tp2812 +a(g826 +g958 +tp2813 +a(g7 +Vof +p2814 +tp2815 +a(g826 +g958 +tp2816 +a(g616 +Vadd32csa +p2817 +tp2818 +a(g826 +g958 +tp2819 +a(g7 +VIS +p2820 +tp2821 +a(g826 +V\u000a +p2822 +tp2823 +a(g826 +V +p2824 +tp2825 +a(g7 +VSIGNAL +p2826 +tp2827 +a(g826 +g958 +tp2828 +a(g423 +Vzero +p2829 +tp2830 +a(g826 +g958 +tp2831 +a(g400 +g1030 +tp2832 +a(g826 +g958 +tp2833 +a(g881 +VSTD_LOGIC_VECTOR +p2834 +tp2835 +a(g693 +g1018 +tp2836 +a(g22 +V31 +p2837 +tp2838 +a(g826 +g958 +tp2839 +a(g7 +Vdownto +p2840 +tp2841 +a(g826 +g958 +tp2842 +a(g22 +g1184 +tp2843 +a(g693 +g1048 +tp2844 +a(g826 +g958 +tp2845 +a(g400 +g1030 +tp2846 +a(g400 +g1037 +tp2847 +a(g826 +g958 +tp2848 +a(g285 +VX"00000000" +p2849 +tp2850 +a(g693 +g962 +tp2851 +a(g826 +V\u000a +p2852 +tp2853 +a(g826 +V +p2854 +tp2855 +a(g7 +VSIGNAL +p2856 +tp2857 +a(g826 +g958 +tp2858 +a(g423 +Vaa +p2859 +tp2860 +a(g826 +g958 +tp2861 +a(g400 +g1030 +tp2862 +a(g826 +g958 +tp2863 +a(g881 +Vstd_logic_vector +p2864 +tp2865 +a(g693 +g1018 +tp2866 +a(g22 +V31 +p2867 +tp2868 +a(g826 +g958 +tp2869 +a(g7 +Vdownto +p2870 +tp2871 +a(g826 +g958 +tp2872 +a(g22 +g1184 +tp2873 +a(g693 +g1048 +tp2874 +a(g826 +g958 +tp2875 +a(g400 +g1030 +tp2876 +a(g400 +g1037 +tp2877 +a(g826 +g958 +tp2878 +a(g285 +VX"00000000" +p2879 +tp2880 +a(g693 +g962 +tp2881 +a(g826 +V\u000a +p2882 +tp2883 +a(g826 +V \u000a +p2884 +tp2885 +a(g7 +VCOMPONENT +p2886 +tp2887 +a(g826 +g958 +tp2888 +a(g616 +Vfadd +p2889 +tp2890 +a(g826 +V +p2891 +tp2892 +a(g745 +V-- duplicates entity port +p2893 +tp2894 +a(g826 +V\u000a +p2895 +tp2896 +a(g826 +V +p2897 +tp2898 +a(g7 +VPoRT +p2899 +tp2900 +a(g693 +g1018 +tp2901 +a(g423 +g2651 +tp2902 +a(g826 +V +p2903 +tp2904 +a(g400 +g1030 +tp2905 +a(g826 +g958 +tp2906 +a(g7 +Vin +p2907 +tp2908 +a(g826 +V +p2909 +tp2910 +a(g881 +Vstd_logic +p2911 +tp2912 +a(g693 +g962 +tp2913 +a(g826 +V\u000a +p2914 +tp2915 +a(g826 +V +p2916 +tp2917 +a(g423 +g2630 +tp2918 +a(g826 +V +p2919 +tp2920 +a(g400 +g1030 +tp2921 +a(g826 +g958 +tp2922 +a(g7 +Vin +p2923 +tp2924 +a(g826 +V +p2925 +tp2926 +a(g881 +Vstd_logic +p2927 +tp2928 +a(g693 +g962 +tp2929 +a(g826 +V\u000a +p2930 +tp2931 +a(g826 +V +p2932 +tp2933 +a(g423 +Vcin +p2934 +tp2935 +a(g826 +V +p2936 +tp2937 +a(g400 +g1030 +tp2938 +a(g826 +g958 +tp2939 +a(g7 +Vin +p2940 +tp2941 +a(g826 +V +p2942 +tp2943 +a(g881 +Vstd_logic +p2944 +tp2945 +a(g693 +g962 +tp2946 +a(g826 +V\u000a +p2947 +tp2948 +a(g826 +V +p2949 +tp2950 +a(g423 +Vs +p2951 +tp2952 +a(g826 +V +p2953 +tp2954 +a(g400 +g1030 +tp2955 +a(g826 +g958 +tp2956 +a(g7 +Vout +p2957 +tp2958 +a(g826 +g958 +tp2959 +a(g881 +Vstd_logic +p2960 +tp2961 +a(g693 +g962 +tp2962 +a(g826 +V\u000a +p2963 +tp2964 +a(g826 +V +p2965 +tp2966 +a(g423 +Vcout +p2967 +tp2968 +a(g826 +g958 +tp2969 +a(g400 +g1030 +tp2970 +a(g826 +g958 +tp2971 +a(g7 +Vout +p2972 +tp2973 +a(g826 +g958 +tp2974 +a(g881 +Vstd_logic +p2975 +tp2976 +a(g693 +g1048 +tp2977 +a(g693 +g962 +tp2978 +a(g826 +V\u000a +p2979 +tp2980 +a(g826 +V +p2981 +tp2982 +a(g7 +Vend +p2983 +tp2984 +a(g826 +g958 +tp2985 +a(g7 +VcomPonent +p2986 +tp2987 +a(g826 +g958 +tp2988 +a(g616 +Vfadd +p2989 +tp2990 +a(g693 +g962 +tp2991 +a(g826 +V\u000a +p2992 +tp2993 +a(g826 +V \u000a +p2994 +tp2995 +a(g7 +Vbegin +p2996 +tp2997 +a(g826 +V +p2998 +tp2999 +a(g745 +V-- circuits of add32csa +p3000 +tp3001 +a(g826 +V\u000a +p3002 +tp3003 +a(g826 +V +p3004 +tp3005 +a(g423 +Vaa +p3006 +tp3007 +a(g826 +g958 +tp3008 +a(g400 +g1630 +tp3009 +a(g400 +g1037 +tp3010 +a(g826 +g958 +tp3011 +a(g423 +g2651 +tp3012 +a(g826 +g958 +tp3013 +a(g7 +Vwhen +p3014 +tp3015 +a(g826 +g958 +tp3016 +a(g423 +g2630 +tp3017 +a(g400 +g1037 +tp3018 +a(g80 +V'1' +p3019 +tp3020 +a(g826 +g958 +tp3021 +a(g7 +Velse +p3022 +tp3023 +a(g826 +g958 +tp3024 +a(g423 +Vzero +p3025 +tp3026 +a(g826 +g958 +tp3027 +a(g7 +Vafter +p3028 +tp3029 +a(g826 +g958 +tp3030 +a(g22 +g1178 +tp3031 +a(g826 +g958 +tp3032 +a(g423 +Vns +p3033 +tp3034 +a(g693 +g962 +tp3035 +a(g826 +V\u000a +p3036 +tp3037 +a(g826 +V +p3038 +tp3039 +a(g616 +Vstage +p3040 +tp3041 +a(g400 +g1030 +tp3042 +a(g826 +g958 +tp3043 +a(g7 +Vfor +p3044 +tp3045 +a(g826 +g958 +tp3046 +a(g423 +VI +p3047 +tp3048 +a(g826 +g958 +tp3049 +a(g7 +Vin +p3050 +tp3051 +a(g826 +g958 +tp3052 +a(g22 +g1184 +tp3053 +a(g826 +g958 +tp3054 +a(g7 +Vto +p3055 +tp3056 +a(g826 +g958 +tp3057 +a(g22 +V31 +p3058 +tp3059 +a(g826 +g958 +tp3060 +a(g7 +Vgenerate +p3061 +tp3062 +a(g826 +V\u000a +p3063 +tp3064 +a(g826 +V +p3065 +tp3066 +a(g423 +Vsta +p3067 +tp3068 +a(g400 +g1030 +tp3069 +a(g826 +g958 +tp3070 +a(g423 +Vfadd +p3071 +tp3072 +a(g826 +g958 +tp3073 +a(g7 +Vport +p3074 +tp3075 +a(g826 +g958 +tp3076 +a(g7 +Vmap +p3077 +tp3078 +a(g693 +g1018 +tp3079 +a(g423 +Vaa +p3080 +tp3081 +a(g693 +g1018 +tp3082 +a(g423 +g3047 +tp3083 +a(g693 +g1048 +tp3084 +a(g693 +g1417 +tp3085 +a(g826 +g958 +tp3086 +a(g423 +Vsum_in +p3087 +tp3088 +a(g693 +g1018 +tp3089 +a(g423 +g3047 +tp3090 +a(g693 +g1048 +tp3091 +a(g693 +g1417 +tp3092 +a(g826 +g958 +tp3093 +a(g423 +Vcin +p3094 +tp3095 +a(g693 +g1018 +tp3096 +a(g423 +g3047 +tp3097 +a(g693 +g1048 +tp3098 +a(g826 +g958 +tp3099 +a(g693 +g1417 +tp3100 +a(g826 +g958 +tp3101 +a(g423 +Vsum_out +p3102 +tp3103 +a(g693 +g1018 +tp3104 +a(g423 +g3047 +tp3105 +a(g693 +g1048 +tp3106 +a(g693 +g1417 +tp3107 +a(g826 +g958 +tp3108 +a(g423 +Vcout +p3109 +tp3110 +a(g693 +g1018 +tp3111 +a(g423 +g3047 +tp3112 +a(g693 +g1048 +tp3113 +a(g693 +g1048 +tp3114 +a(g693 +g962 +tp3115 +a(g826 +V\u000a +p3116 +tp3117 +a(g826 +V +p3118 +tp3119 +a(g7 +Vend +p3120 +tp3121 +a(g826 +g958 +tp3122 +a(g7 +Vgenerate +p3123 +tp3124 +a(g826 +g958 +tp3125 +a(g616 +Vstage +p3126 +tp3127 +a(g693 +g962 +tp3128 +a(g826 +V \u000a +p3129 +tp3130 +a(g7 +Vend +p3131 +tp3132 +a(g826 +g958 +tp3133 +a(g7 +Varchitecture +p3134 +tp3135 +a(g826 +g958 +tp3136 +a(g616 +Vcircuits +p3137 +tp3138 +a(g693 +g962 +tp3139 +a(g826 +g958 +tp3140 +a(g745 +V-- of add32csa +p3141 +tp3142 +a(g826 +V\u000a +p3143 +tp3144 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.xqy b/tests/examplefiles/output/test.xqy new file mode 100644 index 0000000..067c970 --- /dev/null +++ b/tests/examplefiles/output/test.xqy @@ -0,0 +1,8082 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV(: +p956 +tp957 +a(g7 +V +p958 +tp959 +a(g7 +Vm +p960 +tp961 +a(g7 +Va +p962 +tp963 +a(g7 +Vd +p964 +tp965 +a(g7 +Ve +p966 +tp967 +a(g7 +g958 +tp968 +a(g7 +Vu +p969 +tp970 +a(g7 +Vp +p971 +tp972 +a(g7 +g958 +tp973 +a(g7 +Vf +p974 +tp975 +a(g7 +g969 +tp976 +a(g7 +Vn +p977 +tp978 +a(g7 +Vc +p979 +tp980 +a(g7 +Vt +p981 +tp982 +a(g7 +Vi +p983 +tp984 +a(g7 +Vo +p985 +tp986 +a(g7 +g977 +tp987 +a(g7 +Vs +p988 +tp989 +a(g7 +V, +p990 +tp991 +a(g7 +g958 +tp992 +a(g7 +g966 +tp993 +a(g7 +g981 +tp994 +a(g7 +g979 +tp995 +a(g7 +g958 +tp996 +a(g7 +Vj +p997 +tp998 +a(g7 +g969 +tp999 +a(g7 +g988 +tp1000 +a(g7 +g981 +tp1001 +a(g7 +g958 +tp1002 +a(g7 +g981 +tp1003 +a(g7 +g985 +tp1004 +a(g7 +g958 +tp1005 +a(g7 +g981 +tp1006 +a(g7 +g966 +tp1007 +a(g7 +g988 +tp1008 +a(g7 +g981 +tp1009 +a(g7 +g958 +tp1010 +a(g7 +Vx +p1011 +tp1012 +a(g7 +Vq +p1013 +tp1014 +a(g7 +g969 +tp1015 +a(g7 +g966 +tp1016 +a(g7 +Vr +p1017 +tp1018 +a(g7 +Vy +p1019 +tp1020 +a(g7 +g958 +tp1021 +a(g7 +g971 +tp1022 +a(g7 +g962 +tp1023 +a(g7 +g1017 +tp1024 +a(g7 +g988 +tp1025 +a(g7 +g983 +tp1026 +a(g7 +g977 +tp1027 +a(g7 +Vg +p1028 +tp1029 +a(g7 +g958 +tp1030 +a(g7 +V(: +p1031 +tp1032 +a(g7 +g958 +tp1033 +a(g7 +g966 +tp1034 +a(g7 +Vv +p1035 +tp1036 +a(g7 +g966 +tp1037 +a(g7 +g977 +tp1038 +a(g7 +g958 +tp1039 +a(g7 +g966 +tp1040 +a(g7 +g960 +tp1041 +a(g7 +Vb +p1042 +tp1043 +a(g7 +g966 +tp1044 +a(g7 +g964 +tp1045 +a(g7 +g964 +tp1046 +a(g7 +g966 +tp1047 +a(g7 +g964 +tp1048 +a(g7 +g958 +tp1049 +a(g7 +g979 +tp1050 +a(g7 +g985 +tp1051 +a(g7 +g960 +tp1052 +a(g7 +g960 +tp1053 +a(g7 +g966 +tp1054 +a(g7 +g977 +tp1055 +a(g7 +g981 +tp1056 +a(g7 +g988 +tp1057 +a(g7 +V\u000a +p1058 +tp1059 +a(g7 +g985 +tp1060 +a(g7 +g977 +tp1061 +a(g7 +g958 +tp1062 +a(g7 +g960 +tp1063 +a(g7 +g969 +tp1064 +a(g7 +Vl +p1065 +tp1066 +a(g7 +g981 +tp1067 +a(g7 +g983 +tp1068 +a(g7 +g971 +tp1069 +a(g7 +g1065 +tp1070 +a(g7 +g966 +tp1071 +a(g7 +g958 +tp1072 +a(g7 +V:) +p1073 +tp1074 +a(g7 +V\u000a +p1075 +tp1076 +a(g7 +g1065 +tp1077 +a(g7 +g983 +tp1078 +a(g7 +g977 +tp1079 +a(g7 +g966 +tp1080 +a(g7 +g988 +tp1081 +a(g7 +V\u000a +p1082 +tp1083 +a(g7 +V:) +p1084 +tp1085 +a(g822 +V\u000a +p1086 +tp1087 +a(g781 +Vxquery +p1088 +tp1089 +a(g822 +g958 +tp1090 +a(g781 +Vversion +p1091 +tp1092 +a(g822 +g958 +tp1093 +a(g152 +V"1.0" +p1094 +tp1095 +a(g701 +V; +p1096 +tp1097 +a(g822 +V\u000a\u000a +p1098 +tp1099 +a(g805 +Vmodule +p1100 +tp1101 +a(g822 +g958 +tp1102 +a(g805 +Vnamespace +p1103 +tp1104 +a(g822 +g958 +tp1105 +a(g616 +Vxqueryexample +p1106 +tp1107 +a(g822 +g958 +tp1108 +a(g152 +V"http://example.com/namespace" +p1109 +tp1110 +a(g701 +g1096 +tp1111 +a(g822 +V\u000a +p1112 +tp1113 +a(g781 +Vimport +p1114 +tp1115 +a(g822 +g958 +tp1116 +a(g781 +Vmodule +p1117 +tp1118 +a(g822 +g958 +tp1119 +a(g740 +Vnamespace +p1120 +tp1121 +a(g822 +g958 +tp1122 +a(g616 +Vimportedns +p1123 +tp1124 +a(g822 +g958 +tp1125 +a(g408 +V= +p1126 +tp1127 +a(g822 +g958 +tp1128 +a(g152 +V"http://example.com/ns/imported" +p1129 +tp1130 +a(g822 +g958 +tp1131 +a(g740 +Vat +p1132 +tp1133 +a(g822 +g958 +tp1134 +a(g152 +V"no/such/file.xqy" +p1135 +tp1136 +a(g701 +g1096 +tp1137 +a(g822 +V\u000a\u000a +p1138 +tp1139 +a(g805 +Vdeclare +p1140 +tp1141 +a(g822 +g958 +tp1142 +a(g805 +Vnamespace +p1143 +tp1144 +a(g822 +g958 +tp1145 +a(g616 +Vsess +p1146 +tp1147 +a(g822 +g958 +tp1148 +a(g408 +g1126 +tp1149 +a(g822 +g958 +tp1150 +a(g152 +V"com.example.session" +p1151 +tp1152 +a(g701 +g1096 +tp1153 +a(g822 +V\u000a\u000a +p1154 +tp1155 +a(g805 +Vdeclare +p1156 +tp1157 +a(g822 +g958 +tp1158 +a(g805 +Vvariable +p1159 +tp1160 +a(g822 +g958 +tp1161 +a(g436 +V$ +p1162 +tp1163 +a(g431 +Vamazing +p1164 +tp1165 +a(g822 +g958 +tp1166 +a(g408 +V:= +p1167 +tp1168 +a(g822 +g958 +tp1169 +a(g152 +V"awesome" +p1170 +tp1171 +a(g701 +g1096 +tp1172 +a(g822 +V\u000a +p1173 +tp1174 +a(g805 +Vdeclare +p1175 +tp1176 +a(g822 +g958 +tp1177 +a(g805 +Vvariable +p1178 +tp1179 +a(g822 +g958 +tp1180 +a(g436 +g1162 +tp1181 +a(g431 +VSESSIONS +p1182 +tp1183 +a(g822 +g958 +tp1184 +a(g740 +Vas +p1185 +tp1186 +a(g822 +g958 +tp1187 +a(g553 +Velement +p1188 +tp1189 +a(g822 +V +p1190 +tp1191 +a(g701 +V( +p1192 +tp1193 +a(g431 +Vsess:session +p1194 +tp1195 +a(g701 +V) +p1196 +tp1197 +a(g408 +V* +p1198 +tp1199 +a(g822 +g958 +tp1200 +a(g408 +V:= +p1201 +tp1202 +a(g822 +g958 +tp1203 +a(g569 +Vc:sessions +p1204 +tp1205 +a(g701 +g1192 +tp1206 +a(g701 +g1196 +tp1207 +a(g701 +g1096 +tp1208 +a(g822 +V\u000a\u000a +p1209 +tp1210 +a(g805 +Vdeclare +p1211 +tp1212 +a(g822 +g958 +tp1213 +a(g805 +Voption +p1214 +tp1215 +a(g822 +g958 +tp1216 +a(g436 +Vsess:clear +p1217 +tp1218 +a(g822 +g958 +tp1219 +a(g152 +V"false" +p1220 +tp1221 +a(g701 +g1096 +tp1222 +a(g822 +V\u000a\u000a +p1223 +tp1224 +a(g805 +Vdefine +p1225 +tp1226 +a(g822 +g958 +tp1227 +a(g805 +Vfunction +p1228 +tp1229 +a(g822 +g958 +tp1230 +a(g569 +Vwhatsit +p1231 +tp1232 +a(g701 +g1192 +tp1233 +a(g436 +g1162 +tp1234 +a(g431 +Vparam +p1235 +tp1236 +a(g822 +g958 +tp1237 +a(g740 +Vas +p1238 +tp1239 +a(g822 +g958 +tp1240 +a(g744 +Vxs:string +p1241 +tp1242 +a(g701 +g1196 +tp1243 +a(g822 +g958 +tp1244 +a(g740 +Vas +p1245 +tp1246 +a(g822 +g958 +tp1247 +a(g744 +Vxs:string +p1248 +tp1249 +a(g822 +g958 +tp1250 +a(g701 +V{ +p1251 +tp1252 +a(g822 +V\u000a +p1253 +tp1254 +a(g740 +Vlet +p1255 +tp1256 +a(g822 +g958 +tp1257 +a(g436 +g1162 +tp1258 +a(g431 +Vvar1 +p1259 +tp1260 +a(g822 +g958 +tp1261 +a(g408 +V:= +p1262 +tp1263 +a(g822 +g958 +tp1264 +a(g32 +V1 +p1265 +tp1266 +a(g822 +V\u000a +p1267 +tp1268 +a(g740 +Vlet +p1269 +tp1270 +a(g822 +g958 +tp1271 +a(g436 +g1162 +tp1272 +a(g431 +Vvar2 +p1273 +tp1274 +a(g822 +g958 +tp1275 +a(g408 +V:= +p1276 +tp1277 +a(g822 +g958 +tp1278 +a(g32 +V2 +p1279 +tp1280 +a(g822 +V\u000a +p1281 +tp1282 +a(g740 +Vreturn +p1283 +tp1284 +a(g822 +g958 +tp1285 +a(g701 +g1192 +tp1286 +a(g32 +g1265 +tp1287 +a(g822 +g958 +tp1288 +a(g408 +V+ +p1289 +tp1290 +a(g822 +g958 +tp1291 +a(g32 +g1279 +tp1292 +a(g822 +g958 +tp1293 +a(g740 +Vdiv +p1294 +tp1295 +a(g822 +g958 +tp1296 +a(g701 +g1192 +tp1297 +a(g436 +g1162 +tp1298 +a(g431 +Vvar1 +p1299 +tp1300 +a(g822 +g958 +tp1301 +a(g408 +g1289 +tp1302 +a(g822 +g958 +tp1303 +a(g436 +g1162 +tp1304 +a(g431 +Vvar2 +p1305 +tp1306 +a(g701 +g1196 +tp1307 +a(g701 +g1196 +tp1308 +a(g822 +V\u000a\u000a +p1309 +tp1310 +a(g740 +Vlet +p1311 +tp1312 +a(g822 +g958 +tp1313 +a(g436 +g1162 +tp1314 +a(g431 +Vlet +p1315 +tp1316 +a(g822 +g958 +tp1317 +a(g408 +V:= +p1318 +tp1319 +a(g822 +g958 +tp1320 +a(g553 +V< +p1321 +tp1322 +a(g553 +g1011 +tp1323 +a(g553 +V> +p1324 +tp1325 +a(g47 +V" +p1326 +tp1327 +a(g47 +g981 +tp1328 +a(g47 +g966 +tp1329 +a(g47 +g988 +tp1330 +a(g47 +g981 +tp1331 +a(g47 +g1326 +tp1332 +a(g553 +V +p2011 +tp2012 +a(g47 +V\u000a +p2013 +tp2014 +a(g47 +g958 +tp2015 +a(g47 +g958 +tp2016 +a(g47 +g958 +tp2017 +a(g47 +g958 +tp2018 +a(g47 +g958 +tp2019 +a(g47 +g958 +tp2020 +a(g701 +g1251 +tp2021 +a(g822 +V\u000a +p2022 +tp2023 +a(g7 +V(: +p2024 +tp2025 +a(g7 +g958 +tp2026 +a(g7 +g971 +tp2027 +a(g7 +g1065 +tp2028 +a(g7 +g962 +tp2029 +a(g7 +g979 +tp2030 +a(g7 +g966 +tp2031 +a(g7 +g1352 +tp2032 +a(g7 +g985 +tp2033 +a(g7 +g1065 +tp2034 +a(g7 +g964 +tp2035 +a(g7 +g966 +tp2036 +a(g7 +g1017 +tp2037 +a(g7 +g958 +tp2038 +a(g7 +g974 +tp2039 +a(g7 +g985 +tp2040 +a(g7 +g1017 +tp2041 +a(g7 +g958 +tp2042 +a(g7 +g1065 +tp2043 +a(g7 +g985 +tp2044 +a(g7 +g979 +tp2045 +a(g7 +g962 +tp2046 +a(g7 +g1065 +tp2047 +a(g7 +g958 +tp2048 +a(g7 +g988 +tp2049 +a(g7 +g966 +tp2050 +a(g7 +g988 +tp2051 +a(g7 +g988 +tp2052 +a(g7 +g983 +tp2053 +a(g7 +g985 +tp2054 +a(g7 +g977 +tp2055 +a(g7 +g988 +tp2056 +a(g7 +g958 +tp2057 +a(g7 +V:) +p2058 +tp2059 +a(g822 +V\u000a +p2060 +tp2061 +a(g740 +Velement +p2062 +tp2063 +a(g822 +g958 +tp2064 +a(g436 +Vdiv +p2065 +tp2066 +a(g822 +g958 +tp2067 +a(g701 +g1251 +tp2068 +a(g822 +V\u000a +p2069 +tp2070 +a(g740 +Vattribute +p2071 +tp2072 +a(g822 +g958 +tp2073 +a(g436 +Vid +p2074 +tp2075 +a(g822 +g958 +tp2076 +a(g701 +g1251 +tp2077 +a(g822 +g958 +tp2078 +a(g152 +V"sessions-local" +p2079 +tp2080 +a(g822 +g958 +tp2081 +a(g701 +g1385 +tp2082 +a(g701 +g990 +tp2083 +a(g822 +V\u000a +p2084 +tp2085 +a(g740 +Vattribute +p2086 +tp2087 +a(g822 +g958 +tp2088 +a(g436 +Vclass +p2089 +tp2090 +a(g822 +g958 +tp2091 +a(g701 +g1251 +tp2092 +a(g822 +g958 +tp2093 +a(g152 +V"hidden" +p2094 +tp2095 +a(g822 +g958 +tp2096 +a(g701 +g1385 +tp2097 +a(g701 +g990 +tp2098 +a(g822 +V\u000a +p2099 +tp2100 +a(g740 +Velement +p2101 +tp2102 +a(g822 +g958 +tp2103 +a(g436 +Vh1 +p2104 +tp2105 +a(g822 +g958 +tp2106 +a(g701 +g1251 +tp2107 +a(g822 +g958 +tp2108 +a(g152 +V"Local Sessions" +p2109 +tp2110 +a(g822 +g958 +tp2111 +a(g701 +g1385 +tp2112 +a(g701 +g990 +tp2113 +a(g822 +V\u000a +p2114 +tp2115 +a(g740 +Velement +p2116 +tp2117 +a(g822 +g958 +tp2118 +a(g436 +g971 +tp2119 +a(g822 +g958 +tp2120 +a(g701 +g1251 +tp2121 +a(g822 +V\u000a +p2122 +tp2123 +a(g225 +V'These sessions use storage provided by your browser.' +p2124 +tp2125 +a(g701 +g990 +tp2126 +a(g822 +V\u000a +p2127 +tp2128 +a(g225 +V'You can also ' +p2129 +tp2130 +a(g701 +g990 +tp2131 +a(g822 +V\u000a +p2132 +tp2133 +a(g740 +Velement +p2134 +tp2135 +a(g822 +g958 +tp2136 +a(g436 +g962 +tp2137 +a(g822 +g958 +tp2138 +a(g701 +g1251 +tp2139 +a(g822 +V\u000a +p2140 +tp2141 +a(g740 +Vattribute +p2142 +tp2143 +a(g822 +g958 +tp2144 +a(g436 +Vhref +p2145 +tp2146 +a(g822 +g958 +tp2147 +a(g701 +g1251 +tp2148 +a(g822 +g958 +tp2149 +a(g225 +V'session-import-local.xqy' +p2150 +tp2151 +a(g822 +g958 +tp2152 +a(g701 +g1385 +tp2153 +a(g701 +g990 +tp2154 +a(g822 +V\u000a +p2155 +tp2156 +a(g225 +V'import' +p2157 +tp2158 +a(g822 +g958 +tp2159 +a(g701 +g1385 +tp2160 +a(g701 +g990 +tp2161 +a(g822 +V\u000a +p2162 +tp2163 +a(g225 +V' sessions from local XML files.' +p2164 +tp2165 +a(g822 +V\u000a +p2166 +tp2167 +a(g701 +g1385 +tp2168 +a(g822 +V\u000a +p2169 +tp2170 +a(g701 +g1385 +tp2171 +a(g822 +V\u000a +p2172 +tp2173 +a(g701 +g1385 +tp2174 +a(g47 +V\u000a +p2175 +tp2176 +a(g47 +g1850 +tp2177 +a(g47 +g1850 +tp2178 +a(g47 +g1850 +tp2179 +a(g701 +g1251 +tp2180 +a(g822 +V\u000a +p2181 +tp2182 +a(g740 +Vfor +p2183 +tp2184 +a(g822 +g958 +tp2185 +a(g436 +g1162 +tp2186 +a(g431 +g983 +tp2187 +a(g822 +g958 +tp2188 +a(g422 +Vin +p2189 +tp2190 +a(g822 +g958 +tp2191 +a(g436 +g1162 +tp2192 +a(g431 +Vsessions +p2193 +tp2194 +a(g822 +V\u000a +p2195 +tp2196 +a(g740 +Vlet +p2197 +tp2198 +a(g822 +g958 +tp2199 +a(g436 +g1162 +tp2200 +a(g431 +Vid +p2201 +tp2202 +a(g822 +g958 +tp2203 +a(g408 +V:= +p2204 +tp2205 +a(g822 +g958 +tp2206 +a(g569 +Vc:session-id +p2207 +tp2208 +a(g701 +g1192 +tp2209 +a(g436 +g1162 +tp2210 +a(g431 +g983 +tp2211 +a(g701 +g1196 +tp2212 +a(g822 +V\u000a +p2213 +tp2214 +a(g740 +Vlet +p2215 +tp2216 +a(g822 +g958 +tp2217 +a(g436 +g1162 +tp2218 +a(g431 +Vuri +p2219 +tp2220 +a(g822 +g958 +tp2221 +a(g408 +V:= +p2222 +tp2223 +a(g822 +g958 +tp2224 +a(g569 +Vc:session-uri +p2225 +tp2226 +a(g701 +g1192 +tp2227 +a(g436 +g1162 +tp2228 +a(g431 +g983 +tp2229 +a(g701 +g1196 +tp2230 +a(g822 +V\u000a +p2231 +tp2232 +a(g7 +V(: +p2233 +tp2234 +a(g7 +g958 +tp2235 +a(g7 +g1350 +tp2236 +a(g7 +g966 +tp2237 +a(g7 +g958 +tp2238 +a(g7 +g985 +tp2239 +a(g7 +g977 +tp2240 +a(g7 +g1065 +tp2241 +a(g7 +g1019 +tp2242 +a(g7 +g958 +tp2243 +a(g7 +g979 +tp2244 +a(g7 +g962 +tp2245 +a(g7 +g1017 +tp2246 +a(g7 +g966 +tp2247 +a(g7 +g958 +tp2248 +a(g7 +g962 +tp2249 +a(g7 +g1042 +tp2250 +a(g7 +g985 +tp2251 +a(g7 +g969 +tp2252 +a(g7 +g981 +tp2253 +a(g7 +g958 +tp2254 +a(g7 +g981 +tp2255 +a(g7 +g1352 +tp2256 +a(g7 +g966 +tp2257 +a(g7 +g958 +tp2258 +a(g7 +g1065 +tp2259 +a(g7 +g985 +tp2260 +a(g7 +g979 +tp2261 +a(g7 +Vk +p2262 +tp2263 +a(g7 +g958 +tp2264 +a(g7 +g981 +tp2265 +a(g7 +g1352 +tp2266 +a(g7 +g962 +tp2267 +a(g7 +g981 +tp2268 +a(g7 +g958 +tp2269 +a(g7 +g966 +tp2270 +a(g7 +g1011 +tp2271 +a(g7 +g971 +tp2272 +a(g7 +g983 +tp2273 +a(g7 +g1017 +tp2274 +a(g7 +g966 +tp2275 +a(g7 +g988 +tp2276 +a(g7 +g958 +tp2277 +a(g7 +g1065 +tp2278 +a(g7 +g962 +tp2279 +a(g7 +g988 +tp2280 +a(g7 +g981 +tp2281 +a(g7 +g958 +tp2282 +a(g7 +V:) +p2283 +tp2284 +a(g822 +V\u000a +p2285 +tp2286 +a(g740 +Vlet +p2287 +tp2288 +a(g822 +g958 +tp2289 +a(g436 +g1162 +tp2290 +a(g431 +Vconflicting +p2291 +tp2292 +a(g822 +g958 +tp2293 +a(g408 +V:= +p2294 +tp2295 +a(g822 +g958 +tp2296 +a(g569 +Vc:conflicting-locks +p2297 +tp2298 +a(g701 +g1192 +tp2299 +a(g436 +g1162 +tp2300 +a(g431 +Vuri +p2301 +tp2302 +a(g701 +g990 +tp2303 +a(g822 +g958 +tp2304 +a(g32 +g1265 +tp2305 +a(g701 +g1196 +tp2306 +a(g822 +V\u000a +p2307 +tp2308 +a(g740 +Vlet +p2309 +tp2310 +a(g822 +g958 +tp2311 +a(g436 +g1162 +tp2312 +a(g431 +Vname +p2313 +tp2314 +a(g822 +g958 +tp2315 +a(g740 +Vas +p2316 +tp2317 +a(g822 +g958 +tp2318 +a(g744 +Vxs:string +p2319 +tp2320 +a(g822 +g958 +tp2321 +a(g408 +V:= +p2322 +tp2323 +a(g822 +g958 +tp2324 +a(g701 +g1192 +tp2325 +a(g436 +g1162 +tp2326 +a(g431 +g983 +tp2327 +a(g701 +g1429 +tp2328 +a(g553 +Vsess:name +p2329 +tp2330 +a(g701 +g990 +tp2331 +a(g822 +g958 +tp2332 +a(g152 +V"(unnamed)" +p2333 +tp2334 +a(g701 +g1196 +tp2335 +a(g701 +g1424 +tp2336 +a(g32 +g1265 +tp2337 +a(g701 +g1441 +tp2338 +a(g822 +V\u000a +p2339 +tp2340 +a(g740 +Vreturn +p2341 +tp2342 +a(g822 +g958 +tp2343 +a(g740 +Velement +p2344 +tp2345 +a(g822 +g958 +tp2346 +a(g436 +Vtr +p2347 +tp2348 +a(g822 +g958 +tp2349 +a(g701 +g1251 +tp2350 +a(g822 +V\u000a +p2351 +tp2352 +a(g740 +Velement +p2353 +tp2354 +a(g822 +g958 +tp2355 +a(g436 +Vtd +p2356 +tp2357 +a(g822 +g958 +tp2358 +a(g701 +g1251 +tp2359 +a(g822 +g958 +tp2360 +a(g436 +g1162 +tp2361 +a(g431 +Vname +p2362 +tp2363 +a(g822 +g958 +tp2364 +a(g701 +g1385 +tp2365 +a(g701 +g990 +tp2366 +a(g822 +V\u000a +p2367 +tp2368 +a(g740 +Velement +p2369 +tp2370 +a(g822 +g958 +tp2371 +a(g436 +Vtd +p2372 +tp2373 +a(g822 +g958 +tp2374 +a(g701 +g1251 +tp2375 +a(g822 +g958 +tp2376 +a(g569 +Vstring +p2377 +tp2378 +a(g701 +g1192 +tp2379 +a(g436 +g1162 +tp2380 +a(g431 +g983 +tp2381 +a(g701 +g1429 +tp2382 +a(g553 +Vsec:user +p2383 +tp2384 +a(g701 +g1196 +tp2385 +a(g822 +g958 +tp2386 +a(g701 +g1385 +tp2387 +a(g701 +g990 +tp2388 +a(g822 +V\u000a +p2389 +tp2390 +a(g740 +Velement +p2391 +tp2392 +a(g822 +g958 +tp2393 +a(g436 +Vtd +p2394 +tp2395 +a(g822 +g958 +tp2396 +a(g701 +g1251 +tp2397 +a(g822 +g958 +tp2398 +a(g569 +Vdata +p2399 +tp2400 +a(g701 +g1192 +tp2401 +a(g436 +g1162 +tp2402 +a(g431 +g983 +tp2403 +a(g701 +g1429 +tp2404 +a(g553 +Vsess:created +p2405 +tp2406 +a(g701 +g1196 +tp2407 +a(g822 +g958 +tp2408 +a(g701 +g1385 +tp2409 +a(g701 +g990 +tp2410 +a(g822 +V\u000a +p2411 +tp2412 +a(g740 +Velement +p2413 +tp2414 +a(g822 +g958 +tp2415 +a(g436 +Vtd +p2416 +tp2417 +a(g822 +g958 +tp2418 +a(g701 +g1251 +tp2419 +a(g822 +g958 +tp2420 +a(g569 +Vdata +p2421 +tp2422 +a(g701 +g1192 +tp2423 +a(g436 +g1162 +tp2424 +a(g431 +g983 +tp2425 +a(g701 +g1429 +tp2426 +a(g553 +Vsess:last-modified +p2427 +tp2428 +a(g701 +g1196 +tp2429 +a(g822 +g958 +tp2430 +a(g701 +g1385 +tp2431 +a(g701 +g990 +tp2432 +a(g822 +V\u000a +p2433 +tp2434 +a(g740 +Velement +p2435 +tp2436 +a(g822 +g958 +tp2437 +a(g436 +Vtd +p2438 +tp2439 +a(g822 +g958 +tp2440 +a(g701 +g1251 +tp2441 +a(g822 +V\u000a +p2442 +tp2443 +a(g740 +Vif +p2444 +tp2445 +a(g822 +g958 +tp2446 +a(g701 +g1192 +tp2447 +a(g569 +Vempty +p2448 +tp2449 +a(g701 +g1192 +tp2450 +a(g436 +g1162 +tp2451 +a(g431 +Vconflicting +p2452 +tp2453 +a(g701 +g1196 +tp2454 +a(g701 +g1196 +tp2455 +a(g822 +g958 +tp2456 +a(g740 +Vthen +p2457 +tp2458 +a(g822 +g958 +tp2459 +a(g701 +g1192 +tp2460 +a(g701 +g1196 +tp2461 +a(g822 +g958 +tp2462 +a(g740 +Velse +p2463 +tp2464 +a(g822 +V\u000a +p2465 +tp2466 +a(g740 +Vtext +p2467 +tp2468 +a(g822 +g958 +tp2469 +a(g701 +g1251 +tp2470 +a(g822 +V\u000a +p2471 +tp2472 +a(g152 +V"by" +p2473 +tp2474 +a(g701 +g990 +tp2475 +a(g822 +g958 +tp2476 +a(g436 +g1162 +tp2477 +a(g431 +Vconflicting +p2478 +tp2479 +a(g701 +g1429 +tp2480 +a(g553 +Vlock:owner +p2481 +tp2482 +a(g701 +g990 +tp2483 +a(g822 +V\u000a +p2484 +tp2485 +a(g152 +V"until" +p2486 +tp2487 +a(g701 +g990 +tp2488 +a(g822 +g958 +tp2489 +a(g569 +Vadjust-dateTime-to-timezone +p2490 +tp2491 +a(g701 +g1192 +tp2492 +a(g822 +V\u000a +p2493 +tp2494 +a(g569 +Vx:epoch-seconds-to-dateTime +p2495 +tp2496 +a(g701 +g1192 +tp2497 +a(g822 +V\u000a +p2498 +tp2499 +a(g436 +g1162 +tp2500 +a(g431 +Vconflicting +p2501 +tp2502 +a(g701 +g1429 +tp2503 +a(g553 +Vlock:timestamp +p2504 +tp2505 +a(g822 +g958 +tp2506 +a(g408 +g1289 +tp2507 +a(g822 +g958 +tp2508 +a(g436 +g1162 +tp2509 +a(g431 +Vconflicting +p2510 +tp2511 +a(g701 +g1429 +tp2512 +a(g553 +Vlock:timeout +p2513 +tp2514 +a(g822 +V\u000a +p2515 +tp2516 +a(g701 +g1196 +tp2517 +a(g822 +V\u000a +p2518 +tp2519 +a(g701 +g1196 +tp2520 +a(g822 +V\u000a +p2521 +tp2522 +a(g701 +g1385 +tp2523 +a(g701 +g990 +tp2524 +a(g822 +V\u000a +p2525 +tp2526 +a(g7 +V(: +p2527 +tp2528 +a(g7 +g958 +tp2529 +a(g7 +g985 +tp2530 +a(g7 +g977 +tp2531 +a(g7 +g1065 +tp2532 +a(g7 +g1019 +tp2533 +a(g7 +g958 +tp2534 +a(g7 +g988 +tp2535 +a(g7 +g1352 +tp2536 +a(g7 +g985 +tp2537 +a(g7 +g1350 +tp2538 +a(g7 +g958 +tp2539 +a(g7 +g1017 +tp2540 +a(g7 +g966 +tp2541 +a(g7 +g988 +tp2542 +a(g7 +g969 +tp2543 +a(g7 +g960 +tp2544 +a(g7 +g966 +tp2545 +a(g7 +g958 +tp2546 +a(g7 +g1042 +tp2547 +a(g7 +g969 +tp2548 +a(g7 +g981 +tp2549 +a(g7 +g981 +tp2550 +a(g7 +g985 +tp2551 +a(g7 +g977 +tp2552 +a(g7 +g958 +tp2553 +a(g7 +g983 +tp2554 +a(g7 +g974 +tp2555 +a(g7 +g958 +tp2556 +a(g7 +g981 +tp2557 +a(g7 +g1352 +tp2558 +a(g7 +g966 +tp2559 +a(g7 +g1017 +tp2560 +a(g7 +g966 +tp2561 +a(g7 +g958 +tp2562 +a(g7 +g962 +tp2563 +a(g7 +g1017 +tp2564 +a(g7 +g966 +tp2565 +a(g7 +g958 +tp2566 +a(g7 +g977 +tp2567 +a(g7 +g985 +tp2568 +a(g7 +g958 +tp2569 +a(g7 +g979 +tp2570 +a(g7 +g985 +tp2571 +a(g7 +g977 +tp2572 +a(g7 +g974 +tp2573 +a(g7 +g1065 +tp2574 +a(g7 +g983 +tp2575 +a(g7 +g979 +tp2576 +a(g7 +g981 +tp2577 +a(g7 +g983 +tp2578 +a(g7 +g977 +tp2579 +a(g7 +g1028 +tp2580 +a(g7 +g958 +tp2581 +a(g7 +g1065 +tp2582 +a(g7 +g985 +tp2583 +a(g7 +g979 +tp2584 +a(g7 +g2262 +tp2585 +a(g7 +g988 +tp2586 +a(g7 +g958 +tp2587 +a(g7 +V:) +p2588 +tp2589 +a(g822 +V\u000a +p2590 +tp2591 +a(g740 +Velement +p2592 +tp2593 +a(g822 +g958 +tp2594 +a(g436 +Vinput +p2595 +tp2596 +a(g822 +g958 +tp2597 +a(g701 +g1251 +tp2598 +a(g822 +V\u000a +p2599 +tp2600 +a(g740 +Vattribute +p2601 +tp2602 +a(g822 +g958 +tp2603 +a(g436 +Vtype +p2604 +tp2605 +a(g822 +g958 +tp2606 +a(g701 +g1251 +tp2607 +a(g822 +g958 +tp2608 +a(g152 +V"button" +p2609 +tp2610 +a(g822 +g958 +tp2611 +a(g701 +g1385 +tp2612 +a(g701 +g990 +tp2613 +a(g822 +V\u000a +p2614 +tp2615 +a(g740 +Vattribute +p2616 +tp2617 +a(g822 +g958 +tp2618 +a(g436 +Vtitle +p2619 +tp2620 +a(g822 +g958 +tp2621 +a(g701 +g1251 +tp2622 +a(g822 +V\u000a +p2623 +tp2624 +a(g569 +Vdata +p2625 +tp2626 +a(g701 +g1192 +tp2627 +a(g436 +g1162 +tp2628 +a(g431 +g983 +tp2629 +a(g701 +g1429 +tp2630 +a(g553 +Vsess:query-buffers +p2631 +tp2632 +a(g701 +g1429 +tp2633 +a(g553 +Vsess:query +p2634 +tp2635 +a(g701 +g1424 +tp2636 +a(g32 +g1265 +tp2637 +a(g701 +g1441 +tp2638 +a(g701 +g1196 +tp2639 +a(g822 +g958 +tp2640 +a(g701 +g1385 +tp2641 +a(g701 +g990 +tp2642 +a(g822 +V\u000a +p2643 +tp2644 +a(g740 +Vattribute +p2645 +tp2646 +a(g822 +g958 +tp2647 +a(g436 +Vonclick +p2648 +tp2649 +a(g822 +g958 +tp2650 +a(g701 +g1251 +tp2651 +a(g822 +V\u000a +p2652 +tp2653 +a(g569 +Vconcat +p2654 +tp2655 +a(g701 +g1192 +tp2656 +a(g152 +V"list.resumeSession('" +p2657 +tp2658 +a(g701 +g990 +tp2659 +a(g822 +g958 +tp2660 +a(g436 +g1162 +tp2661 +a(g431 +Vid +p2662 +tp2663 +a(g701 +g990 +tp2664 +a(g822 +g958 +tp2665 +a(g152 +V"')" +p2666 +tp2667 +a(g701 +g1196 +tp2668 +a(g822 +g958 +tp2669 +a(g701 +g1385 +tp2670 +a(g701 +g990 +tp2671 +a(g822 +V\u000a +p2672 +tp2673 +a(g740 +Vattribute +p2674 +tp2675 +a(g822 +g958 +tp2676 +a(g436 +Vvalue +p2677 +tp2678 +a(g822 +g958 +tp2679 +a(g701 +g1251 +tp2680 +a(g822 +V\u000a +p2681 +tp2682 +a(g152 +V"Resume" +p2683 +tp2684 +a(g701 +g990 +tp2685 +a(g822 +g958 +tp2686 +a(g701 +g1192 +tp2687 +a(g225 +V' ' +p2688 +tp2689 +a(g701 +g990 +tp2690 +a(g822 +g958 +tp2691 +a(g436 +g1162 +tp2692 +a(g431 +Vid +p2693 +tp2694 +a(g701 +g1196 +tp2695 +a(g701 +g1424 +tp2696 +a(g822 +g958 +tp2697 +a(g436 +g1162 +tp2698 +a(g431 +Vd:DEBUG +p2699 +tp2700 +a(g822 +g958 +tp2701 +a(g701 +g1441 +tp2702 +a(g822 +g958 +tp2703 +a(g701 +g1385 +tp2704 +a(g822 +V\u000a +p2705 +tp2706 +a(g701 +g1385 +tp2707 +a(g701 +g1424 +tp2708 +a(g822 +g958 +tp2709 +a(g569 +Vnot +p2710 +tp2711 +a(g701 +g1192 +tp2712 +a(g436 +g1162 +tp2713 +a(g431 +Vconflicting +p2714 +tp2715 +a(g701 +g1196 +tp2716 +a(g822 +g958 +tp2717 +a(g701 +g1441 +tp2718 +a(g701 +g990 +tp2719 +a(g822 +V\u000a +p2720 +tp2721 +a(g436 +g1162 +tp2722 +a(g431 +Vx:NBSP +p2723 +tp2724 +a(g701 +g990 +tp2725 +a(g822 +V\u000a +p2726 +tp2727 +a(g7 +V(: +p2728 +tp2729 +a(g7 +g958 +tp2730 +a(g7 +g979 +tp2731 +a(g7 +g1065 +tp2732 +a(g7 +g985 +tp2733 +a(g7 +g977 +tp2734 +a(g7 +g966 +tp2735 +a(g7 +g958 +tp2736 +a(g7 +g1042 +tp2737 +a(g7 +g969 +tp2738 +a(g7 +g981 +tp2739 +a(g7 +g981 +tp2740 +a(g7 +g985 +tp2741 +a(g7 +g977 +tp2742 +a(g7 +g958 +tp2743 +a(g7 +V:) +p2744 +tp2745 +a(g822 +V\u000a +p2746 +tp2747 +a(g740 +Velement +p2748 +tp2749 +a(g822 +g958 +tp2750 +a(g436 +Vinput +p2751 +tp2752 +a(g822 +g958 +tp2753 +a(g701 +g1251 +tp2754 +a(g822 +V\u000a +p2755 +tp2756 +a(g740 +Vattribute +p2757 +tp2758 +a(g822 +g958 +tp2759 +a(g436 +Vtype +p2760 +tp2761 +a(g822 +g958 +tp2762 +a(g701 +g1251 +tp2763 +a(g822 +g958 +tp2764 +a(g152 +V"button" +p2765 +tp2766 +a(g822 +g958 +tp2767 +a(g701 +g1385 +tp2768 +a(g701 +g990 +tp2769 +a(g822 +V\u000a +p2770 +tp2771 +a(g740 +Vattribute +p2772 +tp2773 +a(g822 +g958 +tp2774 +a(g436 +Vtitle +p2775 +tp2776 +a(g822 +g958 +tp2777 +a(g701 +g1251 +tp2778 +a(g822 +g958 +tp2779 +a(g152 +V"clone this session" +p2780 +tp2781 +a(g822 +g958 +tp2782 +a(g701 +g1385 +tp2783 +a(g701 +g990 +tp2784 +a(g822 +V\u000a +p2785 +tp2786 +a(g740 +Vattribute +p2787 +tp2788 +a(g822 +g958 +tp2789 +a(g436 +Vonclick +p2790 +tp2791 +a(g822 +g958 +tp2792 +a(g701 +g1251 +tp2793 +a(g822 +V\u000a +p2794 +tp2795 +a(g569 +Vconcat +p2796 +tp2797 +a(g701 +g1192 +tp2798 +a(g152 +V"list.cloneSession('" +p2799 +tp2800 +a(g701 +g990 +tp2801 +a(g822 +g958 +tp2802 +a(g436 +g1162 +tp2803 +a(g431 +Vid +p2804 +tp2805 +a(g701 +g990 +tp2806 +a(g822 +g958 +tp2807 +a(g152 +V"', this)" +p2808 +tp2809 +a(g701 +g1196 +tp2810 +a(g822 +g958 +tp2811 +a(g701 +g1385 +tp2812 +a(g701 +g990 +tp2813 +a(g822 +V\u000a +p2814 +tp2815 +a(g740 +Vattribute +p2816 +tp2817 +a(g822 +g958 +tp2818 +a(g436 +Vvalue +p2819 +tp2820 +a(g822 +g958 +tp2821 +a(g701 +g1251 +tp2822 +a(g822 +g958 +tp2823 +a(g152 +V"Clone" +p2824 +tp2825 +a(g701 +g990 +tp2826 +a(g822 +g958 +tp2827 +a(g701 +g1192 +tp2828 +a(g225 +V' ' +p2829 +tp2830 +a(g701 +g990 +tp2831 +a(g822 +g958 +tp2832 +a(g436 +g1162 +tp2833 +a(g431 +Vid +p2834 +tp2835 +a(g701 +g1196 +tp2836 +a(g701 +g1424 +tp2837 +a(g822 +g958 +tp2838 +a(g436 +g1162 +tp2839 +a(g431 +Vd:DEBUG +p2840 +tp2841 +a(g822 +g958 +tp2842 +a(g701 +g1441 +tp2843 +a(g822 +g958 +tp2844 +a(g701 +g1385 +tp2845 +a(g822 +V\u000a +p2846 +tp2847 +a(g701 +g1385 +tp2848 +a(g701 +g990 +tp2849 +a(g822 +V\u000a +p2850 +tp2851 +a(g436 +g1162 +tp2852 +a(g431 +Vx:NBSP +p2853 +tp2854 +a(g701 +g990 +tp2855 +a(g822 +V\u000a +p2856 +tp2857 +a(g7 +V(: +p2858 +tp2859 +a(g7 +g958 +tp2860 +a(g7 +g966 +tp2861 +a(g7 +g1011 +tp2862 +a(g7 +g971 +tp2863 +a(g7 +g985 +tp2864 +a(g7 +g1017 +tp2865 +a(g7 +g981 +tp2866 +a(g7 +g958 +tp2867 +a(g7 +g1042 +tp2868 +a(g7 +g969 +tp2869 +a(g7 +g981 +tp2870 +a(g7 +g981 +tp2871 +a(g7 +g985 +tp2872 +a(g7 +g977 +tp2873 +a(g7 +g958 +tp2874 +a(g7 +V:) +p2875 +tp2876 +a(g822 +V\u000a +p2877 +tp2878 +a(g740 +Velement +p2879 +tp2880 +a(g822 +g958 +tp2881 +a(g436 +Vinput +p2882 +tp2883 +a(g822 +g958 +tp2884 +a(g701 +g1251 +tp2885 +a(g822 +V\u000a +p2886 +tp2887 +a(g740 +Vattribute +p2888 +tp2889 +a(g822 +g958 +tp2890 +a(g436 +Vtype +p2891 +tp2892 +a(g822 +g958 +tp2893 +a(g701 +g1251 +tp2894 +a(g822 +g958 +tp2895 +a(g152 +V"button" +p2896 +tp2897 +a(g822 +g958 +tp2898 +a(g701 +g1385 +tp2899 +a(g701 +g990 +tp2900 +a(g822 +V\u000a +p2901 +tp2902 +a(g740 +Vattribute +p2903 +tp2904 +a(g822 +g958 +tp2905 +a(g436 +Vtitle +p2906 +tp2907 +a(g822 +g958 +tp2908 +a(g701 +g1251 +tp2909 +a(g822 +g958 +tp2910 +a(g152 +V"export this session" +p2911 +tp2912 +a(g822 +g958 +tp2913 +a(g701 +g1385 +tp2914 +a(g701 +g990 +tp2915 +a(g822 +V\u000a +p2916 +tp2917 +a(g740 +Vattribute +p2918 +tp2919 +a(g822 +g958 +tp2920 +a(g436 +Vonclick +p2921 +tp2922 +a(g822 +g958 +tp2923 +a(g701 +g1251 +tp2924 +a(g822 +V\u000a +p2925 +tp2926 +a(g569 +Vconcat +p2927 +tp2928 +a(g701 +g1192 +tp2929 +a(g152 +V"list.exportServerSession('" +p2930 +tp2931 +a(g701 +g990 +tp2932 +a(g822 +g958 +tp2933 +a(g436 +g1162 +tp2934 +a(g431 +Vid +p2935 +tp2936 +a(g701 +g990 +tp2937 +a(g822 +g958 +tp2938 +a(g152 +V"', this)" +p2939 +tp2940 +a(g701 +g1196 +tp2941 +a(g822 +g958 +tp2942 +a(g701 +g1385 +tp2943 +a(g701 +g990 +tp2944 +a(g822 +V\u000a +p2945 +tp2946 +a(g740 +Vattribute +p2947 +tp2948 +a(g822 +g958 +tp2949 +a(g436 +Vvalue +p2950 +tp2951 +a(g822 +g958 +tp2952 +a(g701 +g1251 +tp2953 +a(g822 +g958 +tp2954 +a(g152 +V"Export" +p2955 +tp2956 +a(g701 +g990 +tp2957 +a(g822 +g958 +tp2958 +a(g701 +g1192 +tp2959 +a(g225 +V' ' +p2960 +tp2961 +a(g701 +g990 +tp2962 +a(g822 +g958 +tp2963 +a(g436 +g1162 +tp2964 +a(g431 +Vid +p2965 +tp2966 +a(g701 +g1196 +tp2967 +a(g701 +g1424 +tp2968 +a(g822 +g958 +tp2969 +a(g436 +g1162 +tp2970 +a(g431 +Vd:DEBUG +p2971 +tp2972 +a(g822 +g958 +tp2973 +a(g701 +g1441 +tp2974 +a(g822 +g958 +tp2975 +a(g701 +g1385 +tp2976 +a(g822 +V\u000a +p2977 +tp2978 +a(g701 +g1385 +tp2979 +a(g701 +g990 +tp2980 +a(g822 +V\u000a +p2981 +tp2982 +a(g436 +g1162 +tp2983 +a(g431 +Vx:NBSP +p2984 +tp2985 +a(g701 +g990 +tp2986 +a(g822 +V\u000a +p2987 +tp2988 +a(g7 +V(: +p2989 +tp2990 +a(g7 +g958 +tp2991 +a(g7 +g985 +tp2992 +a(g7 +g977 +tp2993 +a(g7 +g1065 +tp2994 +a(g7 +g1019 +tp2995 +a(g7 +g958 +tp2996 +a(g7 +g988 +tp2997 +a(g7 +g1352 +tp2998 +a(g7 +g985 +tp2999 +a(g7 +g1350 +tp3000 +a(g7 +g958 +tp3001 +a(g7 +g964 +tp3002 +a(g7 +g966 +tp3003 +a(g7 +g1065 +tp3004 +a(g7 +g966 +tp3005 +a(g7 +g981 +tp3006 +a(g7 +g966 +tp3007 +a(g7 +g958 +tp3008 +a(g7 +g1042 +tp3009 +a(g7 +g969 +tp3010 +a(g7 +g981 +tp3011 +a(g7 +g981 +tp3012 +a(g7 +g985 +tp3013 +a(g7 +g977 +tp3014 +a(g7 +g958 +tp3015 +a(g7 +g983 +tp3016 +a(g7 +g974 +tp3017 +a(g7 +g958 +tp3018 +a(g7 +g981 +tp3019 +a(g7 +g1352 +tp3020 +a(g7 +g966 +tp3021 +a(g7 +g1017 +tp3022 +a(g7 +g966 +tp3023 +a(g7 +g958 +tp3024 +a(g7 +g962 +tp3025 +a(g7 +g1017 +tp3026 +a(g7 +g966 +tp3027 +a(g7 +g958 +tp3028 +a(g7 +g977 +tp3029 +a(g7 +g985 +tp3030 +a(g7 +g958 +tp3031 +a(g7 +g979 +tp3032 +a(g7 +g985 +tp3033 +a(g7 +g977 +tp3034 +a(g7 +g974 +tp3035 +a(g7 +g1065 +tp3036 +a(g7 +g983 +tp3037 +a(g7 +g979 +tp3038 +a(g7 +g981 +tp3039 +a(g7 +g983 +tp3040 +a(g7 +g977 +tp3041 +a(g7 +g1028 +tp3042 +a(g7 +g958 +tp3043 +a(g7 +g1065 +tp3044 +a(g7 +g985 +tp3045 +a(g7 +g979 +tp3046 +a(g7 +g2262 +tp3047 +a(g7 +g988 +tp3048 +a(g7 +g958 +tp3049 +a(g7 +V:) +p3050 +tp3051 +a(g822 +V\u000a +p3052 +tp3053 +a(g740 +Velement +p3054 +tp3055 +a(g822 +g958 +tp3056 +a(g436 +Vinput +p3057 +tp3058 +a(g822 +g958 +tp3059 +a(g701 +g1251 +tp3060 +a(g822 +V\u000a +p3061 +tp3062 +a(g740 +Vattribute +p3063 +tp3064 +a(g822 +g958 +tp3065 +a(g436 +Vtype +p3066 +tp3067 +a(g822 +g958 +tp3068 +a(g701 +g1251 +tp3069 +a(g822 +g958 +tp3070 +a(g152 +V"button" +p3071 +tp3072 +a(g822 +g958 +tp3073 +a(g701 +g1385 +tp3074 +a(g701 +g990 +tp3075 +a(g822 +V\u000a +p3076 +tp3077 +a(g740 +Vattribute +p3078 +tp3079 +a(g822 +g958 +tp3080 +a(g436 +Vtitle +p3081 +tp3082 +a(g822 +g958 +tp3083 +a(g701 +g1251 +tp3084 +a(g822 +g958 +tp3085 +a(g152 +V"permanently delete this session" +p3086 +tp3087 +a(g822 +g958 +tp3088 +a(g701 +g1385 +tp3089 +a(g701 +g990 +tp3090 +a(g822 +V\u000a +p3091 +tp3092 +a(g740 +Vattribute +p3093 +tp3094 +a(g822 +g958 +tp3095 +a(g436 +Vonclick +p3096 +tp3097 +a(g822 +g958 +tp3098 +a(g701 +g1251 +tp3099 +a(g822 +V\u000a +p3100 +tp3101 +a(g569 +Vconcat +p3102 +tp3103 +a(g701 +g1192 +tp3104 +a(g152 +V"list.deleteSession('" +p3105 +tp3106 +a(g701 +g990 +tp3107 +a(g822 +g958 +tp3108 +a(g436 +g1162 +tp3109 +a(g431 +Vid +p3110 +tp3111 +a(g701 +g990 +tp3112 +a(g822 +g958 +tp3113 +a(g152 +V"', this)" +p3114 +tp3115 +a(g701 +g1196 +tp3116 +a(g822 +g958 +tp3117 +a(g701 +g1385 +tp3118 +a(g701 +g990 +tp3119 +a(g822 +V\u000a +p3120 +tp3121 +a(g740 +Vattribute +p3122 +tp3123 +a(g822 +g958 +tp3124 +a(g436 +Vvalue +p3125 +tp3126 +a(g822 +g958 +tp3127 +a(g701 +g1251 +tp3128 +a(g822 +g958 +tp3129 +a(g152 +V"Delete" +p3130 +tp3131 +a(g701 +g990 +tp3132 +a(g822 +g958 +tp3133 +a(g701 +g1192 +tp3134 +a(g225 +V' ' +p3135 +tp3136 +a(g701 +g990 +tp3137 +a(g822 +g958 +tp3138 +a(g436 +g1162 +tp3139 +a(g431 +Vid +p3140 +tp3141 +a(g701 +g1196 +tp3142 +a(g701 +g1424 +tp3143 +a(g822 +g958 +tp3144 +a(g436 +g1162 +tp3145 +a(g431 +Vd:DEBUG +p3146 +tp3147 +a(g822 +g958 +tp3148 +a(g701 +g1441 +tp3149 +a(g822 +g958 +tp3150 +a(g701 +g1385 +tp3151 +a(g822 +V\u000a +p3152 +tp3153 +a(g701 +g1385 +tp3154 +a(g701 +g1424 +tp3155 +a(g822 +g958 +tp3156 +a(g569 +Vnot +p3157 +tp3158 +a(g701 +g1192 +tp3159 +a(g436 +g1162 +tp3160 +a(g431 +Vconflicting +p3161 +tp3162 +a(g701 +g1196 +tp3163 +a(g822 +g958 +tp3164 +a(g701 +g1441 +tp3165 +a(g822 +V\u000a +p3166 +tp3167 +a(g701 +g1385 +tp3168 +a(g822 +V\u000a +p3169 +tp3170 +a(g701 +g1385 +tp3171 +a(g822 +V\u000a +p3172 +tp3173 +a(g701 +g1385 +tp3174 +a(g47 +V\u000a +p3175 +tp3176 +a(g47 +g1850 +tp3177 +a(g553 +V +p3230 +tp3231 +a(g47 +V  +p3232 +tp3233 +a(g553 +V +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g745 +V +p973 +tp974 +a(g827 +V\u000a +p975 +tp976 +a(g745 +V +p984 +tp985 +a(g827 +V\u000a +p986 +tp987 +a(g745 +V +p1010 +tp1011 +a(g827 +V\u000a +p1012 +tp1013 +a(g558 +V +p1014 +tp1015 +a(g827 +V\u000a +p1016 +tp1017 +a(g745 +V +p1018 +tp1019 +a(g827 +V\u000a +p1020 +tp1021 +a(g745 +V +p1034 +tp1035 +a(g827 +V\u000a\u000a +p1036 +tp1037 +a(g745 +V +p1038 +tp1039 +a(g827 +V\u000a +p1040 +tp1041 +a(g745 +V +p1072 +tp1073 +a(g745 +V +p1074 +tp1075 +a(g827 +V\u000a +p1076 +tp1077 +a(g745 +V +p1093 +tp1094 +a(g745 +V +p1095 +tp1096 +a(g827 +V\u000a +p1097 +tp1098 +a(g745 +V +p1114 +tp1115 +a(g745 +V +p1116 +tp1117 +a(g827 +V\u000a +p1118 +tp1119 +a(g558 +V +p1120 +tp1121 +a(g827 +V\u000a +p1122 +tp1123 +a(g745 +V +p1126 +tp1127 +a(g827 +V\u000a +p1128 +tp1129 +a(g745 +V +p1130 +tp1131 +a(g827 +V\u000a\u000a +p1132 +tp1133 +a(g745 +V +p1134 +tp1135 +a(g827 +V\u000a +p1136 +tp1137 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.zep b/tests/examplefiles/output/test.zep new file mode 100644 index 0000000..d662520 --- /dev/null +++ b/tests/examplefiles/output/test.zep @@ -0,0 +1,2887 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVnamespace +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g638 +VTest +p960 +tp961 +a(g693 +V; +p962 +tp963 +a(g826 +V\u000a\u000a +p964 +tp965 +a(g7 +Vuse +p966 +tp967 +a(g826 +g958 +tp968 +a(g638 +VTest\u005cFoo +p969 +tp970 +a(g693 +g962 +tp971 +a(g826 +V\u000a\u000a +p972 +tp973 +a(g926 +Vclass +p974 +tp975 +a(g826 +g958 +tp976 +a(g638 +VBar +p977 +tp978 +a(g826 +V\u000a +p979 +tp980 +a(g693 +V{ +p981 +tp982 +a(g826 +V\u000a +p983 +tp984 +a(g926 +Vprotected +p985 +tp986 +a(g826 +g958 +tp987 +a(g638 +Va +p988 +tp989 +a(g693 +g962 +tp990 +a(g826 +V\u000a +p991 +tp992 +a(g926 +Vprivate +p993 +tp994 +a(g826 +g958 +tp995 +a(g638 +Vb +p996 +tp997 +a(g693 +g962 +tp998 +a(g826 +V\u000a +p999 +tp1000 +a(g926 +Vpublic +p1001 +tp1002 +a(g826 +g958 +tp1003 +a(g638 +Vc +p1004 +tp1005 +a(g826 +g958 +tp1006 +a(g693 +g981 +tp1007 +a(g638 +Vset +p1008 +tp1009 +a(g693 +V, +p1010 +tp1011 +a(g826 +g958 +tp1012 +a(g638 +Vget +p1013 +tp1014 +a(g693 +V} +p1015 +tp1016 +a(g693 +g962 +tp1017 +a(g826 +V\u000a\u000a +p1018 +tp1019 +a(g926 +Vpublic +p1020 +tp1021 +a(g826 +g958 +tp1022 +a(g942 +Vfunction +p1023 +tp1024 +a(g826 +g958 +tp1025 +a(g638 +V__construct +p1026 +tp1027 +a(g693 +V( +p1028 +tp1029 +a(g926 +Vstring +p1030 +tp1031 +a(g826 +g958 +tp1032 +a(g638 +Vstr +p1033 +tp1034 +a(g693 +g1010 +tp1035 +a(g826 +g958 +tp1036 +a(g926 +Vboolean +p1037 +tp1038 +a(g826 +g958 +tp1039 +a(g926 +Vbool +p1040 +tp1041 +a(g693 +V) +p1042 +tp1043 +a(g826 +V\u000a +p1044 +tp1045 +a(g693 +g981 +tp1046 +a(g826 +V\u000a +p1047 +tp1048 +a(g942 +Vlet +p1049 +tp1050 +a(g826 +g958 +tp1051 +a(g7 +Vthis +p1052 +tp1053 +a(g400 +V-> +p1054 +tp1055 +a(g638 +g1004 +tp1056 +a(g826 +g958 +tp1057 +a(g400 +V= +p1058 +tp1059 +a(g826 +g958 +tp1060 +a(g638 +Vstr +p1061 +tp1062 +a(g693 +g962 +tp1063 +a(g826 +V\u000a +p1064 +tp1065 +a(g7 +Vthis +p1066 +tp1067 +a(g400 +V-> +p1068 +tp1069 +a(g638 +VsetC +p1070 +tp1071 +a(g693 +g1028 +tp1072 +a(g926 +Vbool +p1073 +tp1074 +a(g693 +g1042 +tp1075 +a(g693 +g962 +tp1076 +a(g826 +V\u000a +p1077 +tp1078 +a(g942 +Vlet +p1079 +tp1080 +a(g826 +g958 +tp1081 +a(g7 +Vthis +p1082 +tp1083 +a(g400 +V-> +p1084 +tp1085 +a(g638 +g996 +tp1086 +a(g826 +g958 +tp1087 +a(g400 +g1058 +tp1088 +a(g826 +g958 +tp1089 +a(g693 +V[ +p1090 +tp1091 +a(g693 +V] +p1092 +tp1093 +a(g693 +g962 +tp1094 +a(g826 +V\u000a +p1095 +tp1096 +a(g693 +g1015 +tp1097 +a(g826 +V\u000a\u000a +p1098 +tp1099 +a(g926 +Vpublic +p1100 +tp1101 +a(g826 +g958 +tp1102 +a(g942 +Vfunction +p1103 +tp1104 +a(g826 +g958 +tp1105 +a(g638 +VsayHello +p1106 +tp1107 +a(g693 +g1028 +tp1108 +a(g926 +Vstring +p1109 +tp1110 +a(g826 +g958 +tp1111 +a(g638 +Vname +p1112 +tp1113 +a(g693 +g1042 +tp1114 +a(g826 +V\u000a +p1115 +tp1116 +a(g693 +g981 +tp1117 +a(g826 +V\u000a +p1118 +tp1119 +a(g7 +Vecho +p1120 +tp1121 +a(g826 +g958 +tp1122 +a(g144 +V"Hello " +p1123 +tp1124 +a(g826 +g958 +tp1125 +a(g693 +V. +p1126 +tp1127 +a(g826 +g958 +tp1128 +a(g638 +Vname +p1129 +tp1130 +a(g693 +g962 +tp1131 +a(g826 +V\u000a +p1132 +tp1133 +a(g693 +g1015 +tp1134 +a(g826 +V\u000a\u000a +p1135 +tp1136 +a(g926 +Vprotected +p1137 +tp1138 +a(g826 +g958 +tp1139 +a(g942 +Vfunction +p1140 +tp1141 +a(g826 +g958 +tp1142 +a(g638 +Vloops +p1143 +tp1144 +a(g693 +g1028 +tp1145 +a(g693 +g1042 +tp1146 +a(g826 +V\u000a +p1147 +tp1148 +a(g693 +g981 +tp1149 +a(g826 +V\u000a +p1150 +tp1151 +a(g7 +Vfor +p1152 +tp1153 +a(g826 +g958 +tp1154 +a(g638 +g988 +tp1155 +a(g826 +g958 +tp1156 +a(g7 +Vin +p1157 +tp1158 +a(g826 +g958 +tp1159 +a(g638 +g996 +tp1160 +a(g826 +g958 +tp1161 +a(g693 +g981 +tp1162 +a(g826 +V\u000a +p1163 +tp1164 +a(g7 +Vecho +p1165 +tp1166 +a(g826 +g958 +tp1167 +a(g638 +g988 +tp1168 +a(g693 +g962 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g693 +g1015 +tp1172 +a(g826 +V\u000a +p1173 +tp1174 +a(g7 +Vloop +p1175 +tp1176 +a(g826 +g958 +tp1177 +a(g693 +g981 +tp1178 +a(g826 +V\u000a +p1179 +tp1180 +a(g7 +Vreturn +p1181 +tp1182 +a(g826 +g958 +tp1183 +a(g144 +V"boo!" +p1184 +tp1185 +a(g693 +g962 +tp1186 +a(g826 +V\u000a +p1187 +tp1188 +a(g693 +g1015 +tp1189 +a(g826 +V\u000a +p1190 +tp1191 +a(g693 +g1015 +tp1192 +a(g826 +V\u000a\u000a +p1193 +tp1194 +a(g693 +g1015 +tp1195 +a(g826 +V\u000a +p1196 +tp1197 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test2.odin b/tests/examplefiles/output/test2.odin new file mode 100644 index 0000000..7fee853 --- /dev/null +++ b/tests/examplefiles/output/test2.odin @@ -0,0 +1,3288 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Class' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sg5 +g1 +(g2 +g3 +(g4 +g15 +g5 +tp20 +tp21 +Rp22 +(dp23 +g10 +g18 +sS'subtypes' +p24 +c__builtin__ +set +p25 +((lp26 +tp27 +Rp28 +sbsS'Anonymous' +p29 +g1 +(g2 +g3 +(g4 +g15 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g18 +sg24 +g25 +((lp34 +tp35 +Rp36 +sbsS'Instance' +p37 +g1 +(g2 +g3 +(g4 +g15 +g37 +tp38 +tp39 +Rp40 +(dp41 +g10 +g18 +sg24 +g25 +((lp42 +tp43 +Rp44 +sbsS'Global' +p45 +g1 +(g2 +g3 +(g4 +g15 +g45 +tp46 +tp47 +Rp48 +(dp49 +g10 +g18 +sg24 +g25 +((lp50 +tp51 +Rp52 +sbsg24 +g25 +((lp53 +g32 +ag40 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g18 +sg24 +g25 +((lp59 +tp60 +Rp61 +sbag48 +ag22 +atp62 +Rp63 +sg54 +g57 +sbsS'Operator' +p64 +g1 +(g2 +g3 +(g4 +g64 +tp65 +tp66 +Rp67 +(dp68 +g10 +g13 +sg24 +g25 +((lp69 +tp70 +Rp71 +sbsS'Decorator' +p72 +g1 +(g2 +g3 +(g4 +g72 +tp73 +tp74 +Rp75 +(dp76 +g10 +g13 +sg24 +g25 +((lp77 +tp78 +Rp79 +sbsS'Entity' +p80 +g1 +(g2 +g3 +(g4 +g80 +tp81 +tp82 +Rp83 +(dp84 +g10 +g13 +sS'DBS' +p85 +g1 +(g2 +g3 +(g4 +g80 +g85 +tp86 +tp87 +Rp88 +(dp89 +g10 +g83 +sg24 +g25 +((lp90 +tp91 +Rp92 +sbsg24 +g25 +((lp93 +g88 +atp94 +Rp95 +sbsS'Symbol' +p96 +g1 +(g2 +g3 +(g4 +g96 +tp97 +tp98 +Rp99 +(dp100 +g10 +g13 +sg24 +g25 +((lp101 +tp102 +Rp103 +sbsS'Property' +p104 +g1 +(g2 +g3 +(g4 +g104 +tp105 +tp106 +Rp107 +(dp108 +g10 +g13 +sg24 +g25 +((lp109 +tp110 +Rp111 +sbsS'Pseudo' +p112 +g1 +(g2 +g3 +(g4 +g112 +tp113 +tp114 +Rp115 +(dp116 +g10 +g13 +sg24 +g25 +((lp117 +tp118 +Rp119 +sbsS'Type' +p120 +g1 +(g2 +g3 +(g4 +g120 +tp121 +tp122 +Rp123 +(dp124 +g10 +g13 +sg24 +g25 +((lp125 +tp126 +Rp127 +sbsS'Classes' +p128 +g1 +(g2 +g3 +(g4 +g128 +tp129 +tp130 +Rp131 +(dp132 +g10 +g13 +sg24 +g25 +((lp133 +tp134 +Rp135 +sbsS'Tag' +p136 +g1 +(g2 +g3 +(g4 +g136 +tp137 +tp138 +Rp139 +(dp140 +g10 +g13 +sg24 +g25 +((lp141 +tp142 +Rp143 +sbsS'Constant' +p144 +g1 +(g2 +g3 +(g4 +g144 +tp145 +tp146 +Rp147 +(dp148 +g10 +g13 +sg24 +g25 +((lp149 +tp150 +Rp151 +sbsS'Function' +p152 +g1 +(g2 +g3 +(g4 +g152 +tp153 +tp154 +Rp155 +(dp156 +g10 +g13 +sg24 +g25 +((lp157 +g1 +(g2 +g3 +(g4 +g152 +g54 +tp158 +tp159 +Rp160 +(dp161 +g10 +g155 +sg24 +g25 +((lp162 +tp163 +Rp164 +sbatp165 +Rp166 +sg54 +g160 +sbsS'Blubb' +p167 +g1 +(g2 +g3 +(g4 +g167 +tp168 +tp169 +Rp170 +(dp171 +g10 +g13 +sg24 +g25 +((lp172 +tp173 +Rp174 +sbsS'Label' +p175 +g1 +(g2 +g3 +(g4 +g175 +tp176 +tp177 +Rp178 +(dp179 +g10 +g13 +sg24 +g25 +((lp180 +tp181 +Rp182 +sbsS'Field' +p183 +g1 +(g2 +g3 +(g4 +g183 +tp184 +tp185 +Rp186 +(dp187 +g10 +g13 +sg24 +g25 +((lp188 +tp189 +Rp190 +sbsS'Exception' +p191 +g1 +(g2 +g3 +(g4 +g191 +tp192 +tp193 +Rp194 +(dp195 +g10 +g13 +sg24 +g25 +((lp196 +tp197 +Rp198 +sbsS'Namespace' +p199 +g1 +(g2 +g3 +(g4 +g199 +tp200 +tp201 +Rp202 +(dp203 +g10 +g13 +sg24 +g25 +((lp204 +tp205 +Rp206 +sbsg24 +g25 +((lp207 +g75 +ag170 +ag115 +ag83 +ag18 +ag194 +ag107 +ag139 +ag155 +ag131 +ag8 +ag1 +(g2 +g3 +(g4 +S'Other' +p208 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sS'Member' +p213 +g1 +(g2 +g3 +(g4 +g208 +g213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g211 +sg24 +g25 +((lp218 +tp219 +Rp220 +sbsg24 +g25 +((lp221 +g216 +atp222 +Rp223 +sbag178 +ag67 +ag202 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p224 +tp225 +tp226 +Rp227 +(dp228 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g224 +g15 +tp229 +tp230 +Rp231 +(dp232 +g10 +g227 +sg24 +g25 +((lp233 +tp234 +Rp235 +sbsg24 +g25 +((lp236 +g231 +atp237 +Rp238 +sbag147 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg120 +g1 +(g2 +g3 +(g4 +g239 +g120 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg24 +g25 +((lp248 +tp249 +Rp250 +sbsg24 +g25 +((lp251 +g1 +(g2 +g3 +(g4 +g239 +g112 +tp252 +tp253 +Rp254 +(dp255 +g10 +g242 +sg24 +g25 +((lp256 +tp257 +Rp258 +sbag246 +atp259 +Rp260 +sg112 +g254 +sbag186 +ag123 +ag99 +atp261 +Rp262 +sg10 +g1 +(g2 +g3 +(ttp263 +Rp264 +(dp265 +S'Number' +p266 +g1 +(g2 +g3 +(S'Literal' +p267 +g266 +tp268 +tp269 +Rp270 +(dp271 +S'Integer' +p272 +g1 +(g2 +g3 +(g267 +g266 +g272 +tp273 +tp274 +Rp275 +(dp276 +g10 +g270 +sS'Long' +p277 +g1 +(g2 +g3 +(g267 +g266 +g272 +g277 +tp278 +tp279 +Rp280 +(dp281 +g10 +g275 +sg24 +g25 +((lp282 +tp283 +Rp284 +sbsg24 +g25 +((lp285 +g280 +atp286 +Rp287 +sbsg10 +g1 +(g2 +g3 +(g267 +tp288 +tp289 +Rp290 +(dp291 +S'Scalar' +p292 +g1 +(g2 +g3 +(g267 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg24 +g25 +((lp297 +g1 +(g2 +g3 +(g267 +g292 +S'Plain' +p298 +tp299 +tp300 +Rp301 +(dp302 +g10 +g295 +sg24 +g25 +((lp303 +tp304 +Rp305 +sbatp306 +Rp307 +sg298 +g301 +sbsg266 +g270 +sg10 +g264 +sg208 +g1 +(g2 +g3 +(g267 +g208 +tp308 +tp309 +Rp310 +(dp311 +g10 +g290 +sg24 +g25 +((lp312 +tp313 +Rp314 +sbsS'Char' +p315 +g1 +(g2 +g3 +(g267 +g315 +tp316 +tp317 +Rp318 +(dp319 +g10 +g290 +sg24 +g25 +((lp320 +tp321 +Rp322 +sbsS'String' +p323 +g1 +(g2 +g3 +(g267 +g323 +tp324 +tp325 +Rp326 +(dp327 +g315 +g1 +(g2 +g3 +(g267 +g323 +g315 +tp328 +tp329 +Rp330 +(dp331 +g10 +g326 +sg24 +g25 +((lp332 +tp333 +Rp334 +sbsS'Backtick' +p335 +g1 +(g2 +g3 +(g267 +g323 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g326 +sg24 +g25 +((lp340 +tp341 +Rp342 +sbsS'Heredoc' +p343 +g1 +(g2 +g3 +(g267 +g323 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g326 +sg24 +g25 +((lp348 +tp349 +Rp350 +sbsg96 +g1 +(g2 +g3 +(g267 +g323 +g96 +tp351 +tp352 +Rp353 +(dp354 +g10 +g326 +sg24 +g25 +((lp355 +tp356 +Rp357 +sbsS'Interpol' +p358 +g1 +(g2 +g3 +(g267 +g323 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g326 +sg24 +g25 +((lp363 +tp364 +Rp365 +sbsS'Delimiter' +p366 +g1 +(g2 +g3 +(g267 +g323 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g326 +sg24 +g25 +((lp371 +tp372 +Rp373 +sbsS'Boolean' +p374 +g1 +(g2 +g3 +(g267 +g323 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g326 +sg24 +g25 +((lp379 +tp380 +Rp381 +sbsS'Character' +p382 +g1 +(g2 +g3 +(g267 +g323 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g326 +sg24 +g25 +((lp387 +tp388 +Rp389 +sbsS'Double' +p390 +g1 +(g2 +g3 +(g267 +g323 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g326 +sg24 +g25 +((lp395 +tp396 +Rp397 +sbsS'Delimeter' +p398 +g1 +(g2 +g3 +(g267 +g323 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g326 +sg24 +g25 +((lp403 +tp404 +Rp405 +sbsS'Atom' +p406 +g1 +(g2 +g3 +(g267 +g323 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g326 +sg24 +g25 +((lp411 +tp412 +Rp413 +sbsS'Affix' +p414 +g1 +(g2 +g3 +(g267 +g323 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g326 +sg24 +g25 +((lp419 +tp420 +Rp421 +sbsg4 +g1 +(g2 +g3 +(g267 +g323 +g4 +tp422 +tp423 +Rp424 +(dp425 +g10 +g326 +sg24 +g25 +((lp426 +tp427 +Rp428 +sbsS'Regex' +p429 +g1 +(g2 +g3 +(g267 +g323 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g326 +sg24 +g25 +((lp434 +tp435 +Rp436 +sbsS'Interp' +p437 +g1 +(g2 +g3 +(g267 +g323 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g326 +sg24 +g25 +((lp442 +tp443 +Rp444 +sbsS'Escape' +p445 +g1 +(g2 +g3 +(g267 +g323 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g326 +sg24 +g25 +((lp450 +tp451 +Rp452 +sbsg24 +g25 +((lp453 +g369 +ag353 +ag432 +ag1 +(g2 +g3 +(g267 +g323 +S'Doc' +p454 +tp455 +tp456 +Rp457 +(dp458 +g10 +g326 +sg24 +g25 +((lp459 +tp460 +Rp461 +sbag385 +ag377 +ag393 +ag361 +ag409 +ag401 +ag424 +ag448 +ag1 +(g2 +g3 +(g267 +g323 +S'Single' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g326 +sg24 +g25 +((lp467 +tp468 +Rp469 +sbag1 +(g2 +g3 +(g267 +g323 +g208 +tp470 +tp471 +Rp472 +(dp473 +g10 +g326 +sg24 +g25 +((lp474 +tp475 +Rp476 +sbag440 +ag338 +ag417 +ag1 +(g2 +g3 +(g267 +g323 +S'Moment' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g326 +sg24 +g25 +((lp482 +tp483 +Rp484 +sbag330 +ag346 +atp485 +Rp486 +sg462 +g465 +sg477 +g480 +sg10 +g290 +sg208 +g472 +sg454 +g457 +sbsg24 +g25 +((lp487 +g326 +ag318 +ag310 +ag1 +(g2 +g3 +(g267 +S'Date' +p488 +tp489 +tp490 +Rp491 +(dp492 +g10 +g290 +sg24 +g25 +((lp493 +tp494 +Rp495 +sbag295 +ag270 +atp496 +Rp497 +sg488 +g491 +sbsS'Bin' +p498 +g1 +(g2 +g3 +(g267 +g266 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g270 +sg24 +g25 +((lp503 +tp504 +Rp505 +sbsS'Radix' +p506 +g1 +(g2 +g3 +(g267 +g266 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g270 +sg24 +g25 +((lp511 +tp512 +Rp513 +sbsS'Oct' +p514 +g1 +(g2 +g3 +(g267 +g266 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g270 +sg24 +g25 +((lp519 +tp520 +Rp521 +sbsS'Dec' +p522 +g1 +(g2 +g3 +(g267 +g266 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g270 +sg24 +g25 +((lp527 +tp528 +Rp529 +sbsS'Hex' +p530 +g1 +(g2 +g3 +(g267 +g266 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g270 +sg24 +g25 +((lp535 +tp536 +Rp537 +sbsg24 +g25 +((lp538 +g275 +ag509 +ag525 +ag1 +(g2 +g3 +(g267 +g266 +S'Decimal' +p539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g270 +sg24 +g25 +((lp544 +tp545 +Rp546 +sbag501 +ag1 +(g2 +g3 +(g267 +g266 +S'Float' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g270 +sg24 +g25 +((lp552 +tp553 +Rp554 +sbag517 +ag533 +atp555 +Rp556 +sg539 +g542 +sg547 +g550 +sbsS'Generic' +p557 +g1 +(g2 +g3 +(g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g264 +sS'Deleted' +p562 +g1 +(g2 +g3 +(g557 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g560 +sg24 +g25 +((lp567 +tp568 +Rp569 +sbsS'Subheading' +p570 +g1 +(g2 +g3 +(g557 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g560 +sg24 +g25 +((lp575 +tp576 +Rp577 +sbsS'Heading' +p578 +g1 +(g2 +g3 +(g557 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g560 +sg24 +g25 +((lp583 +tp584 +Rp585 +sbsS'Emph' +p586 +g1 +(g2 +g3 +(g557 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g560 +sg24 +g25 +((lp591 +tp592 +Rp593 +sbsS'Prompt' +p594 +g1 +(g2 +g3 +(g557 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g560 +sg24 +g25 +((lp599 +tp600 +Rp601 +sbsS'Inserted' +p602 +g1 +(g2 +g3 +(g557 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g560 +sg24 +g25 +((lp607 +tp608 +Rp609 +sbsS'Strong' +p610 +g1 +(g2 +g3 +(g557 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g560 +sg24 +g25 +((lp615 +tp616 +Rp617 +sbsS'Error' +p618 +g1 +(g2 +g3 +(g557 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g560 +sg24 +g25 +((lp623 +tp624 +Rp625 +sbsS'Traceback' +p626 +g1 +(g2 +g3 +(g557 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g560 +sg24 +g25 +((lp631 +tp632 +Rp633 +sbsg24 +g25 +((lp634 +g581 +ag573 +ag1 +(g2 +g3 +(g557 +S'Output' +p635 +tp636 +tp637 +Rp638 +(dp639 +g10 +g560 +sg24 +g25 +((lp640 +tp641 +Rp642 +sbag613 +ag589 +ag621 +ag629 +ag605 +ag597 +ag565 +atp643 +Rp644 +sg635 +g638 +sbsg64 +g1 +(g2 +g3 +(g64 +tp645 +tp646 +Rp647 +(dp648 +g10 +g264 +sg85 +g1 +(g2 +g3 +(g64 +g85 +tp649 +tp650 +Rp651 +(dp652 +g10 +g647 +sg24 +g25 +((lp653 +tp654 +Rp655 +sbsg24 +g25 +((lp656 +g651 +ag1 +(g2 +g3 +(g64 +S'Word' +p657 +tp658 +tp659 +Rp660 +(dp661 +g10 +g647 +sg24 +g25 +((lp662 +tp663 +Rp664 +sbatp665 +Rp666 +sg657 +g660 +sbsg323 +g326 +sg4 +g13 +sS'Punctuation' +p667 +g1 +(g2 +g3 +(g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g264 +sg24 +g25 +((lp672 +g1 +(g2 +g3 +(g667 +S'Indicator' +p673 +tp674 +tp675 +Rp676 +(dp677 +g10 +g670 +sg24 +g25 +((lp678 +tp679 +Rp680 +sbatp681 +Rp682 +sg673 +g676 +sbsS'Comment' +p683 +g1 +(g2 +g3 +(g683 +tp684 +tp685 +Rp686 +(dp687 +S'Multi' +p688 +g1 +(g2 +g3 +(g683 +g688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g686 +sg24 +g25 +((lp693 +tp694 +Rp695 +sbsg10 +g264 +sS'Special' +p696 +g1 +(g2 +g3 +(g683 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g686 +sg24 +g25 +((lp701 +tp702 +Rp703 +sbsS'Hashbang' +p704 +g1 +(g2 +g3 +(g683 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g686 +sg24 +g25 +((lp709 +tp710 +Rp711 +sbsS'Preproc' +p712 +g1 +(g2 +g3 +(g683 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g686 +sg24 +g25 +((lp717 +tp718 +Rp719 +sbsg462 +g1 +(g2 +g3 +(g683 +g462 +tp720 +tp721 +Rp722 +(dp723 +g10 +g686 +sg24 +g25 +((lp724 +tp725 +Rp726 +sbsS'Directive' +p727 +g1 +(g2 +g3 +(g683 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g686 +sg24 +g25 +((lp732 +tp733 +Rp734 +sbsg454 +g1 +(g2 +g3 +(g683 +g454 +tp735 +tp736 +Rp737 +(dp738 +g10 +g686 +sg24 +g25 +((lp739 +tp740 +Rp741 +sbsS'Singleline' +p742 +g1 +(g2 +g3 +(g683 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g686 +sg24 +g25 +((lp747 +tp748 +Rp749 +sbsS'Multiline' +p750 +g1 +(g2 +g3 +(g683 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g686 +sg24 +g25 +((lp755 +tp756 +Rp757 +sbsg24 +g25 +((lp758 +g737 +ag730 +ag707 +ag691 +ag745 +ag715 +ag753 +ag722 +ag1 +(g2 +g3 +(g683 +S'PreprocFile' +p759 +tp760 +tp761 +Rp762 +(dp763 +g10 +g686 +sg24 +g25 +((lp764 +tp765 +Rp766 +sbag1 +(g2 +g3 +(g683 +S'SingleLine' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g686 +sg24 +g25 +((lp772 +tp773 +Rp774 +sbag699 +atp775 +Rp776 +sg759 +g762 +sg767 +g770 +sbsg267 +g290 +sg208 +g1 +(g2 +g3 +(g208 +tp777 +tp778 +Rp779 +(dp780 +g10 +g264 +sg24 +g25 +((lp781 +tp782 +Rp783 +sbsg618 +g1 +(g2 +g3 +(g618 +tp784 +tp785 +Rp786 +(dp787 +g10 +g264 +sg24 +g25 +((lp788 +tp789 +Rp790 +sbsS'Token' +p791 +g264 +sg445 +g1 +(g2 +g3 +(g445 +tp792 +tp793 +Rp794 +(dp795 +g10 +g264 +sg24 +g25 +((lp796 +tp797 +Rp798 +sbsg24 +g25 +((lp799 +g13 +ag779 +ag1 +(g2 +g3 +(S'Keyword' +p800 +tp801 +tp802 +Rp803 +(dp804 +g10 +g264 +sg120 +g1 +(g2 +g3 +(g800 +g120 +tp805 +tp806 +Rp807 +(dp808 +g10 +g803 +sg24 +g25 +((lp809 +tp810 +Rp811 +sbsS'Control' +p812 +g1 +(g2 +g3 +(g800 +g812 +tp813 +tp814 +Rp815 +(dp816 +g10 +g803 +sg24 +g25 +((lp817 +tp818 +Rp819 +sbsg144 +g1 +(g2 +g3 +(g800 +g144 +tp820 +tp821 +Rp822 +(dp823 +g10 +g803 +sg24 +g25 +((lp824 +tp825 +Rp826 +sbsg199 +g1 +(g2 +g3 +(g800 +g199 +tp827 +tp828 +Rp829 +(dp830 +g10 +g803 +sg24 +g25 +((lp831 +tp832 +Rp833 +sbsS'PreProc' +p834 +g1 +(g2 +g3 +(g800 +g834 +tp835 +tp836 +Rp837 +(dp838 +g10 +g803 +sg24 +g25 +((lp839 +tp840 +Rp841 +sbsg112 +g1 +(g2 +g3 +(g800 +g112 +tp842 +tp843 +Rp844 +(dp845 +g10 +g803 +sg24 +g25 +((lp846 +tp847 +Rp848 +sbsS'Reserved' +p849 +g1 +(g2 +g3 +(g800 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g803 +sg24 +g25 +((lp854 +tp855 +Rp856 +sbsg24 +g25 +((lp857 +g829 +ag1 +(g2 +g3 +(g800 +g657 +tp858 +tp859 +Rp860 +(dp861 +g10 +g803 +sg24 +g25 +((lp862 +tp863 +Rp864 +sbag815 +ag1 +(g2 +g3 +(g800 +S'Declaration' +p865 +tp866 +tp867 +Rp868 +(dp869 +g10 +g803 +sg24 +g25 +((lp870 +tp871 +Rp872 +sbag1 +(g2 +g3 +(g800 +g800 +tp873 +tp874 +Rp875 +(dp876 +g10 +g803 +sg24 +g25 +((lp877 +tp878 +Rp879 +sbag844 +ag822 +ag807 +ag852 +ag837 +atp880 +Rp881 +sg800 +g875 +sg865 +g868 +sg657 +g860 +sbag560 +ag1 +(g2 +g3 +(S'Text' +p882 +tp883 +tp884 +Rp885 +(dp886 +S'Beer' +p887 +g1 +(g2 +g3 +(g882 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g885 +sg24 +g25 +((lp892 +tp893 +Rp894 +sbsS'Whitespace' +p895 +g1 +(g2 +g3 +(g882 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g885 +sg24 +g25 +((lp900 +tp901 +Rp902 +sbsg10 +g264 +sS'Root' +p903 +g1 +(g2 +g3 +(g882 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g885 +sg24 +g25 +((lp908 +tp909 +Rp910 +sbsg96 +g1 +(g2 +g3 +(g882 +g96 +tp911 +tp912 +Rp913 +(dp914 +g10 +g885 +sg24 +g25 +((lp915 +tp916 +Rp917 +sbsg667 +g1 +(g2 +g3 +(g882 +g667 +tp918 +tp919 +Rp920 +(dp921 +g10 +g885 +sg24 +g25 +((lp922 +tp923 +Rp924 +sbsg24 +g25 +((lp925 +g906 +ag913 +ag920 +ag898 +ag890 +ag1 +(g2 +g3 +(g882 +S'Rag' +p926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g885 +sg24 +g25 +((lp931 +tp932 +Rp933 +sbatp934 +Rp935 +sg926 +g929 +sbag647 +ag794 +ag670 +ag686 +ag786 +ag290 +atp936 +Rp937 +sg800 +g803 +sg882 +g885 +sbsg5 +g8 +sg239 +g242 +sg224 +g227 +sg208 +g211 +sbsg85 +g1 +(g2 +g3 +(g4 +g5 +g85 +tp938 +tp939 +Rp940 +(dp941 +g10 +g8 +sg24 +g25 +((lp942 +tp943 +Rp944 +sbsg24 +g25 +((lp945 +g1 +(g2 +g3 +(g4 +g5 +S'Start' +p946 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg24 +g25 +((lp951 +tp952 +Rp953 +sbag940 +atp954 +Rp955 +sg946 +g949 +sbVschool_schedule +p956 +tp957 +a(g885 +V +p958 +tp959 +a(g647 +V= +p960 +tp961 +a(g885 +g958 +tp962 +a(g670 +V< +p963 +tp964 +a(g885 +V\u000a +p965 +tp966 +a(g885 +V +p967 +tp968 +a(g8 +Vlesson_times +p969 +tp970 +a(g885 +g958 +tp971 +a(g647 +g960 +tp972 +a(g885 +g958 +tp973 +a(g670 +g963 +tp974 +a(g491 +V08:30:00 +p975 +tp976 +a(g670 +V, +p977 +tp978 +a(g885 +g958 +tp979 +a(g491 +V09:30:00 +p980 +tp981 +a(g670 +g977 +tp982 +a(g885 +g958 +tp983 +a(g491 +V10:30:00 +p984 +tp985 +a(g670 +g977 +tp986 +a(g885 +g958 +tp987 +a(g670 +V... +p988 +tp989 +a(g670 +V> +p990 +tp991 +a(g885 +V\u000a +p992 +tp993 +a(g885 +V\u000a +p994 +tp995 +a(g885 +V +p996 +tp997 +a(g8 +Vlocations +p998 +tp999 +a(g885 +g958 +tp1000 +a(g647 +g960 +tp1001 +a(g885 +g958 +tp1002 +a(g670 +g963 +tp1003 +a(g885 +V\u000a +p1004 +tp1005 +a(g885 +V +p1006 +tp1007 +a(g670 +V[ +p1008 +tp1009 +a(g275 +V1 +p1010 +tp1011 +a(g670 +V] +p1012 +tp1013 +a(g885 +g958 +tp1014 +a(g647 +g960 +tp1015 +a(g885 +g958 +tp1016 +a(g670 +g963 +tp1017 +a(g326 +V" +p1018 +tp1019 +a(g326 +Vunder the big plane tree +p1020 +tp1021 +a(g326 +g1018 +tp1022 +a(g670 +g990 +tp1023 +a(g885 +V\u000a +p1024 +tp1025 +a(g885 +V +p1026 +tp1027 +a(g670 +g1008 +tp1028 +a(g275 +V2 +p1029 +tp1030 +a(g670 +g1012 +tp1031 +a(g885 +g958 +tp1032 +a(g647 +g960 +tp1033 +a(g885 +g958 +tp1034 +a(g670 +g963 +tp1035 +a(g326 +g1018 +tp1036 +a(g326 +Vunder the north arch +p1037 +tp1038 +a(g326 +g1018 +tp1039 +a(g670 +g990 +tp1040 +a(g885 +V\u000a +p1041 +tp1042 +a(g885 +V +p1043 +tp1044 +a(g670 +g1008 +tp1045 +a(g275 +V3 +p1046 +tp1047 +a(g670 +g1012 +tp1048 +a(g885 +g958 +tp1049 +a(g647 +g960 +tp1050 +a(g885 +g958 +tp1051 +a(g670 +g963 +tp1052 +a(g326 +g1018 +tp1053 +a(g326 +Vin a garden +p1054 +tp1055 +a(g326 +g1018 +tp1056 +a(g670 +g990 +tp1057 +a(g885 +V\u000a +p1058 +tp1059 +a(g885 +V +p1060 +tp1061 +a(g670 +g990 +tp1062 +a(g885 +V\u000a +p1063 +tp1064 +a(g885 +V \u000a +p1065 +tp1066 +a(g8 +Vsubjects +p1067 +tp1068 +a(g885 +g958 +tp1069 +a(g647 +g960 +tp1070 +a(g885 +g958 +tp1071 +a(g670 +g963 +tp1072 +a(g885 +V\u000a +p1073 +tp1074 +a(g885 +V +p1075 +tp1076 +a(g670 +g1008 +tp1077 +a(g326 +g1018 +tp1078 +a(g326 +Vphilosophy:plato +p1079 +tp1080 +a(g326 +g1018 +tp1081 +a(g670 +g1012 +tp1082 +a(g885 +g958 +tp1083 +a(g647 +g960 +tp1084 +a(g885 +g958 +tp1085 +a(g670 +g963 +tp1086 +a(g885 +g958 +tp1087 +a(g686 +V-- note construction of key +p1088 +tp1089 +a(g885 +V\u000a +p1090 +tp1091 +a(g885 +V +p1092 +tp1093 +a(g8 +Vname +p1094 +tp1095 +a(g885 +g958 +tp1096 +a(g647 +g960 +tp1097 +a(g885 +g958 +tp1098 +a(g670 +g963 +tp1099 +a(g326 +g1018 +tp1100 +a(g326 +Vphilosophy +p1101 +tp1102 +a(g326 +g1018 +tp1103 +a(g670 +g990 +tp1104 +a(g885 +V\u000a +p1105 +tp1106 +a(g885 +V +p1107 +tp1108 +a(g8 +Vteacher +p1109 +tp1110 +a(g885 +g958 +tp1111 +a(g647 +g960 +tp1112 +a(g885 +g958 +tp1113 +a(g670 +g963 +tp1114 +a(g326 +g1018 +tp1115 +a(g326 +Vplato +p1116 +tp1117 +a(g326 +g1018 +tp1118 +a(g670 +g990 +tp1119 +a(g885 +V\u000a +p1120 +tp1121 +a(g885 +V +p1122 +tp1123 +a(g8 +Vtopics +p1124 +tp1125 +a(g885 +g958 +tp1126 +a(g647 +g960 +tp1127 +a(g885 +g958 +tp1128 +a(g670 +g963 +tp1129 +a(g326 +g1018 +tp1130 +a(g326 +Vmeta-physics +p1131 +tp1132 +a(g326 +g1018 +tp1133 +a(g670 +g977 +tp1134 +a(g885 +g958 +tp1135 +a(g326 +g1018 +tp1136 +a(g326 +Vnatural science +p1137 +tp1138 +a(g326 +g1018 +tp1139 +a(g670 +g990 +tp1140 +a(g885 +V\u000a +p1141 +tp1142 +a(g885 +V +p1143 +tp1144 +a(g8 +Vweighting +p1145 +tp1146 +a(g885 +g958 +tp1147 +a(g647 +g960 +tp1148 +a(g885 +g958 +tp1149 +a(g670 +g963 +tp1150 +a(g275 +V76% +p1151 +tp1152 +a(g670 +g990 +tp1153 +a(g885 +V\u000a +p1154 +tp1155 +a(g885 +V +p1156 +tp1157 +a(g670 +g990 +tp1158 +a(g885 +V\u000a +p1159 +tp1160 +a(g885 +V +p1161 +tp1162 +a(g670 +g1008 +tp1163 +a(g326 +g1018 +tp1164 +a(g326 +Vphilosophy:kant +p1165 +tp1166 +a(g326 +g1018 +tp1167 +a(g670 +g1012 +tp1168 +a(g885 +g958 +tp1169 +a(g647 +g960 +tp1170 +a(g885 +g958 +tp1171 +a(g670 +g963 +tp1172 +a(g885 +V\u000a +p1173 +tp1174 +a(g885 +V +p1175 +tp1176 +a(g8 +Vname +p1177 +tp1178 +a(g885 +g958 +tp1179 +a(g647 +g960 +tp1180 +a(g885 +g958 +tp1181 +a(g670 +g963 +tp1182 +a(g326 +g1018 +tp1183 +a(g326 +Vphilosophy +p1184 +tp1185 +a(g326 +g1018 +tp1186 +a(g670 +g990 +tp1187 +a(g885 +V\u000a +p1188 +tp1189 +a(g885 +V +p1190 +tp1191 +a(g8 +Vteacher +p1192 +tp1193 +a(g885 +g958 +tp1194 +a(g647 +g960 +tp1195 +a(g885 +g958 +tp1196 +a(g670 +g963 +tp1197 +a(g326 +g1018 +tp1198 +a(g326 +Vkant +p1199 +tp1200 +a(g326 +g1018 +tp1201 +a(g670 +g990 +tp1202 +a(g885 +V\u000a +p1203 +tp1204 +a(g885 +V +p1205 +tp1206 +a(g8 +Vtopics +p1207 +tp1208 +a(g885 +g958 +tp1209 +a(g647 +g960 +tp1210 +a(g885 +g958 +tp1211 +a(g670 +g963 +tp1212 +a(g326 +g1018 +tp1213 +a(g326 +Vmeaning and reason +p1214 +tp1215 +a(g326 +g1018 +tp1216 +a(g670 +g977 +tp1217 +a(g885 +g958 +tp1218 +a(g326 +g1018 +tp1219 +a(g326 +Vmeta-physics +p1220 +tp1221 +a(g326 +g1018 +tp1222 +a(g670 +g977 +tp1223 +a(g885 +g958 +tp1224 +a(g326 +g1018 +tp1225 +a(g326 +Vethics +p1226 +tp1227 +a(g326 +g1018 +tp1228 +a(g670 +g990 +tp1229 +a(g885 +V\u000a +p1230 +tp1231 +a(g885 +V +p1232 +tp1233 +a(g8 +Vweighting +p1234 +tp1235 +a(g885 +g958 +tp1236 +a(g647 +g960 +tp1237 +a(g885 +g958 +tp1238 +a(g670 +g963 +tp1239 +a(g275 +V80% +p1240 +tp1241 +a(g670 +g990 +tp1242 +a(g885 +V\u000a +p1243 +tp1244 +a(g885 +V +p1245 +tp1246 +a(g670 +g990 +tp1247 +a(g885 +V\u000a +p1248 +tp1249 +a(g885 +V +p1250 +tp1251 +a(g670 +g1008 +tp1252 +a(g326 +g1018 +tp1253 +a(g326 +Vart +p1254 +tp1255 +a(g326 +g1018 +tp1256 +a(g670 +g1012 +tp1257 +a(g885 +g958 +tp1258 +a(g647 +g960 +tp1259 +a(g885 +g958 +tp1260 +a(g670 +g963 +tp1261 +a(g885 +V\u000a +p1262 +tp1263 +a(g885 +V +p1264 +tp1265 +a(g8 +Vname +p1266 +tp1267 +a(g885 +g958 +tp1268 +a(g647 +g960 +tp1269 +a(g885 +g958 +tp1270 +a(g670 +g963 +tp1271 +a(g326 +g1018 +tp1272 +a(g326 +Vart +p1273 +tp1274 +a(g326 +g1018 +tp1275 +a(g670 +g990 +tp1276 +a(g885 +V\u000a +p1277 +tp1278 +a(g885 +V +p1279 +tp1280 +a(g8 +Vteacher +p1281 +tp1282 +a(g885 +g958 +tp1283 +a(g647 +g960 +tp1284 +a(g885 +g958 +tp1285 +a(g670 +g963 +tp1286 +a(g326 +g1018 +tp1287 +a(g326 +Vgoya +p1288 +tp1289 +a(g326 +g1018 +tp1290 +a(g670 +g990 +tp1291 +a(g885 +V\u000a +p1292 +tp1293 +a(g885 +V +p1294 +tp1295 +a(g8 +Vtopics +p1296 +tp1297 +a(g885 +g958 +tp1298 +a(g647 +g960 +tp1299 +a(g885 +g958 +tp1300 +a(g670 +g963 +tp1301 +a(g326 +g1018 +tp1302 +a(g326 +Vtechnique +p1303 +tp1304 +a(g326 +g1018 +tp1305 +a(g670 +g977 +tp1306 +a(g885 +g958 +tp1307 +a(g326 +g1018 +tp1308 +a(g326 +Vportraiture +p1309 +tp1310 +a(g326 +g1018 +tp1311 +a(g670 +g977 +tp1312 +a(g885 +g958 +tp1313 +a(g326 +g1018 +tp1314 +a(g326 +Vsatire +p1315 +tp1316 +a(g326 +g1018 +tp1317 +a(g670 +g990 +tp1318 +a(g885 +V\u000a +p1319 +tp1320 +a(g885 +V +p1321 +tp1322 +a(g8 +Vweighting +p1323 +tp1324 +a(g885 +g958 +tp1325 +a(g647 +g960 +tp1326 +a(g885 +g958 +tp1327 +a(g670 +g963 +tp1328 +a(g275 +V78% +p1329 +tp1330 +a(g670 +g990 +tp1331 +a(g885 +V\u000a +p1332 +tp1333 +a(g885 +V +p1334 +tp1335 +a(g670 +g990 +tp1336 +a(g885 +V\u000a +p1337 +tp1338 +a(g885 +V +p1339 +tp1340 +a(g670 +g990 +tp1341 +a(g885 +V\u000a +p1342 +tp1343 +a(g670 +g990 +tp1344 +a(g885 +V\u000a +p1345 +tp1346 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test2.pypylog b/tests/examplefiles/output/test2.pypylog new file mode 100644 index 0000000..b543399 --- /dev/null +++ b/tests/examplefiles/output/test2.pypylog @@ -0,0 +1,8919 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbV[2f1dd6c3b8b7] {jit-log-opt-loop +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g709 +V# Loop 0 ( ds1dr4 dsdr3 ds1dr4) : loop with 115 ops +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g693 +V[ +p964 +tp965 +a(g423 +Vp0 +p966 +tp967 +a(g693 +V, +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g423 +Vp1 +p972 +tp973 +a(g693 +V] +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g709 +V+33: +p978 +tp979 +a(g616 +Vlabel +p980 +tp981 +a(g693 +V( +p982 +tp983 +a(g423 +Vp0 +p984 +tp985 +a(g693 +g968 +tp986 +a(g826 +g970 +tp987 +a(g423 +Vp1 +p988 +tp989 +a(g693 +g968 +tp990 +a(g826 +g970 +tp991 +a(g423 +Vdescr +p992 +tp993 +a(g693 +V= +p994 +tp995 +a(g423 +VTargetToken +p996 +tp997 +a(g693 +g982 +tp998 +a(g22 +V-1223434224 +p999 +tp1000 +a(g693 +V) +p1001 +tp1002 +a(g693 +g1001 +tp1003 +a(g826 +V\u000a +p1004 +tp1005 +a(g616 +Vdebug_merge_point +p1006 +tp1007 +a(g693 +g982 +tp1008 +a(g22 +V0 +p1009 +tp1010 +a(g693 +g968 +tp1011 +a(g826 +g970 +tp1012 +a(g22 +g1009 +tp1013 +a(g693 +g968 +tp1014 +a(g826 +g970 +tp1015 +a(g76 +V' ds1dr4 dsdr3 ds1dr4' +p1016 +tp1017 +a(g693 +g1001 +tp1018 +a(g826 +V\u000a +p1019 +tp1020 +a(g709 +V+33: +p1021 +tp1022 +a(g669 +Vguard_nonnull_class +p1023 +tp1024 +a(g693 +g982 +tp1025 +a(g423 +Vp1 +p1026 +tp1027 +a(g693 +g968 +tp1028 +a(g826 +g970 +tp1029 +a(g22 +V138371488 +p1030 +tp1031 +a(g693 +g968 +tp1032 +a(g826 +g970 +tp1033 +a(g423 +Vdescr +p1034 +tp1035 +a(g693 +g994 +tp1036 +a(g669 +V +p1037 +tp1038 +a(g693 +g1001 +tp1039 +a(g826 +g970 +tp1040 +a(g693 +g964 +tp1041 +a(g423 +Vp1 +p1042 +tp1043 +a(g693 +g968 +tp1044 +a(g826 +g970 +tp1045 +a(g423 +Vp0 +p1046 +tp1047 +a(g693 +g974 +tp1048 +a(g826 +V\u000a +p1049 +tp1050 +a(g709 +V+54: +p1051 +tp1052 +a(g423 +Vp3 +p1053 +tp1054 +a(g826 +g970 +tp1055 +a(g693 +g994 +tp1056 +a(g826 +g970 +tp1057 +a(g669 +Vgetfield_gc_pure +p1058 +tp1059 +a(g693 +g982 +tp1060 +a(g423 +Vp1 +p1061 +tp1062 +a(g693 +g968 +tp1063 +a(g826 +g970 +tp1064 +a(g423 +Vdescr +p1065 +tp1066 +a(g693 +g994 +tp1067 +a(g669 +V +p1068 +tp1069 +a(g693 +g1001 +tp1070 +a(g826 +V\u000a +p1071 +tp1072 +a(g709 +V+57: +p1073 +tp1074 +a(g669 +Vguard_value +p1075 +tp1076 +a(g693 +g982 +tp1077 +a(g423 +Vp3 +p1078 +tp1079 +a(g693 +g968 +tp1080 +a(g826 +g970 +tp1081 +a(g423 +VConstPtr +p1082 +tp1083 +a(g693 +g982 +tp1084 +a(g669 +Vptr4 +p1085 +tp1086 +a(g693 +g1001 +tp1087 +a(g693 +g968 +tp1088 +a(g826 +g970 +tp1089 +a(g423 +Vdescr +p1090 +tp1091 +a(g693 +g994 +tp1092 +a(g669 +V +p1093 +tp1094 +a(g693 +g1001 +tp1095 +a(g826 +g970 +tp1096 +a(g693 +g964 +tp1097 +a(g423 +Vp1 +p1098 +tp1099 +a(g693 +g968 +tp1100 +a(g826 +g970 +tp1101 +a(g423 +Vp0 +p1102 +tp1103 +a(g693 +g968 +tp1104 +a(g826 +g970 +tp1105 +a(g423 +Vp3 +p1106 +tp1107 +a(g693 +g974 +tp1108 +a(g826 +V\u000a +p1109 +tp1110 +a(g709 +V+69: +p1111 +tp1112 +a(g423 +Vp5 +p1113 +tp1114 +a(g826 +g970 +tp1115 +a(g693 +g994 +tp1116 +a(g826 +g970 +tp1117 +a(g669 +Vgetfield_gc_pure +p1118 +tp1119 +a(g693 +g982 +tp1120 +a(g423 +Vp1 +p1121 +tp1122 +a(g693 +g968 +tp1123 +a(g826 +g970 +tp1124 +a(g423 +Vdescr +p1125 +tp1126 +a(g693 +g994 +tp1127 +a(g669 +V +p1128 +tp1129 +a(g693 +g1001 +tp1130 +a(g826 +V\u000a +p1131 +tp1132 +a(g709 +V+72: +p1133 +tp1134 +a(g423 +Vp7 +p1135 +tp1136 +a(g826 +g970 +tp1137 +a(g693 +g994 +tp1138 +a(g826 +g970 +tp1139 +a(g669 +Vgetarrayitem_gc +p1140 +tp1141 +a(g693 +g982 +tp1142 +a(g423 +Vp5 +p1143 +tp1144 +a(g693 +g968 +tp1145 +a(g826 +g970 +tp1146 +a(g22 +g1009 +tp1147 +a(g693 +g968 +tp1148 +a(g826 +g970 +tp1149 +a(g423 +Vdescr +p1150 +tp1151 +a(g693 +g994 +tp1152 +a(g669 +V +p1153 +tp1154 +a(g693 +g1001 +tp1155 +a(g826 +V\u000a +p1156 +tp1157 +a(g709 +V+75: +p1158 +tp1159 +a(g669 +Vguard_class +p1160 +tp1161 +a(g693 +g982 +tp1162 +a(g423 +Vp7 +p1163 +tp1164 +a(g693 +g968 +tp1165 +a(g826 +g970 +tp1166 +a(g22 +V138371552 +p1167 +tp1168 +a(g693 +g968 +tp1169 +a(g826 +g970 +tp1170 +a(g423 +Vdescr +p1171 +tp1172 +a(g693 +g994 +tp1173 +a(g669 +V +p1174 +tp1175 +a(g693 +g1001 +tp1176 +a(g826 +g970 +tp1177 +a(g693 +g964 +tp1178 +a(g423 +Vp0 +p1179 +tp1180 +a(g693 +g968 +tp1181 +a(g826 +g970 +tp1182 +a(g423 +Vp5 +p1183 +tp1184 +a(g693 +g968 +tp1185 +a(g826 +g970 +tp1186 +a(g423 +Vp7 +p1187 +tp1188 +a(g693 +g974 +tp1189 +a(g826 +V\u000a +p1190 +tp1191 +a(g709 +V+88: +p1192 +tp1193 +a(g423 +Vp9 +p1194 +tp1195 +a(g826 +g970 +tp1196 +a(g693 +g994 +tp1197 +a(g826 +g970 +tp1198 +a(g669 +Vgetfield_gc +p1199 +tp1200 +a(g693 +g982 +tp1201 +a(g423 +Vp7 +p1202 +tp1203 +a(g693 +g968 +tp1204 +a(g826 +g970 +tp1205 +a(g423 +Vdescr +p1206 +tp1207 +a(g693 +g994 +tp1208 +a(g669 +V +p1209 +tp1210 +a(g693 +g1001 +tp1211 +a(g826 +V\u000a +p1212 +tp1213 +a(g709 +V+91: +p1214 +tp1215 +a(g669 +Vguard_nonnull_class +p1216 +tp1217 +a(g693 +g982 +tp1218 +a(g423 +Vp9 +p1219 +tp1220 +a(g693 +g968 +tp1221 +a(g826 +g970 +tp1222 +a(g22 +V138373024 +p1223 +tp1224 +a(g693 +g968 +tp1225 +a(g826 +g970 +tp1226 +a(g423 +Vdescr +p1227 +tp1228 +a(g693 +g994 +tp1229 +a(g669 +V +p1230 +tp1231 +a(g693 +g1001 +tp1232 +a(g826 +g970 +tp1233 +a(g693 +g964 +tp1234 +a(g423 +Vp0 +p1235 +tp1236 +a(g693 +g968 +tp1237 +a(g826 +g970 +tp1238 +a(g423 +Vp5 +p1239 +tp1240 +a(g693 +g968 +tp1241 +a(g826 +g970 +tp1242 +a(g423 +Vp7 +p1243 +tp1244 +a(g693 +g968 +tp1245 +a(g826 +g970 +tp1246 +a(g423 +Vp9 +p1247 +tp1248 +a(g693 +g974 +tp1249 +a(g826 +V\u000a +p1250 +tp1251 +a(g709 +V+109: +p1252 +tp1253 +a(g423 +Vp12 +p1254 +tp1255 +a(g826 +g970 +tp1256 +a(g693 +g994 +tp1257 +a(g826 +g970 +tp1258 +a(g669 +Vgetarrayitem_gc +p1259 +tp1260 +a(g693 +g982 +tp1261 +a(g423 +Vp5 +p1262 +tp1263 +a(g693 +g968 +tp1264 +a(g826 +g970 +tp1265 +a(g22 +V1 +p1266 +tp1267 +a(g693 +g968 +tp1268 +a(g826 +g970 +tp1269 +a(g423 +Vdescr +p1270 +tp1271 +a(g693 +g994 +tp1272 +a(g669 +V +p1273 +tp1274 +a(g693 +g1001 +tp1275 +a(g826 +V\u000a +p1276 +tp1277 +a(g709 +V+112: +p1278 +tp1279 +a(g669 +Vguard_class +p1280 +tp1281 +a(g693 +g982 +tp1282 +a(g423 +Vp12 +p1283 +tp1284 +a(g693 +g968 +tp1285 +a(g826 +g970 +tp1286 +a(g22 +V138371552 +p1287 +tp1288 +a(g693 +g968 +tp1289 +a(g826 +g970 +tp1290 +a(g423 +Vdescr +p1291 +tp1292 +a(g693 +g994 +tp1293 +a(g669 +V +p1294 +tp1295 +a(g693 +g1001 +tp1296 +a(g826 +g970 +tp1297 +a(g693 +g964 +tp1298 +a(g423 +Vp0 +p1299 +tp1300 +a(g693 +g968 +tp1301 +a(g826 +g970 +tp1302 +a(g423 +Vp5 +p1303 +tp1304 +a(g693 +g968 +tp1305 +a(g826 +g970 +tp1306 +a(g423 +Vp12 +p1307 +tp1308 +a(g693 +g968 +tp1309 +a(g826 +g970 +tp1310 +a(g423 +Vp7 +p1311 +tp1312 +a(g693 +g974 +tp1313 +a(g826 +V\u000a +p1314 +tp1315 +a(g709 +V+125: +p1316 +tp1317 +a(g423 +Vp14 +p1318 +tp1319 +a(g826 +g970 +tp1320 +a(g693 +g994 +tp1321 +a(g826 +g970 +tp1322 +a(g669 +Vgetfield_gc +p1323 +tp1324 +a(g693 +g982 +tp1325 +a(g423 +Vp12 +p1326 +tp1327 +a(g693 +g968 +tp1328 +a(g826 +g970 +tp1329 +a(g423 +Vdescr +p1330 +tp1331 +a(g693 +g994 +tp1332 +a(g669 +V +p1333 +tp1334 +a(g693 +g1001 +tp1335 +a(g826 +V\u000a +p1336 +tp1337 +a(g709 +V+128: +p1338 +tp1339 +a(g669 +Vguard_nonnull_class +p1340 +tp1341 +a(g693 +g982 +tp1342 +a(g423 +Vp14 +p1343 +tp1344 +a(g693 +g968 +tp1345 +a(g826 +g970 +tp1346 +a(g22 +V138373024 +p1347 +tp1348 +a(g693 +g968 +tp1349 +a(g826 +g970 +tp1350 +a(g423 +Vdescr +p1351 +tp1352 +a(g693 +g994 +tp1353 +a(g669 +V +p1354 +tp1355 +a(g693 +g1001 +tp1356 +a(g826 +g970 +tp1357 +a(g693 +g964 +tp1358 +a(g423 +Vp0 +p1359 +tp1360 +a(g693 +g968 +tp1361 +a(g826 +g970 +tp1362 +a(g423 +Vp5 +p1363 +tp1364 +a(g693 +g968 +tp1365 +a(g826 +g970 +tp1366 +a(g423 +Vp12 +p1367 +tp1368 +a(g693 +g968 +tp1369 +a(g826 +g970 +tp1370 +a(g423 +Vp14 +p1371 +tp1372 +a(g693 +g968 +tp1373 +a(g826 +g970 +tp1374 +a(g423 +Vp7 +p1375 +tp1376 +a(g693 +g974 +tp1377 +a(g826 +V\u000a +p1378 +tp1379 +a(g616 +Vdebug_merge_point +p1380 +tp1381 +a(g693 +g982 +tp1382 +a(g22 +g1009 +tp1383 +a(g693 +g968 +tp1384 +a(g826 +g970 +tp1385 +a(g22 +g1009 +tp1386 +a(g693 +g968 +tp1387 +a(g826 +g970 +tp1388 +a(g76 +V'None' +p1389 +tp1390 +a(g693 +g1001 +tp1391 +a(g826 +V\u000a +p1392 +tp1393 +a(g616 +Vdebug_merge_point +p1394 +tp1395 +a(g693 +g982 +tp1396 +a(g22 +g1009 +tp1397 +a(g693 +g968 +tp1398 +a(g826 +g970 +tp1399 +a(g22 +g1009 +tp1400 +a(g693 +g968 +tp1401 +a(g826 +g970 +tp1402 +a(g76 +V'None' +p1403 +tp1404 +a(g693 +g1001 +tp1405 +a(g826 +V\u000a +p1406 +tp1407 +a(g709 +V+146: +p1408 +tp1409 +a(g423 +Vp16 +p1410 +tp1411 +a(g826 +g970 +tp1412 +a(g693 +g994 +tp1413 +a(g826 +g970 +tp1414 +a(g669 +Vgetfield_gc_pure +p1415 +tp1416 +a(g693 +g982 +tp1417 +a(g423 +Vp9 +p1418 +tp1419 +a(g693 +g968 +tp1420 +a(g826 +g970 +tp1421 +a(g423 +Vdescr +p1422 +tp1423 +a(g693 +g994 +tp1424 +a(g669 +V +p1425 +tp1426 +a(g693 +g1001 +tp1427 +a(g826 +V\u000a +p1428 +tp1429 +a(g709 +V+149: +p1430 +tp1431 +a(g669 +Vguard_value +p1432 +tp1433 +a(g693 +g982 +tp1434 +a(g423 +Vp16 +p1435 +tp1436 +a(g693 +g968 +tp1437 +a(g826 +g970 +tp1438 +a(g423 +VConstPtr +p1439 +tp1440 +a(g693 +g982 +tp1441 +a(g669 +Vptr17 +p1442 +tp1443 +a(g693 +g1001 +tp1444 +a(g693 +g968 +tp1445 +a(g826 +g970 +tp1446 +a(g423 +Vdescr +p1447 +tp1448 +a(g693 +g994 +tp1449 +a(g669 +V +p1450 +tp1451 +a(g693 +g1001 +tp1452 +a(g826 +g970 +tp1453 +a(g693 +g964 +tp1454 +a(g423 +Vp16 +p1455 +tp1456 +a(g693 +g968 +tp1457 +a(g826 +g970 +tp1458 +a(g423 +Vp9 +p1459 +tp1460 +a(g693 +g968 +tp1461 +a(g826 +g970 +tp1462 +a(g423 +Vp0 +p1463 +tp1464 +a(g693 +g968 +tp1465 +a(g826 +g970 +tp1466 +a(g423 +Vp12 +p1467 +tp1468 +a(g693 +g968 +tp1469 +a(g826 +g970 +tp1470 +a(g423 +Vp7 +p1471 +tp1472 +a(g693 +g974 +tp1473 +a(g826 +V\u000a +p1474 +tp1475 +a(g709 +V+161: +p1476 +tp1477 +a(g423 +Vp18 +p1478 +tp1479 +a(g826 +g970 +tp1480 +a(g693 +g994 +tp1481 +a(g826 +g970 +tp1482 +a(g669 +Vgetfield_gc_pure +p1483 +tp1484 +a(g693 +g982 +tp1485 +a(g423 +Vp9 +p1486 +tp1487 +a(g693 +g968 +tp1488 +a(g826 +g970 +tp1489 +a(g423 +Vdescr +p1490 +tp1491 +a(g693 +g994 +tp1492 +a(g669 +V +p1493 +tp1494 +a(g693 +g1001 +tp1495 +a(g826 +V\u000a +p1496 +tp1497 +a(g709 +V+164: +p1498 +tp1499 +a(g669 +Vguard_class +p1500 +tp1501 +a(g693 +g982 +tp1502 +a(g423 +Vp18 +p1503 +tp1504 +a(g693 +g968 +tp1505 +a(g826 +g970 +tp1506 +a(g22 +V138371648 +p1507 +tp1508 +a(g693 +g968 +tp1509 +a(g826 +g970 +tp1510 +a(g423 +Vdescr +p1511 +tp1512 +a(g693 +g994 +tp1513 +a(g669 +V +p1514 +tp1515 +a(g693 +g1001 +tp1516 +a(g826 +g970 +tp1517 +a(g693 +g964 +tp1518 +a(g423 +Vp18 +p1519 +tp1520 +a(g693 +g968 +tp1521 +a(g826 +g970 +tp1522 +a(g423 +Vp9 +p1523 +tp1524 +a(g693 +g968 +tp1525 +a(g826 +g970 +tp1526 +a(g423 +Vp0 +p1527 +tp1528 +a(g693 +g968 +tp1529 +a(g826 +g970 +tp1530 +a(g423 +Vp12 +p1531 +tp1532 +a(g693 +g968 +tp1533 +a(g826 +g970 +tp1534 +a(g423 +Vp7 +p1535 +tp1536 +a(g693 +g974 +tp1537 +a(g826 +V\u000a +p1538 +tp1539 +a(g709 +V+177: +p1540 +tp1541 +a(g423 +Vp20 +p1542 +tp1543 +a(g826 +g970 +tp1544 +a(g693 +g994 +tp1545 +a(g826 +g970 +tp1546 +a(g669 +Vgetfield_gc_pure +p1547 +tp1548 +a(g693 +g982 +tp1549 +a(g423 +Vp9 +p1550 +tp1551 +a(g693 +g968 +tp1552 +a(g826 +g970 +tp1553 +a(g423 +Vdescr +p1554 +tp1555 +a(g693 +g994 +tp1556 +a(g669 +V +p1557 +tp1558 +a(g693 +g1001 +tp1559 +a(g826 +V\u000a +p1560 +tp1561 +a(g709 +V+180: +p1562 +tp1563 +a(g669 +Vguard_class +p1564 +tp1565 +a(g693 +g982 +tp1566 +a(g423 +Vp20 +p1567 +tp1568 +a(g693 +g968 +tp1569 +a(g826 +g970 +tp1570 +a(g22 +V138371648 +p1571 +tp1572 +a(g693 +g968 +tp1573 +a(g826 +g970 +tp1574 +a(g423 +Vdescr +p1575 +tp1576 +a(g693 +g994 +tp1577 +a(g669 +V +p1578 +tp1579 +a(g693 +g1001 +tp1580 +a(g826 +g970 +tp1581 +a(g693 +g964 +tp1582 +a(g423 +Vp20 +p1583 +tp1584 +a(g693 +g968 +tp1585 +a(g826 +g970 +tp1586 +a(g423 +Vp9 +p1587 +tp1588 +a(g693 +g968 +tp1589 +a(g826 +g970 +tp1590 +a(g423 +Vp18 +p1591 +tp1592 +a(g693 +g968 +tp1593 +a(g826 +g970 +tp1594 +a(g423 +Vp0 +p1595 +tp1596 +a(g693 +g968 +tp1597 +a(g826 +g970 +tp1598 +a(g423 +Vp12 +p1599 +tp1600 +a(g693 +g968 +tp1601 +a(g826 +g970 +tp1602 +a(g423 +Vp7 +p1603 +tp1604 +a(g693 +g974 +tp1605 +a(g826 +V\u000a +p1606 +tp1607 +a(g709 +V+193: +p1608 +tp1609 +a(g423 +Vp22 +p1610 +tp1611 +a(g826 +g970 +tp1612 +a(g693 +g994 +tp1613 +a(g826 +g970 +tp1614 +a(g669 +Vgetfield_gc_pure +p1615 +tp1616 +a(g693 +g982 +tp1617 +a(g423 +Vp9 +p1618 +tp1619 +a(g693 +g968 +tp1620 +a(g826 +g970 +tp1621 +a(g423 +Vdescr +p1622 +tp1623 +a(g693 +g994 +tp1624 +a(g669 +V +p1625 +tp1626 +a(g693 +g1001 +tp1627 +a(g826 +V\u000a +p1628 +tp1629 +a(g709 +V+196: +p1630 +tp1631 +a(g669 +Vguard_class +p1632 +tp1633 +a(g693 +g982 +tp1634 +a(g423 +Vp22 +p1635 +tp1636 +a(g693 +g968 +tp1637 +a(g826 +g970 +tp1638 +a(g22 +V138371936 +p1639 +tp1640 +a(g693 +g968 +tp1641 +a(g826 +g970 +tp1642 +a(g423 +Vdescr +p1643 +tp1644 +a(g693 +g994 +tp1645 +a(g669 +V +p1646 +tp1647 +a(g693 +g1001 +tp1648 +a(g826 +g970 +tp1649 +a(g693 +g964 +tp1650 +a(g423 +Vp22 +p1651 +tp1652 +a(g693 +g968 +tp1653 +a(g826 +g970 +tp1654 +a(g423 +Vp9 +p1655 +tp1656 +a(g693 +g968 +tp1657 +a(g826 +g970 +tp1658 +a(g423 +Vp20 +p1659 +tp1660 +a(g693 +g968 +tp1661 +a(g826 +g970 +tp1662 +a(g423 +Vp18 +p1663 +tp1664 +a(g693 +g968 +tp1665 +a(g826 +g970 +tp1666 +a(g423 +Vp0 +p1667 +tp1668 +a(g693 +g968 +tp1669 +a(g826 +g970 +tp1670 +a(g423 +Vp12 +p1671 +tp1672 +a(g693 +g968 +tp1673 +a(g826 +g970 +tp1674 +a(g423 +Vp7 +p1675 +tp1676 +a(g693 +g974 +tp1677 +a(g826 +V\u000a +p1678 +tp1679 +a(g616 +Vdebug_merge_point +p1680 +tp1681 +a(g693 +g982 +tp1682 +a(g22 +g1009 +tp1683 +a(g693 +g968 +tp1684 +a(g826 +g970 +tp1685 +a(g22 +g1009 +tp1686 +a(g693 +g968 +tp1687 +a(g826 +g970 +tp1688 +a(g76 +V'None' +p1689 +tp1690 +a(g693 +g1001 +tp1691 +a(g826 +V\u000a +p1692 +tp1693 +a(g709 +V+209: +p1694 +tp1695 +a(g423 +Vp24 +p1696 +tp1697 +a(g826 +g970 +tp1698 +a(g693 +g994 +tp1699 +a(g826 +g970 +tp1700 +a(g669 +Vgetfield_gc_pure +p1701 +tp1702 +a(g693 +g982 +tp1703 +a(g423 +Vp22 +p1704 +tp1705 +a(g693 +g968 +tp1706 +a(g826 +g970 +tp1707 +a(g423 +Vdescr +p1708 +tp1709 +a(g693 +g994 +tp1710 +a(g669 +V +p1711 +tp1712 +a(g693 +g1001 +tp1713 +a(g826 +V\u000a +p1714 +tp1715 +a(g709 +V+215: +p1716 +tp1717 +a(g669 +Vguard_value +p1718 +tp1719 +a(g693 +g982 +tp1720 +a(g423 +Vp24 +p1721 +tp1722 +a(g693 +g968 +tp1723 +a(g826 +g970 +tp1724 +a(g423 +VConstPtr +p1725 +tp1726 +a(g693 +g982 +tp1727 +a(g669 +Vptr25 +p1728 +tp1729 +a(g693 +g1001 +tp1730 +a(g693 +g968 +tp1731 +a(g826 +g970 +tp1732 +a(g423 +Vdescr +p1733 +tp1734 +a(g693 +g994 +tp1735 +a(g669 +V +p1736 +tp1737 +a(g693 +g1001 +tp1738 +a(g826 +g970 +tp1739 +a(g693 +g964 +tp1740 +a(g423 +Vp24 +p1741 +tp1742 +a(g693 +g968 +tp1743 +a(g826 +g970 +tp1744 +a(g423 +Vp22 +p1745 +tp1746 +a(g693 +g968 +tp1747 +a(g826 +g970 +tp1748 +a(g423 +Vp9 +p1749 +tp1750 +a(g693 +g968 +tp1751 +a(g826 +g970 +tp1752 +a(g423 +VNone +p1753 +tp1754 +a(g693 +g968 +tp1755 +a(g826 +g970 +tp1756 +a(g423 +VNone +p1757 +tp1758 +a(g693 +g968 +tp1759 +a(g826 +g970 +tp1760 +a(g423 +Vp0 +p1761 +tp1762 +a(g693 +g968 +tp1763 +a(g826 +g970 +tp1764 +a(g423 +Vp12 +p1765 +tp1766 +a(g693 +g968 +tp1767 +a(g826 +g970 +tp1768 +a(g423 +Vp7 +p1769 +tp1770 +a(g693 +g974 +tp1771 +a(g826 +V\u000a +p1772 +tp1773 +a(g709 +V+227: +p1774 +tp1775 +a(g423 +Vp27 +p1776 +tp1777 +a(g826 +g970 +tp1778 +a(g693 +g994 +tp1779 +a(g826 +g970 +tp1780 +a(g669 +Vgetfield_gc_pure +p1781 +tp1782 +a(g693 +g982 +tp1783 +a(g423 +Vp22 +p1784 +tp1785 +a(g693 +g968 +tp1786 +a(g826 +g970 +tp1787 +a(g423 +Vdescr +p1788 +tp1789 +a(g693 +g994 +tp1790 +a(g669 +V +p1791 +tp1792 +a(g693 +g1001 +tp1793 +a(g826 +V\u000a +p1794 +tp1795 +a(g709 +V+230: +p1796 +tp1797 +a(g669 +Vguard_class +p1798 +tp1799 +a(g693 +g982 +tp1800 +a(g423 +Vp27 +p1801 +tp1802 +a(g693 +g968 +tp1803 +a(g826 +g970 +tp1804 +a(g22 +V138371648 +p1805 +tp1806 +a(g693 +g968 +tp1807 +a(g826 +g970 +tp1808 +a(g423 +Vdescr +p1809 +tp1810 +a(g693 +g994 +tp1811 +a(g669 +V +p1812 +tp1813 +a(g693 +g1001 +tp1814 +a(g826 +g970 +tp1815 +a(g693 +g964 +tp1816 +a(g423 +Vp22 +p1817 +tp1818 +a(g693 +g968 +tp1819 +a(g826 +g970 +tp1820 +a(g423 +Vp27 +p1821 +tp1822 +a(g693 +g968 +tp1823 +a(g826 +g970 +tp1824 +a(g423 +Vp9 +p1825 +tp1826 +a(g693 +g968 +tp1827 +a(g826 +g970 +tp1828 +a(g423 +VNone +p1829 +tp1830 +a(g693 +g968 +tp1831 +a(g826 +g970 +tp1832 +a(g423 +VNone +p1833 +tp1834 +a(g693 +g968 +tp1835 +a(g826 +g970 +tp1836 +a(g423 +Vp0 +p1837 +tp1838 +a(g693 +g968 +tp1839 +a(g826 +g970 +tp1840 +a(g423 +Vp12 +p1841 +tp1842 +a(g693 +g968 +tp1843 +a(g826 +g970 +tp1844 +a(g423 +Vp7 +p1845 +tp1846 +a(g693 +g974 +tp1847 +a(g826 +V\u000a +p1848 +tp1849 +a(g616 +Vdebug_merge_point +p1850 +tp1851 +a(g693 +g982 +tp1852 +a(g22 +g1009 +tp1853 +a(g693 +g968 +tp1854 +a(g826 +g970 +tp1855 +a(g22 +g1009 +tp1856 +a(g693 +g968 +tp1857 +a(g826 +g970 +tp1858 +a(g76 +V'_' +p1859 +tp1860 +a(g693 +g1001 +tp1861 +a(g826 +V\u000a +p1862 +tp1863 +a(g616 +Vdebug_merge_point +p1864 +tp1865 +a(g693 +g982 +tp1866 +a(g22 +g1009 +tp1867 +a(g693 +g968 +tp1868 +a(g826 +g970 +tp1869 +a(g22 +g1009 +tp1870 +a(g693 +g968 +tp1871 +a(g826 +g970 +tp1872 +a(g76 +V'None' +p1873 +tp1874 +a(g693 +g1001 +tp1875 +a(g826 +V\u000a +p1876 +tp1877 +a(g709 +V+243: +p1878 +tp1879 +a(g423 +Vp30 +p1880 +tp1881 +a(g826 +g970 +tp1882 +a(g693 +g994 +tp1883 +a(g826 +g970 +tp1884 +a(g669 +Vgetfield_gc +p1885 +tp1886 +a(g693 +g982 +tp1887 +a(g423 +VConstPtr +p1888 +tp1889 +a(g693 +g982 +tp1890 +a(g669 +Vptr29 +p1891 +tp1892 +a(g693 +g1001 +tp1893 +a(g693 +g968 +tp1894 +a(g826 +g970 +tp1895 +a(g423 +Vdescr +p1896 +tp1897 +a(g693 +g994 +tp1898 +a(g669 +V +p1899 +tp1900 +a(g693 +g1001 +tp1901 +a(g826 +V\u000a +p1902 +tp1903 +a(g709 +V+249: +p1904 +tp1905 +a(g423 +Vi34 +p1906 +tp1907 +a(g826 +g970 +tp1908 +a(g693 +g994 +tp1909 +a(g826 +g970 +tp1910 +a(g669 +Vcall +p1911 +tp1912 +a(g693 +g982 +tp1913 +a(g423 +VConstClass +p1914 +tp1915 +a(g693 +g982 +tp1916 +a(g669 +Vll_dict_lookup_trampoline__v64___simple_call__function_ll +p1917 +tp1918 +a(g693 +g1001 +tp1919 +a(g693 +g968 +tp1920 +a(g826 +g970 +tp1921 +a(g423 +Vp30 +p1922 +tp1923 +a(g693 +g968 +tp1924 +a(g826 +g970 +tp1925 +a(g423 +VConstPtr +p1926 +tp1927 +a(g693 +g982 +tp1928 +a(g669 +Vptr32 +p1929 +tp1930 +a(g693 +g1001 +tp1931 +a(g693 +g968 +tp1932 +a(g826 +g970 +tp1933 +a(g22 +V360200661 +p1934 +tp1935 +a(g693 +g968 +tp1936 +a(g826 +g970 +tp1937 +a(g423 +Vdescr +p1938 +tp1939 +a(g693 +g994 +tp1940 +a(g669 +V +p1941 +tp1942 +a(g693 +g1001 +tp1943 +a(g826 +V\u000a +p1944 +tp1945 +a(g709 +V+281: +p1946 +tp1947 +a(g669 +Vguard_no_exception +p1948 +tp1949 +a(g693 +g982 +tp1950 +a(g693 +g968 +tp1951 +a(g826 +g970 +tp1952 +a(g423 +Vdescr +p1953 +tp1954 +a(g693 +g994 +tp1955 +a(g669 +V +p1956 +tp1957 +a(g693 +g1001 +tp1958 +a(g826 +g970 +tp1959 +a(g693 +g964 +tp1960 +a(g423 +Vp27 +p1961 +tp1962 +a(g693 +g968 +tp1963 +a(g826 +g970 +tp1964 +a(g423 +Vp20 +p1965 +tp1966 +a(g693 +g968 +tp1967 +a(g826 +g970 +tp1968 +a(g423 +Vp18 +p1969 +tp1970 +a(g693 +g968 +tp1971 +a(g826 +g970 +tp1972 +a(g423 +Vi34 +p1973 +tp1974 +a(g693 +g968 +tp1975 +a(g826 +g970 +tp1976 +a(g423 +Vp30 +p1977 +tp1978 +a(g693 +g968 +tp1979 +a(g826 +g970 +tp1980 +a(g423 +VNone +p1981 +tp1982 +a(g693 +g968 +tp1983 +a(g826 +g970 +tp1984 +a(g423 +VNone +p1985 +tp1986 +a(g693 +g968 +tp1987 +a(g826 +g970 +tp1988 +a(g423 +VNone +p1989 +tp1990 +a(g693 +g968 +tp1991 +a(g826 +g970 +tp1992 +a(g423 +Vp0 +p1993 +tp1994 +a(g693 +g968 +tp1995 +a(g826 +g970 +tp1996 +a(g423 +Vp12 +p1997 +tp1998 +a(g693 +g968 +tp1999 +a(g826 +g970 +tp2000 +a(g423 +Vp7 +p2001 +tp2002 +a(g693 +g974 +tp2003 +a(g826 +V\u000a +p2004 +tp2005 +a(g709 +V+294: +p2006 +tp2007 +a(g423 +Vi36 +p2008 +tp2009 +a(g826 +g970 +tp2010 +a(g693 +g994 +tp2011 +a(g826 +g970 +tp2012 +a(g669 +Vint_and +p2013 +tp2014 +a(g693 +g982 +tp2015 +a(g423 +Vi34 +p2016 +tp2017 +a(g693 +g968 +tp2018 +a(g826 +g970 +tp2019 +a(g22 +V-2147483648 +p2020 +tp2021 +a(g693 +g1001 +tp2022 +a(g826 +V\u000a +p2023 +tp2024 +a(g709 +V+302: +p2025 +tp2026 +a(g423 +Vi37 +p2027 +tp2028 +a(g826 +g970 +tp2029 +a(g693 +g994 +tp2030 +a(g826 +g970 +tp2031 +a(g669 +Vint_is_true +p2032 +tp2033 +a(g693 +g982 +tp2034 +a(g669 +Vi36 +p2035 +tp2036 +a(g693 +g1001 +tp2037 +a(g826 +V\u000a +p2038 +tp2039 +a(g669 +Vguard_false +p2040 +tp2041 +a(g693 +g982 +tp2042 +a(g423 +Vi37 +p2043 +tp2044 +a(g693 +g968 +tp2045 +a(g826 +g970 +tp2046 +a(g423 +Vdescr +p2047 +tp2048 +a(g693 +g994 +tp2049 +a(g669 +V +p2050 +tp2051 +a(g693 +g1001 +tp2052 +a(g826 +g970 +tp2053 +a(g693 +g964 +tp2054 +a(g423 +Vp27 +p2055 +tp2056 +a(g693 +g968 +tp2057 +a(g826 +g970 +tp2058 +a(g423 +Vp20 +p2059 +tp2060 +a(g693 +g968 +tp2061 +a(g826 +g970 +tp2062 +a(g423 +Vp18 +p2063 +tp2064 +a(g693 +g968 +tp2065 +a(g826 +g970 +tp2066 +a(g423 +Vi34 +p2067 +tp2068 +a(g693 +g968 +tp2069 +a(g826 +g970 +tp2070 +a(g423 +Vp30 +p2071 +tp2072 +a(g693 +g968 +tp2073 +a(g826 +g970 +tp2074 +a(g423 +VNone +p2075 +tp2076 +a(g693 +g968 +tp2077 +a(g826 +g970 +tp2078 +a(g423 +VNone +p2079 +tp2080 +a(g693 +g968 +tp2081 +a(g826 +g970 +tp2082 +a(g423 +VNone +p2083 +tp2084 +a(g693 +g968 +tp2085 +a(g826 +g970 +tp2086 +a(g423 +Vp0 +p2087 +tp2088 +a(g693 +g968 +tp2089 +a(g826 +g970 +tp2090 +a(g423 +Vp12 +p2091 +tp2092 +a(g693 +g968 +tp2093 +a(g826 +g970 +tp2094 +a(g423 +Vp7 +p2095 +tp2096 +a(g693 +g974 +tp2097 +a(g826 +V\u000a +p2098 +tp2099 +a(g709 +V+311: +p2100 +tp2101 +a(g423 +Vp38 +p2102 +tp2103 +a(g826 +g970 +tp2104 +a(g693 +g994 +tp2105 +a(g826 +g970 +tp2106 +a(g669 +Vgetfield_gc +p2107 +tp2108 +a(g693 +g982 +tp2109 +a(g423 +Vp30 +p2110 +tp2111 +a(g693 +g968 +tp2112 +a(g826 +g970 +tp2113 +a(g423 +Vdescr +p2114 +tp2115 +a(g693 +g994 +tp2116 +a(g669 +V +p2117 +tp2118 +a(g693 +g1001 +tp2119 +a(g826 +V\u000a +p2120 +tp2121 +a(g709 +V+314: +p2122 +tp2123 +a(g423 +Vp39 +p2124 +tp2125 +a(g826 +g970 +tp2126 +a(g693 +g994 +tp2127 +a(g826 +g970 +tp2128 +a(g669 +Vgetinteriorfield_gc +p2129 +tp2130 +a(g693 +g982 +tp2131 +a(g423 +Vp38 +p2132 +tp2133 +a(g693 +g968 +tp2134 +a(g826 +g970 +tp2135 +a(g423 +Vi34 +p2136 +tp2137 +a(g693 +g968 +tp2138 +a(g826 +g970 +tp2139 +a(g423 +Vdescr +p2140 +tp2141 +a(g693 +g994 +tp2142 +a(g669 +V> +p2143 +tp2144 +a(g693 +g1001 +tp2145 +a(g826 +V\u000a +p2146 +tp2147 +a(g709 +V+318: +p2148 +tp2149 +a(g423 +Vi40 +p2150 +tp2151 +a(g826 +g970 +tp2152 +a(g693 +g994 +tp2153 +a(g826 +g970 +tp2154 +a(g669 +Vinstance_ptr_eq +p2155 +tp2156 +a(g693 +g982 +tp2157 +a(g423 +Vp18 +p2158 +tp2159 +a(g693 +g968 +tp2160 +a(g826 +g970 +tp2161 +a(g423 +Vp39 +p2162 +tp2163 +a(g693 +g1001 +tp2164 +a(g826 +V\u000a +p2165 +tp2166 +a(g669 +Vguard_true +p2167 +tp2168 +a(g693 +g982 +tp2169 +a(g423 +Vi40 +p2170 +tp2171 +a(g693 +g968 +tp2172 +a(g826 +g970 +tp2173 +a(g423 +Vdescr +p2174 +tp2175 +a(g693 +g994 +tp2176 +a(g669 +V +p2177 +tp2178 +a(g693 +g1001 +tp2179 +a(g826 +g970 +tp2180 +a(g693 +g964 +tp2181 +a(g423 +Vp27 +p2182 +tp2183 +a(g693 +g968 +tp2184 +a(g826 +g970 +tp2185 +a(g423 +Vp20 +p2186 +tp2187 +a(g693 +g968 +tp2188 +a(g826 +g970 +tp2189 +a(g423 +VNone +p2190 +tp2191 +a(g693 +g968 +tp2192 +a(g826 +g970 +tp2193 +a(g423 +VNone +p2194 +tp2195 +a(g693 +g968 +tp2196 +a(g826 +g970 +tp2197 +a(g423 +VNone +p2198 +tp2199 +a(g693 +g968 +tp2200 +a(g826 +g970 +tp2201 +a(g423 +Vp0 +p2202 +tp2203 +a(g693 +g968 +tp2204 +a(g826 +g970 +tp2205 +a(g423 +Vp12 +p2206 +tp2207 +a(g693 +g968 +tp2208 +a(g826 +g970 +tp2209 +a(g423 +Vp7 +p2210 +tp2211 +a(g693 +g974 +tp2212 +a(g826 +V\u000a +p2213 +tp2214 +a(g616 +Vdebug_merge_point +p2215 +tp2216 +a(g693 +g982 +tp2217 +a(g22 +g1009 +tp2218 +a(g693 +g968 +tp2219 +a(g826 +g970 +tp2220 +a(g22 +g1009 +tp2221 +a(g693 +g968 +tp2222 +a(g826 +g970 +tp2223 +a(g76 +V'None' +p2224 +tp2225 +a(g693 +g1001 +tp2226 +a(g826 +V\u000a +p2227 +tp2228 +a(g709 +V+327: +p2229 +tp2230 +a(g423 +Vi41 +p2231 +tp2232 +a(g826 +g970 +tp2233 +a(g693 +g994 +tp2234 +a(g826 +g970 +tp2235 +a(g669 +Vgetfield_gc_pure +p2236 +tp2237 +a(g693 +g982 +tp2238 +a(g423 +Vp20 +p2239 +tp2240 +a(g693 +g968 +tp2241 +a(g826 +g970 +tp2242 +a(g423 +Vdescr +p2243 +tp2244 +a(g693 +g994 +tp2245 +a(g669 +V +p2246 +tp2247 +a(g693 +g1001 +tp2248 +a(g826 +V\u000a +p2249 +tp2250 +a(g709 +V+330: +p2251 +tp2252 +a(g423 +Vi42 +p2253 +tp2254 +a(g826 +g970 +tp2255 +a(g693 +g994 +tp2256 +a(g826 +g970 +tp2257 +a(g669 +Vgetfield_gc_pure +p2258 +tp2259 +a(g693 +g982 +tp2260 +a(g423 +Vp27 +p2261 +tp2262 +a(g693 +g968 +tp2263 +a(g826 +g970 +tp2264 +a(g423 +Vdescr +p2265 +tp2266 +a(g693 +g994 +tp2267 +a(g669 +V +p2268 +tp2269 +a(g693 +g1001 +tp2270 +a(g826 +V\u000a +p2271 +tp2272 +a(g709 +V+333: +p2273 +tp2274 +a(g423 +Vi43 +p2275 +tp2276 +a(g826 +g970 +tp2277 +a(g693 +g994 +tp2278 +a(g826 +g970 +tp2279 +a(g669 +Vint_sub +p2280 +tp2281 +a(g693 +g982 +tp2282 +a(g423 +Vi41 +p2283 +tp2284 +a(g693 +g968 +tp2285 +a(g826 +g970 +tp2286 +a(g423 +Vi42 +p2287 +tp2288 +a(g693 +g1001 +tp2289 +a(g826 +V\u000a +p2290 +tp2291 +a(g616 +Vdebug_merge_point +p2292 +tp2293 +a(g693 +g982 +tp2294 +a(g22 +g1009 +tp2295 +a(g693 +g968 +tp2296 +a(g826 +g970 +tp2297 +a(g22 +g1009 +tp2298 +a(g693 +g968 +tp2299 +a(g826 +g970 +tp2300 +a(g76 +V'None' +p2301 +tp2302 +a(g693 +g1001 +tp2303 +a(g826 +V\u000a +p2304 +tp2305 +a(g616 +Vdebug_merge_point +p2306 +tp2307 +a(g693 +g982 +tp2308 +a(g22 +g1009 +tp2309 +a(g693 +g968 +tp2310 +a(g826 +g970 +tp2311 +a(g22 +g1009 +tp2312 +a(g693 +g968 +tp2313 +a(g826 +g970 +tp2314 +a(g76 +V'None' +p2315 +tp2316 +a(g693 +g1001 +tp2317 +a(g826 +V\u000a +p2318 +tp2319 +a(g616 +Vdebug_merge_point +p2320 +tp2321 +a(g693 +g982 +tp2322 +a(g22 +g1009 +tp2323 +a(g693 +g968 +tp2324 +a(g826 +g970 +tp2325 +a(g22 +g1009 +tp2326 +a(g693 +g968 +tp2327 +a(g826 +g970 +tp2328 +a(g76 +V'None' +p2329 +tp2330 +a(g693 +g1001 +tp2331 +a(g826 +V\u000a +p2332 +tp2333 +a(g709 +V+335: +p2334 +tp2335 +a(g423 +Vi45 +p2336 +tp2337 +a(g826 +g970 +tp2338 +a(g693 +g994 +tp2339 +a(g826 +g970 +tp2340 +a(g669 +Vint_eq +p2341 +tp2342 +a(g693 +g982 +tp2343 +a(g22 +g1009 +tp2344 +a(g693 +g968 +tp2345 +a(g826 +g970 +tp2346 +a(g423 +Vi43 +p2347 +tp2348 +a(g693 +g1001 +tp2349 +a(g826 +V\u000a +p2350 +tp2351 +a(g669 +Vguard_false +p2352 +tp2353 +a(g693 +g982 +tp2354 +a(g423 +Vi45 +p2355 +tp2356 +a(g693 +g968 +tp2357 +a(g826 +g970 +tp2358 +a(g423 +Vdescr +p2359 +tp2360 +a(g693 +g994 +tp2361 +a(g669 +V +p2362 +tp2363 +a(g693 +g1001 +tp2364 +a(g826 +g970 +tp2365 +a(g693 +g964 +tp2366 +a(g423 +Vp0 +p2367 +tp2368 +a(g693 +g968 +tp2369 +a(g826 +g970 +tp2370 +a(g423 +Vi43 +p2371 +tp2372 +a(g693 +g968 +tp2373 +a(g826 +g970 +tp2374 +a(g423 +VNone +p2375 +tp2376 +a(g693 +g968 +tp2377 +a(g826 +g970 +tp2378 +a(g423 +VNone +p2379 +tp2380 +a(g693 +g968 +tp2381 +a(g826 +g970 +tp2382 +a(g423 +VNone +p2383 +tp2384 +a(g693 +g968 +tp2385 +a(g826 +g970 +tp2386 +a(g423 +VNone +p2387 +tp2388 +a(g693 +g968 +tp2389 +a(g826 +g970 +tp2390 +a(g423 +Vp12 +p2391 +tp2392 +a(g693 +g968 +tp2393 +a(g826 +g970 +tp2394 +a(g423 +Vp7 +p2395 +tp2396 +a(g693 +g974 +tp2397 +a(g826 +V\u000a +p2398 +tp2399 +a(g423 +Vp47 +p2400 +tp2401 +a(g826 +g970 +tp2402 +a(g693 +g994 +tp2403 +a(g826 +g970 +tp2404 +a(g669 +Vnew_with_vtable +p2405 +tp2406 +a(g693 +g982 +tp2407 +a(g669 +V138371648 +p2408 +tp2409 +a(g693 +g1001 +tp2410 +a(g826 +V\u000a +p2411 +tp2412 +a(g709 +V+393: +p2413 +tp2414 +a(g669 +Vsetfield_gc +p2415 +tp2416 +a(g693 +g982 +tp2417 +a(g423 +Vp47 +p2418 +tp2419 +a(g693 +g968 +tp2420 +a(g826 +g970 +tp2421 +a(g423 +Vi43 +p2422 +tp2423 +a(g693 +g968 +tp2424 +a(g826 +g970 +tp2425 +a(g423 +Vdescr +p2426 +tp2427 +a(g693 +g994 +tp2428 +a(g669 +V +p2429 +tp2430 +a(g693 +g1001 +tp2431 +a(g826 +V\u000a +p2432 +tp2433 +a(g669 +Vsetfield_gc +p2434 +tp2435 +a(g693 +g982 +tp2436 +a(g423 +Vp7 +p2437 +tp2438 +a(g693 +g968 +tp2439 +a(g826 +g970 +tp2440 +a(g423 +Vp47 +p2441 +tp2442 +a(g693 +g968 +tp2443 +a(g826 +g970 +tp2444 +a(g423 +Vdescr +p2445 +tp2446 +a(g693 +g994 +tp2447 +a(g669 +V +p2448 +tp2449 +a(g693 +g1001 +tp2450 +a(g826 +V\u000a +p2451 +tp2452 +a(g709 +V+414: +p2453 +tp2454 +a(g423 +Vp48 +p2455 +tp2456 +a(g826 +g970 +tp2457 +a(g693 +g994 +tp2458 +a(g826 +g970 +tp2459 +a(g669 +Vgetfield_gc +p2460 +tp2461 +a(g693 +g982 +tp2462 +a(g423 +Vp12 +p2463 +tp2464 +a(g693 +g968 +tp2465 +a(g826 +g970 +tp2466 +a(g423 +Vdescr +p2467 +tp2468 +a(g693 +g994 +tp2469 +a(g669 +V +p2470 +tp2471 +a(g693 +g1001 +tp2472 +a(g826 +V\u000a +p2473 +tp2474 +a(g709 +V+420: +p2475 +tp2476 +a(g669 +Vguard_nonnull_class +p2477 +tp2478 +a(g693 +g982 +tp2479 +a(g423 +Vp48 +p2480 +tp2481 +a(g693 +g968 +tp2482 +a(g826 +g970 +tp2483 +a(g22 +V138371648 +p2484 +tp2485 +a(g693 +g968 +tp2486 +a(g826 +g970 +tp2487 +a(g423 +Vdescr +p2488 +tp2489 +a(g693 +g994 +tp2490 +a(g669 +V +p2491 +tp2492 +a(g693 +g1001 +tp2493 +a(g826 +g970 +tp2494 +a(g693 +g964 +tp2495 +a(g423 +Vp0 +p2496 +tp2497 +a(g693 +g968 +tp2498 +a(g826 +g970 +tp2499 +a(g423 +Vp48 +p2500 +tp2501 +a(g693 +g968 +tp2502 +a(g826 +g970 +tp2503 +a(g423 +Vp12 +p2504 +tp2505 +a(g693 +g968 +tp2506 +a(g826 +g970 +tp2507 +a(g423 +Vp47 +p2508 +tp2509 +a(g693 +g968 +tp2510 +a(g826 +g970 +tp2511 +a(g423 +Vp7 +p2512 +tp2513 +a(g693 +g974 +tp2514 +a(g826 +V\u000a +p2515 +tp2516 +a(g616 +Vdebug_merge_point +p2517 +tp2518 +a(g693 +g982 +tp2519 +a(g22 +g1009 +tp2520 +a(g693 +g968 +tp2521 +a(g826 +g970 +tp2522 +a(g22 +g1009 +tp2523 +a(g693 +g968 +tp2524 +a(g826 +g970 +tp2525 +a(g76 +V' 1 1 1 dsdr3 1' +p2526 +tp2527 +a(g693 +g1001 +tp2528 +a(g826 +V\u000a +p2529 +tp2530 +a(g616 +Vdebug_merge_point +p2531 +tp2532 +a(g693 +g982 +tp2533 +a(g22 +g1009 +tp2534 +a(g693 +g968 +tp2535 +a(g826 +g970 +tp2536 +a(g22 +g1009 +tp2537 +a(g693 +g968 +tp2538 +a(g826 +g970 +tp2539 +a(g76 +V'None' +p2540 +tp2541 +a(g693 +g1001 +tp2542 +a(g826 +V\u000a +p2543 +tp2544 +a(g616 +Vdebug_merge_point +p2545 +tp2546 +a(g693 +g982 +tp2547 +a(g22 +g1009 +tp2548 +a(g693 +g968 +tp2549 +a(g826 +g970 +tp2550 +a(g22 +g1009 +tp2551 +a(g693 +g968 +tp2552 +a(g826 +g970 +tp2553 +a(g76 +V'_' +p2554 +tp2555 +a(g693 +g1001 +tp2556 +a(g826 +V\u000a +p2557 +tp2558 +a(g616 +Vdebug_merge_point +p2559 +tp2560 +a(g693 +g982 +tp2561 +a(g22 +g1009 +tp2562 +a(g693 +g968 +tp2563 +a(g826 +g970 +tp2564 +a(g22 +g1009 +tp2565 +a(g693 +g968 +tp2566 +a(g826 +g970 +tp2567 +a(g76 +V'None' +p2568 +tp2569 +a(g693 +g1001 +tp2570 +a(g826 +V\u000a +p2571 +tp2572 +a(g616 +Vdebug_merge_point +p2573 +tp2574 +a(g693 +g982 +tp2575 +a(g22 +g1009 +tp2576 +a(g693 +g968 +tp2577 +a(g826 +g970 +tp2578 +a(g22 +g1009 +tp2579 +a(g693 +g968 +tp2580 +a(g826 +g970 +tp2581 +a(g76 +V'None' +p2582 +tp2583 +a(g693 +g1001 +tp2584 +a(g826 +V\u000a +p2585 +tp2586 +a(g616 +Vdebug_merge_point +p2587 +tp2588 +a(g693 +g982 +tp2589 +a(g22 +g1009 +tp2590 +a(g693 +g968 +tp2591 +a(g826 +g970 +tp2592 +a(g22 +g1009 +tp2593 +a(g693 +g968 +tp2594 +a(g826 +g970 +tp2595 +a(g76 +V' dsdr3 dsdr3' +p2596 +tp2597 +a(g693 +g1001 +tp2598 +a(g826 +V\u000a +p2599 +tp2600 +a(g616 +Vdebug_merge_point +p2601 +tp2602 +a(g693 +g982 +tp2603 +a(g22 +g1009 +tp2604 +a(g693 +g968 +tp2605 +a(g826 +g970 +tp2606 +a(g22 +g1009 +tp2607 +a(g693 +g968 +tp2608 +a(g826 +g970 +tp2609 +a(g76 +V' ds1dr4 dsdr3 ds1dr4' +p2610 +tp2611 +a(g693 +g1001 +tp2612 +a(g826 +V\u000a +p2613 +tp2614 +a(g709 +V+438: +p2615 +tp2616 +a(g616 +Vlabel +p2617 +tp2618 +a(g693 +g982 +tp2619 +a(g423 +Vp0 +p2620 +tp2621 +a(g693 +g968 +tp2622 +a(g826 +g970 +tp2623 +a(g423 +Vp48 +p2624 +tp2625 +a(g693 +g968 +tp2626 +a(g826 +g970 +tp2627 +a(g423 +Vp30 +p2628 +tp2629 +a(g693 +g968 +tp2630 +a(g826 +g970 +tp2631 +a(g423 +Vp38 +p2632 +tp2633 +a(g693 +g968 +tp2634 +a(g826 +g970 +tp2635 +a(g423 +Vdescr +p2636 +tp2637 +a(g693 +g994 +tp2638 +a(g423 +VTargetToken +p2639 +tp2640 +a(g693 +g982 +tp2641 +a(g22 +V-1223434176 +p2642 +tp2643 +a(g693 +g1001 +tp2644 +a(g693 +g1001 +tp2645 +a(g826 +V\u000a +p2646 +tp2647 +a(g616 +Vdebug_merge_point +p2648 +tp2649 +a(g693 +g982 +tp2650 +a(g22 +g1009 +tp2651 +a(g693 +g968 +tp2652 +a(g826 +g970 +tp2653 +a(g22 +g1009 +tp2654 +a(g693 +g968 +tp2655 +a(g826 +g970 +tp2656 +a(g76 +V' ds1dr4 dsdr3 ds1dr4' +p2657 +tp2658 +a(g693 +g1001 +tp2659 +a(g826 +V\u000a +p2660 +tp2661 +a(g616 +Vdebug_merge_point +p2662 +tp2663 +a(g693 +g982 +tp2664 +a(g22 +g1009 +tp2665 +a(g693 +g968 +tp2666 +a(g826 +g970 +tp2667 +a(g22 +g1009 +tp2668 +a(g693 +g968 +tp2669 +a(g826 +g970 +tp2670 +a(g76 +V'None' +p2671 +tp2672 +a(g693 +g1001 +tp2673 +a(g826 +V\u000a +p2674 +tp2675 +a(g616 +Vdebug_merge_point +p2676 +tp2677 +a(g693 +g982 +tp2678 +a(g22 +g1009 +tp2679 +a(g693 +g968 +tp2680 +a(g826 +g970 +tp2681 +a(g22 +g1009 +tp2682 +a(g693 +g968 +tp2683 +a(g826 +g970 +tp2684 +a(g76 +V'None' +p2685 +tp2686 +a(g693 +g1001 +tp2687 +a(g826 +V\u000a +p2688 +tp2689 +a(g616 +Vdebug_merge_point +p2690 +tp2691 +a(g693 +g982 +tp2692 +a(g22 +g1009 +tp2693 +a(g693 +g968 +tp2694 +a(g826 +g970 +tp2695 +a(g22 +g1009 +tp2696 +a(g693 +g968 +tp2697 +a(g826 +g970 +tp2698 +a(g76 +V'None' +p2699 +tp2700 +a(g693 +g1001 +tp2701 +a(g826 +V\u000a +p2702 +tp2703 +a(g616 +Vdebug_merge_point +p2704 +tp2705 +a(g693 +g982 +tp2706 +a(g22 +g1009 +tp2707 +a(g693 +g968 +tp2708 +a(g826 +g970 +tp2709 +a(g22 +g1009 +tp2710 +a(g693 +g968 +tp2711 +a(g826 +g970 +tp2712 +a(g76 +V'_' +p2713 +tp2714 +a(g693 +g1001 +tp2715 +a(g826 +V\u000a +p2716 +tp2717 +a(g616 +Vdebug_merge_point +p2718 +tp2719 +a(g693 +g982 +tp2720 +a(g22 +g1009 +tp2721 +a(g693 +g968 +tp2722 +a(g826 +g970 +tp2723 +a(g22 +g1009 +tp2724 +a(g693 +g968 +tp2725 +a(g826 +g970 +tp2726 +a(g76 +V'None' +p2727 +tp2728 +a(g693 +g1001 +tp2729 +a(g826 +V\u000a +p2730 +tp2731 +a(g709 +V+438: +p2732 +tp2733 +a(g423 +Vi50 +p2734 +tp2735 +a(g826 +g970 +tp2736 +a(g693 +g994 +tp2737 +a(g826 +g970 +tp2738 +a(g669 +Vcall +p2739 +tp2740 +a(g693 +g982 +tp2741 +a(g423 +VConstClass +p2742 +tp2743 +a(g693 +g982 +tp2744 +a(g669 +Vll_dict_lookup_trampoline__v64___simple_call__function_ll +p2745 +tp2746 +a(g693 +g1001 +tp2747 +a(g693 +g968 +tp2748 +a(g826 +g970 +tp2749 +a(g423 +Vp30 +p2750 +tp2751 +a(g693 +g968 +tp2752 +a(g826 +g970 +tp2753 +a(g423 +VConstPtr +p2754 +tp2755 +a(g693 +g982 +tp2756 +a(g669 +Vptr32 +p2757 +tp2758 +a(g693 +g1001 +tp2759 +a(g693 +g968 +tp2760 +a(g826 +g970 +tp2761 +a(g22 +V360200661 +p2762 +tp2763 +a(g693 +g968 +tp2764 +a(g826 +g970 +tp2765 +a(g423 +Vdescr +p2766 +tp2767 +a(g693 +g994 +tp2768 +a(g669 +V +p2769 +tp2770 +a(g693 +g1001 +tp2771 +a(g826 +V\u000a +p2772 +tp2773 +a(g709 +V+464: +p2774 +tp2775 +a(g669 +Vguard_no_exception +p2776 +tp2777 +a(g693 +g982 +tp2778 +a(g693 +g968 +tp2779 +a(g826 +g970 +tp2780 +a(g423 +Vdescr +p2781 +tp2782 +a(g693 +g994 +tp2783 +a(g669 +V +p2784 +tp2785 +a(g693 +g1001 +tp2786 +a(g826 +g970 +tp2787 +a(g693 +g964 +tp2788 +a(g423 +Vp48 +p2789 +tp2790 +a(g693 +g968 +tp2791 +a(g826 +g970 +tp2792 +a(g423 +Vi50 +p2793 +tp2794 +a(g693 +g968 +tp2795 +a(g826 +g970 +tp2796 +a(g423 +Vp30 +p2797 +tp2798 +a(g693 +g968 +tp2799 +a(g826 +g970 +tp2800 +a(g423 +Vp0 +p2801 +tp2802 +a(g693 +g974 +tp2803 +a(g826 +V\u000a +p2804 +tp2805 +a(g709 +V+477: +p2806 +tp2807 +a(g423 +Vi51 +p2808 +tp2809 +a(g826 +g970 +tp2810 +a(g693 +g994 +tp2811 +a(g826 +g970 +tp2812 +a(g669 +Vint_and +p2813 +tp2814 +a(g693 +g982 +tp2815 +a(g423 +Vi50 +p2816 +tp2817 +a(g693 +g968 +tp2818 +a(g826 +g970 +tp2819 +a(g22 +V-2147483648 +p2820 +tp2821 +a(g693 +g1001 +tp2822 +a(g826 +V\u000a +p2823 +tp2824 +a(g709 +V+485: +p2825 +tp2826 +a(g423 +Vi52 +p2827 +tp2828 +a(g826 +g970 +tp2829 +a(g693 +g994 +tp2830 +a(g826 +g970 +tp2831 +a(g669 +Vint_is_true +p2832 +tp2833 +a(g693 +g982 +tp2834 +a(g669 +Vi51 +p2835 +tp2836 +a(g693 +g1001 +tp2837 +a(g826 +V\u000a +p2838 +tp2839 +a(g669 +Vguard_false +p2840 +tp2841 +a(g693 +g982 +tp2842 +a(g423 +Vi52 +p2843 +tp2844 +a(g693 +g968 +tp2845 +a(g826 +g970 +tp2846 +a(g423 +Vdescr +p2847 +tp2848 +a(g693 +g994 +tp2849 +a(g669 +V +p2850 +tp2851 +a(g693 +g1001 +tp2852 +a(g826 +g970 +tp2853 +a(g693 +g964 +tp2854 +a(g423 +Vp48 +p2855 +tp2856 +a(g693 +g968 +tp2857 +a(g826 +g970 +tp2858 +a(g423 +Vi50 +p2859 +tp2860 +a(g693 +g968 +tp2861 +a(g826 +g970 +tp2862 +a(g423 +Vp30 +p2863 +tp2864 +a(g693 +g968 +tp2865 +a(g826 +g970 +tp2866 +a(g423 +Vp0 +p2867 +tp2868 +a(g693 +g974 +tp2869 +a(g826 +V\u000a +p2870 +tp2871 +a(g709 +V+494: +p2872 +tp2873 +a(g423 +Vp53 +p2874 +tp2875 +a(g826 +g970 +tp2876 +a(g693 +g994 +tp2877 +a(g826 +g970 +tp2878 +a(g669 +Vgetinteriorfield_gc +p2879 +tp2880 +a(g693 +g982 +tp2881 +a(g423 +Vp38 +p2882 +tp2883 +a(g693 +g968 +tp2884 +a(g826 +g970 +tp2885 +a(g423 +Vi50 +p2886 +tp2887 +a(g693 +g968 +tp2888 +a(g826 +g970 +tp2889 +a(g423 +Vdescr +p2890 +tp2891 +a(g693 +g994 +tp2892 +a(g669 +V> +p2893 +tp2894 +a(g693 +g1001 +tp2895 +a(g826 +V\u000a +p2896 +tp2897 +a(g709 +V+501: +p2898 +tp2899 +a(g423 +Vi55 +p2900 +tp2901 +a(g826 +g970 +tp2902 +a(g693 +g994 +tp2903 +a(g826 +g970 +tp2904 +a(g669 +Vinstance_ptr_eq +p2905 +tp2906 +a(g693 +g982 +tp2907 +a(g423 +VConstPtr +p2908 +tp2909 +a(g693 +g982 +tp2910 +a(g669 +Vptr54 +p2911 +tp2912 +a(g693 +g1001 +tp2913 +a(g693 +g968 +tp2914 +a(g826 +g970 +tp2915 +a(g423 +Vp53 +p2916 +tp2917 +a(g693 +g1001 +tp2918 +a(g826 +V\u000a +p2919 +tp2920 +a(g669 +Vguard_true +p2921 +tp2922 +a(g693 +g982 +tp2923 +a(g423 +Vi55 +p2924 +tp2925 +a(g693 +g968 +tp2926 +a(g826 +g970 +tp2927 +a(g423 +Vdescr +p2928 +tp2929 +a(g693 +g994 +tp2930 +a(g669 +V +p2931 +tp2932 +a(g693 +g1001 +tp2933 +a(g826 +g970 +tp2934 +a(g693 +g964 +tp2935 +a(g423 +Vp48 +p2936 +tp2937 +a(g693 +g968 +tp2938 +a(g826 +g970 +tp2939 +a(g423 +Vp0 +p2940 +tp2941 +a(g693 +g974 +tp2942 +a(g826 +V\u000a +p2943 +tp2944 +a(g616 +Vdebug_merge_point +p2945 +tp2946 +a(g693 +g982 +tp2947 +a(g22 +g1009 +tp2948 +a(g693 +g968 +tp2949 +a(g826 +g970 +tp2950 +a(g22 +g1009 +tp2951 +a(g693 +g968 +tp2952 +a(g826 +g970 +tp2953 +a(g76 +V'None' +p2954 +tp2955 +a(g693 +g1001 +tp2956 +a(g826 +V\u000a +p2957 +tp2958 +a(g709 +V+513: +p2959 +tp2960 +a(g423 +Vi56 +p2961 +tp2962 +a(g826 +g970 +tp2963 +a(g693 +g994 +tp2964 +a(g826 +g970 +tp2965 +a(g669 +Vgetfield_gc_pure +p2966 +tp2967 +a(g693 +g982 +tp2968 +a(g423 +Vp48 +p2969 +tp2970 +a(g693 +g968 +tp2971 +a(g826 +g970 +tp2972 +a(g423 +Vdescr +p2973 +tp2974 +a(g693 +g994 +tp2975 +a(g669 +V +p2976 +tp2977 +a(g693 +g1001 +tp2978 +a(g826 +V\u000a +p2979 +tp2980 +a(g709 +V+516: +p2981 +tp2982 +a(g423 +Vi58 +p2983 +tp2984 +a(g826 +g970 +tp2985 +a(g693 +g994 +tp2986 +a(g826 +g970 +tp2987 +a(g669 +Vint_sub +p2988 +tp2989 +a(g693 +g982 +tp2990 +a(g423 +Vi56 +p2991 +tp2992 +a(g693 +g968 +tp2993 +a(g826 +g970 +tp2994 +a(g22 +g1266 +tp2995 +a(g693 +g1001 +tp2996 +a(g826 +V\u000a +p2997 +tp2998 +a(g616 +Vdebug_merge_point +p2999 +tp3000 +a(g693 +g982 +tp3001 +a(g22 +g1009 +tp3002 +a(g693 +g968 +tp3003 +a(g826 +g970 +tp3004 +a(g22 +g1009 +tp3005 +a(g693 +g968 +tp3006 +a(g826 +g970 +tp3007 +a(g76 +V'None' +p3008 +tp3009 +a(g693 +g1001 +tp3010 +a(g826 +V\u000a +p3011 +tp3012 +a(g616 +Vdebug_merge_point +p3013 +tp3014 +a(g693 +g982 +tp3015 +a(g22 +g1009 +tp3016 +a(g693 +g968 +tp3017 +a(g826 +g970 +tp3018 +a(g22 +g1009 +tp3019 +a(g693 +g968 +tp3020 +a(g826 +g970 +tp3021 +a(g76 +V'None' +p3022 +tp3023 +a(g693 +g1001 +tp3024 +a(g826 +V\u000a +p3025 +tp3026 +a(g616 +Vdebug_merge_point +p3027 +tp3028 +a(g693 +g982 +tp3029 +a(g22 +g1009 +tp3030 +a(g693 +g968 +tp3031 +a(g826 +g970 +tp3032 +a(g22 +g1009 +tp3033 +a(g693 +g968 +tp3034 +a(g826 +g970 +tp3035 +a(g76 +V'None' +p3036 +tp3037 +a(g693 +g1001 +tp3038 +a(g826 +V\u000a +p3039 +tp3040 +a(g709 +V+519: +p3041 +tp3042 +a(g423 +Vi59 +p3043 +tp3044 +a(g826 +g970 +tp3045 +a(g693 +g994 +tp3046 +a(g826 +g970 +tp3047 +a(g669 +Vint_eq +p3048 +tp3049 +a(g693 +g982 +tp3050 +a(g22 +g1009 +tp3051 +a(g693 +g968 +tp3052 +a(g826 +g970 +tp3053 +a(g423 +Vi58 +p3054 +tp3055 +a(g693 +g1001 +tp3056 +a(g826 +V\u000a +p3057 +tp3058 +a(g669 +Vguard_false +p3059 +tp3060 +a(g693 +g982 +tp3061 +a(g423 +Vi59 +p3062 +tp3063 +a(g693 +g968 +tp3064 +a(g826 +g970 +tp3065 +a(g423 +Vdescr +p3066 +tp3067 +a(g693 +g994 +tp3068 +a(g669 +V +p3069 +tp3070 +a(g693 +g1001 +tp3071 +a(g826 +g970 +tp3072 +a(g693 +g964 +tp3073 +a(g423 +Vi58 +p3074 +tp3075 +a(g693 +g968 +tp3076 +a(g826 +g970 +tp3077 +a(g423 +Vp48 +p3078 +tp3079 +a(g693 +g968 +tp3080 +a(g826 +g970 +tp3081 +a(g423 +Vp0 +p3082 +tp3083 +a(g693 +g974 +tp3084 +a(g826 +V\u000a +p3085 +tp3086 +a(g616 +Vdebug_merge_point +p3087 +tp3088 +a(g693 +g982 +tp3089 +a(g22 +g1009 +tp3090 +a(g693 +g968 +tp3091 +a(g826 +g970 +tp3092 +a(g22 +g1009 +tp3093 +a(g693 +g968 +tp3094 +a(g826 +g970 +tp3095 +a(g76 +V' 1 1 1 dsdr3 1' +p3096 +tp3097 +a(g693 +g1001 +tp3098 +a(g826 +V\u000a +p3099 +tp3100 +a(g616 +Vdebug_merge_point +p3101 +tp3102 +a(g693 +g982 +tp3103 +a(g22 +g1009 +tp3104 +a(g693 +g968 +tp3105 +a(g826 +g970 +tp3106 +a(g22 +g1009 +tp3107 +a(g693 +g968 +tp3108 +a(g826 +g970 +tp3109 +a(g76 +V'None' +p3110 +tp3111 +a(g693 +g1001 +tp3112 +a(g826 +V\u000a +p3113 +tp3114 +a(g616 +Vdebug_merge_point +p3115 +tp3116 +a(g693 +g982 +tp3117 +a(g22 +g1009 +tp3118 +a(g693 +g968 +tp3119 +a(g826 +g970 +tp3120 +a(g22 +g1009 +tp3121 +a(g693 +g968 +tp3122 +a(g826 +g970 +tp3123 +a(g76 +V'_' +p3124 +tp3125 +a(g693 +g1001 +tp3126 +a(g826 +V\u000a +p3127 +tp3128 +a(g616 +Vdebug_merge_point +p3129 +tp3130 +a(g693 +g982 +tp3131 +a(g22 +g1009 +tp3132 +a(g693 +g968 +tp3133 +a(g826 +g970 +tp3134 +a(g22 +g1009 +tp3135 +a(g693 +g968 +tp3136 +a(g826 +g970 +tp3137 +a(g76 +V'None' +p3138 +tp3139 +a(g693 +g1001 +tp3140 +a(g826 +V\u000a +p3141 +tp3142 +a(g616 +Vdebug_merge_point +p3143 +tp3144 +a(g693 +g982 +tp3145 +a(g22 +g1009 +tp3146 +a(g693 +g968 +tp3147 +a(g826 +g970 +tp3148 +a(g22 +g1009 +tp3149 +a(g693 +g968 +tp3150 +a(g826 +g970 +tp3151 +a(g76 +V'None' +p3152 +tp3153 +a(g693 +g1001 +tp3154 +a(g826 +V\u000a +p3155 +tp3156 +a(g616 +Vdebug_merge_point +p3157 +tp3158 +a(g693 +g982 +tp3159 +a(g22 +g1009 +tp3160 +a(g693 +g968 +tp3161 +a(g826 +g970 +tp3162 +a(g22 +g1009 +tp3163 +a(g693 +g968 +tp3164 +a(g826 +g970 +tp3165 +a(g76 +V' dsdr3 dsdr3' +p3166 +tp3167 +a(g693 +g1001 +tp3168 +a(g826 +V\u000a +p3169 +tp3170 +a(g616 +Vdebug_merge_point +p3171 +tp3172 +a(g693 +g982 +tp3173 +a(g22 +g1009 +tp3174 +a(g693 +g968 +tp3175 +a(g826 +g970 +tp3176 +a(g22 +g1009 +tp3177 +a(g693 +g968 +tp3178 +a(g826 +g970 +tp3179 +a(g76 +V' ds1dr4 dsdr3 ds1dr4' +p3180 +tp3181 +a(g693 +g1001 +tp3182 +a(g826 +V\u000a +p3183 +tp3184 +a(g423 +Vp61 +p3185 +tp3186 +a(g826 +g970 +tp3187 +a(g693 +g994 +tp3188 +a(g826 +g970 +tp3189 +a(g669 +Vnew_with_vtable +p3190 +tp3191 +a(g693 +g982 +tp3192 +a(g669 +V138371700 +p3193 +tp3194 +a(g693 +g1001 +tp3195 +a(g826 +V\u000a +p3196 +tp3197 +a(g423 +Vp63 +p3198 +tp3199 +a(g826 +g970 +tp3200 +a(g693 +g994 +tp3201 +a(g826 +g970 +tp3202 +a(g669 +Vnew_with_vtable +p3203 +tp3204 +a(g693 +g982 +tp3205 +a(g669 +V138373024 +p3206 +tp3207 +a(g693 +g1001 +tp3208 +a(g826 +V\u000a +p3209 +tp3210 +a(g423 +Vp65 +p3211 +tp3212 +a(g826 +g970 +tp3213 +a(g693 +g994 +tp3214 +a(g826 +g970 +tp3215 +a(g669 +Vnew_with_vtable +p3216 +tp3217 +a(g693 +g982 +tp3218 +a(g669 +V138371936 +p3219 +tp3220 +a(g693 +g1001 +tp3221 +a(g826 +V\u000a +p3222 +tp3223 +a(g709 +V+606: +p3224 +tp3225 +a(g669 +Vsetfield_gc +p3226 +tp3227 +a(g693 +g982 +tp3228 +a(g423 +Vp63 +p3229 +tp3230 +a(g693 +g968 +tp3231 +a(g826 +g970 +tp3232 +a(g423 +VConstPtr +p3233 +tp3234 +a(g693 +g982 +tp3235 +a(g669 +Vptr66 +p3236 +tp3237 +a(g693 +g1001 +tp3238 +a(g693 +g968 +tp3239 +a(g826 +g970 +tp3240 +a(g423 +Vdescr +p3241 +tp3242 +a(g693 +g994 +tp3243 +a(g669 +V +p3244 +tp3245 +a(g693 +g1001 +tp3246 +a(g826 +V\u000a +p3247 +tp3248 +a(g423 +Vp68 +p3249 +tp3250 +a(g826 +g970 +tp3251 +a(g693 +g994 +tp3252 +a(g826 +g970 +tp3253 +a(g669 +Vnew_with_vtable +p3254 +tp3255 +a(g693 +g982 +tp3256 +a(g669 +V138373024 +p3257 +tp3258 +a(g693 +g1001 +tp3259 +a(g826 +V\u000a +p3260 +tp3261 +a(g709 +V+632: +p3262 +tp3263 +a(g669 +Vsetfield_gc +p3264 +tp3265 +a(g693 +g982 +tp3266 +a(g423 +Vp65 +p3267 +tp3268 +a(g693 +g968 +tp3269 +a(g826 +g970 +tp3270 +a(g423 +VConstPtr +p3271 +tp3272 +a(g693 +g982 +tp3273 +a(g669 +Vptr69 +p3274 +tp3275 +a(g693 +g1001 +tp3276 +a(g693 +g968 +tp3277 +a(g826 +g970 +tp3278 +a(g423 +Vdescr +p3279 +tp3280 +a(g693 +g994 +tp3281 +a(g669 +V +p3282 +tp3283 +a(g693 +g1001 +tp3284 +a(g826 +V\u000a +p3285 +tp3286 +a(g423 +Vp71 +p3287 +tp3288 +a(g826 +g970 +tp3289 +a(g693 +g994 +tp3290 +a(g826 +g970 +tp3291 +a(g669 +Vnew_with_vtable +p3292 +tp3293 +a(g693 +g982 +tp3294 +a(g669 +V138371936 +p3295 +tp3296 +a(g693 +g1001 +tp3297 +a(g826 +V\u000a +p3298 +tp3299 +a(g709 +V+658: +p3300 +tp3301 +a(g669 +Vsetfield_gc +p3302 +tp3303 +a(g693 +g982 +tp3304 +a(g423 +Vp68 +p3305 +tp3306 +a(g693 +g968 +tp3307 +a(g826 +g970 +tp3308 +a(g423 +VConstPtr +p3309 +tp3310 +a(g693 +g982 +tp3311 +a(g669 +Vptr17 +p3312 +tp3313 +a(g693 +g1001 +tp3314 +a(g693 +g968 +tp3315 +a(g826 +g970 +tp3316 +a(g423 +Vdescr +p3317 +tp3318 +a(g693 +g994 +tp3319 +a(g669 +V +p3320 +tp3321 +a(g693 +g1001 +tp3322 +a(g826 +V\u000a +p3323 +tp3324 +a(g709 +V+665: +p3325 +tp3326 +a(g669 +Vsetfield_gc +p3327 +tp3328 +a(g693 +g982 +tp3329 +a(g423 +Vp71 +p3330 +tp3331 +a(g693 +g968 +tp3332 +a(g826 +g970 +tp3333 +a(g423 +VConstPtr +p3334 +tp3335 +a(g693 +g982 +tp3336 +a(g669 +Vptr72 +p3337 +tp3338 +a(g693 +g1001 +tp3339 +a(g693 +g968 +tp3340 +a(g826 +g970 +tp3341 +a(g423 +Vdescr +p3342 +tp3343 +a(g693 +g994 +tp3344 +a(g669 +V +p3345 +tp3346 +a(g693 +g1001 +tp3347 +a(g826 +V\u000a +p3348 +tp3349 +a(g709 +V+672: +p3350 +tp3351 +a(g669 +Vsetfield_gc +p3352 +tp3353 +a(g693 +g982 +tp3354 +a(g423 +Vp68 +p3355 +tp3356 +a(g693 +g968 +tp3357 +a(g826 +g970 +tp3358 +a(g423 +Vp71 +p3359 +tp3360 +a(g693 +g968 +tp3361 +a(g826 +g970 +tp3362 +a(g423 +Vdescr +p3363 +tp3364 +a(g693 +g994 +tp3365 +a(g669 +V +p3366 +tp3367 +a(g693 +g1001 +tp3368 +a(g826 +V\u000a +p3369 +tp3370 +a(g709 +V+675: +p3371 +tp3372 +a(g669 +Vsetfield_gc +p3373 +tp3374 +a(g693 +g982 +tp3375 +a(g423 +Vp68 +p3376 +tp3377 +a(g693 +g968 +tp3378 +a(g826 +g970 +tp3379 +a(g423 +Vp48 +p3380 +tp3381 +a(g693 +g968 +tp3382 +a(g826 +g970 +tp3383 +a(g423 +Vdescr +p3384 +tp3385 +a(g693 +g994 +tp3386 +a(g669 +V +p3387 +tp3388 +a(g693 +g1001 +tp3389 +a(g826 +V\u000a +p3390 +tp3391 +a(g709 +V+678: +p3392 +tp3393 +a(g669 +Vsetfield_gc +p3394 +tp3395 +a(g693 +g982 +tp3396 +a(g423 +Vp68 +p3397 +tp3398 +a(g693 +g968 +tp3399 +a(g826 +g970 +tp3400 +a(g423 +VConstPtr +p3401 +tp3402 +a(g693 +g982 +tp3403 +a(g669 +Vptr54 +p3404 +tp3405 +a(g693 +g1001 +tp3406 +a(g693 +g968 +tp3407 +a(g826 +g970 +tp3408 +a(g423 +Vdescr +p3409 +tp3410 +a(g693 +g994 +tp3411 +a(g669 +V +p3412 +tp3413 +a(g693 +g1001 +tp3414 +a(g826 +V\u000a +p3415 +tp3416 +a(g423 +Vp73 +p3417 +tp3418 +a(g826 +g970 +tp3419 +a(g693 +g994 +tp3420 +a(g826 +g970 +tp3421 +a(g669 +Vnew_with_vtable +p3422 +tp3423 +a(g693 +g982 +tp3424 +a(g669 +V138371648 +p3425 +tp3426 +a(g693 +g1001 +tp3427 +a(g826 +V\u000a +p3428 +tp3429 +a(g709 +V+701: +p3430 +tp3431 +a(g669 +Vsetfield_gc +p3432 +tp3433 +a(g693 +g982 +tp3434 +a(g423 +Vp61 +p3435 +tp3436 +a(g693 +g968 +tp3437 +a(g826 +g970 +tp3438 +a(g423 +Vp0 +p3439 +tp3440 +a(g693 +g968 +tp3441 +a(g826 +g970 +tp3442 +a(g423 +Vdescr +p3443 +tp3444 +a(g693 +g994 +tp3445 +a(g669 +V +p3446 +tp3447 +a(g693 +g1001 +tp3448 +a(g826 +V\u000a +p3449 +tp3450 +a(g709 +V+716: +p3451 +tp3452 +a(g669 +Vsetfield_gc +p3453 +tp3454 +a(g693 +g982 +tp3455 +a(g423 +Vp61 +p3456 +tp3457 +a(g693 +g968 +tp3458 +a(g826 +g970 +tp3459 +a(g22 +V2 +p3460 +tp3461 +a(g693 +g968 +tp3462 +a(g826 +g970 +tp3463 +a(g423 +Vdescr +p3464 +tp3465 +a(g693 +g994 +tp3466 +a(g669 +V +p3467 +tp3468 +a(g693 +g1001 +tp3469 +a(g826 +V\u000a +p3470 +tp3471 +a(g709 +V+723: +p3472 +tp3473 +a(g669 +Vsetfield_gc +p3474 +tp3475 +a(g693 +g982 +tp3476 +a(g423 +Vp71 +p3477 +tp3478 +a(g693 +g968 +tp3479 +a(g826 +g970 +tp3480 +a(g423 +VConstPtr +p3481 +tp3482 +a(g693 +g982 +tp3483 +a(g669 +Vptr25 +p3484 +tp3485 +a(g693 +g1001 +tp3486 +a(g693 +g968 +tp3487 +a(g826 +g970 +tp3488 +a(g423 +Vdescr +p3489 +tp3490 +a(g693 +g994 +tp3491 +a(g669 +V +p3492 +tp3493 +a(g693 +g1001 +tp3494 +a(g826 +V\u000a +p3495 +tp3496 +a(g709 +V+730: +p3497 +tp3498 +a(g669 +Vsetfield_gc +p3499 +tp3500 +a(g693 +g982 +tp3501 +a(g423 +Vp65 +p3502 +tp3503 +a(g693 +g968 +tp3504 +a(g826 +g970 +tp3505 +a(g423 +Vp68 +p3506 +tp3507 +a(g693 +g968 +tp3508 +a(g826 +g970 +tp3509 +a(g423 +Vdescr +p3510 +tp3511 +a(g693 +g994 +tp3512 +a(g669 +V +p3513 +tp3514 +a(g693 +g1001 +tp3515 +a(g826 +V\u000a +p3516 +tp3517 +a(g709 +V+733: +p3518 +tp3519 +a(g669 +Vsetfield_gc +p3520 +tp3521 +a(g693 +g982 +tp3522 +a(g423 +Vp63 +p3523 +tp3524 +a(g693 +g968 +tp3525 +a(g826 +g970 +tp3526 +a(g423 +Vp65 +p3527 +tp3528 +a(g693 +g968 +tp3529 +a(g826 +g970 +tp3530 +a(g423 +Vdescr +p3531 +tp3532 +a(g693 +g994 +tp3533 +a(g669 +V +p3534 +tp3535 +a(g693 +g1001 +tp3536 +a(g826 +V\u000a +p3537 +tp3538 +a(g709 +V+736: +p3539 +tp3540 +a(g669 +Vsetfield_gc +p3541 +tp3542 +a(g693 +g982 +tp3543 +a(g423 +Vp63 +p3544 +tp3545 +a(g693 +g968 +tp3546 +a(g826 +g970 +tp3547 +a(g423 +VConstPtr +p3548 +tp3549 +a(g693 +g982 +tp3550 +a(g669 +Vptr75 +p3551 +tp3552 +a(g693 +g1001 +tp3553 +a(g693 +g968 +tp3554 +a(g826 +g970 +tp3555 +a(g423 +Vdescr +p3556 +tp3557 +a(g693 +g994 +tp3558 +a(g669 +V +p3559 +tp3560 +a(g693 +g1001 +tp3561 +a(g826 +V\u000a +p3562 +tp3563 +a(g709 +V+743: +p3564 +tp3565 +a(g669 +Vsetfield_gc +p3566 +tp3567 +a(g693 +g982 +tp3568 +a(g423 +Vp63 +p3569 +tp3570 +a(g693 +g968 +tp3571 +a(g826 +g970 +tp3572 +a(g423 +VConstPtr +p3573 +tp3574 +a(g693 +g982 +tp3575 +a(g669 +Vptr54 +p3576 +tp3577 +a(g693 +g1001 +tp3578 +a(g693 +g968 +tp3579 +a(g826 +g970 +tp3580 +a(g423 +Vdescr +p3581 +tp3582 +a(g693 +g994 +tp3583 +a(g669 +V +p3584 +tp3585 +a(g693 +g1001 +tp3586 +a(g826 +V\u000a +p3587 +tp3588 +a(g709 +V+750: +p3589 +tp3590 +a(g669 +Vsetfield_gc +p3591 +tp3592 +a(g693 +g982 +tp3593 +a(g423 +Vp61 +p3594 +tp3595 +a(g693 +g968 +tp3596 +a(g826 +g970 +tp3597 +a(g423 +Vp63 +p3598 +tp3599 +a(g693 +g968 +tp3600 +a(g826 +g970 +tp3601 +a(g423 +Vdescr +p3602 +tp3603 +a(g693 +g994 +tp3604 +a(g669 +V +p3605 +tp3606 +a(g693 +g1001 +tp3607 +a(g826 +V\u000a +p3608 +tp3609 +a(g709 +V+753: +p3610 +tp3611 +a(g669 +Vsetfield_gc +p3612 +tp3613 +a(g693 +g982 +tp3614 +a(g423 +Vp73 +p3615 +tp3616 +a(g693 +g968 +tp3617 +a(g826 +g970 +tp3618 +a(g423 +Vi58 +p3619 +tp3620 +a(g693 +g968 +tp3621 +a(g826 +g970 +tp3622 +a(g423 +Vdescr +p3623 +tp3624 +a(g693 +g994 +tp3625 +a(g669 +V +p3626 +tp3627 +a(g693 +g1001 +tp3628 +a(g826 +V\u000a +p3629 +tp3630 +a(g709 +V+762: +p3631 +tp3632 +a(g616 +Vjump +p3633 +tp3634 +a(g693 +g982 +tp3635 +a(g423 +Vp61 +p3636 +tp3637 +a(g693 +g968 +tp3638 +a(g826 +g970 +tp3639 +a(g423 +Vp73 +p3640 +tp3641 +a(g693 +g968 +tp3642 +a(g826 +g970 +tp3643 +a(g423 +Vp30 +p3644 +tp3645 +a(g693 +g968 +tp3646 +a(g826 +g970 +tp3647 +a(g423 +Vp38 +p3648 +tp3649 +a(g693 +g968 +tp3650 +a(g826 +g970 +tp3651 +a(g423 +Vdescr +p3652 +tp3653 +a(g693 +g994 +tp3654 +a(g423 +VTargetToken +p3655 +tp3656 +a(g693 +g982 +tp3657 +a(g22 +V-1223434176 +p3658 +tp3659 +a(g693 +g1001 +tp3660 +a(g693 +g1001 +tp3661 +a(g826 +V\u000a +p3662 +tp3663 +a(g709 +V+775: +p3664 +tp3665 +a(g709 +V--end of the loop-- +p3666 +tp3667 +a(g826 +V\u000a +p3668 +tp3669 +a(g7 +V[2f1dd6da3b99] jit-log-opt-loop} +p3670 +tp3671 +a(g826 +V\u000a +p3672 +tp3673 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test_basic.adls b/tests/examplefiles/output/test_basic.adls new file mode 100644 index 0000000..fad2921 --- /dev/null +++ b/tests/examplefiles/output/test_basic.adls @@ -0,0 +1,2776 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV-- +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V-- Example of an openEHR Archetype, written in the Archetype Definition Language (ADL) +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +V-- Definition available here: http://www.openehr.org/releases/trunk/architecture/am/adl2.pdf +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g7 +V-- Author: derived from the openEHR-EHR-EVALUATION.adverse_reaction.v1 archetype at http://www.openEHR.org/ckm +p968 +tp969 +a(g822 +V\u000a +p970 +tp971 +a(g7 +V-- +p972 +tp973 +a(g822 +V\u000a\u000a +p974 +tp975 +a(g341 +Varchetype +p976 +tp977 +a(g701 +V ( +p978 +tp979 +a(g624 +Vadl_version +p980 +tp981 +a(g408 +V= +p982 +tp983 +a(g47 +V2.0.5 +p984 +tp985 +a(g701 +V; +p986 +tp987 +a(g822 +V +p988 +tp989 +a(g624 +Vrm_release +p990 +tp991 +a(g408 +g982 +tp992 +a(g47 +V1.0.2 +p993 +tp994 +a(g701 +g986 +tp995 +a(g822 +g988 +tp996 +a(g624 +Vgenerated +p997 +tp998 +a(g701 +V) +p999 +tp1000 +a(g822 +V\u000a +p1001 +tp1002 +a(g491 +V openEHR-EHR-EVALUATION.adverse_reaction.v1.0.0 +p1003 +tp1004 +a(g822 +V\u000a\u000a +p1005 +tp1006 +a(g341 +Vlanguage\u000a +p1007 +tp1008 +a(g822 +V +p1009 +tp1010 +a(g624 +Voriginal_language +p1011 +tp1012 +a(g822 +g988 +tp1013 +a(g408 +g982 +tp1014 +a(g822 +g988 +tp1015 +a(g701 +V< +p1016 +tp1017 +a(g701 +V[ +p1018 +tp1019 +a(g491 +VISO_639-1 +p1020 +tp1021 +a(g701 +V:: +p1022 +tp1023 +a(g491 +Ven +p1024 +tp1025 +a(g701 +V] +p1026 +tp1027 +a(g701 +V> +p1028 +tp1029 +a(g822 +V\u000a +p1030 +tp1031 +a(g822 +V\u000a +p1032 +tp1033 +a(g341 +Vdescription\u000a +p1034 +tp1035 +a(g822 +g1009 +tp1036 +a(g624 +Vlifecycle_state +p1037 +tp1038 +a(g822 +g988 +tp1039 +a(g408 +g982 +tp1040 +a(g822 +g988 +tp1041 +a(g701 +g1016 +tp1042 +a(g84 +V" +p1043 +tp1044 +a(g84 +Vunmanaged +p1045 +tp1046 +a(g84 +g1043 +tp1047 +a(g701 +g1028 +tp1048 +a(g822 +V\u000a +p1049 +tp1050 +a(g822 +V\u000a +p1051 +tp1052 +a(g341 +Vdefinition\u000a +p1053 +tp1054 +a(g822 +g1009 +tp1055 +a(g624 +VEVALUATION +p1056 +tp1057 +a(g701 +g1018 +tp1058 +a(g491 +Vid1 +p1059 +tp1060 +a(g701 +g1026 +tp1061 +a(g822 +V\u000a +p1062 +tp1063 +a(g822 +V\u000a +p1064 +tp1065 +a(g341 +Vterminology\u000a +p1066 +tp1067 +a(g822 +g1009 +tp1068 +a(g624 +Vterm_definitions +p1069 +tp1070 +a(g822 +g988 +tp1071 +a(g408 +g982 +tp1072 +a(g822 +g988 +tp1073 +a(g701 +g1016 +tp1074 +a(g822 +V\u000a +p1075 +tp1076 +a(g822 +V +p1077 +tp1078 +a(g701 +g1018 +tp1079 +a(g84 +g1043 +tp1080 +a(g84 +Ven +p1081 +tp1082 +a(g84 +g1043 +tp1083 +a(g701 +g1026 +tp1084 +a(g822 +g988 +tp1085 +a(g408 +g982 +tp1086 +a(g822 +g988 +tp1087 +a(g701 +g1016 +tp1088 +a(g822 +V\u000a +p1089 +tp1090 +a(g822 +V +p1091 +tp1092 +a(g701 +g1018 +tp1093 +a(g84 +g1043 +tp1094 +a(g84 +Vid1 +p1095 +tp1096 +a(g84 +g1043 +tp1097 +a(g701 +g1026 +tp1098 +a(g822 +g988 +tp1099 +a(g408 +g982 +tp1100 +a(g822 +g988 +tp1101 +a(g701 +g1016 +tp1102 +a(g822 +V\u000a +p1103 +tp1104 +a(g822 +V +p1105 +tp1106 +a(g624 +Vtext +p1107 +tp1108 +a(g822 +g988 +tp1109 +a(g408 +g982 +tp1110 +a(g822 +g988 +tp1111 +a(g701 +g1016 +tp1112 +a(g84 +g1043 +tp1113 +a(g84 +VAdverse Reaction +p1114 +tp1115 +a(g84 +g1043 +tp1116 +a(g701 +g1028 +tp1117 +a(g822 +V\u000a +p1118 +tp1119 +a(g822 +V +p1120 +tp1121 +a(g624 +Vdescription +p1122 +tp1123 +a(g822 +g988 +tp1124 +a(g408 +g982 +tp1125 +a(g822 +g988 +tp1126 +a(g701 +g1016 +tp1127 +a(g84 +g1043 +tp1128 +a(g84 +Vxxx +p1129 +tp1130 +a(g84 +g1043 +tp1131 +a(g701 +g1028 +tp1132 +a(g822 +V\u000a +p1133 +tp1134 +a(g822 +V +p1135 +tp1136 +a(g701 +g1028 +tp1137 +a(g822 +V\u000a +p1138 +tp1139 +a(g822 +V +p1140 +tp1141 +a(g701 +g1028 +tp1142 +a(g822 +V\u000a +p1143 +tp1144 +a(g822 +g1009 +tp1145 +a(g701 +g1028 +tp1146 +a(g822 +V\u000a +p1147 +tp1148 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/truncated.pytb b/tests/examplefiles/output/truncated.pytb new file mode 100644 index 0000000..92dd9a7 --- /dev/null +++ b/tests/examplefiles/output/truncated.pytb @@ -0,0 +1,2870 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Traceback' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsS'Inserted' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsS'Strong' +p927 +g1 +(g2 +g3 +(g4 +g927 +tp928 +tp929 +Rp930 +(dp931 +g10 +g13 +sg34 +g35 +((lp932 +tp933 +Rp934 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg5 +g8 +sg34 +g35 +((lp942 +g898 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag930 +ag906 +ag937 +ag8 +ag922 +ag914 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g826 +V File +p958 +tp959 +a(g586 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p960 +tp961 +a(g826 +V, line +p962 +tp963 +a(g22 +V314 +p964 +tp965 +a(g826 +V, in +p966 +tp967 +a(g340 +Vdispatch_request +p968 +tp969 +a(g826 +V\u000a +p970 +tp971 +a(g826 +V +p972 +tp973 +a(g340 +Vdispatcher +p974 +tp975 +a(g317 +V. +p976 +tp977 +a(g340 +Vdispatch +p978 +tp979 +a(g610 +V( +p980 +tp981 +a(g340 +Vreq +p982 +tp983 +a(g610 +V) +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g826 +V File +p988 +tp989 +a(g586 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p990 +tp991 +a(g826 +V, line +p992 +tp993 +a(g22 +V186 +p994 +tp995 +a(g826 +V, in +p996 +tp997 +a(g340 +Vdispatch +p998 +tp999 +a(g826 +V\u000a +p1000 +tp1001 +a(g826 +V +p1002 +tp1003 +a(g340 +Vreq +p1004 +tp1005 +a(g317 +g976 +tp1006 +a(g340 +Vsession +p1007 +tp1008 +a(g826 +V +p1009 +tp1010 +a(g317 +V= +p1011 +tp1012 +a(g826 +g1009 +tp1013 +a(g340 +VSession +p1014 +tp1015 +a(g610 +g980 +tp1016 +a(g598 +Vself +p1017 +tp1018 +a(g317 +g976 +tp1019 +a(g340 +Venv +p1020 +tp1021 +a(g610 +V, +p1022 +tp1023 +a(g826 +g1009 +tp1024 +a(g340 +Vreq +p1025 +tp1026 +a(g610 +g984 +tp1027 +a(g826 +V\u000a +p1028 +tp1029 +a(g826 +V File +p1030 +tp1031 +a(g586 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p1032 +tp1033 +a(g826 +V, line +p1034 +tp1035 +a(g22 +V52 +p1036 +tp1037 +a(g826 +V, in +p1038 +tp1039 +a(g340 +V__init__ +p1040 +tp1041 +a(g826 +V\u000a +p1042 +tp1043 +a(g826 +V +p1044 +tp1045 +a(g598 +Vself +p1046 +tp1047 +a(g317 +g976 +tp1048 +a(g340 +Vpromote_session +p1049 +tp1050 +a(g610 +g980 +tp1051 +a(g340 +Vsid +p1052 +tp1053 +a(g610 +g984 +tp1054 +a(g826 +V\u000a +p1055 +tp1056 +a(g826 +V File +p1057 +tp1058 +a(g586 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p1059 +tp1060 +a(g826 +V, line +p1061 +tp1062 +a(g22 +V125 +p1063 +tp1064 +a(g826 +V, in +p1065 +tp1066 +a(g340 +Vpromote_session +p1067 +tp1068 +a(g826 +V\u000a +p1069 +tp1070 +a(g826 +V +p1071 +tp1072 +a(g149 +V" +p1073 +tp1074 +a(g149 +VAND authenticated=0 +p1075 +tp1076 +a(g149 +g1073 +tp1077 +a(g610 +g1022 +tp1078 +a(g826 +g1009 +tp1079 +a(g610 +g980 +tp1080 +a(g340 +Vsid +p1081 +tp1082 +a(g610 +g1022 +tp1083 +a(g610 +g984 +tp1084 +a(g610 +g984 +tp1085 +a(g826 +V\u000a +p1086 +tp1087 +a(g826 +V File +p1088 +tp1089 +a(g586 +V"/usr/lib/python2.3/site-packages/trac/db/util.py" +p1090 +tp1091 +a(g826 +V, line +p1092 +tp1093 +a(g22 +V47 +p1094 +tp1095 +a(g826 +V, in +p1096 +tp1097 +a(g340 +Vexecute +p1098 +tp1099 +a(g826 +V\u000a +p1100 +tp1101 +a(g826 +V +p1102 +tp1103 +a(g744 +Vreturn +p1104 +tp1105 +a(g826 +g1009 +tp1106 +a(g598 +Vself +p1107 +tp1108 +a(g317 +g976 +tp1109 +a(g340 +Vcursor +p1110 +tp1111 +a(g317 +g976 +tp1112 +a(g340 +Vexecute +p1113 +tp1114 +a(g610 +g980 +tp1115 +a(g340 +Vsql_escape_percent +p1116 +tp1117 +a(g610 +g980 +tp1118 +a(g340 +Vsql +p1119 +tp1120 +a(g610 +g984 +tp1121 +a(g610 +g1022 +tp1122 +a(g826 +g1009 +tp1123 +a(g340 +Vargs +p1124 +tp1125 +a(g610 +g984 +tp1126 +a(g826 +V\u000a +p1127 +tp1128 +a(g826 +V File +p1129 +tp1130 +a(g586 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p1131 +tp1132 +a(g826 +V, line +p1133 +tp1134 +a(g22 +V44 +p1135 +tp1136 +a(g826 +V, in +p1137 +tp1138 +a(g340 +Vexecute +p1139 +tp1140 +a(g826 +V\u000a +p1141 +tp1142 +a(g826 +V +p1143 +tp1144 +a(g340 +Vargs +p1145 +tp1146 +a(g826 +g1009 +tp1147 +a(g331 +Vor +p1148 +tp1149 +a(g826 +g1009 +tp1150 +a(g610 +V[ +p1151 +tp1152 +a(g610 +V] +p1153 +tp1154 +a(g610 +g984 +tp1155 +a(g826 +V\u000a +p1156 +tp1157 +a(g826 +V File +p1158 +tp1159 +a(g586 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p1160 +tp1161 +a(g826 +V, line +p1162 +tp1163 +a(g22 +V36 +p1164 +tp1165 +a(g826 +V, in +p1166 +tp1167 +a(g340 +V_rollback_on_error +p1168 +tp1169 +a(g826 +V\u000a +p1170 +tp1171 +a(g826 +V +p1172 +tp1173 +a(g744 +Vreturn +p1174 +tp1175 +a(g826 +g1009 +tp1176 +a(g340 +Vfunction +p1177 +tp1178 +a(g610 +g980 +tp1179 +a(g598 +Vself +p1180 +tp1181 +a(g610 +g1022 +tp1182 +a(g826 +g1009 +tp1183 +a(g317 +V* +p1184 +tp1185 +a(g340 +Vargs +p1186 +tp1187 +a(g610 +g1022 +tp1188 +a(g826 +g1009 +tp1189 +a(g317 +g1184 +tp1190 +a(g317 +g1184 +tp1191 +a(g340 +Vkwargs +p1192 +tp1193 +a(g610 +g984 +tp1194 +a(g826 +V\u000a +p1195 +tp1196 +a(g937 +VOperationalError +p1197 +tp1198 +a(g826 +V: +p1199 +tp1200 +a(g340 +Vdatabase is locked +p1201 +tp1202 +a(g826 +V\u000a +p1203 +tp1204 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/tsql_example.sql b/tests/examplefiles/output/tsql_example.sql new file mode 100644 index 0000000..200beb6 --- /dev/null +++ b/tests/examplefiles/output/tsql_example.sql @@ -0,0 +1,3814 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV-- Example Transact-SQL file.\u000a +p956 +tp957 +a(g839 +V\u000a +p958 +tp959 +a(g8 +V-- Single line comment\u000a +p960 +tp961 +a(g929 +V/* +p962 +tp963 +a(g929 +V A comment \u000a +p964 +tp965 +a(g929 +V* +p966 +tp967 +a(g929 +V spawning two lines. +p968 +tp969 +a(g929 +V*/ +p970 +tp971 +a(g839 +V\u000a +p972 +tp973 +a(g929 +V/* +p974 +tp975 +a(g929 +V An indented comment\u000a +p976 +tp977 +a(g929 +g966 +tp978 +a(g929 +V spawning multiple\u000a +p979 +tp980 +a(g929 +g966 +tp981 +a(g929 +V lines. +p982 +tp983 +a(g929 +V*/ +p984 +tp985 +a(g839 +V\u000a +p986 +tp987 +a(g929 +V/* +p988 +tp989 +a(g929 +V A +p990 +tp991 +a(g929 +V/* +p992 +tp993 +a(g929 +V nested +p994 +tp995 +a(g929 +V*/ +p996 +tp997 +a(g929 +V comment. +p998 +tp999 +a(g929 +V*/ +p1000 +tp1001 +a(g839 +V\u000a\u000a +p1002 +tp1003 +a(g744 +Vselect +p1004 +tp1005 +a(g839 +V\u000a +p1006 +tp1007 +a(g573 +Vleft +p1008 +tp1009 +a(g705 +V( +p1010 +tp1011 +a(g435 +Vemp +p1012 +tp1013 +a(g705 +V. +p1014 +tp1015 +a(g435 +Vfirstname +p1016 +tp1017 +a(g705 +V, +p1018 +tp1019 +a(g839 +V +p1020 +tp1021 +a(g37 +V1 +p1022 +tp1023 +a(g705 +V) +p1024 +tp1025 +a(g839 +g1020 +tp1026 +a(g412 +V+ +p1027 +tp1028 +a(g839 +g1020 +tp1029 +a(g229 +V'.' +p1030 +tp1031 +a(g839 +g1020 +tp1032 +a(g412 +g1027 +tp1033 +a(g839 +g1020 +tp1034 +a(g412 +V[ +p1035 +tp1036 +a(g435 +Vemp.surname +p1037 +tp1038 +a(g412 +V] +p1039 +tp1040 +a(g839 +g1020 +tp1041 +a(g744 +Vas +p1042 +tp1043 +a(g839 +g1020 +tp1044 +a(g117 +V"Name" +p1045 +tp1046 +a(g705 +g1018 +tp1047 +a(g839 +V\u000a +p1048 +tp1049 +a(g435 +Vdep +p1050 +tp1051 +a(g705 +g1014 +tp1052 +a(g435 +Vname +p1053 +tp1054 +a(g839 +g1020 +tp1055 +a(g744 +Vas +p1056 +tp1057 +a(g839 +g1020 +tp1058 +a(g412 +g1035 +tp1059 +a(g435 +VDepartment +p1060 +tp1061 +a(g412 +g1039 +tp1062 +a(g839 +V\u000a +p1063 +tp1064 +a(g744 +Vinto +p1065 +tp1066 +a(g839 +V\u000a +p1067 +tp1068 +a(g435 +V#temp_employee +p1069 +tp1070 +a(g839 +V\u000a +p1071 +tp1072 +a(g744 +Vfrom +p1073 +tp1074 +a(g839 +V\u000a +p1075 +tp1076 +a(g435 +Vemployee +p1077 +tp1078 +a(g839 +g1020 +tp1079 +a(g744 +Vas +p1080 +tp1081 +a(g839 +g1020 +tp1082 +a(g435 +Vemp +p1083 +tp1084 +a(g839 +V\u000a +p1085 +tp1086 +a(g744 +Vinner +p1087 +tp1088 +a(g839 +g1020 +tp1089 +a(g744 +Vjoin +p1090 +tp1091 +a(g839 +g1020 +tp1092 +a(g435 +Vdepartment +p1093 +tp1094 +a(g839 +g1020 +tp1095 +a(g744 +Vas +p1096 +tp1097 +a(g839 +g1020 +tp1098 +a(g435 +Vdep +p1099 +tp1100 +a(g839 +g1020 +tp1101 +a(g744 +Von +p1102 +tp1103 +a(g839 +V\u000a +p1104 +tp1105 +a(g435 +Vdep +p1106 +tp1107 +a(g705 +g1014 +tp1108 +a(g435 +Vident_code +p1109 +tp1110 +a(g839 +g1020 +tp1111 +a(g412 +V= +p1112 +tp1113 +a(g839 +g1020 +tp1114 +a(g435 +Vemp +p1115 +tp1116 +a(g705 +g1014 +tp1117 +a(g435 +Vdepartment_id +p1118 +tp1119 +a(g839 +V\u000a +p1120 +tp1121 +a(g744 +Vwhere +p1122 +tp1123 +a(g839 +V\u000a +p1124 +tp1125 +a(g435 +Vemp +p1126 +tp1127 +a(g705 +g1014 +tp1128 +a(g435 +Vdate_of_birth +p1129 +tp1130 +a(g839 +g1020 +tp1131 +a(g412 +V>= +p1132 +tp1133 +a(g839 +g1020 +tp1134 +a(g229 +V'1990-01-01' +p1135 +tp1136 +a(g705 +V; +p1137 +tp1138 +a(g839 +V\u000a +p1139 +tp1140 +a(g744 +Vgo +p1141 +tp1142 +a(g839 +V\u000a\u000a +p1143 +tp1144 +a(g744 +Vdeclare +p1145 +tp1146 +a(g839 +g1020 +tp1147 +a(g440 +V@TextToFind +p1148 +tp1149 +a(g839 +g1020 +tp1150 +a(g628 +Vnvarchar +p1151 +tp1152 +a(g705 +g1010 +tp1153 +a(g37 +V100 +p1154 +tp1155 +a(g705 +g1024 +tp1156 +a(g839 +g1020 +tp1157 +a(g412 +g1112 +tp1158 +a(g839 +g1020 +tp1159 +a(g435 +VN +p1160 +tp1161 +a(g229 +V'some\u000atext across\u000amultiple lines' +p1162 +tp1163 +a(g705 +g1137 +tp1164 +a(g839 +V\u000a\u000a +p1165 +tp1166 +a(g744 +Vset +p1167 +tp1168 +a(g839 +g1020 +tp1169 +a(g440 +V@TextToFind +p1170 +tp1171 +a(g839 +g1020 +tp1172 +a(g628 +Vvarchar +p1173 +tp1174 +a(g705 +g1010 +tp1175 +a(g37 +V32 +p1176 +tp1177 +a(g705 +g1024 +tp1178 +a(g839 +g1020 +tp1179 +a(g412 +g1112 +tp1180 +a(g839 +g1020 +tp1181 +a(g229 +V'hello' +p1182 +tp1183 +a(g839 +g1020 +tp1184 +a(g412 +g1027 +tp1185 +a(g839 +g1020 +tp1186 +a(g229 +V' world' +p1187 +tp1188 +a(g705 +g1137 +tp1189 +a(g839 +V\u000a +p1190 +tp1191 +a(g744 +Vset +p1192 +tp1193 +a(g839 +g1020 +tp1194 +a(g440 +V@TextTiFind +p1195 +tp1196 +a(g839 +g1020 +tp1197 +a(g412 +V+= +p1198 +tp1199 +a(g839 +g1020 +tp1200 +a(g229 +V'!' +p1201 +tp1202 +a(g705 +g1137 +tp1203 +a(g839 +V\u000a\u000a +p1204 +tp1205 +a(g744 +Vdeclare +p1206 +tp1207 +a(g839 +g1020 +tp1208 +a(g440 +V@Count +p1209 +tp1210 +a(g839 +g1020 +tp1211 +a(g628 +Vint +p1212 +tp1213 +a(g839 +g1020 +tp1214 +a(g412 +g1112 +tp1215 +a(g839 +g1020 +tp1216 +a(g37 +V17 +p1217 +tp1218 +a(g839 +g1020 +tp1219 +a(g412 +g966 +tp1220 +a(g839 +g1020 +tp1221 +a(g705 +g1010 +tp1222 +a(g37 +V3 +p1223 +tp1224 +a(g839 +g1020 +tp1225 +a(g412 +V- +p1226 +tp1227 +a(g839 +g1020 +tp1228 +a(g37 +V5 +p1229 +tp1230 +a(g705 +g1024 +tp1231 +a(g705 +g1137 +tp1232 +a(g839 +V\u000a\u000a +p1233 +tp1234 +a(g744 +Vdelete +p1235 +tp1236 +a(g839 +g1020 +tp1237 +a(g744 +Vfrom +p1238 +tp1239 +a(g839 +V\u000a +p1240 +tp1241 +a(g412 +g1035 +tp1242 +a(g435 +Vserver +p1243 +tp1244 +a(g412 +g1039 +tp1245 +a(g705 +g1014 +tp1246 +a(g412 +g1035 +tp1247 +a(g435 +Vdatabase +p1248 +tp1249 +a(g412 +g1039 +tp1250 +a(g705 +g1014 +tp1251 +a(g412 +g1035 +tp1252 +a(g435 +Vschema +p1253 +tp1254 +a(g412 +g1039 +tp1255 +a(g705 +g1014 +tp1256 +a(g412 +g1035 +tp1257 +a(g435 +Vtable +p1258 +tp1259 +a(g412 +g1039 +tp1260 +a(g839 +V\u000a +p1261 +tp1262 +a(g744 +Vwhere +p1263 +tp1264 +a(g839 +V\u000a +p1265 +tp1266 +a(g412 +g1035 +tp1267 +a(g435 +VText +p1268 +tp1269 +a(g412 +g1039 +tp1270 +a(g839 +g1020 +tp1271 +a(g412 +g1112 +tp1272 +a(g839 +g1020 +tp1273 +a(g440 +V@TextToFind +p1274 +tp1275 +a(g839 +g1020 +tp1276 +a(g426 +Vand +p1277 +tp1278 +a(g839 +g1020 +tp1279 +a(g435 +Vauthor +p1280 +tp1281 +a(g839 +g1020 +tp1282 +a(g426 +VNot +p1283 +tp1284 +a(g839 +g1020 +tp1285 +a(g426 +VLIKE +p1286 +tp1287 +a(g839 +g1020 +tp1288 +a(g229 +V'%some%' +p1289 +tp1290 +a(g705 +g1137 +tp1291 +a(g839 +V\u000a\u000a +p1292 +tp1293 +a(g744 +Vgoto +p1294 +tp1295 +a(g839 +g1020 +tp1296 +a(g596 +Voverthere +p1297 +tp1298 +a(g705 +g1137 +tp1299 +a(g839 +V\u000a +p1300 +tp1301 +a(g596 +Voverthere +p1302 +tp1303 +a(g705 +V: +p1304 +tp1305 +a(g839 +V\u000a\u000a +p1306 +tp1307 +a(g744 +Vselect +p1308 +tp1309 +a(g839 +V\u000a +p1310 +tp1311 +a(g37 +V123 +p1312 +tp1313 +a(g839 +g1020 +tp1314 +a(g744 +Vas +p1315 +tp1316 +a(g839 +g1020 +tp1317 +a(g117 +V"int 1" +p1318 +tp1319 +a(g705 +g1018 +tp1320 +a(g839 +V\u000a +p1321 +tp1322 +a(g412 +g1027 +tp1323 +a(g37 +V123 +p1324 +tp1325 +a(g839 +g1020 +tp1326 +a(g744 +Vas +p1327 +tp1328 +a(g839 +g1020 +tp1329 +a(g117 +V"int 2" +p1330 +tp1331 +a(g705 +g1018 +tp1332 +a(g839 +V\u000a +p1333 +tp1334 +a(g412 +g1226 +tp1335 +a(g37 +V123 +p1336 +tp1337 +a(g839 +g1020 +tp1338 +a(g744 +Vas +p1339 +tp1340 +a(g839 +g1020 +tp1341 +a(g117 +V"int 3" +p1342 +tp1343 +a(g705 +g1018 +tp1344 +a(g839 +V\u000a +p1345 +tp1346 +a(g297 +V0x20 +p1347 +tp1348 +a(g839 +g1020 +tp1349 +a(g744 +Vas +p1350 +tp1351 +a(g839 +g1020 +tp1352 +a(g117 +V"hex int" +p1353 +tp1354 +a(g705 +g1018 +tp1355 +a(g839 +V\u000a +p1356 +tp1357 +a(g314 +V123.45 +p1358 +tp1359 +a(g839 +g1020 +tp1360 +a(g744 +Vas +p1361 +tp1362 +a(g839 +g1020 +tp1363 +a(g117 +V"float 1" +p1364 +tp1365 +a(g705 +g1018 +tp1366 +a(g839 +V\u000a +p1367 +tp1368 +a(g412 +g1226 +tp1369 +a(g314 +V1.23e45 +p1370 +tp1371 +a(g839 +g1020 +tp1372 +a(g744 +Vas +p1373 +tp1374 +a(g839 +g1020 +tp1375 +a(g117 +V"float 2" +p1376 +tp1377 +a(g839 +V\u000a +p1378 +tp1379 +a(g412 +g1027 +tp1380 +a(g314 +V1.23E+45 +p1381 +tp1382 +a(g839 +g1020 +tp1383 +a(g744 +Vas +p1384 +tp1385 +a(g839 +g1020 +tp1386 +a(g117 +V"float 3" +p1387 +tp1388 +a(g705 +g1018 +tp1389 +a(g839 +V\u000a +p1390 +tp1391 +a(g412 +g1226 +tp1392 +a(g314 +V1.23e-45 +p1393 +tp1394 +a(g839 +g1020 +tp1395 +a(g744 +Vas +p1396 +tp1397 +a(g839 +g1020 +tp1398 +a(g117 +V"float 4" +p1399 +tp1400 +a(g705 +g1018 +tp1401 +a(g839 +V\u000a +p1402 +tp1403 +a(g314 +V1. +p1404 +tp1405 +a(g839 +g1020 +tp1406 +a(g744 +Vas +p1407 +tp1408 +a(g839 +g1020 +tp1409 +a(g117 +V"float 5" +p1410 +tp1411 +a(g705 +g1018 +tp1412 +a(g839 +V\u000a +p1413 +tp1414 +a(g314 +V.1 +p1415 +tp1416 +a(g839 +g1020 +tp1417 +a(g744 +Vas +p1418 +tp1419 +a(g839 +g1020 +tp1420 +a(g117 +V"float 6" +p1421 +tp1422 +a(g705 +g1018 +tp1423 +a(g839 +V\u000a +p1424 +tp1425 +a(g314 +V1.e2 +p1426 +tp1427 +a(g839 +g1020 +tp1428 +a(g744 +Vas +p1429 +tp1430 +a(g839 +g1020 +tp1431 +a(g117 +V"float 7" +p1432 +tp1433 +a(g705 +g1018 +tp1434 +a(g839 +V\u000a +p1435 +tp1436 +a(g314 +V.1e2 +p1437 +tp1438 +a(g839 +g1020 +tp1439 +a(g744 +Vas +p1440 +tp1441 +a(g839 +g1020 +tp1442 +a(g117 +V"float 8" +p1443 +tp1444 +a(g705 +g1137 +tp1445 +a(g839 +V\u000a\u000a +p1446 +tp1447 +a(g744 +VSelect +p1448 +tp1449 +a(g839 +g1020 +tp1450 +a(g681 +V@@Error +p1451 +tp1452 +a(g705 +g1018 +tp1453 +a(g839 +g1020 +tp1454 +a(g573 +V$PARTITion +p1455 +tp1456 +a(g705 +g1014 +tp1457 +a(g435 +VRangePF1 +p1458 +tp1459 +a(g705 +g1010 +tp1460 +a(g37 +V10 +p1461 +tp1462 +a(g705 +g1024 +tp1463 +a(g705 +g1137 +tp1464 +a(g839 +V\u000a\u000a +p1465 +tp1466 +a(g744 +Vselect +p1467 +tp1468 +a(g839 +g1020 +tp1469 +a(g744 +Vtop +p1470 +tp1471 +a(g839 +g1020 +tp1472 +a(g37 +g1223 +tp1473 +a(g839 +g1020 +tp1474 +a(g435 +VÄhnliches +p1475 +tp1476 +a(g839 +g1020 +tp1477 +a(g744 +Vfrom +p1478 +tp1479 +a(g839 +g1020 +tp1480 +a(g435 +VMüll +p1481 +tp1482 +a(g705 +g1137 +tp1483 +a(g839 +V\u000a\u000a +p1484 +tp1485 +a(g8 +V-- Example transaction\u000a +p1486 +tp1487 +a(g744 +VBEGIN +p1488 +tp1489 +a(g839 +g1020 +tp1490 +a(g744 +VTRAN +p1491 +tp1492 +a(g839 +V\u000a\u000a +p1493 +tp1494 +a(g744 +VBEGIN +p1495 +tp1496 +a(g839 +g1020 +tp1497 +a(g744 +VTRY +p1498 +tp1499 +a(g839 +V\u000a +p1500 +tp1501 +a(g744 +VINSERT +p1502 +tp1503 +a(g839 +g1020 +tp1504 +a(g744 +VINTO +p1505 +tp1506 +a(g839 +g1020 +tp1507 +a(g435 +V#temp_employe +p1508 +tp1509 +a(g705 +g1010 +tp1510 +a(g435 +VName +p1511 +tp1512 +a(g705 +g1018 +tp1513 +a(g839 +g1020 +tp1514 +a(g435 +VDepartment +p1515 +tp1516 +a(g705 +g1024 +tp1517 +a(g839 +g1020 +tp1518 +a(g744 +VVALUES +p1519 +tp1520 +a(g839 +g1020 +tp1521 +a(g705 +g1010 +tp1522 +a(g229 +V'L. Miller' +p1523 +tp1524 +a(g705 +g1018 +tp1525 +a(g839 +g1020 +tp1526 +a(g229 +V'Sales' +p1527 +tp1528 +a(g705 +g1024 +tp1529 +a(g839 +V\u000a +p1530 +tp1531 +a(g744 +ViNsErT +p1532 +tp1533 +a(g839 +g1020 +tp1534 +a(g744 +VinTO +p1535 +tp1536 +a(g839 +g1020 +tp1537 +a(g435 +V#temp_employe +p1538 +tp1539 +a(g705 +g1010 +tp1540 +a(g435 +VName +p1541 +tp1542 +a(g705 +g1018 +tp1543 +a(g839 +g1020 +tp1544 +a(g435 +VDepartment +p1545 +tp1546 +a(g705 +g1024 +tp1547 +a(g839 +g1020 +tp1548 +a(g744 +VVaLuEs +p1549 +tp1550 +a(g839 +g1020 +tp1551 +a(g705 +g1010 +tp1552 +a(g229 +V'M. Webster' +p1553 +tp1554 +a(g705 +g1018 +tp1555 +a(g839 +g1020 +tp1556 +a(g229 +V'Helpdesk' +p1557 +tp1558 +a(g705 +g1024 +tp1559 +a(g839 +V\u000a +p1560 +tp1561 +a(g744 +VCOMMIT +p1562 +tp1563 +a(g839 +g1020 +tp1564 +a(g744 +VTRAN +p1565 +tp1566 +a(g839 +V\u000a +p1567 +tp1568 +a(g744 +VEND +p1569 +tp1570 +a(g839 +g1020 +tp1571 +a(g744 +VTRY +p1572 +tp1573 +a(g839 +V\u000a +p1574 +tp1575 +a(g744 +VBEGIN +p1576 +tp1577 +a(g839 +g1020 +tp1578 +a(g744 +VCATCH +p1579 +tp1580 +a(g839 +V\u000a +p1581 +tp1582 +a(g744 +Vprint +p1583 +tp1584 +a(g839 +g1020 +tp1585 +a(g229 +V'cannot perform transaction; rolling back' +p1586 +tp1587 +a(g705 +g1137 +tp1588 +a(g839 +V\u000a +p1589 +tp1590 +a(g744 +VROLLBACK +p1591 +tp1592 +a(g839 +g1020 +tp1593 +a(g744 +VTRAN +p1594 +tp1595 +a(g839 +V\u000a +p1596 +tp1597 +a(g744 +VEND +p1598 +tp1599 +a(g839 +g1020 +tp1600 +a(g744 +VCATCH +p1601 +tp1602 +a(g839 +V\u000a\u000a +p1603 +tp1604 +a(g8 +V-- Comment at end without newline.\u000a +p1605 +tp1606 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/twig_test b/tests/examplefiles/output/twig_test new file mode 100644 index 0000000..49fe917 --- /dev/null +++ b/tests/examplefiles/output/twig_test @@ -0,0 +1,57123 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Other' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sg4 +g1 +(g2 +g3 +(g14 +g4 +tp57 +tp58 +Rp59 +(dp60 +g9 +g39 +sg29 +g30 +((lp61 +tp62 +Rp63 +sbsS'Char' +p64 +g1 +(g2 +g3 +(g14 +g64 +tp65 +tp66 +Rp67 +(dp68 +g9 +g39 +sg29 +g30 +((lp69 +tp70 +Rp71 +sbsS'String' +p72 +g1 +(g2 +g3 +(g14 +g72 +tp73 +tp74 +Rp75 +(dp76 +g64 +g1 +(g2 +g3 +(g14 +g72 +g64 +tp77 +tp78 +Rp79 +(dp80 +g9 +g75 +sg29 +g30 +((lp81 +tp82 +Rp83 +sbsS'Backtick' +p84 +g1 +(g2 +g3 +(g14 +g72 +g84 +tp85 +tp86 +Rp87 +(dp88 +g9 +g75 +sg29 +g30 +((lp89 +tp90 +Rp91 +sbsS'Heredoc' +p92 +g1 +(g2 +g3 +(g14 +g72 +g92 +tp93 +tp94 +Rp95 +(dp96 +g9 +g75 +sg29 +g30 +((lp97 +tp98 +Rp99 +sbsS'Symbol' +p100 +g1 +(g2 +g3 +(g14 +g72 +g100 +tp101 +tp102 +Rp103 +(dp104 +g9 +g75 +sg29 +g30 +((lp105 +tp106 +Rp107 +sbsS'Interpol' +p108 +g1 +(g2 +g3 +(g14 +g72 +g108 +tp109 +tp110 +Rp111 +(dp112 +g9 +g75 +sg29 +g30 +((lp113 +tp114 +Rp115 +sbsS'Delimiter' +p116 +g1 +(g2 +g3 +(g14 +g72 +g116 +tp117 +tp118 +Rp119 +(dp120 +g9 +g75 +sg29 +g30 +((lp121 +tp122 +Rp123 +sbsS'Boolean' +p124 +g1 +(g2 +g3 +(g14 +g72 +g124 +tp125 +tp126 +Rp127 +(dp128 +g9 +g75 +sg29 +g30 +((lp129 +tp130 +Rp131 +sbsS'Character' +p132 +g1 +(g2 +g3 +(g14 +g72 +g132 +tp133 +tp134 +Rp135 +(dp136 +g9 +g75 +sg29 +g30 +((lp137 +tp138 +Rp139 +sbsS'Double' +p140 +g1 +(g2 +g3 +(g14 +g72 +g140 +tp141 +tp142 +Rp143 +(dp144 +g9 +g75 +sg29 +g30 +((lp145 +tp146 +Rp147 +sbsS'Delimeter' +p148 +g1 +(g2 +g3 +(g14 +g72 +g148 +tp149 +tp150 +Rp151 +(dp152 +g9 +g75 +sg29 +g30 +((lp153 +tp154 +Rp155 +sbsS'Atom' +p156 +g1 +(g2 +g3 +(g14 +g72 +g156 +tp157 +tp158 +Rp159 +(dp160 +g9 +g75 +sg29 +g30 +((lp161 +tp162 +Rp163 +sbsS'Affix' +p164 +g1 +(g2 +g3 +(g14 +g72 +g164 +tp165 +tp166 +Rp167 +(dp168 +g9 +g75 +sg29 +g30 +((lp169 +tp170 +Rp171 +sbsS'Name' +p172 +g1 +(g2 +g3 +(g14 +g72 +g172 +tp173 +tp174 +Rp175 +(dp176 +g9 +g75 +sg29 +g30 +((lp177 +tp178 +Rp179 +sbsS'Regex' +p180 +g1 +(g2 +g3 +(g14 +g72 +g180 +tp181 +tp182 +Rp183 +(dp184 +g9 +g75 +sg29 +g30 +((lp185 +tp186 +Rp187 +sbsS'Interp' +p188 +g1 +(g2 +g3 +(g14 +g72 +g188 +tp189 +tp190 +Rp191 +(dp192 +g9 +g75 +sg29 +g30 +((lp193 +tp194 +Rp195 +sbsS'Escape' +p196 +g1 +(g2 +g3 +(g14 +g72 +g196 +tp197 +tp198 +Rp199 +(dp200 +g9 +g75 +sg29 +g30 +((lp201 +tp202 +Rp203 +sbsg29 +g30 +((lp204 +g119 +ag103 +ag183 +ag1 +(g2 +g3 +(g14 +g72 +S'Doc' +p205 +tp206 +tp207 +Rp208 +(dp209 +g9 +g75 +sg29 +g30 +((lp210 +tp211 +Rp212 +sbag135 +ag127 +ag143 +ag111 +ag159 +ag151 +ag175 +ag199 +ag1 +(g2 +g3 +(g14 +g72 +S'Single' +p213 +tp214 +tp215 +Rp216 +(dp217 +g9 +g75 +sg29 +g30 +((lp218 +tp219 +Rp220 +sbag1 +(g2 +g3 +(g14 +g72 +g4 +tp221 +tp222 +Rp223 +(dp224 +g9 +g75 +sg29 +g30 +((lp225 +tp226 +Rp227 +sbag191 +ag87 +ag167 +ag1 +(g2 +g3 +(g14 +g72 +S'Moment' +p228 +tp229 +tp230 +Rp231 +(dp232 +g9 +g75 +sg29 +g30 +((lp233 +tp234 +Rp235 +sbag79 +ag95 +atp236 +Rp237 +sg213 +g216 +sg228 +g231 +sg9 +g39 +sg4 +g223 +sg205 +g208 +sbsg29 +g30 +((lp238 +g75 +ag67 +ag59 +ag1 +(g2 +g3 +(g14 +S'Date' +p239 +tp240 +tp241 +Rp242 +(dp243 +g9 +g39 +sg29 +g30 +((lp244 +tp245 +Rp246 +sbag44 +ag17 +atp247 +Rp248 +sg239 +g242 +sbsS'Bin' +p249 +g1 +(g2 +g3 +(g14 +g13 +g249 +tp250 +tp251 +Rp252 +(dp253 +g9 +g17 +sg29 +g30 +((lp254 +tp255 +Rp256 +sbsS'Radix' +p257 +g1 +(g2 +g3 +(g14 +g13 +g257 +tp258 +tp259 +Rp260 +(dp261 +g9 +g17 +sg29 +g30 +((lp262 +tp263 +Rp264 +sbsS'Oct' +p265 +g1 +(g2 +g3 +(g14 +g13 +g265 +tp266 +tp267 +Rp268 +(dp269 +g9 +g17 +sg29 +g30 +((lp270 +tp271 +Rp272 +sbsS'Dec' +p273 +g1 +(g2 +g3 +(g14 +g13 +g273 +tp274 +tp275 +Rp276 +(dp277 +g9 +g17 +sg29 +g30 +((lp278 +tp279 +Rp280 +sbsS'Hex' +p281 +g1 +(g2 +g3 +(g14 +g13 +g281 +tp282 +tp283 +Rp284 +(dp285 +g9 +g17 +sg29 +g30 +((lp286 +tp287 +Rp288 +sbsg29 +g30 +((lp289 +g22 +ag260 +ag276 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p290 +tp291 +tp292 +Rp293 +(dp294 +g9 +g17 +sg29 +g30 +((lp295 +tp296 +Rp297 +sbag252 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p298 +tp299 +tp300 +Rp301 +(dp302 +g9 +g17 +sg29 +g30 +((lp303 +tp304 +Rp305 +sbag268 +ag284 +atp306 +Rp307 +sg290 +g293 +sg298 +g301 +sbsS'Generic' +p308 +g1 +(g2 +g3 +(g308 +tp309 +tp310 +Rp311 +(dp312 +g9 +g11 +sS'Deleted' +p313 +g1 +(g2 +g3 +(g308 +g313 +tp314 +tp315 +Rp316 +(dp317 +g9 +g311 +sg29 +g30 +((lp318 +tp319 +Rp320 +sbsS'Subheading' +p321 +g1 +(g2 +g3 +(g308 +g321 +tp322 +tp323 +Rp324 +(dp325 +g9 +g311 +sg29 +g30 +((lp326 +tp327 +Rp328 +sbsS'Heading' +p329 +g1 +(g2 +g3 +(g308 +g329 +tp330 +tp331 +Rp332 +(dp333 +g9 +g311 +sg29 +g30 +((lp334 +tp335 +Rp336 +sbsS'Emph' +p337 +g1 +(g2 +g3 +(g308 +g337 +tp338 +tp339 +Rp340 +(dp341 +g9 +g311 +sg29 +g30 +((lp342 +tp343 +Rp344 +sbsS'Prompt' +p345 +g1 +(g2 +g3 +(g308 +g345 +tp346 +tp347 +Rp348 +(dp349 +g9 +g311 +sg29 +g30 +((lp350 +tp351 +Rp352 +sbsS'Inserted' +p353 +g1 +(g2 +g3 +(g308 +g353 +tp354 +tp355 +Rp356 +(dp357 +g9 +g311 +sg29 +g30 +((lp358 +tp359 +Rp360 +sbsS'Strong' +p361 +g1 +(g2 +g3 +(g308 +g361 +tp362 +tp363 +Rp364 +(dp365 +g9 +g311 +sg29 +g30 +((lp366 +tp367 +Rp368 +sbsS'Error' +p369 +g1 +(g2 +g3 +(g308 +g369 +tp370 +tp371 +Rp372 +(dp373 +g9 +g311 +sg29 +g30 +((lp374 +tp375 +Rp376 +sbsS'Traceback' +p377 +g1 +(g2 +g3 +(g308 +g377 +tp378 +tp379 +Rp380 +(dp381 +g9 +g311 +sg29 +g30 +((lp382 +tp383 +Rp384 +sbsg29 +g30 +((lp385 +g332 +ag324 +ag1 +(g2 +g3 +(g308 +S'Output' +p386 +tp387 +tp388 +Rp389 +(dp390 +g9 +g311 +sg29 +g30 +((lp391 +tp392 +Rp393 +sbag364 +ag340 +ag372 +ag380 +ag356 +ag348 +ag316 +atp394 +Rp395 +sg386 +g389 +sbsS'Operator' +p396 +g1 +(g2 +g3 +(g396 +tp397 +tp398 +Rp399 +(dp400 +g9 +g11 +sS'DBS' +p401 +g1 +(g2 +g3 +(g396 +g401 +tp402 +tp403 +Rp404 +(dp405 +g9 +g399 +sg29 +g30 +((lp406 +tp407 +Rp408 +sbsg29 +g30 +((lp409 +g404 +ag1 +(g2 +g3 +(g396 +S'Word' +p410 +tp411 +tp412 +Rp413 +(dp414 +g9 +g399 +sg29 +g30 +((lp415 +tp416 +Rp417 +sbatp418 +Rp419 +sg410 +g413 +sbsg72 +g75 +sg172 +g1 +(g2 +g3 +(g172 +tp420 +tp421 +Rp422 +(dp423 +S'Variable' +p424 +g1 +(g2 +g3 +(g172 +g424 +tp425 +tp426 +Rp427 +(dp428 +g9 +g422 +sS'Class' +p429 +g1 +(g2 +g3 +(g172 +g424 +g429 +tp430 +tp431 +Rp432 +(dp433 +g9 +g427 +sg29 +g30 +((lp434 +tp435 +Rp436 +sbsS'Anonymous' +p437 +g1 +(g2 +g3 +(g172 +g424 +g437 +tp438 +tp439 +Rp440 +(dp441 +g9 +g427 +sg29 +g30 +((lp442 +tp443 +Rp444 +sbsS'Instance' +p445 +g1 +(g2 +g3 +(g172 +g424 +g445 +tp446 +tp447 +Rp448 +(dp449 +g9 +g427 +sg29 +g30 +((lp450 +tp451 +Rp452 +sbsS'Global' +p453 +g1 +(g2 +g3 +(g172 +g424 +g453 +tp454 +tp455 +Rp456 +(dp457 +g9 +g427 +sg29 +g30 +((lp458 +tp459 +Rp460 +sbsg29 +g30 +((lp461 +g440 +ag448 +ag1 +(g2 +g3 +(g172 +g424 +S'Magic' +p462 +tp463 +tp464 +Rp465 +(dp466 +g9 +g427 +sg29 +g30 +((lp467 +tp468 +Rp469 +sbag456 +ag432 +atp470 +Rp471 +sg462 +g465 +sbsg396 +g1 +(g2 +g3 +(g172 +g396 +tp472 +tp473 +Rp474 +(dp475 +g9 +g422 +sg29 +g30 +((lp476 +tp477 +Rp478 +sbsS'Decorator' +p479 +g1 +(g2 +g3 +(g172 +g479 +tp480 +tp481 +Rp482 +(dp483 +g9 +g422 +sg29 +g30 +((lp484 +tp485 +Rp486 +sbsS'Entity' +p487 +g1 +(g2 +g3 +(g172 +g487 +tp488 +tp489 +Rp490 +(dp491 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g487 +g401 +tp492 +tp493 +Rp494 +(dp495 +g9 +g490 +sg29 +g30 +((lp496 +tp497 +Rp498 +sbsg29 +g30 +((lp499 +g494 +atp500 +Rp501 +sbsg100 +g1 +(g2 +g3 +(g172 +g100 +tp502 +tp503 +Rp504 +(dp505 +g9 +g422 +sg29 +g30 +((lp506 +tp507 +Rp508 +sbsS'Property' +p509 +g1 +(g2 +g3 +(g172 +g509 +tp510 +tp511 +Rp512 +(dp513 +g9 +g422 +sg29 +g30 +((lp514 +tp515 +Rp516 +sbsS'Pseudo' +p517 +g1 +(g2 +g3 +(g172 +g517 +tp518 +tp519 +Rp520 +(dp521 +g9 +g422 +sg29 +g30 +((lp522 +tp523 +Rp524 +sbsS'Type' +p525 +g1 +(g2 +g3 +(g172 +g525 +tp526 +tp527 +Rp528 +(dp529 +g9 +g422 +sg29 +g30 +((lp530 +tp531 +Rp532 +sbsS'Classes' +p533 +g1 +(g2 +g3 +(g172 +g533 +tp534 +tp535 +Rp536 +(dp537 +g9 +g422 +sg29 +g30 +((lp538 +tp539 +Rp540 +sbsS'Tag' +p541 +g1 +(g2 +g3 +(g172 +g541 +tp542 +tp543 +Rp544 +(dp545 +g9 +g422 +sg29 +g30 +((lp546 +tp547 +Rp548 +sbsS'Constant' +p549 +g1 +(g2 +g3 +(g172 +g549 +tp550 +tp551 +Rp552 +(dp553 +g9 +g422 +sg29 +g30 +((lp554 +tp555 +Rp556 +sbsS'Function' +p557 +g1 +(g2 +g3 +(g172 +g557 +tp558 +tp559 +Rp560 +(dp561 +g9 +g422 +sg29 +g30 +((lp562 +g1 +(g2 +g3 +(g172 +g557 +g462 +tp563 +tp564 +Rp565 +(dp566 +g9 +g560 +sg29 +g30 +((lp567 +tp568 +Rp569 +sbatp570 +Rp571 +sg462 +g565 +sbsS'Blubb' +p572 +g1 +(g2 +g3 +(g172 +g572 +tp573 +tp574 +Rp575 +(dp576 +g9 +g422 +sg29 +g30 +((lp577 +tp578 +Rp579 +sbsS'Label' +p580 +g1 +(g2 +g3 +(g172 +g580 +tp581 +tp582 +Rp583 +(dp584 +g9 +g422 +sg29 +g30 +((lp585 +tp586 +Rp587 +sbsS'Field' +p588 +g1 +(g2 +g3 +(g172 +g588 +tp589 +tp590 +Rp591 +(dp592 +g9 +g422 +sg29 +g30 +((lp593 +tp594 +Rp595 +sbsS'Exception' +p596 +g1 +(g2 +g3 +(g172 +g596 +tp597 +tp598 +Rp599 +(dp600 +g9 +g422 +sg29 +g30 +((lp601 +tp602 +Rp603 +sbsS'Namespace' +p604 +g1 +(g2 +g3 +(g172 +g604 +tp605 +tp606 +Rp607 +(dp608 +g9 +g422 +sg29 +g30 +((lp609 +tp610 +Rp611 +sbsg29 +g30 +((lp612 +g482 +ag575 +ag520 +ag490 +ag427 +ag599 +ag512 +ag544 +ag560 +ag536 +ag1 +(g2 +g3 +(g172 +g429 +tp613 +tp614 +Rp615 +(dp616 +g9 +g422 +sg401 +g1 +(g2 +g3 +(g172 +g429 +g401 +tp617 +tp618 +Rp619 +(dp620 +g9 +g615 +sg29 +g30 +((lp621 +tp622 +Rp623 +sbsg29 +g30 +((lp624 +g1 +(g2 +g3 +(g172 +g429 +S'Start' +p625 +tp626 +tp627 +Rp628 +(dp629 +g9 +g615 +sg29 +g30 +((lp630 +tp631 +Rp632 +sbag619 +atp633 +Rp634 +sg625 +g628 +sbag1 +(g2 +g3 +(g172 +g4 +tp635 +tp636 +Rp637 +(dp638 +g9 +g422 +sS'Member' +p639 +g1 +(g2 +g3 +(g172 +g4 +g639 +tp640 +tp641 +Rp642 +(dp643 +g9 +g637 +sg29 +g30 +((lp644 +tp645 +Rp646 +sbsg29 +g30 +((lp647 +g642 +atp648 +Rp649 +sbag583 +ag474 +ag607 +ag1 +(g2 +g3 +(g172 +S'Attribute' +p650 +tp651 +tp652 +Rp653 +(dp654 +g9 +g422 +sg424 +g1 +(g2 +g3 +(g172 +g650 +g424 +tp655 +tp656 +Rp657 +(dp658 +g9 +g653 +sg29 +g30 +((lp659 +tp660 +Rp661 +sbsg29 +g30 +((lp662 +g657 +atp663 +Rp664 +sbag552 +ag1 +(g2 +g3 +(g172 +S'Builtin' +p665 +tp666 +tp667 +Rp668 +(dp669 +g9 +g422 +sg525 +g1 +(g2 +g3 +(g172 +g665 +g525 +tp670 +tp671 +Rp672 +(dp673 +g9 +g668 +sg29 +g30 +((lp674 +tp675 +Rp676 +sbsg29 +g30 +((lp677 +g1 +(g2 +g3 +(g172 +g665 +g517 +tp678 +tp679 +Rp680 +(dp681 +g9 +g668 +sg29 +g30 +((lp682 +tp683 +Rp684 +sbag672 +atp685 +Rp686 +sg517 +g680 +sbag591 +ag528 +ag504 +atp687 +Rp688 +sg9 +g11 +sg429 +g615 +sg665 +g668 +sg650 +g653 +sg4 +g637 +sbsS'Punctuation' +p689 +g1 +(g2 +g3 +(g689 +tp690 +tp691 +Rp692 +(dp693 +g9 +g11 +sg29 +g30 +((lp694 +g1 +(g2 +g3 +(g689 +S'Indicator' +p695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g692 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbatp703 +Rp704 +sg695 +g698 +sbsS'Comment' +p705 +g1 +(g2 +g3 +(g705 +tp706 +tp707 +Rp708 +(dp709 +S'Multi' +p710 +g1 +(g2 +g3 +(g705 +g710 +tp711 +tp712 +Rp713 +(dp714 +g9 +g708 +sg29 +g30 +((lp715 +tp716 +Rp717 +sbsg9 +g11 +sS'Special' +p718 +g1 +(g2 +g3 +(g705 +g718 +tp719 +tp720 +Rp721 +(dp722 +g9 +g708 +sg29 +g30 +((lp723 +tp724 +Rp725 +sbsS'Hashbang' +p726 +g1 +(g2 +g3 +(g705 +g726 +tp727 +tp728 +Rp729 +(dp730 +g9 +g708 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Preproc' +p734 +g1 +(g2 +g3 +(g705 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g708 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg213 +g1 +(g2 +g3 +(g705 +g213 +tp742 +tp743 +Rp744 +(dp745 +g9 +g708 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Directive' +p749 +g1 +(g2 +g3 +(g705 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g708 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsg205 +g1 +(g2 +g3 +(g705 +g205 +tp757 +tp758 +Rp759 +(dp760 +g9 +g708 +sg29 +g30 +((lp761 +tp762 +Rp763 +sbsS'Singleline' +p764 +g1 +(g2 +g3 +(g705 +g764 +tp765 +tp766 +Rp767 +(dp768 +g9 +g708 +sg29 +g30 +((lp769 +tp770 +Rp771 +sbsS'Multiline' +p772 +g1 +(g2 +g3 +(g705 +g772 +tp773 +tp774 +Rp775 +(dp776 +g9 +g708 +sg29 +g30 +((lp777 +tp778 +Rp779 +sbsg29 +g30 +((lp780 +g759 +ag752 +ag729 +ag713 +ag767 +ag737 +ag775 +ag744 +ag1 +(g2 +g3 +(g705 +S'PreprocFile' +p781 +tp782 +tp783 +Rp784 +(dp785 +g9 +g708 +sg29 +g30 +((lp786 +tp787 +Rp788 +sbag1 +(g2 +g3 +(g705 +S'SingleLine' +p789 +tp790 +tp791 +Rp792 +(dp793 +g9 +g708 +sg29 +g30 +((lp794 +tp795 +Rp796 +sbag721 +atp797 +Rp798 +sg781 +g784 +sg789 +g792 +sbsg14 +g39 +sg4 +g7 +sg369 +g1 +(g2 +g3 +(g369 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g11 +sg196 +g1 +(g2 +g3 +(g196 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsg29 +g30 +((lp814 +g422 +ag7 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g9 +g11 +sg525 +g1 +(g2 +g3 +(g815 +g525 +tp820 +tp821 +Rp822 +(dp823 +g9 +g818 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g9 +g818 +sg29 +g30 +((lp832 +tp833 +Rp834 +sbsg549 +g1 +(g2 +g3 +(g815 +g549 +tp835 +tp836 +Rp837 +(dp838 +g9 +g818 +sg29 +g30 +((lp839 +tp840 +Rp841 +sbsg604 +g1 +(g2 +g3 +(g815 +g604 +tp842 +tp843 +Rp844 +(dp845 +g9 +g818 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g9 +g818 +sg29 +g30 +((lp854 +tp855 +Rp856 +sbsg517 +g1 +(g2 +g3 +(g815 +g517 +tp857 +tp858 +Rp859 +(dp860 +g9 +g818 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g9 +g818 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbsg29 +g30 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g410 +tp873 +tp874 +Rp875 +(dp876 +g9 +g818 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g9 +g818 +sg29 +g30 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g9 +g818 +sg29 +g30 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg410 +g875 +sbag311 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g900 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g900 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg9 +g11 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g9 +g900 +sg29 +g30 +((lp923 +tp924 +Rp925 +sbsg100 +g1 +(g2 +g3 +(g897 +g100 +tp926 +tp927 +Rp928 +(dp929 +g9 +g900 +sg29 +g30 +((lp930 +tp931 +Rp932 +sbsg689 +g1 +(g2 +g3 +(g897 +g689 +tp933 +tp934 +Rp935 +(dp936 +g9 +g900 +sg29 +g30 +((lp937 +tp938 +Rp939 +sbsg29 +g30 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g9 +g900 +sg29 +g30 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag399 +ag809 +ag692 +ag708 +ag801 +ag39 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg29 +g30 +((lp953 +tp954 +Rp955 +sbVFrom the Twig test suite, https://github.com/fabpot/Twig, available under BSD license.\u000a\u000a--TEST--\u000aException for an unclosed tag\u000a--TEMPLATE--\u000a +p956 +tp957 +a(g737 +V{% +p958 +tp959 +a(g900 +V +p960 +tp961 +a(g818 +Vblock +p962 +tp963 +a(g900 +g960 +tp964 +a(g427 +Vfoo +p965 +tp966 +a(g900 +g960 +tp967 +a(g737 +V%} +p968 +tp969 +a(g7 +V\u000a +p970 +tp971 +a(g737 +V{% +p972 +tp973 +a(g900 +g960 +tp974 +a(g818 +Vif +p975 +tp976 +a(g900 +g960 +tp977 +a(g427 +Vfoo +p978 +tp979 +a(g900 +g960 +tp980 +a(g737 +V%} +p981 +tp982 +a(g7 +V\u000a\u000a\u000a\u000a\u000a +p983 +tp984 +a(g737 +V{% +p985 +tp986 +a(g900 +g960 +tp987 +a(g818 +Vfor +p988 +tp989 +a(g900 +g960 +tp990 +a(g427 +Vi +p991 +tp992 +a(g900 +g960 +tp993 +a(g818 +Vin +p994 +tp995 +a(g900 +g960 +tp996 +a(g427 +Vfo +p997 +tp998 +a(g900 +g960 +tp999 +a(g737 +V%} +p1000 +tp1001 +a(g7 +V\u000a\u000a\u000a\u000a +p1002 +tp1003 +a(g737 +V{% +p1004 +tp1005 +a(g900 +g960 +tp1006 +a(g818 +Vendfor +p1007 +tp1008 +a(g900 +g960 +tp1009 +a(g737 +V%} +p1010 +tp1011 +a(g7 +V\u000a\u000a\u000a\u000a +p1012 +tp1013 +a(g737 +V{% +p1014 +tp1015 +a(g900 +g960 +tp1016 +a(g818 +Vendblock +p1017 +tp1018 +a(g900 +g960 +tp1019 +a(g737 +V%} +p1020 +tp1021 +a(g7 +V\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Unexpected tag name "endblock" (expecting closing tag for the "if" tag defined near line 4) in "index.twig" at line 16\u000a--TEST--\u000aException for an undefined trait\u000a--TEMPLATE--\u000a +p1022 +tp1023 +a(g737 +V{% +p1024 +tp1025 +a(g900 +g960 +tp1026 +a(g818 +Vuse +p1027 +tp1028 +a(g900 +g960 +tp1029 +a(g216 +V'foo' +p1030 +tp1031 +a(g900 +g960 +tp1032 +a(g427 +Vwith +p1033 +tp1034 +a(g900 +g960 +tp1035 +a(g427 +Vfoobar +p1036 +tp1037 +a(g900 +g960 +tp1038 +a(g427 +Vas +p1039 +tp1040 +a(g900 +g960 +tp1041 +a(g427 +Vbar +p1042 +tp1043 +a(g900 +g960 +tp1044 +a(g737 +V%} +p1045 +tp1046 +a(g7 +V\u000a--TEMPLATE(foo)--\u000a +p1047 +tp1048 +a(g737 +V{% +p1049 +tp1050 +a(g900 +g960 +tp1051 +a(g818 +Vblock +p1052 +tp1053 +a(g900 +g960 +tp1054 +a(g427 +Vbar +p1055 +tp1056 +a(g900 +g960 +tp1057 +a(g737 +V%} +p1058 +tp1059 +a(g7 +V\u000a +p1060 +tp1061 +a(g737 +V{% +p1062 +tp1063 +a(g900 +g960 +tp1064 +a(g818 +Vendblock +p1065 +tp1066 +a(g900 +g960 +tp1067 +a(g737 +V%} +p1068 +tp1069 +a(g7 +V\u000a--EXCEPTION--\u000aTwig_Error_Runtime: Block "foobar" is not defined in trait "foo" in "index.twig".\u000a--TEST--\u000aTwig supports method calls\u000a--TEMPLATE--\u000a +p1070 +tp1071 +a(g737 +V{{ +p1072 +tp1073 +a(g900 +g960 +tp1074 +a(g427 +Vitems +p1075 +tp1076 +a(g427 +V.foo +p1077 +tp1078 +a(g900 +g960 +tp1079 +a(g737 +V}} +p1080 +tp1081 +a(g7 +V\u000a +p1082 +tp1083 +a(g737 +V{{ +p1084 +tp1085 +a(g900 +g960 +tp1086 +a(g427 +Vitems +p1087 +tp1088 +a(g399 +V[ +p1089 +tp1090 +a(g216 +V'foo' +p1091 +tp1092 +a(g399 +V] +p1093 +tp1094 +a(g900 +g960 +tp1095 +a(g737 +V}} +p1096 +tp1097 +a(g7 +V\u000a +p1098 +tp1099 +a(g737 +V{{ +p1100 +tp1101 +a(g900 +g960 +tp1102 +a(g427 +Vitems +p1103 +tp1104 +a(g399 +g1089 +tp1105 +a(g427 +Vfoo +p1106 +tp1107 +a(g399 +g1093 +tp1108 +a(g900 +g960 +tp1109 +a(g737 +V}} +p1110 +tp1111 +a(g7 +V\u000a +p1112 +tp1113 +a(g737 +V{{ +p1114 +tp1115 +a(g900 +g960 +tp1116 +a(g427 +Vitems +p1117 +tp1118 +a(g399 +g1089 +tp1119 +a(g427 +Vitems +p1120 +tp1121 +a(g399 +g1089 +tp1122 +a(g427 +Vfoo +p1123 +tp1124 +a(g399 +g1093 +tp1125 +a(g399 +g1093 +tp1126 +a(g900 +g960 +tp1127 +a(g737 +V}} +p1128 +tp1129 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'bar', 'items' => array('foo' => 'bar', 'bar' => 'foo'))\u000a--EXPECT--\u000abar\u000abar\u000afoo\u000abar\u000a--TEST--\u000aTwig supports array notation\u000a--TEMPLATE--\u000a +p1130 +tp1131 +a(g708 +V{# empty array #} +p1132 +tp1133 +a(g7 +V\u000a +p1134 +tp1135 +a(g737 +V{{ +p1136 +tp1137 +a(g900 +g960 +tp1138 +a(g399 +g1089 +tp1139 +a(g399 +g1093 +tp1140 +a(g399 +V| +p1141 +tp1142 +a(g560 +Vjoin +p1143 +tp1144 +a(g399 +V( +p1145 +tp1146 +a(g216 +V',' +p1147 +tp1148 +a(g399 +V) +p1149 +tp1150 +a(g900 +g960 +tp1151 +a(g737 +V}} +p1152 +tp1153 +a(g7 +V\u000a\u000a +p1154 +tp1155 +a(g737 +V{{ +p1156 +tp1157 +a(g900 +g960 +tp1158 +a(g399 +g1089 +tp1159 +a(g17 +V1 +p1160 +tp1161 +a(g399 +V, +p1162 +tp1163 +a(g900 +g960 +tp1164 +a(g17 +V2 +p1165 +tp1166 +a(g399 +g1093 +tp1167 +a(g399 +g1141 +tp1168 +a(g560 +Vjoin +p1169 +tp1170 +a(g399 +g1145 +tp1171 +a(g216 +V',' +p1172 +tp1173 +a(g399 +g1149 +tp1174 +a(g900 +g960 +tp1175 +a(g737 +V}} +p1176 +tp1177 +a(g7 +V\u000a +p1178 +tp1179 +a(g737 +V{{ +p1180 +tp1181 +a(g900 +g960 +tp1182 +a(g399 +g1089 +tp1183 +a(g216 +V'foo' +p1184 +tp1185 +a(g399 +g1162 +tp1186 +a(g900 +g960 +tp1187 +a(g143 +V"bar" +p1188 +tp1189 +a(g399 +g1093 +tp1190 +a(g399 +g1141 +tp1191 +a(g560 +Vjoin +p1192 +tp1193 +a(g399 +g1145 +tp1194 +a(g216 +V',' +p1195 +tp1196 +a(g399 +g1149 +tp1197 +a(g900 +g960 +tp1198 +a(g737 +V}} +p1199 +tp1200 +a(g7 +V\u000a +p1201 +tp1202 +a(g737 +V{{ +p1203 +tp1204 +a(g900 +g960 +tp1205 +a(g399 +V{ +p1206 +tp1207 +a(g17 +V0 +p1208 +tp1209 +a(g399 +V: +p1210 +tp1211 +a(g900 +g960 +tp1212 +a(g17 +g1160 +tp1213 +a(g399 +g1162 +tp1214 +a(g900 +g960 +tp1215 +a(g216 +V'foo' +p1216 +tp1217 +a(g399 +g1210 +tp1218 +a(g900 +g960 +tp1219 +a(g216 +V'bar' +p1220 +tp1221 +a(g399 +V} +p1222 +tp1223 +a(g399 +g1141 +tp1224 +a(g560 +Vjoin +p1225 +tp1226 +a(g399 +g1145 +tp1227 +a(g216 +V',' +p1228 +tp1229 +a(g399 +g1149 +tp1230 +a(g900 +g960 +tp1231 +a(g737 +V}} +p1232 +tp1233 +a(g7 +V\u000a +p1234 +tp1235 +a(g737 +V{{ +p1236 +tp1237 +a(g900 +g960 +tp1238 +a(g399 +g1206 +tp1239 +a(g17 +g1208 +tp1240 +a(g399 +g1210 +tp1241 +a(g900 +g960 +tp1242 +a(g17 +g1160 +tp1243 +a(g399 +g1162 +tp1244 +a(g900 +g960 +tp1245 +a(g216 +V'foo' +p1246 +tp1247 +a(g399 +g1210 +tp1248 +a(g900 +g960 +tp1249 +a(g216 +V'bar' +p1250 +tp1251 +a(g399 +g1222 +tp1252 +a(g399 +g1141 +tp1253 +a(g560 +Vkeys +p1254 +tp1255 +a(g399 +g1141 +tp1256 +a(g560 +Vjoin +p1257 +tp1258 +a(g399 +g1145 +tp1259 +a(g216 +V',' +p1260 +tp1261 +a(g399 +g1149 +tp1262 +a(g900 +g960 +tp1263 +a(g737 +V}} +p1264 +tp1265 +a(g7 +V\u000a\u000a +p1266 +tp1267 +a(g737 +V{{ +p1268 +tp1269 +a(g900 +g960 +tp1270 +a(g399 +g1206 +tp1271 +a(g17 +g1208 +tp1272 +a(g399 +g1210 +tp1273 +a(g900 +g960 +tp1274 +a(g17 +g1160 +tp1275 +a(g399 +g1162 +tp1276 +a(g900 +g960 +tp1277 +a(g427 +Vfoo +p1278 +tp1279 +a(g399 +g1210 +tp1280 +a(g900 +g960 +tp1281 +a(g216 +V'bar' +p1282 +tp1283 +a(g399 +g1222 +tp1284 +a(g399 +g1141 +tp1285 +a(g560 +Vjoin +p1286 +tp1287 +a(g399 +g1145 +tp1288 +a(g216 +V',' +p1289 +tp1290 +a(g399 +g1149 +tp1291 +a(g900 +g960 +tp1292 +a(g737 +V}} +p1293 +tp1294 +a(g7 +V\u000a +p1295 +tp1296 +a(g737 +V{{ +p1297 +tp1298 +a(g900 +g960 +tp1299 +a(g399 +g1206 +tp1300 +a(g17 +g1208 +tp1301 +a(g399 +g1210 +tp1302 +a(g900 +g960 +tp1303 +a(g17 +g1160 +tp1304 +a(g399 +g1162 +tp1305 +a(g900 +g960 +tp1306 +a(g427 +Vfoo +p1307 +tp1308 +a(g399 +g1210 +tp1309 +a(g900 +g960 +tp1310 +a(g216 +V'bar' +p1311 +tp1312 +a(g399 +g1222 +tp1313 +a(g399 +g1141 +tp1314 +a(g560 +Vkeys +p1315 +tp1316 +a(g399 +g1141 +tp1317 +a(g560 +Vjoin +p1318 +tp1319 +a(g399 +g1145 +tp1320 +a(g216 +V',' +p1321 +tp1322 +a(g399 +g1149 +tp1323 +a(g900 +g960 +tp1324 +a(g737 +V}} +p1325 +tp1326 +a(g7 +V\u000a\u000a +p1327 +tp1328 +a(g708 +V{# nested arrays #} +p1329 +tp1330 +a(g7 +V\u000a +p1331 +tp1332 +a(g737 +V{% +p1333 +tp1334 +a(g900 +g960 +tp1335 +a(g818 +Vset +p1336 +tp1337 +a(g900 +g960 +tp1338 +a(g427 +Va +p1339 +tp1340 +a(g900 +g960 +tp1341 +a(g399 +V= +p1342 +tp1343 +a(g900 +g960 +tp1344 +a(g399 +g1089 +tp1345 +a(g17 +g1160 +tp1346 +a(g399 +g1162 +tp1347 +a(g900 +g960 +tp1348 +a(g17 +g1165 +tp1349 +a(g399 +g1162 +tp1350 +a(g900 +g960 +tp1351 +a(g399 +g1089 +tp1352 +a(g17 +g1160 +tp1353 +a(g399 +g1162 +tp1354 +a(g900 +g960 +tp1355 +a(g17 +g1165 +tp1356 +a(g399 +g1093 +tp1357 +a(g399 +g1162 +tp1358 +a(g900 +g960 +tp1359 +a(g399 +g1206 +tp1360 +a(g216 +V'foo' +p1361 +tp1362 +a(g399 +g1210 +tp1363 +a(g900 +g960 +tp1364 +a(g399 +g1206 +tp1365 +a(g216 +V'foo' +p1366 +tp1367 +a(g399 +g1210 +tp1368 +a(g900 +g960 +tp1369 +a(g216 +V'bar' +p1370 +tp1371 +a(g399 +g1222 +tp1372 +a(g399 +g1222 +tp1373 +a(g399 +g1093 +tp1374 +a(g900 +g960 +tp1375 +a(g737 +V%} +p1376 +tp1377 +a(g7 +V\u000a +p1378 +tp1379 +a(g737 +V{{ +p1380 +tp1381 +a(g900 +g960 +tp1382 +a(g427 +g1339 +tp1383 +a(g399 +g1089 +tp1384 +a(g17 +g1165 +tp1385 +a(g399 +g1093 +tp1386 +a(g399 +g1141 +tp1387 +a(g560 +Vjoin +p1388 +tp1389 +a(g399 +g1145 +tp1390 +a(g216 +V',' +p1391 +tp1392 +a(g399 +g1149 +tp1393 +a(g900 +g960 +tp1394 +a(g737 +V}} +p1395 +tp1396 +a(g7 +V\u000a +p1397 +tp1398 +a(g737 +V{{ +p1399 +tp1400 +a(g900 +g960 +tp1401 +a(g427 +g1339 +tp1402 +a(g399 +g1089 +tp1403 +a(g17 +V3 +p1404 +tp1405 +a(g399 +g1093 +tp1406 +a(g399 +g1089 +tp1407 +a(g143 +V"foo" +p1408 +tp1409 +a(g399 +g1093 +tp1410 +a(g399 +g1141 +tp1411 +a(g560 +Vjoin +p1412 +tp1413 +a(g399 +g1145 +tp1414 +a(g216 +V',' +p1415 +tp1416 +a(g399 +g1149 +tp1417 +a(g900 +g960 +tp1418 +a(g737 +V}} +p1419 +tp1420 +a(g7 +V\u000a\u000a +p1421 +tp1422 +a(g708 +V{# works even if [] is used inside the array #} +p1423 +tp1424 +a(g7 +V\u000a +p1425 +tp1426 +a(g737 +V{{ +p1427 +tp1428 +a(g900 +g960 +tp1429 +a(g399 +g1089 +tp1430 +a(g427 +Vfoo +p1431 +tp1432 +a(g399 +g1089 +tp1433 +a(g427 +Vbar +p1434 +tp1435 +a(g399 +g1093 +tp1436 +a(g399 +g1093 +tp1437 +a(g399 +g1141 +tp1438 +a(g560 +Vjoin +p1439 +tp1440 +a(g399 +g1145 +tp1441 +a(g216 +V',' +p1442 +tp1443 +a(g399 +g1149 +tp1444 +a(g900 +g960 +tp1445 +a(g737 +V}} +p1446 +tp1447 +a(g7 +V\u000a\u000a +p1448 +tp1449 +a(g708 +V{# elements can be any expression #} +p1450 +tp1451 +a(g7 +V\u000a +p1452 +tp1453 +a(g737 +V{{ +p1454 +tp1455 +a(g900 +g960 +tp1456 +a(g399 +g1089 +tp1457 +a(g216 +V'foo' +p1458 +tp1459 +a(g399 +g1141 +tp1460 +a(g560 +Vupper +p1461 +tp1462 +a(g399 +g1162 +tp1463 +a(g900 +g960 +tp1464 +a(g427 +Vbar +p1465 +tp1466 +a(g399 +g1141 +tp1467 +a(g560 +Vupper +p1468 +tp1469 +a(g399 +g1162 +tp1470 +a(g900 +g960 +tp1471 +a(g427 +Vbar +p1472 +tp1473 +a(g900 +g960 +tp1474 +a(g399 +V== +p1475 +tp1476 +a(g900 +g960 +tp1477 +a(g427 +Vfoo +p1478 +tp1479 +a(g399 +g1093 +tp1480 +a(g399 +g1141 +tp1481 +a(g560 +Vjoin +p1482 +tp1483 +a(g399 +g1145 +tp1484 +a(g216 +V',' +p1485 +tp1486 +a(g399 +g1149 +tp1487 +a(g900 +g960 +tp1488 +a(g737 +V}} +p1489 +tp1490 +a(g7 +V\u000a\u000a +p1491 +tp1492 +a(g708 +V{# arrays can have a trailing , like in PHP #} +p1493 +tp1494 +a(g7 +V\u000a +p1495 +tp1496 +a(g737 +V{{ +p1497 +tp1498 +a(g900 +V\u000a +p1499 +tp1500 +a(g399 +g1089 +tp1501 +a(g900 +V\u000a +p1502 +tp1503 +a(g17 +g1160 +tp1504 +a(g399 +g1162 +tp1505 +a(g900 +V\u000a +p1506 +tp1507 +a(g17 +g1165 +tp1508 +a(g399 +g1162 +tp1509 +a(g900 +V\u000a +p1510 +tp1511 +a(g399 +g1093 +tp1512 +a(g399 +g1141 +tp1513 +a(g560 +Vjoin +p1514 +tp1515 +a(g399 +g1145 +tp1516 +a(g216 +V',' +p1517 +tp1518 +a(g399 +g1149 +tp1519 +a(g900 +V\u000a +p1520 +tp1521 +a(g737 +V}} +p1522 +tp1523 +a(g7 +V\u000a\u000a +p1524 +tp1525 +a(g708 +V{# keys can be any expression #} +p1526 +tp1527 +a(g7 +V\u000a +p1528 +tp1529 +a(g737 +V{% +p1530 +tp1531 +a(g900 +g960 +tp1532 +a(g818 +Vset +p1533 +tp1534 +a(g900 +g960 +tp1535 +a(g427 +g1339 +tp1536 +a(g900 +g960 +tp1537 +a(g399 +g1342 +tp1538 +a(g900 +g960 +tp1539 +a(g17 +g1160 +tp1540 +a(g900 +g960 +tp1541 +a(g737 +V%} +p1542 +tp1543 +a(g7 +V\u000a +p1544 +tp1545 +a(g737 +V{% +p1546 +tp1547 +a(g900 +g960 +tp1548 +a(g818 +Vset +p1549 +tp1550 +a(g900 +g960 +tp1551 +a(g427 +Vb +p1552 +tp1553 +a(g900 +g960 +tp1554 +a(g399 +g1342 +tp1555 +a(g900 +g960 +tp1556 +a(g143 +V"foo" +p1557 +tp1558 +a(g900 +g960 +tp1559 +a(g737 +V%} +p1560 +tp1561 +a(g7 +V\u000a +p1562 +tp1563 +a(g737 +V{% +p1564 +tp1565 +a(g900 +g960 +tp1566 +a(g818 +Vset +p1567 +tp1568 +a(g900 +g960 +tp1569 +a(g427 +Vary +p1570 +tp1571 +a(g900 +g960 +tp1572 +a(g399 +g1342 +tp1573 +a(g900 +g960 +tp1574 +a(g399 +g1206 +tp1575 +a(g900 +g960 +tp1576 +a(g399 +g1145 +tp1577 +a(g427 +g1339 +tp1578 +a(g399 +g1149 +tp1579 +a(g399 +g1210 +tp1580 +a(g900 +g960 +tp1581 +a(g216 +V'a' +p1582 +tp1583 +a(g399 +g1162 +tp1584 +a(g900 +g960 +tp1585 +a(g399 +g1145 +tp1586 +a(g427 +g1552 +tp1587 +a(g399 +g1149 +tp1588 +a(g399 +g1210 +tp1589 +a(g900 +g960 +tp1590 +a(g216 +V'b' +p1591 +tp1592 +a(g399 +g1162 +tp1593 +a(g900 +g960 +tp1594 +a(g216 +V'c' +p1595 +tp1596 +a(g399 +g1210 +tp1597 +a(g900 +g960 +tp1598 +a(g216 +V'c' +p1599 +tp1600 +a(g399 +g1162 +tp1601 +a(g900 +g960 +tp1602 +a(g399 +g1145 +tp1603 +a(g427 +g1339 +tp1604 +a(g900 +g960 +tp1605 +a(g399 +V~ +p1606 +tp1607 +a(g900 +g960 +tp1608 +a(g427 +g1552 +tp1609 +a(g399 +g1149 +tp1610 +a(g399 +g1210 +tp1611 +a(g900 +g960 +tp1612 +a(g216 +V'd' +p1613 +tp1614 +a(g900 +g960 +tp1615 +a(g399 +g1222 +tp1616 +a(g900 +g960 +tp1617 +a(g737 +V%} +p1618 +tp1619 +a(g7 +V\u000a +p1620 +tp1621 +a(g737 +V{{ +p1622 +tp1623 +a(g900 +g960 +tp1624 +a(g427 +Vary +p1625 +tp1626 +a(g399 +g1141 +tp1627 +a(g560 +Vkeys +p1628 +tp1629 +a(g399 +g1141 +tp1630 +a(g560 +Vjoin +p1631 +tp1632 +a(g399 +g1145 +tp1633 +a(g216 +V',' +p1634 +tp1635 +a(g399 +g1149 +tp1636 +a(g900 +g960 +tp1637 +a(g737 +V}} +p1638 +tp1639 +a(g7 +V\u000a +p1640 +tp1641 +a(g737 +V{{ +p1642 +tp1643 +a(g900 +g960 +tp1644 +a(g427 +Vary +p1645 +tp1646 +a(g399 +g1141 +tp1647 +a(g560 +Vjoin +p1648 +tp1649 +a(g399 +g1145 +tp1650 +a(g216 +V',' +p1651 +tp1652 +a(g399 +g1149 +tp1653 +a(g900 +g960 +tp1654 +a(g737 +V}} +p1655 +tp1656 +a(g7 +V\u000a--DATA--\u000areturn array('bar' => 'bar', 'foo' => array('bar' => 'bar'))\u000a--EXPECT--\u000a1,2\u000afoo,bar\u000a1,bar\u000a0,foo\u000a\u000a1,bar\u000a0,foo\u000a\u000a1,2\u000abar\u000a\u000abar\u000a\u000aFOO,BAR,\u000a\u000a1,2\u000a\u000a1,foo,c,1foo\u000aa,b,c,d\u000a--TEST--\u000aTwig supports binary operations (+, -, *, /, ~, %, and, or)\u000a--TEMPLATE--\u000a +p1657 +tp1658 +a(g737 +V{{ +p1659 +tp1660 +a(g900 +g960 +tp1661 +a(g17 +g1160 +tp1662 +a(g900 +g960 +tp1663 +a(g399 +V+ +p1664 +tp1665 +a(g900 +g960 +tp1666 +a(g17 +g1160 +tp1667 +a(g900 +g960 +tp1668 +a(g737 +V}} +p1669 +tp1670 +a(g7 +V\u000a +p1671 +tp1672 +a(g737 +V{{ +p1673 +tp1674 +a(g900 +g960 +tp1675 +a(g17 +g1165 +tp1676 +a(g900 +g960 +tp1677 +a(g399 +V- +p1678 +tp1679 +a(g900 +g960 +tp1680 +a(g17 +g1160 +tp1681 +a(g900 +g960 +tp1682 +a(g737 +V}} +p1683 +tp1684 +a(g7 +V\u000a +p1685 +tp1686 +a(g737 +V{{ +p1687 +tp1688 +a(g900 +g960 +tp1689 +a(g17 +g1165 +tp1690 +a(g900 +g960 +tp1691 +a(g399 +V* +p1692 +tp1693 +a(g900 +g960 +tp1694 +a(g17 +g1165 +tp1695 +a(g900 +g960 +tp1696 +a(g737 +V}} +p1697 +tp1698 +a(g7 +V\u000a +p1699 +tp1700 +a(g737 +V{{ +p1701 +tp1702 +a(g900 +g960 +tp1703 +a(g17 +g1165 +tp1704 +a(g900 +g960 +tp1705 +a(g399 +V/ +p1706 +tp1707 +a(g900 +g960 +tp1708 +a(g17 +g1165 +tp1709 +a(g900 +g960 +tp1710 +a(g737 +V}} +p1711 +tp1712 +a(g7 +V\u000a +p1713 +tp1714 +a(g737 +V{{ +p1715 +tp1716 +a(g900 +g960 +tp1717 +a(g17 +g1404 +tp1718 +a(g900 +g960 +tp1719 +a(g399 +V% +p1720 +tp1721 +a(g900 +g960 +tp1722 +a(g17 +g1165 +tp1723 +a(g900 +g960 +tp1724 +a(g737 +V}} +p1725 +tp1726 +a(g7 +V\u000a +p1727 +tp1728 +a(g737 +V{{ +p1729 +tp1730 +a(g900 +g960 +tp1731 +a(g17 +g1160 +tp1732 +a(g900 +g960 +tp1733 +a(g818 +Vand +p1734 +tp1735 +a(g900 +g960 +tp1736 +a(g17 +g1160 +tp1737 +a(g900 +g960 +tp1738 +a(g737 +V}} +p1739 +tp1740 +a(g7 +V\u000a +p1741 +tp1742 +a(g737 +V{{ +p1743 +tp1744 +a(g900 +g960 +tp1745 +a(g17 +g1160 +tp1746 +a(g900 +g960 +tp1747 +a(g818 +Vand +p1748 +tp1749 +a(g900 +g960 +tp1750 +a(g17 +g1208 +tp1751 +a(g900 +g960 +tp1752 +a(g737 +V}} +p1753 +tp1754 +a(g7 +V\u000a +p1755 +tp1756 +a(g737 +V{{ +p1757 +tp1758 +a(g900 +g960 +tp1759 +a(g17 +g1208 +tp1760 +a(g900 +g960 +tp1761 +a(g818 +Vand +p1762 +tp1763 +a(g900 +g960 +tp1764 +a(g17 +g1160 +tp1765 +a(g900 +g960 +tp1766 +a(g737 +V}} +p1767 +tp1768 +a(g7 +V\u000a +p1769 +tp1770 +a(g737 +V{{ +p1771 +tp1772 +a(g900 +g960 +tp1773 +a(g17 +g1208 +tp1774 +a(g900 +g960 +tp1775 +a(g818 +Vand +p1776 +tp1777 +a(g900 +g960 +tp1778 +a(g17 +g1208 +tp1779 +a(g900 +g960 +tp1780 +a(g737 +V}} +p1781 +tp1782 +a(g7 +V\u000a +p1783 +tp1784 +a(g737 +V{{ +p1785 +tp1786 +a(g900 +g960 +tp1787 +a(g17 +g1160 +tp1788 +a(g900 +g960 +tp1789 +a(g818 +Vor +p1790 +tp1791 +a(g900 +g960 +tp1792 +a(g17 +g1160 +tp1793 +a(g900 +g960 +tp1794 +a(g737 +V}} +p1795 +tp1796 +a(g7 +V\u000a +p1797 +tp1798 +a(g737 +V{{ +p1799 +tp1800 +a(g900 +g960 +tp1801 +a(g17 +g1160 +tp1802 +a(g900 +g960 +tp1803 +a(g818 +Vor +p1804 +tp1805 +a(g900 +g960 +tp1806 +a(g17 +g1208 +tp1807 +a(g900 +g960 +tp1808 +a(g737 +V}} +p1809 +tp1810 +a(g7 +V\u000a +p1811 +tp1812 +a(g737 +V{{ +p1813 +tp1814 +a(g900 +g960 +tp1815 +a(g17 +g1208 +tp1816 +a(g900 +g960 +tp1817 +a(g818 +Vor +p1818 +tp1819 +a(g900 +g960 +tp1820 +a(g17 +g1160 +tp1821 +a(g900 +g960 +tp1822 +a(g737 +V}} +p1823 +tp1824 +a(g7 +V\u000a +p1825 +tp1826 +a(g737 +V{{ +p1827 +tp1828 +a(g900 +g960 +tp1829 +a(g17 +g1208 +tp1830 +a(g900 +g960 +tp1831 +a(g818 +Vor +p1832 +tp1833 +a(g900 +g960 +tp1834 +a(g17 +g1208 +tp1835 +a(g900 +g960 +tp1836 +a(g737 +V}} +p1837 +tp1838 +a(g7 +V\u000a +p1839 +tp1840 +a(g737 +V{{ +p1841 +tp1842 +a(g900 +g960 +tp1843 +a(g17 +g1208 +tp1844 +a(g900 +g960 +tp1845 +a(g818 +Vor +p1846 +tp1847 +a(g900 +g960 +tp1848 +a(g17 +g1160 +tp1849 +a(g900 +g960 +tp1850 +a(g818 +Vand +p1851 +tp1852 +a(g900 +g960 +tp1853 +a(g17 +g1208 +tp1854 +a(g900 +g960 +tp1855 +a(g737 +V}} +p1856 +tp1857 +a(g7 +V\u000a +p1858 +tp1859 +a(g737 +V{{ +p1860 +tp1861 +a(g900 +g960 +tp1862 +a(g17 +g1160 +tp1863 +a(g900 +g960 +tp1864 +a(g818 +Vor +p1865 +tp1866 +a(g900 +g960 +tp1867 +a(g17 +g1208 +tp1868 +a(g900 +g960 +tp1869 +a(g818 +Vand +p1870 +tp1871 +a(g900 +g960 +tp1872 +a(g17 +g1160 +tp1873 +a(g900 +g960 +tp1874 +a(g737 +V}} +p1875 +tp1876 +a(g7 +V\u000a +p1877 +tp1878 +a(g737 +V{{ +p1879 +tp1880 +a(g900 +g960 +tp1881 +a(g143 +V"foo" +p1882 +tp1883 +a(g900 +g960 +tp1884 +a(g399 +g1606 +tp1885 +a(g900 +g960 +tp1886 +a(g143 +V"bar" +p1887 +tp1888 +a(g900 +g960 +tp1889 +a(g737 +V}} +p1890 +tp1891 +a(g7 +V\u000a +p1892 +tp1893 +a(g737 +V{{ +p1894 +tp1895 +a(g900 +g960 +tp1896 +a(g427 +Vfoo +p1897 +tp1898 +a(g900 +g960 +tp1899 +a(g399 +g1606 +tp1900 +a(g900 +g960 +tp1901 +a(g143 +V"bar" +p1902 +tp1903 +a(g900 +g960 +tp1904 +a(g737 +V}} +p1905 +tp1906 +a(g7 +V\u000a +p1907 +tp1908 +a(g737 +V{{ +p1909 +tp1910 +a(g900 +g960 +tp1911 +a(g143 +V"foo" +p1912 +tp1913 +a(g900 +g960 +tp1914 +a(g399 +g1606 +tp1915 +a(g900 +g960 +tp1916 +a(g427 +Vbar +p1917 +tp1918 +a(g900 +g960 +tp1919 +a(g737 +V}} +p1920 +tp1921 +a(g7 +V\u000a +p1922 +tp1923 +a(g737 +V{{ +p1924 +tp1925 +a(g900 +g960 +tp1926 +a(g427 +Vfoo +p1927 +tp1928 +a(g900 +g960 +tp1929 +a(g399 +g1606 +tp1930 +a(g900 +g960 +tp1931 +a(g427 +Vbar +p1932 +tp1933 +a(g900 +g960 +tp1934 +a(g737 +V}} +p1935 +tp1936 +a(g7 +V\u000a +p1937 +tp1938 +a(g737 +V{{ +p1939 +tp1940 +a(g900 +g960 +tp1941 +a(g17 +g1165 +tp1942 +a(g17 +g1208 +tp1943 +a(g900 +g960 +tp1944 +a(g399 +g1706 +tp1945 +a(g399 +g1706 +tp1946 +a(g900 +g960 +tp1947 +a(g17 +V7 +p1948 +tp1949 +a(g900 +g960 +tp1950 +a(g737 +V}} +p1951 +tp1952 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'bar', 'bar' => 'foo')\u000a--EXPECT--\u000a2\u000a1\u000a4\u000a1\u000a1\u000a1\u000a\u000a\u000a\u000a1\u000a1\u000a1\u000a\u000a\u000a1\u000afoobar\u000abarbar\u000afoofoo\u000abarfoo\u000a2\u000a--TEST--\u000aTwig supports bitwise operations\u000a--TEMPLATE--\u000a +p1953 +tp1954 +a(g737 +V{{ +p1955 +tp1956 +a(g900 +g960 +tp1957 +a(g17 +g1160 +tp1958 +a(g900 +g960 +tp1959 +a(g818 +Vb-and +p1960 +tp1961 +a(g900 +g960 +tp1962 +a(g17 +V5 +p1963 +tp1964 +a(g900 +g960 +tp1965 +a(g737 +V}} +p1966 +tp1967 +a(g7 +V\u000a +p1968 +tp1969 +a(g737 +V{{ +p1970 +tp1971 +a(g900 +g960 +tp1972 +a(g17 +g1160 +tp1973 +a(g900 +g960 +tp1974 +a(g818 +Vb-or +p1975 +tp1976 +a(g900 +g960 +tp1977 +a(g17 +g1963 +tp1978 +a(g900 +g960 +tp1979 +a(g737 +V}} +p1980 +tp1981 +a(g7 +V\u000a +p1982 +tp1983 +a(g737 +V{{ +p1984 +tp1985 +a(g900 +g960 +tp1986 +a(g17 +g1160 +tp1987 +a(g900 +g960 +tp1988 +a(g818 +Vb-xor +p1989 +tp1990 +a(g900 +g960 +tp1991 +a(g17 +g1963 +tp1992 +a(g900 +g960 +tp1993 +a(g737 +V}} +p1994 +tp1995 +a(g7 +V\u000a +p1996 +tp1997 +a(g737 +V{{ +p1998 +tp1999 +a(g900 +g960 +tp2000 +a(g399 +g1145 +tp2001 +a(g17 +g1160 +tp2002 +a(g900 +g960 +tp2003 +a(g818 +Vand +p2004 +tp2005 +a(g900 +g960 +tp2006 +a(g17 +g1208 +tp2007 +a(g900 +g960 +tp2008 +a(g818 +Vb-or +p2009 +tp2010 +a(g900 +g960 +tp2011 +a(g17 +g1208 +tp2012 +a(g399 +g1149 +tp2013 +a(g900 +g960 +tp2014 +a(g818 +Vis +p2015 +tp2016 +a(g900 +g960 +tp2017 +a(g560 +Vsame +p2018 +tp2019 +a(g900 +g960 +tp2020 +a(g427 +Vas +p2021 +tp2022 +a(g399 +g1145 +tp2023 +a(g17 +g1160 +tp2024 +a(g900 +g960 +tp2025 +a(g818 +Vand +p2026 +tp2027 +a(g900 +g960 +tp2028 +a(g399 +g1145 +tp2029 +a(g17 +g1208 +tp2030 +a(g900 +g960 +tp2031 +a(g818 +Vb-or +p2032 +tp2033 +a(g900 +g960 +tp2034 +a(g17 +g1208 +tp2035 +a(g399 +g1149 +tp2036 +a(g399 +g1149 +tp2037 +a(g900 +g960 +tp2038 +a(g399 +V? +p2039 +tp2040 +a(g900 +g960 +tp2041 +a(g216 +V'ok' +p2042 +tp2043 +a(g900 +g960 +tp2044 +a(g399 +g1210 +tp2045 +a(g900 +g960 +tp2046 +a(g216 +V'ko' +p2047 +tp2048 +a(g900 +g960 +tp2049 +a(g737 +V}} +p2050 +tp2051 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a1\u000a5\u000a4\u000aok\u000a--TEST--\u000aTwig supports comparison operators (==, !=, <, >, >=, <=)\u000a--TEMPLATE--\u000a +p2052 +tp2053 +a(g737 +V{{ +p2054 +tp2055 +a(g900 +g960 +tp2056 +a(g17 +g1160 +tp2057 +a(g900 +g960 +tp2058 +a(g399 +V> +p2059 +tp2060 +a(g900 +g960 +tp2061 +a(g17 +g1165 +tp2062 +a(g900 +g960 +tp2063 +a(g737 +V}} +p2064 +tp2065 +a(g7 +g1706 +tp2066 +a(g737 +V{{ +p2067 +tp2068 +a(g900 +g960 +tp2069 +a(g17 +g1160 +tp2070 +a(g900 +g960 +tp2071 +a(g399 +g2059 +tp2072 +a(g900 +g960 +tp2073 +a(g17 +g1160 +tp2074 +a(g900 +g960 +tp2075 +a(g737 +V}} +p2076 +tp2077 +a(g7 +g1706 +tp2078 +a(g737 +V{{ +p2079 +tp2080 +a(g900 +g960 +tp2081 +a(g17 +g1160 +tp2082 +a(g900 +g960 +tp2083 +a(g399 +V>= +p2084 +tp2085 +a(g900 +g960 +tp2086 +a(g17 +g1165 +tp2087 +a(g900 +g960 +tp2088 +a(g737 +V}} +p2089 +tp2090 +a(g7 +g1706 +tp2091 +a(g737 +V{{ +p2092 +tp2093 +a(g900 +g960 +tp2094 +a(g17 +g1160 +tp2095 +a(g900 +g960 +tp2096 +a(g399 +V>= +p2097 +tp2098 +a(g900 +g960 +tp2099 +a(g17 +g1160 +tp2100 +a(g900 +g960 +tp2101 +a(g737 +V}} +p2102 +tp2103 +a(g7 +V\u000a +p2104 +tp2105 +a(g737 +V{{ +p2106 +tp2107 +a(g900 +g960 +tp2108 +a(g17 +g1160 +tp2109 +a(g900 +g960 +tp2110 +a(g399 +V< +p2111 +tp2112 +a(g900 +g960 +tp2113 +a(g17 +g1165 +tp2114 +a(g900 +g960 +tp2115 +a(g737 +V}} +p2116 +tp2117 +a(g7 +g1706 +tp2118 +a(g737 +V{{ +p2119 +tp2120 +a(g900 +g960 +tp2121 +a(g17 +g1160 +tp2122 +a(g900 +g960 +tp2123 +a(g399 +g2111 +tp2124 +a(g900 +g960 +tp2125 +a(g17 +g1160 +tp2126 +a(g900 +g960 +tp2127 +a(g737 +V}} +p2128 +tp2129 +a(g7 +g1706 +tp2130 +a(g737 +V{{ +p2131 +tp2132 +a(g900 +g960 +tp2133 +a(g17 +g1160 +tp2134 +a(g900 +g960 +tp2135 +a(g399 +V<= +p2136 +tp2137 +a(g900 +g960 +tp2138 +a(g17 +g1165 +tp2139 +a(g900 +g960 +tp2140 +a(g737 +V}} +p2141 +tp2142 +a(g7 +g1706 +tp2143 +a(g737 +V{{ +p2144 +tp2145 +a(g900 +g960 +tp2146 +a(g17 +g1160 +tp2147 +a(g900 +g960 +tp2148 +a(g399 +V<= +p2149 +tp2150 +a(g900 +g960 +tp2151 +a(g17 +g1160 +tp2152 +a(g900 +g960 +tp2153 +a(g737 +V}} +p2154 +tp2155 +a(g7 +V\u000a +p2156 +tp2157 +a(g737 +V{{ +p2158 +tp2159 +a(g900 +g960 +tp2160 +a(g17 +g1160 +tp2161 +a(g900 +g960 +tp2162 +a(g399 +V== +p2163 +tp2164 +a(g900 +g960 +tp2165 +a(g17 +g1160 +tp2166 +a(g900 +g960 +tp2167 +a(g737 +V}} +p2168 +tp2169 +a(g7 +g1706 +tp2170 +a(g737 +V{{ +p2171 +tp2172 +a(g900 +g960 +tp2173 +a(g17 +g1160 +tp2174 +a(g900 +g960 +tp2175 +a(g399 +V== +p2176 +tp2177 +a(g900 +g960 +tp2178 +a(g17 +g1165 +tp2179 +a(g900 +g960 +tp2180 +a(g737 +V}} +p2181 +tp2182 +a(g7 +V\u000a +p2183 +tp2184 +a(g737 +V{{ +p2185 +tp2186 +a(g900 +g960 +tp2187 +a(g17 +g1160 +tp2188 +a(g900 +g960 +tp2189 +a(g399 +V!= +p2190 +tp2191 +a(g900 +g960 +tp2192 +a(g17 +g1160 +tp2193 +a(g900 +g960 +tp2194 +a(g737 +V}} +p2195 +tp2196 +a(g7 +g1706 +tp2197 +a(g737 +V{{ +p2198 +tp2199 +a(g900 +g960 +tp2200 +a(g17 +g1160 +tp2201 +a(g900 +g960 +tp2202 +a(g399 +V!= +p2203 +tp2204 +a(g900 +g960 +tp2205 +a(g17 +g1165 +tp2206 +a(g900 +g960 +tp2207 +a(g737 +V}} +p2208 +tp2209 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a///1\u000a1//1/1\u000a1/\u000a/1\u000a--TEST--\u000aTwig supports the "divisible by" operator\u000a--TEMPLATE--\u000a +p2210 +tp2211 +a(g737 +V{{ +p2212 +tp2213 +a(g900 +g960 +tp2214 +a(g17 +V8 +p2215 +tp2216 +a(g900 +g960 +tp2217 +a(g818 +Vis +p2218 +tp2219 +a(g900 +g960 +tp2220 +a(g560 +Vdivisible +p2221 +tp2222 +a(g900 +g960 +tp2223 +a(g427 +Vby +p2224 +tp2225 +a(g399 +g1145 +tp2226 +a(g17 +g1165 +tp2227 +a(g399 +g1149 +tp2228 +a(g900 +g960 +tp2229 +a(g399 +g2039 +tp2230 +a(g900 +g960 +tp2231 +a(g216 +V'OK' +p2232 +tp2233 +a(g900 +g960 +tp2234 +a(g737 +V}} +p2235 +tp2236 +a(g7 +V\u000a +p2237 +tp2238 +a(g737 +V{{ +p2239 +tp2240 +a(g900 +g960 +tp2241 +a(g17 +g2215 +tp2242 +a(g900 +g960 +tp2243 +a(g818 +Vis +p2244 +tp2245 +a(g900 +g960 +tp2246 +a(g818 +Vnot +p2247 +tp2248 +a(g900 +g960 +tp2249 +a(g560 +Vdivisible +p2250 +tp2251 +a(g900 +g960 +tp2252 +a(g427 +Vby +p2253 +tp2254 +a(g399 +g1145 +tp2255 +a(g17 +g1404 +tp2256 +a(g399 +g1149 +tp2257 +a(g900 +g960 +tp2258 +a(g399 +g2039 +tp2259 +a(g900 +g960 +tp2260 +a(g216 +V'OK' +p2261 +tp2262 +a(g900 +g960 +tp2263 +a(g737 +V}} +p2264 +tp2265 +a(g7 +V\u000a +p2266 +tp2267 +a(g737 +V{{ +p2268 +tp2269 +a(g900 +g960 +tp2270 +a(g17 +g2215 +tp2271 +a(g900 +g960 +tp2272 +a(g818 +Vis +p2273 +tp2274 +a(g900 +V +p2275 +tp2276 +a(g560 +Vdivisible +p2277 +tp2278 +a(g900 +V +p2279 +tp2280 +a(g427 +Vby +p2281 +tp2282 +a(g900 +V +p2283 +tp2284 +a(g399 +g1145 +tp2285 +a(g17 +g1165 +tp2286 +a(g399 +g1149 +tp2287 +a(g900 +g960 +tp2288 +a(g399 +g2039 +tp2289 +a(g900 +g960 +tp2290 +a(g216 +V'OK' +p2291 +tp2292 +a(g900 +g960 +tp2293 +a(g737 +V}} +p2294 +tp2295 +a(g7 +V\u000a +p2296 +tp2297 +a(g737 +V{{ +p2298 +tp2299 +a(g900 +g960 +tp2300 +a(g17 +g2215 +tp2301 +a(g900 +g960 +tp2302 +a(g818 +Vis +p2303 +tp2304 +a(g900 +g960 +tp2305 +a(g818 +Vnot +p2306 +tp2307 +a(g900 +V\u000a +p2308 +tp2309 +a(g560 +Vdivisible +p2310 +tp2311 +a(g900 +V\u000a +p2312 +tp2313 +a(g427 +Vby +p2314 +tp2315 +a(g900 +V\u000a +p2316 +tp2317 +a(g399 +g1145 +tp2318 +a(g17 +g1404 +tp2319 +a(g399 +g1149 +tp2320 +a(g900 +g960 +tp2321 +a(g399 +g2039 +tp2322 +a(g900 +g960 +tp2323 +a(g216 +V'OK' +p2324 +tp2325 +a(g900 +g960 +tp2326 +a(g737 +V}} +p2327 +tp2328 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aOK\u000aOK\u000aOK\u000aOK\u000a--TEST--\u000aTwig supports the .. operator\u000a--TEMPLATE--\u000a +p2329 +tp2330 +a(g737 +V{% +p2331 +tp2332 +a(g900 +g960 +tp2333 +a(g818 +Vfor +p2334 +tp2335 +a(g900 +g960 +tp2336 +a(g427 +g991 +tp2337 +a(g900 +g960 +tp2338 +a(g818 +Vin +p2339 +tp2340 +a(g900 +g960 +tp2341 +a(g17 +V0. +p2342 +tp2343 +a(g17 +V.10 +p2344 +tp2345 +a(g900 +g960 +tp2346 +a(g737 +V%} +p2347 +tp2348 +a(g737 +V{{ +p2349 +tp2350 +a(g900 +g960 +tp2351 +a(g427 +g991 +tp2352 +a(g900 +g960 +tp2353 +a(g737 +V}} +p2354 +tp2355 +a(g7 +g960 +tp2356 +a(g737 +V{% +p2357 +tp2358 +a(g900 +g960 +tp2359 +a(g818 +Vendfor +p2360 +tp2361 +a(g900 +g960 +tp2362 +a(g737 +V%} +p2363 +tp2364 +a(g7 +V\u000a\u000a +p2365 +tp2366 +a(g737 +V{% +p2367 +tp2368 +a(g900 +g960 +tp2369 +a(g818 +Vfor +p2370 +tp2371 +a(g900 +g960 +tp2372 +a(g427 +Vletter +p2373 +tp2374 +a(g900 +g960 +tp2375 +a(g818 +Vin +p2376 +tp2377 +a(g900 +g960 +tp2378 +a(g216 +V'a' +p2379 +tp2380 +a(g399 +V.. +p2381 +tp2382 +a(g216 +V'z' +p2383 +tp2384 +a(g900 +g960 +tp2385 +a(g737 +V%} +p2386 +tp2387 +a(g737 +V{{ +p2388 +tp2389 +a(g900 +g960 +tp2390 +a(g427 +Vletter +p2391 +tp2392 +a(g900 +g960 +tp2393 +a(g737 +V}} +p2394 +tp2395 +a(g7 +g960 +tp2396 +a(g737 +V{% +p2397 +tp2398 +a(g900 +g960 +tp2399 +a(g818 +Vendfor +p2400 +tp2401 +a(g900 +g960 +tp2402 +a(g737 +V%} +p2403 +tp2404 +a(g7 +V\u000a\u000a +p2405 +tp2406 +a(g737 +V{% +p2407 +tp2408 +a(g900 +g960 +tp2409 +a(g818 +Vfor +p2410 +tp2411 +a(g900 +g960 +tp2412 +a(g427 +Vletter +p2413 +tp2414 +a(g900 +g960 +tp2415 +a(g818 +Vin +p2416 +tp2417 +a(g900 +g960 +tp2418 +a(g216 +V'a' +p2419 +tp2420 +a(g399 +g1141 +tp2421 +a(g560 +Vupper +p2422 +tp2423 +a(g399 +V.. +p2424 +tp2425 +a(g216 +V'z' +p2426 +tp2427 +a(g399 +g1141 +tp2428 +a(g560 +Vupper +p2429 +tp2430 +a(g900 +g960 +tp2431 +a(g737 +V%} +p2432 +tp2433 +a(g737 +V{{ +p2434 +tp2435 +a(g900 +g960 +tp2436 +a(g427 +Vletter +p2437 +tp2438 +a(g900 +g960 +tp2439 +a(g737 +V}} +p2440 +tp2441 +a(g7 +g960 +tp2442 +a(g737 +V{% +p2443 +tp2444 +a(g900 +g960 +tp2445 +a(g818 +Vendfor +p2446 +tp2447 +a(g900 +g960 +tp2448 +a(g737 +V%} +p2449 +tp2450 +a(g7 +V\u000a\u000a +p2451 +tp2452 +a(g737 +V{% +p2453 +tp2454 +a(g900 +g960 +tp2455 +a(g818 +Vfor +p2456 +tp2457 +a(g900 +g960 +tp2458 +a(g427 +g991 +tp2459 +a(g900 +g960 +tp2460 +a(g818 +Vin +p2461 +tp2462 +a(g900 +g960 +tp2463 +a(g427 +Vfoo +p2464 +tp2465 +a(g399 +g1089 +tp2466 +a(g17 +g1208 +tp2467 +a(g399 +g1093 +tp2468 +a(g399 +V.. +p2469 +tp2470 +a(g427 +Vfoo +p2471 +tp2472 +a(g399 +g1089 +tp2473 +a(g17 +g1160 +tp2474 +a(g399 +g1093 +tp2475 +a(g900 +g960 +tp2476 +a(g737 +V%} +p2477 +tp2478 +a(g737 +V{{ +p2479 +tp2480 +a(g900 +g960 +tp2481 +a(g427 +g991 +tp2482 +a(g900 +g960 +tp2483 +a(g737 +V}} +p2484 +tp2485 +a(g7 +g960 +tp2486 +a(g737 +V{% +p2487 +tp2488 +a(g900 +g960 +tp2489 +a(g818 +Vendfor +p2490 +tp2491 +a(g900 +g960 +tp2492 +a(g737 +V%} +p2493 +tp2494 +a(g7 +V\u000a\u000a +p2495 +tp2496 +a(g737 +V{% +p2497 +tp2498 +a(g900 +g960 +tp2499 +a(g818 +Vfor +p2500 +tp2501 +a(g900 +g960 +tp2502 +a(g427 +g991 +tp2503 +a(g900 +g960 +tp2504 +a(g818 +Vin +p2505 +tp2506 +a(g900 +g960 +tp2507 +a(g17 +g1208 +tp2508 +a(g900 +g960 +tp2509 +a(g399 +g1664 +tp2510 +a(g900 +g960 +tp2511 +a(g17 +g1160 +tp2512 +a(g900 +g960 +tp2513 +a(g399 +V.. +p2514 +tp2515 +a(g900 +g960 +tp2516 +a(g17 +g1160 +tp2517 +a(g17 +g1208 +tp2518 +a(g900 +g960 +tp2519 +a(g399 +g1678 +tp2520 +a(g900 +g960 +tp2521 +a(g17 +g1160 +tp2522 +a(g900 +g960 +tp2523 +a(g737 +V%} +p2524 +tp2525 +a(g737 +V{{ +p2526 +tp2527 +a(g900 +g960 +tp2528 +a(g427 +g991 +tp2529 +a(g900 +g960 +tp2530 +a(g737 +V}} +p2531 +tp2532 +a(g7 +g960 +tp2533 +a(g737 +V{% +p2534 +tp2535 +a(g900 +g960 +tp2536 +a(g818 +Vendfor +p2537 +tp2538 +a(g900 +g960 +tp2539 +a(g737 +V%} +p2540 +tp2541 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => array(1, 10))\u000a--EXPECT--\u000a0 1 2 3 4 5 6 7 8 9 10 \u000aa b c d e f g h i j k l m n o p q r s t u v w x y z \u000aA B C D E F G H I J K L M N O P Q R S T U V W X Y Z \u000a1 2 3 4 5 6 7 8 9 10 \u000a1 2 3 4 5 6 7 8 9\u000a--TEST--\u000aTwig supports the "ends with" operator\u000a--TEMPLATE--\u000a +p2542 +tp2543 +a(g737 +V{{ +p2544 +tp2545 +a(g900 +g960 +tp2546 +a(g216 +V'foo' +p2547 +tp2548 +a(g900 +g960 +tp2549 +a(g818 +Vends with +p2550 +tp2551 +a(g900 +g960 +tp2552 +a(g216 +V'o' +p2553 +tp2554 +a(g900 +g960 +tp2555 +a(g399 +g2039 +tp2556 +a(g900 +g960 +tp2557 +a(g216 +V'OK' +p2558 +tp2559 +a(g900 +g960 +tp2560 +a(g399 +g1210 +tp2561 +a(g900 +g960 +tp2562 +a(g216 +V'KO' +p2563 +tp2564 +a(g900 +g960 +tp2565 +a(g737 +V}} +p2566 +tp2567 +a(g7 +V\u000a +p2568 +tp2569 +a(g737 +V{{ +p2570 +tp2571 +a(g900 +g960 +tp2572 +a(g818 +Vnot +p2573 +tp2574 +a(g900 +g960 +tp2575 +a(g399 +g1145 +tp2576 +a(g216 +V'foo' +p2577 +tp2578 +a(g900 +g960 +tp2579 +a(g818 +Vends with +p2580 +tp2581 +a(g900 +g960 +tp2582 +a(g216 +V'f' +p2583 +tp2584 +a(g399 +g1149 +tp2585 +a(g900 +g960 +tp2586 +a(g399 +g2039 +tp2587 +a(g900 +g960 +tp2588 +a(g216 +V'OK' +p2589 +tp2590 +a(g900 +g960 +tp2591 +a(g399 +g1210 +tp2592 +a(g900 +g960 +tp2593 +a(g216 +V'KO' +p2594 +tp2595 +a(g900 +g960 +tp2596 +a(g737 +V}} +p2597 +tp2598 +a(g7 +V\u000a +p2599 +tp2600 +a(g737 +V{{ +p2601 +tp2602 +a(g900 +g960 +tp2603 +a(g818 +Vnot +p2604 +tp2605 +a(g900 +g960 +tp2606 +a(g399 +g1145 +tp2607 +a(g216 +V'foo' +p2608 +tp2609 +a(g900 +g960 +tp2610 +a(g818 +Vends with +p2611 +tp2612 +a(g900 +g960 +tp2613 +a(g216 +V'foowaytoolong' +p2614 +tp2615 +a(g399 +g1149 +tp2616 +a(g900 +g960 +tp2617 +a(g399 +g2039 +tp2618 +a(g900 +g960 +tp2619 +a(g216 +V'OK' +p2620 +tp2621 +a(g900 +g960 +tp2622 +a(g399 +g1210 +tp2623 +a(g900 +g960 +tp2624 +a(g216 +V'KO' +p2625 +tp2626 +a(g900 +g960 +tp2627 +a(g737 +V}} +p2628 +tp2629 +a(g7 +V\u000a +p2630 +tp2631 +a(g737 +V{{ +p2632 +tp2633 +a(g900 +g960 +tp2634 +a(g216 +V'foo' +p2635 +tp2636 +a(g900 +g960 +tp2637 +a(g818 +Vends with +p2638 +tp2639 +a(g900 +g960 +tp2640 +a(g216 +V'' +p2641 +tp2642 +a(g900 +g960 +tp2643 +a(g399 +g2039 +tp2644 +a(g900 +g960 +tp2645 +a(g216 +V'OK' +p2646 +tp2647 +a(g900 +g960 +tp2648 +a(g399 +g1210 +tp2649 +a(g900 +g960 +tp2650 +a(g216 +V'KO' +p2651 +tp2652 +a(g900 +g960 +tp2653 +a(g737 +V}} +p2654 +tp2655 +a(g7 +V\u000a +p2656 +tp2657 +a(g737 +V{{ +p2658 +tp2659 +a(g900 +g960 +tp2660 +a(g216 +V'1' +p2661 +tp2662 +a(g900 +g960 +tp2663 +a(g818 +Vends with +p2664 +tp2665 +a(g900 +g960 +tp2666 +a(g859 +Vtrue +p2667 +tp2668 +a(g900 +g960 +tp2669 +a(g399 +g2039 +tp2670 +a(g900 +g960 +tp2671 +a(g216 +V'OK' +p2672 +tp2673 +a(g900 +g960 +tp2674 +a(g399 +g1210 +tp2675 +a(g900 +g960 +tp2676 +a(g216 +V'KO' +p2677 +tp2678 +a(g900 +g960 +tp2679 +a(g737 +V}} +p2680 +tp2681 +a(g7 +V\u000a +p2682 +tp2683 +a(g737 +V{{ +p2684 +tp2685 +a(g900 +g960 +tp2686 +a(g17 +g1160 +tp2687 +a(g900 +g960 +tp2688 +a(g818 +Vends with +p2689 +tp2690 +a(g900 +g960 +tp2691 +a(g859 +Vtrue +p2692 +tp2693 +a(g900 +g960 +tp2694 +a(g399 +g2039 +tp2695 +a(g900 +g960 +tp2696 +a(g216 +V'OK' +p2697 +tp2698 +a(g900 +g960 +tp2699 +a(g399 +g1210 +tp2700 +a(g900 +g960 +tp2701 +a(g216 +V'KO' +p2702 +tp2703 +a(g900 +g960 +tp2704 +a(g737 +V}} +p2705 +tp2706 +a(g7 +V\u000a +p2707 +tp2708 +a(g737 +V{{ +p2709 +tp2710 +a(g900 +g960 +tp2711 +a(g17 +g1208 +tp2712 +a(g900 +g960 +tp2713 +a(g818 +Vends with +p2714 +tp2715 +a(g900 +g960 +tp2716 +a(g859 +Vfalse +p2717 +tp2718 +a(g900 +g960 +tp2719 +a(g399 +g2039 +tp2720 +a(g900 +g960 +tp2721 +a(g216 +V'OK' +p2722 +tp2723 +a(g900 +g960 +tp2724 +a(g399 +g1210 +tp2725 +a(g900 +g960 +tp2726 +a(g216 +V'KO' +p2727 +tp2728 +a(g900 +g960 +tp2729 +a(g737 +V}} +p2730 +tp2731 +a(g7 +V\u000a +p2732 +tp2733 +a(g737 +V{{ +p2734 +tp2735 +a(g900 +g960 +tp2736 +a(g216 +V'' +p2737 +tp2738 +a(g900 +g960 +tp2739 +a(g818 +Vends with +p2740 +tp2741 +a(g900 +g960 +tp2742 +a(g859 +Vfalse +p2743 +tp2744 +a(g900 +g960 +tp2745 +a(g399 +g2039 +tp2746 +a(g900 +g960 +tp2747 +a(g216 +V'OK' +p2748 +tp2749 +a(g900 +g960 +tp2750 +a(g399 +g1210 +tp2751 +a(g900 +g960 +tp2752 +a(g216 +V'KO' +p2753 +tp2754 +a(g900 +g960 +tp2755 +a(g737 +V}} +p2756 +tp2757 +a(g7 +V\u000a +p2758 +tp2759 +a(g737 +V{{ +p2760 +tp2761 +a(g900 +g960 +tp2762 +a(g859 +Vfalse +p2763 +tp2764 +a(g900 +g960 +tp2765 +a(g818 +Vends with +p2766 +tp2767 +a(g900 +g960 +tp2768 +a(g859 +Vfalse +p2769 +tp2770 +a(g900 +g960 +tp2771 +a(g399 +g2039 +tp2772 +a(g900 +g960 +tp2773 +a(g216 +V'OK' +p2774 +tp2775 +a(g900 +g960 +tp2776 +a(g399 +g1210 +tp2777 +a(g900 +g960 +tp2778 +a(g216 +V'KO' +p2779 +tp2780 +a(g900 +g960 +tp2781 +a(g737 +V}} +p2782 +tp2783 +a(g7 +V\u000a +p2784 +tp2785 +a(g737 +V{{ +p2786 +tp2787 +a(g900 +g960 +tp2788 +a(g859 +Vfalse +p2789 +tp2790 +a(g900 +g960 +tp2791 +a(g818 +Vends with +p2792 +tp2793 +a(g900 +g960 +tp2794 +a(g216 +V'' +p2795 +tp2796 +a(g900 +g960 +tp2797 +a(g399 +g2039 +tp2798 +a(g900 +g960 +tp2799 +a(g216 +V'OK' +p2800 +tp2801 +a(g900 +g960 +tp2802 +a(g399 +g1210 +tp2803 +a(g900 +g960 +tp2804 +a(g216 +V'KO' +p2805 +tp2806 +a(g900 +g960 +tp2807 +a(g737 +V}} +p2808 +tp2809 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aOK\u000aOK\u000aOK\u000aOK\u000aKO\u000aKO\u000aKO\u000aKO\u000aKO\u000aKO\u000a--TEST--\u000aTwig supports grouping of expressions\u000a--TEMPLATE--\u000a +p2810 +tp2811 +a(g737 +V{{ +p2812 +tp2813 +a(g900 +g960 +tp2814 +a(g399 +g1145 +tp2815 +a(g17 +g1165 +tp2816 +a(g900 +g960 +tp2817 +a(g399 +g1664 +tp2818 +a(g900 +g960 +tp2819 +a(g17 +g1165 +tp2820 +a(g399 +g1149 +tp2821 +a(g900 +g960 +tp2822 +a(g399 +g1706 +tp2823 +a(g900 +g960 +tp2824 +a(g17 +g1165 +tp2825 +a(g900 +g960 +tp2826 +a(g737 +V}} +p2827 +tp2828 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a2\u000a--TEST--\u000aTwig supports literals\u000a--TEMPLATE--\u000a1 +p2829 +tp2830 +a(g737 +V{{ +p2831 +tp2832 +a(g900 +g960 +tp2833 +a(g859 +Vtrue +p2834 +tp2835 +a(g900 +g960 +tp2836 +a(g737 +V}} +p2837 +tp2838 +a(g7 +V\u000a2 +p2839 +tp2840 +a(g737 +V{{ +p2841 +tp2842 +a(g900 +g960 +tp2843 +a(g859 +VTRUE +p2844 +tp2845 +a(g900 +g960 +tp2846 +a(g737 +V}} +p2847 +tp2848 +a(g7 +V\u000a3 +p2849 +tp2850 +a(g737 +V{{ +p2851 +tp2852 +a(g900 +g960 +tp2853 +a(g859 +Vfalse +p2854 +tp2855 +a(g900 +g960 +tp2856 +a(g737 +V}} +p2857 +tp2858 +a(g7 +V\u000a4 +p2859 +tp2860 +a(g737 +V{{ +p2861 +tp2862 +a(g900 +g960 +tp2863 +a(g859 +VFALSE +p2864 +tp2865 +a(g900 +g960 +tp2866 +a(g737 +V}} +p2867 +tp2868 +a(g7 +V\u000a5 +p2869 +tp2870 +a(g737 +V{{ +p2871 +tp2872 +a(g900 +g960 +tp2873 +a(g859 +Vnone +p2874 +tp2875 +a(g900 +g960 +tp2876 +a(g737 +V}} +p2877 +tp2878 +a(g7 +V\u000a6 +p2879 +tp2880 +a(g737 +V{{ +p2881 +tp2882 +a(g900 +g960 +tp2883 +a(g859 +VNONE +p2884 +tp2885 +a(g900 +g960 +tp2886 +a(g737 +V}} +p2887 +tp2888 +a(g7 +V\u000a7 +p2889 +tp2890 +a(g737 +V{{ +p2891 +tp2892 +a(g900 +g960 +tp2893 +a(g859 +Vnull +p2894 +tp2895 +a(g900 +g960 +tp2896 +a(g737 +V}} +p2897 +tp2898 +a(g7 +V\u000a8 +p2899 +tp2900 +a(g737 +V{{ +p2901 +tp2902 +a(g900 +g960 +tp2903 +a(g859 +VNULL +p2904 +tp2905 +a(g900 +g960 +tp2906 +a(g737 +V}} +p2907 +tp2908 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a1 1\u000a2 1\u000a3 \u000a4 \u000a5 \u000a6 \u000a7 \u000a8 \u000a--TEST--\u000aTwig supports __call() for attributes\u000a--TEMPLATE--\u000a +p2909 +tp2910 +a(g737 +V{{ +p2911 +tp2912 +a(g900 +g960 +tp2913 +a(g427 +Vfoo +p2914 +tp2915 +a(g427 +V.foo +p2916 +tp2917 +a(g900 +g960 +tp2918 +a(g737 +V}} +p2919 +tp2920 +a(g7 +V\u000a +p2921 +tp2922 +a(g737 +V{{ +p2923 +tp2924 +a(g900 +g960 +tp2925 +a(g427 +Vfoo +p2926 +tp2927 +a(g427 +V.bar +p2928 +tp2929 +a(g900 +g960 +tp2930 +a(g737 +V}} +p2931 +tp2932 +a(g7 +V\u000a--EXPECT--\u000afoo_from_call\u000abar_from_getbar\u000a--TEST--\u000aTwig supports the "matches" operator\u000a--TEMPLATE--\u000a +p2933 +tp2934 +a(g737 +V{{ +p2935 +tp2936 +a(g900 +g960 +tp2937 +a(g216 +V'foo' +p2938 +tp2939 +a(g900 +g960 +tp2940 +a(g427 +Vmatches +p2941 +tp2942 +a(g900 +g960 +tp2943 +a(g216 +V'/o/' +p2944 +tp2945 +a(g900 +g960 +tp2946 +a(g399 +g2039 +tp2947 +a(g900 +g960 +tp2948 +a(g216 +V'OK' +p2949 +tp2950 +a(g900 +g960 +tp2951 +a(g399 +g1210 +tp2952 +a(g900 +g960 +tp2953 +a(g216 +V'KO' +p2954 +tp2955 +a(g900 +g960 +tp2956 +a(g737 +V}} +p2957 +tp2958 +a(g7 +V\u000a +p2959 +tp2960 +a(g737 +V{{ +p2961 +tp2962 +a(g900 +g960 +tp2963 +a(g216 +V'foo' +p2964 +tp2965 +a(g900 +g960 +tp2966 +a(g427 +Vmatches +p2967 +tp2968 +a(g900 +g960 +tp2969 +a(g216 +V'/^fo/' +p2970 +tp2971 +a(g900 +g960 +tp2972 +a(g399 +g2039 +tp2973 +a(g900 +g960 +tp2974 +a(g216 +V'OK' +p2975 +tp2976 +a(g900 +g960 +tp2977 +a(g399 +g1210 +tp2978 +a(g900 +g960 +tp2979 +a(g216 +V'KO' +p2980 +tp2981 +a(g900 +g960 +tp2982 +a(g737 +V}} +p2983 +tp2984 +a(g7 +V\u000a +p2985 +tp2986 +a(g737 +V{{ +p2987 +tp2988 +a(g900 +g960 +tp2989 +a(g216 +V'foo' +p2990 +tp2991 +a(g900 +g960 +tp2992 +a(g427 +Vmatches +p2993 +tp2994 +a(g900 +g960 +tp2995 +a(g216 +V'/O/i' +p2996 +tp2997 +a(g900 +g960 +tp2998 +a(g399 +g2039 +tp2999 +a(g900 +g960 +tp3000 +a(g216 +V'OK' +p3001 +tp3002 +a(g900 +g960 +tp3003 +a(g399 +g1210 +tp3004 +a(g900 +g960 +tp3005 +a(g216 +V'KO' +p3006 +tp3007 +a(g900 +g960 +tp3008 +a(g737 +V}} +p3009 +tp3010 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aOK\u000aOK\u000aOK\u000a--TEST--\u000aTwig supports method calls\u000a--TEMPLATE--\u000a +p3011 +tp3012 +a(g737 +V{{ +p3013 +tp3014 +a(g900 +g960 +tp3015 +a(g427 +Vitems +p3016 +tp3017 +a(g427 +V.foo +p3018 +tp3019 +a(g427 +V.foo +p3020 +tp3021 +a(g900 +g960 +tp3022 +a(g737 +V}} +p3023 +tp3024 +a(g7 +V\u000a +p3025 +tp3026 +a(g737 +V{{ +p3027 +tp3028 +a(g900 +g960 +tp3029 +a(g427 +Vitems +p3030 +tp3031 +a(g427 +V.foo +p3032 +tp3033 +a(g427 +V.getFoo +p3034 +tp3035 +a(g399 +g1145 +tp3036 +a(g399 +g1149 +tp3037 +a(g900 +g960 +tp3038 +a(g737 +V}} +p3039 +tp3040 +a(g7 +V\u000a +p3041 +tp3042 +a(g737 +V{{ +p3043 +tp3044 +a(g900 +g960 +tp3045 +a(g427 +Vitems +p3046 +tp3047 +a(g427 +V.foo +p3048 +tp3049 +a(g427 +V.bar +p3050 +tp3051 +a(g900 +g960 +tp3052 +a(g737 +V}} +p3053 +tp3054 +a(g7 +V\u000a +p3055 +tp3056 +a(g737 +V{{ +p3057 +tp3058 +a(g900 +g960 +tp3059 +a(g427 +Vitems +p3060 +tp3061 +a(g427 +V.foo +p3062 +tp3063 +a(g399 +g1089 +tp3064 +a(g216 +V'bar' +p3065 +tp3066 +a(g399 +g1093 +tp3067 +a(g900 +g960 +tp3068 +a(g737 +V}} +p3069 +tp3070 +a(g7 +V\u000a +p3071 +tp3072 +a(g737 +V{{ +p3073 +tp3074 +a(g900 +g960 +tp3075 +a(g427 +Vitems +p3076 +tp3077 +a(g427 +V.foo +p3078 +tp3079 +a(g427 +V.bar +p3080 +tp3081 +a(g399 +g1145 +tp3082 +a(g216 +V'a' +p3083 +tp3084 +a(g399 +g1162 +tp3085 +a(g900 +g960 +tp3086 +a(g17 +V4 +p3087 +tp3088 +a(g17 +g1404 +tp3089 +a(g399 +g1149 +tp3090 +a(g900 +g960 +tp3091 +a(g737 +V}} +p3092 +tp3093 +a(g7 +V\u000a +p3094 +tp3095 +a(g737 +V{{ +p3096 +tp3097 +a(g900 +g960 +tp3098 +a(g427 +Vitems +p3099 +tp3100 +a(g427 +V.foo +p3101 +tp3102 +a(g427 +V.bar +p3103 +tp3104 +a(g399 +g1145 +tp3105 +a(g427 +Vfoo +p3106 +tp3107 +a(g399 +g1149 +tp3108 +a(g900 +g960 +tp3109 +a(g737 +V}} +p3110 +tp3111 +a(g7 +V\u000a +p3112 +tp3113 +a(g737 +V{{ +p3114 +tp3115 +a(g900 +g960 +tp3116 +a(g427 +Vitems +p3117 +tp3118 +a(g427 +V.foo +p3119 +tp3120 +a(g427 +V.self +p3121 +tp3122 +a(g427 +V.foo +p3123 +tp3124 +a(g399 +g1145 +tp3125 +a(g399 +g1149 +tp3126 +a(g900 +g960 +tp3127 +a(g737 +V}} +p3128 +tp3129 +a(g7 +V\u000a +p3130 +tp3131 +a(g737 +V{{ +p3132 +tp3133 +a(g900 +g960 +tp3134 +a(g427 +Vitems +p3135 +tp3136 +a(g427 +V.foo +p3137 +tp3138 +a(g427 +V.is +p3139 +tp3140 +a(g900 +g960 +tp3141 +a(g737 +V}} +p3142 +tp3143 +a(g7 +V\u000a +p3144 +tp3145 +a(g737 +V{{ +p3146 +tp3147 +a(g900 +g960 +tp3148 +a(g427 +Vitems +p3149 +tp3150 +a(g427 +V.foo +p3151 +tp3152 +a(g427 +V.in +p3153 +tp3154 +a(g900 +g960 +tp3155 +a(g737 +V}} +p3156 +tp3157 +a(g7 +V\u000a +p3158 +tp3159 +a(g737 +V{{ +p3160 +tp3161 +a(g900 +g960 +tp3162 +a(g427 +Vitems +p3163 +tp3164 +a(g427 +V.foo +p3165 +tp3166 +a(g427 +V.not +p3167 +tp3168 +a(g900 +g960 +tp3169 +a(g737 +V}} +p3170 +tp3171 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'bar', 'items' => array('foo' => new TwigTestFoo(), 'bar' => 'foo'))\u000a--CONFIG--\u000areturn array('strict_variables' => false)\u000a--EXPECT--\u000afoo\u000afoo\u000abar\u000a\u000abar_a-43\u000abar_bar\u000afoo\u000ais\u000ain\u000anot\u000a--TEST--\u000aTwig allows to use named operators as variable names\u000a--TEMPLATE--\u000a +p3172 +tp3173 +a(g737 +V{% +p3174 +tp3175 +a(g900 +g960 +tp3176 +a(g818 +Vfor +p3177 +tp3178 +a(g900 +g960 +tp3179 +a(g427 +Vmatch +p3180 +tp3181 +a(g900 +g960 +tp3182 +a(g818 +Vin +p3183 +tp3184 +a(g900 +g960 +tp3185 +a(g427 +Vmatches +p3186 +tp3187 +a(g900 +g960 +tp3188 +a(g737 +V%} +p3189 +tp3190 +a(g7 +V\u000a +p3191 +tp3192 +a(g737 +V{{ +p3193 +tp3194 +a(g399 +g1678 +tp3195 +a(g900 +g960 +tp3196 +a(g427 +Vmatch +p3197 +tp3198 +a(g900 +g960 +tp3199 +a(g737 +V}} +p3200 +tp3201 +a(g7 +V\u000a +p3202 +tp3203 +a(g737 +V{% +p3204 +tp3205 +a(g900 +g960 +tp3206 +a(g818 +Vendfor +p3207 +tp3208 +a(g900 +g960 +tp3209 +a(g737 +V%} +p3210 +tp3211 +a(g7 +V\u000a +p3212 +tp3213 +a(g737 +V{{ +p3214 +tp3215 +a(g900 +g960 +tp3216 +a(g818 +Vin +p3217 +tp3218 +a(g900 +g960 +tp3219 +a(g737 +V}} +p3220 +tp3221 +a(g7 +V\u000a +p3222 +tp3223 +a(g737 +V{{ +p3224 +tp3225 +a(g900 +g960 +tp3226 +a(g427 +Vis +p3227 +tp3228 +a(g900 +g960 +tp3229 +a(g737 +V}} +p3230 +tp3231 +a(g7 +V\u000a--DATA--\u000areturn array('matches' => array(1, 2, 3), 'in' => 'in', 'is' => 'is')\u000a--EXPECT--\u000a1\u000a2\u000a3\u000ain\u000ais\u000a--TEST--\u000aTwig parses postfix expressions\u000a--TEMPLATE--\u000a +p3232 +tp3233 +a(g737 +V{% +p3234 +tp3235 +a(g900 +g960 +tp3236 +a(g818 +Vimport +p3237 +tp3238 +a(g900 +g960 +tp3239 +a(g427 +V_self +p3240 +tp3241 +a(g900 +g960 +tp3242 +a(g427 +Vas +p3243 +tp3244 +a(g900 +g960 +tp3245 +a(g427 +Vmacros +p3246 +tp3247 +a(g900 +g960 +tp3248 +a(g737 +V%} +p3249 +tp3250 +a(g7 +V\u000a\u000a +p3251 +tp3252 +a(g737 +V{% +p3253 +tp3254 +a(g900 +g960 +tp3255 +a(g818 +Vmacro +p3256 +tp3257 +a(g900 +g960 +tp3258 +a(g427 +Vfoo +p3259 +tp3260 +a(g399 +g1145 +tp3261 +a(g399 +g1149 +tp3262 +a(g900 +g960 +tp3263 +a(g737 +V%} +p3264 +tp3265 +a(g7 +Vfoo +p3266 +tp3267 +a(g737 +V{% +p3268 +tp3269 +a(g900 +g960 +tp3270 +a(g818 +Vendmacro +p3271 +tp3272 +a(g900 +g960 +tp3273 +a(g737 +V%} +p3274 +tp3275 +a(g7 +V\u000a\u000a +p3276 +tp3277 +a(g737 +V{{ +p3278 +tp3279 +a(g900 +g960 +tp3280 +a(g216 +V'a' +p3281 +tp3282 +a(g900 +g960 +tp3283 +a(g737 +V}} +p3284 +tp3285 +a(g7 +V\u000a +p3286 +tp3287 +a(g737 +V{{ +p3288 +tp3289 +a(g900 +g960 +tp3290 +a(g216 +V'a' +p3291 +tp3292 +a(g399 +g1141 +tp3293 +a(g560 +Vupper +p3294 +tp3295 +a(g900 +g960 +tp3296 +a(g737 +V}} +p3297 +tp3298 +a(g7 +V\u000a +p3299 +tp3300 +a(g737 +V{{ +p3301 +tp3302 +a(g900 +g960 +tp3303 +a(g399 +g1145 +tp3304 +a(g216 +V'a' +p3305 +tp3306 +a(g399 +g1149 +tp3307 +a(g399 +g1141 +tp3308 +a(g560 +Vupper +p3309 +tp3310 +a(g900 +g960 +tp3311 +a(g737 +V}} +p3312 +tp3313 +a(g7 +V\u000a +p3314 +tp3315 +a(g737 +V{{ +p3316 +tp3317 +a(g900 +g960 +tp3318 +a(g399 +g1678 +tp3319 +a(g17 +g1160 +tp3320 +a(g399 +g1141 +tp3321 +a(g560 +Vupper +p3322 +tp3323 +a(g900 +g960 +tp3324 +a(g737 +V}} +p3325 +tp3326 +a(g7 +V\u000a +p3327 +tp3328 +a(g737 +V{{ +p3329 +tp3330 +a(g900 +g960 +tp3331 +a(g427 +Vmacros +p3332 +tp3333 +a(g427 +V.foo +p3334 +tp3335 +a(g399 +g1145 +tp3336 +a(g399 +g1149 +tp3337 +a(g900 +g960 +tp3338 +a(g737 +V}} +p3339 +tp3340 +a(g7 +V\u000a +p3341 +tp3342 +a(g737 +V{{ +p3343 +tp3344 +a(g900 +g960 +tp3345 +a(g399 +g1145 +tp3346 +a(g427 +Vmacros +p3347 +tp3348 +a(g399 +g1149 +tp3349 +a(g427 +V.foo +p3350 +tp3351 +a(g399 +g1145 +tp3352 +a(g399 +g1149 +tp3353 +a(g900 +g960 +tp3354 +a(g737 +V}} +p3355 +tp3356 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXPECT--\u000aa\u000aA\u000aA\u000a-1\u000afoo\u000afoo\u000a--TEST--\u000aTwig supports the "same as" operator\u000a--TEMPLATE--\u000a +p3357 +tp3358 +a(g737 +V{{ +p3359 +tp3360 +a(g900 +g960 +tp3361 +a(g17 +g1160 +tp3362 +a(g900 +g960 +tp3363 +a(g818 +Vis +p3364 +tp3365 +a(g900 +g960 +tp3366 +a(g560 +Vsame +p3367 +tp3368 +a(g900 +g960 +tp3369 +a(g427 +Vas +p3370 +tp3371 +a(g399 +g1145 +tp3372 +a(g17 +g1160 +tp3373 +a(g399 +g1149 +tp3374 +a(g900 +g960 +tp3375 +a(g399 +g2039 +tp3376 +a(g900 +g960 +tp3377 +a(g216 +V'OK' +p3378 +tp3379 +a(g900 +g960 +tp3380 +a(g737 +V}} +p3381 +tp3382 +a(g7 +V\u000a +p3383 +tp3384 +a(g737 +V{{ +p3385 +tp3386 +a(g900 +g960 +tp3387 +a(g17 +g1160 +tp3388 +a(g900 +g960 +tp3389 +a(g818 +Vis +p3390 +tp3391 +a(g900 +g960 +tp3392 +a(g818 +Vnot +p3393 +tp3394 +a(g900 +g960 +tp3395 +a(g560 +Vsame +p3396 +tp3397 +a(g900 +g960 +tp3398 +a(g427 +Vas +p3399 +tp3400 +a(g399 +g1145 +tp3401 +a(g859 +Vtrue +p3402 +tp3403 +a(g399 +g1149 +tp3404 +a(g900 +g960 +tp3405 +a(g399 +g2039 +tp3406 +a(g900 +g960 +tp3407 +a(g216 +V'OK' +p3408 +tp3409 +a(g900 +g960 +tp3410 +a(g737 +V}} +p3411 +tp3412 +a(g7 +V\u000a +p3413 +tp3414 +a(g737 +V{{ +p3415 +tp3416 +a(g900 +g960 +tp3417 +a(g17 +g1160 +tp3418 +a(g900 +g960 +tp3419 +a(g818 +Vis +p3420 +tp3421 +a(g900 +g960 +tp3422 +a(g560 +Vsame +p3423 +tp3424 +a(g900 +g960 +tp3425 +a(g427 +Vas +p3426 +tp3427 +a(g399 +g1145 +tp3428 +a(g17 +g1160 +tp3429 +a(g399 +g1149 +tp3430 +a(g900 +g960 +tp3431 +a(g399 +g2039 +tp3432 +a(g900 +g960 +tp3433 +a(g216 +V'OK' +p3434 +tp3435 +a(g900 +g960 +tp3436 +a(g737 +V}} +p3437 +tp3438 +a(g7 +V\u000a +p3439 +tp3440 +a(g737 +V{{ +p3441 +tp3442 +a(g900 +g960 +tp3443 +a(g17 +g1160 +tp3444 +a(g900 +g960 +tp3445 +a(g818 +Vis +p3446 +tp3447 +a(g900 +g960 +tp3448 +a(g818 +Vnot +p3449 +tp3450 +a(g900 +g960 +tp3451 +a(g560 +Vsame +p3452 +tp3453 +a(g900 +g960 +tp3454 +a(g427 +Vas +p3455 +tp3456 +a(g399 +g1145 +tp3457 +a(g859 +Vtrue +p3458 +tp3459 +a(g399 +g1149 +tp3460 +a(g900 +g960 +tp3461 +a(g399 +g2039 +tp3462 +a(g900 +g960 +tp3463 +a(g216 +V'OK' +p3464 +tp3465 +a(g900 +g960 +tp3466 +a(g737 +V}} +p3467 +tp3468 +a(g7 +V\u000a +p3469 +tp3470 +a(g737 +V{{ +p3471 +tp3472 +a(g900 +g960 +tp3473 +a(g17 +g1160 +tp3474 +a(g900 +g960 +tp3475 +a(g818 +Vis +p3476 +tp3477 +a(g900 +V +p3478 +tp3479 +a(g560 +Vsame +p3480 +tp3481 +a(g900 +V +p3482 +tp3483 +a(g427 +Vas +p3484 +tp3485 +a(g900 +V +p3486 +tp3487 +a(g399 +g1145 +tp3488 +a(g17 +g1160 +tp3489 +a(g399 +g1149 +tp3490 +a(g900 +g960 +tp3491 +a(g399 +g2039 +tp3492 +a(g900 +g960 +tp3493 +a(g216 +V'OK' +p3494 +tp3495 +a(g900 +g960 +tp3496 +a(g737 +V}} +p3497 +tp3498 +a(g7 +V\u000a +p3499 +tp3500 +a(g737 +V{{ +p3501 +tp3502 +a(g900 +g960 +tp3503 +a(g17 +g1160 +tp3504 +a(g900 +g960 +tp3505 +a(g818 +Vis +p3506 +tp3507 +a(g900 +g960 +tp3508 +a(g818 +Vnot +p3509 +tp3510 +a(g900 +V\u000a +p3511 +tp3512 +a(g560 +Vsame +p3513 +tp3514 +a(g900 +V\u000a +p3515 +tp3516 +a(g427 +Vas +p3517 +tp3518 +a(g900 +V\u000a +p3519 +tp3520 +a(g399 +g1145 +tp3521 +a(g859 +Vtrue +p3522 +tp3523 +a(g399 +g1149 +tp3524 +a(g900 +g960 +tp3525 +a(g399 +g2039 +tp3526 +a(g900 +g960 +tp3527 +a(g216 +V'OK' +p3528 +tp3529 +a(g900 +g960 +tp3530 +a(g737 +V}} +p3531 +tp3532 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aOK\u000aOK\u000aOK\u000aOK\u000aOK\u000aOK\u000a--TEST--\u000aTwig supports the "starts with" operator\u000a--TEMPLATE--\u000a +p3533 +tp3534 +a(g737 +V{{ +p3535 +tp3536 +a(g900 +g960 +tp3537 +a(g216 +V'foo' +p3538 +tp3539 +a(g900 +g960 +tp3540 +a(g818 +Vstarts with +p3541 +tp3542 +a(g900 +g960 +tp3543 +a(g216 +V'f' +p3544 +tp3545 +a(g900 +g960 +tp3546 +a(g399 +g2039 +tp3547 +a(g900 +g960 +tp3548 +a(g216 +V'OK' +p3549 +tp3550 +a(g900 +g960 +tp3551 +a(g399 +g1210 +tp3552 +a(g900 +g960 +tp3553 +a(g216 +V'KO' +p3554 +tp3555 +a(g900 +g960 +tp3556 +a(g737 +V}} +p3557 +tp3558 +a(g7 +V\u000a +p3559 +tp3560 +a(g737 +V{{ +p3561 +tp3562 +a(g900 +g960 +tp3563 +a(g818 +Vnot +p3564 +tp3565 +a(g900 +g960 +tp3566 +a(g399 +g1145 +tp3567 +a(g216 +V'foo' +p3568 +tp3569 +a(g900 +g960 +tp3570 +a(g818 +Vstarts with +p3571 +tp3572 +a(g900 +g960 +tp3573 +a(g216 +V'oo' +p3574 +tp3575 +a(g399 +g1149 +tp3576 +a(g900 +g960 +tp3577 +a(g399 +g2039 +tp3578 +a(g900 +g960 +tp3579 +a(g216 +V'OK' +p3580 +tp3581 +a(g900 +g960 +tp3582 +a(g399 +g1210 +tp3583 +a(g900 +g960 +tp3584 +a(g216 +V'KO' +p3585 +tp3586 +a(g900 +g960 +tp3587 +a(g737 +V}} +p3588 +tp3589 +a(g7 +V\u000a +p3590 +tp3591 +a(g737 +V{{ +p3592 +tp3593 +a(g900 +g960 +tp3594 +a(g818 +Vnot +p3595 +tp3596 +a(g900 +g960 +tp3597 +a(g399 +g1145 +tp3598 +a(g216 +V'foo' +p3599 +tp3600 +a(g900 +g960 +tp3601 +a(g818 +Vstarts with +p3602 +tp3603 +a(g900 +g960 +tp3604 +a(g216 +V'foowaytoolong' +p3605 +tp3606 +a(g399 +g1149 +tp3607 +a(g900 +g960 +tp3608 +a(g399 +g2039 +tp3609 +a(g900 +g960 +tp3610 +a(g216 +V'OK' +p3611 +tp3612 +a(g900 +g960 +tp3613 +a(g399 +g1210 +tp3614 +a(g900 +g960 +tp3615 +a(g216 +V'KO' +p3616 +tp3617 +a(g900 +g960 +tp3618 +a(g737 +V}} +p3619 +tp3620 +a(g7 +V\u000a +p3621 +tp3622 +a(g737 +V{{ +p3623 +tp3624 +a(g900 +g960 +tp3625 +a(g216 +V'foo' +p3626 +tp3627 +a(g900 +g960 +tp3628 +a(g818 +Vstarts with +p3629 +tp3630 +a(g900 +g960 +tp3631 +a(g216 +V'f' +p3632 +tp3633 +a(g900 +g960 +tp3634 +a(g399 +g2039 +tp3635 +a(g900 +g960 +tp3636 +a(g216 +V'OK' +p3637 +tp3638 +a(g900 +g960 +tp3639 +a(g399 +g1210 +tp3640 +a(g900 +g960 +tp3641 +a(g216 +V'KO' +p3642 +tp3643 +a(g900 +g960 +tp3644 +a(g737 +V}} +p3645 +tp3646 +a(g7 +V\u000a +p3647 +tp3648 +a(g737 +V{{ +p3649 +tp3650 +a(g900 +g960 +tp3651 +a(g216 +V'foo' +p3652 +tp3653 +a(g900 +g960 +tp3654 +a(g818 +Vstarts\u000awith +p3655 +tp3656 +a(g900 +g960 +tp3657 +a(g216 +V'f' +p3658 +tp3659 +a(g900 +g960 +tp3660 +a(g399 +g2039 +tp3661 +a(g900 +g960 +tp3662 +a(g216 +V'OK' +p3663 +tp3664 +a(g900 +g960 +tp3665 +a(g399 +g1210 +tp3666 +a(g900 +g960 +tp3667 +a(g216 +V'KO' +p3668 +tp3669 +a(g900 +g960 +tp3670 +a(g737 +V}} +p3671 +tp3672 +a(g7 +V\u000a +p3673 +tp3674 +a(g737 +V{{ +p3675 +tp3676 +a(g900 +g960 +tp3677 +a(g216 +V'foo' +p3678 +tp3679 +a(g900 +g960 +tp3680 +a(g818 +Vstarts with +p3681 +tp3682 +a(g900 +g960 +tp3683 +a(g216 +V'' +p3684 +tp3685 +a(g900 +g960 +tp3686 +a(g399 +g2039 +tp3687 +a(g900 +g960 +tp3688 +a(g216 +V'OK' +p3689 +tp3690 +a(g900 +g960 +tp3691 +a(g399 +g1210 +tp3692 +a(g900 +g960 +tp3693 +a(g216 +V'KO' +p3694 +tp3695 +a(g900 +g960 +tp3696 +a(g737 +V}} +p3697 +tp3698 +a(g7 +V\u000a +p3699 +tp3700 +a(g737 +V{{ +p3701 +tp3702 +a(g900 +g960 +tp3703 +a(g216 +V'1' +p3704 +tp3705 +a(g900 +g960 +tp3706 +a(g818 +Vstarts with +p3707 +tp3708 +a(g900 +g960 +tp3709 +a(g859 +Vtrue +p3710 +tp3711 +a(g900 +g960 +tp3712 +a(g399 +g2039 +tp3713 +a(g900 +g960 +tp3714 +a(g216 +V'OK' +p3715 +tp3716 +a(g900 +g960 +tp3717 +a(g399 +g1210 +tp3718 +a(g900 +g960 +tp3719 +a(g216 +V'KO' +p3720 +tp3721 +a(g900 +g960 +tp3722 +a(g737 +V}} +p3723 +tp3724 +a(g7 +V\u000a +p3725 +tp3726 +a(g737 +V{{ +p3727 +tp3728 +a(g900 +g960 +tp3729 +a(g216 +V'' +p3730 +tp3731 +a(g900 +g960 +tp3732 +a(g818 +Vstarts with +p3733 +tp3734 +a(g900 +g960 +tp3735 +a(g859 +Vfalse +p3736 +tp3737 +a(g900 +g960 +tp3738 +a(g399 +g2039 +tp3739 +a(g900 +g960 +tp3740 +a(g216 +V'OK' +p3741 +tp3742 +a(g900 +g960 +tp3743 +a(g399 +g1210 +tp3744 +a(g900 +g960 +tp3745 +a(g216 +V'KO' +p3746 +tp3747 +a(g900 +g960 +tp3748 +a(g737 +V}} +p3749 +tp3750 +a(g7 +V\u000a +p3751 +tp3752 +a(g737 +V{{ +p3753 +tp3754 +a(g900 +g960 +tp3755 +a(g216 +V'a' +p3756 +tp3757 +a(g900 +g960 +tp3758 +a(g818 +Vstarts with +p3759 +tp3760 +a(g900 +g960 +tp3761 +a(g859 +Vfalse +p3762 +tp3763 +a(g900 +g960 +tp3764 +a(g399 +g2039 +tp3765 +a(g900 +g960 +tp3766 +a(g216 +V'OK' +p3767 +tp3768 +a(g900 +g960 +tp3769 +a(g399 +g1210 +tp3770 +a(g900 +g960 +tp3771 +a(g216 +V'KO' +p3772 +tp3773 +a(g900 +g960 +tp3774 +a(g737 +V}} +p3775 +tp3776 +a(g7 +V\u000a +p3777 +tp3778 +a(g737 +V{{ +p3779 +tp3780 +a(g900 +g960 +tp3781 +a(g859 +Vfalse +p3782 +tp3783 +a(g900 +g960 +tp3784 +a(g818 +Vstarts with +p3785 +tp3786 +a(g900 +g960 +tp3787 +a(g216 +V'' +p3788 +tp3789 +a(g900 +g960 +tp3790 +a(g399 +g2039 +tp3791 +a(g900 +g960 +tp3792 +a(g216 +V'OK' +p3793 +tp3794 +a(g900 +g960 +tp3795 +a(g399 +g1210 +tp3796 +a(g900 +g960 +tp3797 +a(g216 +V'KO' +p3798 +tp3799 +a(g900 +g960 +tp3800 +a(g737 +V}} +p3801 +tp3802 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aOK\u000aOK\u000aOK\u000aOK\u000aOK\u000aOK\u000aKO\u000aKO\u000aKO\u000aKO\u000a--TEST--\u000aTwig supports string interpolation\u000a--TEMPLATE--\u000a +p3803 +tp3804 +a(g708 +V{# "foo #{"foo #{bar} baz"} baz" #} +p3805 +tp3806 +a(g7 +V\u000a +p3807 +tp3808 +a(g708 +V{# "foo #{bar}#{bar} baz" #} +p3809 +tp3810 +a(g7 +V\u000a--DATA--\u000areturn array('bar' => 'BAR');\u000a--EXPECT--\u000afoo foo BAR baz baz\u000afoo BARBAR baz\u000a--TEST--\u000aTwig supports the ternary operator\u000a--TEMPLATE--\u000a +p3811 +tp3812 +a(g737 +V{{ +p3813 +tp3814 +a(g900 +g960 +tp3815 +a(g17 +g1160 +tp3816 +a(g900 +g960 +tp3817 +a(g399 +g2039 +tp3818 +a(g900 +g960 +tp3819 +a(g216 +V'YES' +p3820 +tp3821 +a(g900 +g960 +tp3822 +a(g737 +V}} +p3823 +tp3824 +a(g7 +V\u000a +p3825 +tp3826 +a(g737 +V{{ +p3827 +tp3828 +a(g900 +g960 +tp3829 +a(g17 +g1208 +tp3830 +a(g900 +g960 +tp3831 +a(g399 +g2039 +tp3832 +a(g900 +g960 +tp3833 +a(g216 +V'YES' +p3834 +tp3835 +a(g900 +g960 +tp3836 +a(g737 +V}} +p3837 +tp3838 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aYES\u000a\u000a--TEST--\u000aTwig supports the ternary operator\u000a--TEMPLATE--\u000a +p3839 +tp3840 +a(g737 +V{{ +p3841 +tp3842 +a(g900 +g960 +tp3843 +a(g216 +V'YES' +p3844 +tp3845 +a(g900 +g960 +tp3846 +a(g399 +g2039 +tp3847 +a(g399 +g1210 +tp3848 +a(g900 +g960 +tp3849 +a(g216 +V'NO' +p3850 +tp3851 +a(g900 +g960 +tp3852 +a(g737 +V}} +p3853 +tp3854 +a(g7 +V\u000a +p3855 +tp3856 +a(g737 +V{{ +p3857 +tp3858 +a(g900 +g960 +tp3859 +a(g17 +g1208 +tp3860 +a(g900 +g960 +tp3861 +a(g399 +g2039 +tp3862 +a(g399 +g1210 +tp3863 +a(g900 +g960 +tp3864 +a(g216 +V'NO' +p3865 +tp3866 +a(g900 +g960 +tp3867 +a(g737 +V}} +p3868 +tp3869 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aYES\u000aNO\u000a--TEST--\u000aTwig supports the ternary operator\u000a--TEMPLATE--\u000a +p3870 +tp3871 +a(g737 +V{{ +p3872 +tp3873 +a(g900 +g960 +tp3874 +a(g17 +g1160 +tp3875 +a(g900 +g960 +tp3876 +a(g399 +g2039 +tp3877 +a(g900 +g960 +tp3878 +a(g216 +V'YES' +p3879 +tp3880 +a(g900 +g960 +tp3881 +a(g399 +g1210 +tp3882 +a(g900 +g960 +tp3883 +a(g216 +V'NO' +p3884 +tp3885 +a(g900 +g960 +tp3886 +a(g737 +V}} +p3887 +tp3888 +a(g7 +V\u000a +p3889 +tp3890 +a(g737 +V{{ +p3891 +tp3892 +a(g900 +g960 +tp3893 +a(g17 +g1208 +tp3894 +a(g900 +g960 +tp3895 +a(g399 +g2039 +tp3896 +a(g900 +g960 +tp3897 +a(g216 +V'YES' +p3898 +tp3899 +a(g900 +g960 +tp3900 +a(g399 +g1210 +tp3901 +a(g900 +g960 +tp3902 +a(g216 +V'NO' +p3903 +tp3904 +a(g900 +g960 +tp3905 +a(g737 +V}} +p3906 +tp3907 +a(g7 +V\u000a +p3908 +tp3909 +a(g737 +V{{ +p3910 +tp3911 +a(g900 +g960 +tp3912 +a(g17 +g1208 +tp3913 +a(g900 +g960 +tp3914 +a(g399 +g2039 +tp3915 +a(g900 +g960 +tp3916 +a(g216 +V'YES' +p3917 +tp3918 +a(g900 +g960 +tp3919 +a(g399 +g1210 +tp3920 +a(g900 +g960 +tp3921 +a(g399 +g1145 +tp3922 +a(g17 +g1160 +tp3923 +a(g900 +g960 +tp3924 +a(g399 +g2039 +tp3925 +a(g900 +g960 +tp3926 +a(g216 +V'YES1' +p3927 +tp3928 +a(g900 +g960 +tp3929 +a(g399 +g1210 +tp3930 +a(g900 +g960 +tp3931 +a(g216 +V'NO1' +p3932 +tp3933 +a(g399 +g1149 +tp3934 +a(g900 +g960 +tp3935 +a(g737 +V}} +p3936 +tp3937 +a(g7 +V\u000a +p3938 +tp3939 +a(g737 +V{{ +p3940 +tp3941 +a(g900 +g960 +tp3942 +a(g17 +g1208 +tp3943 +a(g900 +g960 +tp3944 +a(g399 +g2039 +tp3945 +a(g900 +g960 +tp3946 +a(g216 +V'YES' +p3947 +tp3948 +a(g900 +g960 +tp3949 +a(g399 +g1210 +tp3950 +a(g900 +g960 +tp3951 +a(g399 +g1145 +tp3952 +a(g17 +g1208 +tp3953 +a(g900 +g960 +tp3954 +a(g399 +g2039 +tp3955 +a(g900 +g960 +tp3956 +a(g216 +V'YES1' +p3957 +tp3958 +a(g900 +g960 +tp3959 +a(g399 +g1210 +tp3960 +a(g900 +g960 +tp3961 +a(g216 +V'NO1' +p3962 +tp3963 +a(g399 +g1149 +tp3964 +a(g900 +g960 +tp3965 +a(g737 +V}} +p3966 +tp3967 +a(g7 +V\u000a +p3968 +tp3969 +a(g737 +V{{ +p3970 +tp3971 +a(g900 +g960 +tp3972 +a(g17 +g1160 +tp3973 +a(g900 +g960 +tp3974 +a(g399 +V== +p3975 +tp3976 +a(g900 +g960 +tp3977 +a(g17 +g1160 +tp3978 +a(g900 +g960 +tp3979 +a(g399 +g2039 +tp3980 +a(g900 +g960 +tp3981 +a(g216 +V'foo
    ' +p3982 +tp3983 +a(g216 +V:'' +p3984 +tp3985 +a(g900 +g960 +tp3986 +a(g737 +V}} +p3987 +tp3988 +a(g7 +V\u000a +p3989 +tp3990 +a(g737 +V{{ +p3991 +tp3992 +a(g900 +g960 +tp3993 +a(g427 +Vfoo +p3994 +tp3995 +a(g900 +g960 +tp3996 +a(g399 +g1606 +tp3997 +a(g900 +g960 +tp3998 +a(g399 +g1145 +tp3999 +a(g427 +Vbar +p4000 +tp4001 +a(g900 +g960 +tp4002 +a(g399 +g2039 +tp4003 +a(g900 +g960 +tp4004 +a(g399 +g1145 +tp4005 +a(g216 +V'-' +p4006 +tp4007 +a(g900 +g960 +tp4008 +a(g399 +g1606 +tp4009 +a(g900 +g960 +tp4010 +a(g427 +Vbar +p4011 +tp4012 +a(g399 +g1149 +tp4013 +a(g900 +g960 +tp4014 +a(g399 +g1210 +tp4015 +a(g900 +g960 +tp4016 +a(g216 +V'' +p4017 +tp4018 +a(g399 +g1149 +tp4019 +a(g900 +g960 +tp4020 +a(g737 +V}} +p4021 +tp4022 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'foo', 'bar' => 'bar')\u000a--EXPECT--\u000aYES\u000aNO\u000aYES1\u000aNO1\u000afoo
    \u000afoo-bar\u000a--TEST--\u000aTwig does not allow to use two-word named operators as variable names\u000a--TEMPLATE--\u000a +p4023 +tp4024 +a(g737 +V{{ +p4025 +tp4026 +a(g900 +g960 +tp4027 +a(g818 +Vstarts with +p4028 +tp4029 +a(g900 +g960 +tp4030 +a(g737 +V}} +p4031 +tp4032 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Unexpected token "operator" of value "starts with" in "index.twig" at line 2\u000a--TEST--\u000aTwig unary operators precedence\u000a--TEMPLATE--\u000a +p4033 +tp4034 +a(g737 +V{{ +p4035 +tp4036 +a(g900 +g960 +tp4037 +a(g399 +g1678 +tp4038 +a(g17 +g1160 +tp4039 +a(g900 +g960 +tp4040 +a(g399 +g1678 +tp4041 +a(g900 +g960 +tp4042 +a(g17 +g1160 +tp4043 +a(g900 +g960 +tp4044 +a(g737 +V}} +p4045 +tp4046 +a(g7 +V\u000a +p4047 +tp4048 +a(g737 +V{{ +p4049 +tp4050 +a(g900 +g960 +tp4051 +a(g399 +g1678 +tp4052 +a(g17 +g1160 +tp4053 +a(g900 +g960 +tp4054 +a(g399 +g1678 +tp4055 +a(g900 +g960 +tp4056 +a(g399 +g1678 +tp4057 +a(g17 +g1160 +tp4058 +a(g900 +g960 +tp4059 +a(g737 +V}} +p4060 +tp4061 +a(g7 +V\u000a +p4062 +tp4063 +a(g737 +V{{ +p4064 +tp4065 +a(g900 +g960 +tp4066 +a(g399 +g1678 +tp4067 +a(g17 +g1160 +tp4068 +a(g900 +g960 +tp4069 +a(g399 +g1692 +tp4070 +a(g900 +g960 +tp4071 +a(g399 +g1678 +tp4072 +a(g17 +g1160 +tp4073 +a(g900 +g960 +tp4074 +a(g737 +V}} +p4075 +tp4076 +a(g7 +V\u000a +p4077 +tp4078 +a(g737 +V{{ +p4079 +tp4080 +a(g900 +g960 +tp4081 +a(g17 +g3087 +tp4082 +a(g900 +g960 +tp4083 +a(g399 +g1706 +tp4084 +a(g900 +g960 +tp4085 +a(g399 +g1678 +tp4086 +a(g17 +g1160 +tp4087 +a(g900 +g960 +tp4088 +a(g399 +g1692 +tp4089 +a(g900 +g960 +tp4090 +a(g17 +g1963 +tp4091 +a(g900 +g960 +tp4092 +a(g737 +V}} +p4093 +tp4094 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a-2\u000a0\u000a1\u000a-20\u000a--TEST--\u000aTwig supports unary operators (not, -, +)\u000a--TEMPLATE--\u000a +p4095 +tp4096 +a(g737 +V{{ +p4097 +tp4098 +a(g900 +g960 +tp4099 +a(g818 +Vnot +p4100 +tp4101 +a(g900 +g960 +tp4102 +a(g17 +g1160 +tp4103 +a(g900 +g960 +tp4104 +a(g737 +V}} +p4105 +tp4106 +a(g7 +g1706 +tp4107 +a(g737 +V{{ +p4108 +tp4109 +a(g900 +g960 +tp4110 +a(g818 +Vnot +p4111 +tp4112 +a(g900 +g960 +tp4113 +a(g17 +g1208 +tp4114 +a(g900 +g960 +tp4115 +a(g737 +V}} +p4116 +tp4117 +a(g7 +V\u000a +p4118 +tp4119 +a(g737 +V{{ +p4120 +tp4121 +a(g900 +g960 +tp4122 +a(g399 +g1664 +tp4123 +a(g17 +g1160 +tp4124 +a(g900 +g960 +tp4125 +a(g399 +g1664 +tp4126 +a(g900 +g960 +tp4127 +a(g17 +g1160 +tp4128 +a(g900 +g960 +tp4129 +a(g737 +V}} +p4130 +tp4131 +a(g7 +g1706 +tp4132 +a(g737 +V{{ +p4133 +tp4134 +a(g900 +g960 +tp4135 +a(g399 +g1678 +tp4136 +a(g17 +g1160 +tp4137 +a(g900 +g960 +tp4138 +a(g399 +g1678 +tp4139 +a(g900 +g960 +tp4140 +a(g17 +g1160 +tp4141 +a(g900 +g960 +tp4142 +a(g737 +V}} +p4143 +tp4144 +a(g7 +V\u000a +p4145 +tp4146 +a(g737 +V{{ +p4147 +tp4148 +a(g900 +g960 +tp4149 +a(g818 +Vnot +p4150 +tp4151 +a(g900 +g960 +tp4152 +a(g399 +g1145 +tp4153 +a(g859 +Vfalse +p4154 +tp4155 +a(g900 +g960 +tp4156 +a(g818 +Vor +p4157 +tp4158 +a(g900 +g960 +tp4159 +a(g859 +Vtrue +p4160 +tp4161 +a(g399 +g1149 +tp4162 +a(g900 +g960 +tp4163 +a(g737 +V}} +p4164 +tp4165 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a/1\u000a2/-2\u000a\u000a--TEST--\u000a"abs" filter\u000a--TEMPLATE--\u000a +p4166 +tp4167 +a(g737 +V{{ +p4168 +tp4169 +a(g900 +g960 +tp4170 +a(g399 +g1145 +tp4171 +a(g399 +g1678 +tp4172 +a(g17 +V5.5 +p4173 +tp4174 +a(g399 +g1149 +tp4175 +a(g399 +g1141 +tp4176 +a(g560 +Vabs +p4177 +tp4178 +a(g900 +g960 +tp4179 +a(g737 +V}} +p4180 +tp4181 +a(g7 +V\u000a +p4182 +tp4183 +a(g737 +V{{ +p4184 +tp4185 +a(g900 +g960 +tp4186 +a(g399 +g1145 +tp4187 +a(g399 +g1678 +tp4188 +a(g17 +g1963 +tp4189 +a(g399 +g1149 +tp4190 +a(g399 +g1141 +tp4191 +a(g560 +Vabs +p4192 +tp4193 +a(g900 +g960 +tp4194 +a(g737 +V}} +p4195 +tp4196 +a(g7 +V\u000a +p4197 +tp4198 +a(g737 +V{{ +p4199 +tp4200 +a(g900 +g960 +tp4201 +a(g399 +g1145 +tp4202 +a(g399 +g1678 +tp4203 +a(g17 +g1208 +tp4204 +a(g399 +g1149 +tp4205 +a(g399 +g1141 +tp4206 +a(g560 +Vabs +p4207 +tp4208 +a(g900 +g960 +tp4209 +a(g737 +V}} +p4210 +tp4211 +a(g7 +V\u000a +p4212 +tp4213 +a(g737 +V{{ +p4214 +tp4215 +a(g900 +g960 +tp4216 +a(g17 +g1208 +tp4217 +a(g399 +g1141 +tp4218 +a(g560 +Vabs +p4219 +tp4220 +a(g900 +g960 +tp4221 +a(g737 +V}} +p4222 +tp4223 +a(g7 +V\u000a +p4224 +tp4225 +a(g737 +V{{ +p4226 +tp4227 +a(g900 +g960 +tp4228 +a(g17 +g1963 +tp4229 +a(g399 +g1141 +tp4230 +a(g560 +Vabs +p4231 +tp4232 +a(g900 +g960 +tp4233 +a(g737 +V}} +p4234 +tp4235 +a(g7 +V\u000a +p4236 +tp4237 +a(g737 +V{{ +p4238 +tp4239 +a(g900 +g960 +tp4240 +a(g17 +V5.5 +p4241 +tp4242 +a(g399 +g1141 +tp4243 +a(g560 +Vabs +p4244 +tp4245 +a(g900 +g960 +tp4246 +a(g737 +V}} +p4247 +tp4248 +a(g7 +V\u000a +p4249 +tp4250 +a(g737 +V{{ +p4251 +tp4252 +a(g900 +g960 +tp4253 +a(g427 +Vnumber1 +p4254 +tp4255 +a(g399 +g1141 +tp4256 +a(g560 +Vabs +p4257 +tp4258 +a(g900 +g960 +tp4259 +a(g737 +V}} +p4260 +tp4261 +a(g7 +V\u000a +p4262 +tp4263 +a(g737 +V{{ +p4264 +tp4265 +a(g900 +g960 +tp4266 +a(g427 +Vnumber2 +p4267 +tp4268 +a(g399 +g1141 +tp4269 +a(g560 +Vabs +p4270 +tp4271 +a(g900 +g960 +tp4272 +a(g737 +V}} +p4273 +tp4274 +a(g7 +V\u000a +p4275 +tp4276 +a(g737 +V{{ +p4277 +tp4278 +a(g900 +g960 +tp4279 +a(g427 +Vnumber3 +p4280 +tp4281 +a(g399 +g1141 +tp4282 +a(g560 +Vabs +p4283 +tp4284 +a(g900 +g960 +tp4285 +a(g737 +V}} +p4286 +tp4287 +a(g7 +V\u000a +p4288 +tp4289 +a(g737 +V{{ +p4290 +tp4291 +a(g900 +g960 +tp4292 +a(g427 +Vnumber4 +p4293 +tp4294 +a(g399 +g1141 +tp4295 +a(g560 +Vabs +p4296 +tp4297 +a(g900 +g960 +tp4298 +a(g737 +V}} +p4299 +tp4300 +a(g7 +V\u000a +p4301 +tp4302 +a(g737 +V{{ +p4303 +tp4304 +a(g900 +g960 +tp4305 +a(g427 +Vnumber5 +p4306 +tp4307 +a(g399 +g1141 +tp4308 +a(g560 +Vabs +p4309 +tp4310 +a(g900 +g960 +tp4311 +a(g737 +V}} +p4312 +tp4313 +a(g7 +V\u000a +p4314 +tp4315 +a(g737 +V{{ +p4316 +tp4317 +a(g900 +g960 +tp4318 +a(g427 +Vnumber6 +p4319 +tp4320 +a(g399 +g1141 +tp4321 +a(g560 +Vabs +p4322 +tp4323 +a(g900 +g960 +tp4324 +a(g737 +V}} +p4325 +tp4326 +a(g7 +V\u000a--DATA--\u000areturn array('number1' => -5.5, 'number2' => -5, 'number3' => -0, 'number4' => 0, 'number5' => 5, 'number6' => 5.5)\u000a--EXPECT--\u000a5.5\u000a5\u000a0\u000a0\u000a5\u000a5.5\u000a5.5\u000a5\u000a0\u000a0\u000a5\u000a5.5\u000a--TEST--\u000a"batch" filter\u000a--TEMPLATE--\u000a +p4327 +tp4328 +a(g737 +V{% +p4329 +tp4330 +a(g900 +g960 +tp4331 +a(g818 +Vfor +p4332 +tp4333 +a(g900 +g960 +tp4334 +a(g427 +Vrow +p4335 +tp4336 +a(g900 +g960 +tp4337 +a(g818 +Vin +p4338 +tp4339 +a(g900 +g960 +tp4340 +a(g427 +Vitems +p4341 +tp4342 +a(g399 +g1141 +tp4343 +a(g560 +Vbatch +p4344 +tp4345 +a(g399 +g1145 +tp4346 +a(g17 +V3.1 +p4347 +tp4348 +a(g399 +g1149 +tp4349 +a(g900 +g960 +tp4350 +a(g737 +V%} +p4351 +tp4352 +a(g7 +V\u000a
    \u000a +p4353 +tp4354 +a(g737 +V{% +p4355 +tp4356 +a(g900 +g960 +tp4357 +a(g818 +Vfor +p4358 +tp4359 +a(g900 +g960 +tp4360 +a(g427 +Vcolumn +p4361 +tp4362 +a(g900 +g960 +tp4363 +a(g818 +Vin +p4364 +tp4365 +a(g900 +g960 +tp4366 +a(g427 +Vrow +p4367 +tp4368 +a(g900 +g960 +tp4369 +a(g737 +V%} +p4370 +tp4371 +a(g7 +V\u000a
    +p4372 +tp4373 +a(g737 +V{{ +p4374 +tp4375 +a(g900 +g960 +tp4376 +a(g427 +Vcolumn +p4377 +tp4378 +a(g900 +g960 +tp4379 +a(g737 +V}} +p4380 +tp4381 +a(g7 +V
    \u000a +p4382 +tp4383 +a(g737 +V{% +p4384 +tp4385 +a(g900 +g960 +tp4386 +a(g818 +Vendfor +p4387 +tp4388 +a(g900 +g960 +tp4389 +a(g737 +V%} +p4390 +tp4391 +a(g7 +V\u000a
    \u000a +p4392 +tp4393 +a(g737 +V{% +p4394 +tp4395 +a(g900 +g960 +tp4396 +a(g818 +Vendfor +p4397 +tp4398 +a(g900 +g960 +tp4399 +a(g737 +V%} +p4400 +tp4401 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j'))\u000a--EXPECT--\u000a
    \u000a
    a
    \u000a
    b
    \u000a
    c
    \u000a
    d
    \u000a
    \u000a
    \u000a
    e
    \u000a
    f
    \u000a
    g
    \u000a
    h
    \u000a
    \u000a
    \u000a
    i
    \u000a
    j
    \u000a
    \u000a--TEST--\u000a"batch" filter\u000a--TEMPLATE--\u000a +p4402 +tp4403 +a(g737 +V{% +p4404 +tp4405 +a(g900 +g960 +tp4406 +a(g818 +Vfor +p4407 +tp4408 +a(g900 +g960 +tp4409 +a(g427 +Vrow +p4410 +tp4411 +a(g900 +g960 +tp4412 +a(g818 +Vin +p4413 +tp4414 +a(g900 +g960 +tp4415 +a(g427 +Vitems +p4416 +tp4417 +a(g399 +g1141 +tp4418 +a(g560 +Vbatch +p4419 +tp4420 +a(g399 +g1145 +tp4421 +a(g17 +g1404 +tp4422 +a(g399 +g1149 +tp4423 +a(g900 +g960 +tp4424 +a(g737 +V%} +p4425 +tp4426 +a(g7 +V\u000a
    \u000a +p4427 +tp4428 +a(g737 +V{% +p4429 +tp4430 +a(g900 +g960 +tp4431 +a(g818 +Vfor +p4432 +tp4433 +a(g900 +g960 +tp4434 +a(g427 +Vcolumn +p4435 +tp4436 +a(g900 +g960 +tp4437 +a(g818 +Vin +p4438 +tp4439 +a(g900 +g960 +tp4440 +a(g427 +Vrow +p4441 +tp4442 +a(g900 +g960 +tp4443 +a(g737 +V%} +p4444 +tp4445 +a(g7 +V\u000a
    +p4446 +tp4447 +a(g737 +V{{ +p4448 +tp4449 +a(g900 +g960 +tp4450 +a(g427 +Vcolumn +p4451 +tp4452 +a(g900 +g960 +tp4453 +a(g737 +V}} +p4454 +tp4455 +a(g7 +V
    \u000a +p4456 +tp4457 +a(g737 +V{% +p4458 +tp4459 +a(g900 +g960 +tp4460 +a(g818 +Vendfor +p4461 +tp4462 +a(g900 +g960 +tp4463 +a(g737 +V%} +p4464 +tp4465 +a(g7 +V\u000a
    \u000a +p4466 +tp4467 +a(g737 +V{% +p4468 +tp4469 +a(g900 +g960 +tp4470 +a(g818 +Vendfor +p4471 +tp4472 +a(g900 +g960 +tp4473 +a(g737 +V%} +p4474 +tp4475 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j'))\u000a--EXPECT--\u000a
    \u000a
    a
    \u000a
    b
    \u000a
    c
    \u000a
    \u000a
    \u000a
    d
    \u000a
    e
    \u000a
    f
    \u000a
    \u000a
    \u000a
    g
    \u000a
    h
    \u000a
    i
    \u000a
    \u000a
    \u000a
    j
    \u000a
    \u000a--TEST--\u000a"batch" filter\u000a--TEMPLATE--\u000a\u000a +p4476 +tp4477 +a(g737 +V{% +p4478 +tp4479 +a(g900 +g960 +tp4480 +a(g818 +Vfor +p4481 +tp4482 +a(g900 +g960 +tp4483 +a(g427 +Vrow +p4484 +tp4485 +a(g900 +g960 +tp4486 +a(g818 +Vin +p4487 +tp4488 +a(g900 +g960 +tp4489 +a(g427 +Vitems +p4490 +tp4491 +a(g399 +g1141 +tp4492 +a(g560 +Vbatch +p4493 +tp4494 +a(g399 +g1145 +tp4495 +a(g17 +g1404 +tp4496 +a(g399 +g1162 +tp4497 +a(g900 +g960 +tp4498 +a(g216 +V'' +p4499 +tp4500 +a(g399 +g1149 +tp4501 +a(g900 +g960 +tp4502 +a(g737 +V%} +p4503 +tp4504 +a(g7 +V\u000a \u000a +p4505 +tp4506 +a(g737 +V{% +p4507 +tp4508 +a(g900 +g960 +tp4509 +a(g818 +Vfor +p4510 +tp4511 +a(g900 +g960 +tp4512 +a(g427 +Vcolumn +p4513 +tp4514 +a(g900 +g960 +tp4515 +a(g818 +Vin +p4516 +tp4517 +a(g900 +g960 +tp4518 +a(g427 +Vrow +p4519 +tp4520 +a(g900 +g960 +tp4521 +a(g737 +V%} +p4522 +tp4523 +a(g7 +V\u000a \u000a +p4534 +tp4535 +a(g737 +V{% +p4536 +tp4537 +a(g900 +g960 +tp4538 +a(g818 +Vendfor +p4539 +tp4540 +a(g900 +g960 +tp4541 +a(g737 +V%} +p4542 +tp4543 +a(g7 +V\u000a \u000a +p4544 +tp4545 +a(g737 +V{% +p4546 +tp4547 +a(g900 +g960 +tp4548 +a(g818 +Vendfor +p4549 +tp4550 +a(g900 +g960 +tp4551 +a(g737 +V%} +p4552 +tp4553 +a(g7 +V\u000a
    +p4524 +tp4525 +a(g737 +V{{ +p4526 +tp4527 +a(g900 +g960 +tp4528 +a(g427 +Vcolumn +p4529 +tp4530 +a(g900 +g960 +tp4531 +a(g737 +V}} +p4532 +tp4533 +a(g7 +V
    \u000a--DATA--\u000areturn array('items' => array('a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j'))\u000a--EXPECT--\u000a\u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a
    abc
    def
    ghi
    j
    \u000a--TEST--\u000a"batch" filter\u000a--TEMPLATE--\u000a +p4554 +tp4555 +a(g737 +V{% +p4556 +tp4557 +a(g900 +g960 +tp4558 +a(g818 +Vfor +p4559 +tp4560 +a(g900 +g960 +tp4561 +a(g427 +Vrow +p4562 +tp4563 +a(g900 +g960 +tp4564 +a(g818 +Vin +p4565 +tp4566 +a(g900 +g960 +tp4567 +a(g427 +Vitems +p4568 +tp4569 +a(g399 +g1141 +tp4570 +a(g560 +Vbatch +p4571 +tp4572 +a(g399 +g1145 +tp4573 +a(g17 +g1404 +tp4574 +a(g399 +g1162 +tp4575 +a(g900 +g960 +tp4576 +a(g216 +V'fill' +p4577 +tp4578 +a(g399 +g1149 +tp4579 +a(g900 +g960 +tp4580 +a(g737 +V%} +p4581 +tp4582 +a(g7 +V\u000a
    \u000a +p4583 +tp4584 +a(g737 +V{% +p4585 +tp4586 +a(g900 +g960 +tp4587 +a(g818 +Vfor +p4588 +tp4589 +a(g900 +g960 +tp4590 +a(g427 +Vcolumn +p4591 +tp4592 +a(g900 +g960 +tp4593 +a(g818 +Vin +p4594 +tp4595 +a(g900 +g960 +tp4596 +a(g427 +Vrow +p4597 +tp4598 +a(g900 +g960 +tp4599 +a(g737 +V%} +p4600 +tp4601 +a(g7 +V\u000a
    +p4602 +tp4603 +a(g737 +V{{ +p4604 +tp4605 +a(g900 +g960 +tp4606 +a(g427 +Vcolumn +p4607 +tp4608 +a(g900 +g960 +tp4609 +a(g737 +V}} +p4610 +tp4611 +a(g7 +V
    \u000a +p4612 +tp4613 +a(g737 +V{% +p4614 +tp4615 +a(g900 +g960 +tp4616 +a(g818 +Vendfor +p4617 +tp4618 +a(g900 +g960 +tp4619 +a(g737 +V%} +p4620 +tp4621 +a(g7 +V\u000a
    \u000a +p4622 +tp4623 +a(g737 +V{% +p4624 +tp4625 +a(g900 +g960 +tp4626 +a(g818 +Vendfor +p4627 +tp4628 +a(g900 +g960 +tp4629 +a(g737 +V%} +p4630 +tp4631 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l'))\u000a--EXPECT--\u000a
    \u000a
    a
    \u000a
    b
    \u000a
    c
    \u000a
    \u000a
    \u000a
    d
    \u000a
    e
    \u000a
    f
    \u000a
    \u000a
    \u000a
    g
    \u000a
    h
    \u000a
    i
    \u000a
    \u000a
    \u000a
    j
    \u000a
    k
    \u000a
    l
    \u000a
    \u000a--TEST--\u000a"batch" filter\u000a--TEMPLATE--\u000a\u000a +p4632 +tp4633 +a(g737 +V{% +p4634 +tp4635 +a(g900 +g960 +tp4636 +a(g818 +Vfor +p4637 +tp4638 +a(g900 +g960 +tp4639 +a(g427 +Vrow +p4640 +tp4641 +a(g900 +g960 +tp4642 +a(g818 +Vin +p4643 +tp4644 +a(g900 +g960 +tp4645 +a(g427 +Vitems +p4646 +tp4647 +a(g399 +g1141 +tp4648 +a(g560 +Vbatch +p4649 +tp4650 +a(g399 +g1145 +tp4651 +a(g17 +g1404 +tp4652 +a(g399 +g1162 +tp4653 +a(g900 +g960 +tp4654 +a(g216 +V'fill' +p4655 +tp4656 +a(g399 +g1149 +tp4657 +a(g900 +g960 +tp4658 +a(g737 +V%} +p4659 +tp4660 +a(g7 +V\u000a \u000a +p4661 +tp4662 +a(g737 +V{% +p4663 +tp4664 +a(g900 +g960 +tp4665 +a(g818 +Vfor +p4666 +tp4667 +a(g900 +g960 +tp4668 +a(g427 +Vcolumn +p4669 +tp4670 +a(g900 +g960 +tp4671 +a(g818 +Vin +p4672 +tp4673 +a(g900 +g960 +tp4674 +a(g427 +Vrow +p4675 +tp4676 +a(g900 +g960 +tp4677 +a(g737 +V%} +p4678 +tp4679 +a(g7 +V\u000a \u000a +p4690 +tp4691 +a(g737 +V{% +p4692 +tp4693 +a(g900 +g960 +tp4694 +a(g818 +Vendfor +p4695 +tp4696 +a(g900 +g960 +tp4697 +a(g737 +V%} +p4698 +tp4699 +a(g7 +V\u000a \u000a +p4700 +tp4701 +a(g737 +V{% +p4702 +tp4703 +a(g900 +g960 +tp4704 +a(g818 +Vendfor +p4705 +tp4706 +a(g900 +g960 +tp4707 +a(g737 +V%} +p4708 +tp4709 +a(g7 +V\u000a
    +p4680 +tp4681 +a(g737 +V{{ +p4682 +tp4683 +a(g900 +g960 +tp4684 +a(g427 +Vcolumn +p4685 +tp4686 +a(g900 +g960 +tp4687 +a(g737 +V}} +p4688 +tp4689 +a(g7 +V
    \u000a--DATA--\u000areturn array('items' => array('a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j'))\u000a--EXPECT--\u000a\u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a \u000a
    abc
    def
    ghi
    jfillfill
    \u000a--TEST--\u000a"convert_encoding" filter\u000a--CONDITION--\u000afunction_exists('iconv') || function_exists('mb_convert_encoding')\u000a--TEMPLATE--\u000a +p4710 +tp4711 +a(g737 +V{{ +p4712 +tp4713 +a(g900 +g960 +tp4714 +a(g143 +V"\u611b\u3057\u3066\u3044\u307e\u3059\u304b\uff1f" +p4715 +tp4716 +a(g399 +g1141 +tp4717 +a(g560 +Vconvert_encoding +p4718 +tp4719 +a(g399 +g1145 +tp4720 +a(g216 +V'ISO-2022-JP' +p4721 +tp4722 +a(g399 +g1162 +tp4723 +a(g900 +g960 +tp4724 +a(g216 +V'UTF-8' +p4725 +tp4726 +a(g399 +g1149 +tp4727 +a(g399 +g1141 +tp4728 +a(g560 +Vconvert_encoding +p4729 +tp4730 +a(g399 +g1145 +tp4731 +a(g216 +V'UTF-8' +p4732 +tp4733 +a(g399 +g1162 +tp4734 +a(g900 +g960 +tp4735 +a(g216 +V'ISO-2022-JP' +p4736 +tp4737 +a(g399 +g1149 +tp4738 +a(g900 +g960 +tp4739 +a(g737 +V}} +p4740 +tp4741 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a\u611b\u3057\u3066\u3044\u307e\u3059\u304b\uff1f\u000a--TEST--\u000a"date" filter (interval support as of PHP 5.3)\u000a--CONDITION--\u000aversion_compare(phpversion(), '5.3.0', '>=')\u000a--TEMPLATE--\u000a +p4742 +tp4743 +a(g737 +V{{ +p4744 +tp4745 +a(g900 +g960 +tp4746 +a(g427 +Vdate2 +p4747 +tp4748 +a(g399 +g1141 +tp4749 +a(g560 +Vdate +p4750 +tp4751 +a(g900 +g960 +tp4752 +a(g737 +V}} +p4753 +tp4754 +a(g7 +V\u000a +p4755 +tp4756 +a(g737 +V{{ +p4757 +tp4758 +a(g900 +g960 +tp4759 +a(g427 +Vdate2 +p4760 +tp4761 +a(g399 +g1141 +tp4762 +a(g560 +Vdate +p4763 +tp4764 +a(g399 +g1145 +tp4765 +a(g216 +V'%d days' +p4766 +tp4767 +a(g399 +g1149 +tp4768 +a(g900 +g960 +tp4769 +a(g737 +V}} +p4770 +tp4771 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('UTC');\u000a$twig->getExtension('core')->setDateFormat('Y-m-d', '%d days %h hours');\u000areturn array(\u000a 'date2' => new DateInterval('P2D'),\u000a)\u000a--EXPECT--\u000a2 days 0 hours\u000a2 days\u000a--TEST--\u000a"date" filter\u000a--TEMPLATE--\u000a +p4772 +tp4773 +a(g737 +V{{ +p4774 +tp4775 +a(g900 +g960 +tp4776 +a(g427 +Vdate1 +p4777 +tp4778 +a(g399 +g1141 +tp4779 +a(g560 +Vdate +p4780 +tp4781 +a(g900 +g960 +tp4782 +a(g737 +V}} +p4783 +tp4784 +a(g7 +V\u000a +p4785 +tp4786 +a(g737 +V{{ +p4787 +tp4788 +a(g900 +g960 +tp4789 +a(g427 +Vdate1 +p4790 +tp4791 +a(g399 +g1141 +tp4792 +a(g560 +Vdate +p4793 +tp4794 +a(g399 +g1145 +tp4795 +a(g216 +V'd/m/Y' +p4796 +tp4797 +a(g399 +g1149 +tp4798 +a(g900 +g960 +tp4799 +a(g737 +V}} +p4800 +tp4801 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('UTC');\u000a$twig->getExtension('core')->setDateFormat('Y-m-d', '%d days %h hours');\u000areturn array(\u000a 'date1' => mktime(13, 45, 0, 10, 4, 2010),\u000a)\u000a--EXPECT--\u000a2010-10-04\u000a04/10/2010\u000a--TEST--\u000a"date" filter\u000a--CONDITION--\u000aversion_compare(phpversion(), '5.5.0', '>=')\u000a--TEMPLATE--\u000a +p4802 +tp4803 +a(g737 +V{{ +p4804 +tp4805 +a(g900 +g960 +tp4806 +a(g427 +Vdate1 +p4807 +tp4808 +a(g399 +g1141 +tp4809 +a(g560 +Vdate +p4810 +tp4811 +a(g900 +g960 +tp4812 +a(g737 +V}} +p4813 +tp4814 +a(g7 +V\u000a +p4815 +tp4816 +a(g737 +V{{ +p4817 +tp4818 +a(g900 +g960 +tp4819 +a(g427 +Vdate1 +p4820 +tp4821 +a(g399 +g1141 +tp4822 +a(g560 +Vdate +p4823 +tp4824 +a(g399 +g1145 +tp4825 +a(g216 +V'd/m/Y' +p4826 +tp4827 +a(g399 +g1149 +tp4828 +a(g900 +g960 +tp4829 +a(g737 +V}} +p4830 +tp4831 +a(g7 +V\u000a +p4832 +tp4833 +a(g737 +V{{ +p4834 +tp4835 +a(g900 +g960 +tp4836 +a(g427 +Vdate1 +p4837 +tp4838 +a(g399 +g1141 +tp4839 +a(g560 +Vdate +p4840 +tp4841 +a(g399 +g1145 +tp4842 +a(g216 +V'd/m/Y H:i:s' +p4843 +tp4844 +a(g399 +g1162 +tp4845 +a(g900 +g960 +tp4846 +a(g216 +V'Asia/Hong_Kong' +p4847 +tp4848 +a(g399 +g1149 +tp4849 +a(g900 +g960 +tp4850 +a(g737 +V}} +p4851 +tp4852 +a(g7 +V\u000a +p4853 +tp4854 +a(g737 +V{{ +p4855 +tp4856 +a(g900 +g960 +tp4857 +a(g427 +Vdate1 +p4858 +tp4859 +a(g399 +g1141 +tp4860 +a(g560 +Vdate +p4861 +tp4862 +a(g399 +g1145 +tp4863 +a(g216 +V'd/m/Y H:i:s' +p4864 +tp4865 +a(g399 +g1162 +tp4866 +a(g900 +g960 +tp4867 +a(g427 +Vtimezone1 +p4868 +tp4869 +a(g399 +g1149 +tp4870 +a(g900 +g960 +tp4871 +a(g737 +V}} +p4872 +tp4873 +a(g7 +V\u000a +p4874 +tp4875 +a(g737 +V{{ +p4876 +tp4877 +a(g900 +g960 +tp4878 +a(g427 +Vdate1 +p4879 +tp4880 +a(g399 +g1141 +tp4881 +a(g560 +Vdate +p4882 +tp4883 +a(g399 +g1145 +tp4884 +a(g216 +V'd/m/Y H:i:s' +p4885 +tp4886 +a(g399 +g1149 +tp4887 +a(g900 +g960 +tp4888 +a(g737 +V}} +p4889 +tp4890 +a(g7 +V\u000a\u000a +p4891 +tp4892 +a(g737 +V{{ +p4893 +tp4894 +a(g900 +g960 +tp4895 +a(g427 +Vdate2 +p4896 +tp4897 +a(g399 +g1141 +tp4898 +a(g560 +Vdate +p4899 +tp4900 +a(g399 +g1145 +tp4901 +a(g216 +V'd/m/Y H:i:s P' +p4902 +tp4903 +a(g399 +g1162 +tp4904 +a(g900 +g960 +tp4905 +a(g216 +V'Europe/Paris' +p4906 +tp4907 +a(g399 +g1149 +tp4908 +a(g900 +g960 +tp4909 +a(g737 +V}} +p4910 +tp4911 +a(g7 +V\u000a +p4912 +tp4913 +a(g737 +V{{ +p4914 +tp4915 +a(g900 +g960 +tp4916 +a(g427 +Vdate2 +p4917 +tp4918 +a(g399 +g1141 +tp4919 +a(g560 +Vdate +p4920 +tp4921 +a(g399 +g1145 +tp4922 +a(g216 +V'd/m/Y H:i:s P' +p4923 +tp4924 +a(g399 +g1162 +tp4925 +a(g900 +g960 +tp4926 +a(g216 +V'Asia/Hong_Kong' +p4927 +tp4928 +a(g399 +g1149 +tp4929 +a(g900 +g960 +tp4930 +a(g737 +V}} +p4931 +tp4932 +a(g7 +V\u000a +p4933 +tp4934 +a(g737 +V{{ +p4935 +tp4936 +a(g900 +g960 +tp4937 +a(g427 +Vdate2 +p4938 +tp4939 +a(g399 +g1141 +tp4940 +a(g560 +Vdate +p4941 +tp4942 +a(g399 +g1145 +tp4943 +a(g216 +V'd/m/Y H:i:s P' +p4944 +tp4945 +a(g399 +g1162 +tp4946 +a(g900 +g960 +tp4947 +a(g859 +Vfalse +p4948 +tp4949 +a(g399 +g1149 +tp4950 +a(g900 +g960 +tp4951 +a(g737 +V}} +p4952 +tp4953 +a(g7 +V\u000a +p4954 +tp4955 +a(g737 +V{{ +p4956 +tp4957 +a(g900 +g960 +tp4958 +a(g427 +Vdate2 +p4959 +tp4960 +a(g399 +g1141 +tp4961 +a(g560 +Vdate +p4962 +tp4963 +a(g399 +g1145 +tp4964 +a(g216 +V'e' +p4965 +tp4966 +a(g399 +g1162 +tp4967 +a(g900 +g960 +tp4968 +a(g216 +V'Europe/Paris' +p4969 +tp4970 +a(g399 +g1149 +tp4971 +a(g900 +g960 +tp4972 +a(g737 +V}} +p4973 +tp4974 +a(g7 +V\u000a +p4975 +tp4976 +a(g737 +V{{ +p4977 +tp4978 +a(g900 +g960 +tp4979 +a(g427 +Vdate2 +p4980 +tp4981 +a(g399 +g1141 +tp4982 +a(g560 +Vdate +p4983 +tp4984 +a(g399 +g1145 +tp4985 +a(g216 +V'e' +p4986 +tp4987 +a(g399 +g1162 +tp4988 +a(g900 +g960 +tp4989 +a(g859 +Vfalse +p4990 +tp4991 +a(g399 +g1149 +tp4992 +a(g900 +g960 +tp4993 +a(g737 +V}} +p4994 +tp4995 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('Europe/Paris');\u000areturn array(\u000a 'date1' => new DateTimeImmutable('2010-10-04 13:45'),\u000a 'date2' => new DateTimeImmutable('2010-10-04 13:45', new DateTimeZone('America/New_York')),\u000a 'timezone1' => new DateTimeZone('America/New_York'),\u000a)\u000a--EXPECT--\u000aOctober 4, 2010 13:45\u000a04/10/2010\u000a04/10/2010 19:45:00\u000a04/10/2010 07:45:00\u000a04/10/2010 13:45:00\u000a\u000a04/10/2010 19:45:00 +02:00\u000a05/10/2010 01:45:00 +08:00\u000a04/10/2010 13:45:00 -04:00\u000aEurope/Paris\u000aAmerica/New_York\u000a--TEST--\u000a"date" filter (interval support as of PHP 5.3)\u000a--CONDITION--\u000aversion_compare(phpversion(), '5.3.0', '>=')\u000a--TEMPLATE--\u000a +p4996 +tp4997 +a(g737 +V{{ +p4998 +tp4999 +a(g900 +g960 +tp5000 +a(g427 +Vdate1 +p5001 +tp5002 +a(g399 +g1141 +tp5003 +a(g560 +Vdate +p5004 +tp5005 +a(g900 +g960 +tp5006 +a(g737 +V}} +p5007 +tp5008 +a(g7 +V\u000a +p5009 +tp5010 +a(g737 +V{{ +p5011 +tp5012 +a(g900 +g960 +tp5013 +a(g427 +Vdate1 +p5014 +tp5015 +a(g399 +g1141 +tp5016 +a(g560 +Vdate +p5017 +tp5018 +a(g399 +g1145 +tp5019 +a(g216 +V'%d days %h hours' +p5020 +tp5021 +a(g399 +g1149 +tp5022 +a(g900 +g960 +tp5023 +a(g737 +V}} +p5024 +tp5025 +a(g7 +V\u000a +p5026 +tp5027 +a(g737 +V{{ +p5028 +tp5029 +a(g900 +g960 +tp5030 +a(g427 +Vdate1 +p5031 +tp5032 +a(g399 +g1141 +tp5033 +a(g560 +Vdate +p5034 +tp5035 +a(g399 +g1145 +tp5036 +a(g216 +V'%d days %h hours' +p5037 +tp5038 +a(g399 +g1162 +tp5039 +a(g900 +g960 +tp5040 +a(g427 +Vtimezone1 +p5041 +tp5042 +a(g399 +g1149 +tp5043 +a(g900 +g960 +tp5044 +a(g737 +V}} +p5045 +tp5046 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('UTC');\u000areturn array(\u000a 'date1' => new DateInterval('P2D'),\u000a // This should have no effect on DateInterval formatting\u000a 'timezone1' => new DateTimeZone('America/New_York'),\u000a)\u000a--EXPECT--\u000a2 days\u000a2 days 0 hours\u000a2 days 0 hours\u000a--TEST--\u000a"date_modify" filter\u000a--TEMPLATE--\u000a +p5047 +tp5048 +a(g737 +V{{ +p5049 +tp5050 +a(g900 +g960 +tp5051 +a(g427 +Vdate1 +p5052 +tp5053 +a(g399 +g1141 +tp5054 +a(g560 +Vdate_modify +p5055 +tp5056 +a(g399 +g1145 +tp5057 +a(g216 +V'-1day' +p5058 +tp5059 +a(g399 +g1149 +tp5060 +a(g399 +g1141 +tp5061 +a(g560 +Vdate +p5062 +tp5063 +a(g399 +g1145 +tp5064 +a(g216 +V'Y-m-d H:i:s' +p5065 +tp5066 +a(g399 +g1149 +tp5067 +a(g900 +g960 +tp5068 +a(g737 +V}} +p5069 +tp5070 +a(g7 +V\u000a +p5071 +tp5072 +a(g737 +V{{ +p5073 +tp5074 +a(g900 +g960 +tp5075 +a(g427 +Vdate2 +p5076 +tp5077 +a(g399 +g1141 +tp5078 +a(g560 +Vdate_modify +p5079 +tp5080 +a(g399 +g1145 +tp5081 +a(g216 +V'-1day' +p5082 +tp5083 +a(g399 +g1149 +tp5084 +a(g399 +g1141 +tp5085 +a(g560 +Vdate +p5086 +tp5087 +a(g399 +g1145 +tp5088 +a(g216 +V'Y-m-d H:i:s' +p5089 +tp5090 +a(g399 +g1149 +tp5091 +a(g900 +g960 +tp5092 +a(g737 +V}} +p5093 +tp5094 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('UTC');\u000areturn array(\u000a 'date1' => '2010-10-04 13:45',\u000a 'date2' => new DateTime('2010-10-04 13:45'),\u000a)\u000a--EXPECT--\u000a2010-10-03 13:45:00\u000a2010-10-03 13:45:00\u000a--TEST--\u000a"date" filter\u000a--TEMPLATE--\u000a +p5095 +tp5096 +a(g737 +V{{ +p5097 +tp5098 +a(g900 +g960 +tp5099 +a(g427 +Vdate +p5100 +tp5101 +a(g399 +g1141 +tp5102 +a(g560 +Vdate +p5103 +tp5104 +a(g399 +g1145 +tp5105 +a(g427 +Vformat +p5106 +tp5107 +a(g399 +g1342 +tp5108 +a(g216 +V'd/m/Y H:i:s P' +p5109 +tp5110 +a(g399 +g1162 +tp5111 +a(g900 +g960 +tp5112 +a(g427 +Vtimezone +p5113 +tp5114 +a(g399 +g1342 +tp5115 +a(g216 +V'America/Chicago' +p5116 +tp5117 +a(g399 +g1149 +tp5118 +a(g900 +g960 +tp5119 +a(g737 +V}} +p5120 +tp5121 +a(g7 +V\u000a +p5122 +tp5123 +a(g737 +V{{ +p5124 +tp5125 +a(g900 +g960 +tp5126 +a(g427 +Vdate +p5127 +tp5128 +a(g399 +g1141 +tp5129 +a(g560 +Vdate +p5130 +tp5131 +a(g399 +g1145 +tp5132 +a(g427 +Vtimezone +p5133 +tp5134 +a(g399 +g1342 +tp5135 +a(g216 +V'America/Chicago' +p5136 +tp5137 +a(g399 +g1162 +tp5138 +a(g900 +g960 +tp5139 +a(g427 +Vformat +p5140 +tp5141 +a(g399 +g1342 +tp5142 +a(g216 +V'd/m/Y H:i:s P' +p5143 +tp5144 +a(g399 +g1149 +tp5145 +a(g900 +g960 +tp5146 +a(g737 +V}} +p5147 +tp5148 +a(g7 +V\u000a +p5149 +tp5150 +a(g737 +V{{ +p5151 +tp5152 +a(g900 +g960 +tp5153 +a(g427 +Vdate +p5154 +tp5155 +a(g399 +g1141 +tp5156 +a(g560 +Vdate +p5157 +tp5158 +a(g399 +g1145 +tp5159 +a(g216 +V'd/m/Y H:i:s P' +p5160 +tp5161 +a(g399 +g1162 +tp5162 +a(g900 +g960 +tp5163 +a(g427 +Vtimezone +p5164 +tp5165 +a(g399 +g1342 +tp5166 +a(g216 +V'America/Chicago' +p5167 +tp5168 +a(g399 +g1149 +tp5169 +a(g900 +g960 +tp5170 +a(g737 +V}} +p5171 +tp5172 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('UTC');\u000areturn array('date' => mktime(13, 45, 0, 10, 4, 2010))\u000a--EXPECT--\u000a04/10/2010 08:45:00 -05:00\u000a04/10/2010 08:45:00 -05:00\u000a04/10/2010 08:45:00 -05:00\u000a--TEST--\u000a"date" filter\u000a--TEMPLATE--\u000a +p5173 +tp5174 +a(g737 +V{{ +p5175 +tp5176 +a(g900 +g960 +tp5177 +a(g427 +Vdate1 +p5178 +tp5179 +a(g399 +g1141 +tp5180 +a(g560 +Vdate +p5181 +tp5182 +a(g900 +g960 +tp5183 +a(g737 +V}} +p5184 +tp5185 +a(g7 +V\u000a +p5186 +tp5187 +a(g737 +V{{ +p5188 +tp5189 +a(g900 +g960 +tp5190 +a(g427 +Vdate1 +p5191 +tp5192 +a(g399 +g1141 +tp5193 +a(g560 +Vdate +p5194 +tp5195 +a(g399 +g1145 +tp5196 +a(g216 +V'd/m/Y' +p5197 +tp5198 +a(g399 +g1149 +tp5199 +a(g900 +g960 +tp5200 +a(g737 +V}} +p5201 +tp5202 +a(g7 +V\u000a +p5203 +tp5204 +a(g737 +V{{ +p5205 +tp5206 +a(g900 +g960 +tp5207 +a(g427 +Vdate1 +p5208 +tp5209 +a(g399 +g1141 +tp5210 +a(g560 +Vdate +p5211 +tp5212 +a(g399 +g1145 +tp5213 +a(g216 +V'd/m/Y H:i:s' +p5214 +tp5215 +a(g399 +g1162 +tp5216 +a(g900 +g960 +tp5217 +a(g216 +V'Asia/Hong_Kong' +p5218 +tp5219 +a(g399 +g1149 +tp5220 +a(g900 +g960 +tp5221 +a(g737 +V}} +p5222 +tp5223 +a(g7 +V\u000a +p5224 +tp5225 +a(g737 +V{{ +p5226 +tp5227 +a(g900 +g960 +tp5228 +a(g427 +Vdate1 +p5229 +tp5230 +a(g399 +g1141 +tp5231 +a(g560 +Vdate +p5232 +tp5233 +a(g399 +g1145 +tp5234 +a(g216 +V'd/m/Y H:i:s P' +p5235 +tp5236 +a(g399 +g1162 +tp5237 +a(g900 +g960 +tp5238 +a(g216 +V'Asia/Hong_Kong' +p5239 +tp5240 +a(g399 +g1149 +tp5241 +a(g900 +g960 +tp5242 +a(g737 +V}} +p5243 +tp5244 +a(g7 +V\u000a +p5245 +tp5246 +a(g737 +V{{ +p5247 +tp5248 +a(g900 +g960 +tp5249 +a(g427 +Vdate1 +p5250 +tp5251 +a(g399 +g1141 +tp5252 +a(g560 +Vdate +p5253 +tp5254 +a(g399 +g1145 +tp5255 +a(g216 +V'd/m/Y H:i:s P' +p5256 +tp5257 +a(g399 +g1162 +tp5258 +a(g900 +g960 +tp5259 +a(g216 +V'America/Chicago' +p5260 +tp5261 +a(g399 +g1149 +tp5262 +a(g900 +g960 +tp5263 +a(g737 +V}} +p5264 +tp5265 +a(g7 +V\u000a +p5266 +tp5267 +a(g737 +V{{ +p5268 +tp5269 +a(g900 +g960 +tp5270 +a(g427 +Vdate1 +p5271 +tp5272 +a(g399 +g1141 +tp5273 +a(g560 +Vdate +p5274 +tp5275 +a(g399 +g1145 +tp5276 +a(g216 +V'e' +p5277 +tp5278 +a(g399 +g1149 +tp5279 +a(g900 +g960 +tp5280 +a(g737 +V}} +p5281 +tp5282 +a(g7 +V\u000a +p5283 +tp5284 +a(g737 +V{{ +p5285 +tp5286 +a(g900 +g960 +tp5287 +a(g427 +Vdate1 +p5288 +tp5289 +a(g399 +g1141 +tp5290 +a(g560 +Vdate +p5291 +tp5292 +a(g399 +g1145 +tp5293 +a(g216 +V'd/m/Y H:i:s' +p5294 +tp5295 +a(g399 +g1149 +tp5296 +a(g900 +g960 +tp5297 +a(g737 +V}} +p5298 +tp5299 +a(g7 +V\u000a\u000a +p5300 +tp5301 +a(g737 +V{{ +p5302 +tp5303 +a(g900 +g960 +tp5304 +a(g427 +Vdate2 +p5305 +tp5306 +a(g399 +g1141 +tp5307 +a(g560 +Vdate +p5308 +tp5309 +a(g900 +g960 +tp5310 +a(g737 +V}} +p5311 +tp5312 +a(g7 +V\u000a +p5313 +tp5314 +a(g737 +V{{ +p5315 +tp5316 +a(g900 +g960 +tp5317 +a(g427 +Vdate2 +p5318 +tp5319 +a(g399 +g1141 +tp5320 +a(g560 +Vdate +p5321 +tp5322 +a(g399 +g1145 +tp5323 +a(g216 +V'd/m/Y' +p5324 +tp5325 +a(g399 +g1149 +tp5326 +a(g900 +g960 +tp5327 +a(g737 +V}} +p5328 +tp5329 +a(g7 +V\u000a +p5330 +tp5331 +a(g737 +V{{ +p5332 +tp5333 +a(g900 +g960 +tp5334 +a(g427 +Vdate2 +p5335 +tp5336 +a(g399 +g1141 +tp5337 +a(g560 +Vdate +p5338 +tp5339 +a(g399 +g1145 +tp5340 +a(g216 +V'd/m/Y H:i:s' +p5341 +tp5342 +a(g399 +g1162 +tp5343 +a(g900 +g960 +tp5344 +a(g216 +V'Asia/Hong_Kong' +p5345 +tp5346 +a(g399 +g1149 +tp5347 +a(g900 +g960 +tp5348 +a(g737 +V}} +p5349 +tp5350 +a(g7 +V\u000a +p5351 +tp5352 +a(g737 +V{{ +p5353 +tp5354 +a(g900 +g960 +tp5355 +a(g427 +Vdate2 +p5356 +tp5357 +a(g399 +g1141 +tp5358 +a(g560 +Vdate +p5359 +tp5360 +a(g399 +g1145 +tp5361 +a(g216 +V'd/m/Y H:i:s' +p5362 +tp5363 +a(g399 +g1162 +tp5364 +a(g900 +g960 +tp5365 +a(g427 +Vtimezone1 +p5366 +tp5367 +a(g399 +g1149 +tp5368 +a(g900 +g960 +tp5369 +a(g737 +V}} +p5370 +tp5371 +a(g7 +V\u000a +p5372 +tp5373 +a(g737 +V{{ +p5374 +tp5375 +a(g900 +g960 +tp5376 +a(g427 +Vdate2 +p5377 +tp5378 +a(g399 +g1141 +tp5379 +a(g560 +Vdate +p5380 +tp5381 +a(g399 +g1145 +tp5382 +a(g216 +V'd/m/Y H:i:s' +p5383 +tp5384 +a(g399 +g1149 +tp5385 +a(g900 +g960 +tp5386 +a(g737 +V}} +p5387 +tp5388 +a(g7 +V\u000a\u000a +p5389 +tp5390 +a(g737 +V{{ +p5391 +tp5392 +a(g900 +g960 +tp5393 +a(g427 +Vdate3 +p5394 +tp5395 +a(g399 +g1141 +tp5396 +a(g560 +Vdate +p5397 +tp5398 +a(g900 +g960 +tp5399 +a(g737 +V}} +p5400 +tp5401 +a(g7 +V\u000a +p5402 +tp5403 +a(g737 +V{{ +p5404 +tp5405 +a(g900 +g960 +tp5406 +a(g427 +Vdate3 +p5407 +tp5408 +a(g399 +g1141 +tp5409 +a(g560 +Vdate +p5410 +tp5411 +a(g399 +g1145 +tp5412 +a(g216 +V'd/m/Y' +p5413 +tp5414 +a(g399 +g1149 +tp5415 +a(g900 +g960 +tp5416 +a(g737 +V}} +p5417 +tp5418 +a(g7 +V\u000a\u000a +p5419 +tp5420 +a(g737 +V{{ +p5421 +tp5422 +a(g900 +g960 +tp5423 +a(g427 +Vdate4 +p5424 +tp5425 +a(g399 +g1141 +tp5426 +a(g560 +Vdate +p5427 +tp5428 +a(g900 +g960 +tp5429 +a(g737 +V}} +p5430 +tp5431 +a(g7 +V\u000a +p5432 +tp5433 +a(g737 +V{{ +p5434 +tp5435 +a(g900 +g960 +tp5436 +a(g427 +Vdate4 +p5437 +tp5438 +a(g399 +g1141 +tp5439 +a(g560 +Vdate +p5440 +tp5441 +a(g399 +g1145 +tp5442 +a(g216 +V'd/m/Y' +p5443 +tp5444 +a(g399 +g1149 +tp5445 +a(g900 +g960 +tp5446 +a(g737 +V}} +p5447 +tp5448 +a(g7 +V\u000a\u000a +p5449 +tp5450 +a(g737 +V{{ +p5451 +tp5452 +a(g900 +g960 +tp5453 +a(g427 +Vdate5 +p5454 +tp5455 +a(g399 +g1141 +tp5456 +a(g560 +Vdate +p5457 +tp5458 +a(g900 +g960 +tp5459 +a(g737 +V}} +p5460 +tp5461 +a(g7 +V\u000a +p5462 +tp5463 +a(g737 +V{{ +p5464 +tp5465 +a(g900 +g960 +tp5466 +a(g427 +Vdate5 +p5467 +tp5468 +a(g399 +g1141 +tp5469 +a(g560 +Vdate +p5470 +tp5471 +a(g399 +g1145 +tp5472 +a(g216 +V'd/m/Y' +p5473 +tp5474 +a(g399 +g1149 +tp5475 +a(g900 +g960 +tp5476 +a(g737 +V}} +p5477 +tp5478 +a(g7 +V\u000a\u000a +p5479 +tp5480 +a(g737 +V{{ +p5481 +tp5482 +a(g900 +g960 +tp5483 +a(g427 +Vdate6 +p5484 +tp5485 +a(g399 +g1141 +tp5486 +a(g560 +Vdate +p5487 +tp5488 +a(g399 +g1145 +tp5489 +a(g216 +V'd/m/Y H:i:s P' +p5490 +tp5491 +a(g399 +g1162 +tp5492 +a(g900 +g960 +tp5493 +a(g216 +V'Europe/Paris' +p5494 +tp5495 +a(g399 +g1149 +tp5496 +a(g900 +g960 +tp5497 +a(g737 +V}} +p5498 +tp5499 +a(g7 +V\u000a +p5500 +tp5501 +a(g737 +V{{ +p5502 +tp5503 +a(g900 +g960 +tp5504 +a(g427 +Vdate6 +p5505 +tp5506 +a(g399 +g1141 +tp5507 +a(g560 +Vdate +p5508 +tp5509 +a(g399 +g1145 +tp5510 +a(g216 +V'd/m/Y H:i:s P' +p5511 +tp5512 +a(g399 +g1162 +tp5513 +a(g900 +g960 +tp5514 +a(g216 +V'Asia/Hong_Kong' +p5515 +tp5516 +a(g399 +g1149 +tp5517 +a(g900 +g960 +tp5518 +a(g737 +V}} +p5519 +tp5520 +a(g7 +V\u000a +p5521 +tp5522 +a(g737 +V{{ +p5523 +tp5524 +a(g900 +g960 +tp5525 +a(g427 +Vdate6 +p5526 +tp5527 +a(g399 +g1141 +tp5528 +a(g560 +Vdate +p5529 +tp5530 +a(g399 +g1145 +tp5531 +a(g216 +V'd/m/Y H:i:s P' +p5532 +tp5533 +a(g399 +g1162 +tp5534 +a(g900 +g960 +tp5535 +a(g859 +Vfalse +p5536 +tp5537 +a(g399 +g1149 +tp5538 +a(g900 +g960 +tp5539 +a(g737 +V}} +p5540 +tp5541 +a(g7 +V\u000a +p5542 +tp5543 +a(g737 +V{{ +p5544 +tp5545 +a(g900 +g960 +tp5546 +a(g427 +Vdate6 +p5547 +tp5548 +a(g399 +g1141 +tp5549 +a(g560 +Vdate +p5550 +tp5551 +a(g399 +g1145 +tp5552 +a(g216 +V'e' +p5553 +tp5554 +a(g399 +g1162 +tp5555 +a(g900 +g960 +tp5556 +a(g216 +V'Europe/Paris' +p5557 +tp5558 +a(g399 +g1149 +tp5559 +a(g900 +g960 +tp5560 +a(g737 +V}} +p5561 +tp5562 +a(g7 +V\u000a +p5563 +tp5564 +a(g737 +V{{ +p5565 +tp5566 +a(g900 +g960 +tp5567 +a(g427 +Vdate6 +p5568 +tp5569 +a(g399 +g1141 +tp5570 +a(g560 +Vdate +p5571 +tp5572 +a(g399 +g1145 +tp5573 +a(g216 +V'e' +p5574 +tp5575 +a(g399 +g1162 +tp5576 +a(g900 +g960 +tp5577 +a(g859 +Vfalse +p5578 +tp5579 +a(g399 +g1149 +tp5580 +a(g900 +g960 +tp5581 +a(g737 +V}} +p5582 +tp5583 +a(g7 +V\u000a\u000a +p5584 +tp5585 +a(g737 +V{{ +p5586 +tp5587 +a(g900 +g960 +tp5588 +a(g427 +Vdate7 +p5589 +tp5590 +a(g399 +g1141 +tp5591 +a(g560 +Vdate +p5592 +tp5593 +a(g900 +g960 +tp5594 +a(g737 +V}} +p5595 +tp5596 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('Europe/Paris');\u000areturn array(\u000a 'date1' => mktime(13, 45, 0, 10, 4, 2010),\u000a 'date2' => new DateTime('2010-10-04 13:45'),\u000a 'date3' => '2010-10-04 13:45',\u000a 'date4' => 1286199900, // DateTime::createFromFormat('Y-m-d H:i', '2010-10-04 13:45', new DateTimeZone('UTC'))->getTimestamp() -- A unixtimestamp is always GMT\u000a 'date5' => -189291360, // DateTime::createFromFormat('Y-m-d H:i', '1964-01-02 03:04', new DateTimeZone('UTC'))->getTimestamp(),\u000a 'date6' => new DateTime('2010-10-04 13:45', new DateTimeZone('America/New_York')),\u000a 'date7' => '2010-01-28T15:00:00+05:00',\u000a 'timezone1' => new DateTimeZone('America/New_York'),\u000a)\u000a--EXPECT--\u000aOctober 4, 2010 13:45\u000a04/10/2010\u000a04/10/2010 19:45:00\u000a04/10/2010 19:45:00 +08:00\u000a04/10/2010 06:45:00 -05:00\u000aEurope/Paris\u000a04/10/2010 13:45:00\u000a\u000aOctober 4, 2010 13:45\u000a04/10/2010\u000a04/10/2010 19:45:00\u000a04/10/2010 07:45:00\u000a04/10/2010 13:45:00\u000a\u000aOctober 4, 2010 13:45\u000a04/10/2010\u000a\u000aOctober 4, 2010 15:45\u000a04/10/2010\u000a\u000aJanuary 2, 1964 04:04\u000a02/01/1964\u000a\u000a04/10/2010 19:45:00 +02:00\u000a05/10/2010 01:45:00 +08:00\u000a04/10/2010 13:45:00 -04:00\u000aEurope/Paris\u000aAmerica/New_York\u000a\u000aJanuary 28, 2010 11:00\u000a--TEST--\u000a"default" filter\u000a--TEMPLATE--\u000aVariable:\u000a +p5597 +tp5598 +a(g737 +V{{ +p5599 +tp5600 +a(g900 +g960 +tp5601 +a(g427 +VdefinedVar +p5602 +tp5603 +a(g900 +V +p5604 +tp5605 +a(g399 +g1141 +tp5606 +a(g560 +Vdefault +p5607 +tp5608 +a(g399 +g1145 +tp5609 +a(g216 +V'default' +p5610 +tp5611 +a(g399 +g1149 +tp5612 +a(g900 +g960 +tp5613 +a(g818 +Vis +p5614 +tp5615 +a(g900 +g960 +tp5616 +a(g560 +Vsame +p5617 +tp5618 +a(g900 +g960 +tp5619 +a(g427 +Vas +p5620 +tp5621 +a(g399 +g1145 +tp5622 +a(g216 +V'default' +p5623 +tp5624 +a(g399 +g1149 +tp5625 +a(g900 +g960 +tp5626 +a(g399 +g2039 +tp5627 +a(g900 +g960 +tp5628 +a(g216 +V'ko' +p5629 +tp5630 +a(g900 +g960 +tp5631 +a(g399 +g1210 +tp5632 +a(g900 +g960 +tp5633 +a(g216 +V'ok' +p5634 +tp5635 +a(g900 +g960 +tp5636 +a(g737 +V}} +p5637 +tp5638 +a(g7 +V\u000a +p5639 +tp5640 +a(g737 +V{{ +p5641 +tp5642 +a(g900 +g960 +tp5643 +a(g427 +VzeroVar +p5644 +tp5645 +a(g900 +V +p5646 +tp5647 +a(g399 +g1141 +tp5648 +a(g560 +Vdefault +p5649 +tp5650 +a(g399 +g1145 +tp5651 +a(g216 +V'default' +p5652 +tp5653 +a(g399 +g1149 +tp5654 +a(g900 +g960 +tp5655 +a(g818 +Vis +p5656 +tp5657 +a(g900 +g960 +tp5658 +a(g560 +Vsame +p5659 +tp5660 +a(g900 +g960 +tp5661 +a(g427 +Vas +p5662 +tp5663 +a(g399 +g1145 +tp5664 +a(g216 +V'default' +p5665 +tp5666 +a(g399 +g1149 +tp5667 +a(g900 +g960 +tp5668 +a(g399 +g2039 +tp5669 +a(g900 +g960 +tp5670 +a(g216 +V'ko' +p5671 +tp5672 +a(g900 +g960 +tp5673 +a(g399 +g1210 +tp5674 +a(g900 +g960 +tp5675 +a(g216 +V'ok' +p5676 +tp5677 +a(g900 +g960 +tp5678 +a(g737 +V}} +p5679 +tp5680 +a(g7 +V\u000a +p5681 +tp5682 +a(g737 +V{{ +p5683 +tp5684 +a(g900 +g960 +tp5685 +a(g427 +VemptyVar +p5686 +tp5687 +a(g900 +V +p5688 +tp5689 +a(g399 +g1141 +tp5690 +a(g560 +Vdefault +p5691 +tp5692 +a(g399 +g1145 +tp5693 +a(g216 +V'default' +p5694 +tp5695 +a(g399 +g1149 +tp5696 +a(g900 +g960 +tp5697 +a(g818 +Vis +p5698 +tp5699 +a(g900 +g960 +tp5700 +a(g560 +Vsame +p5701 +tp5702 +a(g900 +g960 +tp5703 +a(g427 +Vas +p5704 +tp5705 +a(g399 +g1145 +tp5706 +a(g216 +V'default' +p5707 +tp5708 +a(g399 +g1149 +tp5709 +a(g900 +g960 +tp5710 +a(g399 +g2039 +tp5711 +a(g900 +g960 +tp5712 +a(g216 +V'ok' +p5713 +tp5714 +a(g900 +g960 +tp5715 +a(g399 +g1210 +tp5716 +a(g900 +g960 +tp5717 +a(g216 +V'ko' +p5718 +tp5719 +a(g900 +g960 +tp5720 +a(g737 +V}} +p5721 +tp5722 +a(g7 +V\u000a +p5723 +tp5724 +a(g737 +V{{ +p5725 +tp5726 +a(g900 +g960 +tp5727 +a(g427 +VnullVar +p5728 +tp5729 +a(g900 +V +p5730 +tp5731 +a(g399 +g1141 +tp5732 +a(g560 +Vdefault +p5733 +tp5734 +a(g399 +g1145 +tp5735 +a(g216 +V'default' +p5736 +tp5737 +a(g399 +g1149 +tp5738 +a(g900 +g960 +tp5739 +a(g818 +Vis +p5740 +tp5741 +a(g900 +g960 +tp5742 +a(g560 +Vsame +p5743 +tp5744 +a(g900 +g960 +tp5745 +a(g427 +Vas +p5746 +tp5747 +a(g399 +g1145 +tp5748 +a(g216 +V'default' +p5749 +tp5750 +a(g399 +g1149 +tp5751 +a(g900 +g960 +tp5752 +a(g399 +g2039 +tp5753 +a(g900 +g960 +tp5754 +a(g216 +V'ok' +p5755 +tp5756 +a(g900 +g960 +tp5757 +a(g399 +g1210 +tp5758 +a(g900 +g960 +tp5759 +a(g216 +V'ko' +p5760 +tp5761 +a(g900 +g960 +tp5762 +a(g737 +V}} +p5763 +tp5764 +a(g7 +V\u000a +p5765 +tp5766 +a(g737 +V{{ +p5767 +tp5768 +a(g900 +g960 +tp5769 +a(g427 +VundefinedVar +p5770 +tp5771 +a(g900 +V +p5772 +tp5773 +a(g399 +g1141 +tp5774 +a(g560 +Vdefault +p5775 +tp5776 +a(g399 +g1145 +tp5777 +a(g216 +V'default' +p5778 +tp5779 +a(g399 +g1149 +tp5780 +a(g900 +g960 +tp5781 +a(g818 +Vis +p5782 +tp5783 +a(g900 +g960 +tp5784 +a(g560 +Vsame +p5785 +tp5786 +a(g900 +g960 +tp5787 +a(g427 +Vas +p5788 +tp5789 +a(g399 +g1145 +tp5790 +a(g216 +V'default' +p5791 +tp5792 +a(g399 +g1149 +tp5793 +a(g900 +g960 +tp5794 +a(g399 +g2039 +tp5795 +a(g900 +g960 +tp5796 +a(g216 +V'ok' +p5797 +tp5798 +a(g900 +g960 +tp5799 +a(g399 +g1210 +tp5800 +a(g900 +g960 +tp5801 +a(g216 +V'ko' +p5802 +tp5803 +a(g900 +g960 +tp5804 +a(g737 +V}} +p5805 +tp5806 +a(g7 +V\u000aArray access:\u000a +p5807 +tp5808 +a(g737 +V{{ +p5809 +tp5810 +a(g900 +g960 +tp5811 +a(g427 +Vnested +p5812 +tp5813 +a(g427 +V.definedVar +p5814 +tp5815 +a(g900 +V +p5816 +tp5817 +a(g399 +g1141 +tp5818 +a(g560 +Vdefault +p5819 +tp5820 +a(g399 +g1145 +tp5821 +a(g216 +V'default' +p5822 +tp5823 +a(g399 +g1149 +tp5824 +a(g900 +g960 +tp5825 +a(g818 +Vis +p5826 +tp5827 +a(g900 +g960 +tp5828 +a(g560 +Vsame +p5829 +tp5830 +a(g900 +g960 +tp5831 +a(g427 +Vas +p5832 +tp5833 +a(g399 +g1145 +tp5834 +a(g216 +V'default' +p5835 +tp5836 +a(g399 +g1149 +tp5837 +a(g900 +g960 +tp5838 +a(g399 +g2039 +tp5839 +a(g900 +g960 +tp5840 +a(g216 +V'ko' +p5841 +tp5842 +a(g900 +g960 +tp5843 +a(g399 +g1210 +tp5844 +a(g900 +g960 +tp5845 +a(g216 +V'ok' +p5846 +tp5847 +a(g900 +g960 +tp5848 +a(g737 +V}} +p5849 +tp5850 +a(g7 +V\u000a +p5851 +tp5852 +a(g737 +V{{ +p5853 +tp5854 +a(g900 +g960 +tp5855 +a(g427 +Vnested +p5856 +tp5857 +a(g399 +g1089 +tp5858 +a(g216 +V'definedVar' +p5859 +tp5860 +a(g399 +g1093 +tp5861 +a(g900 +V +p5862 +tp5863 +a(g399 +g1141 +tp5864 +a(g560 +Vdefault +p5865 +tp5866 +a(g399 +g1145 +tp5867 +a(g216 +V'default' +p5868 +tp5869 +a(g399 +g1149 +tp5870 +a(g900 +g960 +tp5871 +a(g818 +Vis +p5872 +tp5873 +a(g900 +g960 +tp5874 +a(g560 +Vsame +p5875 +tp5876 +a(g900 +g960 +tp5877 +a(g427 +Vas +p5878 +tp5879 +a(g399 +g1145 +tp5880 +a(g216 +V'default' +p5881 +tp5882 +a(g399 +g1149 +tp5883 +a(g900 +g960 +tp5884 +a(g399 +g2039 +tp5885 +a(g900 +g960 +tp5886 +a(g216 +V'ko' +p5887 +tp5888 +a(g900 +g960 +tp5889 +a(g399 +g1210 +tp5890 +a(g900 +g960 +tp5891 +a(g216 +V'ok' +p5892 +tp5893 +a(g900 +g960 +tp5894 +a(g737 +V}} +p5895 +tp5896 +a(g7 +V\u000a +p5897 +tp5898 +a(g737 +V{{ +p5899 +tp5900 +a(g900 +g960 +tp5901 +a(g427 +Vnested +p5902 +tp5903 +a(g427 +V.zeroVar +p5904 +tp5905 +a(g900 +V +p5906 +tp5907 +a(g399 +g1141 +tp5908 +a(g560 +Vdefault +p5909 +tp5910 +a(g399 +g1145 +tp5911 +a(g216 +V'default' +p5912 +tp5913 +a(g399 +g1149 +tp5914 +a(g900 +g960 +tp5915 +a(g818 +Vis +p5916 +tp5917 +a(g900 +g960 +tp5918 +a(g560 +Vsame +p5919 +tp5920 +a(g900 +g960 +tp5921 +a(g427 +Vas +p5922 +tp5923 +a(g399 +g1145 +tp5924 +a(g216 +V'default' +p5925 +tp5926 +a(g399 +g1149 +tp5927 +a(g900 +g960 +tp5928 +a(g399 +g2039 +tp5929 +a(g900 +g960 +tp5930 +a(g216 +V'ko' +p5931 +tp5932 +a(g900 +g960 +tp5933 +a(g399 +g1210 +tp5934 +a(g900 +g960 +tp5935 +a(g216 +V'ok' +p5936 +tp5937 +a(g900 +g960 +tp5938 +a(g737 +V}} +p5939 +tp5940 +a(g7 +V\u000a +p5941 +tp5942 +a(g737 +V{{ +p5943 +tp5944 +a(g900 +g960 +tp5945 +a(g427 +Vnested +p5946 +tp5947 +a(g427 +V.emptyVar +p5948 +tp5949 +a(g900 +V +p5950 +tp5951 +a(g399 +g1141 +tp5952 +a(g560 +Vdefault +p5953 +tp5954 +a(g399 +g1145 +tp5955 +a(g216 +V'default' +p5956 +tp5957 +a(g399 +g1149 +tp5958 +a(g900 +g960 +tp5959 +a(g818 +Vis +p5960 +tp5961 +a(g900 +g960 +tp5962 +a(g560 +Vsame +p5963 +tp5964 +a(g900 +g960 +tp5965 +a(g427 +Vas +p5966 +tp5967 +a(g399 +g1145 +tp5968 +a(g216 +V'default' +p5969 +tp5970 +a(g399 +g1149 +tp5971 +a(g900 +g960 +tp5972 +a(g399 +g2039 +tp5973 +a(g900 +g960 +tp5974 +a(g216 +V'ok' +p5975 +tp5976 +a(g900 +g960 +tp5977 +a(g399 +g1210 +tp5978 +a(g900 +g960 +tp5979 +a(g216 +V'ko' +p5980 +tp5981 +a(g900 +g960 +tp5982 +a(g737 +V}} +p5983 +tp5984 +a(g7 +V\u000a +p5985 +tp5986 +a(g737 +V{{ +p5987 +tp5988 +a(g900 +g960 +tp5989 +a(g427 +Vnested +p5990 +tp5991 +a(g427 +V.nullVar +p5992 +tp5993 +a(g900 +V +p5994 +tp5995 +a(g399 +g1141 +tp5996 +a(g560 +Vdefault +p5997 +tp5998 +a(g399 +g1145 +tp5999 +a(g216 +V'default' +p6000 +tp6001 +a(g399 +g1149 +tp6002 +a(g900 +g960 +tp6003 +a(g818 +Vis +p6004 +tp6005 +a(g900 +g960 +tp6006 +a(g560 +Vsame +p6007 +tp6008 +a(g900 +g960 +tp6009 +a(g427 +Vas +p6010 +tp6011 +a(g399 +g1145 +tp6012 +a(g216 +V'default' +p6013 +tp6014 +a(g399 +g1149 +tp6015 +a(g900 +g960 +tp6016 +a(g399 +g2039 +tp6017 +a(g900 +g960 +tp6018 +a(g216 +V'ok' +p6019 +tp6020 +a(g900 +g960 +tp6021 +a(g399 +g1210 +tp6022 +a(g900 +g960 +tp6023 +a(g216 +V'ko' +p6024 +tp6025 +a(g900 +g960 +tp6026 +a(g737 +V}} +p6027 +tp6028 +a(g7 +V\u000a +p6029 +tp6030 +a(g737 +V{{ +p6031 +tp6032 +a(g900 +g960 +tp6033 +a(g427 +Vnested +p6034 +tp6035 +a(g427 +V.undefinedVar +p6036 +tp6037 +a(g900 +V +p6038 +tp6039 +a(g399 +g1141 +tp6040 +a(g560 +Vdefault +p6041 +tp6042 +a(g399 +g1145 +tp6043 +a(g216 +V'default' +p6044 +tp6045 +a(g399 +g1149 +tp6046 +a(g900 +g960 +tp6047 +a(g818 +Vis +p6048 +tp6049 +a(g900 +g960 +tp6050 +a(g560 +Vsame +p6051 +tp6052 +a(g900 +g960 +tp6053 +a(g427 +Vas +p6054 +tp6055 +a(g399 +g1145 +tp6056 +a(g216 +V'default' +p6057 +tp6058 +a(g399 +g1149 +tp6059 +a(g900 +g960 +tp6060 +a(g399 +g2039 +tp6061 +a(g900 +g960 +tp6062 +a(g216 +V'ok' +p6063 +tp6064 +a(g900 +g960 +tp6065 +a(g399 +g1210 +tp6066 +a(g900 +g960 +tp6067 +a(g216 +V'ko' +p6068 +tp6069 +a(g900 +g960 +tp6070 +a(g737 +V}} +p6071 +tp6072 +a(g7 +V\u000a +p6073 +tp6074 +a(g737 +V{{ +p6075 +tp6076 +a(g900 +g960 +tp6077 +a(g427 +Vnested +p6078 +tp6079 +a(g399 +g1089 +tp6080 +a(g216 +V'undefinedVar' +p6081 +tp6082 +a(g399 +g1093 +tp6083 +a(g900 +V +p6084 +tp6085 +a(g399 +g1141 +tp6086 +a(g560 +Vdefault +p6087 +tp6088 +a(g399 +g1145 +tp6089 +a(g216 +V'default' +p6090 +tp6091 +a(g399 +g1149 +tp6092 +a(g900 +g960 +tp6093 +a(g818 +Vis +p6094 +tp6095 +a(g900 +g960 +tp6096 +a(g560 +Vsame +p6097 +tp6098 +a(g900 +g960 +tp6099 +a(g427 +Vas +p6100 +tp6101 +a(g399 +g1145 +tp6102 +a(g216 +V'default' +p6103 +tp6104 +a(g399 +g1149 +tp6105 +a(g900 +g960 +tp6106 +a(g399 +g2039 +tp6107 +a(g900 +g960 +tp6108 +a(g216 +V'ok' +p6109 +tp6110 +a(g900 +g960 +tp6111 +a(g399 +g1210 +tp6112 +a(g900 +g960 +tp6113 +a(g216 +V'ko' +p6114 +tp6115 +a(g900 +g960 +tp6116 +a(g737 +V}} +p6117 +tp6118 +a(g7 +V\u000a +p6119 +tp6120 +a(g737 +V{{ +p6121 +tp6122 +a(g900 +g960 +tp6123 +a(g427 +VundefinedVar +p6124 +tp6125 +a(g427 +V.foo +p6126 +tp6127 +a(g900 +V +p6128 +tp6129 +a(g399 +g1141 +tp6130 +a(g560 +Vdefault +p6131 +tp6132 +a(g399 +g1145 +tp6133 +a(g216 +V'default' +p6134 +tp6135 +a(g399 +g1149 +tp6136 +a(g900 +g960 +tp6137 +a(g818 +Vis +p6138 +tp6139 +a(g900 +g960 +tp6140 +a(g560 +Vsame +p6141 +tp6142 +a(g900 +g960 +tp6143 +a(g427 +Vas +p6144 +tp6145 +a(g399 +g1145 +tp6146 +a(g216 +V'default' +p6147 +tp6148 +a(g399 +g1149 +tp6149 +a(g900 +g960 +tp6150 +a(g399 +g2039 +tp6151 +a(g900 +g960 +tp6152 +a(g216 +V'ok' +p6153 +tp6154 +a(g900 +g960 +tp6155 +a(g399 +g1210 +tp6156 +a(g900 +g960 +tp6157 +a(g216 +V'ko' +p6158 +tp6159 +a(g900 +g960 +tp6160 +a(g737 +V}} +p6161 +tp6162 +a(g7 +V\u000aPlain values:\u000a +p6163 +tp6164 +a(g737 +V{{ +p6165 +tp6166 +a(g900 +g960 +tp6167 +a(g216 +V'defined' +p6168 +tp6169 +a(g900 +V +p6170 +tp6171 +a(g399 +g1141 +tp6172 +a(g560 +Vdefault +p6173 +tp6174 +a(g399 +g1145 +tp6175 +a(g216 +V'default' +p6176 +tp6177 +a(g399 +g1149 +tp6178 +a(g900 +g960 +tp6179 +a(g818 +Vis +p6180 +tp6181 +a(g900 +g960 +tp6182 +a(g560 +Vsame +p6183 +tp6184 +a(g900 +g960 +tp6185 +a(g427 +Vas +p6186 +tp6187 +a(g399 +g1145 +tp6188 +a(g216 +V'default' +p6189 +tp6190 +a(g399 +g1149 +tp6191 +a(g900 +g960 +tp6192 +a(g399 +g2039 +tp6193 +a(g900 +g960 +tp6194 +a(g216 +V'ko' +p6195 +tp6196 +a(g900 +g960 +tp6197 +a(g399 +g1210 +tp6198 +a(g900 +g960 +tp6199 +a(g216 +V'ok' +p6200 +tp6201 +a(g900 +g960 +tp6202 +a(g737 +V}} +p6203 +tp6204 +a(g7 +V\u000a +p6205 +tp6206 +a(g737 +V{{ +p6207 +tp6208 +a(g900 +g960 +tp6209 +a(g17 +g1208 +tp6210 +a(g900 +V +p6211 +tp6212 +a(g399 +g1141 +tp6213 +a(g560 +Vdefault +p6214 +tp6215 +a(g399 +g1145 +tp6216 +a(g216 +V'default' +p6217 +tp6218 +a(g399 +g1149 +tp6219 +a(g900 +g960 +tp6220 +a(g818 +Vis +p6221 +tp6222 +a(g900 +g960 +tp6223 +a(g560 +Vsame +p6224 +tp6225 +a(g900 +g960 +tp6226 +a(g427 +Vas +p6227 +tp6228 +a(g399 +g1145 +tp6229 +a(g216 +V'default' +p6230 +tp6231 +a(g399 +g1149 +tp6232 +a(g900 +g960 +tp6233 +a(g399 +g2039 +tp6234 +a(g900 +g960 +tp6235 +a(g216 +V'ko' +p6236 +tp6237 +a(g900 +g960 +tp6238 +a(g399 +g1210 +tp6239 +a(g900 +g960 +tp6240 +a(g216 +V'ok' +p6241 +tp6242 +a(g900 +g960 +tp6243 +a(g737 +V}} +p6244 +tp6245 +a(g7 +V\u000a +p6246 +tp6247 +a(g737 +V{{ +p6248 +tp6249 +a(g900 +g960 +tp6250 +a(g216 +V'' +p6251 +tp6252 +a(g900 +V +p6253 +tp6254 +a(g399 +g1141 +tp6255 +a(g560 +Vdefault +p6256 +tp6257 +a(g399 +g1145 +tp6258 +a(g216 +V'default' +p6259 +tp6260 +a(g399 +g1149 +tp6261 +a(g900 +g960 +tp6262 +a(g818 +Vis +p6263 +tp6264 +a(g900 +g960 +tp6265 +a(g560 +Vsame +p6266 +tp6267 +a(g900 +g960 +tp6268 +a(g427 +Vas +p6269 +tp6270 +a(g399 +g1145 +tp6271 +a(g216 +V'default' +p6272 +tp6273 +a(g399 +g1149 +tp6274 +a(g900 +g960 +tp6275 +a(g399 +g2039 +tp6276 +a(g900 +g960 +tp6277 +a(g216 +V'ok' +p6278 +tp6279 +a(g900 +g960 +tp6280 +a(g399 +g1210 +tp6281 +a(g900 +g960 +tp6282 +a(g216 +V'ko' +p6283 +tp6284 +a(g900 +g960 +tp6285 +a(g737 +V}} +p6286 +tp6287 +a(g7 +V\u000a +p6288 +tp6289 +a(g737 +V{{ +p6290 +tp6291 +a(g900 +g960 +tp6292 +a(g859 +Vnull +p6293 +tp6294 +a(g900 +V +p6295 +tp6296 +a(g399 +g1141 +tp6297 +a(g560 +Vdefault +p6298 +tp6299 +a(g399 +g1145 +tp6300 +a(g216 +V'default' +p6301 +tp6302 +a(g399 +g1149 +tp6303 +a(g900 +g960 +tp6304 +a(g818 +Vis +p6305 +tp6306 +a(g900 +g960 +tp6307 +a(g560 +Vsame +p6308 +tp6309 +a(g900 +g960 +tp6310 +a(g427 +Vas +p6311 +tp6312 +a(g399 +g1145 +tp6313 +a(g216 +V'default' +p6314 +tp6315 +a(g399 +g1149 +tp6316 +a(g900 +g960 +tp6317 +a(g399 +g2039 +tp6318 +a(g900 +g960 +tp6319 +a(g216 +V'ok' +p6320 +tp6321 +a(g900 +g960 +tp6322 +a(g399 +g1210 +tp6323 +a(g900 +g960 +tp6324 +a(g216 +V'ko' +p6325 +tp6326 +a(g900 +g960 +tp6327 +a(g737 +V}} +p6328 +tp6329 +a(g7 +V\u000aPrecedence:\u000a +p6330 +tp6331 +a(g737 +V{{ +p6332 +tp6333 +a(g900 +g960 +tp6334 +a(g216 +V'o' +p6335 +tp6336 +a(g900 +g960 +tp6337 +a(g399 +g1606 +tp6338 +a(g900 +g960 +tp6339 +a(g427 +VnullVar +p6340 +tp6341 +a(g900 +V +p6342 +tp6343 +a(g399 +g1141 +tp6344 +a(g560 +Vdefault +p6345 +tp6346 +a(g399 +g1145 +tp6347 +a(g216 +V'k' +p6348 +tp6349 +a(g399 +g1149 +tp6350 +a(g900 +g960 +tp6351 +a(g737 +V}} +p6352 +tp6353 +a(g7 +V\u000a +p6354 +tp6355 +a(g737 +V{{ +p6356 +tp6357 +a(g900 +g960 +tp6358 +a(g216 +V'o' +p6359 +tp6360 +a(g900 +g960 +tp6361 +a(g399 +g1606 +tp6362 +a(g900 +g960 +tp6363 +a(g427 +Vnested +p6364 +tp6365 +a(g427 +V.nullVar +p6366 +tp6367 +a(g900 +V +p6368 +tp6369 +a(g399 +g1141 +tp6370 +a(g560 +Vdefault +p6371 +tp6372 +a(g399 +g1145 +tp6373 +a(g216 +V'k' +p6374 +tp6375 +a(g399 +g1149 +tp6376 +a(g900 +g960 +tp6377 +a(g737 +V}} +p6378 +tp6379 +a(g7 +V\u000aObject methods:\u000a +p6380 +tp6381 +a(g737 +V{{ +p6382 +tp6383 +a(g900 +g960 +tp6384 +a(g427 +Vobject +p6385 +tp6386 +a(g427 +V.foo +p6387 +tp6388 +a(g900 +V +p6389 +tp6390 +a(g399 +g1141 +tp6391 +a(g560 +Vdefault +p6392 +tp6393 +a(g399 +g1145 +tp6394 +a(g216 +V'default' +p6395 +tp6396 +a(g399 +g1149 +tp6397 +a(g900 +g960 +tp6398 +a(g818 +Vis +p6399 +tp6400 +a(g900 +g960 +tp6401 +a(g560 +Vsame +p6402 +tp6403 +a(g900 +g960 +tp6404 +a(g427 +Vas +p6405 +tp6406 +a(g399 +g1145 +tp6407 +a(g216 +V'default' +p6408 +tp6409 +a(g399 +g1149 +tp6410 +a(g900 +g960 +tp6411 +a(g399 +g2039 +tp6412 +a(g900 +g960 +tp6413 +a(g216 +V'ko' +p6414 +tp6415 +a(g900 +g960 +tp6416 +a(g399 +g1210 +tp6417 +a(g900 +g960 +tp6418 +a(g216 +V'ok' +p6419 +tp6420 +a(g900 +g960 +tp6421 +a(g737 +V}} +p6422 +tp6423 +a(g7 +V\u000a +p6424 +tp6425 +a(g737 +V{{ +p6426 +tp6427 +a(g900 +g960 +tp6428 +a(g427 +Vobject +p6429 +tp6430 +a(g427 +V.undefinedMethod +p6431 +tp6432 +a(g900 +V +p6433 +tp6434 +a(g399 +g1141 +tp6435 +a(g560 +Vdefault +p6436 +tp6437 +a(g399 +g1145 +tp6438 +a(g216 +V'default' +p6439 +tp6440 +a(g399 +g1149 +tp6441 +a(g900 +g960 +tp6442 +a(g818 +Vis +p6443 +tp6444 +a(g900 +g960 +tp6445 +a(g560 +Vsame +p6446 +tp6447 +a(g900 +g960 +tp6448 +a(g427 +Vas +p6449 +tp6450 +a(g399 +g1145 +tp6451 +a(g216 +V'default' +p6452 +tp6453 +a(g399 +g1149 +tp6454 +a(g900 +g960 +tp6455 +a(g399 +g2039 +tp6456 +a(g900 +g960 +tp6457 +a(g216 +V'ok' +p6458 +tp6459 +a(g900 +g960 +tp6460 +a(g399 +g1210 +tp6461 +a(g900 +g960 +tp6462 +a(g216 +V'ko' +p6463 +tp6464 +a(g900 +g960 +tp6465 +a(g737 +V}} +p6466 +tp6467 +a(g7 +V\u000a +p6468 +tp6469 +a(g737 +V{{ +p6470 +tp6471 +a(g900 +g960 +tp6472 +a(g427 +Vobject +p6473 +tp6474 +a(g427 +V.getFoo +p6475 +tp6476 +a(g399 +g1145 +tp6477 +a(g399 +g1149 +tp6478 +a(g900 +V +p6479 +tp6480 +a(g399 +g1141 +tp6481 +a(g560 +Vdefault +p6482 +tp6483 +a(g399 +g1145 +tp6484 +a(g216 +V'default' +p6485 +tp6486 +a(g399 +g1149 +tp6487 +a(g900 +g960 +tp6488 +a(g818 +Vis +p6489 +tp6490 +a(g900 +g960 +tp6491 +a(g560 +Vsame +p6492 +tp6493 +a(g900 +g960 +tp6494 +a(g427 +Vas +p6495 +tp6496 +a(g399 +g1145 +tp6497 +a(g216 +V'default' +p6498 +tp6499 +a(g399 +g1149 +tp6500 +a(g900 +g960 +tp6501 +a(g399 +g2039 +tp6502 +a(g900 +g960 +tp6503 +a(g216 +V'ko' +p6504 +tp6505 +a(g900 +g960 +tp6506 +a(g399 +g1210 +tp6507 +a(g900 +g960 +tp6508 +a(g216 +V'ok' +p6509 +tp6510 +a(g900 +g960 +tp6511 +a(g737 +V}} +p6512 +tp6513 +a(g7 +V\u000a +p6514 +tp6515 +a(g737 +V{{ +p6516 +tp6517 +a(g900 +g960 +tp6518 +a(g427 +Vobject +p6519 +tp6520 +a(g427 +V.getFoo +p6521 +tp6522 +a(g399 +g1145 +tp6523 +a(g216 +V'a' +p6524 +tp6525 +a(g399 +g1149 +tp6526 +a(g900 +V +p6527 +tp6528 +a(g399 +g1141 +tp6529 +a(g560 +Vdefault +p6530 +tp6531 +a(g399 +g1145 +tp6532 +a(g216 +V'default' +p6533 +tp6534 +a(g399 +g1149 +tp6535 +a(g900 +g960 +tp6536 +a(g818 +Vis +p6537 +tp6538 +a(g900 +g960 +tp6539 +a(g560 +Vsame +p6540 +tp6541 +a(g900 +g960 +tp6542 +a(g427 +Vas +p6543 +tp6544 +a(g399 +g1145 +tp6545 +a(g216 +V'default' +p6546 +tp6547 +a(g399 +g1149 +tp6548 +a(g900 +g960 +tp6549 +a(g399 +g2039 +tp6550 +a(g900 +g960 +tp6551 +a(g216 +V'ko' +p6552 +tp6553 +a(g900 +g960 +tp6554 +a(g399 +g1210 +tp6555 +a(g900 +g960 +tp6556 +a(g216 +V'ok' +p6557 +tp6558 +a(g900 +g960 +tp6559 +a(g737 +V}} +p6560 +tp6561 +a(g7 +V\u000a +p6562 +tp6563 +a(g737 +V{{ +p6564 +tp6565 +a(g900 +g960 +tp6566 +a(g427 +Vobject +p6567 +tp6568 +a(g427 +V.undefinedMethod +p6569 +tp6570 +a(g399 +g1145 +tp6571 +a(g399 +g1149 +tp6572 +a(g900 +V +p6573 +tp6574 +a(g399 +g1141 +tp6575 +a(g560 +Vdefault +p6576 +tp6577 +a(g399 +g1145 +tp6578 +a(g216 +V'default' +p6579 +tp6580 +a(g399 +g1149 +tp6581 +a(g900 +g960 +tp6582 +a(g818 +Vis +p6583 +tp6584 +a(g900 +g960 +tp6585 +a(g560 +Vsame +p6586 +tp6587 +a(g900 +g960 +tp6588 +a(g427 +Vas +p6589 +tp6590 +a(g399 +g1145 +tp6591 +a(g216 +V'default' +p6592 +tp6593 +a(g399 +g1149 +tp6594 +a(g900 +g960 +tp6595 +a(g399 +g2039 +tp6596 +a(g900 +g960 +tp6597 +a(g216 +V'ok' +p6598 +tp6599 +a(g900 +g960 +tp6600 +a(g399 +g1210 +tp6601 +a(g900 +g960 +tp6602 +a(g216 +V'ko' +p6603 +tp6604 +a(g900 +g960 +tp6605 +a(g737 +V}} +p6606 +tp6607 +a(g7 +V\u000a +p6608 +tp6609 +a(g737 +V{{ +p6610 +tp6611 +a(g900 +g960 +tp6612 +a(g427 +Vobject +p6613 +tp6614 +a(g427 +V.undefinedMethod +p6615 +tp6616 +a(g399 +g1145 +tp6617 +a(g216 +V'a' +p6618 +tp6619 +a(g399 +g1149 +tp6620 +a(g900 +g960 +tp6621 +a(g399 +g1141 +tp6622 +a(g560 +Vdefault +p6623 +tp6624 +a(g399 +g1145 +tp6625 +a(g216 +V'default' +p6626 +tp6627 +a(g399 +g1149 +tp6628 +a(g900 +g960 +tp6629 +a(g818 +Vis +p6630 +tp6631 +a(g900 +g960 +tp6632 +a(g560 +Vsame +p6633 +tp6634 +a(g900 +g960 +tp6635 +a(g427 +Vas +p6636 +tp6637 +a(g399 +g1145 +tp6638 +a(g216 +V'default' +p6639 +tp6640 +a(g399 +g1149 +tp6641 +a(g900 +g960 +tp6642 +a(g399 +g2039 +tp6643 +a(g900 +g960 +tp6644 +a(g216 +V'ok' +p6645 +tp6646 +a(g900 +g960 +tp6647 +a(g399 +g1210 +tp6648 +a(g900 +g960 +tp6649 +a(g216 +V'ko' +p6650 +tp6651 +a(g900 +g960 +tp6652 +a(g737 +V}} +p6653 +tp6654 +a(g7 +V\u000aDeep nested:\u000a +p6655 +tp6656 +a(g737 +V{{ +p6657 +tp6658 +a(g900 +g960 +tp6659 +a(g427 +Vnested +p6660 +tp6661 +a(g427 +V.undefinedVar +p6662 +tp6663 +a(g427 +V.foo +p6664 +tp6665 +a(g427 +V.bar +p6666 +tp6667 +a(g900 +g960 +tp6668 +a(g399 +g1141 +tp6669 +a(g560 +Vdefault +p6670 +tp6671 +a(g399 +g1145 +tp6672 +a(g216 +V'default' +p6673 +tp6674 +a(g399 +g1149 +tp6675 +a(g900 +g960 +tp6676 +a(g818 +Vis +p6677 +tp6678 +a(g900 +g960 +tp6679 +a(g560 +Vsame +p6680 +tp6681 +a(g900 +g960 +tp6682 +a(g427 +Vas +p6683 +tp6684 +a(g399 +g1145 +tp6685 +a(g216 +V'default' +p6686 +tp6687 +a(g399 +g1149 +tp6688 +a(g900 +g960 +tp6689 +a(g399 +g2039 +tp6690 +a(g900 +g960 +tp6691 +a(g216 +V'ok' +p6692 +tp6693 +a(g900 +g960 +tp6694 +a(g399 +g1210 +tp6695 +a(g900 +g960 +tp6696 +a(g216 +V'ko' +p6697 +tp6698 +a(g900 +g960 +tp6699 +a(g737 +V}} +p6700 +tp6701 +a(g7 +V\u000a +p6702 +tp6703 +a(g737 +V{{ +p6704 +tp6705 +a(g900 +g960 +tp6706 +a(g427 +Vnested +p6707 +tp6708 +a(g427 +V.definedArray +p6709 +tp6710 +a(g17 +V.0 +p6711 +tp6712 +a(g900 +V +p6713 +tp6714 +a(g399 +g1141 +tp6715 +a(g560 +Vdefault +p6716 +tp6717 +a(g399 +g1145 +tp6718 +a(g216 +V'default' +p6719 +tp6720 +a(g399 +g1149 +tp6721 +a(g900 +g960 +tp6722 +a(g818 +Vis +p6723 +tp6724 +a(g900 +g960 +tp6725 +a(g560 +Vsame +p6726 +tp6727 +a(g900 +g960 +tp6728 +a(g427 +Vas +p6729 +tp6730 +a(g399 +g1145 +tp6731 +a(g216 +V'default' +p6732 +tp6733 +a(g399 +g1149 +tp6734 +a(g900 +g960 +tp6735 +a(g399 +g2039 +tp6736 +a(g900 +g960 +tp6737 +a(g216 +V'ko' +p6738 +tp6739 +a(g900 +g960 +tp6740 +a(g399 +g1210 +tp6741 +a(g900 +g960 +tp6742 +a(g216 +V'ok' +p6743 +tp6744 +a(g900 +g960 +tp6745 +a(g737 +V}} +p6746 +tp6747 +a(g7 +V\u000a +p6748 +tp6749 +a(g737 +V{{ +p6750 +tp6751 +a(g900 +g960 +tp6752 +a(g427 +Vnested +p6753 +tp6754 +a(g399 +g1089 +tp6755 +a(g216 +V'definedArray' +p6756 +tp6757 +a(g399 +g1093 +tp6758 +a(g399 +g1089 +tp6759 +a(g17 +g1208 +tp6760 +a(g399 +g1093 +tp6761 +a(g900 +V +p6762 +tp6763 +a(g399 +g1141 +tp6764 +a(g560 +Vdefault +p6765 +tp6766 +a(g399 +g1145 +tp6767 +a(g216 +V'default' +p6768 +tp6769 +a(g399 +g1149 +tp6770 +a(g900 +g960 +tp6771 +a(g818 +Vis +p6772 +tp6773 +a(g900 +g960 +tp6774 +a(g560 +Vsame +p6775 +tp6776 +a(g900 +g960 +tp6777 +a(g427 +Vas +p6778 +tp6779 +a(g399 +g1145 +tp6780 +a(g216 +V'default' +p6781 +tp6782 +a(g399 +g1149 +tp6783 +a(g900 +g960 +tp6784 +a(g399 +g2039 +tp6785 +a(g900 +g960 +tp6786 +a(g216 +V'ko' +p6787 +tp6788 +a(g900 +g960 +tp6789 +a(g399 +g1210 +tp6790 +a(g900 +g960 +tp6791 +a(g216 +V'ok' +p6792 +tp6793 +a(g900 +g960 +tp6794 +a(g737 +V}} +p6795 +tp6796 +a(g7 +V\u000a +p6797 +tp6798 +a(g737 +V{{ +p6799 +tp6800 +a(g900 +g960 +tp6801 +a(g427 +Vobject +p6802 +tp6803 +a(g427 +V.self +p6804 +tp6805 +a(g427 +V.foo +p6806 +tp6807 +a(g900 +V +p6808 +tp6809 +a(g399 +g1141 +tp6810 +a(g560 +Vdefault +p6811 +tp6812 +a(g399 +g1145 +tp6813 +a(g216 +V'default' +p6814 +tp6815 +a(g399 +g1149 +tp6816 +a(g900 +g960 +tp6817 +a(g818 +Vis +p6818 +tp6819 +a(g900 +g960 +tp6820 +a(g560 +Vsame +p6821 +tp6822 +a(g900 +g960 +tp6823 +a(g427 +Vas +p6824 +tp6825 +a(g399 +g1145 +tp6826 +a(g216 +V'default' +p6827 +tp6828 +a(g399 +g1149 +tp6829 +a(g900 +g960 +tp6830 +a(g399 +g2039 +tp6831 +a(g900 +g960 +tp6832 +a(g216 +V'ko' +p6833 +tp6834 +a(g900 +g960 +tp6835 +a(g399 +g1210 +tp6836 +a(g900 +g960 +tp6837 +a(g216 +V'ok' +p6838 +tp6839 +a(g900 +g960 +tp6840 +a(g737 +V}} +p6841 +tp6842 +a(g7 +V\u000a +p6843 +tp6844 +a(g737 +V{{ +p6845 +tp6846 +a(g900 +g960 +tp6847 +a(g427 +Vobject +p6848 +tp6849 +a(g427 +V.self +p6850 +tp6851 +a(g427 +V.undefinedMethod +p6852 +tp6853 +a(g900 +g960 +tp6854 +a(g399 +g1141 +tp6855 +a(g560 +Vdefault +p6856 +tp6857 +a(g399 +g1145 +tp6858 +a(g216 +V'default' +p6859 +tp6860 +a(g399 +g1149 +tp6861 +a(g900 +g960 +tp6862 +a(g818 +Vis +p6863 +tp6864 +a(g900 +g960 +tp6865 +a(g560 +Vsame +p6866 +tp6867 +a(g900 +g960 +tp6868 +a(g427 +Vas +p6869 +tp6870 +a(g399 +g1145 +tp6871 +a(g216 +V'default' +p6872 +tp6873 +a(g399 +g1149 +tp6874 +a(g900 +g960 +tp6875 +a(g399 +g2039 +tp6876 +a(g900 +g960 +tp6877 +a(g216 +V'ok' +p6878 +tp6879 +a(g900 +g960 +tp6880 +a(g399 +g1210 +tp6881 +a(g900 +g960 +tp6882 +a(g216 +V'ko' +p6883 +tp6884 +a(g900 +g960 +tp6885 +a(g737 +V}} +p6886 +tp6887 +a(g7 +V\u000a +p6888 +tp6889 +a(g737 +V{{ +p6890 +tp6891 +a(g900 +g960 +tp6892 +a(g427 +Vobject +p6893 +tp6894 +a(g427 +V.undefinedMethod +p6895 +tp6896 +a(g427 +V.self +p6897 +tp6898 +a(g900 +g960 +tp6899 +a(g399 +g1141 +tp6900 +a(g560 +Vdefault +p6901 +tp6902 +a(g399 +g1145 +tp6903 +a(g216 +V'default' +p6904 +tp6905 +a(g399 +g1149 +tp6906 +a(g900 +g960 +tp6907 +a(g818 +Vis +p6908 +tp6909 +a(g900 +g960 +tp6910 +a(g560 +Vsame +p6911 +tp6912 +a(g900 +g960 +tp6913 +a(g427 +Vas +p6914 +tp6915 +a(g399 +g1145 +tp6916 +a(g216 +V'default' +p6917 +tp6918 +a(g399 +g1149 +tp6919 +a(g900 +g960 +tp6920 +a(g399 +g2039 +tp6921 +a(g900 +g960 +tp6922 +a(g216 +V'ok' +p6923 +tp6924 +a(g900 +g960 +tp6925 +a(g399 +g1210 +tp6926 +a(g900 +g960 +tp6927 +a(g216 +V'ko' +p6928 +tp6929 +a(g900 +g960 +tp6930 +a(g737 +V}} +p6931 +tp6932 +a(g7 +V\u000a--DATA--\u000areturn array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'emptyVar' => '',\u000a 'nullVar' => null,\u000a 'nested' => array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'emptyVar' => '',\u000a 'nullVar' => null,\u000a 'definedArray' => array(0),\u000a ),\u000a 'object' => new TwigTestFoo(),\u000a)\u000a--CONFIG--\u000areturn array('strict_variables' => false)\u000a--EXPECT--\u000aVariable:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aArray access:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aPlain values:\u000aok\u000aok\u000aok\u000aok\u000aPrecedence:\u000aok\u000aok\u000aObject methods:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aDeep nested:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000a--DATA--\u000areturn array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'emptyVar' => '',\u000a 'nullVar' => null,\u000a 'nested' => array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'emptyVar' => '',\u000a 'nullVar' => null,\u000a 'definedArray' => array(0),\u000a ),\u000a 'object' => new TwigTestFoo(),\u000a)\u000a--CONFIG--\u000areturn array('strict_variables' => true)\u000a--EXPECT--\u000aVariable:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aArray access:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aPlain values:\u000aok\u000aok\u000aok\u000aok\u000aPrecedence:\u000aok\u000aok\u000aObject methods:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aDeep nested:\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000a--TEST--\u000adynamic filter\u000a--TEMPLATE--\u000a +p6933 +tp6934 +a(g737 +V{{ +p6935 +tp6936 +a(g900 +g960 +tp6937 +a(g216 +V'bar' +p6938 +tp6939 +a(g399 +g1141 +tp6940 +a(g560 +Vfoo_path +p6941 +tp6942 +a(g900 +g960 +tp6943 +a(g737 +V}} +p6944 +tp6945 +a(g7 +V\u000a +p6946 +tp6947 +a(g737 +V{{ +p6948 +tp6949 +a(g900 +g960 +tp6950 +a(g216 +V'bar' +p6951 +tp6952 +a(g399 +g1141 +tp6953 +a(g560 +Va_foo_b_bar +p6954 +tp6955 +a(g900 +g960 +tp6956 +a(g737 +V}} +p6957 +tp6958 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo/bar\u000aa/b/bar\u000a--TEST--\u000a"escape" filter does not escape with the html strategy when using the html_attr strategy\u000a--TEMPLATE--\u000a +p6959 +tp6960 +a(g737 +V{{ +p6961 +tp6962 +a(g900 +g960 +tp6963 +a(g216 +V'
    ' +p6964 +tp6965 +a(g399 +g1141 +tp6966 +a(g560 +Vescape +p6967 +tp6968 +a(g399 +g1145 +tp6969 +a(g216 +V'html_attr' +p6970 +tp6971 +a(g399 +g1149 +tp6972 +a(g900 +g960 +tp6973 +a(g737 +V}} +p6974 +tp6975 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a<br />\u000a--TEST--\u000a"escape" filter\u000a--TEMPLATE--\u000a +p6976 +tp6977 +a(g737 +V{{ +p6978 +tp6979 +a(g900 +g960 +tp6980 +a(g143 +V"\u611b\u3057\u3066\u3044\u307e\u3059\u304b\uff1f
    " +p6981 +tp6982 +a(g399 +g1141 +tp6983 +a(g560 +Ve +p6984 +tp6985 +a(g900 +g960 +tp6986 +a(g737 +V}} +p6987 +tp6988 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a\u611b\u3057\u3066\u3044\u307e\u3059\u304b\uff1f <br />\u000a--TEST--\u000a"escape" filter\u000a--TEMPLATE--\u000a +p6989 +tp6990 +a(g737 +V{{ +p6991 +tp6992 +a(g900 +g960 +tp6993 +a(g143 +V"foo
    " +p6994 +tp6995 +a(g399 +g1141 +tp6996 +a(g560 +g6984 +tp6997 +a(g900 +g960 +tp6998 +a(g737 +V}} +p6999 +tp7000 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo <br />\u000a--TEST--\u000a"first" filter\u000a--TEMPLATE--\u000a +p7001 +tp7002 +a(g737 +V{{ +p7003 +tp7004 +a(g900 +g960 +tp7005 +a(g399 +g1089 +tp7006 +a(g17 +g1160 +tp7007 +a(g399 +g1162 +tp7008 +a(g900 +g960 +tp7009 +a(g17 +g1165 +tp7010 +a(g399 +g1162 +tp7011 +a(g900 +g960 +tp7012 +a(g17 +g1404 +tp7013 +a(g399 +g1162 +tp7014 +a(g900 +g960 +tp7015 +a(g17 +g3087 +tp7016 +a(g399 +g1093 +tp7017 +a(g399 +g1141 +tp7018 +a(g560 +Vfirst +p7019 +tp7020 +a(g900 +g960 +tp7021 +a(g737 +V}} +p7022 +tp7023 +a(g7 +V\u000a +p7024 +tp7025 +a(g737 +V{{ +p7026 +tp7027 +a(g900 +g960 +tp7028 +a(g399 +g1206 +tp7029 +a(g427 +g1339 +tp7030 +a(g399 +g1210 +tp7031 +a(g900 +g960 +tp7032 +a(g17 +g1160 +tp7033 +a(g399 +g1162 +tp7034 +a(g900 +g960 +tp7035 +a(g427 +g1552 +tp7036 +a(g399 +g1210 +tp7037 +a(g900 +g960 +tp7038 +a(g17 +g1165 +tp7039 +a(g399 +g1162 +tp7040 +a(g900 +g960 +tp7041 +a(g427 +Vc +p7042 +tp7043 +a(g399 +g1210 +tp7044 +a(g900 +g960 +tp7045 +a(g17 +g1404 +tp7046 +a(g399 +g1162 +tp7047 +a(g900 +g960 +tp7048 +a(g427 +Vd +p7049 +tp7050 +a(g399 +g1210 +tp7051 +a(g900 +g960 +tp7052 +a(g17 +g3087 +tp7053 +a(g399 +g1222 +tp7054 +a(g399 +g1141 +tp7055 +a(g560 +Vfirst +p7056 +tp7057 +a(g900 +g960 +tp7058 +a(g737 +V}} +p7059 +tp7060 +a(g7 +V\u000a +p7061 +tp7062 +a(g737 +V{{ +p7063 +tp7064 +a(g900 +g960 +tp7065 +a(g216 +V'1234' +p7066 +tp7067 +a(g399 +g1141 +tp7068 +a(g560 +Vfirst +p7069 +tp7070 +a(g900 +g960 +tp7071 +a(g737 +V}} +p7072 +tp7073 +a(g7 +V\u000a +p7074 +tp7075 +a(g737 +V{{ +p7076 +tp7077 +a(g900 +g960 +tp7078 +a(g427 +Varr +p7079 +tp7080 +a(g399 +g1141 +tp7081 +a(g560 +Vfirst +p7082 +tp7083 +a(g900 +g960 +tp7084 +a(g737 +V}} +p7085 +tp7086 +a(g7 +V\u000a +p7087 +tp7088 +a(g737 +V{{ +p7089 +tp7090 +a(g900 +g960 +tp7091 +a(g216 +V'Ä\u20acé' +p7092 +tp7093 +a(g399 +g1141 +tp7094 +a(g560 +Vfirst +p7095 +tp7096 +a(g900 +g960 +tp7097 +a(g737 +V}} +p7098 +tp7099 +a(g7 +V\u000a +p7100 +tp7101 +a(g737 +V{{ +p7102 +tp7103 +a(g900 +g960 +tp7104 +a(g216 +V'' +p7105 +tp7106 +a(g399 +g1141 +tp7107 +a(g560 +Vfirst +p7108 +tp7109 +a(g900 +g960 +tp7110 +a(g737 +V}} +p7111 +tp7112 +a(g7 +V\u000a--DATA--\u000areturn array('arr' => new ArrayObject(array(1, 2, 3, 4)))\u000a--EXPECT--\u000a1\u000a1\u000a1\u000a1\u000aÄ\u000a--TEST--\u000a"escape" filter\u000a--TEMPLATE--\u000a +p7113 +tp7114 +a(g737 +V{% +p7115 +tp7116 +a(g900 +g960 +tp7117 +a(g818 +Vset +p7118 +tp7119 +a(g900 +g960 +tp7120 +a(g427 +Vfoo +p7121 +tp7122 +a(g900 +g960 +tp7123 +a(g737 +V%} +p7124 +tp7125 +a(g7 +V\u000a foo
    \u000a +p7126 +tp7127 +a(g737 +V{% +p7128 +tp7129 +a(g900 +g960 +tp7130 +a(g818 +Vendset +p7131 +tp7132 +a(g900 +g960 +tp7133 +a(g737 +V%} +p7134 +tp7135 +a(g7 +V\u000a\u000a +p7136 +tp7137 +a(g737 +V{{ +p7138 +tp7139 +a(g900 +g960 +tp7140 +a(g427 +Vfoo +p7141 +tp7142 +a(g399 +g1141 +tp7143 +a(g560 +g6984 +tp7144 +a(g399 +g1145 +tp7145 +a(g216 +V'html' +p7146 +tp7147 +a(g399 +g1149 +tp7148 +a(g900 +g960 +tp7149 +a(g900 +g1678 +tp7150 +a(g737 +V}} +p7151 +tp7152 +a(g7 +V\u000a +p7153 +tp7154 +a(g737 +V{{ +p7155 +tp7156 +a(g900 +g960 +tp7157 +a(g427 +Vfoo +p7158 +tp7159 +a(g399 +g1141 +tp7160 +a(g560 +g6984 +tp7161 +a(g399 +g1145 +tp7162 +a(g216 +V'js' +p7163 +tp7164 +a(g399 +g1149 +tp7165 +a(g900 +g960 +tp7166 +a(g737 +V}} +p7167 +tp7168 +a(g7 +V\u000a +p7169 +tp7170 +a(g737 +V{% +p7171 +tp7172 +a(g900 +g960 +tp7173 +a(g818 +Vautoescape +p7174 +tp7175 +a(g900 +g960 +tp7176 +a(g859 +Vtrue +p7177 +tp7178 +a(g900 +g960 +tp7179 +a(g737 +V%} +p7180 +tp7181 +a(g7 +V\u000a +p7182 +tp7183 +a(g737 +V{{ +p7184 +tp7185 +a(g900 +g960 +tp7186 +a(g427 +Vfoo +p7187 +tp7188 +a(g900 +g960 +tp7189 +a(g737 +V}} +p7190 +tp7191 +a(g7 +V\u000a +p7192 +tp7193 +a(g737 +V{% +p7194 +tp7195 +a(g900 +g960 +tp7196 +a(g818 +Vendautoescape +p7197 +tp7198 +a(g900 +g960 +tp7199 +a(g737 +V%} +p7200 +tp7201 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a foo<br />\u000a\u005cx20\u005cx20\u005cx20\u005cx20foo\u005cx3Cbr\u005cx20\u005cx2F\u005cx3E\u005cx0A\u000a foo
    \u000a--TEST--\u000a"format" filter\u000a--TEMPLATE--\u000a +p7202 +tp7203 +a(g737 +V{{ +p7204 +tp7205 +a(g900 +g960 +tp7206 +a(g427 +Vstring +p7207 +tp7208 +a(g399 +g1141 +tp7209 +a(g560 +Vformat +p7210 +tp7211 +a(g399 +g1145 +tp7212 +a(g427 +Vfoo +p7213 +tp7214 +a(g399 +g1162 +tp7215 +a(g900 +g960 +tp7216 +a(g17 +g1404 +tp7217 +a(g399 +g1149 +tp7218 +a(g900 +g960 +tp7219 +a(g737 +V}} +p7220 +tp7221 +a(g7 +V\u000a--DATA--\u000areturn array('string' => '%s/%d', 'foo' => 'bar')\u000a--EXPECT--\u000abar/3\u000a--TEST--\u000a"join" filter\u000a--TEMPLATE--\u000a +p7222 +tp7223 +a(g737 +V{{ +p7224 +tp7225 +a(g900 +g960 +tp7226 +a(g399 +g1089 +tp7227 +a(g143 +V"foo" +p7228 +tp7229 +a(g399 +g1162 +tp7230 +a(g900 +g960 +tp7231 +a(g143 +V"bar" +p7232 +tp7233 +a(g399 +g1093 +tp7234 +a(g399 +g1141 +tp7235 +a(g560 +Vjoin +p7236 +tp7237 +a(g399 +g1145 +tp7238 +a(g216 +V', ' +p7239 +tp7240 +a(g399 +g1149 +tp7241 +a(g900 +g960 +tp7242 +a(g737 +V}} +p7243 +tp7244 +a(g7 +V\u000a +p7245 +tp7246 +a(g737 +V{{ +p7247 +tp7248 +a(g900 +g960 +tp7249 +a(g427 +Vfoo +p7250 +tp7251 +a(g399 +g1141 +tp7252 +a(g560 +Vjoin +p7253 +tp7254 +a(g399 +g1145 +tp7255 +a(g216 +V', ' +p7256 +tp7257 +a(g399 +g1149 +tp7258 +a(g900 +g960 +tp7259 +a(g737 +V}} +p7260 +tp7261 +a(g7 +V\u000a +p7262 +tp7263 +a(g737 +V{{ +p7264 +tp7265 +a(g900 +g960 +tp7266 +a(g427 +Vbar +p7267 +tp7268 +a(g399 +g1141 +tp7269 +a(g560 +Vjoin +p7270 +tp7271 +a(g399 +g1145 +tp7272 +a(g216 +V', ' +p7273 +tp7274 +a(g399 +g1149 +tp7275 +a(g900 +g960 +tp7276 +a(g737 +V}} +p7277 +tp7278 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => new TwigTestFoo(), 'bar' => new ArrayObject(array(3, 4)))\u000a--EXPECT--\u000afoo, bar\u000a1, 2\u000a3, 4\u000a--TEST--\u000a"json_encode" filter\u000a--TEMPLATE--\u000a +p7279 +tp7280 +a(g737 +V{{ +p7281 +tp7282 +a(g900 +g960 +tp7283 +a(g143 +V"foo" +p7284 +tp7285 +a(g399 +g1141 +tp7286 +a(g560 +Vjson_encode +p7287 +tp7288 +a(g399 +g1141 +tp7289 +a(g560 +Vraw +p7290 +tp7291 +a(g900 +g960 +tp7292 +a(g737 +V}} +p7293 +tp7294 +a(g7 +V\u000a +p7295 +tp7296 +a(g737 +V{{ +p7297 +tp7298 +a(g900 +g960 +tp7299 +a(g427 +Vfoo +p7300 +tp7301 +a(g399 +g1141 +tp7302 +a(g560 +Vjson_encode +p7303 +tp7304 +a(g399 +g1141 +tp7305 +a(g560 +Vraw +p7306 +tp7307 +a(g900 +g960 +tp7308 +a(g737 +V}} +p7309 +tp7310 +a(g7 +V\u000a +p7311 +tp7312 +a(g737 +V{{ +p7313 +tp7314 +a(g900 +g960 +tp7315 +a(g399 +g1089 +tp7316 +a(g427 +Vfoo +p7317 +tp7318 +a(g399 +g1162 +tp7319 +a(g900 +g960 +tp7320 +a(g143 +V"foo" +p7321 +tp7322 +a(g399 +g1093 +tp7323 +a(g399 +g1141 +tp7324 +a(g560 +Vjson_encode +p7325 +tp7326 +a(g399 +g1141 +tp7327 +a(g560 +Vraw +p7328 +tp7329 +a(g900 +g960 +tp7330 +a(g737 +V}} +p7331 +tp7332 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => new Twig_Markup('foo', 'UTF-8'))\u000a--EXPECT--\u000a"foo"\u000a"foo"\u000a["foo","foo"]\u000a--TEST--\u000a"last" filter\u000a--TEMPLATE--\u000a +p7333 +tp7334 +a(g737 +V{{ +p7335 +tp7336 +a(g900 +g960 +tp7337 +a(g399 +g1089 +tp7338 +a(g17 +g1160 +tp7339 +a(g399 +g1162 +tp7340 +a(g900 +g960 +tp7341 +a(g17 +g1165 +tp7342 +a(g399 +g1162 +tp7343 +a(g900 +g960 +tp7344 +a(g17 +g1404 +tp7345 +a(g399 +g1162 +tp7346 +a(g900 +g960 +tp7347 +a(g17 +g3087 +tp7348 +a(g399 +g1093 +tp7349 +a(g399 +g1141 +tp7350 +a(g560 +Vlast +p7351 +tp7352 +a(g900 +g960 +tp7353 +a(g737 +V}} +p7354 +tp7355 +a(g7 +V\u000a +p7356 +tp7357 +a(g737 +V{{ +p7358 +tp7359 +a(g900 +g960 +tp7360 +a(g399 +g1206 +tp7361 +a(g427 +g1339 +tp7362 +a(g399 +g1210 +tp7363 +a(g900 +g960 +tp7364 +a(g17 +g1160 +tp7365 +a(g399 +g1162 +tp7366 +a(g900 +g960 +tp7367 +a(g427 +g1552 +tp7368 +a(g399 +g1210 +tp7369 +a(g900 +g960 +tp7370 +a(g17 +g1165 +tp7371 +a(g399 +g1162 +tp7372 +a(g900 +g960 +tp7373 +a(g427 +g7042 +tp7374 +a(g399 +g1210 +tp7375 +a(g900 +g960 +tp7376 +a(g17 +g1404 +tp7377 +a(g399 +g1162 +tp7378 +a(g900 +g960 +tp7379 +a(g427 +g7049 +tp7380 +a(g399 +g1210 +tp7381 +a(g900 +g960 +tp7382 +a(g17 +g3087 +tp7383 +a(g399 +g1222 +tp7384 +a(g399 +g1141 +tp7385 +a(g560 +Vlast +p7386 +tp7387 +a(g900 +g960 +tp7388 +a(g737 +V}} +p7389 +tp7390 +a(g7 +V\u000a +p7391 +tp7392 +a(g737 +V{{ +p7393 +tp7394 +a(g900 +g960 +tp7395 +a(g216 +V'1234' +p7396 +tp7397 +a(g399 +g1141 +tp7398 +a(g560 +Vlast +p7399 +tp7400 +a(g900 +g960 +tp7401 +a(g737 +V}} +p7402 +tp7403 +a(g7 +V\u000a +p7404 +tp7405 +a(g737 +V{{ +p7406 +tp7407 +a(g900 +g960 +tp7408 +a(g427 +Varr +p7409 +tp7410 +a(g399 +g1141 +tp7411 +a(g560 +Vlast +p7412 +tp7413 +a(g900 +g960 +tp7414 +a(g737 +V}} +p7415 +tp7416 +a(g7 +V\u000a +p7417 +tp7418 +a(g737 +V{{ +p7419 +tp7420 +a(g900 +g960 +tp7421 +a(g216 +V'Ä\u20acé' +p7422 +tp7423 +a(g399 +g1141 +tp7424 +a(g560 +Vlast +p7425 +tp7426 +a(g900 +g960 +tp7427 +a(g737 +V}} +p7428 +tp7429 +a(g7 +V\u000a +p7430 +tp7431 +a(g737 +V{{ +p7432 +tp7433 +a(g900 +g960 +tp7434 +a(g216 +V'' +p7435 +tp7436 +a(g399 +g1141 +tp7437 +a(g560 +Vlast +p7438 +tp7439 +a(g900 +g960 +tp7440 +a(g737 +V}} +p7441 +tp7442 +a(g7 +V\u000a--DATA--\u000areturn array('arr' => new ArrayObject(array(1, 2, 3, 4)))\u000a--EXPECT--\u000a4\u000a4\u000a4\u000a4\u000aé\u000a--TEST--\u000a"length" filter\u000a--TEMPLATE--\u000a +p7443 +tp7444 +a(g737 +V{{ +p7445 +tp7446 +a(g900 +g960 +tp7447 +a(g427 +Varray +p7448 +tp7449 +a(g399 +g1141 +tp7450 +a(g560 +Vlength +p7451 +tp7452 +a(g900 +g960 +tp7453 +a(g737 +V}} +p7454 +tp7455 +a(g7 +V\u000a +p7456 +tp7457 +a(g737 +V{{ +p7458 +tp7459 +a(g900 +g960 +tp7460 +a(g427 +Vstring +p7461 +tp7462 +a(g399 +g1141 +tp7463 +a(g560 +Vlength +p7464 +tp7465 +a(g900 +g960 +tp7466 +a(g737 +V}} +p7467 +tp7468 +a(g7 +V\u000a +p7469 +tp7470 +a(g737 +V{{ +p7471 +tp7472 +a(g900 +g960 +tp7473 +a(g427 +Vnumber +p7474 +tp7475 +a(g399 +g1141 +tp7476 +a(g560 +Vlength +p7477 +tp7478 +a(g900 +g960 +tp7479 +a(g737 +V}} +p7480 +tp7481 +a(g7 +V\u000a +p7482 +tp7483 +a(g737 +V{{ +p7484 +tp7485 +a(g900 +g960 +tp7486 +a(g427 +Vmarkup +p7487 +tp7488 +a(g399 +g1141 +tp7489 +a(g560 +Vlength +p7490 +tp7491 +a(g900 +g960 +tp7492 +a(g737 +V}} +p7493 +tp7494 +a(g7 +V\u000a--DATA--\u000areturn array('array' => array(1, 4), 'string' => 'foo', 'number' => 1000, 'markup' => new Twig_Markup('foo', 'UTF-8'))\u000a--EXPECT--\u000a2\u000a3\u000a4\u000a3\u000a--TEST--\u000a"length" filter\u000a--CONDITION--\u000afunction_exists('mb_get_info')\u000a--TEMPLATE--\u000a +p7495 +tp7496 +a(g737 +V{{ +p7497 +tp7498 +a(g900 +g960 +tp7499 +a(g427 +Vstring +p7500 +tp7501 +a(g399 +g1141 +tp7502 +a(g560 +Vlength +p7503 +tp7504 +a(g900 +g960 +tp7505 +a(g737 +V}} +p7506 +tp7507 +a(g7 +V\u000a +p7508 +tp7509 +a(g737 +V{{ +p7510 +tp7511 +a(g900 +g960 +tp7512 +a(g427 +Vmarkup +p7513 +tp7514 +a(g399 +g1141 +tp7515 +a(g560 +Vlength +p7516 +tp7517 +a(g900 +g960 +tp7518 +a(g737 +V}} +p7519 +tp7520 +a(g7 +V\u000a--DATA--\u000areturn array('string' => 'été', 'markup' => new Twig_Markup('foo', 'UTF-8'))\u000a--EXPECT--\u000a3\u000a3\u000a--TEST--\u000a"merge" filter\u000a--TEMPLATE--\u000a +p7521 +tp7522 +a(g737 +V{{ +p7523 +tp7524 +a(g900 +g960 +tp7525 +a(g427 +Vitems +p7526 +tp7527 +a(g399 +g1141 +tp7528 +a(g560 +Vmerge +p7529 +tp7530 +a(g399 +g1145 +tp7531 +a(g399 +g1206 +tp7532 +a(g216 +V'bar' +p7533 +tp7534 +a(g399 +g1210 +tp7535 +a(g900 +g960 +tp7536 +a(g216 +V'foo' +p7537 +tp7538 +a(g399 +g1222 +tp7539 +a(g399 +g1149 +tp7540 +a(g399 +g1141 +tp7541 +a(g560 +Vjoin +p7542 +tp7543 +a(g900 +g960 +tp7544 +a(g737 +V}} +p7545 +tp7546 +a(g7 +V\u000a +p7547 +tp7548 +a(g737 +V{{ +p7549 +tp7550 +a(g900 +g960 +tp7551 +a(g427 +Vitems +p7552 +tp7553 +a(g399 +g1141 +tp7554 +a(g560 +Vmerge +p7555 +tp7556 +a(g399 +g1145 +tp7557 +a(g399 +g1206 +tp7558 +a(g216 +V'bar' +p7559 +tp7560 +a(g399 +g1210 +tp7561 +a(g900 +g960 +tp7562 +a(g216 +V'foo' +p7563 +tp7564 +a(g399 +g1222 +tp7565 +a(g399 +g1149 +tp7566 +a(g399 +g1141 +tp7567 +a(g560 +Vkeys +p7568 +tp7569 +a(g399 +g1141 +tp7570 +a(g560 +Vjoin +p7571 +tp7572 +a(g900 +g960 +tp7573 +a(g737 +V}} +p7574 +tp7575 +a(g7 +V\u000a +p7576 +tp7577 +a(g737 +V{{ +p7578 +tp7579 +a(g900 +g960 +tp7580 +a(g399 +g1206 +tp7581 +a(g216 +V'bar' +p7582 +tp7583 +a(g399 +g1210 +tp7584 +a(g900 +g960 +tp7585 +a(g216 +V'foo' +p7586 +tp7587 +a(g399 +g1222 +tp7588 +a(g399 +g1141 +tp7589 +a(g560 +Vmerge +p7590 +tp7591 +a(g399 +g1145 +tp7592 +a(g427 +Vitems +p7593 +tp7594 +a(g399 +g1149 +tp7595 +a(g399 +g1141 +tp7596 +a(g560 +Vjoin +p7597 +tp7598 +a(g900 +g960 +tp7599 +a(g737 +V}} +p7600 +tp7601 +a(g7 +V\u000a +p7602 +tp7603 +a(g737 +V{{ +p7604 +tp7605 +a(g900 +g960 +tp7606 +a(g399 +g1206 +tp7607 +a(g216 +V'bar' +p7608 +tp7609 +a(g399 +g1210 +tp7610 +a(g900 +g960 +tp7611 +a(g216 +V'foo' +p7612 +tp7613 +a(g399 +g1222 +tp7614 +a(g399 +g1141 +tp7615 +a(g560 +Vmerge +p7616 +tp7617 +a(g399 +g1145 +tp7618 +a(g427 +Vitems +p7619 +tp7620 +a(g399 +g1149 +tp7621 +a(g399 +g1141 +tp7622 +a(g560 +Vkeys +p7623 +tp7624 +a(g399 +g1141 +tp7625 +a(g560 +Vjoin +p7626 +tp7627 +a(g900 +g960 +tp7628 +a(g737 +V}} +p7629 +tp7630 +a(g7 +V\u000a +p7631 +tp7632 +a(g737 +V{{ +p7633 +tp7634 +a(g900 +g960 +tp7635 +a(g427 +Vnumerics +p7636 +tp7637 +a(g399 +g1141 +tp7638 +a(g560 +Vmerge +p7639 +tp7640 +a(g399 +g1145 +tp7641 +a(g399 +g1089 +tp7642 +a(g17 +g3087 +tp7643 +a(g399 +g1162 +tp7644 +a(g900 +g960 +tp7645 +a(g17 +g1963 +tp7646 +a(g399 +g1162 +tp7647 +a(g900 +g960 +tp7648 +a(g17 +V6 +p7649 +tp7650 +a(g399 +g1093 +tp7651 +a(g399 +g1149 +tp7652 +a(g399 +g1141 +tp7653 +a(g560 +Vjoin +p7654 +tp7655 +a(g900 +g960 +tp7656 +a(g737 +V}} +p7657 +tp7658 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('foo' => 'bar'), 'numerics' => array(1, 2, 3))\u000a--EXPECT--\u000abarfoo\u000afoobar\u000afoobar\u000abarfoo\u000a123456\u000a--TEST--\u000a"nl2br" filter\u000a--TEMPLATE--\u000a +p7659 +tp7660 +a(g737 +V{{ +p7661 +tp7662 +a(g900 +g960 +tp7663 +a(g143 +V"I like Twig.\u005cnYou will like it too.\u005cn\u005cnEverybody like it!" +p7664 +tp7665 +a(g399 +g1141 +tp7666 +a(g560 +Vnl2br +p7667 +tp7668 +a(g900 +g960 +tp7669 +a(g737 +V}} +p7670 +tp7671 +a(g7 +V\u000a +p7672 +tp7673 +a(g737 +V{{ +p7674 +tp7675 +a(g900 +g960 +tp7676 +a(g427 +Vtext +p7677 +tp7678 +a(g399 +g1141 +tp7679 +a(g560 +Vnl2br +p7680 +tp7681 +a(g900 +g960 +tp7682 +a(g737 +V}} +p7683 +tp7684 +a(g7 +V\u000a--DATA--\u000areturn array('text' => "If you have some HTML\u005cnit will be escaped.")\u000a--EXPECT--\u000aI like Twig.
    \u000aYou will like it too.
    \u000a
    \u000aEverybody like it!\u000aIf you have some <strong>HTML</strong>
    \u000ait will be escaped.\u000a--TEST--\u000a"number_format" filter with defaults.\u000a--TEMPLATE--\u000a +p7685 +tp7686 +a(g737 +V{{ +p7687 +tp7688 +a(g900 +g960 +tp7689 +a(g17 +g1165 +tp7690 +a(g17 +g1208 +tp7691 +a(g399 +g1141 +tp7692 +a(g560 +Vnumber_format +p7693 +tp7694 +a(g900 +g960 +tp7695 +a(g737 +V}} +p7696 +tp7697 +a(g7 +V\u000a +p7698 +tp7699 +a(g737 +V{{ +p7700 +tp7701 +a(g900 +g960 +tp7702 +a(g17 +g1165 +tp7703 +a(g17 +V0.25 +p7704 +tp7705 +a(g399 +g1141 +tp7706 +a(g560 +Vnumber_format +p7707 +tp7708 +a(g900 +g960 +tp7709 +a(g737 +V}} +p7710 +tp7711 +a(g7 +V\u000a +p7712 +tp7713 +a(g737 +V{{ +p7714 +tp7715 +a(g900 +g960 +tp7716 +a(g17 +g1165 +tp7717 +a(g17 +V0.25 +p7718 +tp7719 +a(g399 +g1141 +tp7720 +a(g560 +Vnumber_format +p7721 +tp7722 +a(g399 +g1145 +tp7723 +a(g17 +g1160 +tp7724 +a(g399 +g1149 +tp7725 +a(g900 +g960 +tp7726 +a(g737 +V}} +p7727 +tp7728 +a(g7 +V\u000a +p7729 +tp7730 +a(g737 +V{{ +p7731 +tp7732 +a(g900 +g960 +tp7733 +a(g17 +g1165 +tp7734 +a(g17 +V0.25 +p7735 +tp7736 +a(g399 +g1141 +tp7737 +a(g560 +Vnumber_format +p7738 +tp7739 +a(g399 +g1145 +tp7740 +a(g17 +g1165 +tp7741 +a(g399 +g1162 +tp7742 +a(g900 +g960 +tp7743 +a(g216 +V',' +p7744 +tp7745 +a(g399 +g1149 +tp7746 +a(g900 +g960 +tp7747 +a(g737 +V}} +p7748 +tp7749 +a(g7 +V\u000a +p7750 +tp7751 +a(g737 +V{{ +p7752 +tp7753 +a(g900 +g960 +tp7754 +a(g17 +g1160 +tp7755 +a(g17 +g1208 +tp7756 +a(g17 +g1165 +tp7757 +a(g17 +V0.25 +p7758 +tp7759 +a(g399 +g1141 +tp7760 +a(g560 +Vnumber_format +p7761 +tp7762 +a(g900 +g960 +tp7763 +a(g737 +V}} +p7764 +tp7765 +a(g7 +V\u000a +p7766 +tp7767 +a(g737 +V{{ +p7768 +tp7769 +a(g900 +g960 +tp7770 +a(g17 +g1160 +tp7771 +a(g17 +g1208 +tp7772 +a(g17 +g1165 +tp7773 +a(g17 +V0.25 +p7774 +tp7775 +a(g399 +g1141 +tp7776 +a(g560 +Vnumber_format +p7777 +tp7778 +a(g399 +g1145 +tp7779 +a(g17 +g1165 +tp7780 +a(g399 +g1162 +tp7781 +a(g900 +g960 +tp7782 +a(g216 +V',' +p7783 +tp7784 +a(g399 +g1149 +tp7785 +a(g900 +g960 +tp7786 +a(g737 +V}} +p7787 +tp7788 +a(g7 +V\u000a +p7789 +tp7790 +a(g737 +V{{ +p7791 +tp7792 +a(g900 +g960 +tp7793 +a(g17 +g1160 +tp7794 +a(g17 +g1208 +tp7795 +a(g17 +g1165 +tp7796 +a(g17 +V0.25 +p7797 +tp7798 +a(g399 +g1141 +tp7799 +a(g560 +Vnumber_format +p7800 +tp7801 +a(g399 +g1145 +tp7802 +a(g17 +g1165 +tp7803 +a(g399 +g1162 +tp7804 +a(g900 +g960 +tp7805 +a(g216 +V',' +p7806 +tp7807 +a(g399 +g1162 +tp7808 +a(g900 +g960 +tp7809 +a(g216 +V'.' +p7810 +tp7811 +a(g399 +g1149 +tp7812 +a(g900 +g960 +tp7813 +a(g737 +V}} +p7814 +tp7815 +a(g7 +V\u000a--DATA--\u000a$twig->getExtension('core')->setNumberFormat(2, '!', '=');\u000areturn array();\u000a--EXPECT--\u000a20!00\u000a20!25\u000a20!3\u000a20,25\u000a1=020!25\u000a1=020,25\u000a1.020,25\u000a--TEST--\u000a"number_format" filter\u000a--TEMPLATE--\u000a +p7816 +tp7817 +a(g737 +V{{ +p7818 +tp7819 +a(g900 +g960 +tp7820 +a(g17 +g1165 +tp7821 +a(g17 +g1208 +tp7822 +a(g399 +g1141 +tp7823 +a(g560 +Vnumber_format +p7824 +tp7825 +a(g900 +g960 +tp7826 +a(g737 +V}} +p7827 +tp7828 +a(g7 +V\u000a +p7829 +tp7830 +a(g737 +V{{ +p7831 +tp7832 +a(g900 +g960 +tp7833 +a(g17 +g1165 +tp7834 +a(g17 +V0.25 +p7835 +tp7836 +a(g399 +g1141 +tp7837 +a(g560 +Vnumber_format +p7838 +tp7839 +a(g900 +g960 +tp7840 +a(g737 +V}} +p7841 +tp7842 +a(g7 +V\u000a +p7843 +tp7844 +a(g737 +V{{ +p7845 +tp7846 +a(g900 +g960 +tp7847 +a(g17 +g1165 +tp7848 +a(g17 +V0.25 +p7849 +tp7850 +a(g399 +g1141 +tp7851 +a(g560 +Vnumber_format +p7852 +tp7853 +a(g399 +g1145 +tp7854 +a(g17 +g1165 +tp7855 +a(g399 +g1149 +tp7856 +a(g900 +g960 +tp7857 +a(g737 +V}} +p7858 +tp7859 +a(g7 +V\u000a +p7860 +tp7861 +a(g737 +V{{ +p7862 +tp7863 +a(g900 +g960 +tp7864 +a(g17 +g1165 +tp7865 +a(g17 +V0.25 +p7866 +tp7867 +a(g399 +g1141 +tp7868 +a(g560 +Vnumber_format +p7869 +tp7870 +a(g399 +g1145 +tp7871 +a(g17 +g1165 +tp7872 +a(g399 +g1162 +tp7873 +a(g900 +g960 +tp7874 +a(g216 +V',' +p7875 +tp7876 +a(g399 +g1149 +tp7877 +a(g900 +g960 +tp7878 +a(g737 +V}} +p7879 +tp7880 +a(g7 +V\u000a +p7881 +tp7882 +a(g737 +V{{ +p7883 +tp7884 +a(g900 +g960 +tp7885 +a(g17 +g1160 +tp7886 +a(g17 +g1208 +tp7887 +a(g17 +g1165 +tp7888 +a(g17 +V0.25 +p7889 +tp7890 +a(g399 +g1141 +tp7891 +a(g560 +Vnumber_format +p7892 +tp7893 +a(g399 +g1145 +tp7894 +a(g17 +g1165 +tp7895 +a(g399 +g1162 +tp7896 +a(g900 +g960 +tp7897 +a(g216 +V',' +p7898 +tp7899 +a(g399 +g1149 +tp7900 +a(g900 +g960 +tp7901 +a(g737 +V}} +p7902 +tp7903 +a(g7 +V\u000a +p7904 +tp7905 +a(g737 +V{{ +p7906 +tp7907 +a(g900 +g960 +tp7908 +a(g17 +g1160 +tp7909 +a(g17 +g1208 +tp7910 +a(g17 +g1165 +tp7911 +a(g17 +V0.25 +p7912 +tp7913 +a(g399 +g1141 +tp7914 +a(g560 +Vnumber_format +p7915 +tp7916 +a(g399 +g1145 +tp7917 +a(g17 +g1165 +tp7918 +a(g399 +g1162 +tp7919 +a(g900 +g960 +tp7920 +a(g216 +V',' +p7921 +tp7922 +a(g399 +g1162 +tp7923 +a(g900 +g960 +tp7924 +a(g216 +V'.' +p7925 +tp7926 +a(g399 +g1149 +tp7927 +a(g900 +g960 +tp7928 +a(g737 +V}} +p7929 +tp7930 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXPECT--\u000a20\u000a20\u000a20.25\u000a20,25\u000a1,020,25\u000a1.020,25\u000a--TEST--\u000a"replace" filter\u000a--TEMPLATE--\u000a +p7931 +tp7932 +a(g737 +V{{ +p7933 +tp7934 +a(g900 +g960 +tp7935 +a(g143 +V"I like %this% and %that%." +p7936 +tp7937 +a(g399 +g1141 +tp7938 +a(g560 +Vreplace +p7939 +tp7940 +a(g399 +g1145 +tp7941 +a(g399 +g1206 +tp7942 +a(g216 +V'%this%' +p7943 +tp7944 +a(g399 +g1210 +tp7945 +a(g900 +g960 +tp7946 +a(g143 +V"foo" +p7947 +tp7948 +a(g399 +g1162 +tp7949 +a(g900 +g960 +tp7950 +a(g216 +V'%that%' +p7951 +tp7952 +a(g399 +g1210 +tp7953 +a(g900 +g960 +tp7954 +a(g143 +V"bar" +p7955 +tp7956 +a(g399 +g1222 +tp7957 +a(g399 +g1149 +tp7958 +a(g900 +g960 +tp7959 +a(g737 +V}} +p7960 +tp7961 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aI like foo and bar.\u000a--TEST--\u000a"reverse" filter\u000a--TEMPLATE--\u000a +p7962 +tp7963 +a(g737 +V{{ +p7964 +tp7965 +a(g900 +g960 +tp7966 +a(g399 +g1089 +tp7967 +a(g17 +g1160 +tp7968 +a(g399 +g1162 +tp7969 +a(g900 +g960 +tp7970 +a(g17 +g1165 +tp7971 +a(g399 +g1162 +tp7972 +a(g900 +g960 +tp7973 +a(g17 +g1404 +tp7974 +a(g399 +g1162 +tp7975 +a(g900 +g960 +tp7976 +a(g17 +g3087 +tp7977 +a(g399 +g1093 +tp7978 +a(g399 +g1141 +tp7979 +a(g560 +Vreverse +p7980 +tp7981 +a(g399 +g1141 +tp7982 +a(g560 +Vjoin +p7983 +tp7984 +a(g399 +g1145 +tp7985 +a(g216 +V'' +p7986 +tp7987 +a(g399 +g1149 +tp7988 +a(g900 +g960 +tp7989 +a(g737 +V}} +p7990 +tp7991 +a(g7 +V\u000a +p7992 +tp7993 +a(g737 +V{{ +p7994 +tp7995 +a(g900 +g960 +tp7996 +a(g216 +V'1234évènement' +p7997 +tp7998 +a(g399 +g1141 +tp7999 +a(g560 +Vreverse +p8000 +tp8001 +a(g900 +g960 +tp8002 +a(g737 +V}} +p8003 +tp8004 +a(g7 +V\u000a +p8005 +tp8006 +a(g737 +V{{ +p8007 +tp8008 +a(g900 +g960 +tp8009 +a(g427 +Varr +p8010 +tp8011 +a(g399 +g1141 +tp8012 +a(g560 +Vreverse +p8013 +tp8014 +a(g399 +g1141 +tp8015 +a(g560 +Vjoin +p8016 +tp8017 +a(g399 +g1145 +tp8018 +a(g216 +V'' +p8019 +tp8020 +a(g399 +g1149 +tp8021 +a(g900 +g960 +tp8022 +a(g737 +V}} +p8023 +tp8024 +a(g7 +V\u000a +p8025 +tp8026 +a(g737 +V{{ +p8027 +tp8028 +a(g900 +g960 +tp8029 +a(g399 +g1206 +tp8030 +a(g216 +V'a' +p8031 +tp8032 +a(g399 +g1210 +tp8033 +a(g900 +g960 +tp8034 +a(g216 +V'c' +p8035 +tp8036 +a(g399 +g1162 +tp8037 +a(g900 +g960 +tp8038 +a(g216 +V'b' +p8039 +tp8040 +a(g399 +g1210 +tp8041 +a(g900 +g960 +tp8042 +a(g216 +V'a' +p8043 +tp8044 +a(g399 +g1222 +tp8045 +a(g399 +g1141 +tp8046 +a(g560 +Vreverse +p8047 +tp8048 +a(g399 +g1145 +tp8049 +a(g399 +g1149 +tp8050 +a(g399 +g1141 +tp8051 +a(g560 +Vjoin +p8052 +tp8053 +a(g399 +g1145 +tp8054 +a(g216 +V',' +p8055 +tp8056 +a(g399 +g1149 +tp8057 +a(g900 +g960 +tp8058 +a(g737 +V}} +p8059 +tp8060 +a(g7 +V\u000a +p8061 +tp8062 +a(g737 +V{{ +p8063 +tp8064 +a(g900 +g960 +tp8065 +a(g399 +g1206 +tp8066 +a(g216 +V'a' +p8067 +tp8068 +a(g399 +g1210 +tp8069 +a(g900 +g960 +tp8070 +a(g216 +V'c' +p8071 +tp8072 +a(g399 +g1162 +tp8073 +a(g900 +g960 +tp8074 +a(g216 +V'b' +p8075 +tp8076 +a(g399 +g1210 +tp8077 +a(g900 +g960 +tp8078 +a(g216 +V'a' +p8079 +tp8080 +a(g399 +g1222 +tp8081 +a(g399 +g1141 +tp8082 +a(g560 +Vreverse +p8083 +tp8084 +a(g399 +g1145 +tp8085 +a(g427 +VpreserveKeys +p8086 +tp8087 +a(g399 +g1342 +tp8088 +a(g859 +Vtrue +p8089 +tp8090 +a(g399 +g1149 +tp8091 +a(g399 +g1141 +tp8092 +a(g560 +Vjoin +p8093 +tp8094 +a(g399 +g1145 +tp8095 +a(g427 +Vglue +p8096 +tp8097 +a(g399 +g1342 +tp8098 +a(g216 +V',' +p8099 +tp8100 +a(g399 +g1149 +tp8101 +a(g900 +g960 +tp8102 +a(g737 +V}} +p8103 +tp8104 +a(g7 +V\u000a +p8105 +tp8106 +a(g737 +V{{ +p8107 +tp8108 +a(g900 +g960 +tp8109 +a(g399 +g1206 +tp8110 +a(g216 +V'a' +p8111 +tp8112 +a(g399 +g1210 +tp8113 +a(g900 +g960 +tp8114 +a(g216 +V'c' +p8115 +tp8116 +a(g399 +g1162 +tp8117 +a(g900 +g960 +tp8118 +a(g216 +V'b' +p8119 +tp8120 +a(g399 +g1210 +tp8121 +a(g900 +g960 +tp8122 +a(g216 +V'a' +p8123 +tp8124 +a(g399 +g1222 +tp8125 +a(g399 +g1141 +tp8126 +a(g560 +Vreverse +p8127 +tp8128 +a(g399 +g1145 +tp8129 +a(g427 +Vpreserve_keys +p8130 +tp8131 +a(g399 +g1342 +tp8132 +a(g859 +Vtrue +p8133 +tp8134 +a(g399 +g1149 +tp8135 +a(g399 +g1141 +tp8136 +a(g560 +Vjoin +p8137 +tp8138 +a(g399 +g1145 +tp8139 +a(g427 +Vglue +p8140 +tp8141 +a(g399 +g1342 +tp8142 +a(g216 +V',' +p8143 +tp8144 +a(g399 +g1149 +tp8145 +a(g900 +g960 +tp8146 +a(g737 +V}} +p8147 +tp8148 +a(g7 +V\u000a--DATA--\u000areturn array('arr' => new ArrayObject(array(1, 2, 3, 4)))\u000a--EXPECT--\u000a4321\u000atnemenèvé4321\u000a4321\u000aa,c\u000aa,c\u000aa,c\u000a--TEST--\u000a"round" filter\u000a--TEMPLATE--\u000a +p8149 +tp8150 +a(g737 +V{{ +p8151 +tp8152 +a(g900 +g960 +tp8153 +a(g17 +V2.7 +p8154 +tp8155 +a(g399 +g1141 +tp8156 +a(g560 +Vround +p8157 +tp8158 +a(g900 +g960 +tp8159 +a(g737 +V}} +p8160 +tp8161 +a(g7 +V\u000a +p8162 +tp8163 +a(g737 +V{{ +p8164 +tp8165 +a(g900 +g960 +tp8166 +a(g17 +V2.1 +p8167 +tp8168 +a(g399 +g1141 +tp8169 +a(g560 +Vround +p8170 +tp8171 +a(g900 +g960 +tp8172 +a(g737 +V}} +p8173 +tp8174 +a(g7 +V\u000a +p8175 +tp8176 +a(g737 +V{{ +p8177 +tp8178 +a(g900 +g960 +tp8179 +a(g17 +V2.1234 +p8180 +tp8181 +a(g399 +g1141 +tp8182 +a(g560 +Vround +p8183 +tp8184 +a(g399 +g1145 +tp8185 +a(g17 +g1404 +tp8186 +a(g399 +g1162 +tp8187 +a(g900 +g960 +tp8188 +a(g216 +V'floor' +p8189 +tp8190 +a(g399 +g1149 +tp8191 +a(g900 +g960 +tp8192 +a(g737 +V}} +p8193 +tp8194 +a(g7 +V\u000a +p8195 +tp8196 +a(g737 +V{{ +p8197 +tp8198 +a(g900 +g960 +tp8199 +a(g17 +V2.1 +p8200 +tp8201 +a(g399 +g1141 +tp8202 +a(g560 +Vround +p8203 +tp8204 +a(g399 +g1145 +tp8205 +a(g17 +g1208 +tp8206 +a(g399 +g1162 +tp8207 +a(g900 +g960 +tp8208 +a(g216 +V'ceil' +p8209 +tp8210 +a(g399 +g1149 +tp8211 +a(g900 +g960 +tp8212 +a(g737 +V}} +p8213 +tp8214 +a(g7 +V\u000a\u000a +p8215 +tp8216 +a(g737 +V{{ +p8217 +tp8218 +a(g900 +g960 +tp8219 +a(g17 +g1165 +tp8220 +a(g17 +V1.3 +p8221 +tp8222 +a(g399 +g1141 +tp8223 +a(g560 +Vround +p8224 +tp8225 +a(g399 +g1145 +tp8226 +a(g399 +g1678 +tp8227 +a(g17 +g1160 +tp8228 +a(g399 +g1149 +tp8229 +a(g737 +V}} +p8230 +tp8231 +a(g7 +V\u000a +p8232 +tp8233 +a(g737 +V{{ +p8234 +tp8235 +a(g900 +g960 +tp8236 +a(g17 +g1165 +tp8237 +a(g17 +V1.3 +p8238 +tp8239 +a(g399 +g1141 +tp8240 +a(g560 +Vround +p8241 +tp8242 +a(g399 +g1145 +tp8243 +a(g399 +g1678 +tp8244 +a(g17 +g1160 +tp8245 +a(g399 +g1162 +tp8246 +a(g900 +g960 +tp8247 +a(g216 +V'ceil' +p8248 +tp8249 +a(g399 +g1149 +tp8250 +a(g737 +V}} +p8251 +tp8252 +a(g7 +V\u000a +p8253 +tp8254 +a(g737 +V{{ +p8255 +tp8256 +a(g900 +g960 +tp8257 +a(g17 +g1165 +tp8258 +a(g17 +V1.3 +p8259 +tp8260 +a(g399 +g1141 +tp8261 +a(g560 +Vround +p8262 +tp8263 +a(g399 +g1145 +tp8264 +a(g399 +g1678 +tp8265 +a(g17 +g1160 +tp8266 +a(g399 +g1162 +tp8267 +a(g900 +g960 +tp8268 +a(g216 +V'floor' +p8269 +tp8270 +a(g399 +g1149 +tp8271 +a(g737 +V}} +p8272 +tp8273 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a3\u000a2\u000a2.123\u000a3\u000a\u000a20\u000a30\u000a20\u000a--TEST--\u000a"slice" filter\u000a--TEMPLATE--\u000a +p8274 +tp8275 +a(g737 +V{{ +p8276 +tp8277 +a(g900 +g960 +tp8278 +a(g399 +g1089 +tp8279 +a(g17 +g1160 +tp8280 +a(g399 +g1162 +tp8281 +a(g900 +g960 +tp8282 +a(g17 +g1165 +tp8283 +a(g399 +g1162 +tp8284 +a(g900 +g960 +tp8285 +a(g17 +g1404 +tp8286 +a(g399 +g1162 +tp8287 +a(g900 +g960 +tp8288 +a(g17 +g3087 +tp8289 +a(g399 +g1093 +tp8290 +a(g399 +g1089 +tp8291 +a(g17 +g1160 +tp8292 +a(g399 +g1210 +tp8293 +a(g17 +g1165 +tp8294 +a(g399 +g1093 +tp8295 +a(g399 +g1141 +tp8296 +a(g560 +Vjoin +p8297 +tp8298 +a(g399 +g1145 +tp8299 +a(g216 +V'' +p8300 +tp8301 +a(g399 +g1149 +tp8302 +a(g900 +g960 +tp8303 +a(g737 +V}} +p8304 +tp8305 +a(g7 +V\u000a +p8306 +tp8307 +a(g737 +V{{ +p8308 +tp8309 +a(g900 +g960 +tp8310 +a(g399 +g1206 +tp8311 +a(g427 +g1339 +tp8312 +a(g399 +g1210 +tp8313 +a(g900 +g960 +tp8314 +a(g17 +g1160 +tp8315 +a(g399 +g1162 +tp8316 +a(g900 +g960 +tp8317 +a(g427 +g1552 +tp8318 +a(g399 +g1210 +tp8319 +a(g900 +g960 +tp8320 +a(g17 +g1165 +tp8321 +a(g399 +g1162 +tp8322 +a(g900 +g960 +tp8323 +a(g427 +g7042 +tp8324 +a(g399 +g1210 +tp8325 +a(g900 +g960 +tp8326 +a(g17 +g1404 +tp8327 +a(g399 +g1162 +tp8328 +a(g900 +g960 +tp8329 +a(g427 +g7049 +tp8330 +a(g399 +g1210 +tp8331 +a(g900 +g960 +tp8332 +a(g17 +g3087 +tp8333 +a(g399 +g1222 +tp8334 +a(g399 +g1089 +tp8335 +a(g17 +g1160 +tp8336 +a(g399 +g1210 +tp8337 +a(g17 +g1165 +tp8338 +a(g399 +g1093 +tp8339 +a(g399 +g1141 +tp8340 +a(g560 +Vjoin +p8341 +tp8342 +a(g399 +g1145 +tp8343 +a(g216 +V'' +p8344 +tp8345 +a(g399 +g1149 +tp8346 +a(g900 +g960 +tp8347 +a(g737 +V}} +p8348 +tp8349 +a(g7 +V\u000a +p8350 +tp8351 +a(g737 +V{{ +p8352 +tp8353 +a(g900 +g960 +tp8354 +a(g399 +g1089 +tp8355 +a(g17 +g1160 +tp8356 +a(g399 +g1162 +tp8357 +a(g900 +g960 +tp8358 +a(g17 +g1165 +tp8359 +a(g399 +g1162 +tp8360 +a(g900 +g960 +tp8361 +a(g17 +g1404 +tp8362 +a(g399 +g1162 +tp8363 +a(g900 +g960 +tp8364 +a(g17 +g3087 +tp8365 +a(g399 +g1093 +tp8366 +a(g399 +g1089 +tp8367 +a(g427 +Vstart +p8368 +tp8369 +a(g399 +g1210 +tp8370 +a(g427 +Vlength +p8371 +tp8372 +a(g399 +g1093 +tp8373 +a(g399 +g1141 +tp8374 +a(g560 +Vjoin +p8375 +tp8376 +a(g399 +g1145 +tp8377 +a(g216 +V'' +p8378 +tp8379 +a(g399 +g1149 +tp8380 +a(g900 +g960 +tp8381 +a(g737 +V}} +p8382 +tp8383 +a(g7 +V\u000a +p8384 +tp8385 +a(g737 +V{{ +p8386 +tp8387 +a(g900 +g960 +tp8388 +a(g399 +g1089 +tp8389 +a(g17 +g1160 +tp8390 +a(g399 +g1162 +tp8391 +a(g900 +g960 +tp8392 +a(g17 +g1165 +tp8393 +a(g399 +g1162 +tp8394 +a(g900 +g960 +tp8395 +a(g17 +g1404 +tp8396 +a(g399 +g1162 +tp8397 +a(g900 +g960 +tp8398 +a(g17 +g3087 +tp8399 +a(g399 +g1093 +tp8400 +a(g399 +g1141 +tp8401 +a(g560 +Vslice +p8402 +tp8403 +a(g399 +g1145 +tp8404 +a(g17 +g1160 +tp8405 +a(g399 +g1162 +tp8406 +a(g900 +g960 +tp8407 +a(g17 +g1165 +tp8408 +a(g399 +g1149 +tp8409 +a(g399 +g1141 +tp8410 +a(g560 +Vjoin +p8411 +tp8412 +a(g399 +g1145 +tp8413 +a(g216 +V'' +p8414 +tp8415 +a(g399 +g1149 +tp8416 +a(g900 +g960 +tp8417 +a(g737 +V}} +p8418 +tp8419 +a(g7 +V\u000a +p8420 +tp8421 +a(g737 +V{{ +p8422 +tp8423 +a(g900 +g960 +tp8424 +a(g399 +g1089 +tp8425 +a(g17 +g1160 +tp8426 +a(g399 +g1162 +tp8427 +a(g900 +g960 +tp8428 +a(g17 +g1165 +tp8429 +a(g399 +g1162 +tp8430 +a(g900 +g960 +tp8431 +a(g17 +g1404 +tp8432 +a(g399 +g1162 +tp8433 +a(g900 +g960 +tp8434 +a(g17 +g3087 +tp8435 +a(g399 +g1093 +tp8436 +a(g399 +g1141 +tp8437 +a(g560 +Vslice +p8438 +tp8439 +a(g399 +g1145 +tp8440 +a(g17 +g1160 +tp8441 +a(g399 +g1162 +tp8442 +a(g900 +g960 +tp8443 +a(g17 +g1165 +tp8444 +a(g399 +g1149 +tp8445 +a(g399 +g1141 +tp8446 +a(g560 +Vkeys +p8447 +tp8448 +a(g399 +g1141 +tp8449 +a(g560 +Vjoin +p8450 +tp8451 +a(g399 +g1145 +tp8452 +a(g216 +V'' +p8453 +tp8454 +a(g399 +g1149 +tp8455 +a(g900 +g960 +tp8456 +a(g737 +V}} +p8457 +tp8458 +a(g7 +V\u000a +p8459 +tp8460 +a(g737 +V{{ +p8461 +tp8462 +a(g900 +g960 +tp8463 +a(g399 +g1089 +tp8464 +a(g17 +g1160 +tp8465 +a(g399 +g1162 +tp8466 +a(g900 +g960 +tp8467 +a(g17 +g1165 +tp8468 +a(g399 +g1162 +tp8469 +a(g900 +g960 +tp8470 +a(g17 +g1404 +tp8471 +a(g399 +g1162 +tp8472 +a(g900 +g960 +tp8473 +a(g17 +g3087 +tp8474 +a(g399 +g1093 +tp8475 +a(g399 +g1141 +tp8476 +a(g560 +Vslice +p8477 +tp8478 +a(g399 +g1145 +tp8479 +a(g17 +g1160 +tp8480 +a(g399 +g1162 +tp8481 +a(g900 +g960 +tp8482 +a(g17 +g1165 +tp8483 +a(g399 +g1162 +tp8484 +a(g900 +g960 +tp8485 +a(g859 +Vtrue +p8486 +tp8487 +a(g399 +g1149 +tp8488 +a(g399 +g1141 +tp8489 +a(g560 +Vkeys +p8490 +tp8491 +a(g399 +g1141 +tp8492 +a(g560 +Vjoin +p8493 +tp8494 +a(g399 +g1145 +tp8495 +a(g216 +V'' +p8496 +tp8497 +a(g399 +g1149 +tp8498 +a(g900 +g960 +tp8499 +a(g737 +V}} +p8500 +tp8501 +a(g7 +V\u000a +p8502 +tp8503 +a(g737 +V{{ +p8504 +tp8505 +a(g900 +g960 +tp8506 +a(g399 +g1206 +tp8507 +a(g427 +g1339 +tp8508 +a(g399 +g1210 +tp8509 +a(g900 +g960 +tp8510 +a(g17 +g1160 +tp8511 +a(g399 +g1162 +tp8512 +a(g900 +g960 +tp8513 +a(g427 +g1552 +tp8514 +a(g399 +g1210 +tp8515 +a(g900 +g960 +tp8516 +a(g17 +g1165 +tp8517 +a(g399 +g1162 +tp8518 +a(g900 +g960 +tp8519 +a(g427 +g7042 +tp8520 +a(g399 +g1210 +tp8521 +a(g900 +g960 +tp8522 +a(g17 +g1404 +tp8523 +a(g399 +g1162 +tp8524 +a(g900 +g960 +tp8525 +a(g427 +g7049 +tp8526 +a(g399 +g1210 +tp8527 +a(g900 +g960 +tp8528 +a(g17 +g3087 +tp8529 +a(g399 +g1222 +tp8530 +a(g399 +g1141 +tp8531 +a(g560 +Vslice +p8532 +tp8533 +a(g399 +g1145 +tp8534 +a(g17 +g1160 +tp8535 +a(g399 +g1162 +tp8536 +a(g900 +g960 +tp8537 +a(g17 +g1165 +tp8538 +a(g399 +g1149 +tp8539 +a(g399 +g1141 +tp8540 +a(g560 +Vjoin +p8541 +tp8542 +a(g399 +g1145 +tp8543 +a(g216 +V'' +p8544 +tp8545 +a(g399 +g1149 +tp8546 +a(g900 +g960 +tp8547 +a(g737 +V}} +p8548 +tp8549 +a(g7 +V\u000a +p8550 +tp8551 +a(g737 +V{{ +p8552 +tp8553 +a(g900 +g960 +tp8554 +a(g399 +g1206 +tp8555 +a(g427 +g1339 +tp8556 +a(g399 +g1210 +tp8557 +a(g900 +g960 +tp8558 +a(g17 +g1160 +tp8559 +a(g399 +g1162 +tp8560 +a(g900 +g960 +tp8561 +a(g427 +g1552 +tp8562 +a(g399 +g1210 +tp8563 +a(g900 +g960 +tp8564 +a(g17 +g1165 +tp8565 +a(g399 +g1162 +tp8566 +a(g900 +g960 +tp8567 +a(g427 +g7042 +tp8568 +a(g399 +g1210 +tp8569 +a(g900 +g960 +tp8570 +a(g17 +g1404 +tp8571 +a(g399 +g1162 +tp8572 +a(g900 +g960 +tp8573 +a(g427 +g7049 +tp8574 +a(g399 +g1210 +tp8575 +a(g900 +g960 +tp8576 +a(g17 +g3087 +tp8577 +a(g399 +g1222 +tp8578 +a(g399 +g1141 +tp8579 +a(g560 +Vslice +p8580 +tp8581 +a(g399 +g1145 +tp8582 +a(g17 +g1160 +tp8583 +a(g399 +g1162 +tp8584 +a(g900 +g960 +tp8585 +a(g17 +g1165 +tp8586 +a(g399 +g1149 +tp8587 +a(g399 +g1141 +tp8588 +a(g560 +Vkeys +p8589 +tp8590 +a(g399 +g1141 +tp8591 +a(g560 +Vjoin +p8592 +tp8593 +a(g399 +g1145 +tp8594 +a(g216 +V'' +p8595 +tp8596 +a(g399 +g1149 +tp8597 +a(g900 +g960 +tp8598 +a(g737 +V}} +p8599 +tp8600 +a(g7 +V\u000a +p8601 +tp8602 +a(g737 +V{{ +p8603 +tp8604 +a(g900 +g960 +tp8605 +a(g216 +V'1234' +p8606 +tp8607 +a(g399 +g1141 +tp8608 +a(g560 +Vslice +p8609 +tp8610 +a(g399 +g1145 +tp8611 +a(g17 +g1160 +tp8612 +a(g399 +g1162 +tp8613 +a(g900 +g960 +tp8614 +a(g17 +g1165 +tp8615 +a(g399 +g1149 +tp8616 +a(g900 +g960 +tp8617 +a(g737 +V}} +p8618 +tp8619 +a(g7 +V\u000a +p8620 +tp8621 +a(g737 +V{{ +p8622 +tp8623 +a(g900 +g960 +tp8624 +a(g216 +V'1234' +p8625 +tp8626 +a(g399 +g1089 +tp8627 +a(g17 +g1160 +tp8628 +a(g399 +g1210 +tp8629 +a(g17 +g1165 +tp8630 +a(g399 +g1093 +tp8631 +a(g900 +g960 +tp8632 +a(g737 +V}} +p8633 +tp8634 +a(g7 +V\u000a +p8635 +tp8636 +a(g737 +V{{ +p8637 +tp8638 +a(g900 +g960 +tp8639 +a(g427 +Varr +p8640 +tp8641 +a(g399 +g1141 +tp8642 +a(g560 +Vslice +p8643 +tp8644 +a(g399 +g1145 +tp8645 +a(g17 +g1160 +tp8646 +a(g399 +g1162 +tp8647 +a(g900 +g960 +tp8648 +a(g17 +g1165 +tp8649 +a(g399 +g1149 +tp8650 +a(g399 +g1141 +tp8651 +a(g560 +Vjoin +p8652 +tp8653 +a(g399 +g1145 +tp8654 +a(g216 +V'' +p8655 +tp8656 +a(g399 +g1149 +tp8657 +a(g900 +g960 +tp8658 +a(g737 +V}} +p8659 +tp8660 +a(g7 +V\u000a +p8661 +tp8662 +a(g737 +V{{ +p8663 +tp8664 +a(g900 +g960 +tp8665 +a(g427 +Varr +p8666 +tp8667 +a(g399 +g1089 +tp8668 +a(g17 +g1160 +tp8669 +a(g399 +g1210 +tp8670 +a(g17 +g1165 +tp8671 +a(g399 +g1093 +tp8672 +a(g399 +g1141 +tp8673 +a(g560 +Vjoin +p8674 +tp8675 +a(g399 +g1145 +tp8676 +a(g216 +V'' +p8677 +tp8678 +a(g399 +g1149 +tp8679 +a(g900 +g960 +tp8680 +a(g737 +V}} +p8681 +tp8682 +a(g7 +V\u000a\u000a +p8683 +tp8684 +a(g737 +V{{ +p8685 +tp8686 +a(g900 +g960 +tp8687 +a(g399 +g1089 +tp8688 +a(g17 +g1160 +tp8689 +a(g399 +g1162 +tp8690 +a(g900 +g960 +tp8691 +a(g17 +g1165 +tp8692 +a(g399 +g1162 +tp8693 +a(g900 +g960 +tp8694 +a(g17 +g1404 +tp8695 +a(g399 +g1162 +tp8696 +a(g900 +g960 +tp8697 +a(g17 +g3087 +tp8698 +a(g399 +g1093 +tp8699 +a(g399 +g1141 +tp8700 +a(g560 +Vslice +p8701 +tp8702 +a(g399 +g1145 +tp8703 +a(g17 +g1160 +tp8704 +a(g399 +g1149 +tp8705 +a(g399 +g1141 +tp8706 +a(g560 +Vjoin +p8707 +tp8708 +a(g399 +g1145 +tp8709 +a(g216 +V'' +p8710 +tp8711 +a(g399 +g1149 +tp8712 +a(g900 +g960 +tp8713 +a(g737 +V}} +p8714 +tp8715 +a(g7 +V\u000a +p8716 +tp8717 +a(g737 +V{{ +p8718 +tp8719 +a(g900 +g960 +tp8720 +a(g399 +g1089 +tp8721 +a(g17 +g1160 +tp8722 +a(g399 +g1162 +tp8723 +a(g900 +g960 +tp8724 +a(g17 +g1165 +tp8725 +a(g399 +g1162 +tp8726 +a(g900 +g960 +tp8727 +a(g17 +g1404 +tp8728 +a(g399 +g1162 +tp8729 +a(g900 +g960 +tp8730 +a(g17 +g3087 +tp8731 +a(g399 +g1093 +tp8732 +a(g399 +g1089 +tp8733 +a(g17 +g1160 +tp8734 +a(g399 +g1210 +tp8735 +a(g399 +g1093 +tp8736 +a(g399 +g1141 +tp8737 +a(g560 +Vjoin +p8738 +tp8739 +a(g399 +g1145 +tp8740 +a(g216 +V'' +p8741 +tp8742 +a(g399 +g1149 +tp8743 +a(g900 +g960 +tp8744 +a(g737 +V}} +p8745 +tp8746 +a(g7 +V\u000a +p8747 +tp8748 +a(g737 +V{{ +p8749 +tp8750 +a(g900 +g960 +tp8751 +a(g216 +V'1234' +p8752 +tp8753 +a(g399 +g1141 +tp8754 +a(g560 +Vslice +p8755 +tp8756 +a(g399 +g1145 +tp8757 +a(g17 +g1160 +tp8758 +a(g399 +g1149 +tp8759 +a(g900 +g960 +tp8760 +a(g737 +V}} +p8761 +tp8762 +a(g7 +V\u000a +p8763 +tp8764 +a(g737 +V{{ +p8765 +tp8766 +a(g900 +g960 +tp8767 +a(g216 +V'1234' +p8768 +tp8769 +a(g399 +g1089 +tp8770 +a(g17 +g1160 +tp8771 +a(g399 +g1210 +tp8772 +a(g399 +g1093 +tp8773 +a(g900 +g960 +tp8774 +a(g737 +V}} +p8775 +tp8776 +a(g7 +V\u000a +p8777 +tp8778 +a(g737 +V{{ +p8779 +tp8780 +a(g900 +g960 +tp8781 +a(g216 +V'1234' +p8782 +tp8783 +a(g399 +g1089 +tp8784 +a(g399 +g1210 +tp8785 +a(g17 +g1160 +tp8786 +a(g399 +g1093 +tp8787 +a(g900 +g960 +tp8788 +a(g737 +V}} +p8789 +tp8790 +a(g7 +V\u000a--DATA--\u000areturn array('start' => 1, 'length' => 2, 'arr' => new ArrayObject(array(1, 2, 3, 4)))\u000a--EXPECT--\u000a23\u000a23\u000a23\u000a23\u000a01\u000a12\u000a23\u000abc\u000a23\u000a23\u000a23\u000a23\u000a\u000a234\u000a234\u000a234\u000a234\u000a1\u000a--TEST--\u000a"sort" filter\u000a--TEMPLATE--\u000a +p8791 +tp8792 +a(g737 +V{{ +p8793 +tp8794 +a(g900 +g960 +tp8795 +a(g427 +Varray1 +p8796 +tp8797 +a(g399 +g1141 +tp8798 +a(g560 +Vsort +p8799 +tp8800 +a(g399 +g1141 +tp8801 +a(g560 +Vjoin +p8802 +tp8803 +a(g900 +g960 +tp8804 +a(g737 +V}} +p8805 +tp8806 +a(g7 +V\u000a +p8807 +tp8808 +a(g737 +V{{ +p8809 +tp8810 +a(g900 +g960 +tp8811 +a(g427 +Varray2 +p8812 +tp8813 +a(g399 +g1141 +tp8814 +a(g560 +Vsort +p8815 +tp8816 +a(g399 +g1141 +tp8817 +a(g560 +Vjoin +p8818 +tp8819 +a(g900 +g960 +tp8820 +a(g737 +V}} +p8821 +tp8822 +a(g7 +V\u000a--DATA--\u000areturn array('array1' => array(4, 1), 'array2' => array('foo', 'bar'))\u000a--EXPECT--\u000a14\u000abarfoo\u000a--TEST--\u000a"split" filter\u000a--TEMPLATE--\u000a +p8823 +tp8824 +a(g737 +V{{ +p8825 +tp8826 +a(g900 +g960 +tp8827 +a(g143 +V"one,two,three,four,five" +p8828 +tp8829 +a(g399 +g1141 +tp8830 +a(g560 +Vsplit +p8831 +tp8832 +a(g399 +g1145 +tp8833 +a(g216 +V',' +p8834 +tp8835 +a(g399 +g1149 +tp8836 +a(g399 +g1141 +tp8837 +a(g560 +Vjoin +p8838 +tp8839 +a(g399 +g1145 +tp8840 +a(g216 +V'-' +p8841 +tp8842 +a(g399 +g1149 +tp8843 +a(g900 +g960 +tp8844 +a(g737 +V}} +p8845 +tp8846 +a(g7 +V\u000a +p8847 +tp8848 +a(g737 +V{{ +p8849 +tp8850 +a(g900 +g960 +tp8851 +a(g427 +Vfoo +p8852 +tp8853 +a(g399 +g1141 +tp8854 +a(g560 +Vsplit +p8855 +tp8856 +a(g399 +g1145 +tp8857 +a(g216 +V',' +p8858 +tp8859 +a(g399 +g1149 +tp8860 +a(g399 +g1141 +tp8861 +a(g560 +Vjoin +p8862 +tp8863 +a(g399 +g1145 +tp8864 +a(g216 +V'-' +p8865 +tp8866 +a(g399 +g1149 +tp8867 +a(g900 +g960 +tp8868 +a(g737 +V}} +p8869 +tp8870 +a(g7 +V\u000a +p8871 +tp8872 +a(g737 +V{{ +p8873 +tp8874 +a(g900 +g960 +tp8875 +a(g427 +Vfoo +p8876 +tp8877 +a(g399 +g1141 +tp8878 +a(g560 +Vsplit +p8879 +tp8880 +a(g399 +g1145 +tp8881 +a(g216 +V',' +p8882 +tp8883 +a(g399 +g1162 +tp8884 +a(g900 +g960 +tp8885 +a(g17 +g1404 +tp8886 +a(g399 +g1149 +tp8887 +a(g399 +g1141 +tp8888 +a(g560 +Vjoin +p8889 +tp8890 +a(g399 +g1145 +tp8891 +a(g216 +V'-' +p8892 +tp8893 +a(g399 +g1149 +tp8894 +a(g900 +g960 +tp8895 +a(g737 +V}} +p8896 +tp8897 +a(g7 +V\u000a +p8898 +tp8899 +a(g737 +V{{ +p8900 +tp8901 +a(g900 +g960 +tp8902 +a(g427 +Vbaz +p8903 +tp8904 +a(g399 +g1141 +tp8905 +a(g560 +Vsplit +p8906 +tp8907 +a(g399 +g1145 +tp8908 +a(g216 +V'' +p8909 +tp8910 +a(g399 +g1149 +tp8911 +a(g399 +g1141 +tp8912 +a(g560 +Vjoin +p8913 +tp8914 +a(g399 +g1145 +tp8915 +a(g216 +V'-' +p8916 +tp8917 +a(g399 +g1149 +tp8918 +a(g900 +g960 +tp8919 +a(g737 +V}} +p8920 +tp8921 +a(g7 +V\u000a +p8922 +tp8923 +a(g737 +V{{ +p8924 +tp8925 +a(g900 +g960 +tp8926 +a(g427 +Vbaz +p8927 +tp8928 +a(g399 +g1141 +tp8929 +a(g560 +Vsplit +p8930 +tp8931 +a(g399 +g1145 +tp8932 +a(g216 +V'' +p8933 +tp8934 +a(g399 +g1162 +tp8935 +a(g900 +g960 +tp8936 +a(g17 +g1165 +tp8937 +a(g399 +g1149 +tp8938 +a(g399 +g1141 +tp8939 +a(g560 +Vjoin +p8940 +tp8941 +a(g399 +g1145 +tp8942 +a(g216 +V'-' +p8943 +tp8944 +a(g399 +g1149 +tp8945 +a(g900 +g960 +tp8946 +a(g737 +V}} +p8947 +tp8948 +a(g7 +V\u000a +p8949 +tp8950 +a(g737 +V{{ +p8951 +tp8952 +a(g900 +g960 +tp8953 +a(g427 +Vfoo +p8954 +tp8955 +a(g399 +g1141 +tp8956 +a(g560 +Vsplit +p8957 +tp8958 +a(g399 +g1145 +tp8959 +a(g216 +V',' +p8960 +tp8961 +a(g399 +g1162 +tp8962 +a(g900 +g960 +tp8963 +a(g399 +g1678 +tp8964 +a(g17 +g1165 +tp8965 +a(g399 +g1149 +tp8966 +a(g399 +g1141 +tp8967 +a(g560 +Vjoin +p8968 +tp8969 +a(g399 +g1145 +tp8970 +a(g216 +V'-' +p8971 +tp8972 +a(g399 +g1149 +tp8973 +a(g900 +g960 +tp8974 +a(g737 +V}} +p8975 +tp8976 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => "one,two,three,four,five", 'baz' => '12345',)\u000a--EXPECT--\u000aone-two-three-four-five\u000aone-two-three-four-five\u000aone-two-three,four,five\u000a1-2-3-4-5\u000a12-34-5\u000aone-two-three--TEST--\u000a"trim" filter\u000a--TEMPLATE--\u000a +p8977 +tp8978 +a(g737 +V{{ +p8979 +tp8980 +a(g900 +g960 +tp8981 +a(g143 +V" I like Twig. " +p8982 +tp8983 +a(g399 +g1141 +tp8984 +a(g560 +Vtrim +p8985 +tp8986 +a(g900 +g960 +tp8987 +a(g737 +V}} +p8988 +tp8989 +a(g7 +V\u000a +p8990 +tp8991 +a(g737 +V{{ +p8992 +tp8993 +a(g900 +g960 +tp8994 +a(g427 +Vtext +p8995 +tp8996 +a(g399 +g1141 +tp8997 +a(g560 +Vtrim +p8998 +tp8999 +a(g900 +g960 +tp9000 +a(g737 +V}} +p9001 +tp9002 +a(g7 +V\u000a +p9003 +tp9004 +a(g737 +V{{ +p9005 +tp9006 +a(g900 +g960 +tp9007 +a(g143 +V" foo/" +p9008 +tp9009 +a(g399 +g1141 +tp9010 +a(g560 +Vtrim +p9011 +tp9012 +a(g399 +g1145 +tp9013 +a(g143 +V"/" +p9014 +tp9015 +a(g399 +g1149 +tp9016 +a(g900 +g960 +tp9017 +a(g737 +V}} +p9018 +tp9019 +a(g7 +V\u000a--DATA--\u000areturn array('text' => " If you have some HTML it will be escaped. ")\u000a--EXPECT--\u000aI like Twig.\u000aIf you have some <strong>HTML</strong> it will be escaped.\u000a foo\u000a--TEST--\u000a"url_encode" filter for PHP < 5.4 and HHVM\u000a--CONDITION--\u000adefined('PHP_QUERY_RFC3986')\u000a--TEMPLATE--\u000a +p9020 +tp9021 +a(g737 +V{{ +p9022 +tp9023 +a(g900 +g960 +tp9024 +a(g399 +g1206 +tp9025 +a(g427 +Vfoo +p9026 +tp9027 +a(g399 +g1210 +tp9028 +a(g900 +g960 +tp9029 +a(g143 +V"bar" +p9030 +tp9031 +a(g399 +g1162 +tp9032 +a(g900 +g960 +tp9033 +a(g427 +Vnumber +p9034 +tp9035 +a(g399 +g1210 +tp9036 +a(g900 +g960 +tp9037 +a(g17 +g1404 +tp9038 +a(g399 +g1162 +tp9039 +a(g900 +g960 +tp9040 +a(g143 +V"spéßi%l" +p9041 +tp9042 +a(g399 +g1210 +tp9043 +a(g900 +g960 +tp9044 +a(g143 +V"e%c0d@d" +p9045 +tp9046 +a(g399 +g1162 +tp9047 +a(g900 +g960 +tp9048 +a(g143 +V"spa ce" +p9049 +tp9050 +a(g399 +g1210 +tp9051 +a(g900 +g960 +tp9052 +a(g143 +V"" +p9053 +tp9054 +a(g399 +g1222 +tp9055 +a(g399 +g1141 +tp9056 +a(g560 +Vurl_encode +p9057 +tp9058 +a(g900 +g960 +tp9059 +a(g737 +V}} +p9060 +tp9061 +a(g7 +V\u000a +p9062 +tp9063 +a(g737 +V{{ +p9064 +tp9065 +a(g900 +g960 +tp9066 +a(g399 +g1206 +tp9067 +a(g427 +Vfoo +p9068 +tp9069 +a(g399 +g1210 +tp9070 +a(g900 +g960 +tp9071 +a(g143 +V"bar" +p9072 +tp9073 +a(g399 +g1162 +tp9074 +a(g900 +g960 +tp9075 +a(g427 +Vnumber +p9076 +tp9077 +a(g399 +g1210 +tp9078 +a(g900 +g960 +tp9079 +a(g17 +g1404 +tp9080 +a(g399 +g1162 +tp9081 +a(g900 +g960 +tp9082 +a(g143 +V"spéßi%l" +p9083 +tp9084 +a(g399 +g1210 +tp9085 +a(g900 +g960 +tp9086 +a(g143 +V"e%c0d@d" +p9087 +tp9088 +a(g399 +g1162 +tp9089 +a(g900 +g960 +tp9090 +a(g143 +V"spa ce" +p9091 +tp9092 +a(g399 +g1210 +tp9093 +a(g900 +g960 +tp9094 +a(g143 +V"" +p9095 +tp9096 +a(g399 +g1222 +tp9097 +a(g399 +g1141 +tp9098 +a(g560 +Vurl_encode +p9099 +tp9100 +a(g399 +g1141 +tp9101 +a(g560 +Vraw +p9102 +tp9103 +a(g900 +g960 +tp9104 +a(g737 +V}} +p9105 +tp9106 +a(g7 +V\u000a +p9107 +tp9108 +a(g737 +V{{ +p9109 +tp9110 +a(g900 +g960 +tp9111 +a(g399 +g1206 +tp9112 +a(g399 +g1222 +tp9113 +a(g399 +g1141 +tp9114 +a(g560 +Vurl_encode +p9115 +tp9116 +a(g399 +g1141 +tp9117 +a(g560 +Vdefault +p9118 +tp9119 +a(g399 +g1145 +tp9120 +a(g143 +V"default" +p9121 +tp9122 +a(g399 +g1149 +tp9123 +a(g900 +g960 +tp9124 +a(g737 +V}} +p9125 +tp9126 +a(g7 +V\u000a +p9127 +tp9128 +a(g737 +V{{ +p9129 +tp9130 +a(g900 +g960 +tp9131 +a(g216 +V'spéßi%le%c0d@dspa ce' +p9132 +tp9133 +a(g399 +g1141 +tp9134 +a(g560 +Vurl_encode +p9135 +tp9136 +a(g900 +g960 +tp9137 +a(g737 +V}} +p9138 +tp9139 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo=bar&number=3&sp%C3%A9%C3%9Fi%25l=e%25c0d%40d&spa%20ce=\u000afoo=bar&number=3&sp%C3%A9%C3%9Fi%25l=e%25c0d%40d&spa%20ce=\u000adefault\u000asp%C3%A9%C3%9Fi%25le%25c0d%40dspa%20ce\u000a--TEST--\u000a"url_encode" filter\u000a--CONDITION--\u000adefined('PHP_QUERY_RFC3986')\u000a--TEMPLATE--\u000a +p9140 +tp9141 +a(g737 +V{{ +p9142 +tp9143 +a(g900 +g960 +tp9144 +a(g399 +g1206 +tp9145 +a(g427 +Vfoo +p9146 +tp9147 +a(g399 +g1210 +tp9148 +a(g900 +g960 +tp9149 +a(g143 +V"bar" +p9150 +tp9151 +a(g399 +g1162 +tp9152 +a(g900 +g960 +tp9153 +a(g427 +Vnumber +p9154 +tp9155 +a(g399 +g1210 +tp9156 +a(g900 +g960 +tp9157 +a(g17 +g1404 +tp9158 +a(g399 +g1162 +tp9159 +a(g900 +g960 +tp9160 +a(g143 +V"spéßi%l" +p9161 +tp9162 +a(g399 +g1210 +tp9163 +a(g900 +g960 +tp9164 +a(g143 +V"e%c0d@d" +p9165 +tp9166 +a(g399 +g1162 +tp9167 +a(g900 +g960 +tp9168 +a(g143 +V"spa ce" +p9169 +tp9170 +a(g399 +g1210 +tp9171 +a(g900 +g960 +tp9172 +a(g143 +V"" +p9173 +tp9174 +a(g399 +g1222 +tp9175 +a(g399 +g1141 +tp9176 +a(g560 +Vurl_encode +p9177 +tp9178 +a(g900 +g960 +tp9179 +a(g737 +V}} +p9180 +tp9181 +a(g7 +V\u000a +p9182 +tp9183 +a(g737 +V{{ +p9184 +tp9185 +a(g900 +g960 +tp9186 +a(g399 +g1206 +tp9187 +a(g427 +Vfoo +p9188 +tp9189 +a(g399 +g1210 +tp9190 +a(g900 +g960 +tp9191 +a(g143 +V"bar" +p9192 +tp9193 +a(g399 +g1162 +tp9194 +a(g900 +g960 +tp9195 +a(g427 +Vnumber +p9196 +tp9197 +a(g399 +g1210 +tp9198 +a(g900 +g960 +tp9199 +a(g17 +g1404 +tp9200 +a(g399 +g1162 +tp9201 +a(g900 +g960 +tp9202 +a(g143 +V"spéßi%l" +p9203 +tp9204 +a(g399 +g1210 +tp9205 +a(g900 +g960 +tp9206 +a(g143 +V"e%c0d@d" +p9207 +tp9208 +a(g399 +g1162 +tp9209 +a(g900 +g960 +tp9210 +a(g143 +V"spa ce" +p9211 +tp9212 +a(g399 +g1210 +tp9213 +a(g900 +g960 +tp9214 +a(g143 +V"" +p9215 +tp9216 +a(g399 +g1222 +tp9217 +a(g399 +g1141 +tp9218 +a(g560 +Vurl_encode +p9219 +tp9220 +a(g399 +g1141 +tp9221 +a(g560 +Vraw +p9222 +tp9223 +a(g900 +g960 +tp9224 +a(g737 +V}} +p9225 +tp9226 +a(g7 +V\u000a +p9227 +tp9228 +a(g737 +V{{ +p9229 +tp9230 +a(g900 +g960 +tp9231 +a(g399 +g1206 +tp9232 +a(g399 +g1222 +tp9233 +a(g399 +g1141 +tp9234 +a(g560 +Vurl_encode +p9235 +tp9236 +a(g399 +g1141 +tp9237 +a(g560 +Vdefault +p9238 +tp9239 +a(g399 +g1145 +tp9240 +a(g143 +V"default" +p9241 +tp9242 +a(g399 +g1149 +tp9243 +a(g900 +g960 +tp9244 +a(g737 +V}} +p9245 +tp9246 +a(g7 +V\u000a +p9247 +tp9248 +a(g737 +V{{ +p9249 +tp9250 +a(g900 +g960 +tp9251 +a(g216 +V'spéßi%le%c0d@dspa ce' +p9252 +tp9253 +a(g399 +g1141 +tp9254 +a(g560 +Vurl_encode +p9255 +tp9256 +a(g900 +g960 +tp9257 +a(g737 +V}} +p9258 +tp9259 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo=bar&number=3&sp%C3%A9%C3%9Fi%25l=e%25c0d%40d&spa%20ce=\u000afoo=bar&number=3&sp%C3%A9%C3%9Fi%25l=e%25c0d%40d&spa%20ce=\u000adefault\u000asp%C3%A9%C3%9Fi%25le%25c0d%40dspa%20ce\u000a--TEST--\u000a"attribute" function\u000a--TEMPLATE--\u000a +p9260 +tp9261 +a(g737 +V{{ +p9262 +tp9263 +a(g900 +g960 +tp9264 +a(g427 +Vattribute +p9265 +tp9266 +a(g399 +g1145 +tp9267 +a(g427 +Vobj +p9268 +tp9269 +a(g399 +g1162 +tp9270 +a(g900 +g960 +tp9271 +a(g427 +Vmethod +p9272 +tp9273 +a(g399 +g1149 +tp9274 +a(g900 +g960 +tp9275 +a(g737 +V}} +p9276 +tp9277 +a(g7 +V\u000a +p9278 +tp9279 +a(g737 +V{{ +p9280 +tp9281 +a(g900 +g960 +tp9282 +a(g427 +Vattribute +p9283 +tp9284 +a(g399 +g1145 +tp9285 +a(g427 +Varray +p9286 +tp9287 +a(g399 +g1162 +tp9288 +a(g900 +g960 +tp9289 +a(g427 +Vitem +p9290 +tp9291 +a(g399 +g1149 +tp9292 +a(g900 +g960 +tp9293 +a(g737 +V}} +p9294 +tp9295 +a(g7 +V\u000a +p9296 +tp9297 +a(g737 +V{{ +p9298 +tp9299 +a(g900 +g960 +tp9300 +a(g427 +Vattribute +p9301 +tp9302 +a(g399 +g1145 +tp9303 +a(g427 +Vobj +p9304 +tp9305 +a(g399 +g1162 +tp9306 +a(g900 +g960 +tp9307 +a(g143 +V"bar" +p9308 +tp9309 +a(g399 +g1162 +tp9310 +a(g900 +g960 +tp9311 +a(g399 +g1089 +tp9312 +a(g143 +V"a" +p9313 +tp9314 +a(g399 +g1162 +tp9315 +a(g900 +g960 +tp9316 +a(g143 +V"b" +p9317 +tp9318 +a(g399 +g1093 +tp9319 +a(g399 +g1149 +tp9320 +a(g900 +g960 +tp9321 +a(g737 +V}} +p9322 +tp9323 +a(g7 +V\u000a +p9324 +tp9325 +a(g737 +V{{ +p9326 +tp9327 +a(g900 +g960 +tp9328 +a(g427 +Vattribute +p9329 +tp9330 +a(g399 +g1145 +tp9331 +a(g427 +Vobj +p9332 +tp9333 +a(g399 +g1162 +tp9334 +a(g900 +g960 +tp9335 +a(g143 +V"bar" +p9336 +tp9337 +a(g399 +g1162 +tp9338 +a(g900 +g960 +tp9339 +a(g427 +Varguments +p9340 +tp9341 +a(g399 +g1149 +tp9342 +a(g900 +g960 +tp9343 +a(g737 +V}} +p9344 +tp9345 +a(g7 +V\u000a +p9346 +tp9347 +a(g737 +V{{ +p9348 +tp9349 +a(g900 +g960 +tp9350 +a(g427 +Vattribute +p9351 +tp9352 +a(g399 +g1145 +tp9353 +a(g427 +Vobj +p9354 +tp9355 +a(g399 +g1162 +tp9356 +a(g900 +g960 +tp9357 +a(g427 +Vmethod +p9358 +tp9359 +a(g399 +g1149 +tp9360 +a(g900 +g960 +tp9361 +a(g818 +Vis +p9362 +tp9363 +a(g900 +g960 +tp9364 +a(g560 +Vdefined +p9365 +tp9366 +a(g900 +g960 +tp9367 +a(g399 +g2039 +tp9368 +a(g900 +g960 +tp9369 +a(g216 +V'ok' +p9370 +tp9371 +a(g900 +g960 +tp9372 +a(g399 +g1210 +tp9373 +a(g900 +g960 +tp9374 +a(g216 +V'ko' +p9375 +tp9376 +a(g900 +g960 +tp9377 +a(g737 +V}} +p9378 +tp9379 +a(g7 +V\u000a +p9380 +tp9381 +a(g737 +V{{ +p9382 +tp9383 +a(g900 +g960 +tp9384 +a(g427 +Vattribute +p9385 +tp9386 +a(g399 +g1145 +tp9387 +a(g427 +Vobj +p9388 +tp9389 +a(g399 +g1162 +tp9390 +a(g900 +g960 +tp9391 +a(g427 +Vnonmethod +p9392 +tp9393 +a(g399 +g1149 +tp9394 +a(g900 +g960 +tp9395 +a(g818 +Vis +p9396 +tp9397 +a(g900 +g960 +tp9398 +a(g560 +Vdefined +p9399 +tp9400 +a(g900 +g960 +tp9401 +a(g399 +g2039 +tp9402 +a(g900 +g960 +tp9403 +a(g216 +V'ok' +p9404 +tp9405 +a(g900 +g960 +tp9406 +a(g399 +g1210 +tp9407 +a(g900 +g960 +tp9408 +a(g216 +V'ko' +p9409 +tp9410 +a(g900 +g960 +tp9411 +a(g737 +V}} +p9412 +tp9413 +a(g7 +V\u000a--DATA--\u000areturn array('obj' => new TwigTestFoo(), 'method' => 'foo', 'array' => array('foo' => 'bar'), 'item' => 'foo', 'nonmethod' => 'xxx', 'arguments' => array('a', 'b'))\u000a--EXPECT--\u000afoo\u000abar\u000abar_a-b\u000abar_a-b\u000aok\u000ako\u000a--TEST--\u000a"block" function\u000a--TEMPLATE--\u000a +p9414 +tp9415 +a(g737 +V{% +p9416 +tp9417 +a(g900 +g960 +tp9418 +a(g818 +Vextends +p9419 +tp9420 +a(g900 +g960 +tp9421 +a(g216 +V'base.twig' +p9422 +tp9423 +a(g900 +g960 +tp9424 +a(g737 +V%} +p9425 +tp9426 +a(g7 +V\u000a +p9427 +tp9428 +a(g737 +V{% +p9429 +tp9430 +a(g900 +g960 +tp9431 +a(g818 +Vblock +p9432 +tp9433 +a(g900 +g960 +tp9434 +a(g427 +Vbar +p9435 +tp9436 +a(g900 +g960 +tp9437 +a(g737 +V%} +p9438 +tp9439 +a(g7 +VBAR +p9440 +tp9441 +a(g737 +V{% +p9442 +tp9443 +a(g900 +g960 +tp9444 +a(g818 +Vendblock +p9445 +tp9446 +a(g900 +g960 +tp9447 +a(g737 +V%} +p9448 +tp9449 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p9450 +tp9451 +a(g737 +V{% +p9452 +tp9453 +a(g900 +g960 +tp9454 +a(g818 +Vblock +p9455 +tp9456 +a(g900 +g960 +tp9457 +a(g427 +Vfoo +p9458 +tp9459 +a(g900 +g960 +tp9460 +a(g737 +V%} +p9461 +tp9462 +a(g737 +V{{ +p9463 +tp9464 +a(g900 +g960 +tp9465 +a(g668 +Vblock +p9466 +tp9467 +a(g399 +g1145 +tp9468 +a(g216 +V'bar' +p9469 +tp9470 +a(g399 +g1149 +tp9471 +a(g900 +g960 +tp9472 +a(g737 +V}} +p9473 +tp9474 +a(g737 +V{% +p9475 +tp9476 +a(g900 +g960 +tp9477 +a(g818 +Vendblock +p9478 +tp9479 +a(g900 +g960 +tp9480 +a(g737 +V%} +p9481 +tp9482 +a(g7 +V\u000a +p9483 +tp9484 +a(g737 +V{% +p9485 +tp9486 +a(g900 +g960 +tp9487 +a(g818 +Vblock +p9488 +tp9489 +a(g900 +g960 +tp9490 +a(g427 +Vbar +p9491 +tp9492 +a(g900 +g960 +tp9493 +a(g737 +V%} +p9494 +tp9495 +a(g7 +VBAR_BASE +p9496 +tp9497 +a(g737 +V{% +p9498 +tp9499 +a(g900 +g960 +tp9500 +a(g818 +Vendblock +p9501 +tp9502 +a(g900 +g960 +tp9503 +a(g737 +V%} +p9504 +tp9505 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aBARBAR\u000a--TEST--\u000a"constant" function\u000a--TEMPLATE--\u000a +p9506 +tp9507 +a(g737 +V{{ +p9508 +tp9509 +a(g900 +g960 +tp9510 +a(g427 +Vconstant +p9511 +tp9512 +a(g399 +g1145 +tp9513 +a(g216 +V'DATE_W3C' +p9514 +tp9515 +a(g399 +g1149 +tp9516 +a(g900 +g960 +tp9517 +a(g399 +V== +p9518 +tp9519 +a(g900 +g960 +tp9520 +a(g427 +Vexpect +p9521 +tp9522 +a(g900 +g960 +tp9523 +a(g399 +g2039 +tp9524 +a(g900 +g960 +tp9525 +a(g216 +V'true' +p9526 +tp9527 +a(g900 +g960 +tp9528 +a(g399 +g1210 +tp9529 +a(g900 +g960 +tp9530 +a(g216 +V'false' +p9531 +tp9532 +a(g900 +g960 +tp9533 +a(g737 +V}} +p9534 +tp9535 +a(g7 +V\u000a +p9536 +tp9537 +a(g737 +V{{ +p9538 +tp9539 +a(g900 +g960 +tp9540 +a(g427 +Vconstant +p9541 +tp9542 +a(g399 +g1145 +tp9543 +a(g216 +V'ARRAY_AS_PROPS' +p9544 +tp9545 +a(g399 +g1162 +tp9546 +a(g900 +g960 +tp9547 +a(g427 +Vobject +p9548 +tp9549 +a(g399 +g1149 +tp9550 +a(g900 +g960 +tp9551 +a(g737 +V}} +p9552 +tp9553 +a(g7 +V\u000a--DATA--\u000areturn array('expect' => DATE_W3C, 'object' => new ArrayObject(array('hi')));\u000a--EXPECT--\u000atrue\u000a2\u000a--TEST--\u000a"cycle" function\u000a--TEMPLATE--\u000a +p9554 +tp9555 +a(g737 +V{% +p9556 +tp9557 +a(g900 +g960 +tp9558 +a(g818 +Vfor +p9559 +tp9560 +a(g900 +g960 +tp9561 +a(g427 +g991 +tp9562 +a(g900 +g960 +tp9563 +a(g818 +Vin +p9564 +tp9565 +a(g900 +g960 +tp9566 +a(g17 +V0. +p9567 +tp9568 +a(g17 +V.6 +p9569 +tp9570 +a(g900 +g960 +tp9571 +a(g737 +V%} +p9572 +tp9573 +a(g7 +V\u000a +p9574 +tp9575 +a(g737 +V{{ +p9576 +tp9577 +a(g900 +g960 +tp9578 +a(g427 +Vcycle +p9579 +tp9580 +a(g399 +g1145 +tp9581 +a(g427 +Varray1 +p9582 +tp9583 +a(g399 +g1162 +tp9584 +a(g900 +g960 +tp9585 +a(g427 +g991 +tp9586 +a(g399 +g1149 +tp9587 +a(g900 +g960 +tp9588 +a(g737 +V}} +p9589 +tp9590 +a(g7 +g1678 +tp9591 +a(g737 +V{{ +p9592 +tp9593 +a(g900 +g960 +tp9594 +a(g427 +Vcycle +p9595 +tp9596 +a(g399 +g1145 +tp9597 +a(g427 +Varray2 +p9598 +tp9599 +a(g399 +g1162 +tp9600 +a(g900 +g960 +tp9601 +a(g427 +g991 +tp9602 +a(g399 +g1149 +tp9603 +a(g900 +g960 +tp9604 +a(g737 +V}} +p9605 +tp9606 +a(g7 +V\u000a +p9607 +tp9608 +a(g737 +V{% +p9609 +tp9610 +a(g900 +g960 +tp9611 +a(g818 +Vendfor +p9612 +tp9613 +a(g900 +g960 +tp9614 +a(g737 +V%} +p9615 +tp9616 +a(g7 +V\u000a--DATA--\u000areturn array('array1' => array('odd', 'even'), 'array2' => array('apple', 'orange', 'citrus'))\u000a--EXPECT--\u000aodd-apple\u000aeven-orange\u000aodd-citrus\u000aeven-apple\u000aodd-orange\u000aeven-citrus\u000aodd-apple\u000a--TEST--\u000a"date" function\u000a--TEMPLATE--\u000a +p9617 +tp9618 +a(g737 +V{{ +p9619 +tp9620 +a(g900 +g960 +tp9621 +a(g427 +Vdate +p9622 +tp9623 +a(g399 +g1145 +tp9624 +a(g427 +Vdate +p9625 +tp9626 +a(g399 +g1162 +tp9627 +a(g900 +g960 +tp9628 +a(g143 +V"America/New_York" +p9629 +tp9630 +a(g399 +g1149 +tp9631 +a(g399 +g1141 +tp9632 +a(g560 +Vdate +p9633 +tp9634 +a(g399 +g1145 +tp9635 +a(g216 +V'd/m/Y H:i:s P' +p9636 +tp9637 +a(g399 +g1162 +tp9638 +a(g900 +g960 +tp9639 +a(g859 +Vfalse +p9640 +tp9641 +a(g399 +g1149 +tp9642 +a(g900 +g960 +tp9643 +a(g737 +V}} +p9644 +tp9645 +a(g7 +V\u000a +p9646 +tp9647 +a(g737 +V{{ +p9648 +tp9649 +a(g900 +g960 +tp9650 +a(g427 +Vdate +p9651 +tp9652 +a(g399 +g1145 +tp9653 +a(g427 +Vtimezone +p9654 +tp9655 +a(g399 +g1342 +tp9656 +a(g143 +V"America/New_York" +p9657 +tp9658 +a(g399 +g1162 +tp9659 +a(g900 +g960 +tp9660 +a(g427 +Vdate +p9661 +tp9662 +a(g399 +g1342 +tp9663 +a(g427 +Vdate +p9664 +tp9665 +a(g399 +g1149 +tp9666 +a(g399 +g1141 +tp9667 +a(g560 +Vdate +p9668 +tp9669 +a(g399 +g1145 +tp9670 +a(g216 +V'd/m/Y H:i:s P' +p9671 +tp9672 +a(g399 +g1162 +tp9673 +a(g900 +g960 +tp9674 +a(g859 +Vfalse +p9675 +tp9676 +a(g399 +g1149 +tp9677 +a(g900 +g960 +tp9678 +a(g737 +V}} +p9679 +tp9680 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('UTC');\u000areturn array('date' => mktime(13, 45, 0, 10, 4, 2010))\u000a--EXPECT--\u000a04/10/2010 09:45:00 -04:00\u000a04/10/2010 09:45:00 -04:00\u000a--TEST--\u000a"date" function\u000a--TEMPLATE--\u000a +p9681 +tp9682 +a(g737 +V{{ +p9683 +tp9684 +a(g900 +g960 +tp9685 +a(g427 +Vdate +p9686 +tp9687 +a(g399 +g1145 +tp9688 +a(g399 +g1149 +tp9689 +a(g900 +g960 +tp9690 +a(g399 +V== +p9691 +tp9692 +a(g900 +g960 +tp9693 +a(g427 +Vdate +p9694 +tp9695 +a(g399 +g1145 +tp9696 +a(g216 +V'now' +p9697 +tp9698 +a(g399 +g1149 +tp9699 +a(g900 +g960 +tp9700 +a(g399 +g2039 +tp9701 +a(g900 +g960 +tp9702 +a(g216 +V'OK' +p9703 +tp9704 +a(g900 +g960 +tp9705 +a(g399 +g1210 +tp9706 +a(g900 +g960 +tp9707 +a(g216 +V'KO' +p9708 +tp9709 +a(g900 +g960 +tp9710 +a(g737 +V}} +p9711 +tp9712 +a(g7 +V\u000a +p9713 +tp9714 +a(g737 +V{{ +p9715 +tp9716 +a(g900 +g960 +tp9717 +a(g427 +Vdate +p9718 +tp9719 +a(g399 +g1145 +tp9720 +a(g427 +Vdate1 +p9721 +tp9722 +a(g399 +g1149 +tp9723 +a(g900 +g960 +tp9724 +a(g399 +V== +p9725 +tp9726 +a(g900 +g960 +tp9727 +a(g427 +Vdate +p9728 +tp9729 +a(g399 +g1145 +tp9730 +a(g216 +V'2010-10-04 13:45' +p9731 +tp9732 +a(g399 +g1149 +tp9733 +a(g900 +g960 +tp9734 +a(g399 +g2039 +tp9735 +a(g900 +g960 +tp9736 +a(g216 +V'OK' +p9737 +tp9738 +a(g900 +g960 +tp9739 +a(g399 +g1210 +tp9740 +a(g900 +g960 +tp9741 +a(g216 +V'KO' +p9742 +tp9743 +a(g900 +g960 +tp9744 +a(g737 +V}} +p9745 +tp9746 +a(g7 +V\u000a +p9747 +tp9748 +a(g737 +V{{ +p9749 +tp9750 +a(g900 +g960 +tp9751 +a(g427 +Vdate +p9752 +tp9753 +a(g399 +g1145 +tp9754 +a(g427 +Vdate2 +p9755 +tp9756 +a(g399 +g1149 +tp9757 +a(g900 +g960 +tp9758 +a(g399 +V== +p9759 +tp9760 +a(g900 +g960 +tp9761 +a(g427 +Vdate +p9762 +tp9763 +a(g399 +g1145 +tp9764 +a(g216 +V'2010-10-04 13:45' +p9765 +tp9766 +a(g399 +g1149 +tp9767 +a(g900 +g960 +tp9768 +a(g399 +g2039 +tp9769 +a(g900 +g960 +tp9770 +a(g216 +V'OK' +p9771 +tp9772 +a(g900 +g960 +tp9773 +a(g399 +g1210 +tp9774 +a(g900 +g960 +tp9775 +a(g216 +V'KO' +p9776 +tp9777 +a(g900 +g960 +tp9778 +a(g737 +V}} +p9779 +tp9780 +a(g7 +V\u000a +p9781 +tp9782 +a(g737 +V{{ +p9783 +tp9784 +a(g900 +g960 +tp9785 +a(g427 +Vdate +p9786 +tp9787 +a(g399 +g1145 +tp9788 +a(g427 +Vdate3 +p9789 +tp9790 +a(g399 +g1149 +tp9791 +a(g900 +g960 +tp9792 +a(g399 +V== +p9793 +tp9794 +a(g900 +g960 +tp9795 +a(g427 +Vdate +p9796 +tp9797 +a(g399 +g1145 +tp9798 +a(g216 +V'2010-10-04 13:45' +p9799 +tp9800 +a(g399 +g1149 +tp9801 +a(g900 +g960 +tp9802 +a(g399 +g2039 +tp9803 +a(g900 +g960 +tp9804 +a(g216 +V'OK' +p9805 +tp9806 +a(g900 +g960 +tp9807 +a(g399 +g1210 +tp9808 +a(g900 +g960 +tp9809 +a(g216 +V'KO' +p9810 +tp9811 +a(g900 +g960 +tp9812 +a(g737 +V}} +p9813 +tp9814 +a(g7 +V\u000a +p9815 +tp9816 +a(g737 +V{{ +p9817 +tp9818 +a(g900 +g960 +tp9819 +a(g427 +Vdate +p9820 +tp9821 +a(g399 +g1145 +tp9822 +a(g427 +Vdate4 +p9823 +tp9824 +a(g399 +g1149 +tp9825 +a(g900 +g960 +tp9826 +a(g399 +V== +p9827 +tp9828 +a(g900 +g960 +tp9829 +a(g427 +Vdate +p9830 +tp9831 +a(g399 +g1145 +tp9832 +a(g216 +V'2010-10-04 13:45' +p9833 +tp9834 +a(g399 +g1149 +tp9835 +a(g900 +g960 +tp9836 +a(g399 +g2039 +tp9837 +a(g900 +g960 +tp9838 +a(g216 +V'OK' +p9839 +tp9840 +a(g900 +g960 +tp9841 +a(g399 +g1210 +tp9842 +a(g900 +g960 +tp9843 +a(g216 +V'KO' +p9844 +tp9845 +a(g900 +g960 +tp9846 +a(g737 +V}} +p9847 +tp9848 +a(g7 +V\u000a +p9849 +tp9850 +a(g737 +V{{ +p9851 +tp9852 +a(g900 +g960 +tp9853 +a(g427 +Vdate +p9854 +tp9855 +a(g399 +g1145 +tp9856 +a(g427 +Vdate5 +p9857 +tp9858 +a(g399 +g1149 +tp9859 +a(g900 +g960 +tp9860 +a(g399 +V== +p9861 +tp9862 +a(g900 +g960 +tp9863 +a(g427 +Vdate +p9864 +tp9865 +a(g399 +g1145 +tp9866 +a(g216 +V'1964-01-02 03:04' +p9867 +tp9868 +a(g399 +g1149 +tp9869 +a(g900 +g960 +tp9870 +a(g399 +g2039 +tp9871 +a(g900 +g960 +tp9872 +a(g216 +V'OK' +p9873 +tp9874 +a(g900 +g960 +tp9875 +a(g399 +g1210 +tp9876 +a(g900 +g960 +tp9877 +a(g216 +V'KO' +p9878 +tp9879 +a(g900 +g960 +tp9880 +a(g737 +V}} +p9881 +tp9882 +a(g7 +V\u000a--DATA--\u000adate_default_timezone_set('UTC');\u000areturn array(\u000a 'date1' => mktime(13, 45, 0, 10, 4, 2010),\u000a 'date2' => new DateTime('2010-10-04 13:45'),\u000a 'date3' => '2010-10-04 13:45',\u000a 'date4' => 1286199900, // DateTime::createFromFormat('Y-m-d H:i', '2010-10-04 13:45', new DateTimeZone('UTC'))->getTimestamp() -- A unixtimestamp is always GMT\u000a 'date5' => -189291360, // DateTime::createFromFormat('Y-m-d H:i', '1964-01-02 03:04', new DateTimeZone('UTC'))->getTimestamp(),\u000a)\u000a--EXPECT--\u000aOK\u000aOK\u000aOK\u000aOK\u000aOK\u000aOK\u000a--TEST--\u000a"dump" function, xdebug is not loaded or xdebug <2.2-dev is loaded\u000a--CONDITION--\u000a!extension_loaded('xdebug') || (($r = new ReflectionExtension('xdebug')) && version_compare($r->getVersion(), '2.2-dev', '<'))\u000a--TEMPLATE--\u000a +p9883 +tp9884 +a(g737 +V{{ +p9885 +tp9886 +a(g900 +g960 +tp9887 +a(g427 +Vdump +p9888 +tp9889 +a(g399 +g1145 +tp9890 +a(g399 +g1149 +tp9891 +a(g900 +g960 +tp9892 +a(g737 +V}} +p9893 +tp9894 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'foo', 'bar' => 'bar')\u000a--CONFIG--\u000areturn array('debug' => true, 'autoescape' => false);\u000a--TEST--\u000a"dump" function\u000a--CONDITION--\u000a!extension_loaded('xdebug')\u000a--TEMPLATE--\u000a +p9895 +tp9896 +a(g737 +V{{ +p9897 +tp9898 +a(g900 +g960 +tp9899 +a(g427 +Vdump +p9900 +tp9901 +a(g399 +g1145 +tp9902 +a(g216 +V'foo' +p9903 +tp9904 +a(g399 +g1149 +tp9905 +a(g900 +g960 +tp9906 +a(g737 +V}} +p9907 +tp9908 +a(g7 +V\u000a +p9909 +tp9910 +a(g737 +V{{ +p9911 +tp9912 +a(g900 +g960 +tp9913 +a(g427 +Vdump +p9914 +tp9915 +a(g399 +g1145 +tp9916 +a(g216 +V'foo' +p9917 +tp9918 +a(g399 +g1162 +tp9919 +a(g900 +g960 +tp9920 +a(g216 +V'bar' +p9921 +tp9922 +a(g399 +g1149 +tp9923 +a(g900 +g960 +tp9924 +a(g737 +V}} +p9925 +tp9926 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'foo', 'bar' => 'bar')\u000a--CONFIG--\u000areturn array('debug' => true, 'autoescape' => false);\u000a--EXPECT--\u000astring(3) "foo"\u000a\u000astring(3) "foo"\u000astring(3) "bar"\u000a--TEST--\u000adynamic function\u000a--TEMPLATE--\u000a +p9927 +tp9928 +a(g737 +V{{ +p9929 +tp9930 +a(g900 +g960 +tp9931 +a(g427 +Vfoo_path +p9932 +tp9933 +a(g399 +g1145 +tp9934 +a(g216 +V'bar' +p9935 +tp9936 +a(g399 +g1149 +tp9937 +a(g900 +g960 +tp9938 +a(g737 +V}} +p9939 +tp9940 +a(g7 +V\u000a +p9941 +tp9942 +a(g737 +V{{ +p9943 +tp9944 +a(g900 +g960 +tp9945 +a(g427 +Va_foo_b_bar +p9946 +tp9947 +a(g399 +g1145 +tp9948 +a(g216 +V'bar' +p9949 +tp9950 +a(g399 +g1149 +tp9951 +a(g900 +g960 +tp9952 +a(g737 +V}} +p9953 +tp9954 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo/bar\u000aa/b/bar\u000a--TEST--\u000a"include" function\u000a--TEMPLATE--\u000a +p9955 +tp9956 +a(g737 +V{% +p9957 +tp9958 +a(g900 +g960 +tp9959 +a(g818 +Vset +p9960 +tp9961 +a(g900 +g960 +tp9962 +a(g427 +Vtmp +p9963 +tp9964 +a(g900 +g960 +tp9965 +a(g399 +g1342 +tp9966 +a(g900 +g960 +tp9967 +a(g427 +Vinclude +p9968 +tp9969 +a(g399 +g1145 +tp9970 +a(g143 +V"foo.twig" +p9971 +tp9972 +a(g399 +g1149 +tp9973 +a(g900 +g960 +tp9974 +a(g737 +V%} +p9975 +tp9976 +a(g7 +V\u000a\u000aFOO +p9977 +tp9978 +a(g737 +V{{ +p9979 +tp9980 +a(g900 +g960 +tp9981 +a(g427 +Vtmp +p9982 +tp9983 +a(g900 +g960 +tp9984 +a(g737 +V}} +p9985 +tp9986 +a(g7 +VBAR\u000a--TEMPLATE(foo.twig)--\u000aFOOBAR\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000aFOOBARBAR\u000a--TEST--\u000a"include" function is safe for auto-escaping\u000a--TEMPLATE--\u000a +p9987 +tp9988 +a(g737 +V{{ +p9989 +tp9990 +a(g900 +g960 +tp9991 +a(g427 +Vinclude +p9992 +tp9993 +a(g399 +g1145 +tp9994 +a(g143 +V"foo.twig" +p9995 +tp9996 +a(g399 +g1149 +tp9997 +a(g900 +g960 +tp9998 +a(g737 +V}} +p9999 +tp10000 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a

    Test

    \u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a

    Test

    \u000a--TEST--\u000a"include" function\u000a--TEMPLATE--\u000aFOO\u000a +p10001 +tp10002 +a(g737 +V{{ +p10003 +tp10004 +a(g900 +g960 +tp10005 +a(g427 +Vinclude +p10006 +tp10007 +a(g399 +g1145 +tp10008 +a(g143 +V"foo.twig" +p10009 +tp10010 +a(g399 +g1149 +tp10011 +a(g900 +g960 +tp10012 +a(g737 +V}} +p10013 +tp10014 +a(g7 +V\u000a\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000aFOOBAR\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000a\u000aFOOBAR\u000a\u000aBAR\u000a--TEST--\u000a"include" function allows expressions for the template to include\u000a--TEMPLATE--\u000aFOO\u000a +p10015 +tp10016 +a(g737 +V{{ +p10017 +tp10018 +a(g900 +g960 +tp10019 +a(g427 +Vinclude +p10020 +tp10021 +a(g399 +g1145 +tp10022 +a(g427 +Vfoo +p10023 +tp10024 +a(g399 +g1149 +tp10025 +a(g900 +g960 +tp10026 +a(g737 +V}} +p10027 +tp10028 +a(g7 +V\u000a\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000aFOOBAR\u000a--DATA--\u000areturn array('foo' => 'foo.twig')\u000a--EXPECT--\u000aFOO\u000a\u000aFOOBAR\u000a\u000aBAR\u000a--TEST--\u000a"include" function\u000a--TEMPLATE--\u000a +p10029 +tp10030 +a(g737 +V{{ +p10031 +tp10032 +a(g900 +g960 +tp10033 +a(g427 +Vinclude +p10034 +tp10035 +a(g399 +g1145 +tp10036 +a(g399 +g1089 +tp10037 +a(g143 +V"foo.twig" +p10038 +tp10039 +a(g399 +g1162 +tp10040 +a(g900 +g960 +tp10041 +a(g143 +V"bar.twig" +p10042 +tp10043 +a(g399 +g1093 +tp10044 +a(g399 +g1162 +tp10045 +a(g900 +g960 +tp10046 +a(g427 +Vignore_missing +p10047 +tp10048 +a(g900 +g960 +tp10049 +a(g399 +g1342 +tp10050 +a(g900 +g960 +tp10051 +a(g859 +Vtrue +p10052 +tp10053 +a(g399 +g1149 +tp10054 +a(g900 +g960 +tp10055 +a(g737 +V}} +p10056 +tp10057 +a(g7 +V\u000a +p10058 +tp10059 +a(g737 +V{{ +p10060 +tp10061 +a(g900 +g960 +tp10062 +a(g427 +Vinclude +p10063 +tp10064 +a(g399 +g1145 +tp10065 +a(g143 +V"foo.twig" +p10066 +tp10067 +a(g399 +g1162 +tp10068 +a(g900 +g960 +tp10069 +a(g427 +Vignore_missing +p10070 +tp10071 +a(g900 +g960 +tp10072 +a(g399 +g1342 +tp10073 +a(g900 +g960 +tp10074 +a(g859 +Vtrue +p10075 +tp10076 +a(g399 +g1149 +tp10077 +a(g900 +g960 +tp10078 +a(g737 +V}} +p10079 +tp10080 +a(g7 +V\u000a +p10081 +tp10082 +a(g737 +V{{ +p10083 +tp10084 +a(g900 +g960 +tp10085 +a(g427 +Vinclude +p10086 +tp10087 +a(g399 +g1145 +tp10088 +a(g143 +V"foo.twig" +p10089 +tp10090 +a(g399 +g1162 +tp10091 +a(g900 +g960 +tp10092 +a(g427 +Vignore_missing +p10093 +tp10094 +a(g900 +g960 +tp10095 +a(g399 +g1342 +tp10096 +a(g900 +g960 +tp10097 +a(g859 +Vtrue +p10098 +tp10099 +a(g399 +g1162 +tp10100 +a(g900 +g960 +tp10101 +a(g427 +Vvariables +p10102 +tp10103 +a(g900 +g960 +tp10104 +a(g399 +g1342 +tp10105 +a(g900 +g960 +tp10106 +a(g399 +g1206 +tp10107 +a(g399 +g1222 +tp10108 +a(g399 +g1149 +tp10109 +a(g900 +g960 +tp10110 +a(g737 +V}} +p10111 +tp10112 +a(g7 +V\u000a +p10113 +tp10114 +a(g737 +V{{ +p10115 +tp10116 +a(g900 +g960 +tp10117 +a(g427 +Vinclude +p10118 +tp10119 +a(g399 +g1145 +tp10120 +a(g143 +V"foo.twig" +p10121 +tp10122 +a(g399 +g1162 +tp10123 +a(g900 +g960 +tp10124 +a(g427 +Vignore_missing +p10125 +tp10126 +a(g900 +g960 +tp10127 +a(g399 +g1342 +tp10128 +a(g900 +g960 +tp10129 +a(g859 +Vtrue +p10130 +tp10131 +a(g399 +g1162 +tp10132 +a(g900 +g960 +tp10133 +a(g427 +Vvariables +p10134 +tp10135 +a(g900 +g960 +tp10136 +a(g399 +g1342 +tp10137 +a(g900 +g960 +tp10138 +a(g399 +g1206 +tp10139 +a(g399 +g1222 +tp10140 +a(g399 +g1162 +tp10141 +a(g900 +g960 +tp10142 +a(g427 +Vwith_context +p10143 +tp10144 +a(g900 +g960 +tp10145 +a(g399 +g1342 +tp10146 +a(g900 +g960 +tp10147 +a(g859 +Vtrue +p10148 +tp10149 +a(g399 +g1149 +tp10150 +a(g900 +g960 +tp10151 +a(g737 +V}} +p10152 +tp10153 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a--TEST--\u000a"include" function\u000a--TEMPLATE--\u000a +p10154 +tp10155 +a(g737 +V{% +p10156 +tp10157 +a(g900 +g960 +tp10158 +a(g818 +Vextends +p10159 +tp10160 +a(g900 +g960 +tp10161 +a(g143 +V"base.twig" +p10162 +tp10163 +a(g900 +g960 +tp10164 +a(g737 +V%} +p10165 +tp10166 +a(g7 +V\u000a\u000a +p10167 +tp10168 +a(g737 +V{% +p10169 +tp10170 +a(g900 +g960 +tp10171 +a(g818 +Vblock +p10172 +tp10173 +a(g900 +g960 +tp10174 +a(g427 +Vcontent +p10175 +tp10176 +a(g900 +g960 +tp10177 +a(g737 +V%} +p10178 +tp10179 +a(g7 +V\u000a +p10180 +tp10181 +a(g737 +V{{ +p10182 +tp10183 +a(g900 +g960 +tp10184 +a(g668 +Vparent +p10185 +tp10186 +a(g399 +g1145 +tp10187 +a(g399 +g1149 +tp10188 +a(g900 +g960 +tp10189 +a(g737 +V}} +p10190 +tp10191 +a(g7 +V\u000a +p10192 +tp10193 +a(g737 +V{% +p10194 +tp10195 +a(g900 +g960 +tp10196 +a(g818 +Vendblock +p10197 +tp10198 +a(g900 +g960 +tp10199 +a(g737 +V%} +p10200 +tp10201 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p10202 +tp10203 +a(g737 +V{% +p10204 +tp10205 +a(g900 +g960 +tp10206 +a(g818 +Vblock +p10207 +tp10208 +a(g900 +g960 +tp10209 +a(g427 +Vcontent +p10210 +tp10211 +a(g900 +g960 +tp10212 +a(g737 +V%} +p10213 +tp10214 +a(g7 +V\u000a +p10215 +tp10216 +a(g737 +V{{ +p10217 +tp10218 +a(g900 +g960 +tp10219 +a(g427 +Vinclude +p10220 +tp10221 +a(g399 +g1145 +tp10222 +a(g143 +V"foo.twig" +p10223 +tp10224 +a(g399 +g1149 +tp10225 +a(g900 +g960 +tp10226 +a(g737 +V}} +p10227 +tp10228 +a(g7 +V\u000a +p10229 +tp10230 +a(g737 +V{% +p10231 +tp10232 +a(g900 +g960 +tp10233 +a(g818 +Vendblock +p10234 +tp10235 +a(g900 +g960 +tp10236 +a(g737 +V%} +p10237 +tp10238 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXCEPTION--\u000aTwig_Error_Loader: Template "foo.twig" is not defined in "base.twig" at line 3.\u000a--TEST--\u000a"include" function\u000a--TEMPLATE--\u000a +p10239 +tp10240 +a(g737 +V{{ +p10241 +tp10242 +a(g900 +g960 +tp10243 +a(g427 +Vinclude +p10244 +tp10245 +a(g399 +g1145 +tp10246 +a(g143 +V"foo.twig" +p10247 +tp10248 +a(g399 +g1149 +tp10249 +a(g900 +g960 +tp10250 +a(g737 +V}} +p10251 +tp10252 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXCEPTION--\u000aTwig_Error_Loader: Template "foo.twig" is not defined in "index.twig" at line 2.\u000a--TEST--\u000a"include" tag sandboxed\u000a--TEMPLATE--\u000a +p10253 +tp10254 +a(g737 +V{{ +p10255 +tp10256 +a(g900 +g960 +tp10257 +a(g427 +Vinclude +p10258 +tp10259 +a(g399 +g1145 +tp10260 +a(g143 +V"foo.twig" +p10261 +tp10262 +a(g399 +g1162 +tp10263 +a(g900 +g960 +tp10264 +a(g427 +Vsandboxed +p10265 +tp10266 +a(g900 +g960 +tp10267 +a(g399 +g1342 +tp10268 +a(g900 +g960 +tp10269 +a(g859 +Vtrue +p10270 +tp10271 +a(g399 +g1149 +tp10272 +a(g900 +g960 +tp10273 +a(g737 +V}} +p10274 +tp10275 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p10276 +tp10277 +a(g737 +V{{ +p10278 +tp10279 +a(g900 +g960 +tp10280 +a(g427 +Vfoo +p10281 +tp10282 +a(g399 +g1141 +tp10283 +a(g560 +g6984 +tp10284 +a(g900 +g960 +tp10285 +a(g737 +V}} +p10286 +tp10287 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXCEPTION--\u000aTwig_Sandbox_SecurityError: Filter "e" is not allowed in "index.twig" at line 2.\u000a--TEST--\u000a"include" function accepts Twig_Template instance\u000a--TEMPLATE--\u000a +p10288 +tp10289 +a(g737 +V{{ +p10290 +tp10291 +a(g900 +g960 +tp10292 +a(g427 +Vinclude +p10293 +tp10294 +a(g399 +g1145 +tp10295 +a(g427 +Vfoo +p10296 +tp10297 +a(g399 +g1149 +tp10298 +a(g900 +g960 +tp10299 +a(g737 +V}} +p10300 +tp10301 +a(g7 +V FOO\u000a--TEMPLATE(foo.twig)--\u000aBAR\u000a--DATA--\u000areturn array('foo' => $twig->loadTemplate('foo.twig'))\u000a--EXPECT--\u000aBAR FOO\u000a--TEST--\u000a"include" function\u000a--TEMPLATE--\u000a +p10302 +tp10303 +a(g737 +V{{ +p10304 +tp10305 +a(g900 +g960 +tp10306 +a(g427 +Vinclude +p10307 +tp10308 +a(g399 +g1145 +tp10309 +a(g399 +g1089 +tp10310 +a(g143 +V"foo.twig" +p10311 +tp10312 +a(g399 +g1162 +tp10313 +a(g900 +g960 +tp10314 +a(g143 +V"bar.twig" +p10315 +tp10316 +a(g399 +g1093 +tp10317 +a(g399 +g1149 +tp10318 +a(g900 +g960 +tp10319 +a(g737 +V}} +p10320 +tp10321 +a(g7 +V\u000a +p10322 +tp10323 +a(g737 +V{{ +p10324 +tp10325 +a(g399 +g1678 +tp10326 +a(g900 +g960 +tp10327 +a(g427 +Vinclude +p10328 +tp10329 +a(g399 +g1145 +tp10330 +a(g399 +g1089 +tp10331 +a(g143 +V"bar.twig" +p10332 +tp10333 +a(g399 +g1162 +tp10334 +a(g900 +g960 +tp10335 +a(g143 +V"foo.twig" +p10336 +tp10337 +a(g399 +g1093 +tp10338 +a(g399 +g1149 +tp10339 +a(g900 +g960 +tp10340 +a(g737 +V}} +p10341 +tp10342 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000afoo\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000afoo\u000a--TEST--\u000a"include" function accept variables and with_context\u000a--TEMPLATE--\u000a +p10343 +tp10344 +a(g737 +V{{ +p10345 +tp10346 +a(g900 +g960 +tp10347 +a(g427 +Vinclude +p10348 +tp10349 +a(g399 +g1145 +tp10350 +a(g143 +V"foo.twig" +p10351 +tp10352 +a(g399 +g1149 +tp10353 +a(g900 +g960 +tp10354 +a(g737 +V}} +p10355 +tp10356 +a(g7 +V\u000a +p10357 +tp10358 +a(g737 +V{{ +p10359 +tp10360 +a(g399 +g1678 +tp10361 +a(g900 +g960 +tp10362 +a(g427 +Vinclude +p10363 +tp10364 +a(g399 +g1145 +tp10365 +a(g143 +V"foo.twig" +p10366 +tp10367 +a(g399 +g1162 +tp10368 +a(g900 +g960 +tp10369 +a(g427 +Vwith_context +p10370 +tp10371 +a(g900 +g960 +tp10372 +a(g399 +g1342 +tp10373 +a(g900 +g960 +tp10374 +a(g859 +Vfalse +p10375 +tp10376 +a(g399 +g1149 +tp10377 +a(g900 +g960 +tp10378 +a(g737 +V}} +p10379 +tp10380 +a(g7 +V\u000a +p10381 +tp10382 +a(g737 +V{{ +p10383 +tp10384 +a(g399 +g1678 +tp10385 +a(g900 +g960 +tp10386 +a(g427 +Vinclude +p10387 +tp10388 +a(g399 +g1145 +tp10389 +a(g143 +V"foo.twig" +p10390 +tp10391 +a(g399 +g1162 +tp10392 +a(g900 +g960 +tp10393 +a(g399 +g1206 +tp10394 +a(g216 +V'foo1' +p10395 +tp10396 +a(g399 +g1210 +tp10397 +a(g900 +g960 +tp10398 +a(g216 +V'bar' +p10399 +tp10400 +a(g399 +g1222 +tp10401 +a(g399 +g1149 +tp10402 +a(g900 +g960 +tp10403 +a(g737 +V}} +p10404 +tp10405 +a(g7 +V\u000a +p10406 +tp10407 +a(g737 +V{{ +p10408 +tp10409 +a(g399 +g1678 +tp10410 +a(g900 +g960 +tp10411 +a(g427 +Vinclude +p10412 +tp10413 +a(g399 +g1145 +tp10414 +a(g143 +V"foo.twig" +p10415 +tp10416 +a(g399 +g1162 +tp10417 +a(g900 +g960 +tp10418 +a(g399 +g1206 +tp10419 +a(g216 +V'foo1' +p10420 +tp10421 +a(g399 +g1210 +tp10422 +a(g900 +g960 +tp10423 +a(g216 +V'bar' +p10424 +tp10425 +a(g399 +g1222 +tp10426 +a(g399 +g1162 +tp10427 +a(g900 +g960 +tp10428 +a(g427 +Vwith_context +p10429 +tp10430 +a(g900 +g960 +tp10431 +a(g399 +g1342 +tp10432 +a(g900 +g960 +tp10433 +a(g859 +Vfalse +p10434 +tp10435 +a(g399 +g1149 +tp10436 +a(g900 +g960 +tp10437 +a(g737 +V}} +p10438 +tp10439 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p10440 +tp10441 +a(g737 +V{% +p10442 +tp10443 +a(g900 +g960 +tp10444 +a(g818 +Vfor +p10445 +tp10446 +a(g900 +g960 +tp10447 +a(g427 +Vk +p10448 +tp10449 +a(g399 +g1162 +tp10450 +a(g900 +g960 +tp10451 +a(g427 +Vv +p10452 +tp10453 +a(g900 +g960 +tp10454 +a(g818 +Vin +p10455 +tp10456 +a(g900 +g960 +tp10457 +a(g427 +V_context +p10458 +tp10459 +a(g900 +g960 +tp10460 +a(g737 +V%} +p10461 +tp10462 +a(g737 +V{{ +p10463 +tp10464 +a(g900 +g960 +tp10465 +a(g427 +g10448 +tp10466 +a(g900 +g960 +tp10467 +a(g737 +V}} +p10468 +tp10469 +a(g7 +g1162 +tp10470 +a(g737 +V{% +p10471 +tp10472 +a(g900 +g960 +tp10473 +a(g818 +Vendfor +p10474 +tp10475 +a(g900 +g960 +tp10476 +a(g737 +V%} +p10477 +tp10478 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'bar')\u000a--EXPECT--\u000afoo,global,_parent,\u000aglobal,_parent,\u000afoo,global,foo1,_parent,\u000afoo1,global,_parent,\u000a--TEST--\u000a"include" function accept variables\u000a--TEMPLATE--\u000a +p10479 +tp10480 +a(g737 +V{{ +p10481 +tp10482 +a(g900 +g960 +tp10483 +a(g427 +Vinclude +p10484 +tp10485 +a(g399 +g1145 +tp10486 +a(g143 +V"foo.twig" +p10487 +tp10488 +a(g399 +g1162 +tp10489 +a(g900 +g960 +tp10490 +a(g399 +g1206 +tp10491 +a(g216 +V'foo' +p10492 +tp10493 +a(g399 +g1210 +tp10494 +a(g900 +g960 +tp10495 +a(g216 +V'bar' +p10496 +tp10497 +a(g399 +g1222 +tp10498 +a(g399 +g1149 +tp10499 +a(g900 +g960 +tp10500 +a(g737 +V}} +p10501 +tp10502 +a(g7 +V\u000a +p10503 +tp10504 +a(g737 +V{{ +p10505 +tp10506 +a(g399 +g1678 +tp10507 +a(g900 +g960 +tp10508 +a(g427 +Vinclude +p10509 +tp10510 +a(g399 +g1145 +tp10511 +a(g143 +V"foo.twig" +p10512 +tp10513 +a(g399 +g1162 +tp10514 +a(g900 +g960 +tp10515 +a(g427 +Vvars +p10516 +tp10517 +a(g399 +g1149 +tp10518 +a(g900 +g960 +tp10519 +a(g737 +V}} +p10520 +tp10521 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p10522 +tp10523 +a(g737 +V{{ +p10524 +tp10525 +a(g900 +g960 +tp10526 +a(g427 +Vfoo +p10527 +tp10528 +a(g900 +g960 +tp10529 +a(g737 +V}} +p10530 +tp10531 +a(g7 +V\u000a--DATA--\u000areturn array('vars' => array('foo' => 'bar'))\u000a--EXPECT--\u000abar\u000abar\u000a--TEST--\u000a"max" function\u000a--TEMPLATE--\u000a +p10532 +tp10533 +a(g737 +V{{ +p10534 +tp10535 +a(g900 +g960 +tp10536 +a(g427 +Vmax +p10537 +tp10538 +a(g399 +g1145 +tp10539 +a(g399 +g1089 +tp10540 +a(g17 +g1165 +tp10541 +a(g399 +g1162 +tp10542 +a(g900 +g960 +tp10543 +a(g17 +g1160 +tp10544 +a(g399 +g1162 +tp10545 +a(g900 +g960 +tp10546 +a(g17 +g1404 +tp10547 +a(g399 +g1162 +tp10548 +a(g900 +g960 +tp10549 +a(g17 +g1963 +tp10550 +a(g399 +g1162 +tp10551 +a(g900 +g960 +tp10552 +a(g17 +g3087 +tp10553 +a(g399 +g1093 +tp10554 +a(g399 +g1149 +tp10555 +a(g900 +g960 +tp10556 +a(g737 +V}} +p10557 +tp10558 +a(g7 +V\u000a +p10559 +tp10560 +a(g737 +V{{ +p10561 +tp10562 +a(g900 +g960 +tp10563 +a(g427 +Vmax +p10564 +tp10565 +a(g399 +g1145 +tp10566 +a(g17 +g1165 +tp10567 +a(g399 +g1162 +tp10568 +a(g900 +g960 +tp10569 +a(g17 +g1160 +tp10570 +a(g399 +g1162 +tp10571 +a(g900 +g960 +tp10572 +a(g17 +g1404 +tp10573 +a(g399 +g1162 +tp10574 +a(g900 +g960 +tp10575 +a(g17 +g1963 +tp10576 +a(g399 +g1162 +tp10577 +a(g900 +g960 +tp10578 +a(g17 +g3087 +tp10579 +a(g399 +g1149 +tp10580 +a(g900 +g960 +tp10581 +a(g737 +V}} +p10582 +tp10583 +a(g7 +V\u000a +p10584 +tp10585 +a(g737 +V{{ +p10586 +tp10587 +a(g900 +g960 +tp10588 +a(g427 +Vmax +p10589 +tp10590 +a(g399 +g1145 +tp10591 +a(g399 +g1206 +tp10592 +a(g17 +g1165 +tp10593 +a(g143 +V:"two" +p10594 +tp10595 +a(g399 +g1162 +tp10596 +a(g900 +g960 +tp10597 +a(g17 +g1160 +tp10598 +a(g143 +V:"one" +p10599 +tp10600 +a(g399 +g1162 +tp10601 +a(g900 +g960 +tp10602 +a(g17 +g1404 +tp10603 +a(g143 +V:"three" +p10604 +tp10605 +a(g399 +g1162 +tp10606 +a(g900 +g960 +tp10607 +a(g17 +g1963 +tp10608 +a(g143 +V:"five" +p10609 +tp10610 +a(g399 +g1162 +tp10611 +a(g900 +g960 +tp10612 +a(g17 +g3087 +tp10613 +a(g143 +V:"for" +p10614 +tp10615 +a(g399 +g1222 +tp10616 +a(g399 +g1149 +tp10617 +a(g900 +g960 +tp10618 +a(g737 +V}} +p10619 +tp10620 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a5\u000a5\u000atwo\u000a--TEST--\u000a"min" function\u000a--TEMPLATE--\u000a +p10621 +tp10622 +a(g737 +V{{ +p10623 +tp10624 +a(g900 +g960 +tp10625 +a(g427 +Vmin +p10626 +tp10627 +a(g399 +g1145 +tp10628 +a(g17 +g1165 +tp10629 +a(g399 +g1162 +tp10630 +a(g900 +g960 +tp10631 +a(g17 +g1160 +tp10632 +a(g399 +g1162 +tp10633 +a(g900 +g960 +tp10634 +a(g17 +g1404 +tp10635 +a(g399 +g1162 +tp10636 +a(g900 +g960 +tp10637 +a(g17 +g1963 +tp10638 +a(g399 +g1162 +tp10639 +a(g900 +g960 +tp10640 +a(g17 +g3087 +tp10641 +a(g399 +g1149 +tp10642 +a(g900 +g960 +tp10643 +a(g737 +V}} +p10644 +tp10645 +a(g7 +V\u000a +p10646 +tp10647 +a(g737 +V{{ +p10648 +tp10649 +a(g900 +g960 +tp10650 +a(g427 +Vmin +p10651 +tp10652 +a(g399 +g1145 +tp10653 +a(g399 +g1089 +tp10654 +a(g17 +g1165 +tp10655 +a(g399 +g1162 +tp10656 +a(g900 +g960 +tp10657 +a(g17 +g1160 +tp10658 +a(g399 +g1162 +tp10659 +a(g900 +g960 +tp10660 +a(g17 +g1404 +tp10661 +a(g399 +g1162 +tp10662 +a(g900 +g960 +tp10663 +a(g17 +g1963 +tp10664 +a(g399 +g1162 +tp10665 +a(g900 +g960 +tp10666 +a(g17 +g3087 +tp10667 +a(g399 +g1093 +tp10668 +a(g399 +g1149 +tp10669 +a(g900 +g960 +tp10670 +a(g737 +V}} +p10671 +tp10672 +a(g7 +V\u000a +p10673 +tp10674 +a(g737 +V{{ +p10675 +tp10676 +a(g900 +g960 +tp10677 +a(g427 +Vmin +p10678 +tp10679 +a(g399 +g1145 +tp10680 +a(g399 +g1206 +tp10681 +a(g17 +g1165 +tp10682 +a(g143 +V:"two" +p10683 +tp10684 +a(g399 +g1162 +tp10685 +a(g900 +g960 +tp10686 +a(g17 +g1160 +tp10687 +a(g143 +V:"one" +p10688 +tp10689 +a(g399 +g1162 +tp10690 +a(g900 +g960 +tp10691 +a(g17 +g1404 +tp10692 +a(g143 +V:"three" +p10693 +tp10694 +a(g399 +g1162 +tp10695 +a(g900 +g960 +tp10696 +a(g17 +g1963 +tp10697 +a(g143 +V:"five" +p10698 +tp10699 +a(g399 +g1162 +tp10700 +a(g900 +g960 +tp10701 +a(g17 +g3087 +tp10702 +a(g143 +V:"for" +p10703 +tp10704 +a(g399 +g1222 +tp10705 +a(g399 +g1149 +tp10706 +a(g900 +g960 +tp10707 +a(g737 +V}} +p10708 +tp10709 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a1\u000a1\u000afive\u000a--TEST--\u000a"range" function\u000a--TEMPLATE--\u000a +p10710 +tp10711 +a(g737 +V{{ +p10712 +tp10713 +a(g900 +g960 +tp10714 +a(g427 +Vrange +p10715 +tp10716 +a(g399 +g1145 +tp10717 +a(g427 +Vlow +p10718 +tp10719 +a(g399 +g1342 +tp10720 +a(g17 +g1208 +tp10721 +a(g399 +g1664 +tp10722 +a(g17 +g1160 +tp10723 +a(g399 +g1162 +tp10724 +a(g900 +g960 +tp10725 +a(g427 +Vhigh +p10726 +tp10727 +a(g399 +g1342 +tp10728 +a(g17 +g1160 +tp10729 +a(g17 +g1208 +tp10730 +a(g399 +g1664 +tp10731 +a(g17 +g1208 +tp10732 +a(g399 +g1162 +tp10733 +a(g900 +g960 +tp10734 +a(g427 +Vstep +p10735 +tp10736 +a(g399 +g1342 +tp10737 +a(g17 +g1165 +tp10738 +a(g399 +g1149 +tp10739 +a(g399 +g1141 +tp10740 +a(g560 +Vjoin +p10741 +tp10742 +a(g399 +g1145 +tp10743 +a(g216 +V',' +p10744 +tp10745 +a(g399 +g1149 +tp10746 +a(g900 +g960 +tp10747 +a(g737 +V}} +p10748 +tp10749 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a1,3,5,7,9\u000a--TEST--\u000a"block" function recursively called in a parent template\u000a--TEMPLATE--\u000a +p10750 +tp10751 +a(g737 +V{% +p10752 +tp10753 +a(g900 +g960 +tp10754 +a(g818 +Vextends +p10755 +tp10756 +a(g900 +g960 +tp10757 +a(g143 +V"ordered_menu.twig" +p10758 +tp10759 +a(g900 +g960 +tp10760 +a(g737 +V%} +p10761 +tp10762 +a(g7 +V\u000a +p10763 +tp10764 +a(g737 +V{% +p10765 +tp10766 +a(g900 +g960 +tp10767 +a(g818 +Vblock +p10768 +tp10769 +a(g900 +g960 +tp10770 +a(g427 +Vlabel +p10771 +tp10772 +a(g900 +g960 +tp10773 +a(g737 +V%} +p10774 +tp10775 +a(g7 +V" +p10776 +tp10777 +a(g737 +V{{ +p10778 +tp10779 +a(g900 +g960 +tp10780 +a(g668 +Vparent +p10781 +tp10782 +a(g399 +g1145 +tp10783 +a(g399 +g1149 +tp10784 +a(g900 +g960 +tp10785 +a(g737 +V}} +p10786 +tp10787 +a(g7 +g10776 +tp10788 +a(g737 +V{% +p10789 +tp10790 +a(g900 +g960 +tp10791 +a(g818 +Vendblock +p10792 +tp10793 +a(g900 +g960 +tp10794 +a(g737 +V%} +p10795 +tp10796 +a(g7 +V\u000a +p10797 +tp10798 +a(g737 +V{% +p10799 +tp10800 +a(g900 +g960 +tp10801 +a(g818 +Vblock +p10802 +tp10803 +a(g900 +g960 +tp10804 +a(g427 +Vlist +p10805 +tp10806 +a(g900 +g960 +tp10807 +a(g737 +V%} +p10808 +tp10809 +a(g737 +V{% +p10810 +tp10811 +a(g900 +g960 +tp10812 +a(g818 +Vset +p10813 +tp10814 +a(g900 +g960 +tp10815 +a(g427 +Vclass +p10816 +tp10817 +a(g900 +g960 +tp10818 +a(g399 +g1342 +tp10819 +a(g900 +g960 +tp10820 +a(g216 +V'b' +p10821 +tp10822 +a(g900 +g960 +tp10823 +a(g737 +V%} +p10824 +tp10825 +a(g737 +V{{ +p10826 +tp10827 +a(g900 +g960 +tp10828 +a(g668 +Vparent +p10829 +tp10830 +a(g399 +g1145 +tp10831 +a(g399 +g1149 +tp10832 +a(g900 +g960 +tp10833 +a(g737 +V}} +p10834 +tp10835 +a(g737 +V{% +p10836 +tp10837 +a(g900 +g960 +tp10838 +a(g818 +Vendblock +p10839 +tp10840 +a(g900 +g960 +tp10841 +a(g737 +V%} +p10842 +tp10843 +a(g7 +V\u000a--TEMPLATE(ordered_menu.twig)--\u000a +p10844 +tp10845 +a(g737 +V{% +p10846 +tp10847 +a(g900 +g960 +tp10848 +a(g818 +Vextends +p10849 +tp10850 +a(g900 +g960 +tp10851 +a(g143 +V"menu.twig" +p10852 +tp10853 +a(g900 +g960 +tp10854 +a(g737 +V%} +p10855 +tp10856 +a(g7 +V\u000a +p10857 +tp10858 +a(g737 +V{% +p10859 +tp10860 +a(g900 +g960 +tp10861 +a(g818 +Vblock +p10862 +tp10863 +a(g900 +g960 +tp10864 +a(g427 +Vlist +p10865 +tp10866 +a(g900 +g960 +tp10867 +a(g737 +V%} +p10868 +tp10869 +a(g737 +V{% +p10870 +tp10871 +a(g900 +g960 +tp10872 +a(g818 +Vset +p10873 +tp10874 +a(g900 +g960 +tp10875 +a(g427 +Vclass +p10876 +tp10877 +a(g900 +g960 +tp10878 +a(g399 +g1342 +tp10879 +a(g900 +g960 +tp10880 +a(g427 +Vclass +p10881 +tp10882 +a(g399 +g1141 +tp10883 +a(g560 +Vdefault +p10884 +tp10885 +a(g399 +g1145 +tp10886 +a(g216 +V'a' +p10887 +tp10888 +a(g399 +g1149 +tp10889 +a(g900 +g960 +tp10890 +a(g737 +V%} +p10891 +tp10892 +a(g7 +V
      +p10903 +tp10904 +a(g737 +V{{ +p10905 +tp10906 +a(g900 +g960 +tp10907 +a(g668 +Vblock +p10908 +tp10909 +a(g399 +g1145 +tp10910 +a(g216 +V'children' +p10911 +tp10912 +a(g399 +g1149 +tp10913 +a(g900 +g960 +tp10914 +a(g737 +V}} +p10915 +tp10916 +a(g7 +V
    +p10917 +tp10918 +a(g737 +V{% +p10919 +tp10920 +a(g900 +g960 +tp10921 +a(g818 +Vendblock +p10922 +tp10923 +a(g900 +g960 +tp10924 +a(g737 +V%} +p10925 +tp10926 +a(g7 +V\u000a--TEMPLATE(menu.twig)--\u000a +p10927 +tp10928 +a(g737 +V{% +p10929 +tp10930 +a(g900 +g960 +tp10931 +a(g818 +Vextends +p10932 +tp10933 +a(g900 +g960 +tp10934 +a(g143 +V"base.twig" +p10935 +tp10936 +a(g900 +g960 +tp10937 +a(g737 +V%} +p10938 +tp10939 +a(g7 +V\u000a +p10940 +tp10941 +a(g737 +V{% +p10942 +tp10943 +a(g900 +g960 +tp10944 +a(g818 +Vblock +p10945 +tp10946 +a(g900 +g960 +tp10947 +a(g427 +Vlist +p10948 +tp10949 +a(g900 +g960 +tp10950 +a(g737 +V%} +p10951 +tp10952 +a(g7 +V
      +p10953 +tp10954 +a(g737 +V{{ +p10955 +tp10956 +a(g900 +g960 +tp10957 +a(g668 +Vblock +p10958 +tp10959 +a(g399 +g1145 +tp10960 +a(g216 +V'children' +p10961 +tp10962 +a(g399 +g1149 +tp10963 +a(g900 +g960 +tp10964 +a(g737 +V}} +p10965 +tp10966 +a(g7 +V
    +p10967 +tp10968 +a(g737 +V{% +p10969 +tp10970 +a(g900 +g960 +tp10971 +a(g818 +Vendblock +p10972 +tp10973 +a(g900 +g960 +tp10974 +a(g737 +V%} +p10975 +tp10976 +a(g7 +V\u000a +p10977 +tp10978 +a(g737 +V{% +p10979 +tp10980 +a(g900 +g960 +tp10981 +a(g818 +Vblock +p10982 +tp10983 +a(g900 +g960 +tp10984 +a(g427 +Vchildren +p10985 +tp10986 +a(g900 +g960 +tp10987 +a(g737 +V%} +p10988 +tp10989 +a(g737 +V{% +p10990 +tp10991 +a(g900 +g960 +tp10992 +a(g818 +Vset +p10993 +tp10994 +a(g900 +g960 +tp10995 +a(g427 +VcurrentItem +p10996 +tp10997 +a(g900 +g960 +tp10998 +a(g399 +g1342 +tp10999 +a(g900 +g960 +tp11000 +a(g427 +Vitem +p11001 +tp11002 +a(g900 +g960 +tp11003 +a(g737 +V%} +p11004 +tp11005 +a(g737 +V{% +p11006 +tp11007 +a(g900 +g960 +tp11008 +a(g818 +Vfor +p11009 +tp11010 +a(g900 +g960 +tp11011 +a(g427 +Vitem +p11012 +tp11013 +a(g900 +g960 +tp11014 +a(g818 +Vin +p11015 +tp11016 +a(g900 +g960 +tp11017 +a(g427 +VcurrentItem +p11018 +tp11019 +a(g900 +g960 +tp11020 +a(g737 +V%} +p11021 +tp11022 +a(g737 +V{{ +p11023 +tp11024 +a(g900 +g960 +tp11025 +a(g668 +Vblock +p11026 +tp11027 +a(g399 +g1145 +tp11028 +a(g216 +V'item' +p11029 +tp11030 +a(g399 +g1149 +tp11031 +a(g900 +g960 +tp11032 +a(g737 +V}} +p11033 +tp11034 +a(g737 +V{% +p11035 +tp11036 +a(g900 +g960 +tp11037 +a(g818 +Vendfor +p11038 +tp11039 +a(g900 +g960 +tp11040 +a(g737 +V%} +p11041 +tp11042 +a(g737 +V{% +p11043 +tp11044 +a(g900 +g960 +tp11045 +a(g818 +Vset +p11046 +tp11047 +a(g900 +g960 +tp11048 +a(g427 +Vitem +p11049 +tp11050 +a(g900 +g960 +tp11051 +a(g399 +g1342 +tp11052 +a(g900 +g960 +tp11053 +a(g427 +VcurrentItem +p11054 +tp11055 +a(g900 +g960 +tp11056 +a(g737 +V%} +p11057 +tp11058 +a(g737 +V{% +p11059 +tp11060 +a(g900 +g960 +tp11061 +a(g818 +Vendblock +p11062 +tp11063 +a(g900 +g960 +tp11064 +a(g737 +V%} +p11065 +tp11066 +a(g7 +V\u000a +p11067 +tp11068 +a(g737 +V{% +p11069 +tp11070 +a(g900 +g960 +tp11071 +a(g818 +Vblock +p11072 +tp11073 +a(g900 +g960 +tp11074 +a(g427 +Vitem +p11075 +tp11076 +a(g900 +g960 +tp11077 +a(g737 +V%} +p11078 +tp11079 +a(g7 +V
  4. +p11080 +tp11081 +a(g737 +V{% +p11082 +tp11083 +a(g900 +g960 +tp11084 +a(g818 +Vif +p11085 +tp11086 +a(g900 +g960 +tp11087 +a(g427 +Vitem +p11088 +tp11089 +a(g900 +g960 +tp11090 +a(g818 +Vis +p11091 +tp11092 +a(g900 +g960 +tp11093 +a(g818 +Vnot +p11094 +tp11095 +a(g900 +g960 +tp11096 +a(g560 +Viterable +p11097 +tp11098 +a(g900 +g960 +tp11099 +a(g737 +V%} +p11100 +tp11101 +a(g737 +V{{ +p11102 +tp11103 +a(g900 +g960 +tp11104 +a(g668 +Vblock +p11105 +tp11106 +a(g399 +g1145 +tp11107 +a(g216 +V'label' +p11108 +tp11109 +a(g399 +g1149 +tp11110 +a(g900 +g960 +tp11111 +a(g737 +V}} +p11112 +tp11113 +a(g737 +V{% +p11114 +tp11115 +a(g900 +g960 +tp11116 +a(g818 +Velse +p11117 +tp11118 +a(g900 +g960 +tp11119 +a(g737 +V%} +p11120 +tp11121 +a(g737 +V{{ +p11122 +tp11123 +a(g900 +g960 +tp11124 +a(g668 +Vblock +p11125 +tp11126 +a(g399 +g1145 +tp11127 +a(g216 +V'list' +p11128 +tp11129 +a(g399 +g1149 +tp11130 +a(g900 +g960 +tp11131 +a(g737 +V}} +p11132 +tp11133 +a(g737 +V{% +p11134 +tp11135 +a(g900 +g960 +tp11136 +a(g818 +Vendif +p11137 +tp11138 +a(g900 +g960 +tp11139 +a(g737 +V%} +p11140 +tp11141 +a(g7 +V
  5. +p11142 +tp11143 +a(g737 +V{% +p11144 +tp11145 +a(g900 +g960 +tp11146 +a(g818 +Vendblock +p11147 +tp11148 +a(g900 +g960 +tp11149 +a(g737 +V%} +p11150 +tp11151 +a(g7 +V\u000a +p11152 +tp11153 +a(g737 +V{% +p11154 +tp11155 +a(g900 +g960 +tp11156 +a(g818 +Vblock +p11157 +tp11158 +a(g900 +g960 +tp11159 +a(g427 +Vlabel +p11160 +tp11161 +a(g900 +g960 +tp11162 +a(g737 +V%} +p11163 +tp11164 +a(g737 +V{{ +p11165 +tp11166 +a(g900 +g960 +tp11167 +a(g427 +Vitem +p11168 +tp11169 +a(g900 +g960 +tp11170 +a(g737 +V}} +p11171 +tp11172 +a(g737 +V{{ +p11173 +tp11174 +a(g900 +g960 +tp11175 +a(g668 +Vblock +p11176 +tp11177 +a(g399 +g1145 +tp11178 +a(g216 +V'unknown' +p11179 +tp11180 +a(g399 +g1149 +tp11181 +a(g900 +g960 +tp11182 +a(g737 +V}} +p11183 +tp11184 +a(g737 +V{% +p11185 +tp11186 +a(g900 +g960 +tp11187 +a(g818 +Vendblock +p11188 +tp11189 +a(g900 +g960 +tp11190 +a(g737 +V%} +p11191 +tp11192 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p11193 +tp11194 +a(g737 +V{{ +p11195 +tp11196 +a(g900 +g960 +tp11197 +a(g668 +Vblock +p11198 +tp11199 +a(g399 +g1145 +tp11200 +a(g216 +V'list' +p11201 +tp11202 +a(g399 +g1149 +tp11203 +a(g900 +g960 +tp11204 +a(g737 +V}} +p11205 +tp11206 +a(g7 +V\u000a--DATA--\u000areturn array('item' => array('1', '2', array('3.1', array('3.2.1', '3.2.2'), '3.4')))\u000a--EXPECT--\u000a
    1. "1"
    2. "2"
      1. "3.1"
        1. "3.2.1"
        2. "3.2.2"
      2. "3.4"
    \u000a--TEST--\u000a"source" function\u000a--TEMPLATE--\u000aFOO\u000a +p11207 +tp11208 +a(g737 +V{{ +p11209 +tp11210 +a(g900 +g960 +tp11211 +a(g427 +Vsource +p11212 +tp11213 +a(g399 +g1145 +tp11214 +a(g143 +V"foo.twig" +p11215 +tp11216 +a(g399 +g1149 +tp11217 +a(g900 +g960 +tp11218 +a(g737 +V}} +p11219 +tp11220 +a(g7 +V\u000a\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000a +p11221 +tp11222 +a(g737 +V{{ +p11223 +tp11224 +a(g900 +g960 +tp11225 +a(g427 +Vfoo +p11226 +tp11227 +a(g900 +g960 +tp11228 +a(g737 +V}} +p11229 +tp11230 +a(g7 +V
    \u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000a\u000a +p11231 +tp11232 +a(g737 +V{{ +p11233 +tp11234 +a(g900 +g960 +tp11235 +a(g427 +Vfoo +p11236 +tp11237 +a(g900 +g960 +tp11238 +a(g737 +V}} +p11239 +tp11240 +a(g7 +V
    \u000a\u000aBAR\u000a--TEST--\u000a"template_from_string" function\u000a--TEMPLATE--\u000a +p11241 +tp11242 +a(g737 +V{% +p11243 +tp11244 +a(g900 +g960 +tp11245 +a(g818 +Vinclude +p11246 +tp11247 +a(g900 +g960 +tp11248 +a(g427 +Vtemplate_from_string +p11249 +tp11250 +a(g399 +g1145 +tp11251 +a(g427 +Vtemplate +p11252 +tp11253 +a(g399 +g1149 +tp11254 +a(g900 +g960 +tp11255 +a(g737 +V%} +p11256 +tp11257 +a(g7 +V\u000a\u000a +p11258 +tp11259 +a(g737 +V{% +p11260 +tp11261 +a(g900 +g960 +tp11262 +a(g818 +Vinclude +p11263 +tp11264 +a(g900 +g960 +tp11265 +a(g427 +Vtemplate_from_string +p11266 +tp11267 +a(g399 +g1145 +tp11268 +a(g143 +V"Hello {{ name }}" +p11269 +tp11270 +a(g399 +g1149 +tp11271 +a(g900 +g960 +tp11272 +a(g737 +V%} +p11273 +tp11274 +a(g7 +V\u000a +p11275 +tp11276 +a(g737 +V{% +p11277 +tp11278 +a(g900 +g960 +tp11279 +a(g818 +Vinclude +p11280 +tp11281 +a(g900 +g960 +tp11282 +a(g427 +Vtemplate_from_string +p11283 +tp11284 +a(g399 +g1145 +tp11285 +a(g216 +V'{% extends "parent.twig" %}{% block content %}Hello {{ name }}{% endblock %}' +p11286 +tp11287 +a(g399 +g1149 +tp11288 +a(g900 +g960 +tp11289 +a(g737 +V%} +p11290 +tp11291 +a(g7 +V\u000a--TEMPLATE(parent.twig)--\u000a +p11292 +tp11293 +a(g737 +V{% +p11294 +tp11295 +a(g900 +g960 +tp11296 +a(g818 +Vblock +p11297 +tp11298 +a(g900 +g960 +tp11299 +a(g427 +Vcontent +p11300 +tp11301 +a(g900 +g960 +tp11302 +a(g737 +V%} +p11303 +tp11304 +a(g737 +V{% +p11305 +tp11306 +a(g900 +g960 +tp11307 +a(g818 +Vendblock +p11308 +tp11309 +a(g900 +g960 +tp11310 +a(g737 +V%} +p11311 +tp11312 +a(g7 +V\u000a--DATA--\u000areturn array('name' => 'Fabien', 'template' => "Hello +p11313 +tp11314 +a(g737 +V{{ +p11315 +tp11316 +a(g900 +g960 +tp11317 +a(g427 +Vname +p11318 +tp11319 +a(g900 +g960 +tp11320 +a(g737 +V}} +p11321 +tp11322 +a(g7 +V")\u000a--EXPECT--\u000aHello Fabien\u000aHello Fabien\u000aHello Fabien\u000a--TEST--\u000amacro\u000a--TEMPLATE--\u000a +p11323 +tp11324 +a(g737 +V{% +p11325 +tp11326 +a(g900 +g960 +tp11327 +a(g818 +Vfrom +p11328 +tp11329 +a(g900 +g960 +tp11330 +a(g427 +V_self +p11331 +tp11332 +a(g900 +g960 +tp11333 +a(g427 +Vimport +p11334 +tp11335 +a(g900 +g960 +tp11336 +a(g427 +Vtest +p11337 +tp11338 +a(g900 +g960 +tp11339 +a(g737 +V%} +p11340 +tp11341 +a(g7 +V\u000a\u000a +p11342 +tp11343 +a(g737 +V{% +p11344 +tp11345 +a(g900 +g960 +tp11346 +a(g818 +Vmacro +p11347 +tp11348 +a(g900 +g960 +tp11349 +a(g427 +Vtest +p11350 +tp11351 +a(g399 +g1145 +tp11352 +a(g427 +g1339 +tp11353 +a(g399 +g1162 +tp11354 +a(g900 +g960 +tp11355 +a(g427 +g1552 +tp11356 +a(g900 +g960 +tp11357 +a(g399 +g1342 +tp11358 +a(g900 +g960 +tp11359 +a(g216 +V'bar' +p11360 +tp11361 +a(g399 +g1149 +tp11362 +a(g900 +g960 +tp11363 +a(g900 +g1678 +tp11364 +a(g737 +V%} +p11365 +tp11366 +a(g7 +V\u000a +p11367 +tp11368 +a(g737 +V{{ +p11369 +tp11370 +a(g900 +g960 +tp11371 +a(g427 +g1339 +tp11372 +a(g900 +g960 +tp11373 +a(g737 +V}} +p11374 +tp11375 +a(g737 +V{{ +p11376 +tp11377 +a(g900 +g960 +tp11378 +a(g427 +g1552 +tp11379 +a(g900 +g960 +tp11380 +a(g737 +V}} +p11381 +tp11382 +a(g7 +V\u000a +p11383 +tp11384 +a(g737 +V{% +p11385 +tp11386 +a(g900 +V- +p11387 +tp11388 +a(g818 +Vendmacro +p11389 +tp11390 +a(g900 +g960 +tp11391 +a(g737 +V%} +p11392 +tp11393 +a(g7 +V\u000a\u000a +p11394 +tp11395 +a(g737 +V{{ +p11396 +tp11397 +a(g900 +g960 +tp11398 +a(g427 +Vtest +p11399 +tp11400 +a(g399 +g1145 +tp11401 +a(g216 +V'foo' +p11402 +tp11403 +a(g399 +g1149 +tp11404 +a(g900 +g960 +tp11405 +a(g737 +V}} +p11406 +tp11407 +a(g7 +V\u000a +p11408 +tp11409 +a(g737 +V{{ +p11410 +tp11411 +a(g900 +g960 +tp11412 +a(g427 +Vtest +p11413 +tp11414 +a(g399 +g1145 +tp11415 +a(g216 +V'bar' +p11416 +tp11417 +a(g399 +g1162 +tp11418 +a(g900 +g960 +tp11419 +a(g216 +V'foo' +p11420 +tp11421 +a(g399 +g1149 +tp11422 +a(g900 +g960 +tp11423 +a(g737 +V}} +p11424 +tp11425 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXPECT--\u000afoobar\u000abarfoo\u000a--TEST--\u000amacro\u000a--TEMPLATE--\u000a +p11426 +tp11427 +a(g737 +V{% +p11428 +tp11429 +a(g900 +g960 +tp11430 +a(g818 +Vimport +p11431 +tp11432 +a(g900 +g960 +tp11433 +a(g427 +V_self +p11434 +tp11435 +a(g900 +g960 +tp11436 +a(g427 +Vas +p11437 +tp11438 +a(g900 +g960 +tp11439 +a(g427 +Vmacros +p11440 +tp11441 +a(g900 +g960 +tp11442 +a(g737 +V%} +p11443 +tp11444 +a(g7 +V\u000a\u000a +p11445 +tp11446 +a(g737 +V{% +p11447 +tp11448 +a(g900 +g960 +tp11449 +a(g818 +Vmacro +p11450 +tp11451 +a(g900 +g960 +tp11452 +a(g427 +Vfoo +p11453 +tp11454 +a(g399 +g1145 +tp11455 +a(g427 +Vdata +p11456 +tp11457 +a(g399 +g1149 +tp11458 +a(g900 +g960 +tp11459 +a(g737 +V%} +p11460 +tp11461 +a(g7 +V\u000a +p11462 +tp11463 +a(g737 +V{{ +p11464 +tp11465 +a(g900 +g960 +tp11466 +a(g427 +Vdata +p11467 +tp11468 +a(g900 +g960 +tp11469 +a(g737 +V}} +p11470 +tp11471 +a(g7 +V\u000a +p11472 +tp11473 +a(g737 +V{% +p11474 +tp11475 +a(g900 +g960 +tp11476 +a(g818 +Vendmacro +p11477 +tp11478 +a(g900 +g960 +tp11479 +a(g737 +V%} +p11480 +tp11481 +a(g7 +V\u000a\u000a +p11482 +tp11483 +a(g737 +V{% +p11484 +tp11485 +a(g900 +g960 +tp11486 +a(g818 +Vmacro +p11487 +tp11488 +a(g900 +g960 +tp11489 +a(g427 +Vbar +p11490 +tp11491 +a(g399 +g1145 +tp11492 +a(g399 +g1149 +tp11493 +a(g900 +g960 +tp11494 +a(g737 +V%} +p11495 +tp11496 +a(g7 +V\u000a
    \u000a +p11497 +tp11498 +a(g737 +V{% +p11499 +tp11500 +a(g900 +g960 +tp11501 +a(g818 +Vendmacro +p11502 +tp11503 +a(g900 +g960 +tp11504 +a(g737 +V%} +p11505 +tp11506 +a(g7 +V\u000a\u000a +p11507 +tp11508 +a(g737 +V{{ +p11509 +tp11510 +a(g900 +g960 +tp11511 +a(g427 +Vmacros +p11512 +tp11513 +a(g427 +V.foo +p11514 +tp11515 +a(g399 +g1145 +tp11516 +a(g427 +Vmacros +p11517 +tp11518 +a(g427 +V.bar +p11519 +tp11520 +a(g399 +g1145 +tp11521 +a(g399 +g1149 +tp11522 +a(g399 +g1149 +tp11523 +a(g900 +g960 +tp11524 +a(g737 +V}} +p11525 +tp11526 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXPECT--\u000a
    \u000a--TEST--\u000amacro\u000a--TEMPLATE--\u000a +p11527 +tp11528 +a(g737 +V{% +p11529 +tp11530 +a(g900 +g960 +tp11531 +a(g818 +Vfrom +p11532 +tp11533 +a(g900 +g960 +tp11534 +a(g427 +V_self +p11535 +tp11536 +a(g900 +g960 +tp11537 +a(g427 +Vimport +p11538 +tp11539 +a(g900 +g960 +tp11540 +a(g427 +Vtest +p11541 +tp11542 +a(g900 +g960 +tp11543 +a(g737 +V%} +p11544 +tp11545 +a(g7 +V\u000a\u000a +p11546 +tp11547 +a(g737 +V{% +p11548 +tp11549 +a(g900 +g960 +tp11550 +a(g818 +Vmacro +p11551 +tp11552 +a(g900 +g960 +tp11553 +a(g427 +Vtest +p11554 +tp11555 +a(g399 +g1145 +tp11556 +a(g427 +Vthis +p11557 +tp11558 +a(g399 +g1149 +tp11559 +a(g900 +g960 +tp11560 +a(g900 +g1678 +tp11561 +a(g737 +V%} +p11562 +tp11563 +a(g7 +V\u000a +p11564 +tp11565 +a(g737 +V{{ +p11566 +tp11567 +a(g900 +g960 +tp11568 +a(g427 +Vthis +p11569 +tp11570 +a(g900 +g960 +tp11571 +a(g737 +V}} +p11572 +tp11573 +a(g7 +V\u000a +p11574 +tp11575 +a(g737 +V{% +p11576 +tp11577 +a(g900 +V- +p11578 +tp11579 +a(g818 +Vendmacro +p11580 +tp11581 +a(g900 +g960 +tp11582 +a(g737 +V%} +p11583 +tp11584 +a(g7 +V\u000a\u000a +p11585 +tp11586 +a(g737 +V{{ +p11587 +tp11588 +a(g900 +g960 +tp11589 +a(g427 +Vtest +p11590 +tp11591 +a(g399 +g1145 +tp11592 +a(g427 +Vthis +p11593 +tp11594 +a(g399 +g1149 +tp11595 +a(g900 +g960 +tp11596 +a(g737 +V}} +p11597 +tp11598 +a(g7 +V\u000a--DATA--\u000areturn array('this' => 'foo');\u000a--EXPECT--\u000afoo\u000a--TEST--\u000amacro\u000a--TEMPLATE--\u000a +p11599 +tp11600 +a(g737 +V{% +p11601 +tp11602 +a(g900 +g960 +tp11603 +a(g818 +Vimport +p11604 +tp11605 +a(g900 +g960 +tp11606 +a(g427 +V_self +p11607 +tp11608 +a(g900 +g960 +tp11609 +a(g427 +Vas +p11610 +tp11611 +a(g900 +g960 +tp11612 +a(g427 +Vtest +p11613 +tp11614 +a(g900 +g960 +tp11615 +a(g737 +V%} +p11616 +tp11617 +a(g7 +V\u000a +p11618 +tp11619 +a(g737 +V{% +p11620 +tp11621 +a(g900 +g960 +tp11622 +a(g818 +Vfrom +p11623 +tp11624 +a(g900 +g960 +tp11625 +a(g427 +V_self +p11626 +tp11627 +a(g900 +g960 +tp11628 +a(g427 +Vimport +p11629 +tp11630 +a(g900 +g960 +tp11631 +a(g427 +Vtest +p11632 +tp11633 +a(g900 +g960 +tp11634 +a(g737 +V%} +p11635 +tp11636 +a(g7 +V\u000a\u000a +p11637 +tp11638 +a(g737 +V{% +p11639 +tp11640 +a(g900 +g960 +tp11641 +a(g818 +Vmacro +p11642 +tp11643 +a(g900 +g960 +tp11644 +a(g427 +Vtest +p11645 +tp11646 +a(g399 +g1145 +tp11647 +a(g427 +g1339 +tp11648 +a(g399 +g1162 +tp11649 +a(g900 +g960 +tp11650 +a(g427 +g1552 +tp11651 +a(g399 +g1149 +tp11652 +a(g900 +g960 +tp11653 +a(g900 +g1678 +tp11654 +a(g737 +V%} +p11655 +tp11656 +a(g7 +V\u000a +p11657 +tp11658 +a(g737 +V{{ +p11659 +tp11660 +a(g900 +g960 +tp11661 +a(g427 +g1339 +tp11662 +a(g399 +g1141 +tp11663 +a(g560 +Vdefault +p11664 +tp11665 +a(g399 +g1145 +tp11666 +a(g216 +V'a' +p11667 +tp11668 +a(g399 +g1149 +tp11669 +a(g900 +g960 +tp11670 +a(g737 +V}} +p11671 +tp11672 +a(g7 +V
    \u000a +p11673 +tp11674 +a(g737 +V{{ +p11675 +tp11676 +a(g399 +g1678 +tp11677 +a(g900 +g960 +tp11678 +a(g427 +g1552 +tp11679 +a(g399 +g1141 +tp11680 +a(g560 +Vdefault +p11681 +tp11682 +a(g399 +g1145 +tp11683 +a(g216 +V'b' +p11684 +tp11685 +a(g399 +g1149 +tp11686 +a(g900 +g960 +tp11687 +a(g737 +V}} +p11688 +tp11689 +a(g7 +V
    \u000a +p11690 +tp11691 +a(g737 +V{% +p11692 +tp11693 +a(g900 +V- +p11694 +tp11695 +a(g818 +Vendmacro +p11696 +tp11697 +a(g900 +g960 +tp11698 +a(g737 +V%} +p11699 +tp11700 +a(g7 +V\u000a\u000a +p11701 +tp11702 +a(g737 +V{{ +p11703 +tp11704 +a(g900 +g960 +tp11705 +a(g427 +Vtest +p11706 +tp11707 +a(g427 +V.test +p11708 +tp11709 +a(g399 +g1145 +tp11710 +a(g399 +g1149 +tp11711 +a(g900 +g960 +tp11712 +a(g737 +V}} +p11713 +tp11714 +a(g7 +V\u000a +p11715 +tp11716 +a(g737 +V{{ +p11717 +tp11718 +a(g900 +g960 +tp11719 +a(g427 +Vtest +p11720 +tp11721 +a(g399 +g1145 +tp11722 +a(g399 +g1149 +tp11723 +a(g900 +g960 +tp11724 +a(g737 +V}} +p11725 +tp11726 +a(g7 +V\u000a +p11727 +tp11728 +a(g737 +V{{ +p11729 +tp11730 +a(g900 +g960 +tp11731 +a(g427 +Vtest +p11732 +tp11733 +a(g427 +V.test +p11734 +tp11735 +a(g399 +g1145 +tp11736 +a(g17 +g1160 +tp11737 +a(g399 +g1162 +tp11738 +a(g900 +g960 +tp11739 +a(g143 +V"c" +p11740 +tp11741 +a(g399 +g1149 +tp11742 +a(g900 +g960 +tp11743 +a(g737 +V}} +p11744 +tp11745 +a(g7 +V\u000a +p11746 +tp11747 +a(g737 +V{{ +p11748 +tp11749 +a(g900 +g960 +tp11750 +a(g427 +Vtest +p11751 +tp11752 +a(g399 +g1145 +tp11753 +a(g17 +g1160 +tp11754 +a(g399 +g1162 +tp11755 +a(g900 +g960 +tp11756 +a(g143 +V"c" +p11757 +tp11758 +a(g399 +g1149 +tp11759 +a(g900 +g960 +tp11760 +a(g737 +V}} +p11761 +tp11762 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXPECT--\u000aa
    b
    \u000aa
    b
    \u000a1
    c
    \u000a1
    c
    \u000a--TEST--\u000amacro with a filter\u000a--TEMPLATE--\u000a +p11763 +tp11764 +a(g737 +V{% +p11765 +tp11766 +a(g900 +g960 +tp11767 +a(g818 +Vimport +p11768 +tp11769 +a(g900 +g960 +tp11770 +a(g427 +V_self +p11771 +tp11772 +a(g900 +g960 +tp11773 +a(g427 +Vas +p11774 +tp11775 +a(g900 +g960 +tp11776 +a(g427 +Vtest +p11777 +tp11778 +a(g900 +g960 +tp11779 +a(g737 +V%} +p11780 +tp11781 +a(g7 +V\u000a\u000a +p11782 +tp11783 +a(g737 +V{% +p11784 +tp11785 +a(g900 +g960 +tp11786 +a(g818 +Vmacro +p11787 +tp11788 +a(g900 +g960 +tp11789 +a(g427 +Vtest +p11790 +tp11791 +a(g399 +g1145 +tp11792 +a(g399 +g1149 +tp11793 +a(g900 +g960 +tp11794 +a(g737 +V%} +p11795 +tp11796 +a(g7 +V\u000a +p11797 +tp11798 +a(g737 +V{% +p11799 +tp11800 +a(g900 +g960 +tp11801 +a(g818 +Vfilter +p11802 +tp11803 +a(g900 +g960 +tp11804 +a(g560 +Vescape +p11805 +tp11806 +a(g900 +g960 +tp11807 +a(g737 +V%} +p11808 +tp11809 +a(g7 +Vfoo
    +p11810 +tp11811 +a(g737 +V{% +p11812 +tp11813 +a(g900 +g960 +tp11814 +a(g818 +Vendfilter +p11815 +tp11816 +a(g900 +g960 +tp11817 +a(g737 +V%} +p11818 +tp11819 +a(g7 +V\u000a +p11820 +tp11821 +a(g737 +V{% +p11822 +tp11823 +a(g900 +g960 +tp11824 +a(g818 +Vendmacro +p11825 +tp11826 +a(g900 +g960 +tp11827 +a(g737 +V%} +p11828 +tp11829 +a(g7 +V\u000a\u000a +p11830 +tp11831 +a(g737 +V{{ +p11832 +tp11833 +a(g900 +g960 +tp11834 +a(g427 +Vtest +p11835 +tp11836 +a(g427 +V.test +p11837 +tp11838 +a(g399 +g1145 +tp11839 +a(g399 +g1149 +tp11840 +a(g900 +g960 +tp11841 +a(g737 +V}} +p11842 +tp11843 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXPECT--\u000afoo<br />\u000a--TEST--\u000aTwig outputs 0 nodes correctly\u000a--TEMPLATE--\u000a +p11844 +tp11845 +a(g737 +V{{ +p11846 +tp11847 +a(g900 +g960 +tp11848 +a(g427 +Vfoo +p11849 +tp11850 +a(g900 +g960 +tp11851 +a(g737 +V}} +p11852 +tp11853 +a(g7 +g1208 +tp11854 +a(g737 +V{{ +p11855 +tp11856 +a(g900 +g960 +tp11857 +a(g427 +Vfoo +p11858 +tp11859 +a(g900 +g960 +tp11860 +a(g737 +V}} +p11861 +tp11862 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'foo')\u000a--EXPECT--\u000afoo0foo\u000a--TEST--\u000aerror in twig extension\u000a--TEMPLATE--\u000a +p11863 +tp11864 +a(g737 +V{{ +p11865 +tp11866 +a(g900 +g960 +tp11867 +a(g427 +Vobject +p11868 +tp11869 +a(g427 +V.region +p11870 +tp11871 +a(g900 +g960 +tp11872 +a(g818 +Vis +p11873 +tp11874 +a(g900 +g960 +tp11875 +a(g818 +Vnot +p11876 +tp11877 +a(g900 +g960 +tp11878 +a(g560 +Vnull +p11879 +tp11880 +a(g900 +g960 +tp11881 +a(g399 +g2039 +tp11882 +a(g900 +g960 +tp11883 +a(g427 +Vobject +p11884 +tp11885 +a(g427 +V.regionChoices +p11886 +tp11887 +a(g399 +g1089 +tp11888 +a(g427 +Vobject +p11889 +tp11890 +a(g427 +V.region +p11891 +tp11892 +a(g399 +g1093 +tp11893 +a(g900 +g960 +tp11894 +a(g737 +V}} +p11895 +tp11896 +a(g7 +V\u000a--EXPECT--\u000ahouse.region.s\u000a--TEST--\u000aTwig is able to deal with SimpleXMLElement instances as variables\u000a--CONDITION--\u000aversion_compare(phpversion(), '5.3.0', '>=')\u000a--TEMPLATE--\u000aHello ' +p11897 +tp11898 +a(g737 +V{{ +p11899 +tp11900 +a(g900 +g960 +tp11901 +a(g427 +Vimages +p11902 +tp11903 +a(g427 +V.image +p11904 +tp11905 +a(g17 +V.0 +p11906 +tp11907 +a(g427 +V.group +p11908 +tp11909 +a(g900 +g960 +tp11910 +a(g737 +V}} +p11911 +tp11912 +a(g7 +V'!\u000a +p11913 +tp11914 +a(g737 +V{{ +p11915 +tp11916 +a(g900 +g960 +tp11917 +a(g427 +Vimages +p11918 +tp11919 +a(g427 +V.image +p11920 +tp11921 +a(g17 +V.0 +p11922 +tp11923 +a(g427 +V.group +p11924 +tp11925 +a(g427 +V.attributes +p11926 +tp11927 +a(g427 +V.myattr +p11928 +tp11929 +a(g900 +g960 +tp11930 +a(g737 +V}} +p11931 +tp11932 +a(g7 +V\u000a +p11933 +tp11934 +a(g737 +V{{ +p11935 +tp11936 +a(g900 +g960 +tp11937 +a(g427 +Vimages +p11938 +tp11939 +a(g427 +V.children +p11940 +tp11941 +a(g399 +g1145 +tp11942 +a(g399 +g1149 +tp11943 +a(g427 +V.image +p11944 +tp11945 +a(g427 +V.count +p11946 +tp11947 +a(g399 +g1145 +tp11948 +a(g399 +g1149 +tp11949 +a(g900 +g960 +tp11950 +a(g737 +V}} +p11951 +tp11952 +a(g7 +V\u000a +p11953 +tp11954 +a(g737 +V{% +p11955 +tp11956 +a(g900 +g960 +tp11957 +a(g818 +Vfor +p11958 +tp11959 +a(g900 +g960 +tp11960 +a(g427 +Vimage +p11961 +tp11962 +a(g900 +g960 +tp11963 +a(g818 +Vin +p11964 +tp11965 +a(g900 +g960 +tp11966 +a(g427 +Vimages +p11967 +tp11968 +a(g900 +g960 +tp11969 +a(g737 +V%} +p11970 +tp11971 +a(g7 +V\u000a - +p11972 +tp11973 +a(g737 +V{{ +p11974 +tp11975 +a(g900 +g960 +tp11976 +a(g427 +Vimage +p11977 +tp11978 +a(g427 +V.group +p11979 +tp11980 +a(g900 +g960 +tp11981 +a(g737 +V}} +p11982 +tp11983 +a(g7 +V\u000a +p11984 +tp11985 +a(g737 +V{% +p11986 +tp11987 +a(g900 +g960 +tp11988 +a(g818 +Vendfor +p11989 +tp11990 +a(g900 +g960 +tp11991 +a(g737 +V%} +p11992 +tp11993 +a(g7 +V\u000a--DATA--\u000areturn array('images' => new SimpleXMLElement('foobar'))\u000a--EXPECT--\u000aHello 'foo'!\u000aexample\u000a2\u000a - foo\u000a - bar\u000a--TEST--\u000aTwig does not confuse strings with integers in getAttribute()\u000a--TEMPLATE--\u000a +p11994 +tp11995 +a(g737 +V{{ +p11996 +tp11997 +a(g900 +g960 +tp11998 +a(g427 +Vhash +p11999 +tp12000 +a(g399 +g1089 +tp12001 +a(g216 +V'2e2' +p12002 +tp12003 +a(g399 +g1093 +tp12004 +a(g900 +g960 +tp12005 +a(g737 +V}} +p12006 +tp12007 +a(g7 +V\u000a--DATA--\u000areturn array('hash' => array('2e2' => 'works'))\u000a--EXPECT--\u000aworks\u000a--TEST--\u000a"autoescape" tag applies escaping on its children\u000a--TEMPLATE--\u000a +p12008 +tp12009 +a(g737 +V{% +p12010 +tp12011 +a(g900 +g960 +tp12012 +a(g818 +Vautoescape +p12013 +tp12014 +a(g900 +g960 +tp12015 +a(g737 +V%} +p12016 +tp12017 +a(g7 +V\u000a +p12018 +tp12019 +a(g737 +V{{ +p12020 +tp12021 +a(g900 +g960 +tp12022 +a(g427 +Vvar +p12023 +tp12024 +a(g900 +g960 +tp12025 +a(g737 +V}} +p12026 +tp12027 +a(g7 +V
    \u000a +p12028 +tp12029 +a(g737 +V{% +p12030 +tp12031 +a(g900 +g960 +tp12032 +a(g818 +Vendautoescape +p12033 +tp12034 +a(g900 +g960 +tp12035 +a(g737 +V%} +p12036 +tp12037 +a(g7 +V\u000a +p12038 +tp12039 +a(g737 +V{% +p12040 +tp12041 +a(g900 +g960 +tp12042 +a(g818 +Vautoescape +p12043 +tp12044 +a(g900 +g960 +tp12045 +a(g216 +V'html' +p12046 +tp12047 +a(g900 +g960 +tp12048 +a(g737 +V%} +p12049 +tp12050 +a(g7 +V\u000a +p12051 +tp12052 +a(g737 +V{{ +p12053 +tp12054 +a(g900 +g960 +tp12055 +a(g427 +Vvar +p12056 +tp12057 +a(g900 +g960 +tp12058 +a(g737 +V}} +p12059 +tp12060 +a(g7 +V
    \u000a +p12061 +tp12062 +a(g737 +V{% +p12063 +tp12064 +a(g900 +g960 +tp12065 +a(g818 +Vendautoescape +p12066 +tp12067 +a(g900 +g960 +tp12068 +a(g737 +V%} +p12069 +tp12070 +a(g7 +V\u000a +p12071 +tp12072 +a(g737 +V{% +p12073 +tp12074 +a(g900 +g960 +tp12075 +a(g818 +Vautoescape +p12076 +tp12077 +a(g900 +g960 +tp12078 +a(g859 +Vfalse +p12079 +tp12080 +a(g900 +g960 +tp12081 +a(g737 +V%} +p12082 +tp12083 +a(g7 +V\u000a +p12084 +tp12085 +a(g737 +V{{ +p12086 +tp12087 +a(g900 +g960 +tp12088 +a(g427 +Vvar +p12089 +tp12090 +a(g900 +g960 +tp12091 +a(g737 +V}} +p12092 +tp12093 +a(g7 +V
    \u000a +p12094 +tp12095 +a(g737 +V{% +p12096 +tp12097 +a(g900 +g960 +tp12098 +a(g818 +Vendautoescape +p12099 +tp12100 +a(g900 +g960 +tp12101 +a(g737 +V%} +p12102 +tp12103 +a(g7 +V\u000a +p12104 +tp12105 +a(g737 +V{% +p12106 +tp12107 +a(g900 +g960 +tp12108 +a(g818 +Vautoescape +p12109 +tp12110 +a(g900 +g960 +tp12111 +a(g859 +Vtrue +p12112 +tp12113 +a(g900 +g960 +tp12114 +a(g737 +V%} +p12115 +tp12116 +a(g7 +V\u000a +p12117 +tp12118 +a(g737 +V{{ +p12119 +tp12120 +a(g900 +g960 +tp12121 +a(g427 +Vvar +p12122 +tp12123 +a(g900 +g960 +tp12124 +a(g737 +V}} +p12125 +tp12126 +a(g7 +V
    \u000a +p12127 +tp12128 +a(g737 +V{% +p12129 +tp12130 +a(g900 +g960 +tp12131 +a(g818 +Vendautoescape +p12132 +tp12133 +a(g900 +g960 +tp12134 +a(g737 +V%} +p12135 +tp12136 +a(g7 +V\u000a +p12137 +tp12138 +a(g737 +V{% +p12139 +tp12140 +a(g900 +g960 +tp12141 +a(g818 +Vautoescape +p12142 +tp12143 +a(g900 +g960 +tp12144 +a(g859 +Vfalse +p12145 +tp12146 +a(g900 +g960 +tp12147 +a(g737 +V%} +p12148 +tp12149 +a(g7 +V\u000a +p12150 +tp12151 +a(g737 +V{{ +p12152 +tp12153 +a(g900 +g960 +tp12154 +a(g427 +Vvar +p12155 +tp12156 +a(g900 +g960 +tp12157 +a(g737 +V}} +p12158 +tp12159 +a(g7 +V
    \u000a +p12160 +tp12161 +a(g737 +V{% +p12162 +tp12163 +a(g900 +g960 +tp12164 +a(g818 +Vendautoescape +p12165 +tp12166 +a(g900 +g960 +tp12167 +a(g737 +V%} +p12168 +tp12169 +a(g7 +V\u000a--DATA--\u000areturn array('var' => '
    ')\u000a--EXPECT--\u000a<br />
    \u000a<br />
    \u000a

    \u000a<br />
    \u000a

    \u000a--TEST--\u000a"autoescape" tag applies escaping on embedded blocks\u000a--TEMPLATE--\u000a +p12170 +tp12171 +a(g737 +V{% +p12172 +tp12173 +a(g900 +g960 +tp12174 +a(g818 +Vautoescape +p12175 +tp12176 +a(g900 +g960 +tp12177 +a(g216 +V'html' +p12178 +tp12179 +a(g900 +g960 +tp12180 +a(g737 +V%} +p12181 +tp12182 +a(g7 +V\u000a +p12183 +tp12184 +a(g737 +V{% +p12185 +tp12186 +a(g900 +g960 +tp12187 +a(g818 +Vblock +p12188 +tp12189 +a(g900 +g960 +tp12190 +a(g427 +Vfoo +p12191 +tp12192 +a(g900 +g960 +tp12193 +a(g737 +V%} +p12194 +tp12195 +a(g7 +V\u000a +p12196 +tp12197 +a(g737 +V{{ +p12198 +tp12199 +a(g900 +g960 +tp12200 +a(g427 +Vvar +p12201 +tp12202 +a(g900 +g960 +tp12203 +a(g737 +V}} +p12204 +tp12205 +a(g7 +V\u000a +p12206 +tp12207 +a(g737 +V{% +p12208 +tp12209 +a(g900 +g960 +tp12210 +a(g818 +Vendblock +p12211 +tp12212 +a(g900 +g960 +tp12213 +a(g737 +V%} +p12214 +tp12215 +a(g7 +V\u000a +p12216 +tp12217 +a(g737 +V{% +p12218 +tp12219 +a(g900 +g960 +tp12220 +a(g818 +Vendautoescape +p12221 +tp12222 +a(g900 +g960 +tp12223 +a(g737 +V%} +p12224 +tp12225 +a(g7 +V\u000a--DATA--\u000areturn array('var' => '
    ')\u000a--EXPECT--\u000a<br />\u000a--TEST--\u000a"autoescape" tag does not double-escape\u000a--TEMPLATE--\u000a +p12226 +tp12227 +a(g737 +V{% +p12228 +tp12229 +a(g900 +g960 +tp12230 +a(g818 +Vautoescape +p12231 +tp12232 +a(g900 +g960 +tp12233 +a(g216 +V'html' +p12234 +tp12235 +a(g900 +g960 +tp12236 +a(g737 +V%} +p12237 +tp12238 +a(g7 +V\u000a +p12239 +tp12240 +a(g737 +V{{ +p12241 +tp12242 +a(g900 +g960 +tp12243 +a(g427 +Vvar +p12244 +tp12245 +a(g399 +g1141 +tp12246 +a(g560 +Vescape +p12247 +tp12248 +a(g900 +g960 +tp12249 +a(g737 +V}} +p12250 +tp12251 +a(g7 +V\u000a +p12252 +tp12253 +a(g737 +V{% +p12254 +tp12255 +a(g900 +g960 +tp12256 +a(g818 +Vendautoescape +p12257 +tp12258 +a(g900 +g960 +tp12259 +a(g737 +V%} +p12260 +tp12261 +a(g7 +V\u000a--DATA--\u000areturn array('var' => '
    ')\u000a--EXPECT--\u000a<br />\u000a--TEST--\u000a"autoescape" tag applies escaping after calling functions\u000a--TEMPLATE--\u000a\u000aautoescape false\u000a +p12262 +tp12263 +a(g737 +V{% +p12264 +tp12265 +a(g900 +g960 +tp12266 +a(g818 +Vautoescape +p12267 +tp12268 +a(g900 +g960 +tp12269 +a(g859 +Vfalse +p12270 +tp12271 +a(g900 +g960 +tp12272 +a(g737 +V%} +p12273 +tp12274 +a(g7 +V\u000a\u000asafe_br\u000a +p12275 +tp12276 +a(g737 +V{{ +p12277 +tp12278 +a(g900 +g960 +tp12279 +a(g427 +Vsafe_br +p12280 +tp12281 +a(g399 +g1145 +tp12282 +a(g399 +g1149 +tp12283 +a(g900 +g960 +tp12284 +a(g737 +V}} +p12285 +tp12286 +a(g7 +V\u000a\u000aunsafe_br\u000a +p12287 +tp12288 +a(g737 +V{{ +p12289 +tp12290 +a(g900 +g960 +tp12291 +a(g427 +Vunsafe_br +p12292 +tp12293 +a(g399 +g1145 +tp12294 +a(g399 +g1149 +tp12295 +a(g900 +g960 +tp12296 +a(g737 +V}} +p12297 +tp12298 +a(g7 +V\u000a\u000a +p12299 +tp12300 +a(g737 +V{% +p12301 +tp12302 +a(g900 +g960 +tp12303 +a(g818 +Vendautoescape +p12304 +tp12305 +a(g900 +g960 +tp12306 +a(g737 +V%} +p12307 +tp12308 +a(g7 +V\u000a\u000aautoescape 'html'\u000a +p12309 +tp12310 +a(g737 +V{% +p12311 +tp12312 +a(g900 +g960 +tp12313 +a(g818 +Vautoescape +p12314 +tp12315 +a(g900 +g960 +tp12316 +a(g216 +V'html' +p12317 +tp12318 +a(g900 +g960 +tp12319 +a(g737 +V%} +p12320 +tp12321 +a(g7 +V\u000a\u000asafe_br\u000a +p12322 +tp12323 +a(g737 +V{{ +p12324 +tp12325 +a(g900 +g960 +tp12326 +a(g427 +Vsafe_br +p12327 +tp12328 +a(g399 +g1145 +tp12329 +a(g399 +g1149 +tp12330 +a(g900 +g960 +tp12331 +a(g737 +V}} +p12332 +tp12333 +a(g7 +V\u000a\u000aunsafe_br\u000a +p12334 +tp12335 +a(g737 +V{{ +p12336 +tp12337 +a(g900 +g960 +tp12338 +a(g427 +Vunsafe_br +p12339 +tp12340 +a(g399 +g1145 +tp12341 +a(g399 +g1149 +tp12342 +a(g900 +g960 +tp12343 +a(g737 +V}} +p12344 +tp12345 +a(g7 +V\u000a\u000aunsafe_br()|raw\u000a +p12346 +tp12347 +a(g737 +V{{ +p12348 +tp12349 +a(g900 +g960 +tp12350 +a(g399 +g1145 +tp12351 +a(g427 +Vunsafe_br +p12352 +tp12353 +a(g399 +g1145 +tp12354 +a(g399 +g1149 +tp12355 +a(g399 +g1149 +tp12356 +a(g399 +g1141 +tp12357 +a(g560 +Vraw +p12358 +tp12359 +a(g900 +g960 +tp12360 +a(g737 +V}} +p12361 +tp12362 +a(g7 +V\u000a\u000asafe_br()|escape\u000a +p12363 +tp12364 +a(g737 +V{{ +p12365 +tp12366 +a(g900 +g960 +tp12367 +a(g399 +g1145 +tp12368 +a(g427 +Vsafe_br +p12369 +tp12370 +a(g399 +g1145 +tp12371 +a(g399 +g1149 +tp12372 +a(g399 +g1149 +tp12373 +a(g399 +g1141 +tp12374 +a(g560 +Vescape +p12375 +tp12376 +a(g900 +g960 +tp12377 +a(g737 +V}} +p12378 +tp12379 +a(g7 +V\u000a\u000asafe_br()|raw\u000a +p12380 +tp12381 +a(g737 +V{{ +p12382 +tp12383 +a(g900 +g960 +tp12384 +a(g399 +g1145 +tp12385 +a(g427 +Vsafe_br +p12386 +tp12387 +a(g399 +g1145 +tp12388 +a(g399 +g1149 +tp12389 +a(g399 +g1149 +tp12390 +a(g399 +g1141 +tp12391 +a(g560 +Vraw +p12392 +tp12393 +a(g900 +g960 +tp12394 +a(g737 +V}} +p12395 +tp12396 +a(g7 +V\u000a\u000aunsafe_br()|escape\u000a +p12397 +tp12398 +a(g737 +V{{ +p12399 +tp12400 +a(g900 +g960 +tp12401 +a(g399 +g1145 +tp12402 +a(g427 +Vunsafe_br +p12403 +tp12404 +a(g399 +g1145 +tp12405 +a(g399 +g1149 +tp12406 +a(g399 +g1149 +tp12407 +a(g399 +g1141 +tp12408 +a(g560 +Vescape +p12409 +tp12410 +a(g900 +g960 +tp12411 +a(g737 +V}} +p12412 +tp12413 +a(g7 +V\u000a\u000a +p12414 +tp12415 +a(g737 +V{% +p12416 +tp12417 +a(g900 +g960 +tp12418 +a(g818 +Vendautoescape +p12419 +tp12420 +a(g900 +g960 +tp12421 +a(g737 +V%} +p12422 +tp12423 +a(g7 +V\u000a\u000aautoescape js\u000a +p12424 +tp12425 +a(g737 +V{% +p12426 +tp12427 +a(g900 +g960 +tp12428 +a(g818 +Vautoescape +p12429 +tp12430 +a(g900 +g960 +tp12431 +a(g216 +V'js' +p12432 +tp12433 +a(g900 +g960 +tp12434 +a(g737 +V%} +p12435 +tp12436 +a(g7 +V\u000a\u000asafe_br\u000a +p12437 +tp12438 +a(g737 +V{{ +p12439 +tp12440 +a(g900 +g960 +tp12441 +a(g427 +Vsafe_br +p12442 +tp12443 +a(g399 +g1145 +tp12444 +a(g399 +g1149 +tp12445 +a(g900 +g960 +tp12446 +a(g737 +V}} +p12447 +tp12448 +a(g7 +V\u000a\u000a +p12449 +tp12450 +a(g737 +V{% +p12451 +tp12452 +a(g900 +g960 +tp12453 +a(g818 +Vendautoescape +p12454 +tp12455 +a(g900 +g960 +tp12456 +a(g737 +V%} +p12457 +tp12458 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a\u000aautoescape false\u000a\u000asafe_br\u000a
    \u000a\u000aunsafe_br\u000a
    \u000a\u000a\u000aautoescape 'html'\u000a\u000asafe_br\u000a
    \u000a\u000aunsafe_br\u000a<br />\u000a\u000aunsafe_br()|raw\u000a
    \u000a\u000asafe_br()|escape\u000a<br />\u000a\u000asafe_br()|raw\u000a
    \u000a\u000aunsafe_br()|escape\u000a<br />\u000a\u000a\u000aautoescape js\u000a\u000asafe_br\u000a\u005cx3Cbr\u005cx20\u005cx2F\u005cx3E\u000a--TEST--\u000a"autoescape" tag does not apply escaping on literals\u000a--TEMPLATE--\u000a +p12459 +tp12460 +a(g737 +V{% +p12461 +tp12462 +a(g900 +g960 +tp12463 +a(g818 +Vautoescape +p12464 +tp12465 +a(g900 +g960 +tp12466 +a(g216 +V'html' +p12467 +tp12468 +a(g900 +g960 +tp12469 +a(g737 +V%} +p12470 +tp12471 +a(g7 +V\u000a\u000a1. Simple literal\u000a +p12472 +tp12473 +a(g737 +V{{ +p12474 +tp12475 +a(g900 +g960 +tp12476 +a(g143 +V"
    " +p12477 +tp12478 +a(g900 +g960 +tp12479 +a(g737 +V}} +p12480 +tp12481 +a(g7 +V\u000a\u000a2. Conditional expression with only literals\u000a +p12482 +tp12483 +a(g737 +V{{ +p12484 +tp12485 +a(g900 +g960 +tp12486 +a(g859 +Vtrue +p12487 +tp12488 +a(g900 +g960 +tp12489 +a(g399 +g2039 +tp12490 +a(g900 +g960 +tp12491 +a(g143 +V"
    " +p12492 +tp12493 +a(g900 +g960 +tp12494 +a(g399 +g1210 +tp12495 +a(g900 +g960 +tp12496 +a(g143 +V"
    " +p12497 +tp12498 +a(g900 +g960 +tp12499 +a(g737 +V}} +p12500 +tp12501 +a(g7 +V\u000a\u000a3. Conditional expression with a variable\u000a +p12502 +tp12503 +a(g737 +V{{ +p12504 +tp12505 +a(g900 +g960 +tp12506 +a(g859 +Vtrue +p12507 +tp12508 +a(g900 +g960 +tp12509 +a(g399 +g2039 +tp12510 +a(g900 +g960 +tp12511 +a(g143 +V"
    " +p12512 +tp12513 +a(g900 +g960 +tp12514 +a(g399 +g1210 +tp12515 +a(g900 +g960 +tp12516 +a(g427 +VsomeVar +p12517 +tp12518 +a(g900 +g960 +tp12519 +a(g737 +V}} +p12520 +tp12521 +a(g7 +V\u000a\u000a4. Nested conditionals with only literals\u000a +p12522 +tp12523 +a(g737 +V{{ +p12524 +tp12525 +a(g900 +g960 +tp12526 +a(g859 +Vtrue +p12527 +tp12528 +a(g900 +g960 +tp12529 +a(g399 +g2039 +tp12530 +a(g900 +g960 +tp12531 +a(g399 +g1145 +tp12532 +a(g859 +Vtrue +p12533 +tp12534 +a(g900 +g960 +tp12535 +a(g399 +g2039 +tp12536 +a(g900 +g960 +tp12537 +a(g143 +V"
    " +p12538 +tp12539 +a(g900 +g960 +tp12540 +a(g399 +g1210 +tp12541 +a(g900 +g960 +tp12542 +a(g143 +V"
    " +p12543 +tp12544 +a(g399 +g1149 +tp12545 +a(g900 +g960 +tp12546 +a(g399 +g1210 +tp12547 +a(g900 +g960 +tp12548 +a(g143 +V"\u005cn" +p12549 +tp12550 +a(g900 +g960 +tp12551 +a(g737 +V}} +p12552 +tp12553 +a(g7 +V\u000a\u000a5. Nested conditionals with a variable\u000a +p12554 +tp12555 +a(g737 +V{{ +p12556 +tp12557 +a(g900 +g960 +tp12558 +a(g859 +Vtrue +p12559 +tp12560 +a(g900 +g960 +tp12561 +a(g399 +g2039 +tp12562 +a(g900 +g960 +tp12563 +a(g399 +g1145 +tp12564 +a(g859 +Vtrue +p12565 +tp12566 +a(g900 +g960 +tp12567 +a(g399 +g2039 +tp12568 +a(g900 +g960 +tp12569 +a(g143 +V"
    " +p12570 +tp12571 +a(g900 +g960 +tp12572 +a(g399 +g1210 +tp12573 +a(g900 +g960 +tp12574 +a(g427 +VsomeVar +p12575 +tp12576 +a(g399 +g1149 +tp12577 +a(g900 +g960 +tp12578 +a(g399 +g1210 +tp12579 +a(g900 +g960 +tp12580 +a(g143 +V"\u005cn" +p12581 +tp12582 +a(g900 +g960 +tp12583 +a(g737 +V}} +p12584 +tp12585 +a(g7 +V\u000a\u000a6. Nested conditionals with a variable marked safe\u000a +p12586 +tp12587 +a(g737 +V{{ +p12588 +tp12589 +a(g900 +g960 +tp12590 +a(g859 +Vtrue +p12591 +tp12592 +a(g900 +g960 +tp12593 +a(g399 +g2039 +tp12594 +a(g900 +g960 +tp12595 +a(g399 +g1145 +tp12596 +a(g859 +Vtrue +p12597 +tp12598 +a(g900 +g960 +tp12599 +a(g399 +g2039 +tp12600 +a(g900 +g960 +tp12601 +a(g143 +V"
    " +p12602 +tp12603 +a(g900 +g960 +tp12604 +a(g399 +g1210 +tp12605 +a(g900 +g960 +tp12606 +a(g427 +VsomeVar +p12607 +tp12608 +a(g399 +g1141 +tp12609 +a(g560 +Vraw +p12610 +tp12611 +a(g399 +g1149 +tp12612 +a(g900 +g960 +tp12613 +a(g399 +g1210 +tp12614 +a(g900 +g960 +tp12615 +a(g143 +V"\u005cn" +p12616 +tp12617 +a(g900 +g960 +tp12618 +a(g737 +V}} +p12619 +tp12620 +a(g7 +V\u000a\u000a +p12621 +tp12622 +a(g737 +V{% +p12623 +tp12624 +a(g900 +g960 +tp12625 +a(g818 +Vendautoescape +p12626 +tp12627 +a(g900 +g960 +tp12628 +a(g737 +V%} +p12629 +tp12630 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a\u000a1. Simple literal\u000a
    \u000a\u000a2. Conditional expression with only literals\u000a
    \u000a\u000a3. Conditional expression with a variable\u000a<br />\u000a\u000a4. Nested conditionals with only literals\u000a
    \u000a\u000a5. Nested conditionals with a variable\u000a<br />\u000a\u000a6. Nested conditionals with a variable marked safe\u000a
    \u000a--TEST--\u000a"autoescape" tags can be nested at will\u000a--TEMPLATE--\u000a +p12631 +tp12632 +a(g737 +V{{ +p12633 +tp12634 +a(g900 +g960 +tp12635 +a(g427 +Vvar +p12636 +tp12637 +a(g900 +g960 +tp12638 +a(g737 +V}} +p12639 +tp12640 +a(g7 +V\u000a +p12641 +tp12642 +a(g737 +V{% +p12643 +tp12644 +a(g900 +g960 +tp12645 +a(g818 +Vautoescape +p12646 +tp12647 +a(g900 +g960 +tp12648 +a(g216 +V'html' +p12649 +tp12650 +a(g900 +g960 +tp12651 +a(g737 +V%} +p12652 +tp12653 +a(g7 +V\u000a +p12654 +tp12655 +a(g737 +V{{ +p12656 +tp12657 +a(g900 +g960 +tp12658 +a(g427 +Vvar +p12659 +tp12660 +a(g900 +g960 +tp12661 +a(g737 +V}} +p12662 +tp12663 +a(g7 +V\u000a +p12664 +tp12665 +a(g737 +V{% +p12666 +tp12667 +a(g900 +g960 +tp12668 +a(g818 +Vautoescape +p12669 +tp12670 +a(g900 +g960 +tp12671 +a(g859 +Vfalse +p12672 +tp12673 +a(g900 +g960 +tp12674 +a(g737 +V%} +p12675 +tp12676 +a(g7 +V\u000a +p12677 +tp12678 +a(g737 +V{{ +p12679 +tp12680 +a(g900 +g960 +tp12681 +a(g427 +Vvar +p12682 +tp12683 +a(g900 +g960 +tp12684 +a(g737 +V}} +p12685 +tp12686 +a(g7 +V\u000a +p12687 +tp12688 +a(g737 +V{% +p12689 +tp12690 +a(g900 +g960 +tp12691 +a(g818 +Vautoescape +p12692 +tp12693 +a(g900 +g960 +tp12694 +a(g216 +V'html' +p12695 +tp12696 +a(g900 +g960 +tp12697 +a(g737 +V%} +p12698 +tp12699 +a(g7 +V\u000a +p12700 +tp12701 +a(g737 +V{{ +p12702 +tp12703 +a(g900 +g960 +tp12704 +a(g427 +Vvar +p12705 +tp12706 +a(g900 +g960 +tp12707 +a(g737 +V}} +p12708 +tp12709 +a(g7 +V\u000a +p12710 +tp12711 +a(g737 +V{% +p12712 +tp12713 +a(g900 +g960 +tp12714 +a(g818 +Vendautoescape +p12715 +tp12716 +a(g900 +g960 +tp12717 +a(g737 +V%} +p12718 +tp12719 +a(g7 +V\u000a +p12720 +tp12721 +a(g737 +V{{ +p12722 +tp12723 +a(g900 +g960 +tp12724 +a(g427 +Vvar +p12725 +tp12726 +a(g900 +g960 +tp12727 +a(g737 +V}} +p12728 +tp12729 +a(g7 +V\u000a +p12730 +tp12731 +a(g737 +V{% +p12732 +tp12733 +a(g900 +g960 +tp12734 +a(g818 +Vendautoescape +p12735 +tp12736 +a(g900 +g960 +tp12737 +a(g737 +V%} +p12738 +tp12739 +a(g7 +V\u000a +p12740 +tp12741 +a(g737 +V{{ +p12742 +tp12743 +a(g900 +g960 +tp12744 +a(g427 +Vvar +p12745 +tp12746 +a(g900 +g960 +tp12747 +a(g737 +V}} +p12748 +tp12749 +a(g7 +V\u000a +p12750 +tp12751 +a(g737 +V{% +p12752 +tp12753 +a(g900 +g960 +tp12754 +a(g818 +Vendautoescape +p12755 +tp12756 +a(g900 +g960 +tp12757 +a(g737 +V%} +p12758 +tp12759 +a(g7 +V\u000a +p12760 +tp12761 +a(g737 +V{{ +p12762 +tp12763 +a(g900 +g960 +tp12764 +a(g427 +Vvar +p12765 +tp12766 +a(g900 +g960 +tp12767 +a(g737 +V}} +p12768 +tp12769 +a(g7 +V\u000a--DATA--\u000areturn array('var' => '
    ')\u000a--EXPECT--\u000a<br />\u000a <br />\u000a
    \u000a <br />\u000a
    \u000a <br />\u000a<br />\u000a--TEST--\u000a"autoescape" tag applies escaping to object method calls\u000a--TEMPLATE--\u000a +p12770 +tp12771 +a(g737 +V{% +p12772 +tp12773 +a(g900 +g960 +tp12774 +a(g818 +Vautoescape +p12775 +tp12776 +a(g900 +g960 +tp12777 +a(g216 +V'html' +p12778 +tp12779 +a(g900 +g960 +tp12780 +a(g737 +V%} +p12781 +tp12782 +a(g7 +V\u000a +p12783 +tp12784 +a(g737 +V{{ +p12785 +tp12786 +a(g900 +g960 +tp12787 +a(g427 +Vuser +p12788 +tp12789 +a(g427 +V.name +p12790 +tp12791 +a(g900 +g960 +tp12792 +a(g737 +V}} +p12793 +tp12794 +a(g7 +V\u000a +p12795 +tp12796 +a(g737 +V{{ +p12797 +tp12798 +a(g900 +g960 +tp12799 +a(g427 +Vuser +p12800 +tp12801 +a(g427 +V.name +p12802 +tp12803 +a(g399 +g1141 +tp12804 +a(g560 +Vlower +p12805 +tp12806 +a(g900 +g960 +tp12807 +a(g737 +V}} +p12808 +tp12809 +a(g7 +V\u000a +p12810 +tp12811 +a(g737 +V{{ +p12812 +tp12813 +a(g900 +g960 +tp12814 +a(g427 +Vuser +p12815 +tp12816 +a(g900 +g960 +tp12817 +a(g737 +V}} +p12818 +tp12819 +a(g7 +V\u000a +p12820 +tp12821 +a(g737 +V{% +p12822 +tp12823 +a(g900 +g960 +tp12824 +a(g818 +Vendautoescape +p12825 +tp12826 +a(g900 +g960 +tp12827 +a(g737 +V%} +p12828 +tp12829 +a(g7 +V\u000a--EXPECT--\u000aFabien<br />\u000afabien<br />\u000aFabien<br />\u000a--TEST--\u000a"autoescape" tag does not escape when raw is used as a filter\u000a--TEMPLATE--\u000a +p12830 +tp12831 +a(g737 +V{% +p12832 +tp12833 +a(g900 +g960 +tp12834 +a(g818 +Vautoescape +p12835 +tp12836 +a(g900 +g960 +tp12837 +a(g216 +V'html' +p12838 +tp12839 +a(g900 +g960 +tp12840 +a(g737 +V%} +p12841 +tp12842 +a(g7 +V\u000a +p12843 +tp12844 +a(g737 +V{{ +p12845 +tp12846 +a(g900 +g960 +tp12847 +a(g427 +Vvar +p12848 +tp12849 +a(g399 +g1141 +tp12850 +a(g560 +Vraw +p12851 +tp12852 +a(g900 +g960 +tp12853 +a(g737 +V}} +p12854 +tp12855 +a(g7 +V\u000a +p12856 +tp12857 +a(g737 +V{% +p12858 +tp12859 +a(g900 +g960 +tp12860 +a(g818 +Vendautoescape +p12861 +tp12862 +a(g900 +g960 +tp12863 +a(g737 +V%} +p12864 +tp12865 +a(g7 +V\u000a--DATA--\u000areturn array('var' => '
    ')\u000a--EXPECT--\u000a
    \u000a--TEST--\u000a"autoescape" tag accepts an escaping strategy\u000a--TEMPLATE--\u000a +p12866 +tp12867 +a(g737 +V{% +p12868 +tp12869 +a(g900 +g960 +tp12870 +a(g818 +Vautoescape +p12871 +tp12872 +a(g900 +g960 +tp12873 +a(g859 +Vtrue +p12874 +tp12875 +a(g900 +g960 +tp12876 +a(g427 +Vjs +p12877 +tp12878 +a(g900 +g960 +tp12879 +a(g737 +V%} +p12880 +tp12881 +a(g737 +V{{ +p12882 +tp12883 +a(g900 +g960 +tp12884 +a(g427 +Vvar +p12885 +tp12886 +a(g900 +g960 +tp12887 +a(g737 +V}} +p12888 +tp12889 +a(g737 +V{% +p12890 +tp12891 +a(g900 +g960 +tp12892 +a(g818 +Vendautoescape +p12893 +tp12894 +a(g900 +g960 +tp12895 +a(g737 +V%} +p12896 +tp12897 +a(g7 +V\u000a\u000a +p12898 +tp12899 +a(g737 +V{% +p12900 +tp12901 +a(g900 +g960 +tp12902 +a(g818 +Vautoescape +p12903 +tp12904 +a(g900 +g960 +tp12905 +a(g859 +Vtrue +p12906 +tp12907 +a(g900 +g960 +tp12908 +a(g427 +Vhtml +p12909 +tp12910 +a(g900 +g960 +tp12911 +a(g737 +V%} +p12912 +tp12913 +a(g737 +V{{ +p12914 +tp12915 +a(g900 +g960 +tp12916 +a(g427 +Vvar +p12917 +tp12918 +a(g900 +g960 +tp12919 +a(g737 +V}} +p12920 +tp12921 +a(g737 +V{% +p12922 +tp12923 +a(g900 +g960 +tp12924 +a(g818 +Vendautoescape +p12925 +tp12926 +a(g900 +g960 +tp12927 +a(g737 +V%} +p12928 +tp12929 +a(g7 +V\u000a\u000a +p12930 +tp12931 +a(g737 +V{% +p12932 +tp12933 +a(g900 +g960 +tp12934 +a(g818 +Vautoescape +p12935 +tp12936 +a(g900 +g960 +tp12937 +a(g216 +V'js' +p12938 +tp12939 +a(g900 +g960 +tp12940 +a(g737 +V%} +p12941 +tp12942 +a(g737 +V{{ +p12943 +tp12944 +a(g900 +g960 +tp12945 +a(g427 +Vvar +p12946 +tp12947 +a(g900 +g960 +tp12948 +a(g737 +V}} +p12949 +tp12950 +a(g737 +V{% +p12951 +tp12952 +a(g900 +g960 +tp12953 +a(g818 +Vendautoescape +p12954 +tp12955 +a(g900 +g960 +tp12956 +a(g737 +V%} +p12957 +tp12958 +a(g7 +V\u000a\u000a +p12959 +tp12960 +a(g737 +V{% +p12961 +tp12962 +a(g900 +g960 +tp12963 +a(g818 +Vautoescape +p12964 +tp12965 +a(g900 +g960 +tp12966 +a(g216 +V'html' +p12967 +tp12968 +a(g900 +g960 +tp12969 +a(g737 +V%} +p12970 +tp12971 +a(g737 +V{{ +p12972 +tp12973 +a(g900 +g960 +tp12974 +a(g427 +Vvar +p12975 +tp12976 +a(g900 +g960 +tp12977 +a(g737 +V}} +p12978 +tp12979 +a(g737 +V{% +p12980 +tp12981 +a(g900 +g960 +tp12982 +a(g818 +Vendautoescape +p12983 +tp12984 +a(g900 +g960 +tp12985 +a(g737 +V%} +p12986 +tp12987 +a(g7 +V\u000a--DATA--\u000areturn array('var' => '
    "')\u000a--EXPECT--\u000a\u005cx3Cbr\u005cx20\u005cx2F\u005cx3E\u005cx22\u000a<br />"\u000a\u005cx3Cbr\u005cx20\u005cx2F\u005cx3E\u005cx22\u000a<br />"\u000a--TEST--\u000aescape types\u000a--TEMPLATE--\u000a\u000a1. autoescape 'html' |escape('js')\u000a\u000a +p12988 +tp12989 +a(g737 +V{% +p12990 +tp12991 +a(g900 +g960 +tp12992 +a(g818 +Vautoescape +p12993 +tp12994 +a(g900 +g960 +tp12995 +a(g216 +V'html' +p12996 +tp12997 +a(g900 +g960 +tp12998 +a(g737 +V%} +p12999 +tp13000 +a(g7 +V\u000a
    \u000a +p13018 +tp13019 +a(g737 +V{% +p13020 +tp13021 +a(g900 +g960 +tp13022 +a(g818 +Vendautoescape +p13023 +tp13024 +a(g900 +g960 +tp13025 +a(g737 +V%} +p13026 +tp13027 +a(g7 +V\u000a\u000a2. autoescape 'html' |escape('js')\u000a\u000a +p13028 +tp13029 +a(g737 +V{% +p13030 +tp13031 +a(g900 +g960 +tp13032 +a(g818 +Vautoescape +p13033 +tp13034 +a(g900 +g960 +tp13035 +a(g216 +V'html' +p13036 +tp13037 +a(g900 +g960 +tp13038 +a(g737 +V%} +p13039 +tp13040 +a(g7 +V\u000a\u000a +p13058 +tp13059 +a(g737 +V{% +p13060 +tp13061 +a(g900 +g960 +tp13062 +a(g818 +Vendautoescape +p13063 +tp13064 +a(g900 +g960 +tp13065 +a(g737 +V%} +p13066 +tp13067 +a(g7 +V\u000a\u000a3. autoescape 'js' |escape('js')\u000a\u000a +p13068 +tp13069 +a(g737 +V{% +p13070 +tp13071 +a(g900 +g960 +tp13072 +a(g818 +Vautoescape +p13073 +tp13074 +a(g900 +g960 +tp13075 +a(g216 +V'js' +p13076 +tp13077 +a(g900 +g960 +tp13078 +a(g737 +V%} +p13079 +tp13080 +a(g7 +V\u000a\u000a +p13098 +tp13099 +a(g737 +V{% +p13100 +tp13101 +a(g900 +g960 +tp13102 +a(g818 +Vendautoescape +p13103 +tp13104 +a(g900 +g960 +tp13105 +a(g737 +V%} +p13106 +tp13107 +a(g7 +V\u000a\u000a4. no escape\u000a\u000a +p13108 +tp13109 +a(g737 +V{% +p13110 +tp13111 +a(g900 +g960 +tp13112 +a(g818 +Vautoescape +p13113 +tp13114 +a(g900 +g960 +tp13115 +a(g859 +Vfalse +p13116 +tp13117 +a(g900 +g960 +tp13118 +a(g737 +V%} +p13119 +tp13120 +a(g7 +V\u000a\u000a +p13131 +tp13132 +a(g737 +V{% +p13133 +tp13134 +a(g900 +g960 +tp13135 +a(g818 +Vendautoescape +p13136 +tp13137 +a(g900 +g960 +tp13138 +a(g737 +V%} +p13139 +tp13140 +a(g7 +V\u000a\u000a5. |escape('js')|escape('html')\u000a\u000a +p13141 +tp13142 +a(g737 +V{% +p13143 +tp13144 +a(g900 +g960 +tp13145 +a(g818 +Vautoescape +p13146 +tp13147 +a(g900 +g960 +tp13148 +a(g859 +Vfalse +p13149 +tp13150 +a(g900 +g960 +tp13151 +a(g737 +V%} +p13152 +tp13153 +a(g7 +V\u000a\u000a +p13178 +tp13179 +a(g737 +V{% +p13180 +tp13181 +a(g900 +g960 +tp13182 +a(g818 +Vendautoescape +p13183 +tp13184 +a(g900 +g960 +tp13185 +a(g737 +V%} +p13186 +tp13187 +a(g7 +V\u000a\u000a6. autoescape 'html' |escape('js')|escape('html')\u000a\u000a +p13188 +tp13189 +a(g737 +V{% +p13190 +tp13191 +a(g900 +g960 +tp13192 +a(g818 +Vautoescape +p13193 +tp13194 +a(g900 +g960 +tp13195 +a(g216 +V'html' +p13196 +tp13197 +a(g900 +g960 +tp13198 +a(g737 +V%} +p13199 +tp13200 +a(g7 +V\u000a\u000a +p13225 +tp13226 +a(g737 +V{% +p13227 +tp13228 +a(g900 +g960 +tp13229 +a(g818 +Vendautoescape +p13230 +tp13231 +a(g900 +g960 +tp13232 +a(g737 +V%} +p13233 +tp13234 +a(g7 +V\u000a\u000a--DATA--\u000areturn array('msg' => "<>\u005cn'\u005c"")\u000a--EXPECT--\u000a\u000a1. autoescape 'html' |escape('js')\u000a\u000a\u000a\u000a2. autoescape 'html' |escape('js')\u000a\u000a\u000a\u000a3. autoescape 'js' |escape('js')\u000a\u000a\u000a\u000a4. no escape\u000a\u000a\u000a\u000a5. |escape('js')|escape('html')\u000a\u000a\u000a\u000a6. autoescape 'html' |escape('js')|escape('html')\u000a\u000a\u000a\u000a--TEST--\u000a"autoescape" tag do not applies escaping on filter arguments\u000a--TEMPLATE--\u000a +p13235 +tp13236 +a(g737 +V{% +p13237 +tp13238 +a(g900 +g960 +tp13239 +a(g818 +Vautoescape +p13240 +tp13241 +a(g900 +g960 +tp13242 +a(g216 +V'html' +p13243 +tp13244 +a(g900 +g960 +tp13245 +a(g737 +V%} +p13246 +tp13247 +a(g7 +V\u000a +p13248 +tp13249 +a(g737 +V{{ +p13250 +tp13251 +a(g900 +g960 +tp13252 +a(g427 +Vvar +p13253 +tp13254 +a(g399 +g1141 +tp13255 +a(g560 +Vnl2br +p13256 +tp13257 +a(g399 +g1145 +tp13258 +a(g143 +V"
    " +p13259 +tp13260 +a(g399 +g1149 +tp13261 +a(g900 +g960 +tp13262 +a(g737 +V}} +p13263 +tp13264 +a(g7 +V\u000a +p13265 +tp13266 +a(g737 +V{{ +p13267 +tp13268 +a(g900 +g960 +tp13269 +a(g427 +Vvar +p13270 +tp13271 +a(g399 +g1141 +tp13272 +a(g560 +Vnl2br +p13273 +tp13274 +a(g399 +g1145 +tp13275 +a(g143 +V"
    " +p13276 +tp13277 +a(g399 +g1141 +tp13278 +a(g560 +Vescape +p13279 +tp13280 +a(g399 +g1149 +tp13281 +a(g900 +g960 +tp13282 +a(g737 +V}} +p13283 +tp13284 +a(g7 +V\u000a +p13285 +tp13286 +a(g737 +V{{ +p13287 +tp13288 +a(g900 +g960 +tp13289 +a(g427 +Vvar +p13290 +tp13291 +a(g399 +g1141 +tp13292 +a(g560 +Vnl2br +p13293 +tp13294 +a(g399 +g1145 +tp13295 +a(g427 +Vsep +p13296 +tp13297 +a(g399 +g1149 +tp13298 +a(g900 +g960 +tp13299 +a(g737 +V}} +p13300 +tp13301 +a(g7 +V\u000a +p13302 +tp13303 +a(g737 +V{{ +p13304 +tp13305 +a(g900 +g960 +tp13306 +a(g427 +Vvar +p13307 +tp13308 +a(g399 +g1141 +tp13309 +a(g560 +Vnl2br +p13310 +tp13311 +a(g399 +g1145 +tp13312 +a(g427 +Vsep +p13313 +tp13314 +a(g399 +g1141 +tp13315 +a(g560 +Vraw +p13316 +tp13317 +a(g399 +g1149 +tp13318 +a(g900 +g960 +tp13319 +a(g737 +V}} +p13320 +tp13321 +a(g7 +V\u000a +p13322 +tp13323 +a(g737 +V{{ +p13324 +tp13325 +a(g900 +g960 +tp13326 +a(g427 +Vvar +p13327 +tp13328 +a(g399 +g1141 +tp13329 +a(g560 +Vnl2br +p13330 +tp13331 +a(g399 +g1145 +tp13332 +a(g427 +Vsep +p13333 +tp13334 +a(g399 +g1141 +tp13335 +a(g560 +Vescape +p13336 +tp13337 +a(g399 +g1149 +tp13338 +a(g900 +g960 +tp13339 +a(g737 +V}} +p13340 +tp13341 +a(g7 +V\u000a +p13342 +tp13343 +a(g737 +V{% +p13344 +tp13345 +a(g900 +g960 +tp13346 +a(g818 +Vendautoescape +p13347 +tp13348 +a(g900 +g960 +tp13349 +a(g737 +V%} +p13350 +tp13351 +a(g7 +V\u000a--DATA--\u000areturn array('var' => "\u005cnTwig", 'sep' => '
    ')\u000a--EXPECT--\u000a<Fabien>
    \u000aTwig\u000a<Fabien><br />\u000aTwig\u000a<Fabien>
    \u000aTwig\u000a<Fabien>
    \u000aTwig\u000a<Fabien><br />\u000aTwig\u000a--TEST--\u000a"autoescape" tag applies escaping after calling filters\u000a--TEMPLATE--\u000a +p13352 +tp13353 +a(g737 +V{% +p13354 +tp13355 +a(g900 +g960 +tp13356 +a(g818 +Vautoescape +p13357 +tp13358 +a(g900 +g960 +tp13359 +a(g216 +V'html' +p13360 +tp13361 +a(g900 +g960 +tp13362 +a(g737 +V%} +p13363 +tp13364 +a(g7 +V\u000a\u000a(escape_and_nl2br is an escaper filter)\u000a\u000a1. Don't escape escaper filter output\u000a( var is escaped by |escape_and_nl2br, line-breaks are added, \u000a the output is not escaped )\u000a +p13365 +tp13366 +a(g737 +V{{ +p13367 +tp13368 +a(g900 +g960 +tp13369 +a(g427 +Vvar +p13370 +tp13371 +a(g399 +g1141 +tp13372 +a(g560 +Vescape_and_nl2br +p13373 +tp13374 +a(g900 +g960 +tp13375 +a(g737 +V}} +p13376 +tp13377 +a(g7 +V\u000a\u000a2. Don't escape escaper filter output\u000a( var is escaped by |escape_and_nl2br, line-breaks are added, \u000a the output is not escaped, |raw is redundant )\u000a +p13378 +tp13379 +a(g737 +V{{ +p13380 +tp13381 +a(g900 +g960 +tp13382 +a(g427 +Vvar +p13383 +tp13384 +a(g399 +g1141 +tp13385 +a(g560 +Vescape_and_nl2br +p13386 +tp13387 +a(g399 +g1141 +tp13388 +a(g560 +Vraw +p13389 +tp13390 +a(g900 +g960 +tp13391 +a(g737 +V}} +p13392 +tp13393 +a(g7 +V\u000a\u000a3. Explicit escape\u000a( var is escaped by |escape_and_nl2br, line-breaks are added,\u000a the output is explicitly escaped by |escape )\u000a +p13394 +tp13395 +a(g737 +V{{ +p13396 +tp13397 +a(g900 +g960 +tp13398 +a(g427 +Vvar +p13399 +tp13400 +a(g399 +g1141 +tp13401 +a(g560 +Vescape_and_nl2br +p13402 +tp13403 +a(g399 +g1141 +tp13404 +a(g560 +Vescape +p13405 +tp13406 +a(g900 +g960 +tp13407 +a(g737 +V}} +p13408 +tp13409 +a(g7 +V\u000a\u000a4. Escape non-escaper filter output\u000a( var is upper-cased by |upper,\u000a the output is auto-escaped )\u000a +p13410 +tp13411 +a(g737 +V{{ +p13412 +tp13413 +a(g900 +g960 +tp13414 +a(g427 +Vvar +p13415 +tp13416 +a(g399 +g1141 +tp13417 +a(g560 +Vupper +p13418 +tp13419 +a(g900 +g960 +tp13420 +a(g737 +V}} +p13421 +tp13422 +a(g7 +V\u000a\u000a5. Escape if last filter is not an escaper\u000a( var is escaped by |escape_and_nl2br, line-breaks are added,\u000a the output is upper-cased by |upper,\u000a the output is auto-escaped as |upper is not an escaper )\u000a +p13423 +tp13424 +a(g737 +V{{ +p13425 +tp13426 +a(g900 +g960 +tp13427 +a(g427 +Vvar +p13428 +tp13429 +a(g399 +g1141 +tp13430 +a(g560 +Vescape_and_nl2br +p13431 +tp13432 +a(g399 +g1141 +tp13433 +a(g560 +Vupper +p13434 +tp13435 +a(g900 +g960 +tp13436 +a(g737 +V}} +p13437 +tp13438 +a(g7 +V\u000a\u000a6. Don't escape escaper filter output\u000a( var is upper cased by upper,\u000a the output is escaped by |escape_and_nl2br, line-breaks are added,\u000a the output is not escaped as |escape_and_nl2br is an escaper )\u000a +p13439 +tp13440 +a(g737 +V{{ +p13441 +tp13442 +a(g900 +g960 +tp13443 +a(g427 +Vvar +p13444 +tp13445 +a(g399 +g1141 +tp13446 +a(g560 +Vupper +p13447 +tp13448 +a(g399 +g1141 +tp13449 +a(g560 +Vescape_and_nl2br +p13450 +tp13451 +a(g900 +g960 +tp13452 +a(g737 +V}} +p13453 +tp13454 +a(g7 +V\u000a\u000a7. Escape if last filter is not an escaper\u000a( the output of |format is "" ~ var ~ "",\u000a the output is auto-escaped )\u000a +p13455 +tp13456 +a(g737 +V{{ +p13457 +tp13458 +a(g900 +g960 +tp13459 +a(g143 +V"%s" +p13460 +tp13461 +a(g399 +g1141 +tp13462 +a(g560 +Vformat +p13463 +tp13464 +a(g399 +g1145 +tp13465 +a(g427 +Vvar +p13466 +tp13467 +a(g399 +g1149 +tp13468 +a(g900 +g960 +tp13469 +a(g737 +V}} +p13470 +tp13471 +a(g7 +V\u000a\u000a8. Escape if last filter is not an escaper\u000a( the output of |format is "" ~ var ~ "",\u000a |raw is redundant,\u000a the output is auto-escaped )\u000a +p13472 +tp13473 +a(g737 +V{{ +p13474 +tp13475 +a(g900 +g960 +tp13476 +a(g143 +V"%s" +p13477 +tp13478 +a(g399 +g1141 +tp13479 +a(g560 +Vraw +p13480 +tp13481 +a(g399 +g1141 +tp13482 +a(g560 +Vformat +p13483 +tp13484 +a(g399 +g1145 +tp13485 +a(g427 +Vvar +p13486 +tp13487 +a(g399 +g1149 +tp13488 +a(g900 +g960 +tp13489 +a(g737 +V}} +p13490 +tp13491 +a(g7 +V\u000a\u000a9. Don't escape escaper filter output\u000a( the output of |format is "" ~ var ~ "",\u000a the output is not escaped due to |raw filter at the end )\u000a +p13492 +tp13493 +a(g737 +V{{ +p13494 +tp13495 +a(g900 +g960 +tp13496 +a(g143 +V"%s" +p13497 +tp13498 +a(g399 +g1141 +tp13499 +a(g560 +Vformat +p13500 +tp13501 +a(g399 +g1145 +tp13502 +a(g427 +Vvar +p13503 +tp13504 +a(g399 +g1149 +tp13505 +a(g399 +g1141 +tp13506 +a(g560 +Vraw +p13507 +tp13508 +a(g900 +g960 +tp13509 +a(g737 +V}} +p13510 +tp13511 +a(g7 +V\u000a\u000a10. Don't escape escaper filter output\u000a( the output of |format is "" ~ var ~ "",\u000a the output is not escaped due to |raw filter at the end,\u000a the |raw filter on var is redundant )\u000a +p13512 +tp13513 +a(g737 +V{{ +p13514 +tp13515 +a(g900 +g960 +tp13516 +a(g143 +V"%s" +p13517 +tp13518 +a(g399 +g1141 +tp13519 +a(g560 +Vformat +p13520 +tp13521 +a(g399 +g1145 +tp13522 +a(g427 +Vvar +p13523 +tp13524 +a(g399 +g1141 +tp13525 +a(g560 +Vraw +p13526 +tp13527 +a(g399 +g1149 +tp13528 +a(g399 +g1141 +tp13529 +a(g560 +Vraw +p13530 +tp13531 +a(g900 +g960 +tp13532 +a(g737 +V}} +p13533 +tp13534 +a(g7 +V\u000a\u000a +p13535 +tp13536 +a(g737 +V{% +p13537 +tp13538 +a(g900 +g960 +tp13539 +a(g818 +Vendautoescape +p13540 +tp13541 +a(g900 +g960 +tp13542 +a(g737 +V%} +p13543 +tp13544 +a(g7 +V\u000a--DATA--\u000areturn array('var' => "\u005cnTwig")\u000a--EXPECT--\u000a\u000a(escape_and_nl2br is an escaper filter)\u000a\u000a1. Don't escape escaper filter output\u000a( var is escaped by |escape_and_nl2br, line-breaks are added, \u000a the output is not escaped )\u000a<Fabien>
    \u000aTwig\u000a\u000a2. Don't escape escaper filter output\u000a( var is escaped by |escape_and_nl2br, line-breaks are added, \u000a the output is not escaped, |raw is redundant )\u000a<Fabien>
    \u000aTwig\u000a\u000a3. Explicit escape\u000a( var is escaped by |escape_and_nl2br, line-breaks are added,\u000a the output is explicitly escaped by |escape )\u000a&lt;Fabien&gt;<br />\u000aTwig\u000a\u000a4. Escape non-escaper filter output\u000a( var is upper-cased by |upper,\u000a the output is auto-escaped )\u000a<FABIEN>\u000aTWIG\u000a\u000a5. Escape if last filter is not an escaper\u000a( var is escaped by |escape_and_nl2br, line-breaks are added,\u000a the output is upper-cased by |upper,\u000a the output is auto-escaped as |upper is not an escaper )\u000a&LT;FABIEN&GT;<BR />\u000aTWIG\u000a\u000a6. Don't escape escaper filter output\u000a( var is upper cased by upper,\u000a the output is escaped by |escape_and_nl2br, line-breaks are added,\u000a the output is not escaped as |escape_and_nl2br is an escaper )\u000a<FABIEN>
    \u000aTWIG\u000a\u000a7. Escape if last filter is not an escaper\u000a( the output of |format is "" ~ var ~ "",\u000a the output is auto-escaped )\u000a<b><Fabien>\u000aTwig</b>\u000a\u000a8. Escape if last filter is not an escaper\u000a( the output of |format is "" ~ var ~ "",\u000a |raw is redundant,\u000a the output is auto-escaped )\u000a<b><Fabien>\u000aTwig</b>\u000a\u000a9. Don't escape escaper filter output\u000a( the output of |format is "" ~ var ~ "",\u000a the output is not escaped due to |raw filter at the end )\u000a\u000aTwig\u000a\u000a10. Don't escape escaper filter output\u000a( the output of |format is "" ~ var ~ "",\u000a the output is not escaped due to |raw filter at the end,\u000a the |raw filter on var is redundant )\u000a\u000aTwig\u000a--TEST--\u000a"autoescape" tag applies escaping after calling filters, and before calling pre_escape filters\u000a--TEMPLATE--\u000a +p13545 +tp13546 +a(g737 +V{% +p13547 +tp13548 +a(g900 +g960 +tp13549 +a(g818 +Vautoescape +p13550 +tp13551 +a(g900 +g960 +tp13552 +a(g216 +V'html' +p13553 +tp13554 +a(g900 +g960 +tp13555 +a(g737 +V%} +p13556 +tp13557 +a(g7 +V\u000a\u000a(nl2br is pre_escaped for "html" and declared safe for "html")\u000a\u000a1. Pre-escape and don't post-escape\u000a( var|escape|nl2br )\u000a +p13558 +tp13559 +a(g737 +V{{ +p13560 +tp13561 +a(g900 +g960 +tp13562 +a(g427 +Vvar +p13563 +tp13564 +a(g399 +g1141 +tp13565 +a(g560 +Vnl2br +p13566 +tp13567 +a(g900 +g960 +tp13568 +a(g737 +V}} +p13569 +tp13570 +a(g7 +V\u000a\u000a2. Don't double-pre-escape\u000a( var|escape|nl2br )\u000a +p13571 +tp13572 +a(g737 +V{{ +p13573 +tp13574 +a(g900 +g960 +tp13575 +a(g427 +Vvar +p13576 +tp13577 +a(g399 +g1141 +tp13578 +a(g560 +Vescape +p13579 +tp13580 +a(g399 +g1141 +tp13581 +a(g560 +Vnl2br +p13582 +tp13583 +a(g900 +g960 +tp13584 +a(g737 +V}} +p13585 +tp13586 +a(g7 +V\u000a\u000a3. Don't escape safe values\u000a( var|raw|nl2br )\u000a +p13587 +tp13588 +a(g737 +V{{ +p13589 +tp13590 +a(g900 +g960 +tp13591 +a(g427 +Vvar +p13592 +tp13593 +a(g399 +g1141 +tp13594 +a(g560 +Vraw +p13595 +tp13596 +a(g399 +g1141 +tp13597 +a(g560 +Vnl2br +p13598 +tp13599 +a(g900 +g960 +tp13600 +a(g737 +V}} +p13601 +tp13602 +a(g7 +V\u000a\u000a4. Don't escape safe values\u000a( var|escape|nl2br|nl2br )\u000a +p13603 +tp13604 +a(g737 +V{{ +p13605 +tp13606 +a(g900 +g960 +tp13607 +a(g427 +Vvar +p13608 +tp13609 +a(g399 +g1141 +tp13610 +a(g560 +Vnl2br +p13611 +tp13612 +a(g399 +g1141 +tp13613 +a(g560 +Vnl2br +p13614 +tp13615 +a(g900 +g960 +tp13616 +a(g737 +V}} +p13617 +tp13618 +a(g7 +V\u000a\u000a5. Re-escape values that are escaped for an other contexts\u000a( var|escape_something|escape|nl2br )\u000a +p13619 +tp13620 +a(g737 +V{{ +p13621 +tp13622 +a(g900 +g960 +tp13623 +a(g427 +Vvar +p13624 +tp13625 +a(g399 +g1141 +tp13626 +a(g560 +Vescape_something +p13627 +tp13628 +a(g399 +g1141 +tp13629 +a(g560 +Vnl2br +p13630 +tp13631 +a(g900 +g960 +tp13632 +a(g737 +V}} +p13633 +tp13634 +a(g7 +V\u000a\u000a6. Still escape when using filters not declared safe\u000a( var|escape|nl2br|upper|escape )\u000a +p13635 +tp13636 +a(g737 +V{{ +p13637 +tp13638 +a(g900 +g960 +tp13639 +a(g427 +Vvar +p13640 +tp13641 +a(g399 +g1141 +tp13642 +a(g560 +Vnl2br +p13643 +tp13644 +a(g399 +g1141 +tp13645 +a(g560 +Vupper +p13646 +tp13647 +a(g900 +g960 +tp13648 +a(g737 +V}} +p13649 +tp13650 +a(g7 +V\u000a\u000a +p13651 +tp13652 +a(g737 +V{% +p13653 +tp13654 +a(g900 +g960 +tp13655 +a(g818 +Vendautoescape +p13656 +tp13657 +a(g900 +g960 +tp13658 +a(g737 +V%} +p13659 +tp13660 +a(g7 +V\u000a--DATA--\u000areturn array('var' => "\u005cnTwig")\u000a--EXPECT--\u000a\u000a(nl2br is pre_escaped for "html" and declared safe for "html")\u000a\u000a1. Pre-escape and don't post-escape\u000a( var|escape|nl2br )\u000a<Fabien>
    \u000aTwig\u000a\u000a2. Don't double-pre-escape\u000a( var|escape|nl2br )\u000a<Fabien>
    \u000aTwig\u000a\u000a3. Don't escape safe values\u000a( var|raw|nl2br )\u000a
    \u000aTwig\u000a\u000a4. Don't escape safe values\u000a( var|escape|nl2br|nl2br )\u000a<Fabien>

    \u000aTwig\u000a\u000a5. Re-escape values that are escaped for an other contexts\u000a( var|escape_something|escape|nl2br )\u000a<FABIEN>
    \u000aTWIG\u000a\u000a6. Still escape when using filters not declared safe\u000a( var|escape|nl2br|upper|escape )\u000a&LT;FABIEN&GT;<BR />\u000aTWIG\u000a\u000a--TEST--\u000a"autoescape" tag handles filters preserving the safety\u000a--TEMPLATE--\u000a +p13661 +tp13662 +a(g737 +V{% +p13663 +tp13664 +a(g900 +g960 +tp13665 +a(g818 +Vautoescape +p13666 +tp13667 +a(g900 +g960 +tp13668 +a(g216 +V'html' +p13669 +tp13670 +a(g900 +g960 +tp13671 +a(g737 +V%} +p13672 +tp13673 +a(g7 +V\u000a\u000a(preserves_safety is preserving safety for "html")\u000a\u000a1. Unsafe values are still unsafe\u000a( var|preserves_safety|escape )\u000a +p13674 +tp13675 +a(g737 +V{{ +p13676 +tp13677 +a(g900 +g960 +tp13678 +a(g427 +Vvar +p13679 +tp13680 +a(g399 +g1141 +tp13681 +a(g560 +Vpreserves_safety +p13682 +tp13683 +a(g900 +g960 +tp13684 +a(g737 +V}} +p13685 +tp13686 +a(g7 +V\u000a\u000a2. Safe values are still safe\u000a( var|escape|preserves_safety )\u000a +p13687 +tp13688 +a(g737 +V{{ +p13689 +tp13690 +a(g900 +g960 +tp13691 +a(g427 +Vvar +p13692 +tp13693 +a(g399 +g1141 +tp13694 +a(g560 +Vescape +p13695 +tp13696 +a(g399 +g1141 +tp13697 +a(g560 +Vpreserves_safety +p13698 +tp13699 +a(g900 +g960 +tp13700 +a(g737 +V}} +p13701 +tp13702 +a(g7 +V\u000a\u000a3. Re-escape values that are escaped for an other contexts\u000a( var|escape_something|preserves_safety|escape )\u000a +p13703 +tp13704 +a(g737 +V{{ +p13705 +tp13706 +a(g900 +g960 +tp13707 +a(g427 +Vvar +p13708 +tp13709 +a(g399 +g1141 +tp13710 +a(g560 +Vescape_something +p13711 +tp13712 +a(g399 +g1141 +tp13713 +a(g560 +Vpreserves_safety +p13714 +tp13715 +a(g900 +g960 +tp13716 +a(g737 +V}} +p13717 +tp13718 +a(g7 +V\u000a\u000a4. Still escape when using filters not declared safe\u000a( var|escape|preserves_safety|replace( +p13719 +tp13720 +a(g7 +g1206 +tp13721 +a(g7 +V'FABIEN': 'FABPOT'})|escape )\u000a +p13722 +tp13723 +a(g737 +V{{ +p13724 +tp13725 +a(g900 +g960 +tp13726 +a(g427 +Vvar +p13727 +tp13728 +a(g399 +g1141 +tp13729 +a(g560 +Vescape +p13730 +tp13731 +a(g399 +g1141 +tp13732 +a(g560 +Vpreserves_safety +p13733 +tp13734 +a(g399 +g1141 +tp13735 +a(g560 +Vreplace +p13736 +tp13737 +a(g399 +g1145 +tp13738 +a(g399 +g1206 +tp13739 +a(g216 +V'FABIEN' +p13740 +tp13741 +a(g399 +g1210 +tp13742 +a(g900 +g960 +tp13743 +a(g216 +V'FABPOT' +p13744 +tp13745 +a(g399 +g1222 +tp13746 +a(g399 +g1149 +tp13747 +a(g900 +g960 +tp13748 +a(g737 +V}} +p13749 +tp13750 +a(g7 +V\u000a\u000a +p13751 +tp13752 +a(g737 +V{% +p13753 +tp13754 +a(g900 +g960 +tp13755 +a(g818 +Vendautoescape +p13756 +tp13757 +a(g900 +g960 +tp13758 +a(g737 +V%} +p13759 +tp13760 +a(g7 +V\u000a--DATA--\u000areturn array('var' => "\u005cnTwig")\u000a--EXPECT--\u000a\u000a(preserves_safety is preserving safety for "html")\u000a\u000a1. Unsafe values are still unsafe\u000a( var|preserves_safety|escape )\u000a<FABIEN>\u000aTWIG\u000a\u000a2. Safe values are still safe\u000a( var|escape|preserves_safety )\u000a<FABIEN>\u000aTWIG\u000a\u000a3. Re-escape values that are escaped for an other contexts\u000a( var|escape_something|preserves_safety|escape )\u000a<FABIEN>\u000aTWIG\u000a\u000a4. Still escape when using filters not declared safe\u000a( var|escape|preserves_safety|replace( +p13761 +tp13762 +a(g7 +g1206 +tp13763 +a(g7 +V'FABIEN': 'FABPOT'})|escape )\u000a&LT;FABPOT&GT;\u000aTWIG\u000a\u000a--TEST--\u000a"block" tag\u000a--TEMPLATE--\u000a +p13764 +tp13765 +a(g737 +V{% +p13766 +tp13767 +a(g900 +g960 +tp13768 +a(g818 +Vblock +p13769 +tp13770 +a(g900 +g960 +tp13771 +a(g427 +Vtitle1 +p13772 +tp13773 +a(g900 +g960 +tp13774 +a(g737 +V%} +p13775 +tp13776 +a(g7 +VFOO +p13777 +tp13778 +a(g737 +V{% +p13779 +tp13780 +a(g900 +g960 +tp13781 +a(g818 +Vendblock +p13782 +tp13783 +a(g900 +g960 +tp13784 +a(g737 +V%} +p13785 +tp13786 +a(g7 +V\u000a +p13787 +tp13788 +a(g737 +V{% +p13789 +tp13790 +a(g900 +g960 +tp13791 +a(g818 +Vblock +p13792 +tp13793 +a(g900 +g960 +tp13794 +a(g427 +Vtitle2 +p13795 +tp13796 +a(g900 +g960 +tp13797 +a(g427 +Vfoo +p13798 +tp13799 +a(g399 +g1141 +tp13800 +a(g560 +Vlower +p13801 +tp13802 +a(g900 +g960 +tp13803 +a(g737 +V%} +p13804 +tp13805 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p13806 +tp13807 +a(g737 +V{% +p13808 +tp13809 +a(g900 +g960 +tp13810 +a(g818 +Vblock +p13811 +tp13812 +a(g900 +g960 +tp13813 +a(g427 +Vcontent +p13814 +tp13815 +a(g900 +g960 +tp13816 +a(g737 +V%} +p13817 +tp13818 +a(g737 +V{% +p13819 +tp13820 +a(g900 +g960 +tp13821 +a(g818 +Vendblock +p13822 +tp13823 +a(g900 +g960 +tp13824 +a(g737 +V%} +p13825 +tp13826 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'bar')\u000a--EXPECT--\u000aFOObar\u000a--TEST--\u000a"block" tag\u000a--TEMPLATE--\u000a +p13827 +tp13828 +a(g737 +V{% +p13829 +tp13830 +a(g900 +g960 +tp13831 +a(g818 +Vblock +p13832 +tp13833 +a(g900 +g960 +tp13834 +a(g427 +Vcontent +p13835 +tp13836 +a(g900 +g960 +tp13837 +a(g737 +V%} +p13838 +tp13839 +a(g7 +V\u000a +p13840 +tp13841 +a(g737 +V{% +p13842 +tp13843 +a(g900 +g960 +tp13844 +a(g818 +Vblock +p13845 +tp13846 +a(g900 +g960 +tp13847 +a(g427 +Vcontent +p13848 +tp13849 +a(g900 +g960 +tp13850 +a(g737 +V%} +p13851 +tp13852 +a(g7 +V\u000a +p13853 +tp13854 +a(g737 +V{% +p13855 +tp13856 +a(g900 +g960 +tp13857 +a(g818 +Vendblock +p13858 +tp13859 +a(g900 +g960 +tp13860 +a(g737 +V%} +p13861 +tp13862 +a(g7 +V\u000a +p13863 +tp13864 +a(g737 +V{% +p13865 +tp13866 +a(g900 +g960 +tp13867 +a(g818 +Vendblock +p13868 +tp13869 +a(g900 +g960 +tp13870 +a(g737 +V%} +p13871 +tp13872 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXCEPTION--\u000aTwig_Error_Syntax: The block 'content' has already been defined line 2 in "index.twig" at line 3\u000a--TEST--\u000a"§" special chars in a block name\u000a--TEMPLATE--\u000a +p13873 +tp13874 +a(g737 +V{% +p13875 +tp13876 +a(g900 +g960 +tp13877 +a(g818 +Vblock +p13878 +tp13879 +a(g900 +g960 +tp13880 +a(g427 +V§ +p13881 +tp13882 +a(g900 +g960 +tp13883 +a(g737 +V%} +p13884 +tp13885 +a(g7 +V\u000a§\u000a +p13886 +tp13887 +a(g737 +V{% +p13888 +tp13889 +a(g900 +g960 +tp13890 +a(g818 +Vendblock +p13891 +tp13892 +a(g900 +g960 +tp13893 +a(g427 +g13881 +tp13894 +a(g900 +g960 +tp13895 +a(g737 +V%} +p13896 +tp13897 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a§\u000a--TEST--\u000a"embed" tag\u000a--TEMPLATE--\u000aFOO\u000a +p13898 +tp13899 +a(g737 +V{% +p13900 +tp13901 +a(g900 +g960 +tp13902 +a(g818 +Vembed +p13903 +tp13904 +a(g900 +g960 +tp13905 +a(g143 +V"foo.twig" +p13906 +tp13907 +a(g900 +g960 +tp13908 +a(g737 +V%} +p13909 +tp13910 +a(g7 +V\u000a +p13911 +tp13912 +a(g737 +V{% +p13913 +tp13914 +a(g900 +g960 +tp13915 +a(g818 +Vblock +p13916 +tp13917 +a(g900 +g960 +tp13918 +a(g427 +Vc1 +p13919 +tp13920 +a(g900 +g960 +tp13921 +a(g737 +V%} +p13922 +tp13923 +a(g7 +V\u000a +p13924 +tp13925 +a(g737 +V{{ +p13926 +tp13927 +a(g900 +g960 +tp13928 +a(g668 +Vparent +p13929 +tp13930 +a(g399 +g1145 +tp13931 +a(g399 +g1149 +tp13932 +a(g900 +g960 +tp13933 +a(g737 +V}} +p13934 +tp13935 +a(g7 +V\u000a block1extended\u000a +p13936 +tp13937 +a(g737 +V{% +p13938 +tp13939 +a(g900 +g960 +tp13940 +a(g818 +Vendblock +p13941 +tp13942 +a(g900 +g960 +tp13943 +a(g737 +V%} +p13944 +tp13945 +a(g7 +V\u000a +p13946 +tp13947 +a(g737 +V{% +p13948 +tp13949 +a(g900 +g960 +tp13950 +a(g818 +Vendembed +p13951 +tp13952 +a(g900 +g960 +tp13953 +a(g737 +V%} +p13954 +tp13955 +a(g7 +V\u000a\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000aA\u000a +p13956 +tp13957 +a(g737 +V{% +p13958 +tp13959 +a(g900 +g960 +tp13960 +a(g818 +Vblock +p13961 +tp13962 +a(g900 +g960 +tp13963 +a(g427 +Vc1 +p13964 +tp13965 +a(g900 +g960 +tp13966 +a(g737 +V%} +p13967 +tp13968 +a(g7 +V\u000a block1\u000a +p13969 +tp13970 +a(g737 +V{% +p13971 +tp13972 +a(g900 +g960 +tp13973 +a(g818 +Vendblock +p13974 +tp13975 +a(g900 +g960 +tp13976 +a(g737 +V%} +p13977 +tp13978 +a(g7 +V\u000aB\u000a +p13979 +tp13980 +a(g737 +V{% +p13981 +tp13982 +a(g900 +g960 +tp13983 +a(g818 +Vblock +p13984 +tp13985 +a(g900 +g960 +tp13986 +a(g427 +Vc2 +p13987 +tp13988 +a(g900 +g960 +tp13989 +a(g737 +V%} +p13990 +tp13991 +a(g7 +V\u000a block2\u000a +p13992 +tp13993 +a(g737 +V{% +p13994 +tp13995 +a(g900 +g960 +tp13996 +a(g818 +Vendblock +p13997 +tp13998 +a(g900 +g960 +tp13999 +a(g737 +V%} +p14000 +tp14001 +a(g7 +V\u000aC\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000a\u000aA\u000a block1\u000a\u000a block1extended\u000a B\u000a block2\u000aC\u000aBAR\u000a--TEST--\u000a"embed" tag\u000a--TEMPLATE(index.twig)--\u000aFOO\u000a +p14002 +tp14003 +a(g737 +V{% +p14004 +tp14005 +a(g900 +g960 +tp14006 +a(g818 +Vembed +p14007 +tp14008 +a(g900 +g960 +tp14009 +a(g143 +V"foo.twig" +p14010 +tp14011 +a(g900 +g960 +tp14012 +a(g737 +V%} +p14013 +tp14014 +a(g7 +V\u000a +p14015 +tp14016 +a(g737 +V{% +p14017 +tp14018 +a(g900 +g960 +tp14019 +a(g818 +Vblock +p14020 +tp14021 +a(g900 +g960 +tp14022 +a(g427 +Vc1 +p14023 +tp14024 +a(g900 +g960 +tp14025 +a(g737 +V%} +p14026 +tp14027 +a(g7 +V\u000a +p14028 +tp14029 +a(g737 +V{{ +p14030 +tp14031 +a(g900 +g960 +tp14032 +a(g427 +Vnothing +p14033 +tp14034 +a(g900 +g960 +tp14035 +a(g737 +V}} +p14036 +tp14037 +a(g7 +V\u000a +p14038 +tp14039 +a(g737 +V{% +p14040 +tp14041 +a(g900 +g960 +tp14042 +a(g818 +Vendblock +p14043 +tp14044 +a(g900 +g960 +tp14045 +a(g737 +V%} +p14046 +tp14047 +a(g7 +V\u000a +p14048 +tp14049 +a(g737 +V{% +p14050 +tp14051 +a(g900 +g960 +tp14052 +a(g818 +Vendembed +p14053 +tp14054 +a(g900 +g960 +tp14055 +a(g737 +V%} +p14056 +tp14057 +a(g7 +V\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000a +p14058 +tp14059 +a(g737 +V{% +p14060 +tp14061 +a(g900 +g960 +tp14062 +a(g818 +Vblock +p14063 +tp14064 +a(g900 +g960 +tp14065 +a(g427 +Vc1 +p14066 +tp14067 +a(g900 +g960 +tp14068 +a(g737 +V%} +p14069 +tp14070 +a(g737 +V{% +p14071 +tp14072 +a(g900 +g960 +tp14073 +a(g818 +Vendblock +p14074 +tp14075 +a(g900 +g960 +tp14076 +a(g737 +V%} +p14077 +tp14078 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXCEPTION--\u000aTwig_Error_Runtime: Variable "nothing" does not exist in "index.twig" at line 5\u000a--TEST--\u000a"embed" tag\u000a--TEMPLATE--\u000aFOO\u000a +p14079 +tp14080 +a(g737 +V{% +p14081 +tp14082 +a(g900 +g960 +tp14083 +a(g818 +Vembed +p14084 +tp14085 +a(g900 +g960 +tp14086 +a(g143 +V"foo.twig" +p14087 +tp14088 +a(g900 +g960 +tp14089 +a(g737 +V%} +p14090 +tp14091 +a(g7 +V\u000a +p14092 +tp14093 +a(g737 +V{% +p14094 +tp14095 +a(g900 +g960 +tp14096 +a(g818 +Vblock +p14097 +tp14098 +a(g900 +g960 +tp14099 +a(g427 +Vc1 +p14100 +tp14101 +a(g900 +g960 +tp14102 +a(g737 +V%} +p14103 +tp14104 +a(g7 +V\u000a +p14105 +tp14106 +a(g737 +V{{ +p14107 +tp14108 +a(g900 +g960 +tp14109 +a(g668 +Vparent +p14110 +tp14111 +a(g399 +g1145 +tp14112 +a(g399 +g1149 +tp14113 +a(g900 +g960 +tp14114 +a(g737 +V}} +p14115 +tp14116 +a(g7 +V\u000a block1extended\u000a +p14117 +tp14118 +a(g737 +V{% +p14119 +tp14120 +a(g900 +g960 +tp14121 +a(g818 +Vendblock +p14122 +tp14123 +a(g900 +g960 +tp14124 +a(g737 +V%} +p14125 +tp14126 +a(g7 +V\u000a +p14127 +tp14128 +a(g737 +V{% +p14129 +tp14130 +a(g900 +g960 +tp14131 +a(g818 +Vendembed +p14132 +tp14133 +a(g900 +g960 +tp14134 +a(g737 +V%} +p14135 +tp14136 +a(g7 +V\u000a\u000a +p14137 +tp14138 +a(g737 +V{% +p14139 +tp14140 +a(g900 +g960 +tp14141 +a(g818 +Vembed +p14142 +tp14143 +a(g900 +g960 +tp14144 +a(g143 +V"foo.twig" +p14145 +tp14146 +a(g900 +g960 +tp14147 +a(g737 +V%} +p14148 +tp14149 +a(g7 +V\u000a +p14150 +tp14151 +a(g737 +V{% +p14152 +tp14153 +a(g900 +g960 +tp14154 +a(g818 +Vblock +p14155 +tp14156 +a(g900 +g960 +tp14157 +a(g427 +Vc1 +p14158 +tp14159 +a(g900 +g960 +tp14160 +a(g737 +V%} +p14161 +tp14162 +a(g7 +V\u000a +p14163 +tp14164 +a(g737 +V{{ +p14165 +tp14166 +a(g900 +g960 +tp14167 +a(g668 +Vparent +p14168 +tp14169 +a(g399 +g1145 +tp14170 +a(g399 +g1149 +tp14171 +a(g900 +g960 +tp14172 +a(g737 +V}} +p14173 +tp14174 +a(g7 +V\u000a block1extended\u000a +p14175 +tp14176 +a(g737 +V{% +p14177 +tp14178 +a(g900 +g960 +tp14179 +a(g818 +Vendblock +p14180 +tp14181 +a(g900 +g960 +tp14182 +a(g737 +V%} +p14183 +tp14184 +a(g7 +V\u000a +p14185 +tp14186 +a(g737 +V{% +p14187 +tp14188 +a(g900 +g960 +tp14189 +a(g818 +Vendembed +p14190 +tp14191 +a(g900 +g960 +tp14192 +a(g737 +V%} +p14193 +tp14194 +a(g7 +V\u000a\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000aA\u000a +p14195 +tp14196 +a(g737 +V{% +p14197 +tp14198 +a(g900 +g960 +tp14199 +a(g818 +Vblock +p14200 +tp14201 +a(g900 +g960 +tp14202 +a(g427 +Vc1 +p14203 +tp14204 +a(g900 +g960 +tp14205 +a(g737 +V%} +p14206 +tp14207 +a(g7 +V\u000a block1\u000a +p14208 +tp14209 +a(g737 +V{% +p14210 +tp14211 +a(g900 +g960 +tp14212 +a(g818 +Vendblock +p14213 +tp14214 +a(g900 +g960 +tp14215 +a(g737 +V%} +p14216 +tp14217 +a(g7 +V\u000aB\u000a +p14218 +tp14219 +a(g737 +V{% +p14220 +tp14221 +a(g900 +g960 +tp14222 +a(g818 +Vblock +p14223 +tp14224 +a(g900 +g960 +tp14225 +a(g427 +Vc2 +p14226 +tp14227 +a(g900 +g960 +tp14228 +a(g737 +V%} +p14229 +tp14230 +a(g7 +V\u000a block2\u000a +p14231 +tp14232 +a(g737 +V{% +p14233 +tp14234 +a(g900 +g960 +tp14235 +a(g818 +Vendblock +p14236 +tp14237 +a(g900 +g960 +tp14238 +a(g737 +V%} +p14239 +tp14240 +a(g7 +V\u000aC\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000a\u000aA\u000a block1\u000a\u000a block1extended\u000a B\u000a block2\u000aC\u000a\u000aA\u000a block1\u000a\u000a block1extended\u000a B\u000a block2\u000aC\u000aBAR\u000a--TEST--\u000a"embed" tag\u000a--TEMPLATE--\u000a +p14241 +tp14242 +a(g737 +V{% +p14243 +tp14244 +a(g900 +g960 +tp14245 +a(g818 +Vembed +p14246 +tp14247 +a(g900 +g960 +tp14248 +a(g143 +V"foo.twig" +p14249 +tp14250 +a(g900 +g960 +tp14251 +a(g737 +V%} +p14252 +tp14253 +a(g7 +V\u000a +p14254 +tp14255 +a(g737 +V{% +p14256 +tp14257 +a(g900 +g960 +tp14258 +a(g818 +Vblock +p14259 +tp14260 +a(g900 +g960 +tp14261 +a(g427 +Vc1 +p14262 +tp14263 +a(g900 +g960 +tp14264 +a(g737 +V%} +p14265 +tp14266 +a(g7 +V\u000a +p14267 +tp14268 +a(g737 +V{{ +p14269 +tp14270 +a(g900 +g960 +tp14271 +a(g668 +Vparent +p14272 +tp14273 +a(g399 +g1145 +tp14274 +a(g399 +g1149 +tp14275 +a(g900 +g960 +tp14276 +a(g737 +V}} +p14277 +tp14278 +a(g7 +V\u000a +p14279 +tp14280 +a(g737 +V{% +p14281 +tp14282 +a(g900 +g960 +tp14283 +a(g818 +Vembed +p14284 +tp14285 +a(g900 +g960 +tp14286 +a(g143 +V"foo.twig" +p14287 +tp14288 +a(g900 +g960 +tp14289 +a(g737 +V%} +p14290 +tp14291 +a(g7 +V\u000a +p14292 +tp14293 +a(g737 +V{% +p14294 +tp14295 +a(g900 +g960 +tp14296 +a(g818 +Vblock +p14297 +tp14298 +a(g900 +g960 +tp14299 +a(g427 +Vc1 +p14300 +tp14301 +a(g900 +g960 +tp14302 +a(g737 +V%} +p14303 +tp14304 +a(g7 +V\u000a +p14305 +tp14306 +a(g737 +V{{ +p14307 +tp14308 +a(g900 +g960 +tp14309 +a(g668 +Vparent +p14310 +tp14311 +a(g399 +g1145 +tp14312 +a(g399 +g1149 +tp14313 +a(g900 +g960 +tp14314 +a(g737 +V}} +p14315 +tp14316 +a(g7 +V\u000a block1extended\u000a +p14317 +tp14318 +a(g737 +V{% +p14319 +tp14320 +a(g900 +g960 +tp14321 +a(g818 +Vendblock +p14322 +tp14323 +a(g900 +g960 +tp14324 +a(g737 +V%} +p14325 +tp14326 +a(g7 +V\u000a +p14327 +tp14328 +a(g737 +V{% +p14329 +tp14330 +a(g900 +g960 +tp14331 +a(g818 +Vendembed +p14332 +tp14333 +a(g900 +g960 +tp14334 +a(g737 +V%} +p14335 +tp14336 +a(g7 +V\u000a\u000a +p14337 +tp14338 +a(g737 +V{% +p14339 +tp14340 +a(g900 +g960 +tp14341 +a(g818 +Vendblock +p14342 +tp14343 +a(g900 +g960 +tp14344 +a(g737 +V%} +p14345 +tp14346 +a(g7 +V\u000a +p14347 +tp14348 +a(g737 +V{% +p14349 +tp14350 +a(g900 +g960 +tp14351 +a(g818 +Vendembed +p14352 +tp14353 +a(g900 +g960 +tp14354 +a(g737 +V%} +p14355 +tp14356 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000aA\u000a +p14357 +tp14358 +a(g737 +V{% +p14359 +tp14360 +a(g900 +g960 +tp14361 +a(g818 +Vblock +p14362 +tp14363 +a(g900 +g960 +tp14364 +a(g427 +Vc1 +p14365 +tp14366 +a(g900 +g960 +tp14367 +a(g737 +V%} +p14368 +tp14369 +a(g7 +V\u000a block1\u000a +p14370 +tp14371 +a(g737 +V{% +p14372 +tp14373 +a(g900 +g960 +tp14374 +a(g818 +Vendblock +p14375 +tp14376 +a(g900 +g960 +tp14377 +a(g737 +V%} +p14378 +tp14379 +a(g7 +V\u000aB\u000a +p14380 +tp14381 +a(g737 +V{% +p14382 +tp14383 +a(g900 +g960 +tp14384 +a(g818 +Vblock +p14385 +tp14386 +a(g900 +g960 +tp14387 +a(g427 +Vc2 +p14388 +tp14389 +a(g900 +g960 +tp14390 +a(g737 +V%} +p14391 +tp14392 +a(g7 +V\u000a block2\u000a +p14393 +tp14394 +a(g737 +V{% +p14395 +tp14396 +a(g900 +g960 +tp14397 +a(g818 +Vendblock +p14398 +tp14399 +a(g900 +g960 +tp14400 +a(g737 +V%} +p14401 +tp14402 +a(g7 +V\u000aC\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aA\u000a block1\u000a\u000a \u000aA\u000a block1\u000a\u000a block1extended\u000a B\u000a block2\u000aC\u000a B\u000a block2\u000aC\u000a--TEST--\u000a"embed" tag\u000a--TEMPLATE--\u000a +p14403 +tp14404 +a(g737 +V{% +p14405 +tp14406 +a(g900 +g960 +tp14407 +a(g818 +Vextends +p14408 +tp14409 +a(g900 +g960 +tp14410 +a(g143 +V"base.twig" +p14411 +tp14412 +a(g900 +g960 +tp14413 +a(g737 +V%} +p14414 +tp14415 +a(g7 +V\u000a\u000a +p14416 +tp14417 +a(g737 +V{% +p14418 +tp14419 +a(g900 +g960 +tp14420 +a(g818 +Vblock +p14421 +tp14422 +a(g900 +g960 +tp14423 +a(g427 +Vc1 +p14424 +tp14425 +a(g900 +g960 +tp14426 +a(g737 +V%} +p14427 +tp14428 +a(g7 +V\u000a +p14429 +tp14430 +a(g737 +V{{ +p14431 +tp14432 +a(g900 +g960 +tp14433 +a(g668 +Vparent +p14434 +tp14435 +a(g399 +g1145 +tp14436 +a(g399 +g1149 +tp14437 +a(g900 +g960 +tp14438 +a(g737 +V}} +p14439 +tp14440 +a(g7 +V\u000a blockc1baseextended\u000a +p14441 +tp14442 +a(g737 +V{% +p14443 +tp14444 +a(g900 +g960 +tp14445 +a(g818 +Vendblock +p14446 +tp14447 +a(g900 +g960 +tp14448 +a(g737 +V%} +p14449 +tp14450 +a(g7 +V\u000a\u000a +p14451 +tp14452 +a(g737 +V{% +p14453 +tp14454 +a(g900 +g960 +tp14455 +a(g818 +Vblock +p14456 +tp14457 +a(g900 +g960 +tp14458 +a(g427 +Vc2 +p14459 +tp14460 +a(g900 +g960 +tp14461 +a(g737 +V%} +p14462 +tp14463 +a(g7 +V\u000a +p14464 +tp14465 +a(g737 +V{{ +p14466 +tp14467 +a(g900 +g960 +tp14468 +a(g668 +Vparent +p14469 +tp14470 +a(g399 +g1145 +tp14471 +a(g399 +g1149 +tp14472 +a(g900 +g960 +tp14473 +a(g737 +V}} +p14474 +tp14475 +a(g7 +V\u000a\u000a +p14476 +tp14477 +a(g737 +V{% +p14478 +tp14479 +a(g900 +g960 +tp14480 +a(g818 +Vembed +p14481 +tp14482 +a(g900 +g960 +tp14483 +a(g143 +V"foo.twig" +p14484 +tp14485 +a(g900 +g960 +tp14486 +a(g737 +V%} +p14487 +tp14488 +a(g7 +V\u000a +p14489 +tp14490 +a(g737 +V{% +p14491 +tp14492 +a(g900 +g960 +tp14493 +a(g818 +Vblock +p14494 +tp14495 +a(g900 +g960 +tp14496 +a(g427 +Vc1 +p14497 +tp14498 +a(g900 +g960 +tp14499 +a(g737 +V%} +p14500 +tp14501 +a(g7 +V\u000a +p14502 +tp14503 +a(g737 +V{{ +p14504 +tp14505 +a(g900 +g960 +tp14506 +a(g668 +Vparent +p14507 +tp14508 +a(g399 +g1145 +tp14509 +a(g399 +g1149 +tp14510 +a(g900 +g960 +tp14511 +a(g737 +V}} +p14512 +tp14513 +a(g7 +V\u000a block1extended\u000a +p14514 +tp14515 +a(g737 +V{% +p14516 +tp14517 +a(g900 +g960 +tp14518 +a(g818 +Vendblock +p14519 +tp14520 +a(g900 +g960 +tp14521 +a(g737 +V%} +p14522 +tp14523 +a(g7 +V\u000a +p14524 +tp14525 +a(g737 +V{% +p14526 +tp14527 +a(g900 +g960 +tp14528 +a(g818 +Vendembed +p14529 +tp14530 +a(g900 +g960 +tp14531 +a(g737 +V%} +p14532 +tp14533 +a(g7 +V\u000a +p14534 +tp14535 +a(g737 +V{% +p14536 +tp14537 +a(g900 +g960 +tp14538 +a(g818 +Vendblock +p14539 +tp14540 +a(g900 +g960 +tp14541 +a(g737 +V%} +p14542 +tp14543 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000aA\u000a +p14544 +tp14545 +a(g737 +V{% +p14546 +tp14547 +a(g900 +g960 +tp14548 +a(g818 +Vblock +p14549 +tp14550 +a(g900 +g960 +tp14551 +a(g427 +Vc1 +p14552 +tp14553 +a(g900 +g960 +tp14554 +a(g737 +V%} +p14555 +tp14556 +a(g7 +V\u000a blockc1base\u000a +p14557 +tp14558 +a(g737 +V{% +p14559 +tp14560 +a(g900 +g960 +tp14561 +a(g818 +Vendblock +p14562 +tp14563 +a(g900 +g960 +tp14564 +a(g737 +V%} +p14565 +tp14566 +a(g7 +V\u000a +p14567 +tp14568 +a(g737 +V{% +p14569 +tp14570 +a(g900 +g960 +tp14571 +a(g818 +Vblock +p14572 +tp14573 +a(g900 +g960 +tp14574 +a(g427 +Vc2 +p14575 +tp14576 +a(g900 +g960 +tp14577 +a(g737 +V%} +p14578 +tp14579 +a(g7 +V\u000a blockc2base\u000a +p14580 +tp14581 +a(g737 +V{% +p14582 +tp14583 +a(g900 +g960 +tp14584 +a(g818 +Vendblock +p14585 +tp14586 +a(g900 +g960 +tp14587 +a(g737 +V%} +p14588 +tp14589 +a(g7 +V\u000aB\u000a--TEMPLATE(foo.twig)--\u000aA\u000a +p14590 +tp14591 +a(g737 +V{% +p14592 +tp14593 +a(g900 +g960 +tp14594 +a(g818 +Vblock +p14595 +tp14596 +a(g900 +g960 +tp14597 +a(g427 +Vc1 +p14598 +tp14599 +a(g900 +g960 +tp14600 +a(g737 +V%} +p14601 +tp14602 +a(g7 +V\u000a block1\u000a +p14603 +tp14604 +a(g737 +V{% +p14605 +tp14606 +a(g900 +g960 +tp14607 +a(g818 +Vendblock +p14608 +tp14609 +a(g900 +g960 +tp14610 +a(g737 +V%} +p14611 +tp14612 +a(g7 +V\u000aB\u000a +p14613 +tp14614 +a(g737 +V{% +p14615 +tp14616 +a(g900 +g960 +tp14617 +a(g818 +Vblock +p14618 +tp14619 +a(g900 +g960 +tp14620 +a(g427 +Vc2 +p14621 +tp14622 +a(g900 +g960 +tp14623 +a(g737 +V%} +p14624 +tp14625 +a(g7 +V\u000a block2\u000a +p14626 +tp14627 +a(g737 +V{% +p14628 +tp14629 +a(g900 +g960 +tp14630 +a(g818 +Vendblock +p14631 +tp14632 +a(g900 +g960 +tp14633 +a(g737 +V%} +p14634 +tp14635 +a(g7 +V\u000aC\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aA\u000a blockc1base\u000a\u000a blockc1baseextended\u000a blockc2base\u000a\u000a\u000a \u000aA\u000a block1\u000a\u000a block1extended\u000a B\u000a block2\u000aCB--TEST--\u000a"filter" tag applies a filter on its children\u000a--TEMPLATE--\u000a +p14636 +tp14637 +a(g737 +V{% +p14638 +tp14639 +a(g900 +g960 +tp14640 +a(g818 +Vfilter +p14641 +tp14642 +a(g900 +g960 +tp14643 +a(g560 +Vupper +p14644 +tp14645 +a(g900 +g960 +tp14646 +a(g737 +V%} +p14647 +tp14648 +a(g7 +V\u000aSome text with a +p14649 +tp14650 +a(g737 +V{{ +p14651 +tp14652 +a(g900 +g960 +tp14653 +a(g427 +Vvar +p14654 +tp14655 +a(g900 +g960 +tp14656 +a(g737 +V}} +p14657 +tp14658 +a(g7 +V\u000a +p14659 +tp14660 +a(g737 +V{% +p14661 +tp14662 +a(g900 +g960 +tp14663 +a(g818 +Vendfilter +p14664 +tp14665 +a(g900 +g960 +tp14666 +a(g737 +V%} +p14667 +tp14668 +a(g7 +V\u000a--DATA--\u000areturn array('var' => 'var')\u000a--EXPECT--\u000aSOME TEXT WITH A VAR\u000a--TEST--\u000a"filter" tag applies a filter on its children\u000a--TEMPLATE--\u000a +p14669 +tp14670 +a(g737 +V{% +p14671 +tp14672 +a(g900 +g960 +tp14673 +a(g818 +Vfilter +p14674 +tp14675 +a(g900 +g960 +tp14676 +a(g560 +Vjson_encode +p14677 +tp14678 +a(g399 +g1141 +tp14679 +a(g560 +Vraw +p14680 +tp14681 +a(g900 +g960 +tp14682 +a(g737 +V%} +p14683 +tp14684 +a(g7 +Vtest +p14685 +tp14686 +a(g737 +V{% +p14687 +tp14688 +a(g900 +g960 +tp14689 +a(g818 +Vendfilter +p14690 +tp14691 +a(g900 +g960 +tp14692 +a(g737 +V%} +p14693 +tp14694 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a"test"\u000a--TEST--\u000a"filter" tags accept multiple chained filters\u000a--TEMPLATE--\u000a +p14695 +tp14696 +a(g737 +V{% +p14697 +tp14698 +a(g900 +g960 +tp14699 +a(g818 +Vfilter +p14700 +tp14701 +a(g900 +g960 +tp14702 +a(g560 +Vlower +p14703 +tp14704 +a(g399 +g1141 +tp14705 +a(g560 +Vtitle +p14706 +tp14707 +a(g900 +g960 +tp14708 +a(g737 +V%} +p14709 +tp14710 +a(g7 +V\u000a +p14711 +tp14712 +a(g737 +V{{ +p14713 +tp14714 +a(g900 +g960 +tp14715 +a(g427 +Vvar +p14716 +tp14717 +a(g900 +g960 +tp14718 +a(g737 +V}} +p14719 +tp14720 +a(g7 +V\u000a +p14721 +tp14722 +a(g737 +V{% +p14723 +tp14724 +a(g900 +g960 +tp14725 +a(g818 +Vendfilter +p14726 +tp14727 +a(g900 +g960 +tp14728 +a(g737 +V%} +p14729 +tp14730 +a(g7 +V\u000a--DATA--\u000areturn array('var' => 'VAR')\u000a--EXPECT--\u000a Var\u000a--TEST--\u000a"filter" tags can be nested at will\u000a--TEMPLATE--\u000a +p14731 +tp14732 +a(g737 +V{% +p14733 +tp14734 +a(g900 +g960 +tp14735 +a(g818 +Vfilter +p14736 +tp14737 +a(g900 +g960 +tp14738 +a(g560 +Vlower +p14739 +tp14740 +a(g399 +g1141 +tp14741 +a(g560 +Vtitle +p14742 +tp14743 +a(g900 +g960 +tp14744 +a(g737 +V%} +p14745 +tp14746 +a(g7 +V\u000a +p14747 +tp14748 +a(g737 +V{{ +p14749 +tp14750 +a(g900 +g960 +tp14751 +a(g427 +Vvar +p14752 +tp14753 +a(g900 +g960 +tp14754 +a(g737 +V}} +p14755 +tp14756 +a(g7 +V\u000a +p14757 +tp14758 +a(g737 +V{% +p14759 +tp14760 +a(g900 +g960 +tp14761 +a(g818 +Vfilter +p14762 +tp14763 +a(g900 +g960 +tp14764 +a(g560 +Vupper +p14765 +tp14766 +a(g900 +g960 +tp14767 +a(g737 +V%} +p14768 +tp14769 +a(g7 +V\u000a +p14770 +tp14771 +a(g737 +V{{ +p14772 +tp14773 +a(g900 +g960 +tp14774 +a(g427 +Vvar +p14775 +tp14776 +a(g900 +g960 +tp14777 +a(g737 +V}} +p14778 +tp14779 +a(g7 +V\u000a +p14780 +tp14781 +a(g737 +V{% +p14782 +tp14783 +a(g900 +g960 +tp14784 +a(g818 +Vendfilter +p14785 +tp14786 +a(g900 +g960 +tp14787 +a(g737 +V%} +p14788 +tp14789 +a(g7 +V\u000a +p14790 +tp14791 +a(g737 +V{{ +p14792 +tp14793 +a(g900 +g960 +tp14794 +a(g427 +Vvar +p14795 +tp14796 +a(g900 +g960 +tp14797 +a(g737 +V}} +p14798 +tp14799 +a(g7 +V\u000a +p14800 +tp14801 +a(g737 +V{% +p14802 +tp14803 +a(g900 +g960 +tp14804 +a(g818 +Vendfilter +p14805 +tp14806 +a(g900 +g960 +tp14807 +a(g737 +V%} +p14808 +tp14809 +a(g7 +V\u000a--DATA--\u000areturn array('var' => 'var')\u000a--EXPECT--\u000a Var\u000a Var\u000a Var\u000a--TEST--\u000a"filter" tag applies the filter on "for" tags\u000a--TEMPLATE--\u000a +p14810 +tp14811 +a(g737 +V{% +p14812 +tp14813 +a(g900 +g960 +tp14814 +a(g818 +Vfilter +p14815 +tp14816 +a(g900 +g960 +tp14817 +a(g560 +Vupper +p14818 +tp14819 +a(g900 +g960 +tp14820 +a(g737 +V%} +p14821 +tp14822 +a(g7 +V\u000a +p14823 +tp14824 +a(g737 +V{% +p14825 +tp14826 +a(g900 +g960 +tp14827 +a(g818 +Vfor +p14828 +tp14829 +a(g900 +g960 +tp14830 +a(g427 +Vitem +p14831 +tp14832 +a(g900 +g960 +tp14833 +a(g818 +Vin +p14834 +tp14835 +a(g900 +g960 +tp14836 +a(g427 +Vitems +p14837 +tp14838 +a(g900 +g960 +tp14839 +a(g737 +V%} +p14840 +tp14841 +a(g7 +V\u000a +p14842 +tp14843 +a(g737 +V{{ +p14844 +tp14845 +a(g900 +g960 +tp14846 +a(g427 +Vitem +p14847 +tp14848 +a(g900 +g960 +tp14849 +a(g737 +V}} +p14850 +tp14851 +a(g7 +V\u000a +p14852 +tp14853 +a(g737 +V{% +p14854 +tp14855 +a(g900 +g960 +tp14856 +a(g818 +Vendfor +p14857 +tp14858 +a(g900 +g960 +tp14859 +a(g737 +V%} +p14860 +tp14861 +a(g7 +V\u000a +p14862 +tp14863 +a(g737 +V{% +p14864 +tp14865 +a(g900 +g960 +tp14866 +a(g818 +Vendfilter +p14867 +tp14868 +a(g900 +g960 +tp14869 +a(g737 +V%} +p14870 +tp14871 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000aA\u000aB\u000a--TEST--\u000a"filter" tag applies the filter on "if" tags\u000a--TEMPLATE--\u000a +p14872 +tp14873 +a(g737 +V{% +p14874 +tp14875 +a(g900 +g960 +tp14876 +a(g818 +Vfilter +p14877 +tp14878 +a(g900 +g960 +tp14879 +a(g560 +Vupper +p14880 +tp14881 +a(g900 +g960 +tp14882 +a(g737 +V%} +p14883 +tp14884 +a(g7 +V\u000a +p14885 +tp14886 +a(g737 +V{% +p14887 +tp14888 +a(g900 +g960 +tp14889 +a(g818 +Vif +p14890 +tp14891 +a(g900 +g960 +tp14892 +a(g427 +Vitems +p14893 +tp14894 +a(g900 +g960 +tp14895 +a(g737 +V%} +p14896 +tp14897 +a(g7 +V\u000a +p14898 +tp14899 +a(g737 +V{{ +p14900 +tp14901 +a(g900 +g960 +tp14902 +a(g427 +Vitems +p14903 +tp14904 +a(g399 +g1141 +tp14905 +a(g560 +Vjoin +p14906 +tp14907 +a(g399 +g1145 +tp14908 +a(g216 +V', ' +p14909 +tp14910 +a(g399 +g1149 +tp14911 +a(g900 +g960 +tp14912 +a(g737 +V}} +p14913 +tp14914 +a(g7 +V\u000a +p14915 +tp14916 +a(g737 +V{% +p14917 +tp14918 +a(g900 +g960 +tp14919 +a(g818 +Vendif +p14920 +tp14921 +a(g900 +g960 +tp14922 +a(g737 +V%} +p14923 +tp14924 +a(g7 +V\u000a\u000a +p14925 +tp14926 +a(g737 +V{% +p14927 +tp14928 +a(g900 +g960 +tp14929 +a(g818 +Vif +p14930 +tp14931 +a(g900 +g960 +tp14932 +a(g427 +Vitems +p14933 +tp14934 +a(g17 +V.3 +p14935 +tp14936 +a(g900 +g960 +tp14937 +a(g818 +Vis +p14938 +tp14939 +a(g900 +g960 +tp14940 +a(g560 +Vdefined +p14941 +tp14942 +a(g900 +g960 +tp14943 +a(g737 +V%} +p14944 +tp14945 +a(g7 +V\u000aFOO\u000a +p14946 +tp14947 +a(g737 +V{% +p14948 +tp14949 +a(g900 +g960 +tp14950 +a(g818 +Velse +p14951 +tp14952 +a(g900 +g960 +tp14953 +a(g737 +V%} +p14954 +tp14955 +a(g7 +V\u000a +p14956 +tp14957 +a(g737 +V{{ +p14958 +tp14959 +a(g900 +g960 +tp14960 +a(g427 +Vitems +p14961 +tp14962 +a(g17 +V.1 +p14963 +tp14964 +a(g900 +g960 +tp14965 +a(g737 +V}} +p14966 +tp14967 +a(g7 +V\u000a +p14968 +tp14969 +a(g737 +V{% +p14970 +tp14971 +a(g900 +g960 +tp14972 +a(g818 +Vendif +p14973 +tp14974 +a(g900 +g960 +tp14975 +a(g737 +V%} +p14976 +tp14977 +a(g7 +V\u000a\u000a +p14978 +tp14979 +a(g737 +V{% +p14980 +tp14981 +a(g900 +g960 +tp14982 +a(g818 +Vif +p14983 +tp14984 +a(g900 +g960 +tp14985 +a(g427 +Vitems +p14986 +tp14987 +a(g17 +V.3 +p14988 +tp14989 +a(g900 +g960 +tp14990 +a(g818 +Vis +p14991 +tp14992 +a(g900 +g960 +tp14993 +a(g560 +Vdefined +p14994 +tp14995 +a(g900 +g960 +tp14996 +a(g737 +V%} +p14997 +tp14998 +a(g7 +V\u000aFOO\u000a +p14999 +tp15000 +a(g737 +V{% +p15001 +tp15002 +a(g900 +g960 +tp15003 +a(g818 +Velseif +p15004 +tp15005 +a(g900 +g960 +tp15006 +a(g427 +Vitems +p15007 +tp15008 +a(g17 +V.1 +p15009 +tp15010 +a(g900 +g960 +tp15011 +a(g737 +V%} +p15012 +tp15013 +a(g7 +V\u000a +p15014 +tp15015 +a(g737 +V{{ +p15016 +tp15017 +a(g900 +g960 +tp15018 +a(g427 +Vitems +p15019 +tp15020 +a(g17 +V.0 +p15021 +tp15022 +a(g900 +g960 +tp15023 +a(g737 +V}} +p15024 +tp15025 +a(g7 +V\u000a +p15026 +tp15027 +a(g737 +V{% +p15028 +tp15029 +a(g900 +g960 +tp15030 +a(g818 +Vendif +p15031 +tp15032 +a(g900 +g960 +tp15033 +a(g737 +V%} +p15034 +tp15035 +a(g7 +V\u000a\u000a +p15036 +tp15037 +a(g737 +V{% +p15038 +tp15039 +a(g900 +g960 +tp15040 +a(g818 +Vendfilter +p15041 +tp15042 +a(g900 +g960 +tp15043 +a(g737 +V%} +p15044 +tp15045 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000aA, B\u000a\u000aB\u000a\u000aA\u000a--TEST--\u000a"for" tag takes a condition\u000a--TEMPLATE--\u000a +p15046 +tp15047 +a(g737 +V{% +p15048 +tp15049 +a(g900 +g960 +tp15050 +a(g818 +Vfor +p15051 +tp15052 +a(g900 +g960 +tp15053 +a(g427 +g991 +tp15054 +a(g900 +g960 +tp15055 +a(g818 +Vin +p15056 +tp15057 +a(g900 +g960 +tp15058 +a(g17 +V1. +p15059 +tp15060 +a(g17 +V.5 +p15061 +tp15062 +a(g900 +g960 +tp15063 +a(g427 +Vif +p15064 +tp15065 +a(g900 +g960 +tp15066 +a(g427 +g991 +tp15067 +a(g900 +g960 +tp15068 +a(g818 +Vis +p15069 +tp15070 +a(g900 +g960 +tp15071 +a(g560 +Vodd +p15072 +tp15073 +a(g900 +g960 +tp15074 +a(g900 +g1678 +tp15075 +a(g737 +V%} +p15076 +tp15077 +a(g7 +V\u000a +p15078 +tp15079 +a(g737 +V{{ +p15080 +tp15081 +a(g900 +g960 +tp15082 +a(g668 +Vloop +p15083 +tp15084 +a(g427 +V.index +p15085 +tp15086 +a(g900 +g960 +tp15087 +a(g737 +V}} +p15088 +tp15089 +a(g7 +V. +p15090 +tp15091 +a(g737 +V{{ +p15092 +tp15093 +a(g900 +g960 +tp15094 +a(g427 +g991 +tp15095 +a(g900 +g960 +tp15096 +a(g737 +V}} +p15097 +tp15098 +a(g737 +V{{ +p15099 +tp15100 +a(g900 +g960 +tp15101 +a(g427 +Vfoo +p15102 +tp15103 +a(g427 +V.bar +p15104 +tp15105 +a(g900 +g960 +tp15106 +a(g737 +V}} +p15107 +tp15108 +a(g7 +V\u000a +p15109 +tp15110 +a(g737 +V{% +p15111 +tp15112 +a(g900 +g960 +tp15113 +a(g818 +Vendfor +p15114 +tp15115 +a(g900 +g960 +tp15116 +a(g737 +V%} +p15117 +tp15118 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => array('bar' => 'X'))\u000a--CONFIG--\u000areturn array('strict_variables' => false)\u000a--EXPECT--\u000a1.1X\u000a2.3X\u000a3.5X\u000a--TEST--\u000a"for" tag keeps the context safe\u000a--TEMPLATE--\u000a +p15119 +tp15120 +a(g737 +V{% +p15121 +tp15122 +a(g900 +g960 +tp15123 +a(g818 +Vfor +p15124 +tp15125 +a(g900 +g960 +tp15126 +a(g427 +Vitem +p15127 +tp15128 +a(g900 +g960 +tp15129 +a(g818 +Vin +p15130 +tp15131 +a(g900 +g960 +tp15132 +a(g427 +Vitems +p15133 +tp15134 +a(g900 +g960 +tp15135 +a(g737 +V%} +p15136 +tp15137 +a(g7 +V\u000a +p15138 +tp15139 +a(g737 +V{% +p15140 +tp15141 +a(g900 +g960 +tp15142 +a(g818 +Vfor +p15143 +tp15144 +a(g900 +g960 +tp15145 +a(g427 +Vitem +p15146 +tp15147 +a(g900 +g960 +tp15148 +a(g818 +Vin +p15149 +tp15150 +a(g900 +g960 +tp15151 +a(g427 +Vitems +p15152 +tp15153 +a(g900 +g960 +tp15154 +a(g737 +V%} +p15155 +tp15156 +a(g7 +V\u000a * +p15157 +tp15158 +a(g737 +V{{ +p15159 +tp15160 +a(g900 +g960 +tp15161 +a(g427 +Vitem +p15162 +tp15163 +a(g900 +g960 +tp15164 +a(g737 +V}} +p15165 +tp15166 +a(g7 +V\u000a +p15167 +tp15168 +a(g737 +V{% +p15169 +tp15170 +a(g900 +g960 +tp15171 +a(g818 +Vendfor +p15172 +tp15173 +a(g900 +g960 +tp15174 +a(g737 +V%} +p15175 +tp15176 +a(g7 +V\u000a * +p15177 +tp15178 +a(g737 +V{{ +p15179 +tp15180 +a(g900 +g960 +tp15181 +a(g427 +Vitem +p15182 +tp15183 +a(g900 +g960 +tp15184 +a(g737 +V}} +p15185 +tp15186 +a(g7 +V\u000a +p15187 +tp15188 +a(g737 +V{% +p15189 +tp15190 +a(g900 +g960 +tp15191 +a(g818 +Vendfor +p15192 +tp15193 +a(g900 +g960 +tp15194 +a(g737 +V%} +p15195 +tp15196 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000a * a\u000a * b\u000a * a\u000a * a\u000a * b\u000a * b\u000a--TEST--\u000a"for" tag can use an "else" clause\u000a--TEMPLATE--\u000a +p15197 +tp15198 +a(g737 +V{% +p15199 +tp15200 +a(g900 +g960 +tp15201 +a(g818 +Vfor +p15202 +tp15203 +a(g900 +g960 +tp15204 +a(g427 +Vitem +p15205 +tp15206 +a(g900 +g960 +tp15207 +a(g818 +Vin +p15208 +tp15209 +a(g900 +g960 +tp15210 +a(g427 +Vitems +p15211 +tp15212 +a(g900 +g960 +tp15213 +a(g737 +V%} +p15214 +tp15215 +a(g7 +V\u000a * +p15216 +tp15217 +a(g737 +V{{ +p15218 +tp15219 +a(g900 +g960 +tp15220 +a(g427 +Vitem +p15221 +tp15222 +a(g900 +g960 +tp15223 +a(g737 +V}} +p15224 +tp15225 +a(g7 +V\u000a +p15226 +tp15227 +a(g737 +V{% +p15228 +tp15229 +a(g900 +g960 +tp15230 +a(g818 +Velse +p15231 +tp15232 +a(g900 +g960 +tp15233 +a(g737 +V%} +p15234 +tp15235 +a(g7 +V\u000a no item\u000a +p15236 +tp15237 +a(g737 +V{% +p15238 +tp15239 +a(g900 +g960 +tp15240 +a(g818 +Vendfor +p15241 +tp15242 +a(g900 +g960 +tp15243 +a(g737 +V%} +p15244 +tp15245 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000a * a\u000a * b\u000a--DATA--\u000areturn array('items' => array())\u000a--EXPECT--\u000a no item\u000a--DATA--\u000areturn array()\u000a--CONFIG--\u000areturn array('strict_variables' => false)\u000a--EXPECT--\u000a no item\u000a--TEST--\u000a"for" tag does not reset inner variables\u000a--TEMPLATE--\u000a +p15246 +tp15247 +a(g737 +V{% +p15248 +tp15249 +a(g900 +g960 +tp15250 +a(g818 +Vfor +p15251 +tp15252 +a(g900 +g960 +tp15253 +a(g427 +g991 +tp15254 +a(g900 +g960 +tp15255 +a(g818 +Vin +p15256 +tp15257 +a(g900 +g960 +tp15258 +a(g17 +V1. +p15259 +tp15260 +a(g17 +V.2 +p15261 +tp15262 +a(g900 +g960 +tp15263 +a(g737 +V%} +p15264 +tp15265 +a(g7 +V\u000a +p15266 +tp15267 +a(g737 +V{% +p15268 +tp15269 +a(g900 +g960 +tp15270 +a(g818 +Vfor +p15271 +tp15272 +a(g900 +g960 +tp15273 +a(g427 +Vj +p15274 +tp15275 +a(g900 +g960 +tp15276 +a(g818 +Vin +p15277 +tp15278 +a(g900 +g960 +tp15279 +a(g17 +V0. +p15280 +tp15281 +a(g17 +V.2 +p15282 +tp15283 +a(g900 +g960 +tp15284 +a(g737 +V%} +p15285 +tp15286 +a(g7 +V\u000a +p15287 +tp15288 +a(g737 +V{{ +p15289 +tp15290 +a(g427 +g10448 +tp15291 +a(g737 +V}} +p15292 +tp15293 +a(g737 +V{% +p15294 +tp15295 +a(g900 +g960 +tp15296 +a(g818 +Vset +p15297 +tp15298 +a(g900 +g960 +tp15299 +a(g427 +g10448 +tp15300 +a(g900 +g960 +tp15301 +a(g399 +g1342 +tp15302 +a(g900 +g960 +tp15303 +a(g427 +g10448 +tp15304 +a(g399 +g1664 +tp15305 +a(g17 +g1160 +tp15306 +a(g900 +g960 +tp15307 +a(g737 +V%} +p15308 +tp15309 +a(g7 +g960 +tp15310 +a(g737 +V{{ +p15311 +tp15312 +a(g900 +g960 +tp15313 +a(g668 +Vloop +p15314 +tp15315 +a(g427 +V.parent +p15316 +tp15317 +a(g427 +V.loop +p15318 +tp15319 +a(g427 +V.index +p15320 +tp15321 +a(g900 +g960 +tp15322 +a(g737 +V}} +p15323 +tp15324 +a(g7 +V\u000a +p15325 +tp15326 +a(g737 +V{% +p15327 +tp15328 +a(g900 +g960 +tp15329 +a(g818 +Vendfor +p15330 +tp15331 +a(g900 +g960 +tp15332 +a(g737 +V%} +p15333 +tp15334 +a(g7 +V\u000a +p15335 +tp15336 +a(g737 +V{% +p15337 +tp15338 +a(g900 +g960 +tp15339 +a(g818 +Vendfor +p15340 +tp15341 +a(g900 +g960 +tp15342 +a(g737 +V%} +p15343 +tp15344 +a(g7 +V\u000a--DATA--\u000areturn array('k' => 0)\u000a--EXPECT--\u000a 0 1\u000a 1 1\u000a 2 1\u000a 3 2\u000a 4 2\u000a 5 2\u000a--TEST--\u000a"for" tag can iterate over keys and values\u000a--TEMPLATE--\u000a +p15345 +tp15346 +a(g737 +V{% +p15347 +tp15348 +a(g900 +g960 +tp15349 +a(g818 +Vfor +p15350 +tp15351 +a(g900 +g960 +tp15352 +a(g427 +Vkey +p15353 +tp15354 +a(g399 +g1162 +tp15355 +a(g900 +g960 +tp15356 +a(g427 +Vitem +p15357 +tp15358 +a(g900 +g960 +tp15359 +a(g818 +Vin +p15360 +tp15361 +a(g900 +g960 +tp15362 +a(g427 +Vitems +p15363 +tp15364 +a(g900 +g960 +tp15365 +a(g737 +V%} +p15366 +tp15367 +a(g7 +V\u000a * +p15368 +tp15369 +a(g737 +V{{ +p15370 +tp15371 +a(g900 +g960 +tp15372 +a(g427 +Vkey +p15373 +tp15374 +a(g900 +g960 +tp15375 +a(g737 +V}} +p15376 +tp15377 +a(g7 +g1706 +tp15378 +a(g737 +V{{ +p15379 +tp15380 +a(g900 +g960 +tp15381 +a(g427 +Vitem +p15382 +tp15383 +a(g900 +g960 +tp15384 +a(g737 +V}} +p15385 +tp15386 +a(g7 +V\u000a +p15387 +tp15388 +a(g737 +V{% +p15389 +tp15390 +a(g900 +g960 +tp15391 +a(g818 +Vendfor +p15392 +tp15393 +a(g900 +g960 +tp15394 +a(g737 +V%} +p15395 +tp15396 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000a * 0/a\u000a * 1/b\u000a--TEST--\u000a"for" tag can iterate over keys\u000a--TEMPLATE--\u000a +p15397 +tp15398 +a(g737 +V{% +p15399 +tp15400 +a(g900 +g960 +tp15401 +a(g818 +Vfor +p15402 +tp15403 +a(g900 +g960 +tp15404 +a(g427 +Vkey +p15405 +tp15406 +a(g900 +g960 +tp15407 +a(g818 +Vin +p15408 +tp15409 +a(g900 +g960 +tp15410 +a(g427 +Vitems +p15411 +tp15412 +a(g399 +g1141 +tp15413 +a(g560 +Vkeys +p15414 +tp15415 +a(g900 +g960 +tp15416 +a(g737 +V%} +p15417 +tp15418 +a(g7 +V\u000a * +p15419 +tp15420 +a(g737 +V{{ +p15421 +tp15422 +a(g900 +g960 +tp15423 +a(g427 +Vkey +p15424 +tp15425 +a(g900 +g960 +tp15426 +a(g737 +V}} +p15427 +tp15428 +a(g7 +V\u000a +p15429 +tp15430 +a(g737 +V{% +p15431 +tp15432 +a(g900 +g960 +tp15433 +a(g818 +Vendfor +p15434 +tp15435 +a(g900 +g960 +tp15436 +a(g737 +V%} +p15437 +tp15438 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000a * 0\u000a * 1\u000a--TEST--\u000a"for" tag adds a loop variable to the context locally\u000a--TEMPLATE--\u000a +p15439 +tp15440 +a(g737 +V{% +p15441 +tp15442 +a(g900 +g960 +tp15443 +a(g818 +Vfor +p15444 +tp15445 +a(g900 +g960 +tp15446 +a(g427 +Vitem +p15447 +tp15448 +a(g900 +g960 +tp15449 +a(g818 +Vin +p15450 +tp15451 +a(g900 +g960 +tp15452 +a(g427 +Vitems +p15453 +tp15454 +a(g900 +g960 +tp15455 +a(g737 +V%} +p15456 +tp15457 +a(g7 +V\u000a +p15458 +tp15459 +a(g737 +V{% +p15460 +tp15461 +a(g900 +g960 +tp15462 +a(g818 +Vendfor +p15463 +tp15464 +a(g900 +g960 +tp15465 +a(g737 +V%} +p15466 +tp15467 +a(g7 +V\u000a +p15468 +tp15469 +a(g737 +V{% +p15470 +tp15471 +a(g900 +g960 +tp15472 +a(g818 +Vif +p15473 +tp15474 +a(g900 +g960 +tp15475 +a(g668 +Vloop +p15476 +tp15477 +a(g900 +g960 +tp15478 +a(g818 +Vis +p15479 +tp15480 +a(g900 +g960 +tp15481 +a(g818 +Vnot +p15482 +tp15483 +a(g900 +g960 +tp15484 +a(g560 +Vdefined +p15485 +tp15486 +a(g900 +g960 +tp15487 +a(g737 +V%} +p15488 +tp15489 +a(g7 +VWORKS +p15490 +tp15491 +a(g737 +V{% +p15492 +tp15493 +a(g900 +g960 +tp15494 +a(g818 +Vendif +p15495 +tp15496 +a(g900 +g960 +tp15497 +a(g737 +V%} +p15498 +tp15499 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array())\u000a--EXPECT--\u000aWORKS\u000a--TEST--\u000a"for" tag adds a loop variable to the context\u000a--TEMPLATE--\u000a +p15500 +tp15501 +a(g737 +V{% +p15502 +tp15503 +a(g900 +g960 +tp15504 +a(g818 +Vfor +p15505 +tp15506 +a(g900 +g960 +tp15507 +a(g427 +Vitem +p15508 +tp15509 +a(g900 +g960 +tp15510 +a(g818 +Vin +p15511 +tp15512 +a(g900 +g960 +tp15513 +a(g427 +Vitems +p15514 +tp15515 +a(g900 +g960 +tp15516 +a(g737 +V%} +p15517 +tp15518 +a(g7 +V\u000a * +p15519 +tp15520 +a(g737 +V{{ +p15521 +tp15522 +a(g900 +g960 +tp15523 +a(g668 +Vloop +p15524 +tp15525 +a(g427 +V.index +p15526 +tp15527 +a(g900 +g960 +tp15528 +a(g737 +V}} +p15529 +tp15530 +a(g7 +g1706 +tp15531 +a(g737 +V{{ +p15532 +tp15533 +a(g900 +g960 +tp15534 +a(g668 +Vloop +p15535 +tp15536 +a(g427 +V.index0 +p15537 +tp15538 +a(g900 +g960 +tp15539 +a(g737 +V}} +p15540 +tp15541 +a(g7 +V\u000a * +p15542 +tp15543 +a(g737 +V{{ +p15544 +tp15545 +a(g900 +g960 +tp15546 +a(g668 +Vloop +p15547 +tp15548 +a(g427 +V.revindex +p15549 +tp15550 +a(g900 +g960 +tp15551 +a(g737 +V}} +p15552 +tp15553 +a(g7 +g1706 +tp15554 +a(g737 +V{{ +p15555 +tp15556 +a(g900 +g960 +tp15557 +a(g668 +Vloop +p15558 +tp15559 +a(g427 +V.revindex0 +p15560 +tp15561 +a(g900 +g960 +tp15562 +a(g737 +V}} +p15563 +tp15564 +a(g7 +V\u000a * +p15565 +tp15566 +a(g737 +V{{ +p15567 +tp15568 +a(g900 +g960 +tp15569 +a(g668 +Vloop +p15570 +tp15571 +a(g427 +V.first +p15572 +tp15573 +a(g900 +g960 +tp15574 +a(g737 +V}} +p15575 +tp15576 +a(g7 +g1706 +tp15577 +a(g737 +V{{ +p15578 +tp15579 +a(g900 +g960 +tp15580 +a(g668 +Vloop +p15581 +tp15582 +a(g427 +V.last +p15583 +tp15584 +a(g900 +g960 +tp15585 +a(g737 +V}} +p15586 +tp15587 +a(g7 +g1706 +tp15588 +a(g737 +V{{ +p15589 +tp15590 +a(g900 +g960 +tp15591 +a(g668 +Vloop +p15592 +tp15593 +a(g427 +V.length +p15594 +tp15595 +a(g900 +g960 +tp15596 +a(g737 +V}} +p15597 +tp15598 +a(g7 +V\u000a\u000a +p15599 +tp15600 +a(g737 +V{% +p15601 +tp15602 +a(g900 +g960 +tp15603 +a(g818 +Vendfor +p15604 +tp15605 +a(g900 +g960 +tp15606 +a(g737 +V%} +p15607 +tp15608 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000a * 1/0\u000a * 2/1\u000a * 1//2\u000a\u000a * 2/1\u000a * 1/0\u000a * /1/2\u000a--TEST--\u000a"for" tag\u000a--TEMPLATE--\u000a +p15609 +tp15610 +a(g737 +V{% +p15611 +tp15612 +a(g900 +g960 +tp15613 +a(g818 +Vfor +p15614 +tp15615 +a(g900 +g960 +tp15616 +a(g427 +g991 +tp15617 +a(g399 +g1162 +tp15618 +a(g900 +g960 +tp15619 +a(g427 +Vitem +p15620 +tp15621 +a(g900 +g960 +tp15622 +a(g818 +Vin +p15623 +tp15624 +a(g900 +g960 +tp15625 +a(g427 +Vitems +p15626 +tp15627 +a(g900 +g960 +tp15628 +a(g427 +Vif +p15629 +tp15630 +a(g900 +g960 +tp15631 +a(g668 +Vloop +p15632 +tp15633 +a(g427 +V.last +p15634 +tp15635 +a(g900 +g960 +tp15636 +a(g399 +g2059 +tp15637 +a(g900 +g960 +tp15638 +a(g17 +g1208 +tp15639 +a(g900 +g960 +tp15640 +a(g737 +V%} +p15641 +tp15642 +a(g7 +V\u000a +p15643 +tp15644 +a(g737 +V{% +p15645 +tp15646 +a(g900 +g960 +tp15647 +a(g818 +Vendfor +p15648 +tp15649 +a(g900 +g960 +tp15650 +a(g737 +V%} +p15651 +tp15652 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXCEPTION--\u000aTwig_Error_Syntax: The "loop" variable cannot be used in a looping condition in "index.twig" at line 2\u000a--TEST--\u000a"for" tag\u000a--TEMPLATE--\u000a +p15653 +tp15654 +a(g737 +V{% +p15655 +tp15656 +a(g900 +g960 +tp15657 +a(g818 +Vfor +p15658 +tp15659 +a(g900 +g960 +tp15660 +a(g427 +g991 +tp15661 +a(g399 +g1162 +tp15662 +a(g900 +g960 +tp15663 +a(g427 +Vitem +p15664 +tp15665 +a(g900 +g960 +tp15666 +a(g818 +Vin +p15667 +tp15668 +a(g900 +g960 +tp15669 +a(g427 +Vitems +p15670 +tp15671 +a(g900 +g960 +tp15672 +a(g427 +Vif +p15673 +tp15674 +a(g900 +g960 +tp15675 +a(g427 +g991 +tp15676 +a(g900 +g960 +tp15677 +a(g399 +g2059 +tp15678 +a(g900 +g960 +tp15679 +a(g17 +g1208 +tp15680 +a(g900 +g960 +tp15681 +a(g737 +V%} +p15682 +tp15683 +a(g7 +V\u000a +p15684 +tp15685 +a(g737 +V{{ +p15686 +tp15687 +a(g900 +g960 +tp15688 +a(g668 +Vloop +p15689 +tp15690 +a(g427 +V.last +p15691 +tp15692 +a(g900 +g960 +tp15693 +a(g737 +V}} +p15694 +tp15695 +a(g7 +V\u000a +p15696 +tp15697 +a(g737 +V{% +p15698 +tp15699 +a(g900 +g960 +tp15700 +a(g818 +Vendfor +p15701 +tp15702 +a(g900 +g960 +tp15703 +a(g737 +V%} +p15704 +tp15705 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXCEPTION--\u000aTwig_Error_Syntax: The "loop.last" variable is not defined when looping with a condition in "index.twig" at line 3\u000a--TEST--\u000a"for" tag can use an "else" clause\u000a--TEMPLATE--\u000a +p15706 +tp15707 +a(g737 +V{% +p15708 +tp15709 +a(g900 +g960 +tp15710 +a(g818 +Vfor +p15711 +tp15712 +a(g900 +g960 +tp15713 +a(g427 +Vitem +p15714 +tp15715 +a(g900 +g960 +tp15716 +a(g818 +Vin +p15717 +tp15718 +a(g900 +g960 +tp15719 +a(g427 +Vitems +p15720 +tp15721 +a(g900 +g960 +tp15722 +a(g737 +V%} +p15723 +tp15724 +a(g7 +V\u000a +p15725 +tp15726 +a(g737 +V{% +p15727 +tp15728 +a(g900 +g960 +tp15729 +a(g818 +Vfor +p15730 +tp15731 +a(g900 +g960 +tp15732 +a(g427 +Vitem +p15733 +tp15734 +a(g900 +g960 +tp15735 +a(g818 +Vin +p15736 +tp15737 +a(g900 +g960 +tp15738 +a(g427 +Vitems1 +p15739 +tp15740 +a(g900 +g960 +tp15741 +a(g737 +V%} +p15742 +tp15743 +a(g7 +V\u000a * +p15744 +tp15745 +a(g737 +V{{ +p15746 +tp15747 +a(g900 +g960 +tp15748 +a(g427 +Vitem +p15749 +tp15750 +a(g900 +g960 +tp15751 +a(g737 +V}} +p15752 +tp15753 +a(g7 +V\u000a +p15754 +tp15755 +a(g737 +V{% +p15756 +tp15757 +a(g900 +g960 +tp15758 +a(g818 +Velse +p15759 +tp15760 +a(g900 +g960 +tp15761 +a(g737 +V%} +p15762 +tp15763 +a(g7 +V\u000a no +p15764 +tp15765 +a(g737 +V{{ +p15766 +tp15767 +a(g900 +g960 +tp15768 +a(g427 +Vitem +p15769 +tp15770 +a(g900 +g960 +tp15771 +a(g737 +V}} +p15772 +tp15773 +a(g7 +V\u000a +p15774 +tp15775 +a(g737 +V{% +p15776 +tp15777 +a(g900 +g960 +tp15778 +a(g818 +Vendfor +p15779 +tp15780 +a(g900 +g960 +tp15781 +a(g737 +V%} +p15782 +tp15783 +a(g7 +V\u000a +p15784 +tp15785 +a(g737 +V{% +p15786 +tp15787 +a(g900 +g960 +tp15788 +a(g818 +Velse +p15789 +tp15790 +a(g900 +g960 +tp15791 +a(g737 +V%} +p15792 +tp15793 +a(g7 +V\u000a no item1\u000a +p15794 +tp15795 +a(g737 +V{% +p15796 +tp15797 +a(g900 +g960 +tp15798 +a(g818 +Vendfor +p15799 +tp15800 +a(g900 +g960 +tp15801 +a(g737 +V%} +p15802 +tp15803 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'), 'items1' => array())\u000a--EXPECT--\u000ano a\u000a no b\u000a--TEST--\u000a"for" tag iterates over iterable and countable objects\u000a--TEMPLATE--\u000a +p15804 +tp15805 +a(g737 +V{% +p15806 +tp15807 +a(g900 +g960 +tp15808 +a(g818 +Vfor +p15809 +tp15810 +a(g900 +g960 +tp15811 +a(g427 +Vitem +p15812 +tp15813 +a(g900 +g960 +tp15814 +a(g818 +Vin +p15815 +tp15816 +a(g900 +g960 +tp15817 +a(g427 +Vitems +p15818 +tp15819 +a(g900 +g960 +tp15820 +a(g737 +V%} +p15821 +tp15822 +a(g7 +V\u000a * +p15823 +tp15824 +a(g737 +V{{ +p15825 +tp15826 +a(g900 +g960 +tp15827 +a(g427 +Vitem +p15828 +tp15829 +a(g900 +g960 +tp15830 +a(g737 +V}} +p15831 +tp15832 +a(g7 +V\u000a * +p15833 +tp15834 +a(g737 +V{{ +p15835 +tp15836 +a(g900 +g960 +tp15837 +a(g668 +Vloop +p15838 +tp15839 +a(g427 +V.index +p15840 +tp15841 +a(g900 +g960 +tp15842 +a(g737 +V}} +p15843 +tp15844 +a(g7 +g1706 +tp15845 +a(g737 +V{{ +p15846 +tp15847 +a(g900 +g960 +tp15848 +a(g668 +Vloop +p15849 +tp15850 +a(g427 +V.index0 +p15851 +tp15852 +a(g900 +g960 +tp15853 +a(g737 +V}} +p15854 +tp15855 +a(g7 +V\u000a * +p15856 +tp15857 +a(g737 +V{{ +p15858 +tp15859 +a(g900 +g960 +tp15860 +a(g668 +Vloop +p15861 +tp15862 +a(g427 +V.revindex +p15863 +tp15864 +a(g900 +g960 +tp15865 +a(g737 +V}} +p15866 +tp15867 +a(g7 +g1706 +tp15868 +a(g737 +V{{ +p15869 +tp15870 +a(g900 +g960 +tp15871 +a(g668 +Vloop +p15872 +tp15873 +a(g427 +V.revindex0 +p15874 +tp15875 +a(g900 +g960 +tp15876 +a(g737 +V}} +p15877 +tp15878 +a(g7 +V\u000a * +p15879 +tp15880 +a(g737 +V{{ +p15881 +tp15882 +a(g900 +g960 +tp15883 +a(g668 +Vloop +p15884 +tp15885 +a(g427 +V.first +p15886 +tp15887 +a(g900 +g960 +tp15888 +a(g737 +V}} +p15889 +tp15890 +a(g7 +g1706 +tp15891 +a(g737 +V{{ +p15892 +tp15893 +a(g900 +g960 +tp15894 +a(g668 +Vloop +p15895 +tp15896 +a(g427 +V.last +p15897 +tp15898 +a(g900 +g960 +tp15899 +a(g737 +V}} +p15900 +tp15901 +a(g7 +g1706 +tp15902 +a(g737 +V{{ +p15903 +tp15904 +a(g900 +g960 +tp15905 +a(g668 +Vloop +p15906 +tp15907 +a(g427 +V.length +p15908 +tp15909 +a(g900 +g960 +tp15910 +a(g737 +V}} +p15911 +tp15912 +a(g7 +V\u000a\u000a +p15913 +tp15914 +a(g737 +V{% +p15915 +tp15916 +a(g900 +g960 +tp15917 +a(g818 +Vendfor +p15918 +tp15919 +a(g900 +g960 +tp15920 +a(g737 +V%} +p15921 +tp15922 +a(g7 +V\u000a\u000a +p15923 +tp15924 +a(g737 +V{% +p15925 +tp15926 +a(g900 +g960 +tp15927 +a(g818 +Vfor +p15928 +tp15929 +a(g900 +g960 +tp15930 +a(g427 +Vkey +p15931 +tp15932 +a(g399 +g1162 +tp15933 +a(g900 +g960 +tp15934 +a(g427 +Vvalue +p15935 +tp15936 +a(g900 +g960 +tp15937 +a(g818 +Vin +p15938 +tp15939 +a(g900 +g960 +tp15940 +a(g427 +Vitems +p15941 +tp15942 +a(g900 +g960 +tp15943 +a(g737 +V%} +p15944 +tp15945 +a(g7 +V\u000a * +p15946 +tp15947 +a(g737 +V{{ +p15948 +tp15949 +a(g900 +g960 +tp15950 +a(g427 +Vkey +p15951 +tp15952 +a(g900 +g960 +tp15953 +a(g737 +V}} +p15954 +tp15955 +a(g7 +g1706 +tp15956 +a(g737 +V{{ +p15957 +tp15958 +a(g900 +g960 +tp15959 +a(g427 +Vvalue +p15960 +tp15961 +a(g900 +g960 +tp15962 +a(g737 +V}} +p15963 +tp15964 +a(g7 +V\u000a +p15965 +tp15966 +a(g737 +V{% +p15967 +tp15968 +a(g900 +g960 +tp15969 +a(g818 +Vendfor +p15970 +tp15971 +a(g900 +g960 +tp15972 +a(g737 +V%} +p15973 +tp15974 +a(g7 +V\u000a\u000a +p15975 +tp15976 +a(g737 +V{% +p15977 +tp15978 +a(g900 +g960 +tp15979 +a(g818 +Vfor +p15980 +tp15981 +a(g900 +g960 +tp15982 +a(g427 +Vkey +p15983 +tp15984 +a(g900 +g960 +tp15985 +a(g818 +Vin +p15986 +tp15987 +a(g900 +g960 +tp15988 +a(g427 +Vitems +p15989 +tp15990 +a(g399 +g1141 +tp15991 +a(g560 +Vkeys +p15992 +tp15993 +a(g900 +g960 +tp15994 +a(g737 +V%} +p15995 +tp15996 +a(g7 +V\u000a * +p15997 +tp15998 +a(g737 +V{{ +p15999 +tp16000 +a(g900 +g960 +tp16001 +a(g427 +Vkey +p16002 +tp16003 +a(g900 +g960 +tp16004 +a(g737 +V}} +p16005 +tp16006 +a(g7 +V\u000a +p16007 +tp16008 +a(g737 +V{% +p16009 +tp16010 +a(g900 +g960 +tp16011 +a(g818 +Vendfor +p16012 +tp16013 +a(g900 +g960 +tp16014 +a(g737 +V%} +p16015 +tp16016 +a(g7 +V\u000a--DATA--\u000aclass ItemsIteratorCountable implements Iterator, Countable\u000a +p16017 +tp16018 +a(g7 +g1206 +tp16019 +a(g7 +V\u000a protected $values = array('foo' => 'bar', 'bar' => 'foo');\u000a public function current() +p16020 +tp16021 +a(g7 +g1206 +tp16022 +a(g7 +V return current($this->values); }\u000a public function key() +p16023 +tp16024 +a(g7 +g1206 +tp16025 +a(g7 +V return key($this->values); }\u000a public function next() +p16026 +tp16027 +a(g7 +g1206 +tp16028 +a(g7 +V return next($this->values); }\u000a public function rewind() +p16029 +tp16030 +a(g7 +g1206 +tp16031 +a(g7 +V return reset($this->values); }\u000a public function valid() +p16032 +tp16033 +a(g7 +g1206 +tp16034 +a(g7 +V return false !== current($this->values); }\u000a public function count() +p16035 +tp16036 +a(g7 +g1206 +tp16037 +a(g7 +V return count($this->values); }\u000a}\u000areturn array('items' => new ItemsIteratorCountable())\u000a--EXPECT--\u000a * bar\u000a * 1/0\u000a * 2/1\u000a * 1//2\u000a\u000a * foo\u000a * 2/1\u000a * 1/0\u000a * /1/2\u000a\u000a\u000a * foo/bar\u000a * bar/foo\u000a\u000a * foo\u000a * bar\u000a--TEST--\u000a"for" tag iterates over iterable objects\u000a--TEMPLATE--\u000a +p16038 +tp16039 +a(g737 +V{% +p16040 +tp16041 +a(g900 +g960 +tp16042 +a(g818 +Vfor +p16043 +tp16044 +a(g900 +g960 +tp16045 +a(g427 +Vitem +p16046 +tp16047 +a(g900 +g960 +tp16048 +a(g818 +Vin +p16049 +tp16050 +a(g900 +g960 +tp16051 +a(g427 +Vitems +p16052 +tp16053 +a(g900 +g960 +tp16054 +a(g737 +V%} +p16055 +tp16056 +a(g7 +V\u000a * +p16057 +tp16058 +a(g737 +V{{ +p16059 +tp16060 +a(g900 +g960 +tp16061 +a(g427 +Vitem +p16062 +tp16063 +a(g900 +g960 +tp16064 +a(g737 +V}} +p16065 +tp16066 +a(g7 +V\u000a * +p16067 +tp16068 +a(g737 +V{{ +p16069 +tp16070 +a(g900 +g960 +tp16071 +a(g668 +Vloop +p16072 +tp16073 +a(g427 +V.index +p16074 +tp16075 +a(g900 +g960 +tp16076 +a(g737 +V}} +p16077 +tp16078 +a(g7 +g1706 +tp16079 +a(g737 +V{{ +p16080 +tp16081 +a(g900 +g960 +tp16082 +a(g668 +Vloop +p16083 +tp16084 +a(g427 +V.index0 +p16085 +tp16086 +a(g900 +g960 +tp16087 +a(g737 +V}} +p16088 +tp16089 +a(g7 +V\u000a * +p16090 +tp16091 +a(g737 +V{{ +p16092 +tp16093 +a(g900 +g960 +tp16094 +a(g668 +Vloop +p16095 +tp16096 +a(g427 +V.first +p16097 +tp16098 +a(g900 +g960 +tp16099 +a(g737 +V}} +p16100 +tp16101 +a(g7 +V\u000a\u000a +p16102 +tp16103 +a(g737 +V{% +p16104 +tp16105 +a(g900 +g960 +tp16106 +a(g818 +Vendfor +p16107 +tp16108 +a(g900 +g960 +tp16109 +a(g737 +V%} +p16110 +tp16111 +a(g7 +V\u000a\u000a +p16112 +tp16113 +a(g737 +V{% +p16114 +tp16115 +a(g900 +g960 +tp16116 +a(g818 +Vfor +p16117 +tp16118 +a(g900 +g960 +tp16119 +a(g427 +Vkey +p16120 +tp16121 +a(g399 +g1162 +tp16122 +a(g900 +g960 +tp16123 +a(g427 +Vvalue +p16124 +tp16125 +a(g900 +g960 +tp16126 +a(g818 +Vin +p16127 +tp16128 +a(g900 +g960 +tp16129 +a(g427 +Vitems +p16130 +tp16131 +a(g900 +g960 +tp16132 +a(g737 +V%} +p16133 +tp16134 +a(g7 +V\u000a * +p16135 +tp16136 +a(g737 +V{{ +p16137 +tp16138 +a(g900 +g960 +tp16139 +a(g427 +Vkey +p16140 +tp16141 +a(g900 +g960 +tp16142 +a(g737 +V}} +p16143 +tp16144 +a(g7 +g1706 +tp16145 +a(g737 +V{{ +p16146 +tp16147 +a(g900 +g960 +tp16148 +a(g427 +Vvalue +p16149 +tp16150 +a(g900 +g960 +tp16151 +a(g737 +V}} +p16152 +tp16153 +a(g7 +V\u000a +p16154 +tp16155 +a(g737 +V{% +p16156 +tp16157 +a(g900 +g960 +tp16158 +a(g818 +Vendfor +p16159 +tp16160 +a(g900 +g960 +tp16161 +a(g737 +V%} +p16162 +tp16163 +a(g7 +V\u000a\u000a +p16164 +tp16165 +a(g737 +V{% +p16166 +tp16167 +a(g900 +g960 +tp16168 +a(g818 +Vfor +p16169 +tp16170 +a(g900 +g960 +tp16171 +a(g427 +Vkey +p16172 +tp16173 +a(g900 +g960 +tp16174 +a(g818 +Vin +p16175 +tp16176 +a(g900 +g960 +tp16177 +a(g427 +Vitems +p16178 +tp16179 +a(g399 +g1141 +tp16180 +a(g560 +Vkeys +p16181 +tp16182 +a(g900 +g960 +tp16183 +a(g737 +V%} +p16184 +tp16185 +a(g7 +V\u000a * +p16186 +tp16187 +a(g737 +V{{ +p16188 +tp16189 +a(g900 +g960 +tp16190 +a(g427 +Vkey +p16191 +tp16192 +a(g900 +g960 +tp16193 +a(g737 +V}} +p16194 +tp16195 +a(g7 +V\u000a +p16196 +tp16197 +a(g737 +V{% +p16198 +tp16199 +a(g900 +g960 +tp16200 +a(g818 +Vendfor +p16201 +tp16202 +a(g900 +g960 +tp16203 +a(g737 +V%} +p16204 +tp16205 +a(g7 +V\u000a--DATA--\u000aclass ItemsIterator implements Iterator\u000a +p16206 +tp16207 +a(g7 +g1206 +tp16208 +a(g7 +V\u000a protected $values = array('foo' => 'bar', 'bar' => 'foo');\u000a public function current() +p16209 +tp16210 +a(g7 +g1206 +tp16211 +a(g7 +V return current($this->values); }\u000a public function key() +p16212 +tp16213 +a(g7 +g1206 +tp16214 +a(g7 +V return key($this->values); }\u000a public function next() +p16215 +tp16216 +a(g7 +g1206 +tp16217 +a(g7 +V return next($this->values); }\u000a public function rewind() +p16218 +tp16219 +a(g7 +g1206 +tp16220 +a(g7 +V return reset($this->values); }\u000a public function valid() +p16221 +tp16222 +a(g7 +g1206 +tp16223 +a(g7 +V return false !== current($this->values); }\u000a}\u000areturn array('items' => new ItemsIterator())\u000a--EXPECT--\u000a * bar\u000a * 1/0\u000a * 1\u000a\u000a * foo\u000a * 2/1\u000a * \u000a\u000a\u000a * foo/bar\u000a * bar/foo\u000a\u000a * foo\u000a * bar\u000a--TEST--\u000a"for" tags can be nested\u000a--TEMPLATE--\u000a +p16224 +tp16225 +a(g737 +V{% +p16226 +tp16227 +a(g900 +g960 +tp16228 +a(g818 +Vfor +p16229 +tp16230 +a(g900 +g960 +tp16231 +a(g427 +Vkey +p16232 +tp16233 +a(g399 +g1162 +tp16234 +a(g900 +g960 +tp16235 +a(g427 +Vitem +p16236 +tp16237 +a(g900 +g960 +tp16238 +a(g818 +Vin +p16239 +tp16240 +a(g900 +g960 +tp16241 +a(g427 +Vitems +p16242 +tp16243 +a(g900 +g960 +tp16244 +a(g737 +V%} +p16245 +tp16246 +a(g7 +V\u000a* +p16247 +tp16248 +a(g737 +V{{ +p16249 +tp16250 +a(g900 +g960 +tp16251 +a(g427 +Vkey +p16252 +tp16253 +a(g900 +g960 +tp16254 +a(g737 +V}} +p16255 +tp16256 +a(g7 +V ( +p16257 +tp16258 +a(g737 +V{{ +p16259 +tp16260 +a(g900 +g960 +tp16261 +a(g668 +Vloop +p16262 +tp16263 +a(g427 +V.length +p16264 +tp16265 +a(g900 +g960 +tp16266 +a(g737 +V}} +p16267 +tp16268 +a(g7 +V):\u000a +p16269 +tp16270 +a(g737 +V{% +p16271 +tp16272 +a(g900 +g960 +tp16273 +a(g818 +Vfor +p16274 +tp16275 +a(g900 +g960 +tp16276 +a(g427 +Vvalue +p16277 +tp16278 +a(g900 +g960 +tp16279 +a(g818 +Vin +p16280 +tp16281 +a(g900 +g960 +tp16282 +a(g427 +Vitem +p16283 +tp16284 +a(g900 +g960 +tp16285 +a(g737 +V%} +p16286 +tp16287 +a(g7 +V\u000a * +p16288 +tp16289 +a(g737 +V{{ +p16290 +tp16291 +a(g900 +g960 +tp16292 +a(g427 +Vvalue +p16293 +tp16294 +a(g900 +g960 +tp16295 +a(g737 +V}} +p16296 +tp16297 +a(g7 +V ( +p16298 +tp16299 +a(g737 +V{{ +p16300 +tp16301 +a(g900 +g960 +tp16302 +a(g668 +Vloop +p16303 +tp16304 +a(g427 +V.length +p16305 +tp16306 +a(g900 +g960 +tp16307 +a(g737 +V}} +p16308 +tp16309 +a(g7 +V)\u000a +p16310 +tp16311 +a(g737 +V{% +p16312 +tp16313 +a(g900 +g960 +tp16314 +a(g818 +Vendfor +p16315 +tp16316 +a(g900 +g960 +tp16317 +a(g737 +V%} +p16318 +tp16319 +a(g7 +V\u000a +p16320 +tp16321 +a(g737 +V{% +p16322 +tp16323 +a(g900 +g960 +tp16324 +a(g818 +Vendfor +p16325 +tp16326 +a(g900 +g960 +tp16327 +a(g737 +V%} +p16328 +tp16329 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a' => array('a1', 'a2', 'a3'), 'b' => array('b1')))\u000a--EXPECT--\u000a* a (2):\u000a * a1 (3)\u000a * a2 (3)\u000a * a3 (3)\u000a* b (2):\u000a * b1 (1)\u000a--TEST--\u000a"for" tag iterates over item values\u000a--TEMPLATE--\u000a +p16330 +tp16331 +a(g737 +V{% +p16332 +tp16333 +a(g900 +g960 +tp16334 +a(g818 +Vfor +p16335 +tp16336 +a(g900 +g960 +tp16337 +a(g427 +Vitem +p16338 +tp16339 +a(g900 +g960 +tp16340 +a(g818 +Vin +p16341 +tp16342 +a(g900 +g960 +tp16343 +a(g427 +Vitems +p16344 +tp16345 +a(g900 +g960 +tp16346 +a(g737 +V%} +p16347 +tp16348 +a(g7 +V\u000a * +p16349 +tp16350 +a(g737 +V{{ +p16351 +tp16352 +a(g900 +g960 +tp16353 +a(g427 +Vitem +p16354 +tp16355 +a(g900 +g960 +tp16356 +a(g737 +V}} +p16357 +tp16358 +a(g7 +V\u000a +p16359 +tp16360 +a(g737 +V{% +p16361 +tp16362 +a(g900 +g960 +tp16363 +a(g818 +Vendfor +p16364 +tp16365 +a(g900 +g960 +tp16366 +a(g737 +V%} +p16367 +tp16368 +a(g7 +V\u000a--DATA--\u000areturn array('items' => array('a', 'b'))\u000a--EXPECT--\u000a * a\u000a * b\u000a--TEST--\u000aglobal variables\u000a--TEMPLATE--\u000a +p16369 +tp16370 +a(g737 +V{% +p16371 +tp16372 +a(g900 +g960 +tp16373 +a(g818 +Vinclude +p16374 +tp16375 +a(g900 +g960 +tp16376 +a(g143 +V"included.twig" +p16377 +tp16378 +a(g900 +g960 +tp16379 +a(g737 +V%} +p16380 +tp16381 +a(g7 +V\u000a +p16382 +tp16383 +a(g737 +V{% +p16384 +tp16385 +a(g900 +g960 +tp16386 +a(g818 +Vfrom +p16387 +tp16388 +a(g900 +g960 +tp16389 +a(g143 +V"included.twig" +p16390 +tp16391 +a(g900 +g960 +tp16392 +a(g427 +Vimport +p16393 +tp16394 +a(g900 +g960 +tp16395 +a(g427 +Vfoobar +p16396 +tp16397 +a(g900 +g960 +tp16398 +a(g737 +V%} +p16399 +tp16400 +a(g7 +V\u000a +p16401 +tp16402 +a(g737 +V{{ +p16403 +tp16404 +a(g900 +g960 +tp16405 +a(g427 +Vfoobar +p16406 +tp16407 +a(g399 +g1145 +tp16408 +a(g399 +g1149 +tp16409 +a(g900 +g960 +tp16410 +a(g737 +V}} +p16411 +tp16412 +a(g7 +V\u000a--TEMPLATE(included.twig)--\u000a +p16413 +tp16414 +a(g737 +V{% +p16415 +tp16416 +a(g900 +g960 +tp16417 +a(g818 +Vmacro +p16418 +tp16419 +a(g900 +g960 +tp16420 +a(g427 +Vfoobar +p16421 +tp16422 +a(g399 +g1145 +tp16423 +a(g399 +g1149 +tp16424 +a(g900 +g960 +tp16425 +a(g737 +V%} +p16426 +tp16427 +a(g7 +V\u000acalled foobar\u000a +p16428 +tp16429 +a(g737 +V{% +p16430 +tp16431 +a(g900 +g960 +tp16432 +a(g818 +Vendmacro +p16433 +tp16434 +a(g900 +g960 +tp16435 +a(g737 +V%} +p16436 +tp16437 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXPECT--\u000acalled foobar\u000a--TEST--\u000a"if" creates a condition\u000a--TEMPLATE--\u000a +p16438 +tp16439 +a(g737 +V{% +p16440 +tp16441 +a(g900 +g960 +tp16442 +a(g818 +Vif +p16443 +tp16444 +a(g900 +g960 +tp16445 +a(g427 +g1339 +tp16446 +a(g900 +g960 +tp16447 +a(g818 +Vis +p16448 +tp16449 +a(g900 +g960 +tp16450 +a(g560 +Vdefined +p16451 +tp16452 +a(g900 +g960 +tp16453 +a(g737 +V%} +p16454 +tp16455 +a(g7 +V\u000a +p16456 +tp16457 +a(g737 +V{{ +p16458 +tp16459 +a(g900 +g960 +tp16460 +a(g427 +g1339 +tp16461 +a(g900 +g960 +tp16462 +a(g737 +V}} +p16463 +tp16464 +a(g7 +V\u000a +p16465 +tp16466 +a(g737 +V{% +p16467 +tp16468 +a(g900 +g960 +tp16469 +a(g818 +Velseif +p16470 +tp16471 +a(g900 +g960 +tp16472 +a(g427 +g1552 +tp16473 +a(g900 +g960 +tp16474 +a(g818 +Vis +p16475 +tp16476 +a(g900 +g960 +tp16477 +a(g560 +Vdefined +p16478 +tp16479 +a(g900 +g960 +tp16480 +a(g737 +V%} +p16481 +tp16482 +a(g7 +V\u000a +p16483 +tp16484 +a(g737 +V{{ +p16485 +tp16486 +a(g900 +g960 +tp16487 +a(g427 +g1552 +tp16488 +a(g900 +g960 +tp16489 +a(g737 +V}} +p16490 +tp16491 +a(g7 +V\u000a +p16492 +tp16493 +a(g737 +V{% +p16494 +tp16495 +a(g900 +g960 +tp16496 +a(g818 +Velse +p16497 +tp16498 +a(g900 +g960 +tp16499 +a(g737 +V%} +p16500 +tp16501 +a(g7 +V\u000a NOTHING\u000a +p16502 +tp16503 +a(g737 +V{% +p16504 +tp16505 +a(g900 +g960 +tp16506 +a(g818 +Vendif +p16507 +tp16508 +a(g900 +g960 +tp16509 +a(g737 +V%} +p16510 +tp16511 +a(g7 +V\u000a--DATA--\u000areturn array('a' => 'a')\u000a--EXPECT--\u000a a\u000a--DATA--\u000areturn array('b' => 'b')\u000a--EXPECT--\u000a b\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a NOTHING\u000a--TEST--\u000a"if" takes an expression as a test\u000a--TEMPLATE--\u000a +p16512 +tp16513 +a(g737 +V{% +p16514 +tp16515 +a(g900 +g960 +tp16516 +a(g818 +Vif +p16517 +tp16518 +a(g900 +g960 +tp16519 +a(g427 +g1339 +tp16520 +a(g900 +g960 +tp16521 +a(g399 +g2111 +tp16522 +a(g900 +g960 +tp16523 +a(g17 +g1165 +tp16524 +a(g900 +g960 +tp16525 +a(g737 +V%} +p16526 +tp16527 +a(g7 +V\u000a A1\u000a +p16528 +tp16529 +a(g737 +V{% +p16530 +tp16531 +a(g900 +g960 +tp16532 +a(g818 +Velseif +p16533 +tp16534 +a(g900 +g960 +tp16535 +a(g427 +g1339 +tp16536 +a(g900 +g960 +tp16537 +a(g399 +g2059 +tp16538 +a(g900 +g960 +tp16539 +a(g17 +g1160 +tp16540 +a(g17 +g1208 +tp16541 +a(g900 +g960 +tp16542 +a(g737 +V%} +p16543 +tp16544 +a(g7 +V\u000a A2\u000a +p16545 +tp16546 +a(g737 +V{% +p16547 +tp16548 +a(g900 +g960 +tp16549 +a(g818 +Velse +p16550 +tp16551 +a(g900 +g960 +tp16552 +a(g737 +V%} +p16553 +tp16554 +a(g7 +V\u000a A3\u000a +p16555 +tp16556 +a(g737 +V{% +p16557 +tp16558 +a(g900 +g960 +tp16559 +a(g818 +Vendif +p16560 +tp16561 +a(g900 +g960 +tp16562 +a(g737 +V%} +p16563 +tp16564 +a(g7 +V\u000a--DATA--\u000areturn array('a' => 1)\u000a--EXPECT--\u000a A1\u000a--DATA--\u000areturn array('a' => 12)\u000a--EXPECT--\u000a A2\u000a--DATA--\u000areturn array('a' => 7)\u000a--EXPECT--\u000a A3\u000a--TEST--\u000a"include" tag\u000a--TEMPLATE--\u000aFOO\u000a +p16565 +tp16566 +a(g737 +V{% +p16567 +tp16568 +a(g900 +g960 +tp16569 +a(g818 +Vinclude +p16570 +tp16571 +a(g900 +g960 +tp16572 +a(g143 +V"foo.twig" +p16573 +tp16574 +a(g900 +g960 +tp16575 +a(g737 +V%} +p16576 +tp16577 +a(g7 +V\u000a\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000aFOOBAR\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000a\u000aFOOBAR\u000aBAR\u000a--TEST--\u000a"include" tag allows expressions for the template to include\u000a--TEMPLATE--\u000aFOO\u000a +p16578 +tp16579 +a(g737 +V{% +p16580 +tp16581 +a(g900 +g960 +tp16582 +a(g818 +Vinclude +p16583 +tp16584 +a(g900 +g960 +tp16585 +a(g427 +Vfoo +p16586 +tp16587 +a(g900 +g960 +tp16588 +a(g737 +V%} +p16589 +tp16590 +a(g7 +V\u000a\u000aBAR\u000a--TEMPLATE(foo.twig)--\u000aFOOBAR\u000a--DATA--\u000areturn array('foo' => 'foo.twig')\u000a--EXPECT--\u000aFOO\u000a\u000aFOOBAR\u000aBAR\u000a--TEST--\u000a"include" tag\u000a--TEMPLATE--\u000a +p16591 +tp16592 +a(g737 +V{% +p16593 +tp16594 +a(g900 +g960 +tp16595 +a(g818 +Vinclude +p16596 +tp16597 +a(g900 +g960 +tp16598 +a(g399 +g1089 +tp16599 +a(g143 +V"foo.twig" +p16600 +tp16601 +a(g399 +g1162 +tp16602 +a(g900 +g960 +tp16603 +a(g143 +V"bar.twig" +p16604 +tp16605 +a(g399 +g1093 +tp16606 +a(g900 +g960 +tp16607 +a(g427 +Vignore +p16608 +tp16609 +a(g900 +g960 +tp16610 +a(g427 +Vmissing +p16611 +tp16612 +a(g900 +g960 +tp16613 +a(g737 +V%} +p16614 +tp16615 +a(g7 +V\u000a +p16616 +tp16617 +a(g737 +V{% +p16618 +tp16619 +a(g900 +g960 +tp16620 +a(g818 +Vinclude +p16621 +tp16622 +a(g900 +g960 +tp16623 +a(g143 +V"foo.twig" +p16624 +tp16625 +a(g900 +g960 +tp16626 +a(g427 +Vignore +p16627 +tp16628 +a(g900 +g960 +tp16629 +a(g427 +Vmissing +p16630 +tp16631 +a(g900 +g960 +tp16632 +a(g737 +V%} +p16633 +tp16634 +a(g7 +V\u000a +p16635 +tp16636 +a(g737 +V{% +p16637 +tp16638 +a(g900 +g960 +tp16639 +a(g818 +Vinclude +p16640 +tp16641 +a(g900 +g960 +tp16642 +a(g143 +V"foo.twig" +p16643 +tp16644 +a(g900 +g960 +tp16645 +a(g427 +Vignore +p16646 +tp16647 +a(g900 +g960 +tp16648 +a(g427 +Vmissing +p16649 +tp16650 +a(g900 +g960 +tp16651 +a(g427 +Vwith +p16652 +tp16653 +a(g900 +g960 +tp16654 +a(g399 +g1206 +tp16655 +a(g399 +g1222 +tp16656 +a(g900 +g960 +tp16657 +a(g737 +V%} +p16658 +tp16659 +a(g7 +V\u000a +p16660 +tp16661 +a(g737 +V{% +p16662 +tp16663 +a(g900 +g960 +tp16664 +a(g818 +Vinclude +p16665 +tp16666 +a(g900 +g960 +tp16667 +a(g143 +V"foo.twig" +p16668 +tp16669 +a(g900 +g960 +tp16670 +a(g427 +Vignore +p16671 +tp16672 +a(g900 +g960 +tp16673 +a(g427 +Vmissing +p16674 +tp16675 +a(g900 +g960 +tp16676 +a(g427 +Vwith +p16677 +tp16678 +a(g900 +g960 +tp16679 +a(g399 +g1206 +tp16680 +a(g399 +g1222 +tp16681 +a(g900 +g960 +tp16682 +a(g427 +Vonly +p16683 +tp16684 +a(g900 +g960 +tp16685 +a(g737 +V%} +p16686 +tp16687 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a--TEST--\u000a"include" tag\u000a--TEMPLATE--\u000a +p16688 +tp16689 +a(g737 +V{% +p16690 +tp16691 +a(g900 +g960 +tp16692 +a(g818 +Vextends +p16693 +tp16694 +a(g900 +g960 +tp16695 +a(g143 +V"base.twig" +p16696 +tp16697 +a(g900 +g960 +tp16698 +a(g737 +V%} +p16699 +tp16700 +a(g7 +V\u000a\u000a +p16701 +tp16702 +a(g737 +V{% +p16703 +tp16704 +a(g900 +g960 +tp16705 +a(g818 +Vblock +p16706 +tp16707 +a(g900 +g960 +tp16708 +a(g427 +Vcontent +p16709 +tp16710 +a(g900 +g960 +tp16711 +a(g737 +V%} +p16712 +tp16713 +a(g7 +V\u000a +p16714 +tp16715 +a(g737 +V{{ +p16716 +tp16717 +a(g900 +g960 +tp16718 +a(g668 +Vparent +p16719 +tp16720 +a(g399 +g1145 +tp16721 +a(g399 +g1149 +tp16722 +a(g900 +g960 +tp16723 +a(g737 +V}} +p16724 +tp16725 +a(g7 +V\u000a +p16726 +tp16727 +a(g737 +V{% +p16728 +tp16729 +a(g900 +g960 +tp16730 +a(g818 +Vendblock +p16731 +tp16732 +a(g900 +g960 +tp16733 +a(g737 +V%} +p16734 +tp16735 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p16736 +tp16737 +a(g737 +V{% +p16738 +tp16739 +a(g900 +g960 +tp16740 +a(g818 +Vblock +p16741 +tp16742 +a(g900 +g960 +tp16743 +a(g427 +Vcontent +p16744 +tp16745 +a(g900 +g960 +tp16746 +a(g737 +V%} +p16747 +tp16748 +a(g7 +V\u000a +p16749 +tp16750 +a(g737 +V{% +p16751 +tp16752 +a(g900 +g960 +tp16753 +a(g818 +Vinclude +p16754 +tp16755 +a(g900 +g960 +tp16756 +a(g143 +V"foo.twig" +p16757 +tp16758 +a(g900 +g960 +tp16759 +a(g737 +V%} +p16760 +tp16761 +a(g7 +V\u000a +p16762 +tp16763 +a(g737 +V{% +p16764 +tp16765 +a(g900 +g960 +tp16766 +a(g818 +Vendblock +p16767 +tp16768 +a(g900 +g960 +tp16769 +a(g737 +V%} +p16770 +tp16771 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXCEPTION--\u000aTwig_Error_Loader: Template "foo.twig" is not defined in "base.twig" at line 3.\u000a--TEST--\u000a"include" tag\u000a--TEMPLATE--\u000a +p16772 +tp16773 +a(g737 +V{% +p16774 +tp16775 +a(g900 +g960 +tp16776 +a(g818 +Vinclude +p16777 +tp16778 +a(g900 +g960 +tp16779 +a(g143 +V"foo.twig" +p16780 +tp16781 +a(g900 +g960 +tp16782 +a(g737 +V%} +p16783 +tp16784 +a(g7 +V\u000a--DATA--\u000areturn array();\u000a--EXCEPTION--\u000aTwig_Error_Loader: Template "foo.twig" is not defined in "index.twig" at line 2.\u000a--TEST--\u000a"include" tag accept variables and only\u000a--TEMPLATE--\u000a +p16785 +tp16786 +a(g737 +V{% +p16787 +tp16788 +a(g900 +g960 +tp16789 +a(g818 +Vinclude +p16790 +tp16791 +a(g900 +g960 +tp16792 +a(g143 +V"foo.twig" +p16793 +tp16794 +a(g900 +g960 +tp16795 +a(g737 +V%} +p16796 +tp16797 +a(g7 +V\u000a +p16798 +tp16799 +a(g737 +V{% +p16800 +tp16801 +a(g900 +g960 +tp16802 +a(g818 +Vinclude +p16803 +tp16804 +a(g900 +g960 +tp16805 +a(g143 +V"foo.twig" +p16806 +tp16807 +a(g900 +g960 +tp16808 +a(g427 +Vonly +p16809 +tp16810 +a(g900 +g960 +tp16811 +a(g737 +V%} +p16812 +tp16813 +a(g7 +V\u000a +p16814 +tp16815 +a(g737 +V{% +p16816 +tp16817 +a(g900 +g960 +tp16818 +a(g818 +Vinclude +p16819 +tp16820 +a(g900 +g960 +tp16821 +a(g143 +V"foo.twig" +p16822 +tp16823 +a(g900 +g960 +tp16824 +a(g427 +Vwith +p16825 +tp16826 +a(g900 +g960 +tp16827 +a(g399 +g1206 +tp16828 +a(g216 +V'foo1' +p16829 +tp16830 +a(g399 +g1210 +tp16831 +a(g900 +g960 +tp16832 +a(g216 +V'bar' +p16833 +tp16834 +a(g399 +g1222 +tp16835 +a(g900 +g960 +tp16836 +a(g737 +V%} +p16837 +tp16838 +a(g7 +V\u000a +p16839 +tp16840 +a(g737 +V{% +p16841 +tp16842 +a(g900 +g960 +tp16843 +a(g818 +Vinclude +p16844 +tp16845 +a(g900 +g960 +tp16846 +a(g143 +V"foo.twig" +p16847 +tp16848 +a(g900 +g960 +tp16849 +a(g427 +Vwith +p16850 +tp16851 +a(g900 +g960 +tp16852 +a(g399 +g1206 +tp16853 +a(g216 +V'foo1' +p16854 +tp16855 +a(g399 +g1210 +tp16856 +a(g900 +g960 +tp16857 +a(g216 +V'bar' +p16858 +tp16859 +a(g399 +g1222 +tp16860 +a(g900 +g960 +tp16861 +a(g427 +Vonly +p16862 +tp16863 +a(g900 +g960 +tp16864 +a(g737 +V%} +p16865 +tp16866 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p16867 +tp16868 +a(g737 +V{% +p16869 +tp16870 +a(g900 +g960 +tp16871 +a(g818 +Vfor +p16872 +tp16873 +a(g900 +g960 +tp16874 +a(g427 +g10448 +tp16875 +a(g399 +g1162 +tp16876 +a(g900 +g960 +tp16877 +a(g427 +g10452 +tp16878 +a(g900 +g960 +tp16879 +a(g818 +Vin +p16880 +tp16881 +a(g900 +g960 +tp16882 +a(g427 +V_context +p16883 +tp16884 +a(g900 +g960 +tp16885 +a(g737 +V%} +p16886 +tp16887 +a(g737 +V{{ +p16888 +tp16889 +a(g900 +g960 +tp16890 +a(g427 +g10448 +tp16891 +a(g900 +g960 +tp16892 +a(g737 +V}} +p16893 +tp16894 +a(g7 +g1162 +tp16895 +a(g737 +V{% +p16896 +tp16897 +a(g900 +g960 +tp16898 +a(g818 +Vendfor +p16899 +tp16900 +a(g900 +g960 +tp16901 +a(g737 +V%} +p16902 +tp16903 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'bar')\u000a--EXPECT--\u000afoo,global,_parent,\u000aglobal,_parent,\u000afoo,global,foo1,_parent,\u000afoo1,global,_parent,\u000a--TEST--\u000a"include" tag accepts Twig_Template instance\u000a--TEMPLATE--\u000a +p16904 +tp16905 +a(g737 +V{% +p16906 +tp16907 +a(g900 +g960 +tp16908 +a(g818 +Vinclude +p16909 +tp16910 +a(g900 +g960 +tp16911 +a(g427 +Vfoo +p16912 +tp16913 +a(g900 +g960 +tp16914 +a(g737 +V%} +p16915 +tp16916 +a(g7 +V FOO\u000a--TEMPLATE(foo.twig)--\u000aBAR\u000a--DATA--\u000areturn array('foo' => $twig->loadTemplate('foo.twig'))\u000a--EXPECT--\u000aBAR FOO\u000a--TEST--\u000a"include" tag\u000a--TEMPLATE--\u000a +p16917 +tp16918 +a(g737 +V{% +p16919 +tp16920 +a(g900 +g960 +tp16921 +a(g818 +Vinclude +p16922 +tp16923 +a(g900 +g960 +tp16924 +a(g399 +g1089 +tp16925 +a(g143 +V"foo.twig" +p16926 +tp16927 +a(g399 +g1162 +tp16928 +a(g900 +g960 +tp16929 +a(g143 +V"bar.twig" +p16930 +tp16931 +a(g399 +g1093 +tp16932 +a(g900 +g960 +tp16933 +a(g737 +V%} +p16934 +tp16935 +a(g7 +V\u000a +p16936 +tp16937 +a(g737 +V{% +p16938 +tp16939 +a(g900 +g960 +tp16940 +a(g818 +Vinclude +p16941 +tp16942 +a(g900 +g960 +tp16943 +a(g399 +g1089 +tp16944 +a(g143 +V"bar.twig" +p16945 +tp16946 +a(g399 +g1162 +tp16947 +a(g900 +g960 +tp16948 +a(g143 +V"foo.twig" +p16949 +tp16950 +a(g399 +g1093 +tp16951 +a(g900 +g960 +tp16952 +a(g737 +V%} +p16953 +tp16954 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000afoo\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000afoo\u000a--TEST--\u000a"include" tag accept variables\u000a--TEMPLATE--\u000a +p16955 +tp16956 +a(g737 +V{% +p16957 +tp16958 +a(g900 +g960 +tp16959 +a(g818 +Vinclude +p16960 +tp16961 +a(g900 +g960 +tp16962 +a(g143 +V"foo.twig" +p16963 +tp16964 +a(g900 +g960 +tp16965 +a(g427 +Vwith +p16966 +tp16967 +a(g900 +g960 +tp16968 +a(g399 +g1206 +tp16969 +a(g216 +V'foo' +p16970 +tp16971 +a(g399 +g1210 +tp16972 +a(g900 +g960 +tp16973 +a(g216 +V'bar' +p16974 +tp16975 +a(g399 +g1222 +tp16976 +a(g900 +g960 +tp16977 +a(g737 +V%} +p16978 +tp16979 +a(g7 +V\u000a +p16980 +tp16981 +a(g737 +V{% +p16982 +tp16983 +a(g900 +g960 +tp16984 +a(g818 +Vinclude +p16985 +tp16986 +a(g900 +g960 +tp16987 +a(g143 +V"foo.twig" +p16988 +tp16989 +a(g900 +g960 +tp16990 +a(g427 +Vwith +p16991 +tp16992 +a(g900 +g960 +tp16993 +a(g427 +Vvars +p16994 +tp16995 +a(g900 +g960 +tp16996 +a(g737 +V%} +p16997 +tp16998 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p16999 +tp17000 +a(g737 +V{{ +p17001 +tp17002 +a(g900 +g960 +tp17003 +a(g427 +Vfoo +p17004 +tp17005 +a(g900 +g960 +tp17006 +a(g737 +V}} +p17007 +tp17008 +a(g7 +V\u000a--DATA--\u000areturn array('vars' => array('foo' => 'bar'))\u000a--EXPECT--\u000abar\u000abar\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p17009 +tp17010 +a(g737 +V{% +p17011 +tp17012 +a(g900 +g960 +tp17013 +a(g818 +Vextends +p17014 +tp17015 +a(g900 +g960 +tp17016 +a(g143 +V"foo.twig" +p17017 +tp17018 +a(g900 +g960 +tp17019 +a(g737 +V%} +p17020 +tp17021 +a(g7 +V\u000a\u000a +p17022 +tp17023 +a(g737 +V{% +p17024 +tp17025 +a(g900 +g960 +tp17026 +a(g818 +Vblock +p17027 +tp17028 +a(g900 +g960 +tp17029 +a(g427 +Vcontent +p17030 +tp17031 +a(g900 +g960 +tp17032 +a(g737 +V%} +p17033 +tp17034 +a(g7 +V\u000aFOO\u000a +p17035 +tp17036 +a(g737 +V{% +p17037 +tp17038 +a(g900 +g960 +tp17039 +a(g818 +Vendblock +p17040 +tp17041 +a(g900 +g960 +tp17042 +a(g737 +V%} +p17043 +tp17044 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p17045 +tp17046 +a(g737 +V{% +p17047 +tp17048 +a(g900 +g960 +tp17049 +a(g818 +Vblock +p17050 +tp17051 +a(g900 +g960 +tp17052 +a(g427 +Vcontent +p17053 +tp17054 +a(g900 +g960 +tp17055 +a(g737 +V%} +p17056 +tp17057 +a(g737 +V{% +p17058 +tp17059 +a(g900 +g960 +tp17060 +a(g818 +Vendblock +p17061 +tp17062 +a(g900 +g960 +tp17063 +a(g737 +V%} +p17064 +tp17065 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000a--TEST--\u000ablock_expr2\u000a--TEMPLATE--\u000a +p17066 +tp17067 +a(g737 +V{% +p17068 +tp17069 +a(g900 +g960 +tp17070 +a(g818 +Vextends +p17071 +tp17072 +a(g900 +g960 +tp17073 +a(g143 +V"base2.twig" +p17074 +tp17075 +a(g900 +g960 +tp17076 +a(g737 +V%} +p17077 +tp17078 +a(g7 +V\u000a\u000a +p17079 +tp17080 +a(g737 +V{% +p17081 +tp17082 +a(g900 +g960 +tp17083 +a(g818 +Vblock +p17084 +tp17085 +a(g900 +g960 +tp17086 +a(g427 +Velement +p17087 +tp17088 +a(g900 +g960 +tp17089 +a(g900 +g1678 +tp17090 +a(g737 +V%} +p17091 +tp17092 +a(g7 +V\u000a Element:\u000a +p17093 +tp17094 +a(g737 +V{{ +p17095 +tp17096 +a(g399 +g1678 +tp17097 +a(g900 +g960 +tp17098 +a(g668 +Vparent +p17099 +tp17100 +a(g399 +g1145 +tp17101 +a(g399 +g1149 +tp17102 +a(g900 +g960 +tp17103 +a(g900 +g1678 +tp17104 +a(g737 +V}} +p17105 +tp17106 +a(g7 +V\u000a +p17107 +tp17108 +a(g737 +V{% +p17109 +tp17110 +a(g900 +g960 +tp17111 +a(g818 +Vendblock +p17112 +tp17113 +a(g900 +g960 +tp17114 +a(g737 +V%} +p17115 +tp17116 +a(g7 +V\u000a--TEMPLATE(base2.twig)--\u000a +p17117 +tp17118 +a(g737 +V{% +p17119 +tp17120 +a(g900 +g960 +tp17121 +a(g818 +Vextends +p17122 +tp17123 +a(g900 +g960 +tp17124 +a(g143 +V"base.twig" +p17125 +tp17126 +a(g900 +g960 +tp17127 +a(g737 +V%} +p17128 +tp17129 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p17130 +tp17131 +a(g737 +V{% +p17132 +tp17133 +a(g900 +g960 +tp17134 +a(g818 +Vspaceless +p17135 +tp17136 +a(g900 +g960 +tp17137 +a(g737 +V%} +p17138 +tp17139 +a(g7 +V\u000a +p17140 +tp17141 +a(g737 +V{% +p17142 +tp17143 +a(g900 +g960 +tp17144 +a(g818 +Vblock +p17145 +tp17146 +a(g900 +g960 +tp17147 +a(g427 +Velement +p17148 +tp17149 +a(g900 +g960 +tp17150 +a(g900 +g1678 +tp17151 +a(g737 +V%} +p17152 +tp17153 +a(g7 +V\u000a
    \u000a +p17154 +tp17155 +a(g737 +V{% +p17156 +tp17157 +a(g900 +V- +p17158 +tp17159 +a(g818 +Vif +p17160 +tp17161 +a(g900 +g960 +tp17162 +a(g427 +Vitem +p17163 +tp17164 +a(g427 +V.children +p17165 +tp17166 +a(g900 +g960 +tp17167 +a(g818 +Vis +p17168 +tp17169 +a(g900 +g960 +tp17170 +a(g560 +Vdefined +p17171 +tp17172 +a(g900 +g960 +tp17173 +a(g737 +V%} +p17174 +tp17175 +a(g7 +V\u000a +p17176 +tp17177 +a(g737 +V{% +p17178 +tp17179 +a(g900 +V- +p17180 +tp17181 +a(g818 +Vfor +p17182 +tp17183 +a(g900 +g960 +tp17184 +a(g427 +Vitem +p17185 +tp17186 +a(g900 +g960 +tp17187 +a(g818 +Vin +p17188 +tp17189 +a(g900 +g960 +tp17190 +a(g427 +Vitem +p17191 +tp17192 +a(g427 +V.children +p17193 +tp17194 +a(g900 +g960 +tp17195 +a(g737 +V%} +p17196 +tp17197 +a(g7 +V\u000a +p17198 +tp17199 +a(g737 +V{{ +p17200 +tp17201 +a(g399 +g1678 +tp17202 +a(g900 +g960 +tp17203 +a(g668 +Vblock +p17204 +tp17205 +a(g399 +g1145 +tp17206 +a(g216 +V'element' +p17207 +tp17208 +a(g399 +g1149 +tp17209 +a(g900 +g960 +tp17210 +a(g900 +g1678 +tp17211 +a(g737 +V}} +p17212 +tp17213 +a(g7 +V\u000a +p17214 +tp17215 +a(g737 +V{% +p17216 +tp17217 +a(g900 +g960 +tp17218 +a(g818 +Vendfor +p17219 +tp17220 +a(g900 +g960 +tp17221 +a(g737 +V%} +p17222 +tp17223 +a(g7 +V\u000a +p17224 +tp17225 +a(g737 +V{% +p17226 +tp17227 +a(g900 +V- +p17228 +tp17229 +a(g818 +Vendif +p17230 +tp17231 +a(g900 +g960 +tp17232 +a(g900 +g1678 +tp17233 +a(g737 +V%} +p17234 +tp17235 +a(g7 +V\u000a
    \u000a +p17236 +tp17237 +a(g737 +V{% +p17238 +tp17239 +a(g900 +V- +p17240 +tp17241 +a(g818 +Vendblock +p17242 +tp17243 +a(g900 +g960 +tp17244 +a(g737 +V%} +p17245 +tp17246 +a(g7 +V\u000a +p17247 +tp17248 +a(g737 +V{% +p17249 +tp17250 +a(g900 +g960 +tp17251 +a(g818 +Vendspaceless +p17252 +tp17253 +a(g900 +g960 +tp17254 +a(g737 +V%} +p17255 +tp17256 +a(g7 +V\u000a--DATA--\u000areturn array(\u000a 'item' => array(\u000a 'children' => array(\u000a null,\u000a null,\u000a )\u000a )\u000a)\u000a--EXPECT--\u000aElement:
    Element:
    Element:
    \u000a--TEST--\u000ablock_expr\u000a--TEMPLATE--\u000a +p17257 +tp17258 +a(g737 +V{% +p17259 +tp17260 +a(g900 +g960 +tp17261 +a(g818 +Vextends +p17262 +tp17263 +a(g900 +g960 +tp17264 +a(g143 +V"base.twig" +p17265 +tp17266 +a(g900 +g960 +tp17267 +a(g737 +V%} +p17268 +tp17269 +a(g7 +V\u000a\u000a +p17270 +tp17271 +a(g737 +V{% +p17272 +tp17273 +a(g900 +g960 +tp17274 +a(g818 +Vblock +p17275 +tp17276 +a(g900 +g960 +tp17277 +a(g427 +Velement +p17278 +tp17279 +a(g900 +g960 +tp17280 +a(g900 +g1678 +tp17281 +a(g737 +V%} +p17282 +tp17283 +a(g7 +V\u000a Element:\u000a +p17284 +tp17285 +a(g737 +V{{ +p17286 +tp17287 +a(g399 +g1678 +tp17288 +a(g900 +g960 +tp17289 +a(g668 +Vparent +p17290 +tp17291 +a(g399 +g1145 +tp17292 +a(g399 +g1149 +tp17293 +a(g900 +g960 +tp17294 +a(g900 +g1678 +tp17295 +a(g737 +V}} +p17296 +tp17297 +a(g7 +V\u000a +p17298 +tp17299 +a(g737 +V{% +p17300 +tp17301 +a(g900 +g960 +tp17302 +a(g818 +Vendblock +p17303 +tp17304 +a(g900 +g960 +tp17305 +a(g737 +V%} +p17306 +tp17307 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p17308 +tp17309 +a(g737 +V{% +p17310 +tp17311 +a(g900 +g960 +tp17312 +a(g818 +Vspaceless +p17313 +tp17314 +a(g900 +g960 +tp17315 +a(g737 +V%} +p17316 +tp17317 +a(g7 +V\u000a +p17318 +tp17319 +a(g737 +V{% +p17320 +tp17321 +a(g900 +g960 +tp17322 +a(g818 +Vblock +p17323 +tp17324 +a(g900 +g960 +tp17325 +a(g427 +Velement +p17326 +tp17327 +a(g900 +g960 +tp17328 +a(g900 +g1678 +tp17329 +a(g737 +V%} +p17330 +tp17331 +a(g7 +V\u000a
    \u000a +p17332 +tp17333 +a(g737 +V{% +p17334 +tp17335 +a(g900 +V- +p17336 +tp17337 +a(g818 +Vif +p17338 +tp17339 +a(g900 +g960 +tp17340 +a(g427 +Vitem +p17341 +tp17342 +a(g427 +V.children +p17343 +tp17344 +a(g900 +g960 +tp17345 +a(g818 +Vis +p17346 +tp17347 +a(g900 +g960 +tp17348 +a(g560 +Vdefined +p17349 +tp17350 +a(g900 +g960 +tp17351 +a(g737 +V%} +p17352 +tp17353 +a(g7 +V\u000a +p17354 +tp17355 +a(g737 +V{% +p17356 +tp17357 +a(g900 +V- +p17358 +tp17359 +a(g818 +Vfor +p17360 +tp17361 +a(g900 +g960 +tp17362 +a(g427 +Vitem +p17363 +tp17364 +a(g900 +g960 +tp17365 +a(g818 +Vin +p17366 +tp17367 +a(g900 +g960 +tp17368 +a(g427 +Vitem +p17369 +tp17370 +a(g427 +V.children +p17371 +tp17372 +a(g900 +g960 +tp17373 +a(g737 +V%} +p17374 +tp17375 +a(g7 +V\u000a +p17376 +tp17377 +a(g737 +V{{ +p17378 +tp17379 +a(g399 +g1678 +tp17380 +a(g900 +g960 +tp17381 +a(g668 +Vblock +p17382 +tp17383 +a(g399 +g1145 +tp17384 +a(g216 +V'element' +p17385 +tp17386 +a(g399 +g1149 +tp17387 +a(g900 +g960 +tp17388 +a(g900 +g1678 +tp17389 +a(g737 +V}} +p17390 +tp17391 +a(g7 +V\u000a +p17392 +tp17393 +a(g737 +V{% +p17394 +tp17395 +a(g900 +g960 +tp17396 +a(g818 +Vendfor +p17397 +tp17398 +a(g900 +g960 +tp17399 +a(g737 +V%} +p17400 +tp17401 +a(g7 +V\u000a +p17402 +tp17403 +a(g737 +V{% +p17404 +tp17405 +a(g900 +V- +p17406 +tp17407 +a(g818 +Vendif +p17408 +tp17409 +a(g900 +g960 +tp17410 +a(g900 +g1678 +tp17411 +a(g737 +V%} +p17412 +tp17413 +a(g7 +V\u000a
    \u000a +p17414 +tp17415 +a(g737 +V{% +p17416 +tp17417 +a(g900 +V- +p17418 +tp17419 +a(g818 +Vendblock +p17420 +tp17421 +a(g900 +g960 +tp17422 +a(g737 +V%} +p17423 +tp17424 +a(g7 +V\u000a +p17425 +tp17426 +a(g737 +V{% +p17427 +tp17428 +a(g900 +g960 +tp17429 +a(g818 +Vendspaceless +p17430 +tp17431 +a(g900 +g960 +tp17432 +a(g737 +V%} +p17433 +tp17434 +a(g7 +V\u000a--DATA--\u000areturn array(\u000a 'item' => array(\u000a 'children' => array(\u000a null,\u000a null,\u000a )\u000a )\u000a)\u000a--EXPECT--\u000aElement:
    Element:
    Element:
    \u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p17435 +tp17436 +a(g737 +V{% +p17437 +tp17438 +a(g900 +g960 +tp17439 +a(g818 +Vextends +p17440 +tp17441 +a(g900 +g960 +tp17442 +a(g427 +Vstandalone +p17443 +tp17444 +a(g900 +g960 +tp17445 +a(g399 +g2039 +tp17446 +a(g900 +g960 +tp17447 +a(g427 +Vfoo +p17448 +tp17449 +a(g900 +g960 +tp17450 +a(g399 +g1210 +tp17451 +a(g900 +g960 +tp17452 +a(g216 +V'bar.twig' +p17453 +tp17454 +a(g900 +g960 +tp17455 +a(g737 +V%} +p17456 +tp17457 +a(g7 +V\u000a\u000a +p17458 +tp17459 +a(g737 +V{% +p17460 +tp17461 +a(g900 +g960 +tp17462 +a(g818 +Vblock +p17463 +tp17464 +a(g900 +g960 +tp17465 +a(g427 +Vcontent +p17466 +tp17467 +a(g900 +g960 +tp17468 +a(g737 +V%} +p17469 +tp17470 +a(g737 +V{{ +p17471 +tp17472 +a(g900 +g960 +tp17473 +a(g668 +Vparent +p17474 +tp17475 +a(g399 +g1145 +tp17476 +a(g399 +g1149 +tp17477 +a(g900 +g960 +tp17478 +a(g737 +V}} +p17479 +tp17480 +a(g7 +VFOO +p17481 +tp17482 +a(g737 +V{% +p17483 +tp17484 +a(g900 +g960 +tp17485 +a(g818 +Vendblock +p17486 +tp17487 +a(g900 +g960 +tp17488 +a(g737 +V%} +p17489 +tp17490 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p17491 +tp17492 +a(g737 +V{% +p17493 +tp17494 +a(g900 +g960 +tp17495 +a(g818 +Vblock +p17496 +tp17497 +a(g900 +g960 +tp17498 +a(g427 +Vcontent +p17499 +tp17500 +a(g900 +g960 +tp17501 +a(g737 +V%} +p17502 +tp17503 +a(g7 +VFOO +p17504 +tp17505 +a(g737 +V{% +p17506 +tp17507 +a(g900 +g960 +tp17508 +a(g818 +Vendblock +p17509 +tp17510 +a(g900 +g960 +tp17511 +a(g737 +V%} +p17512 +tp17513 +a(g7 +V\u000a--TEMPLATE(bar.twig)--\u000a +p17514 +tp17515 +a(g737 +V{% +p17516 +tp17517 +a(g900 +g960 +tp17518 +a(g818 +Vblock +p17519 +tp17520 +a(g900 +g960 +tp17521 +a(g427 +Vcontent +p17522 +tp17523 +a(g900 +g960 +tp17524 +a(g737 +V%} +p17525 +tp17526 +a(g7 +VBAR +p17527 +tp17528 +a(g737 +V{% +p17529 +tp17530 +a(g900 +g960 +tp17531 +a(g818 +Vendblock +p17532 +tp17533 +a(g900 +g960 +tp17534 +a(g737 +V%} +p17535 +tp17536 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'foo.twig', 'standalone' => true)\u000a--EXPECT--\u000aFOOFOO\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p17537 +tp17538 +a(g737 +V{% +p17539 +tp17540 +a(g900 +g960 +tp17541 +a(g818 +Vextends +p17542 +tp17543 +a(g900 +g960 +tp17544 +a(g427 +Vfoo +p17545 +tp17546 +a(g900 +g960 +tp17547 +a(g737 +V%} +p17548 +tp17549 +a(g7 +V\u000a\u000a +p17550 +tp17551 +a(g737 +V{% +p17552 +tp17553 +a(g900 +g960 +tp17554 +a(g818 +Vblock +p17555 +tp17556 +a(g900 +g960 +tp17557 +a(g427 +Vcontent +p17558 +tp17559 +a(g900 +g960 +tp17560 +a(g737 +V%} +p17561 +tp17562 +a(g7 +V\u000aFOO\u000a +p17563 +tp17564 +a(g737 +V{% +p17565 +tp17566 +a(g900 +g960 +tp17567 +a(g818 +Vendblock +p17568 +tp17569 +a(g900 +g960 +tp17570 +a(g737 +V%} +p17571 +tp17572 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p17573 +tp17574 +a(g737 +V{% +p17575 +tp17576 +a(g900 +g960 +tp17577 +a(g818 +Vblock +p17578 +tp17579 +a(g900 +g960 +tp17580 +a(g427 +Vcontent +p17581 +tp17582 +a(g900 +g960 +tp17583 +a(g737 +V%} +p17584 +tp17585 +a(g737 +V{% +p17586 +tp17587 +a(g900 +g960 +tp17588 +a(g818 +Vendblock +p17589 +tp17590 +a(g900 +g960 +tp17591 +a(g737 +V%} +p17592 +tp17593 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => 'foo.twig')\u000a--EXPECT--\u000aFOO\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p17594 +tp17595 +a(g737 +V{% +p17596 +tp17597 +a(g900 +g960 +tp17598 +a(g818 +Vextends +p17599 +tp17600 +a(g900 +g960 +tp17601 +a(g143 +V"foo.twig" +p17602 +tp17603 +a(g900 +g960 +tp17604 +a(g737 +V%} +p17605 +tp17606 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p17607 +tp17608 +a(g737 +V{% +p17609 +tp17610 +a(g900 +g960 +tp17611 +a(g818 +Vblock +p17612 +tp17613 +a(g900 +g960 +tp17614 +a(g427 +Vcontent +p17615 +tp17616 +a(g900 +g960 +tp17617 +a(g737 +V%} +p17618 +tp17619 +a(g7 +VFOO +p17620 +tp17621 +a(g737 +V{% +p17622 +tp17623 +a(g900 +g960 +tp17624 +a(g818 +Vendblock +p17625 +tp17626 +a(g900 +g960 +tp17627 +a(g737 +V%} +p17628 +tp17629 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aFOO\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p17630 +tp17631 +a(g737 +V{% +p17632 +tp17633 +a(g900 +g960 +tp17634 +a(g818 +Vextends +p17635 +tp17636 +a(g900 +g960 +tp17637 +a(g399 +g1089 +tp17638 +a(g143 +V"foo.twig" +p17639 +tp17640 +a(g399 +g1162 +tp17641 +a(g900 +g960 +tp17642 +a(g143 +V"bar.twig" +p17643 +tp17644 +a(g399 +g1093 +tp17645 +a(g900 +g960 +tp17646 +a(g737 +V%} +p17647 +tp17648 +a(g7 +V\u000a--TEMPLATE(bar.twig)--\u000a +p17649 +tp17650 +a(g737 +V{% +p17651 +tp17652 +a(g900 +g960 +tp17653 +a(g818 +Vblock +p17654 +tp17655 +a(g900 +g960 +tp17656 +a(g427 +Vcontent +p17657 +tp17658 +a(g900 +g960 +tp17659 +a(g737 +V%} +p17660 +tp17661 +a(g7 +V\u000afoo\u000a +p17662 +tp17663 +a(g737 +V{% +p17664 +tp17665 +a(g900 +g960 +tp17666 +a(g818 +Vendblock +p17667 +tp17668 +a(g900 +g960 +tp17669 +a(g737 +V%} +p17670 +tp17671 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p17672 +tp17673 +a(g737 +V{% +p17674 +tp17675 +a(g900 +g960 +tp17676 +a(g818 +Vextends +p17677 +tp17678 +a(g900 +g960 +tp17679 +a(g143 +V"layout.twig" +p17680 +tp17681 +a(g900 +g960 +tp17682 +a(g737 +V%} +p17683 +tp17684 +a(g737 +V{% +p17685 +tp17686 +a(g900 +g960 +tp17687 +a(g818 +Vblock +p17688 +tp17689 +a(g900 +g960 +tp17690 +a(g427 +Vcontent +p17691 +tp17692 +a(g900 +g960 +tp17693 +a(g737 +V%} +p17694 +tp17695 +a(g737 +V{{ +p17696 +tp17697 +a(g900 +g960 +tp17698 +a(g668 +Vparent +p17699 +tp17700 +a(g399 +g1145 +tp17701 +a(g399 +g1149 +tp17702 +a(g900 +g960 +tp17703 +a(g737 +V}} +p17704 +tp17705 +a(g7 +Vindex +p17706 +tp17707 +a(g737 +V{% +p17708 +tp17709 +a(g900 +g960 +tp17710 +a(g818 +Vendblock +p17711 +tp17712 +a(g900 +g960 +tp17713 +a(g737 +V%} +p17714 +tp17715 +a(g7 +V\u000a--TEMPLATE(layout.twig)--\u000a +p17716 +tp17717 +a(g737 +V{% +p17718 +tp17719 +a(g900 +g960 +tp17720 +a(g818 +Vextends +p17721 +tp17722 +a(g900 +g960 +tp17723 +a(g143 +V"base.twig" +p17724 +tp17725 +a(g900 +g960 +tp17726 +a(g737 +V%} +p17727 +tp17728 +a(g737 +V{% +p17729 +tp17730 +a(g900 +g960 +tp17731 +a(g818 +Vblock +p17732 +tp17733 +a(g900 +g960 +tp17734 +a(g427 +Vcontent +p17735 +tp17736 +a(g900 +g960 +tp17737 +a(g737 +V%} +p17738 +tp17739 +a(g737 +V{{ +p17740 +tp17741 +a(g900 +g960 +tp17742 +a(g668 +Vparent +p17743 +tp17744 +a(g399 +g1145 +tp17745 +a(g399 +g1149 +tp17746 +a(g900 +g960 +tp17747 +a(g737 +V}} +p17748 +tp17749 +a(g7 +Vlayout +p17750 +tp17751 +a(g737 +V{% +p17752 +tp17753 +a(g900 +g960 +tp17754 +a(g818 +Vendblock +p17755 +tp17756 +a(g900 +g960 +tp17757 +a(g737 +V%} +p17758 +tp17759 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p17760 +tp17761 +a(g737 +V{% +p17762 +tp17763 +a(g900 +g960 +tp17764 +a(g818 +Vblock +p17765 +tp17766 +a(g900 +g960 +tp17767 +a(g427 +Vcontent +p17768 +tp17769 +a(g900 +g960 +tp17770 +a(g737 +V%} +p17771 +tp17772 +a(g7 +Vbase +p17773 +tp17774 +a(g737 +V{% +p17775 +tp17776 +a(g900 +g960 +tp17777 +a(g818 +Vendblock +p17778 +tp17779 +a(g900 +g960 +tp17780 +a(g737 +V%} +p17781 +tp17782 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000abase layout index\u000a--TEST--\u000a"block" tag\u000a--TEMPLATE--\u000a +p17783 +tp17784 +a(g737 +V{% +p17785 +tp17786 +a(g900 +g960 +tp17787 +a(g818 +Vblock +p17788 +tp17789 +a(g900 +g960 +tp17790 +a(g427 +Vcontent +p17791 +tp17792 +a(g900 +g960 +tp17793 +a(g737 +V%} +p17794 +tp17795 +a(g7 +V\u000a CONTENT\u000a +p17796 +tp17797 +a(g737 +V{% +p17798 +tp17799 +a(g900 +V- +p17800 +tp17801 +a(g818 +Vblock +p17802 +tp17803 +a(g900 +g960 +tp17804 +a(g427 +Vsubcontent +p17805 +tp17806 +a(g900 +g960 +tp17807 +a(g900 +g1678 +tp17808 +a(g737 +V%} +p17809 +tp17810 +a(g7 +V\u000a SUBCONTENT\u000a +p17811 +tp17812 +a(g737 +V{% +p17813 +tp17814 +a(g900 +V- +p17815 +tp17816 +a(g818 +Vendblock +p17817 +tp17818 +a(g900 +g960 +tp17819 +a(g900 +g1678 +tp17820 +a(g737 +V%} +p17821 +tp17822 +a(g7 +V\u000a ENDCONTENT\u000a +p17823 +tp17824 +a(g737 +V{% +p17825 +tp17826 +a(g900 +g960 +tp17827 +a(g818 +Vendblock +p17828 +tp17829 +a(g900 +g960 +tp17830 +a(g737 +V%} +p17831 +tp17832 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aCONTENTSUBCONTENTENDCONTENT\u000a--TEST--\u000a"block" tag\u000a--TEMPLATE--\u000a +p17833 +tp17834 +a(g737 +V{% +p17835 +tp17836 +a(g900 +g960 +tp17837 +a(g818 +Vextends +p17838 +tp17839 +a(g900 +g960 +tp17840 +a(g143 +V"foo.twig" +p17841 +tp17842 +a(g900 +g960 +tp17843 +a(g737 +V%} +p17844 +tp17845 +a(g7 +V\u000a\u000a +p17846 +tp17847 +a(g737 +V{% +p17848 +tp17849 +a(g900 +g960 +tp17850 +a(g818 +Vblock +p17851 +tp17852 +a(g900 +g960 +tp17853 +a(g427 +Vcontent +p17854 +tp17855 +a(g900 +g960 +tp17856 +a(g737 +V%} +p17857 +tp17858 +a(g7 +V\u000a +p17859 +tp17860 +a(g737 +V{% +p17861 +tp17862 +a(g900 +g960 +tp17863 +a(g818 +Vblock +p17864 +tp17865 +a(g900 +g960 +tp17866 +a(g427 +Vsubcontent +p17867 +tp17868 +a(g900 +g960 +tp17869 +a(g737 +V%} +p17870 +tp17871 +a(g7 +V\u000a +p17872 +tp17873 +a(g737 +V{% +p17874 +tp17875 +a(g900 +g960 +tp17876 +a(g818 +Vblock +p17877 +tp17878 +a(g900 +g960 +tp17879 +a(g427 +Vsubsubcontent +p17880 +tp17881 +a(g900 +g960 +tp17882 +a(g737 +V%} +p17883 +tp17884 +a(g7 +V\u000a SUBSUBCONTENT\u000a +p17885 +tp17886 +a(g737 +V{% +p17887 +tp17888 +a(g900 +g960 +tp17889 +a(g818 +Vendblock +p17890 +tp17891 +a(g900 +g960 +tp17892 +a(g737 +V%} +p17893 +tp17894 +a(g7 +V\u000a +p17895 +tp17896 +a(g737 +V{% +p17897 +tp17898 +a(g900 +g960 +tp17899 +a(g818 +Vendblock +p17900 +tp17901 +a(g900 +g960 +tp17902 +a(g737 +V%} +p17903 +tp17904 +a(g7 +V\u000a +p17905 +tp17906 +a(g737 +V{% +p17907 +tp17908 +a(g900 +g960 +tp17909 +a(g818 +Vendblock +p17910 +tp17911 +a(g900 +g960 +tp17912 +a(g737 +V%} +p17913 +tp17914 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p17915 +tp17916 +a(g737 +V{% +p17917 +tp17918 +a(g900 +g960 +tp17919 +a(g818 +Vblock +p17920 +tp17921 +a(g900 +g960 +tp17922 +a(g427 +Vcontent +p17923 +tp17924 +a(g900 +g960 +tp17925 +a(g737 +V%} +p17926 +tp17927 +a(g7 +V\u000a +p17928 +tp17929 +a(g737 +V{% +p17930 +tp17931 +a(g900 +g960 +tp17932 +a(g818 +Vblock +p17933 +tp17934 +a(g900 +g960 +tp17935 +a(g427 +Vsubcontent +p17936 +tp17937 +a(g900 +g960 +tp17938 +a(g737 +V%} +p17939 +tp17940 +a(g7 +V\u000a SUBCONTENT\u000a +p17941 +tp17942 +a(g737 +V{% +p17943 +tp17944 +a(g900 +g960 +tp17945 +a(g818 +Vendblock +p17946 +tp17947 +a(g900 +g960 +tp17948 +a(g737 +V%} +p17949 +tp17950 +a(g7 +V\u000a +p17951 +tp17952 +a(g737 +V{% +p17953 +tp17954 +a(g900 +g960 +tp17955 +a(g818 +Vendblock +p17956 +tp17957 +a(g900 +g960 +tp17958 +a(g737 +V%} +p17959 +tp17960 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aSUBSUBCONTENT\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p17961 +tp17962 +a(g737 +V{% +p17963 +tp17964 +a(g900 +g960 +tp17965 +a(g818 +Vextends +p17966 +tp17967 +a(g900 +g960 +tp17968 +a(g143 +V"layout.twig" +p17969 +tp17970 +a(g900 +g960 +tp17971 +a(g737 +V%} +p17972 +tp17973 +a(g7 +V\u000a +p17974 +tp17975 +a(g737 +V{% +p17976 +tp17977 +a(g900 +g960 +tp17978 +a(g818 +Vblock +p17979 +tp17980 +a(g900 +g960 +tp17981 +a(g427 +Vinside +p17982 +tp17983 +a(g900 +g960 +tp17984 +a(g737 +V%} +p17985 +tp17986 +a(g7 +VINSIDE +p17987 +tp17988 +a(g737 +V{% +p17989 +tp17990 +a(g900 +g960 +tp17991 +a(g818 +Vendblock +p17992 +tp17993 +a(g900 +g960 +tp17994 +a(g427 +Vinside +p17995 +tp17996 +a(g900 +g960 +tp17997 +a(g737 +V%} +p17998 +tp17999 +a(g7 +V\u000a--TEMPLATE(layout.twig)--\u000a +p18000 +tp18001 +a(g737 +V{% +p18002 +tp18003 +a(g900 +g960 +tp18004 +a(g818 +Vextends +p18005 +tp18006 +a(g900 +g960 +tp18007 +a(g143 +V"base.twig" +p18008 +tp18009 +a(g900 +g960 +tp18010 +a(g737 +V%} +p18011 +tp18012 +a(g7 +V\u000a +p18013 +tp18014 +a(g737 +V{% +p18015 +tp18016 +a(g900 +g960 +tp18017 +a(g818 +Vblock +p18018 +tp18019 +a(g900 +g960 +tp18020 +a(g427 +Vbody +p18021 +tp18022 +a(g900 +g960 +tp18023 +a(g737 +V%} +p18024 +tp18025 +a(g7 +V\u000a +p18026 +tp18027 +a(g737 +V{% +p18028 +tp18029 +a(g900 +g960 +tp18030 +a(g818 +Vblock +p18031 +tp18032 +a(g900 +g960 +tp18033 +a(g427 +Vinside +p18034 +tp18035 +a(g900 +g960 +tp18036 +a(g216 +V'' +p18037 +tp18038 +a(g900 +g960 +tp18039 +a(g737 +V%} +p18040 +tp18041 +a(g7 +V\u000a +p18042 +tp18043 +a(g737 +V{% +p18044 +tp18045 +a(g900 +g960 +tp18046 +a(g818 +Vendblock +p18047 +tp18048 +a(g900 +g960 +tp18049 +a(g427 +Vbody +p18050 +tp18051 +a(g900 +g960 +tp18052 +a(g737 +V%} +p18053 +tp18054 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p18055 +tp18056 +a(g737 +V{% +p18057 +tp18058 +a(g900 +g960 +tp18059 +a(g818 +Vblock +p18060 +tp18061 +a(g900 +g960 +tp18062 +a(g427 +Vbody +p18063 +tp18064 +a(g900 +g960 +tp18065 +a(g216 +V'' +p18066 +tp18067 +a(g900 +g960 +tp18068 +a(g737 +V%} +p18069 +tp18070 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aINSIDE\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p18071 +tp18072 +a(g737 +V{% +p18073 +tp18074 +a(g900 +g960 +tp18075 +a(g818 +Vextends +p18076 +tp18077 +a(g900 +g960 +tp18078 +a(g427 +Vfoo +p18079 +tp18080 +a(g900 +g960 +tp18081 +a(g399 +g2039 +tp18082 +a(g900 +g960 +tp18083 +a(g216 +V'foo.twig' +p18084 +tp18085 +a(g900 +g960 +tp18086 +a(g399 +g1210 +tp18087 +a(g900 +g960 +tp18088 +a(g216 +V'bar.twig' +p18089 +tp18090 +a(g900 +g960 +tp18091 +a(g737 +V%} +p18092 +tp18093 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000aFOO\u000a--TEMPLATE(bar.twig)--\u000aBAR\u000a--DATA--\u000areturn array('foo' => true)\u000a--EXPECT--\u000aFOO\u000a--DATA--\u000areturn array('foo' => false)\u000a--EXPECT--\u000aBAR\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p18094 +tp18095 +a(g737 +V{% +p18096 +tp18097 +a(g900 +g960 +tp18098 +a(g818 +Vblock +p18099 +tp18100 +a(g900 +g960 +tp18101 +a(g427 +Vcontent +p18102 +tp18103 +a(g900 +g960 +tp18104 +a(g737 +V%} +p18105 +tp18106 +a(g7 +V\u000a +p18107 +tp18108 +a(g737 +V{% +p18109 +tp18110 +a(g900 +g960 +tp18111 +a(g818 +Vextends +p18112 +tp18113 +a(g900 +g960 +tp18114 +a(g143 +V"foo.twig" +p18115 +tp18116 +a(g900 +g960 +tp18117 +a(g737 +V%} +p18118 +tp18119 +a(g7 +V\u000a +p18120 +tp18121 +a(g737 +V{% +p18122 +tp18123 +a(g900 +g960 +tp18124 +a(g818 +Vendblock +p18125 +tp18126 +a(g900 +g960 +tp18127 +a(g737 +V%} +p18128 +tp18129 +a(g7 +V\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Cannot extend from a block in "index.twig" at line 3\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p18130 +tp18131 +a(g737 +V{% +p18132 +tp18133 +a(g900 +g960 +tp18134 +a(g818 +Vextends +p18135 +tp18136 +a(g900 +g960 +tp18137 +a(g143 +V"base.twig" +p18138 +tp18139 +a(g900 +g960 +tp18140 +a(g737 +V%} +p18141 +tp18142 +a(g7 +V\u000a +p18143 +tp18144 +a(g737 +V{% +p18145 +tp18146 +a(g900 +g960 +tp18147 +a(g818 +Vblock +p18148 +tp18149 +a(g900 +g960 +tp18150 +a(g427 +Vcontent +p18151 +tp18152 +a(g900 +g960 +tp18153 +a(g737 +V%} +p18154 +tp18155 +a(g737 +V{% +p18156 +tp18157 +a(g900 +g960 +tp18158 +a(g818 +Vinclude +p18159 +tp18160 +a(g900 +g960 +tp18161 +a(g143 +V"included.twig" +p18162 +tp18163 +a(g900 +g960 +tp18164 +a(g737 +V%} +p18165 +tp18166 +a(g737 +V{% +p18167 +tp18168 +a(g900 +g960 +tp18169 +a(g818 +Vendblock +p18170 +tp18171 +a(g900 +g960 +tp18172 +a(g737 +V%} +p18173 +tp18174 +a(g7 +V\u000a\u000a +p18175 +tp18176 +a(g737 +V{% +p18177 +tp18178 +a(g900 +g960 +tp18179 +a(g818 +Vblock +p18180 +tp18181 +a(g900 +g960 +tp18182 +a(g427 +Vfooter +p18183 +tp18184 +a(g900 +g960 +tp18185 +a(g737 +V%} +p18186 +tp18187 +a(g7 +VFooter +p18188 +tp18189 +a(g737 +V{% +p18190 +tp18191 +a(g900 +g960 +tp18192 +a(g818 +Vendblock +p18193 +tp18194 +a(g900 +g960 +tp18195 +a(g737 +V%} +p18196 +tp18197 +a(g7 +V\u000a--TEMPLATE(included.twig)--\u000a +p18198 +tp18199 +a(g737 +V{% +p18200 +tp18201 +a(g900 +g960 +tp18202 +a(g818 +Vextends +p18203 +tp18204 +a(g900 +g960 +tp18205 +a(g143 +V"base.twig" +p18206 +tp18207 +a(g900 +g960 +tp18208 +a(g737 +V%} +p18209 +tp18210 +a(g7 +V\u000a +p18211 +tp18212 +a(g737 +V{% +p18213 +tp18214 +a(g900 +g960 +tp18215 +a(g818 +Vblock +p18216 +tp18217 +a(g900 +g960 +tp18218 +a(g427 +Vcontent +p18219 +tp18220 +a(g900 +g960 +tp18221 +a(g737 +V%} +p18222 +tp18223 +a(g7 +VIncluded Content +p18224 +tp18225 +a(g737 +V{% +p18226 +tp18227 +a(g900 +g960 +tp18228 +a(g818 +Vendblock +p18229 +tp18230 +a(g900 +g960 +tp18231 +a(g737 +V%} +p18232 +tp18233 +a(g7 +V\u000a--TEMPLATE(base.twig)--\u000a +p18234 +tp18235 +a(g737 +V{% +p18236 +tp18237 +a(g900 +g960 +tp18238 +a(g818 +Vblock +p18239 +tp18240 +a(g900 +g960 +tp18241 +a(g427 +Vcontent +p18242 +tp18243 +a(g900 +g960 +tp18244 +a(g737 +V%} +p18245 +tp18246 +a(g7 +VDefault Content +p18247 +tp18248 +a(g737 +V{% +p18249 +tp18250 +a(g900 +g960 +tp18251 +a(g818 +Vendblock +p18252 +tp18253 +a(g900 +g960 +tp18254 +a(g737 +V%} +p18255 +tp18256 +a(g7 +V\u000a\u000a +p18257 +tp18258 +a(g737 +V{% +p18259 +tp18260 +a(g900 +g960 +tp18261 +a(g818 +Vblock +p18262 +tp18263 +a(g900 +g960 +tp18264 +a(g427 +Vfooter +p18265 +tp18266 +a(g900 +g960 +tp18267 +a(g737 +V%} +p18268 +tp18269 +a(g7 +VDefault Footer +p18270 +tp18271 +a(g737 +V{% +p18272 +tp18273 +a(g900 +g960 +tp18274 +a(g818 +Vendblock +p18275 +tp18276 +a(g900 +g960 +tp18277 +a(g737 +V%} +p18278 +tp18279 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aIncluded Content\u000aDefault Footer\u000aFooter\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p18280 +tp18281 +a(g737 +V{% +p18282 +tp18283 +a(g900 +g960 +tp18284 +a(g818 +Vextends +p18285 +tp18286 +a(g900 +g960 +tp18287 +a(g143 +V"foo.twig" +p18288 +tp18289 +a(g900 +g960 +tp18290 +a(g737 +V%} +p18291 +tp18292 +a(g7 +V\u000a\u000a +p18293 +tp18294 +a(g737 +V{% +p18295 +tp18296 +a(g900 +g960 +tp18297 +a(g818 +Vblock +p18298 +tp18299 +a(g900 +g960 +tp18300 +a(g427 +Vcontent +p18301 +tp18302 +a(g900 +g960 +tp18303 +a(g737 +V%} +p18304 +tp18305 +a(g7 +V\u000a +p18306 +tp18307 +a(g737 +V{% +p18308 +tp18309 +a(g900 +g960 +tp18310 +a(g818 +Vblock +p18311 +tp18312 +a(g900 +g960 +tp18313 +a(g427 +Vinside +p18314 +tp18315 +a(g900 +g960 +tp18316 +a(g737 +V%} +p18317 +tp18318 +a(g7 +V\u000a INSIDE OVERRIDDEN\u000a +p18319 +tp18320 +a(g737 +V{% +p18321 +tp18322 +a(g900 +g960 +tp18323 +a(g818 +Vendblock +p18324 +tp18325 +a(g900 +g960 +tp18326 +a(g737 +V%} +p18327 +tp18328 +a(g7 +V\u000a\u000a BEFORE\u000a +p18329 +tp18330 +a(g737 +V{{ +p18331 +tp18332 +a(g900 +g960 +tp18333 +a(g668 +Vparent +p18334 +tp18335 +a(g399 +g1145 +tp18336 +a(g399 +g1149 +tp18337 +a(g900 +g960 +tp18338 +a(g737 +V}} +p18339 +tp18340 +a(g7 +V\u000a AFTER\u000a +p18341 +tp18342 +a(g737 +V{% +p18343 +tp18344 +a(g900 +g960 +tp18345 +a(g818 +Vendblock +p18346 +tp18347 +a(g900 +g960 +tp18348 +a(g737 +V%} +p18349 +tp18350 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p18351 +tp18352 +a(g737 +V{% +p18353 +tp18354 +a(g900 +g960 +tp18355 +a(g818 +Vblock +p18356 +tp18357 +a(g900 +g960 +tp18358 +a(g427 +Vcontent +p18359 +tp18360 +a(g900 +g960 +tp18361 +a(g737 +V%} +p18362 +tp18363 +a(g7 +V\u000a BAR\u000a +p18364 +tp18365 +a(g737 +V{% +p18366 +tp18367 +a(g900 +g960 +tp18368 +a(g818 +Vendblock +p18369 +tp18370 +a(g900 +g960 +tp18371 +a(g737 +V%} +p18372 +tp18373 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a\u000aINSIDE OVERRIDDEN\u000a \u000a BEFORE\u000a BAR\u000a\u000a AFTER\u000a--TEST--\u000a"extends" tag\u000a--TEMPLATE--\u000a +p18374 +tp18375 +a(g737 +V{% +p18376 +tp18377 +a(g900 +g960 +tp18378 +a(g818 +Vextends +p18379 +tp18380 +a(g900 +g960 +tp18381 +a(g143 +V"foo.twig" +p18382 +tp18383 +a(g900 +g960 +tp18384 +a(g737 +V%} +p18385 +tp18386 +a(g7 +V\u000a\u000a +p18387 +tp18388 +a(g737 +V{% +p18389 +tp18390 +a(g900 +g960 +tp18391 +a(g818 +Vblock +p18392 +tp18393 +a(g900 +g960 +tp18394 +a(g427 +Vcontent +p18395 +tp18396 +a(g900 +g960 +tp18397 +a(g737 +V%} +p18398 +tp18399 +a(g737 +V{{ +p18400 +tp18401 +a(g900 +g960 +tp18402 +a(g668 +Vparent +p18403 +tp18404 +a(g399 +g1145 +tp18405 +a(g399 +g1149 +tp18406 +a(g900 +g960 +tp18407 +a(g737 +V}} +p18408 +tp18409 +a(g7 +VFOO +p18410 +tp18411 +a(g737 +V{{ +p18412 +tp18413 +a(g900 +g960 +tp18414 +a(g668 +Vparent +p18415 +tp18416 +a(g399 +g1145 +tp18417 +a(g399 +g1149 +tp18418 +a(g900 +g960 +tp18419 +a(g737 +V}} +p18420 +tp18421 +a(g737 +V{% +p18422 +tp18423 +a(g900 +g960 +tp18424 +a(g818 +Vendblock +p18425 +tp18426 +a(g900 +g960 +tp18427 +a(g737 +V%} +p18428 +tp18429 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p18430 +tp18431 +a(g737 +V{% +p18432 +tp18433 +a(g900 +g960 +tp18434 +a(g818 +Vblock +p18435 +tp18436 +a(g900 +g960 +tp18437 +a(g427 +Vcontent +p18438 +tp18439 +a(g900 +g960 +tp18440 +a(g737 +V%} +p18441 +tp18442 +a(g7 +VBAR +p18443 +tp18444 +a(g737 +V{% +p18445 +tp18446 +a(g900 +g960 +tp18447 +a(g818 +Vendblock +p18448 +tp18449 +a(g900 +g960 +tp18450 +a(g737 +V%} +p18451 +tp18452 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aBARFOOBAR\u000a--TEST--\u000a"parent" tag\u000a--TEMPLATE--\u000a +p18453 +tp18454 +a(g737 +V{% +p18455 +tp18456 +a(g900 +g960 +tp18457 +a(g818 +Vuse +p18458 +tp18459 +a(g900 +g960 +tp18460 +a(g216 +V'foo.twig' +p18461 +tp18462 +a(g900 +g960 +tp18463 +a(g737 +V%} +p18464 +tp18465 +a(g7 +V\u000a\u000a +p18466 +tp18467 +a(g737 +V{% +p18468 +tp18469 +a(g900 +g960 +tp18470 +a(g818 +Vblock +p18471 +tp18472 +a(g900 +g960 +tp18473 +a(g427 +Vcontent +p18474 +tp18475 +a(g900 +g960 +tp18476 +a(g737 +V%} +p18477 +tp18478 +a(g7 +V\u000a +p18479 +tp18480 +a(g737 +V{{ +p18481 +tp18482 +a(g900 +g960 +tp18483 +a(g668 +Vparent +p18484 +tp18485 +a(g399 +g1145 +tp18486 +a(g399 +g1149 +tp18487 +a(g900 +g960 +tp18488 +a(g737 +V}} +p18489 +tp18490 +a(g7 +V\u000a +p18491 +tp18492 +a(g737 +V{% +p18493 +tp18494 +a(g900 +g960 +tp18495 +a(g818 +Vendblock +p18496 +tp18497 +a(g900 +g960 +tp18498 +a(g737 +V%} +p18499 +tp18500 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p18501 +tp18502 +a(g737 +V{% +p18503 +tp18504 +a(g900 +g960 +tp18505 +a(g818 +Vblock +p18506 +tp18507 +a(g900 +g960 +tp18508 +a(g427 +Vcontent +p18509 +tp18510 +a(g900 +g960 +tp18511 +a(g737 +V%} +p18512 +tp18513 +a(g7 +VBAR +p18514 +tp18515 +a(g737 +V{% +p18516 +tp18517 +a(g900 +g960 +tp18518 +a(g818 +Vendblock +p18519 +tp18520 +a(g900 +g960 +tp18521 +a(g737 +V%} +p18522 +tp18523 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aBAR\u000a--TEST--\u000a"parent" tag\u000a--TEMPLATE--\u000a +p18524 +tp18525 +a(g737 +V{% +p18526 +tp18527 +a(g900 +g960 +tp18528 +a(g818 +Vblock +p18529 +tp18530 +a(g900 +g960 +tp18531 +a(g427 +Vcontent +p18532 +tp18533 +a(g900 +g960 +tp18534 +a(g737 +V%} +p18535 +tp18536 +a(g7 +V\u000a +p18537 +tp18538 +a(g737 +V{{ +p18539 +tp18540 +a(g900 +g960 +tp18541 +a(g668 +Vparent +p18542 +tp18543 +a(g399 +g1145 +tp18544 +a(g399 +g1149 +tp18545 +a(g900 +g960 +tp18546 +a(g737 +V}} +p18547 +tp18548 +a(g7 +V\u000a +p18549 +tp18550 +a(g737 +V{% +p18551 +tp18552 +a(g900 +g960 +tp18553 +a(g818 +Vendblock +p18554 +tp18555 +a(g900 +g960 +tp18556 +a(g737 +V%} +p18557 +tp18558 +a(g7 +V\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Calling "parent" on a template that does not extend nor "use" another template is forbidden in "index.twig" at line 3\u000a--TEST--\u000a"extends" tag accepts Twig_Template instance\u000a--TEMPLATE--\u000a +p18559 +tp18560 +a(g737 +V{% +p18561 +tp18562 +a(g900 +g960 +tp18563 +a(g818 +Vextends +p18564 +tp18565 +a(g900 +g960 +tp18566 +a(g427 +Vfoo +p18567 +tp18568 +a(g900 +g960 +tp18569 +a(g737 +V%} +p18570 +tp18571 +a(g7 +V\u000a\u000a +p18572 +tp18573 +a(g737 +V{% +p18574 +tp18575 +a(g900 +g960 +tp18576 +a(g818 +Vblock +p18577 +tp18578 +a(g900 +g960 +tp18579 +a(g427 +Vcontent +p18580 +tp18581 +a(g900 +g960 +tp18582 +a(g737 +V%} +p18583 +tp18584 +a(g7 +V\u000a +p18585 +tp18586 +a(g737 +V{{ +p18587 +tp18588 +a(g900 +g960 +tp18589 +a(g668 +Vparent +p18590 +tp18591 +a(g399 +g1145 +tp18592 +a(g399 +g1149 +tp18593 +a(g900 +g960 +tp18594 +a(g737 +V}} +p18595 +tp18596 +a(g7 +VFOO\u000a +p18597 +tp18598 +a(g737 +V{% +p18599 +tp18600 +a(g900 +g960 +tp18601 +a(g818 +Vendblock +p18602 +tp18603 +a(g900 +g960 +tp18604 +a(g737 +V%} +p18605 +tp18606 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p18607 +tp18608 +a(g737 +V{% +p18609 +tp18610 +a(g900 +g960 +tp18611 +a(g818 +Vblock +p18612 +tp18613 +a(g900 +g960 +tp18614 +a(g427 +Vcontent +p18615 +tp18616 +a(g900 +g960 +tp18617 +a(g737 +V%} +p18618 +tp18619 +a(g7 +VBAR +p18620 +tp18621 +a(g737 +V{% +p18622 +tp18623 +a(g900 +g960 +tp18624 +a(g818 +Vendblock +p18625 +tp18626 +a(g900 +g960 +tp18627 +a(g737 +V%} +p18628 +tp18629 +a(g7 +V\u000a--DATA--\u000areturn array('foo' => $twig->loadTemplate('foo.twig'))\u000a--EXPECT--\u000aBARFOO\u000a--TEST--\u000a"parent" function\u000a--TEMPLATE--\u000a +p18630 +tp18631 +a(g737 +V{% +p18632 +tp18633 +a(g900 +g960 +tp18634 +a(g818 +Vextends +p18635 +tp18636 +a(g900 +g960 +tp18637 +a(g143 +V"parent.twig" +p18638 +tp18639 +a(g900 +g960 +tp18640 +a(g737 +V%} +p18641 +tp18642 +a(g7 +V\u000a\u000a +p18643 +tp18644 +a(g737 +V{% +p18645 +tp18646 +a(g900 +g960 +tp18647 +a(g818 +Vuse +p18648 +tp18649 +a(g900 +g960 +tp18650 +a(g143 +V"use1.twig" +p18651 +tp18652 +a(g900 +g960 +tp18653 +a(g737 +V%} +p18654 +tp18655 +a(g7 +V\u000a +p18656 +tp18657 +a(g737 +V{% +p18658 +tp18659 +a(g900 +g960 +tp18660 +a(g818 +Vuse +p18661 +tp18662 +a(g900 +g960 +tp18663 +a(g143 +V"use2.twig" +p18664 +tp18665 +a(g900 +g960 +tp18666 +a(g737 +V%} +p18667 +tp18668 +a(g7 +V\u000a\u000a +p18669 +tp18670 +a(g737 +V{% +p18671 +tp18672 +a(g900 +g960 +tp18673 +a(g818 +Vblock +p18674 +tp18675 +a(g900 +g960 +tp18676 +a(g427 +Vcontent_parent +p18677 +tp18678 +a(g900 +g960 +tp18679 +a(g737 +V%} +p18680 +tp18681 +a(g7 +V\u000a +p18682 +tp18683 +a(g737 +V{{ +p18684 +tp18685 +a(g900 +g960 +tp18686 +a(g668 +Vparent +p18687 +tp18688 +a(g399 +g1145 +tp18689 +a(g399 +g1149 +tp18690 +a(g900 +g960 +tp18691 +a(g737 +V}} +p18692 +tp18693 +a(g7 +V\u000a +p18694 +tp18695 +a(g737 +V{% +p18696 +tp18697 +a(g900 +g960 +tp18698 +a(g818 +Vendblock +p18699 +tp18700 +a(g900 +g960 +tp18701 +a(g737 +V%} +p18702 +tp18703 +a(g7 +V\u000a\u000a +p18704 +tp18705 +a(g737 +V{% +p18706 +tp18707 +a(g900 +g960 +tp18708 +a(g818 +Vblock +p18709 +tp18710 +a(g900 +g960 +tp18711 +a(g427 +Vcontent_use1 +p18712 +tp18713 +a(g900 +g960 +tp18714 +a(g737 +V%} +p18715 +tp18716 +a(g7 +V\u000a +p18717 +tp18718 +a(g737 +V{{ +p18719 +tp18720 +a(g900 +g960 +tp18721 +a(g668 +Vparent +p18722 +tp18723 +a(g399 +g1145 +tp18724 +a(g399 +g1149 +tp18725 +a(g900 +g960 +tp18726 +a(g737 +V}} +p18727 +tp18728 +a(g7 +V\u000a +p18729 +tp18730 +a(g737 +V{% +p18731 +tp18732 +a(g900 +g960 +tp18733 +a(g818 +Vendblock +p18734 +tp18735 +a(g900 +g960 +tp18736 +a(g737 +V%} +p18737 +tp18738 +a(g7 +V\u000a\u000a +p18739 +tp18740 +a(g737 +V{% +p18741 +tp18742 +a(g900 +g960 +tp18743 +a(g818 +Vblock +p18744 +tp18745 +a(g900 +g960 +tp18746 +a(g427 +Vcontent_use2 +p18747 +tp18748 +a(g900 +g960 +tp18749 +a(g737 +V%} +p18750 +tp18751 +a(g7 +V\u000a +p18752 +tp18753 +a(g737 +V{{ +p18754 +tp18755 +a(g900 +g960 +tp18756 +a(g668 +Vparent +p18757 +tp18758 +a(g399 +g1145 +tp18759 +a(g399 +g1149 +tp18760 +a(g900 +g960 +tp18761 +a(g737 +V}} +p18762 +tp18763 +a(g7 +V\u000a +p18764 +tp18765 +a(g737 +V{% +p18766 +tp18767 +a(g900 +g960 +tp18768 +a(g818 +Vendblock +p18769 +tp18770 +a(g900 +g960 +tp18771 +a(g737 +V%} +p18772 +tp18773 +a(g7 +V\u000a\u000a +p18774 +tp18775 +a(g737 +V{% +p18776 +tp18777 +a(g900 +g960 +tp18778 +a(g818 +Vblock +p18779 +tp18780 +a(g900 +g960 +tp18781 +a(g427 +Vcontent +p18782 +tp18783 +a(g900 +g960 +tp18784 +a(g737 +V%} +p18785 +tp18786 +a(g7 +V\u000a +p18787 +tp18788 +a(g737 +V{{ +p18789 +tp18790 +a(g900 +g960 +tp18791 +a(g668 +Vblock +p18792 +tp18793 +a(g399 +g1145 +tp18794 +a(g216 +V'content_use1_only' +p18795 +tp18796 +a(g399 +g1149 +tp18797 +a(g900 +g960 +tp18798 +a(g737 +V}} +p18799 +tp18800 +a(g7 +V\u000a +p18801 +tp18802 +a(g737 +V{{ +p18803 +tp18804 +a(g900 +g960 +tp18805 +a(g668 +Vblock +p18806 +tp18807 +a(g399 +g1145 +tp18808 +a(g216 +V'content_use2_only' +p18809 +tp18810 +a(g399 +g1149 +tp18811 +a(g900 +g960 +tp18812 +a(g737 +V}} +p18813 +tp18814 +a(g7 +V\u000a +p18815 +tp18816 +a(g737 +V{% +p18817 +tp18818 +a(g900 +g960 +tp18819 +a(g818 +Vendblock +p18820 +tp18821 +a(g900 +g960 +tp18822 +a(g737 +V%} +p18823 +tp18824 +a(g7 +V\u000a--TEMPLATE(parent.twig)--\u000a +p18825 +tp18826 +a(g737 +V{% +p18827 +tp18828 +a(g900 +g960 +tp18829 +a(g818 +Vblock +p18830 +tp18831 +a(g900 +g960 +tp18832 +a(g427 +Vcontent_parent +p18833 +tp18834 +a(g900 +g960 +tp18835 +a(g216 +V'content_parent' +p18836 +tp18837 +a(g900 +g960 +tp18838 +a(g737 +V%} +p18839 +tp18840 +a(g7 +V\u000a +p18841 +tp18842 +a(g737 +V{% +p18843 +tp18844 +a(g900 +g960 +tp18845 +a(g818 +Vblock +p18846 +tp18847 +a(g900 +g960 +tp18848 +a(g427 +Vcontent_use1 +p18849 +tp18850 +a(g900 +g960 +tp18851 +a(g216 +V'content_parent' +p18852 +tp18853 +a(g900 +g960 +tp18854 +a(g737 +V%} +p18855 +tp18856 +a(g7 +V\u000a +p18857 +tp18858 +a(g737 +V{% +p18859 +tp18860 +a(g900 +g960 +tp18861 +a(g818 +Vblock +p18862 +tp18863 +a(g900 +g960 +tp18864 +a(g427 +Vcontent_use2 +p18865 +tp18866 +a(g900 +g960 +tp18867 +a(g216 +V'content_parent' +p18868 +tp18869 +a(g900 +g960 +tp18870 +a(g737 +V%} +p18871 +tp18872 +a(g7 +V\u000a +p18873 +tp18874 +a(g737 +V{% +p18875 +tp18876 +a(g900 +g960 +tp18877 +a(g818 +Vblock +p18878 +tp18879 +a(g900 +g960 +tp18880 +a(g427 +Vcontent +p18881 +tp18882 +a(g900 +g960 +tp18883 +a(g216 +V'' +p18884 +tp18885 +a(g900 +g960 +tp18886 +a(g737 +V%} +p18887 +tp18888 +a(g7 +V\u000a--TEMPLATE(use1.twig)--\u000a +p18889 +tp18890 +a(g737 +V{% +p18891 +tp18892 +a(g900 +g960 +tp18893 +a(g818 +Vblock +p18894 +tp18895 +a(g900 +g960 +tp18896 +a(g427 +Vcontent_use1 +p18897 +tp18898 +a(g900 +g960 +tp18899 +a(g216 +V'content_use1' +p18900 +tp18901 +a(g900 +g960 +tp18902 +a(g737 +V%} +p18903 +tp18904 +a(g7 +V\u000a +p18905 +tp18906 +a(g737 +V{% +p18907 +tp18908 +a(g900 +g960 +tp18909 +a(g818 +Vblock +p18910 +tp18911 +a(g900 +g960 +tp18912 +a(g427 +Vcontent_use2 +p18913 +tp18914 +a(g900 +g960 +tp18915 +a(g216 +V'content_use1' +p18916 +tp18917 +a(g900 +g960 +tp18918 +a(g737 +V%} +p18919 +tp18920 +a(g7 +V\u000a +p18921 +tp18922 +a(g737 +V{% +p18923 +tp18924 +a(g900 +g960 +tp18925 +a(g818 +Vblock +p18926 +tp18927 +a(g900 +g960 +tp18928 +a(g427 +Vcontent_use1_only +p18929 +tp18930 +a(g900 +g960 +tp18931 +a(g216 +V'content_use1_only' +p18932 +tp18933 +a(g900 +g960 +tp18934 +a(g737 +V%} +p18935 +tp18936 +a(g7 +V\u000a--TEMPLATE(use2.twig)--\u000a +p18937 +tp18938 +a(g737 +V{% +p18939 +tp18940 +a(g900 +g960 +tp18941 +a(g818 +Vblock +p18942 +tp18943 +a(g900 +g960 +tp18944 +a(g427 +Vcontent_use2 +p18945 +tp18946 +a(g900 +g960 +tp18947 +a(g216 +V'content_use2' +p18948 +tp18949 +a(g900 +g960 +tp18950 +a(g737 +V%} +p18951 +tp18952 +a(g7 +V\u000a +p18953 +tp18954 +a(g737 +V{% +p18955 +tp18956 +a(g900 +g960 +tp18957 +a(g818 +Vblock +p18958 +tp18959 +a(g900 +g960 +tp18960 +a(g427 +Vcontent_use2_only +p18961 +tp18962 +a(g900 +g960 +tp18963 +a(g216 +V'content_use2_only' +p18964 +tp18965 +a(g900 +g960 +tp18966 +a(g737 +V%} +p18967 +tp18968 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a content_parent\u000a content_use1\u000a content_use2\u000a content_use1_only\u000a content_use2_only\u000a--TEST--\u000a"macro" tag\u000a--TEMPLATE--\u000a +p18969 +tp18970 +a(g737 +V{% +p18971 +tp18972 +a(g900 +g960 +tp18973 +a(g818 +Vimport +p18974 +tp18975 +a(g900 +g960 +tp18976 +a(g427 +V_self +p18977 +tp18978 +a(g900 +g960 +tp18979 +a(g427 +Vas +p18980 +tp18981 +a(g900 +g960 +tp18982 +a(g427 +Vmacros +p18983 +tp18984 +a(g900 +g960 +tp18985 +a(g737 +V%} +p18986 +tp18987 +a(g7 +V\u000a\u000a +p18988 +tp18989 +a(g737 +V{{ +p18990 +tp18991 +a(g900 +g960 +tp18992 +a(g427 +Vmacros +p18993 +tp18994 +a(g427 +V.input +p18995 +tp18996 +a(g399 +g1145 +tp18997 +a(g216 +V'username' +p18998 +tp18999 +a(g399 +g1149 +tp19000 +a(g900 +g960 +tp19001 +a(g737 +V}} +p19002 +tp19003 +a(g7 +V\u000a +p19004 +tp19005 +a(g737 +V{{ +p19006 +tp19007 +a(g900 +g960 +tp19008 +a(g427 +Vmacros +p19009 +tp19010 +a(g427 +V.input +p19011 +tp19012 +a(g399 +g1145 +tp19013 +a(g216 +V'password' +p19014 +tp19015 +a(g399 +g1162 +tp19016 +a(g900 +g960 +tp19017 +a(g859 +Vnull +p19018 +tp19019 +a(g399 +g1162 +tp19020 +a(g900 +g960 +tp19021 +a(g216 +V'password' +p19022 +tp19023 +a(g399 +g1162 +tp19024 +a(g900 +g960 +tp19025 +a(g17 +g1160 +tp19026 +a(g399 +g1149 +tp19027 +a(g900 +g960 +tp19028 +a(g737 +V}} +p19029 +tp19030 +a(g7 +V\u000a\u000a +p19031 +tp19032 +a(g737 +V{% +p19033 +tp19034 +a(g900 +g960 +tp19035 +a(g818 +Vmacro +p19036 +tp19037 +a(g900 +g960 +tp19038 +a(g427 +Vinput +p19039 +tp19040 +a(g399 +g1145 +tp19041 +a(g427 +Vname +p19042 +tp19043 +a(g399 +g1162 +tp19044 +a(g900 +g960 +tp19045 +a(g427 +Vvalue +p19046 +tp19047 +a(g399 +g1162 +tp19048 +a(g900 +g960 +tp19049 +a(g427 +Vtype +p19050 +tp19051 +a(g399 +g1162 +tp19052 +a(g900 +g960 +tp19053 +a(g427 +Vsize +p19054 +tp19055 +a(g399 +g1149 +tp19056 +a(g900 +g960 +tp19057 +a(g737 +V%} +p19058 +tp19059 +a(g7 +V\u000a \u000a +p19123 +tp19124 +a(g737 +V{% +p19125 +tp19126 +a(g900 +g960 +tp19127 +a(g818 +Vendmacro +p19128 +tp19129 +a(g900 +g960 +tp19130 +a(g737 +V%} +p19131 +tp19132 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a \u000a\u000a \u000a--TEST--\u000a"macro" tag supports name for endmacro\u000a--TEMPLATE--\u000a +p19133 +tp19134 +a(g737 +V{% +p19135 +tp19136 +a(g900 +g960 +tp19137 +a(g818 +Vimport +p19138 +tp19139 +a(g900 +g960 +tp19140 +a(g427 +V_self +p19141 +tp19142 +a(g900 +g960 +tp19143 +a(g427 +Vas +p19144 +tp19145 +a(g900 +g960 +tp19146 +a(g427 +Vmacros +p19147 +tp19148 +a(g900 +g960 +tp19149 +a(g737 +V%} +p19150 +tp19151 +a(g7 +V\u000a\u000a +p19152 +tp19153 +a(g737 +V{{ +p19154 +tp19155 +a(g900 +g960 +tp19156 +a(g427 +Vmacros +p19157 +tp19158 +a(g427 +V.foo +p19159 +tp19160 +a(g399 +g1145 +tp19161 +a(g399 +g1149 +tp19162 +a(g900 +g960 +tp19163 +a(g737 +V}} +p19164 +tp19165 +a(g7 +V\u000a +p19166 +tp19167 +a(g737 +V{{ +p19168 +tp19169 +a(g900 +g960 +tp19170 +a(g427 +Vmacros +p19171 +tp19172 +a(g427 +V.bar +p19173 +tp19174 +a(g399 +g1145 +tp19175 +a(g399 +g1149 +tp19176 +a(g900 +g960 +tp19177 +a(g737 +V}} +p19178 +tp19179 +a(g7 +V\u000a\u000a +p19180 +tp19181 +a(g737 +V{% +p19182 +tp19183 +a(g900 +g960 +tp19184 +a(g818 +Vmacro +p19185 +tp19186 +a(g900 +g960 +tp19187 +a(g427 +Vfoo +p19188 +tp19189 +a(g399 +g1145 +tp19190 +a(g399 +g1149 +tp19191 +a(g900 +g960 +tp19192 +a(g737 +V%} +p19193 +tp19194 +a(g7 +Vfoo +p19195 +tp19196 +a(g737 +V{% +p19197 +tp19198 +a(g900 +g960 +tp19199 +a(g818 +Vendmacro +p19200 +tp19201 +a(g900 +g960 +tp19202 +a(g737 +V%} +p19203 +tp19204 +a(g7 +V\u000a +p19205 +tp19206 +a(g737 +V{% +p19207 +tp19208 +a(g900 +g960 +tp19209 +a(g818 +Vmacro +p19210 +tp19211 +a(g900 +g960 +tp19212 +a(g427 +Vbar +p19213 +tp19214 +a(g399 +g1145 +tp19215 +a(g399 +g1149 +tp19216 +a(g900 +g960 +tp19217 +a(g737 +V%} +p19218 +tp19219 +a(g7 +Vbar +p19220 +tp19221 +a(g737 +V{% +p19222 +tp19223 +a(g900 +g960 +tp19224 +a(g818 +Vendmacro +p19225 +tp19226 +a(g900 +g960 +tp19227 +a(g427 +Vbar +p19228 +tp19229 +a(g900 +g960 +tp19230 +a(g737 +V%} +p19231 +tp19232 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000abar\u000a\u000a--TEST--\u000a"macro" tag\u000a--TEMPLATE--\u000a +p19233 +tp19234 +a(g737 +V{% +p19235 +tp19236 +a(g900 +g960 +tp19237 +a(g818 +Vimport +p19238 +tp19239 +a(g900 +g960 +tp19240 +a(g216 +V'forms.twig' +p19241 +tp19242 +a(g900 +g960 +tp19243 +a(g427 +Vas +p19244 +tp19245 +a(g900 +g960 +tp19246 +a(g427 +Vforms +p19247 +tp19248 +a(g900 +g960 +tp19249 +a(g737 +V%} +p19250 +tp19251 +a(g7 +V\u000a\u000a +p19252 +tp19253 +a(g737 +V{{ +p19254 +tp19255 +a(g900 +g960 +tp19256 +a(g427 +Vforms +p19257 +tp19258 +a(g427 +V.input +p19259 +tp19260 +a(g399 +g1145 +tp19261 +a(g216 +V'username' +p19262 +tp19263 +a(g399 +g1149 +tp19264 +a(g900 +g960 +tp19265 +a(g737 +V}} +p19266 +tp19267 +a(g7 +V\u000a +p19268 +tp19269 +a(g737 +V{{ +p19270 +tp19271 +a(g900 +g960 +tp19272 +a(g427 +Vforms +p19273 +tp19274 +a(g427 +V.input +p19275 +tp19276 +a(g399 +g1145 +tp19277 +a(g216 +V'password' +p19278 +tp19279 +a(g399 +g1162 +tp19280 +a(g900 +g960 +tp19281 +a(g859 +Vnull +p19282 +tp19283 +a(g399 +g1162 +tp19284 +a(g900 +g960 +tp19285 +a(g216 +V'password' +p19286 +tp19287 +a(g399 +g1162 +tp19288 +a(g900 +g960 +tp19289 +a(g17 +g1160 +tp19290 +a(g399 +g1149 +tp19291 +a(g900 +g960 +tp19292 +a(g737 +V}} +p19293 +tp19294 +a(g7 +V\u000a--TEMPLATE(forms.twig)--\u000a +p19295 +tp19296 +a(g737 +V{% +p19297 +tp19298 +a(g900 +g960 +tp19299 +a(g818 +Vmacro +p19300 +tp19301 +a(g900 +g960 +tp19302 +a(g427 +Vinput +p19303 +tp19304 +a(g399 +g1145 +tp19305 +a(g427 +Vname +p19306 +tp19307 +a(g399 +g1162 +tp19308 +a(g900 +g960 +tp19309 +a(g427 +Vvalue +p19310 +tp19311 +a(g399 +g1162 +tp19312 +a(g900 +g960 +tp19313 +a(g427 +Vtype +p19314 +tp19315 +a(g399 +g1162 +tp19316 +a(g900 +g960 +tp19317 +a(g427 +Vsize +p19318 +tp19319 +a(g399 +g1149 +tp19320 +a(g900 +g960 +tp19321 +a(g737 +V%} +p19322 +tp19323 +a(g7 +V\u000a \u000a +p19387 +tp19388 +a(g737 +V{% +p19389 +tp19390 +a(g900 +g960 +tp19391 +a(g818 +Vendmacro +p19392 +tp19393 +a(g900 +g960 +tp19394 +a(g737 +V%} +p19395 +tp19396 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a \u000a\u000a \u000a--TEST--\u000a"macro" tag\u000a--TEMPLATE--\u000a +p19397 +tp19398 +a(g737 +V{% +p19399 +tp19400 +a(g900 +g960 +tp19401 +a(g818 +Vfrom +p19402 +tp19403 +a(g900 +g960 +tp19404 +a(g216 +V'forms.twig' +p19405 +tp19406 +a(g900 +g960 +tp19407 +a(g427 +Vimport +p19408 +tp19409 +a(g900 +g960 +tp19410 +a(g427 +Vfoo +p19411 +tp19412 +a(g900 +g960 +tp19413 +a(g737 +V%} +p19414 +tp19415 +a(g7 +V\u000a +p19416 +tp19417 +a(g737 +V{% +p19418 +tp19419 +a(g900 +g960 +tp19420 +a(g818 +Vfrom +p19421 +tp19422 +a(g900 +g960 +tp19423 +a(g216 +V'forms.twig' +p19424 +tp19425 +a(g900 +g960 +tp19426 +a(g427 +Vimport +p19427 +tp19428 +a(g900 +g960 +tp19429 +a(g427 +Vfoo +p19430 +tp19431 +a(g900 +g960 +tp19432 +a(g427 +Vas +p19433 +tp19434 +a(g900 +g960 +tp19435 +a(g427 +Vfoobar +p19436 +tp19437 +a(g399 +g1162 +tp19438 +a(g900 +g960 +tp19439 +a(g427 +Vbar +p19440 +tp19441 +a(g900 +g960 +tp19442 +a(g737 +V%} +p19443 +tp19444 +a(g7 +V\u000a\u000a +p19445 +tp19446 +a(g737 +V{{ +p19447 +tp19448 +a(g900 +g960 +tp19449 +a(g427 +Vfoo +p19450 +tp19451 +a(g399 +g1145 +tp19452 +a(g216 +V'foo' +p19453 +tp19454 +a(g399 +g1149 +tp19455 +a(g900 +g960 +tp19456 +a(g737 +V}} +p19457 +tp19458 +a(g7 +V\u000a +p19459 +tp19460 +a(g737 +V{{ +p19461 +tp19462 +a(g900 +g960 +tp19463 +a(g427 +Vfoobar +p19464 +tp19465 +a(g399 +g1145 +tp19466 +a(g216 +V'foo' +p19467 +tp19468 +a(g399 +g1149 +tp19469 +a(g900 +g960 +tp19470 +a(g737 +V}} +p19471 +tp19472 +a(g7 +V\u000a +p19473 +tp19474 +a(g737 +V{{ +p19475 +tp19476 +a(g900 +g960 +tp19477 +a(g427 +Vbar +p19478 +tp19479 +a(g399 +g1145 +tp19480 +a(g216 +V'foo' +p19481 +tp19482 +a(g399 +g1149 +tp19483 +a(g900 +g960 +tp19484 +a(g737 +V}} +p19485 +tp19486 +a(g7 +V\u000a--TEMPLATE(forms.twig)--\u000a +p19487 +tp19488 +a(g737 +V{% +p19489 +tp19490 +a(g900 +g960 +tp19491 +a(g818 +Vmacro +p19492 +tp19493 +a(g900 +g960 +tp19494 +a(g427 +Vfoo +p19495 +tp19496 +a(g399 +g1145 +tp19497 +a(g427 +Vname +p19498 +tp19499 +a(g399 +g1149 +tp19500 +a(g900 +g960 +tp19501 +a(g737 +V%} +p19502 +tp19503 +a(g7 +Vfoo +p19504 +tp19505 +a(g737 +V{{ +p19506 +tp19507 +a(g900 +g960 +tp19508 +a(g427 +Vname +p19509 +tp19510 +a(g900 +g960 +tp19511 +a(g737 +V}} +p19512 +tp19513 +a(g737 +V{% +p19514 +tp19515 +a(g900 +g960 +tp19516 +a(g818 +Vendmacro +p19517 +tp19518 +a(g900 +g960 +tp19519 +a(g737 +V%} +p19520 +tp19521 +a(g7 +V\u000a +p19522 +tp19523 +a(g737 +V{% +p19524 +tp19525 +a(g900 +g960 +tp19526 +a(g818 +Vmacro +p19527 +tp19528 +a(g900 +g960 +tp19529 +a(g427 +Vbar +p19530 +tp19531 +a(g399 +g1145 +tp19532 +a(g427 +Vname +p19533 +tp19534 +a(g399 +g1149 +tp19535 +a(g900 +g960 +tp19536 +a(g737 +V%} +p19537 +tp19538 +a(g7 +Vbar +p19539 +tp19540 +a(g737 +V{{ +p19541 +tp19542 +a(g900 +g960 +tp19543 +a(g427 +Vname +p19544 +tp19545 +a(g900 +g960 +tp19546 +a(g737 +V}} +p19547 +tp19548 +a(g737 +V{% +p19549 +tp19550 +a(g900 +g960 +tp19551 +a(g818 +Vendmacro +p19552 +tp19553 +a(g900 +g960 +tp19554 +a(g737 +V%} +p19555 +tp19556 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoofoo\u000afoofoo\u000abarfoo\u000a--TEST--\u000a"macro" tag\u000a--TEMPLATE--\u000a +p19557 +tp19558 +a(g737 +V{% +p19559 +tp19560 +a(g900 +g960 +tp19561 +a(g818 +Vfrom +p19562 +tp19563 +a(g900 +g960 +tp19564 +a(g216 +V'forms.twig' +p19565 +tp19566 +a(g900 +g960 +tp19567 +a(g427 +Vimport +p19568 +tp19569 +a(g900 +g960 +tp19570 +a(g427 +Vfoo +p19571 +tp19572 +a(g900 +g960 +tp19573 +a(g737 +V%} +p19574 +tp19575 +a(g7 +V\u000a\u000a +p19576 +tp19577 +a(g737 +V{{ +p19578 +tp19579 +a(g900 +g960 +tp19580 +a(g427 +Vfoo +p19581 +tp19582 +a(g399 +g1145 +tp19583 +a(g216 +V'foo' +p19584 +tp19585 +a(g399 +g1149 +tp19586 +a(g900 +g960 +tp19587 +a(g737 +V}} +p19588 +tp19589 +a(g7 +V\u000a +p19590 +tp19591 +a(g737 +V{{ +p19592 +tp19593 +a(g900 +g960 +tp19594 +a(g427 +Vfoo +p19595 +tp19596 +a(g399 +g1145 +tp19597 +a(g399 +g1149 +tp19598 +a(g900 +g960 +tp19599 +a(g737 +V}} +p19600 +tp19601 +a(g7 +V\u000a--TEMPLATE(forms.twig)--\u000a +p19602 +tp19603 +a(g737 +V{% +p19604 +tp19605 +a(g900 +g960 +tp19606 +a(g818 +Vmacro +p19607 +tp19608 +a(g900 +g960 +tp19609 +a(g427 +Vfoo +p19610 +tp19611 +a(g399 +g1145 +tp19612 +a(g427 +Vname +p19613 +tp19614 +a(g399 +g1149 +tp19615 +a(g900 +g960 +tp19616 +a(g737 +V%} +p19617 +tp19618 +a(g737 +V{{ +p19619 +tp19620 +a(g900 +g960 +tp19621 +a(g427 +Vname +p19622 +tp19623 +a(g399 +g1141 +tp19624 +a(g560 +Vdefault +p19625 +tp19626 +a(g399 +g1145 +tp19627 +a(g216 +V'foo' +p19628 +tp19629 +a(g399 +g1149 +tp19630 +a(g900 +g960 +tp19631 +a(g737 +V}} +p19632 +tp19633 +a(g737 +V{{ +p19634 +tp19635 +a(g900 +g960 +tp19636 +a(g427 +Vglobal +p19637 +tp19638 +a(g900 +g960 +tp19639 +a(g737 +V}} +p19640 +tp19641 +a(g737 +V{% +p19642 +tp19643 +a(g900 +g960 +tp19644 +a(g818 +Vendmacro +p19645 +tp19646 +a(g900 +g960 +tp19647 +a(g737 +V%} +p19648 +tp19649 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afooglobal\u000afooglobal\u000a--TEST--\u000a"macro" tag\u000a--TEMPLATE--\u000a +p19650 +tp19651 +a(g737 +V{% +p19652 +tp19653 +a(g900 +g960 +tp19654 +a(g818 +Vimport +p19655 +tp19656 +a(g900 +g960 +tp19657 +a(g427 +V_self +p19658 +tp19659 +a(g900 +g960 +tp19660 +a(g427 +Vas +p19661 +tp19662 +a(g900 +g960 +tp19663 +a(g427 +Vforms +p19664 +tp19665 +a(g900 +g960 +tp19666 +a(g737 +V%} +p19667 +tp19668 +a(g7 +V\u000a\u000a +p19669 +tp19670 +a(g737 +V{{ +p19671 +tp19672 +a(g900 +g960 +tp19673 +a(g427 +Vforms +p19674 +tp19675 +a(g427 +V.input +p19676 +tp19677 +a(g399 +g1145 +tp19678 +a(g216 +V'username' +p19679 +tp19680 +a(g399 +g1149 +tp19681 +a(g900 +g960 +tp19682 +a(g737 +V}} +p19683 +tp19684 +a(g7 +V\u000a +p19685 +tp19686 +a(g737 +V{{ +p19687 +tp19688 +a(g900 +g960 +tp19689 +a(g427 +Vforms +p19690 +tp19691 +a(g427 +V.input +p19692 +tp19693 +a(g399 +g1145 +tp19694 +a(g216 +V'password' +p19695 +tp19696 +a(g399 +g1162 +tp19697 +a(g900 +g960 +tp19698 +a(g859 +Vnull +p19699 +tp19700 +a(g399 +g1162 +tp19701 +a(g900 +g960 +tp19702 +a(g216 +V'password' +p19703 +tp19704 +a(g399 +g1162 +tp19705 +a(g900 +g960 +tp19706 +a(g17 +g1160 +tp19707 +a(g399 +g1149 +tp19708 +a(g900 +g960 +tp19709 +a(g737 +V}} +p19710 +tp19711 +a(g7 +V\u000a\u000a +p19712 +tp19713 +a(g737 +V{% +p19714 +tp19715 +a(g900 +g960 +tp19716 +a(g818 +Vmacro +p19717 +tp19718 +a(g900 +g960 +tp19719 +a(g427 +Vinput +p19720 +tp19721 +a(g399 +g1145 +tp19722 +a(g427 +Vname +p19723 +tp19724 +a(g399 +g1162 +tp19725 +a(g900 +g960 +tp19726 +a(g427 +Vvalue +p19727 +tp19728 +a(g399 +g1162 +tp19729 +a(g900 +g960 +tp19730 +a(g427 +Vtype +p19731 +tp19732 +a(g399 +g1162 +tp19733 +a(g900 +g960 +tp19734 +a(g427 +Vsize +p19735 +tp19736 +a(g399 +g1149 +tp19737 +a(g900 +g960 +tp19738 +a(g737 +V%} +p19739 +tp19740 +a(g7 +V\u000a \u000a +p19804 +tp19805 +a(g737 +V{% +p19806 +tp19807 +a(g900 +g960 +tp19808 +a(g818 +Vendmacro +p19809 +tp19810 +a(g900 +g960 +tp19811 +a(g737 +V%} +p19812 +tp19813 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a \u000a\u000a \u000a--TEST--\u000a"raw" tag\u000a--TEMPLATE--\u000a +p19814 +tp19815 +a(g737 +V{% +p19816 +tp19817 +a(g900 +g960 +tp19818 +a(g818 +Vraw +p19819 +tp19820 +a(g900 +g960 +tp19821 +a(g737 +V%} +p19822 +tp19823 +a(g7 +V\u000a{{ foo }}\u000a +p19824 +tp19825 +a(g737 +V{% +p19826 +tp19827 +a(g900 +g960 +tp19828 +a(g818 +Vendraw +p19829 +tp19830 +a(g900 +g960 +tp19831 +a(g737 +V%} +p19832 +tp19833 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a +p19834 +tp19835 +a(g737 +V{{ +p19836 +tp19837 +a(g900 +g960 +tp19838 +a(g427 +Vfoo +p19839 +tp19840 +a(g900 +g960 +tp19841 +a(g737 +V}} +p19842 +tp19843 +a(g7 +V\u000a--TEST--\u000a"raw" tag\u000a--TEMPLATE--\u000a +p19844 +tp19845 +a(g737 +V{% +p19846 +tp19847 +a(g900 +g960 +tp19848 +a(g818 +Vraw +p19849 +tp19850 +a(g900 +g960 +tp19851 +a(g737 +V%} +p19852 +tp19853 +a(g7 +V\u000a{{ foo }}\u000a{% endverbatim %}\u000a--DATA--\u000areturn array()\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Unexpected end of file: Unclosed "raw" block in "index.twig" at line 2\u000a--TEST--\u000a"raw" tag\u000a--TEMPLATE--\u000a1***\u000a\u000a{%- raw %}\u000a {{ 'bla' }}\u000a +p19854 +tp19855 +a(g737 +V{% +p19856 +tp19857 +a(g900 +g960 +tp19858 +a(g818 +Vendraw +p19859 +tp19860 +a(g900 +g960 +tp19861 +a(g737 +V%} +p19862 +tp19863 +a(g7 +V\u000a\u000a1***\u000a2***\u000a\u000a +p19864 +tp19865 +a(g737 +V{% +p19866 +tp19867 +a(g900 +V- +p19868 +tp19869 +a(g818 +Vraw +p19870 +tp19871 +a(g900 +V - +p19872 +tp19873 +a(g737 +V%} +p19874 +tp19875 +a(g7 +V\u000a {{ 'bla' }}\u000a +p19876 +tp19877 +a(g737 +V{% +p19878 +tp19879 +a(g900 +g960 +tp19880 +a(g818 +Vendraw +p19881 +tp19882 +a(g900 +g960 +tp19883 +a(g737 +V%} +p19884 +tp19885 +a(g7 +V\u000a\u000a2***\u000a3***\u000a\u000a +p19886 +tp19887 +a(g737 +V{% +p19888 +tp19889 +a(g900 +V- +p19890 +tp19891 +a(g818 +Vraw +p19892 +tp19893 +a(g900 +V - +p19894 +tp19895 +a(g737 +V%} +p19896 +tp19897 +a(g7 +V\u000a {{ 'bla' }}\u000a +p19898 +tp19899 +a(g737 +V{% +p19900 +tp19901 +a(g900 +g960 +tp19902 +a(g818 +Vendraw +p19903 +tp19904 +a(g900 +V - +p19905 +tp19906 +a(g737 +V%} +p19907 +tp19908 +a(g7 +V\u000a\u000a3***\u000a4***\u000a\u000a +p19909 +tp19910 +a(g737 +V{% +p19911 +tp19912 +a(g900 +V- +p19913 +tp19914 +a(g818 +Vraw +p19915 +tp19916 +a(g900 +V - +p19917 +tp19918 +a(g737 +V%} +p19919 +tp19920 +a(g7 +V\u000a {{ 'bla' }}\u000a +p19921 +tp19922 +a(g737 +V{% +p19923 +tp19924 +a(g900 +V- +p19925 +tp19926 +a(g818 +Vendraw +p19927 +tp19928 +a(g900 +g960 +tp19929 +a(g737 +V%} +p19930 +tp19931 +a(g7 +V\u000a\u000a4***\u000a5***\u000a\u000a +p19932 +tp19933 +a(g737 +V{% +p19934 +tp19935 +a(g900 +V- +p19936 +tp19937 +a(g818 +Vraw +p19938 +tp19939 +a(g900 +V - +p19940 +tp19941 +a(g737 +V%} +p19942 +tp19943 +a(g7 +V\u000a {{ 'bla' }}\u000a +p19944 +tp19945 +a(g737 +V{% +p19946 +tp19947 +a(g900 +V- +p19948 +tp19949 +a(g818 +Vendraw +p19950 +tp19951 +a(g900 +V - +p19952 +tp19953 +a(g737 +V%} +p19954 +tp19955 +a(g7 +V\u000a\u000a5***\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a1***\u000a +p19956 +tp19957 +a(g737 +V{{ +p19958 +tp19959 +a(g900 +g960 +tp19960 +a(g216 +V'bla' +p19961 +tp19962 +a(g900 +g960 +tp19963 +a(g737 +V}} +p19964 +tp19965 +a(g7 +V\u000a\u000a\u000a1***\u000a2*** +p19966 +tp19967 +a(g737 +V{{ +p19968 +tp19969 +a(g900 +g960 +tp19970 +a(g216 +V'bla' +p19971 +tp19972 +a(g900 +g960 +tp19973 +a(g737 +V}} +p19974 +tp19975 +a(g7 +V\u000a\u000a\u000a2***\u000a3*** +p19976 +tp19977 +a(g737 +V{{ +p19978 +tp19979 +a(g900 +g960 +tp19980 +a(g216 +V'bla' +p19981 +tp19982 +a(g900 +g960 +tp19983 +a(g737 +V}} +p19984 +tp19985 +a(g7 +V\u000a3***\u000a4*** +p19986 +tp19987 +a(g737 +V{{ +p19988 +tp19989 +a(g900 +g960 +tp19990 +a(g216 +V'bla' +p19991 +tp19992 +a(g900 +g960 +tp19993 +a(g737 +V}} +p19994 +tp19995 +a(g7 +V\u000a\u000a4***\u000a5*** +p19996 +tp19997 +a(g737 +V{{ +p19998 +tp19999 +a(g900 +g960 +tp20000 +a(g216 +V'bla' +p20001 +tp20002 +a(g900 +g960 +tp20003 +a(g737 +V}} +p20004 +tp20005 +a(g7 +V5***\u000a--TEST--\u000asandbox tag\u000a--TEMPLATE--\u000a +p20006 +tp20007 +a(g737 +V{% +p20008 +tp20009 +a(g900 +V- +p20010 +tp20011 +a(g818 +Vsandbox +p20012 +tp20013 +a(g900 +g960 +tp20014 +a(g737 +V%} +p20015 +tp20016 +a(g7 +V\u000a +p20017 +tp20018 +a(g737 +V{% +p20019 +tp20020 +a(g900 +V- +p20021 +tp20022 +a(g818 +Vinclude +p20023 +tp20024 +a(g900 +g960 +tp20025 +a(g143 +V"foo.twig" +p20026 +tp20027 +a(g900 +g960 +tp20028 +a(g737 +V%} +p20029 +tp20030 +a(g7 +V\u000a a\u000a +p20031 +tp20032 +a(g737 +V{% +p20033 +tp20034 +a(g900 +V- +p20035 +tp20036 +a(g818 +Vendsandbox +p20037 +tp20038 +a(g900 +g960 +tp20039 +a(g737 +V%} +p20040 +tp20041 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000afoo\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Only "include" tags are allowed within a "sandbox" section in "index.twig" at line 4\u000a--TEST--\u000asandbox tag\u000a--TEMPLATE--\u000a +p20042 +tp20043 +a(g737 +V{% +p20044 +tp20045 +a(g900 +V- +p20046 +tp20047 +a(g818 +Vsandbox +p20048 +tp20049 +a(g900 +g960 +tp20050 +a(g737 +V%} +p20051 +tp20052 +a(g7 +V\u000a +p20053 +tp20054 +a(g737 +V{% +p20055 +tp20056 +a(g900 +V- +p20057 +tp20058 +a(g818 +Vinclude +p20059 +tp20060 +a(g900 +g960 +tp20061 +a(g143 +V"foo.twig" +p20062 +tp20063 +a(g900 +g960 +tp20064 +a(g737 +V%} +p20065 +tp20066 +a(g7 +V\u000a\u000a +p20067 +tp20068 +a(g737 +V{% +p20069 +tp20070 +a(g900 +g960 +tp20071 +a(g818 +Vif +p20072 +tp20073 +a(g900 +g960 +tp20074 +a(g17 +g1160 +tp20075 +a(g900 +g960 +tp20076 +a(g737 +V%} +p20077 +tp20078 +a(g7 +V\u000a +p20079 +tp20080 +a(g737 +V{% +p20081 +tp20082 +a(g900 +V- +p20083 +tp20084 +a(g818 +Vinclude +p20085 +tp20086 +a(g900 +g960 +tp20087 +a(g143 +V"foo.twig" +p20088 +tp20089 +a(g900 +g960 +tp20090 +a(g737 +V%} +p20091 +tp20092 +a(g7 +V\u000a +p20093 +tp20094 +a(g737 +V{% +p20095 +tp20096 +a(g900 +g960 +tp20097 +a(g818 +Vendif +p20098 +tp20099 +a(g900 +g960 +tp20100 +a(g737 +V%} +p20101 +tp20102 +a(g7 +V\u000a +p20103 +tp20104 +a(g737 +V{% +p20105 +tp20106 +a(g900 +V- +p20107 +tp20108 +a(g818 +Vendsandbox +p20109 +tp20110 +a(g900 +g960 +tp20111 +a(g737 +V%} +p20112 +tp20113 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000afoo\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Only "include" tags are allowed within a "sandbox" section in "index.twig" at line 5\u000a--TEST--\u000asandbox tag\u000a--TEMPLATE--\u000a +p20114 +tp20115 +a(g737 +V{% +p20116 +tp20117 +a(g900 +V- +p20118 +tp20119 +a(g818 +Vsandbox +p20120 +tp20121 +a(g900 +g960 +tp20122 +a(g737 +V%} +p20123 +tp20124 +a(g7 +V\u000a +p20125 +tp20126 +a(g737 +V{% +p20127 +tp20128 +a(g900 +V- +p20129 +tp20130 +a(g818 +Vinclude +p20131 +tp20132 +a(g900 +g960 +tp20133 +a(g143 +V"foo.twig" +p20134 +tp20135 +a(g900 +g960 +tp20136 +a(g737 +V%} +p20137 +tp20138 +a(g7 +V\u000a +p20139 +tp20140 +a(g737 +V{% +p20141 +tp20142 +a(g900 +V- +p20143 +tp20144 +a(g818 +Vendsandbox +p20145 +tp20146 +a(g900 +g960 +tp20147 +a(g737 +V%} +p20148 +tp20149 +a(g7 +V\u000a\u000a +p20150 +tp20151 +a(g737 +V{% +p20152 +tp20153 +a(g900 +V- +p20154 +tp20155 +a(g818 +Vsandbox +p20156 +tp20157 +a(g900 +g960 +tp20158 +a(g737 +V%} +p20159 +tp20160 +a(g7 +V\u000a +p20161 +tp20162 +a(g737 +V{% +p20163 +tp20164 +a(g900 +V- +p20165 +tp20166 +a(g818 +Vinclude +p20167 +tp20168 +a(g900 +g960 +tp20169 +a(g143 +V"foo.twig" +p20170 +tp20171 +a(g900 +g960 +tp20172 +a(g737 +V%} +p20173 +tp20174 +a(g7 +V\u000a +p20175 +tp20176 +a(g737 +V{% +p20177 +tp20178 +a(g900 +V- +p20179 +tp20180 +a(g818 +Vinclude +p20181 +tp20182 +a(g900 +g960 +tp20183 +a(g143 +V"foo.twig" +p20184 +tp20185 +a(g900 +g960 +tp20186 +a(g737 +V%} +p20187 +tp20188 +a(g7 +V\u000a +p20189 +tp20190 +a(g737 +V{% +p20191 +tp20192 +a(g900 +V- +p20193 +tp20194 +a(g818 +Vendsandbox +p20195 +tp20196 +a(g900 +g960 +tp20197 +a(g737 +V%} +p20198 +tp20199 +a(g7 +V\u000a\u000a +p20200 +tp20201 +a(g737 +V{% +p20202 +tp20203 +a(g900 +V- +p20204 +tp20205 +a(g818 +Vsandbox +p20206 +tp20207 +a(g900 +g960 +tp20208 +a(g737 +V%} +p20209 +tp20210 +a(g737 +V{% +p20211 +tp20212 +a(g900 +g960 +tp20213 +a(g818 +Vinclude +p20214 +tp20215 +a(g900 +g960 +tp20216 +a(g143 +V"foo.twig" +p20217 +tp20218 +a(g900 +g960 +tp20219 +a(g737 +V%} +p20220 +tp20221 +a(g737 +V{% +p20222 +tp20223 +a(g900 +g960 +tp20224 +a(g818 +Vendsandbox +p20225 +tp20226 +a(g900 +g960 +tp20227 +a(g737 +V%} +p20228 +tp20229 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000afoo\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000afoo\u000afoo\u000afoo\u000a--TEST--\u000a"set" tag\u000a--TEMPLATE--\u000a +p20230 +tp20231 +a(g737 +V{% +p20232 +tp20233 +a(g900 +g960 +tp20234 +a(g818 +Vset +p20235 +tp20236 +a(g900 +g960 +tp20237 +a(g427 +Vfoo +p20238 +tp20239 +a(g900 +g960 +tp20240 +a(g399 +g1342 +tp20241 +a(g900 +g960 +tp20242 +a(g216 +V'foo' +p20243 +tp20244 +a(g900 +g960 +tp20245 +a(g737 +V%} +p20246 +tp20247 +a(g7 +V\u000a +p20248 +tp20249 +a(g737 +V{% +p20250 +tp20251 +a(g900 +g960 +tp20252 +a(g818 +Vset +p20253 +tp20254 +a(g900 +g960 +tp20255 +a(g427 +Vbar +p20256 +tp20257 +a(g900 +g960 +tp20258 +a(g399 +g1342 +tp20259 +a(g900 +g960 +tp20260 +a(g216 +V'foo
    ' +p20261 +tp20262 +a(g900 +g960 +tp20263 +a(g737 +V%} +p20264 +tp20265 +a(g7 +V\u000a\u000a +p20266 +tp20267 +a(g737 +V{{ +p20268 +tp20269 +a(g900 +g960 +tp20270 +a(g427 +Vfoo +p20271 +tp20272 +a(g900 +g960 +tp20273 +a(g737 +V}} +p20274 +tp20275 +a(g7 +V\u000a +p20276 +tp20277 +a(g737 +V{{ +p20278 +tp20279 +a(g900 +g960 +tp20280 +a(g427 +Vbar +p20281 +tp20282 +a(g900 +g960 +tp20283 +a(g737 +V}} +p20284 +tp20285 +a(g7 +V\u000a\u000a +p20286 +tp20287 +a(g737 +V{% +p20288 +tp20289 +a(g900 +g960 +tp20290 +a(g818 +Vset +p20291 +tp20292 +a(g900 +g960 +tp20293 +a(g427 +Vfoo +p20294 +tp20295 +a(g399 +g1162 +tp20296 +a(g900 +g960 +tp20297 +a(g427 +Vbar +p20298 +tp20299 +a(g900 +g960 +tp20300 +a(g399 +g1342 +tp20301 +a(g900 +g960 +tp20302 +a(g216 +V'foo' +p20303 +tp20304 +a(g399 +g1162 +tp20305 +a(g900 +g960 +tp20306 +a(g216 +V'bar' +p20307 +tp20308 +a(g900 +g960 +tp20309 +a(g737 +V%} +p20310 +tp20311 +a(g7 +V\u000a\u000a +p20312 +tp20313 +a(g737 +V{{ +p20314 +tp20315 +a(g900 +g960 +tp20316 +a(g427 +Vfoo +p20317 +tp20318 +a(g900 +g960 +tp20319 +a(g737 +V}} +p20320 +tp20321 +a(g737 +V{{ +p20322 +tp20323 +a(g900 +g960 +tp20324 +a(g427 +Vbar +p20325 +tp20326 +a(g900 +g960 +tp20327 +a(g737 +V}} +p20328 +tp20329 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000afoo<br />\u000a\u000a\u000afoobar\u000a--TEST--\u000a"set" tag block empty capture\u000a--TEMPLATE--\u000a +p20330 +tp20331 +a(g737 +V{% +p20332 +tp20333 +a(g900 +g960 +tp20334 +a(g818 +Vset +p20335 +tp20336 +a(g900 +g960 +tp20337 +a(g427 +Vfoo +p20338 +tp20339 +a(g900 +g960 +tp20340 +a(g737 +V%} +p20341 +tp20342 +a(g737 +V{% +p20343 +tp20344 +a(g900 +g960 +tp20345 +a(g818 +Vendset +p20346 +tp20347 +a(g900 +g960 +tp20348 +a(g737 +V%} +p20349 +tp20350 +a(g7 +V\u000a\u000a +p20351 +tp20352 +a(g737 +V{% +p20353 +tp20354 +a(g900 +g960 +tp20355 +a(g818 +Vif +p20356 +tp20357 +a(g900 +g960 +tp20358 +a(g427 +Vfoo +p20359 +tp20360 +a(g900 +g960 +tp20361 +a(g737 +V%} +p20362 +tp20363 +a(g7 +VFAIL +p20364 +tp20365 +a(g737 +V{% +p20366 +tp20367 +a(g900 +g960 +tp20368 +a(g818 +Vendif +p20369 +tp20370 +a(g900 +g960 +tp20371 +a(g737 +V%} +p20372 +tp20373 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a--TEST--\u000a"set" tag block capture\u000a--TEMPLATE--\u000a +p20374 +tp20375 +a(g737 +V{% +p20376 +tp20377 +a(g900 +g960 +tp20378 +a(g818 +Vset +p20379 +tp20380 +a(g900 +g960 +tp20381 +a(g427 +Vfoo +p20382 +tp20383 +a(g900 +g960 +tp20384 +a(g737 +V%} +p20385 +tp20386 +a(g7 +Vf
    o
    o +p20387 +tp20388 +a(g737 +V{% +p20389 +tp20390 +a(g900 +g960 +tp20391 +a(g818 +Vendset +p20392 +tp20393 +a(g900 +g960 +tp20394 +a(g737 +V%} +p20395 +tp20396 +a(g7 +V\u000a\u000a +p20397 +tp20398 +a(g737 +V{{ +p20399 +tp20400 +a(g900 +g960 +tp20401 +a(g427 +Vfoo +p20402 +tp20403 +a(g900 +g960 +tp20404 +a(g737 +V}} +p20405 +tp20406 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000af
    o
    o\u000a--TEST--\u000a"set" tag\u000a--TEMPLATE--\u000a +p20407 +tp20408 +a(g737 +V{% +p20409 +tp20410 +a(g900 +g960 +tp20411 +a(g818 +Vset +p20412 +tp20413 +a(g900 +g960 +tp20414 +a(g427 +Vfoo +p20415 +tp20416 +a(g399 +g1162 +tp20417 +a(g900 +g960 +tp20418 +a(g427 +Vbar +p20419 +tp20420 +a(g900 +g960 +tp20421 +a(g399 +g1342 +tp20422 +a(g900 +g960 +tp20423 +a(g216 +V'foo' +p20424 +tp20425 +a(g900 +g960 +tp20426 +a(g399 +g1606 +tp20427 +a(g900 +g960 +tp20428 +a(g216 +V'bar' +p20429 +tp20430 +a(g399 +g1162 +tp20431 +a(g900 +g960 +tp20432 +a(g216 +V'bar' +p20433 +tp20434 +a(g900 +g960 +tp20435 +a(g399 +g1606 +tp20436 +a(g900 +g960 +tp20437 +a(g216 +V'foo' +p20438 +tp20439 +a(g900 +g960 +tp20440 +a(g737 +V%} +p20441 +tp20442 +a(g7 +V\u000a\u000a +p20443 +tp20444 +a(g737 +V{{ +p20445 +tp20446 +a(g900 +g960 +tp20447 +a(g427 +Vfoo +p20448 +tp20449 +a(g900 +g960 +tp20450 +a(g737 +V}} +p20451 +tp20452 +a(g7 +V\u000a +p20453 +tp20454 +a(g737 +V{{ +p20455 +tp20456 +a(g900 +g960 +tp20457 +a(g427 +Vbar +p20458 +tp20459 +a(g900 +g960 +tp20460 +a(g737 +V}} +p20461 +tp20462 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoobar\u000abarfoo\u000a--TEST--\u000a"spaceless" tag removes whites between HTML tags\u000a--TEMPLATE--\u000a +p20463 +tp20464 +a(g737 +V{% +p20465 +tp20466 +a(g900 +g960 +tp20467 +a(g818 +Vspaceless +p20468 +tp20469 +a(g900 +g960 +tp20470 +a(g737 +V%} +p20471 +tp20472 +a(g7 +V\u000a\u000a
    foo
    \u000a\u000a +p20473 +tp20474 +a(g737 +V{% +p20475 +tp20476 +a(g900 +g960 +tp20477 +a(g818 +Vendspaceless +p20478 +tp20479 +a(g900 +g960 +tp20480 +a(g737 +V%} +p20481 +tp20482 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a
    foo
    \u000a--TEST--\u000a"§" custom tag\u000a--TEMPLATE--\u000a +p20483 +tp20484 +a(g7 +g1206 +tp20485 +a(g7 +V% § %}\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a§\u000a--TEST--\u000aWhitespace trimming on tags.\u000a--TEMPLATE--\u000a +p20486 +tp20487 +a(g737 +V{{ +p20488 +tp20489 +a(g900 +g960 +tp20490 +a(g17 +g1963 +tp20491 +a(g900 +g960 +tp20492 +a(g399 +g1692 +tp20493 +a(g900 +g960 +tp20494 +a(g216 +V'{#-' +p20495 +tp20496 +a(g399 +g1141 +tp20497 +a(g560 +Vlength +p20498 +tp20499 +a(g900 +g960 +tp20500 +a(g737 +V}} +p20501 +tp20502 +a(g7 +V\u000a +p20503 +tp20504 +a(g737 +V{{ +p20505 +tp20506 +a(g900 +g960 +tp20507 +a(g216 +V'{{-' +p20508 +tp20509 +a(g399 +g1141 +tp20510 +a(g560 +Vlength +p20511 +tp20512 +a(g900 +g960 +tp20513 +a(g399 +g1692 +tp20514 +a(g900 +g960 +tp20515 +a(g17 +g1963 +tp20516 +a(g900 +g960 +tp20517 +a(g399 +g1664 +tp20518 +a(g900 +g960 +tp20519 +a(g216 +V'{%-' +p20520 +tp20521 +a(g399 +g1141 +tp20522 +a(g560 +Vlength +p20523 +tp20524 +a(g900 +g960 +tp20525 +a(g737 +V}} +p20526 +tp20527 +a(g7 +V\u000a\u000aTrim on control tag:\u000a +p20528 +tp20529 +a(g737 +V{% +p20530 +tp20531 +a(g900 +g960 +tp20532 +a(g818 +Vfor +p20533 +tp20534 +a(g900 +g960 +tp20535 +a(g427 +g991 +tp20536 +a(g900 +g960 +tp20537 +a(g818 +Vin +p20538 +tp20539 +a(g900 +g960 +tp20540 +a(g427 +Vrange +p20541 +tp20542 +a(g399 +g1145 +tp20543 +a(g17 +g1160 +tp20544 +a(g399 +g1162 +tp20545 +a(g900 +g960 +tp20546 +a(g17 +V9 +p20547 +tp20548 +a(g399 +g1149 +tp20549 +a(g900 +g960 +tp20550 +a(g900 +g1678 +tp20551 +a(g737 +V%} +p20552 +tp20553 +a(g7 +V\u000a +p20554 +tp20555 +a(g737 +V{{ +p20556 +tp20557 +a(g900 +g960 +tp20558 +a(g427 +g991 +tp20559 +a(g900 +g960 +tp20560 +a(g737 +V}} +p20561 +tp20562 +a(g7 +V\u000a +p20563 +tp20564 +a(g737 +V{% +p20565 +tp20566 +a(g900 +V- +p20567 +tp20568 +a(g818 +Vendfor +p20569 +tp20570 +a(g900 +g960 +tp20571 +a(g737 +V%} +p20572 +tp20573 +a(g7 +V\u000a\u000a\u000aTrim on output tag:\u000a +p20574 +tp20575 +a(g737 +V{% +p20576 +tp20577 +a(g900 +g960 +tp20578 +a(g818 +Vfor +p20579 +tp20580 +a(g900 +g960 +tp20581 +a(g427 +g991 +tp20582 +a(g900 +g960 +tp20583 +a(g818 +Vin +p20584 +tp20585 +a(g900 +g960 +tp20586 +a(g427 +Vrange +p20587 +tp20588 +a(g399 +g1145 +tp20589 +a(g17 +g1160 +tp20590 +a(g399 +g1162 +tp20591 +a(g900 +g960 +tp20592 +a(g17 +g20547 +tp20593 +a(g399 +g1149 +tp20594 +a(g900 +g960 +tp20595 +a(g737 +V%} +p20596 +tp20597 +a(g7 +V\u000a +p20598 +tp20599 +a(g737 +V{{ +p20600 +tp20601 +a(g399 +g1678 +tp20602 +a(g900 +g960 +tp20603 +a(g427 +g991 +tp20604 +a(g900 +g960 +tp20605 +a(g900 +g1678 +tp20606 +a(g737 +V}} +p20607 +tp20608 +a(g7 +V\u000a +p20609 +tp20610 +a(g737 +V{% +p20611 +tp20612 +a(g900 +g960 +tp20613 +a(g818 +Vendfor +p20614 +tp20615 +a(g900 +g960 +tp20616 +a(g737 +V%} +p20617 +tp20618 +a(g7 +V\u000a\u000a\u000aTrim comments:\u000a \u000a +p20619 +tp20620 +a(g708 +V{#- Invisible -#} +p20621 +tp20622 +a(g7 +V\u000a \u000aAfter the comment.\u000a\u000aTrim leading space:\u000a +p20623 +tp20624 +a(g737 +V{% +p20625 +tp20626 +a(g900 +g960 +tp20627 +a(g818 +Vif +p20628 +tp20629 +a(g900 +g960 +tp20630 +a(g427 +Vleading +p20631 +tp20632 +a(g900 +g960 +tp20633 +a(g737 +V%} +p20634 +tp20635 +a(g7 +V\u000a\u000a +p20636 +tp20637 +a(g737 +V{{ +p20638 +tp20639 +a(g399 +g1678 +tp20640 +a(g900 +g960 +tp20641 +a(g427 +Vleading +p20642 +tp20643 +a(g900 +g960 +tp20644 +a(g737 +V}} +p20645 +tp20646 +a(g7 +V\u000a +p20647 +tp20648 +a(g737 +V{% +p20649 +tp20650 +a(g900 +g960 +tp20651 +a(g818 +Vendif +p20652 +tp20653 +a(g900 +g960 +tp20654 +a(g737 +V%} +p20655 +tp20656 +a(g7 +V\u000a\u000a +p20657 +tp20658 +a(g737 +V{% +p20659 +tp20660 +a(g900 +V- +p20661 +tp20662 +a(g818 +Vif +p20663 +tp20664 +a(g900 +g960 +tp20665 +a(g427 +Vleading +p20666 +tp20667 +a(g900 +g960 +tp20668 +a(g737 +V%} +p20669 +tp20670 +a(g7 +V\u000a +p20671 +tp20672 +a(g737 +V{{ +p20673 +tp20674 +a(g399 +g1678 +tp20675 +a(g900 +g960 +tp20676 +a(g427 +Vleading +p20677 +tp20678 +a(g900 +g960 +tp20679 +a(g737 +V}} +p20680 +tp20681 +a(g7 +V\u000a\u000a +p20682 +tp20683 +a(g737 +V{% +p20684 +tp20685 +a(g900 +V- +p20686 +tp20687 +a(g818 +Vendif +p20688 +tp20689 +a(g900 +g960 +tp20690 +a(g737 +V%} +p20691 +tp20692 +a(g7 +V\u000a\u000a\u000aTrim trailing space:\u000a +p20693 +tp20694 +a(g737 +V{% +p20695 +tp20696 +a(g900 +g960 +tp20697 +a(g818 +Vif +p20698 +tp20699 +a(g900 +g960 +tp20700 +a(g427 +Vtrailing +p20701 +tp20702 +a(g900 +g960 +tp20703 +a(g900 +g1678 +tp20704 +a(g737 +V%} +p20705 +tp20706 +a(g7 +V \u000a +p20707 +tp20708 +a(g737 +V{{ +p20709 +tp20710 +a(g900 +g960 +tp20711 +a(g427 +Vtrailing +p20712 +tp20713 +a(g900 +g960 +tp20714 +a(g900 +g1678 +tp20715 +a(g737 +V}} +p20716 +tp20717 +a(g7 +V\u000a\u000a +p20718 +tp20719 +a(g737 +V{% +p20720 +tp20721 +a(g900 +g960 +tp20722 +a(g818 +Vendif +p20723 +tp20724 +a(g900 +g960 +tp20725 +a(g900 +g1678 +tp20726 +a(g737 +V%} +p20727 +tp20728 +a(g7 +V\u000a\u000aCombined:\u000a\u000a +p20729 +tp20730 +a(g737 +V{% +p20731 +tp20732 +a(g900 +V- +p20733 +tp20734 +a(g818 +Vif +p20735 +tp20736 +a(g900 +g960 +tp20737 +a(g427 +Vboth +p20738 +tp20739 +a(g900 +g960 +tp20740 +a(g900 +g1678 +tp20741 +a(g737 +V%} +p20742 +tp20743 +a(g7 +V\u000a
      \u000a
    • +p20744 +tp20745 +a(g737 +V{{ +p20746 +tp20747 +a(g399 +g1678 +tp20748 +a(g900 +g960 +tp20749 +a(g427 +Vboth +p20750 +tp20751 +a(g900 +g960 +tp20752 +a(g900 +g1678 +tp20753 +a(g737 +V}} +p20754 +tp20755 +a(g7 +V
    • \u000a
    \u000a\u000a +p20756 +tp20757 +a(g737 +V{% +p20758 +tp20759 +a(g900 +V- +p20760 +tp20761 +a(g818 +Vendif +p20762 +tp20763 +a(g900 +g960 +tp20764 +a(g900 +g1678 +tp20765 +a(g737 +V%} +p20766 +tp20767 +a(g7 +V\u000a\u000aend\u000a--DATA--\u000areturn array('leading' => 'leading space', 'trailing' => 'trailing space', 'both' => 'both')\u000a--EXPECT--\u000a15\u000a18\u000a\u000aTrim on control tag:\u000a123456789\u000a\u000aTrim on output tag:\u000a123456789\u000a\u000aTrim comments:After the comment.\u000a\u000aTrim leading space:\u000aleading space\u000aleading space\u000a\u000aTrim trailing space:\u000atrailing spaceCombined:
      \u000a
    • both
    • \u000a
    end\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p20768 +tp20769 +a(g737 +V{% +p20770 +tp20771 +a(g900 +g960 +tp20772 +a(g818 +Vuse +p20773 +tp20774 +a(g900 +g960 +tp20775 +a(g143 +V"blocks.twig" +p20776 +tp20777 +a(g900 +g960 +tp20778 +a(g427 +Vwith +p20779 +tp20780 +a(g900 +g960 +tp20781 +a(g427 +Vcontent +p20782 +tp20783 +a(g900 +g960 +tp20784 +a(g427 +Vas +p20785 +tp20786 +a(g900 +g960 +tp20787 +a(g427 +Vfoo +p20788 +tp20789 +a(g900 +g960 +tp20790 +a(g737 +V%} +p20791 +tp20792 +a(g7 +V\u000a\u000a +p20793 +tp20794 +a(g737 +V{{ +p20795 +tp20796 +a(g900 +g960 +tp20797 +a(g668 +Vblock +p20798 +tp20799 +a(g399 +g1145 +tp20800 +a(g216 +V'foo' +p20801 +tp20802 +a(g399 +g1149 +tp20803 +a(g900 +g960 +tp20804 +a(g737 +V}} +p20805 +tp20806 +a(g7 +V\u000a--TEMPLATE(blocks.twig)--\u000a +p20807 +tp20808 +a(g737 +V{% +p20809 +tp20810 +a(g900 +g960 +tp20811 +a(g818 +Vblock +p20812 +tp20813 +a(g900 +g960 +tp20814 +a(g427 +Vcontent +p20815 +tp20816 +a(g900 +g960 +tp20817 +a(g216 +V'foo' +p20818 +tp20819 +a(g900 +g960 +tp20820 +a(g737 +V%} +p20821 +tp20822 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p20823 +tp20824 +a(g737 +V{% +p20825 +tp20826 +a(g900 +g960 +tp20827 +a(g818 +Vuse +p20828 +tp20829 +a(g900 +g960 +tp20830 +a(g143 +V"blocks.twig" +p20831 +tp20832 +a(g900 +g960 +tp20833 +a(g737 +V%} +p20834 +tp20835 +a(g7 +V\u000a\u000a +p20836 +tp20837 +a(g737 +V{{ +p20838 +tp20839 +a(g900 +g960 +tp20840 +a(g668 +Vblock +p20841 +tp20842 +a(g399 +g1145 +tp20843 +a(g216 +V'content' +p20844 +tp20845 +a(g399 +g1149 +tp20846 +a(g900 +g960 +tp20847 +a(g737 +V}} +p20848 +tp20849 +a(g7 +V\u000a--TEMPLATE(blocks.twig)--\u000a +p20850 +tp20851 +a(g737 +V{% +p20852 +tp20853 +a(g900 +g960 +tp20854 +a(g818 +Vblock +p20855 +tp20856 +a(g900 +g960 +tp20857 +a(g427 +Vcontent +p20858 +tp20859 +a(g900 +g960 +tp20860 +a(g216 +V'foo' +p20861 +tp20862 +a(g900 +g960 +tp20863 +a(g737 +V%} +p20864 +tp20865 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p20866 +tp20867 +a(g737 +V{% +p20868 +tp20869 +a(g900 +g960 +tp20870 +a(g818 +Vuse +p20871 +tp20872 +a(g900 +g960 +tp20873 +a(g143 +V"foo.twig" +p20874 +tp20875 +a(g900 +g960 +tp20876 +a(g737 +V%} +p20877 +tp20878 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p20879 +tp20880 +a(g737 +V{% +p20881 +tp20882 +a(g900 +g960 +tp20883 +a(g818 +Vuse +p20884 +tp20885 +a(g900 +g960 +tp20886 +a(g143 +V"bar.twig" +p20887 +tp20888 +a(g900 +g960 +tp20889 +a(g737 +V%} +p20890 +tp20891 +a(g7 +V\u000a--TEMPLATE(bar.twig)--\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p20892 +tp20893 +a(g737 +V{% +p20894 +tp20895 +a(g900 +g960 +tp20896 +a(g818 +Vuse +p20897 +tp20898 +a(g900 +g960 +tp20899 +a(g143 +V"foo.twig" +p20900 +tp20901 +a(g900 +g960 +tp20902 +a(g737 +V%} +p20903 +tp20904 +a(g7 +V\u000a\u000a +p20905 +tp20906 +a(g737 +V{{ +p20907 +tp20908 +a(g900 +g960 +tp20909 +a(g668 +Vblock +p20910 +tp20911 +a(g399 +g1145 +tp20912 +a(g216 +V'content' +p20913 +tp20914 +a(g399 +g1149 +tp20915 +a(g900 +g960 +tp20916 +a(g737 +V}} +p20917 +tp20918 +a(g7 +V\u000a +p20919 +tp20920 +a(g737 +V{{ +p20921 +tp20922 +a(g900 +g960 +tp20923 +a(g668 +Vblock +p20924 +tp20925 +a(g399 +g1145 +tp20926 +a(g216 +V'foo' +p20927 +tp20928 +a(g399 +g1149 +tp20929 +a(g900 +g960 +tp20930 +a(g737 +V}} +p20931 +tp20932 +a(g7 +V\u000a +p20933 +tp20934 +a(g737 +V{{ +p20935 +tp20936 +a(g900 +g960 +tp20937 +a(g668 +Vblock +p20938 +tp20939 +a(g399 +g1145 +tp20940 +a(g216 +V'bar' +p20941 +tp20942 +a(g399 +g1149 +tp20943 +a(g900 +g960 +tp20944 +a(g737 +V}} +p20945 +tp20946 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p20947 +tp20948 +a(g737 +V{% +p20949 +tp20950 +a(g900 +g960 +tp20951 +a(g818 +Vuse +p20952 +tp20953 +a(g900 +g960 +tp20954 +a(g143 +V"bar.twig" +p20955 +tp20956 +a(g900 +g960 +tp20957 +a(g737 +V%} +p20958 +tp20959 +a(g7 +V\u000a\u000a +p20960 +tp20961 +a(g737 +V{% +p20962 +tp20963 +a(g900 +g960 +tp20964 +a(g818 +Vblock +p20965 +tp20966 +a(g900 +g960 +tp20967 +a(g427 +Vcontent +p20968 +tp20969 +a(g900 +g960 +tp20970 +a(g216 +V'foo' +p20971 +tp20972 +a(g900 +g960 +tp20973 +a(g737 +V%} +p20974 +tp20975 +a(g7 +V\u000a +p20976 +tp20977 +a(g737 +V{% +p20978 +tp20979 +a(g900 +g960 +tp20980 +a(g818 +Vblock +p20981 +tp20982 +a(g900 +g960 +tp20983 +a(g427 +Vfoo +p20984 +tp20985 +a(g900 +g960 +tp20986 +a(g216 +V'foo' +p20987 +tp20988 +a(g900 +g960 +tp20989 +a(g737 +V%} +p20990 +tp20991 +a(g7 +V\u000a--TEMPLATE(bar.twig)--\u000a +p20992 +tp20993 +a(g737 +V{% +p20994 +tp20995 +a(g900 +g960 +tp20996 +a(g818 +Vblock +p20997 +tp20998 +a(g900 +g960 +tp20999 +a(g427 +Vcontent +p21000 +tp21001 +a(g900 +g960 +tp21002 +a(g216 +V'bar' +p21003 +tp21004 +a(g900 +g960 +tp21005 +a(g737 +V%} +p21006 +tp21007 +a(g7 +V\u000a +p21008 +tp21009 +a(g737 +V{% +p21010 +tp21011 +a(g900 +g960 +tp21012 +a(g818 +Vblock +p21013 +tp21014 +a(g900 +g960 +tp21015 +a(g427 +Vbar +p21016 +tp21017 +a(g900 +g960 +tp21018 +a(g216 +V'bar' +p21019 +tp21020 +a(g900 +g960 +tp21021 +a(g737 +V%} +p21022 +tp21023 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000afoo\u000afoo\u000abar\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p21024 +tp21025 +a(g737 +V{% +p21026 +tp21027 +a(g900 +g960 +tp21028 +a(g818 +Vuse +p21029 +tp21030 +a(g900 +g960 +tp21031 +a(g143 +V"ancestor.twig" +p21032 +tp21033 +a(g900 +g960 +tp21034 +a(g737 +V%} +p21035 +tp21036 +a(g7 +V\u000a +p21037 +tp21038 +a(g737 +V{% +p21039 +tp21040 +a(g900 +g960 +tp21041 +a(g818 +Vuse +p21042 +tp21043 +a(g900 +g960 +tp21044 +a(g143 +V"parent.twig" +p21045 +tp21046 +a(g900 +g960 +tp21047 +a(g737 +V%} +p21048 +tp21049 +a(g7 +V\u000a\u000a +p21050 +tp21051 +a(g737 +V{{ +p21052 +tp21053 +a(g900 +g960 +tp21054 +a(g668 +Vblock +p21055 +tp21056 +a(g399 +g1145 +tp21057 +a(g216 +V'container' +p21058 +tp21059 +a(g399 +g1149 +tp21060 +a(g900 +g960 +tp21061 +a(g737 +V}} +p21062 +tp21063 +a(g7 +V\u000a--TEMPLATE(parent.twig)--\u000a +p21064 +tp21065 +a(g737 +V{% +p21066 +tp21067 +a(g900 +g960 +tp21068 +a(g818 +Vblock +p21069 +tp21070 +a(g900 +g960 +tp21071 +a(g427 +Vsub_container +p21072 +tp21073 +a(g900 +g960 +tp21074 +a(g737 +V%} +p21075 +tp21076 +a(g7 +V\u000a
    overriden sub_container
    \u000a +p21077 +tp21078 +a(g737 +V{% +p21079 +tp21080 +a(g900 +g960 +tp21081 +a(g818 +Vendblock +p21082 +tp21083 +a(g900 +g960 +tp21084 +a(g737 +V%} +p21085 +tp21086 +a(g7 +V\u000a--TEMPLATE(ancestor.twig)--\u000a +p21087 +tp21088 +a(g737 +V{% +p21089 +tp21090 +a(g900 +g960 +tp21091 +a(g818 +Vblock +p21092 +tp21093 +a(g900 +g960 +tp21094 +a(g427 +Vcontainer +p21095 +tp21096 +a(g900 +g960 +tp21097 +a(g737 +V%} +p21098 +tp21099 +a(g7 +V\u000a
    +p21100 +tp21101 +a(g737 +V{{ +p21102 +tp21103 +a(g900 +g960 +tp21104 +a(g668 +Vblock +p21105 +tp21106 +a(g399 +g1145 +tp21107 +a(g216 +V'sub_container' +p21108 +tp21109 +a(g399 +g1149 +tp21110 +a(g900 +g960 +tp21111 +a(g737 +V}} +p21112 +tp21113 +a(g7 +V
    \u000a +p21114 +tp21115 +a(g737 +V{% +p21116 +tp21117 +a(g900 +g960 +tp21118 +a(g818 +Vendblock +p21119 +tp21120 +a(g900 +g960 +tp21121 +a(g737 +V%} +p21122 +tp21123 +a(g7 +V\u000a\u000a +p21124 +tp21125 +a(g737 +V{% +p21126 +tp21127 +a(g900 +g960 +tp21128 +a(g818 +Vblock +p21129 +tp21130 +a(g900 +g960 +tp21131 +a(g427 +Vsub_container +p21132 +tp21133 +a(g900 +g960 +tp21134 +a(g737 +V%} +p21135 +tp21136 +a(g7 +V\u000a
    sub_container
    \u000a +p21137 +tp21138 +a(g737 +V{% +p21139 +tp21140 +a(g900 +g960 +tp21141 +a(g818 +Vendblock +p21142 +tp21143 +a(g900 +g960 +tp21144 +a(g737 +V%} +p21145 +tp21146 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a
    overriden sub_container
    \u000a
    \u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p21147 +tp21148 +a(g737 +V{% +p21149 +tp21150 +a(g900 +g960 +tp21151 +a(g818 +Vuse +p21152 +tp21153 +a(g900 +g960 +tp21154 +a(g143 +V"parent.twig" +p21155 +tp21156 +a(g900 +g960 +tp21157 +a(g737 +V%} +p21158 +tp21159 +a(g7 +V\u000a\u000a +p21160 +tp21161 +a(g737 +V{{ +p21162 +tp21163 +a(g900 +g960 +tp21164 +a(g668 +Vblock +p21165 +tp21166 +a(g399 +g1145 +tp21167 +a(g216 +V'container' +p21168 +tp21169 +a(g399 +g1149 +tp21170 +a(g900 +g960 +tp21171 +a(g737 +V}} +p21172 +tp21173 +a(g7 +V\u000a--TEMPLATE(parent.twig)--\u000a +p21174 +tp21175 +a(g737 +V{% +p21176 +tp21177 +a(g900 +g960 +tp21178 +a(g818 +Vuse +p21179 +tp21180 +a(g900 +g960 +tp21181 +a(g143 +V"ancestor.twig" +p21182 +tp21183 +a(g900 +g960 +tp21184 +a(g737 +V%} +p21185 +tp21186 +a(g7 +V\u000a\u000a +p21187 +tp21188 +a(g737 +V{% +p21189 +tp21190 +a(g900 +g960 +tp21191 +a(g818 +Vblock +p21192 +tp21193 +a(g900 +g960 +tp21194 +a(g427 +Vsub_container +p21195 +tp21196 +a(g900 +g960 +tp21197 +a(g737 +V%} +p21198 +tp21199 +a(g7 +V\u000a
    overriden sub_container
    \u000a +p21200 +tp21201 +a(g737 +V{% +p21202 +tp21203 +a(g900 +g960 +tp21204 +a(g818 +Vendblock +p21205 +tp21206 +a(g900 +g960 +tp21207 +a(g737 +V%} +p21208 +tp21209 +a(g7 +V\u000a--TEMPLATE(ancestor.twig)--\u000a +p21210 +tp21211 +a(g737 +V{% +p21212 +tp21213 +a(g900 +g960 +tp21214 +a(g818 +Vblock +p21215 +tp21216 +a(g900 +g960 +tp21217 +a(g427 +Vcontainer +p21218 +tp21219 +a(g900 +g960 +tp21220 +a(g737 +V%} +p21221 +tp21222 +a(g7 +V\u000a
    +p21223 +tp21224 +a(g737 +V{{ +p21225 +tp21226 +a(g900 +g960 +tp21227 +a(g668 +Vblock +p21228 +tp21229 +a(g399 +g1145 +tp21230 +a(g216 +V'sub_container' +p21231 +tp21232 +a(g399 +g1149 +tp21233 +a(g900 +g960 +tp21234 +a(g737 +V}} +p21235 +tp21236 +a(g7 +V
    \u000a +p21237 +tp21238 +a(g737 +V{% +p21239 +tp21240 +a(g900 +g960 +tp21241 +a(g818 +Vendblock +p21242 +tp21243 +a(g900 +g960 +tp21244 +a(g737 +V%} +p21245 +tp21246 +a(g7 +V\u000a\u000a +p21247 +tp21248 +a(g737 +V{% +p21249 +tp21250 +a(g900 +g960 +tp21251 +a(g818 +Vblock +p21252 +tp21253 +a(g900 +g960 +tp21254 +a(g427 +Vsub_container +p21255 +tp21256 +a(g900 +g960 +tp21257 +a(g737 +V%} +p21258 +tp21259 +a(g7 +V\u000a
    sub_container
    \u000a +p21260 +tp21261 +a(g737 +V{% +p21262 +tp21263 +a(g900 +g960 +tp21264 +a(g818 +Vendblock +p21265 +tp21266 +a(g900 +g960 +tp21267 +a(g737 +V%} +p21268 +tp21269 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a
    overriden sub_container
    \u000a
    \u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p21270 +tp21271 +a(g737 +V{% +p21272 +tp21273 +a(g900 +g960 +tp21274 +a(g818 +Vuse +p21275 +tp21276 +a(g900 +g960 +tp21277 +a(g143 +V"foo.twig" +p21278 +tp21279 +a(g900 +g960 +tp21280 +a(g427 +Vwith +p21281 +tp21282 +a(g900 +g960 +tp21283 +a(g427 +Vcontent +p21284 +tp21285 +a(g900 +g960 +tp21286 +a(g427 +Vas +p21287 +tp21288 +a(g900 +g960 +tp21289 +a(g427 +Vfoo_content +p21290 +tp21291 +a(g900 +g960 +tp21292 +a(g737 +V%} +p21293 +tp21294 +a(g7 +V\u000a +p21295 +tp21296 +a(g737 +V{% +p21297 +tp21298 +a(g900 +g960 +tp21299 +a(g818 +Vuse +p21300 +tp21301 +a(g900 +g960 +tp21302 +a(g143 +V"bar.twig" +p21303 +tp21304 +a(g900 +g960 +tp21305 +a(g737 +V%} +p21306 +tp21307 +a(g7 +V\u000a\u000a +p21308 +tp21309 +a(g737 +V{{ +p21310 +tp21311 +a(g900 +g960 +tp21312 +a(g668 +Vblock +p21313 +tp21314 +a(g399 +g1145 +tp21315 +a(g216 +V'content' +p21316 +tp21317 +a(g399 +g1149 +tp21318 +a(g900 +g960 +tp21319 +a(g737 +V}} +p21320 +tp21321 +a(g7 +V\u000a +p21322 +tp21323 +a(g737 +V{{ +p21324 +tp21325 +a(g900 +g960 +tp21326 +a(g668 +Vblock +p21327 +tp21328 +a(g399 +g1145 +tp21329 +a(g216 +V'foo' +p21330 +tp21331 +a(g399 +g1149 +tp21332 +a(g900 +g960 +tp21333 +a(g737 +V}} +p21334 +tp21335 +a(g7 +V\u000a +p21336 +tp21337 +a(g737 +V{{ +p21338 +tp21339 +a(g900 +g960 +tp21340 +a(g668 +Vblock +p21341 +tp21342 +a(g399 +g1145 +tp21343 +a(g216 +V'bar' +p21344 +tp21345 +a(g399 +g1149 +tp21346 +a(g900 +g960 +tp21347 +a(g737 +V}} +p21348 +tp21349 +a(g7 +V\u000a +p21350 +tp21351 +a(g737 +V{{ +p21352 +tp21353 +a(g900 +g960 +tp21354 +a(g668 +Vblock +p21355 +tp21356 +a(g399 +g1145 +tp21357 +a(g216 +V'foo_content' +p21358 +tp21359 +a(g399 +g1149 +tp21360 +a(g900 +g960 +tp21361 +a(g737 +V}} +p21362 +tp21363 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p21364 +tp21365 +a(g737 +V{% +p21366 +tp21367 +a(g900 +g960 +tp21368 +a(g818 +Vblock +p21369 +tp21370 +a(g900 +g960 +tp21371 +a(g427 +Vcontent +p21372 +tp21373 +a(g900 +g960 +tp21374 +a(g216 +V'foo' +p21375 +tp21376 +a(g900 +g960 +tp21377 +a(g737 +V%} +p21378 +tp21379 +a(g7 +V\u000a +p21380 +tp21381 +a(g737 +V{% +p21382 +tp21383 +a(g900 +g960 +tp21384 +a(g818 +Vblock +p21385 +tp21386 +a(g900 +g960 +tp21387 +a(g427 +Vfoo +p21388 +tp21389 +a(g900 +g960 +tp21390 +a(g216 +V'foo' +p21391 +tp21392 +a(g900 +g960 +tp21393 +a(g737 +V%} +p21394 +tp21395 +a(g7 +V\u000a--TEMPLATE(bar.twig)--\u000a +p21396 +tp21397 +a(g737 +V{% +p21398 +tp21399 +a(g900 +g960 +tp21400 +a(g818 +Vblock +p21401 +tp21402 +a(g900 +g960 +tp21403 +a(g427 +Vcontent +p21404 +tp21405 +a(g900 +g960 +tp21406 +a(g216 +V'bar' +p21407 +tp21408 +a(g900 +g960 +tp21409 +a(g737 +V%} +p21410 +tp21411 +a(g7 +V\u000a +p21412 +tp21413 +a(g737 +V{% +p21414 +tp21415 +a(g900 +g960 +tp21416 +a(g818 +Vblock +p21417 +tp21418 +a(g900 +g960 +tp21419 +a(g427 +Vbar +p21420 +tp21421 +a(g900 +g960 +tp21422 +a(g216 +V'bar' +p21423 +tp21424 +a(g900 +g960 +tp21425 +a(g737 +V%} +p21426 +tp21427 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000abar\u000afoo\u000abar\u000afoo\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p21428 +tp21429 +a(g737 +V{% +p21430 +tp21431 +a(g900 +g960 +tp21432 +a(g818 +Vuse +p21433 +tp21434 +a(g900 +g960 +tp21435 +a(g143 +V"foo.twig" +p21436 +tp21437 +a(g900 +g960 +tp21438 +a(g737 +V%} +p21439 +tp21440 +a(g7 +V\u000a +p21441 +tp21442 +a(g737 +V{% +p21443 +tp21444 +a(g900 +g960 +tp21445 +a(g818 +Vuse +p21446 +tp21447 +a(g900 +g960 +tp21448 +a(g143 +V"bar.twig" +p21449 +tp21450 +a(g900 +g960 +tp21451 +a(g737 +V%} +p21452 +tp21453 +a(g7 +V\u000a\u000a +p21454 +tp21455 +a(g737 +V{{ +p21456 +tp21457 +a(g900 +g960 +tp21458 +a(g668 +Vblock +p21459 +tp21460 +a(g399 +g1145 +tp21461 +a(g216 +V'content' +p21462 +tp21463 +a(g399 +g1149 +tp21464 +a(g900 +g960 +tp21465 +a(g737 +V}} +p21466 +tp21467 +a(g7 +V\u000a +p21468 +tp21469 +a(g737 +V{{ +p21470 +tp21471 +a(g900 +g960 +tp21472 +a(g668 +Vblock +p21473 +tp21474 +a(g399 +g1145 +tp21475 +a(g216 +V'foo' +p21476 +tp21477 +a(g399 +g1149 +tp21478 +a(g900 +g960 +tp21479 +a(g737 +V}} +p21480 +tp21481 +a(g7 +V\u000a +p21482 +tp21483 +a(g737 +V{{ +p21484 +tp21485 +a(g900 +g960 +tp21486 +a(g668 +Vblock +p21487 +tp21488 +a(g399 +g1145 +tp21489 +a(g216 +V'bar' +p21490 +tp21491 +a(g399 +g1149 +tp21492 +a(g900 +g960 +tp21493 +a(g737 +V}} +p21494 +tp21495 +a(g7 +V\u000a--TEMPLATE(foo.twig)--\u000a +p21496 +tp21497 +a(g737 +V{% +p21498 +tp21499 +a(g900 +g960 +tp21500 +a(g818 +Vblock +p21501 +tp21502 +a(g900 +g960 +tp21503 +a(g427 +Vcontent +p21504 +tp21505 +a(g900 +g960 +tp21506 +a(g216 +V'foo' +p21507 +tp21508 +a(g900 +g960 +tp21509 +a(g737 +V%} +p21510 +tp21511 +a(g7 +V\u000a +p21512 +tp21513 +a(g737 +V{% +p21514 +tp21515 +a(g900 +g960 +tp21516 +a(g818 +Vblock +p21517 +tp21518 +a(g900 +g960 +tp21519 +a(g427 +Vfoo +p21520 +tp21521 +a(g900 +g960 +tp21522 +a(g216 +V'foo' +p21523 +tp21524 +a(g900 +g960 +tp21525 +a(g737 +V%} +p21526 +tp21527 +a(g7 +V\u000a--TEMPLATE(bar.twig)--\u000a +p21528 +tp21529 +a(g737 +V{% +p21530 +tp21531 +a(g900 +g960 +tp21532 +a(g818 +Vblock +p21533 +tp21534 +a(g900 +g960 +tp21535 +a(g427 +Vcontent +p21536 +tp21537 +a(g900 +g960 +tp21538 +a(g216 +V'bar' +p21539 +tp21540 +a(g900 +g960 +tp21541 +a(g737 +V%} +p21542 +tp21543 +a(g7 +V\u000a +p21544 +tp21545 +a(g737 +V{% +p21546 +tp21547 +a(g900 +g960 +tp21548 +a(g818 +Vblock +p21549 +tp21550 +a(g900 +g960 +tp21551 +a(g427 +Vbar +p21552 +tp21553 +a(g900 +g960 +tp21554 +a(g216 +V'bar' +p21555 +tp21556 +a(g900 +g960 +tp21557 +a(g737 +V%} +p21558 +tp21559 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000abar\u000afoo\u000abar\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p21560 +tp21561 +a(g737 +V{% +p21562 +tp21563 +a(g900 +g960 +tp21564 +a(g818 +Vuse +p21565 +tp21566 +a(g900 +g960 +tp21567 +a(g216 +V'file2.html.twig' +p21568 +tp21569 +a(g737 +V%} +p21570 +tp21571 +a(g7 +V\u000a +p21572 +tp21573 +a(g737 +V{% +p21574 +tp21575 +a(g900 +g960 +tp21576 +a(g818 +Vblock +p21577 +tp21578 +a(g900 +g960 +tp21579 +a(g427 +Vfoobar +p21580 +tp21581 +a(g900 +g960 +tp21582 +a(g737 +V%} +p21583 +tp21584 +a(g7 +V\u000a +p21585 +tp21586 +a(g737 +V{{ +p21587 +tp21588 +a(g399 +g1678 +tp21589 +a(g900 +g960 +tp21590 +a(g668 +Vparent +p21591 +tp21592 +a(g399 +g1145 +tp21593 +a(g399 +g1149 +tp21594 +a(g900 +g960 +tp21595 +a(g900 +g1678 +tp21596 +a(g737 +V}} +p21597 +tp21598 +a(g7 +V\u000a Content of block (second override)\u000a +p21599 +tp21600 +a(g737 +V{% +p21601 +tp21602 +a(g900 +g960 +tp21603 +a(g818 +Vendblock +p21604 +tp21605 +a(g900 +g960 +tp21606 +a(g427 +Vfoobar +p21607 +tp21608 +a(g900 +g960 +tp21609 +a(g737 +V%} +p21610 +tp21611 +a(g7 +V\u000a--TEMPLATE(file2.html.twig)--\u000a +p21612 +tp21613 +a(g737 +V{% +p21614 +tp21615 +a(g900 +g960 +tp21616 +a(g818 +Vuse +p21617 +tp21618 +a(g900 +g960 +tp21619 +a(g216 +V'file1.html.twig' +p21620 +tp21621 +a(g900 +g960 +tp21622 +a(g737 +V%} +p21623 +tp21624 +a(g7 +V\u000a +p21625 +tp21626 +a(g737 +V{% +p21627 +tp21628 +a(g900 +g960 +tp21629 +a(g818 +Vblock +p21630 +tp21631 +a(g900 +g960 +tp21632 +a(g427 +Vfoobar +p21633 +tp21634 +a(g900 +g960 +tp21635 +a(g737 +V%} +p21636 +tp21637 +a(g7 +V\u000a +p21638 +tp21639 +a(g737 +V{{ +p21640 +tp21641 +a(g399 +g1678 +tp21642 +a(g900 +g960 +tp21643 +a(g668 +Vparent +p21644 +tp21645 +a(g399 +g1145 +tp21646 +a(g399 +g1149 +tp21647 +a(g900 +g960 +tp21648 +a(g900 +g1678 +tp21649 +a(g737 +V}} +p21650 +tp21651 +a(g7 +V\u000a Content of block (first override)\u000a +p21652 +tp21653 +a(g737 +V{% +p21654 +tp21655 +a(g900 +g960 +tp21656 +a(g818 +Vendblock +p21657 +tp21658 +a(g900 +g960 +tp21659 +a(g427 +Vfoobar +p21660 +tp21661 +a(g900 +g960 +tp21662 +a(g737 +V%} +p21663 +tp21664 +a(g7 +V\u000a--TEMPLATE(file1.html.twig)--\u000a +p21665 +tp21666 +a(g737 +V{% +p21667 +tp21668 +a(g900 +g960 +tp21669 +a(g818 +Vblock +p21670 +tp21671 +a(g900 +g960 +tp21672 +a(g427 +Vfoobar +p21673 +tp21674 +a(g900 +g960 +tp21675 +a(g900 +g1678 +tp21676 +a(g737 +V%} +p21677 +tp21678 +a(g7 +V\u000a Content of block\u000a +p21679 +tp21680 +a(g737 +V{% +p21681 +tp21682 +a(g900 +g960 +tp21683 +a(g818 +Vendblock +p21684 +tp21685 +a(g900 +g960 +tp21686 +a(g427 +Vfoobar +p21687 +tp21688 +a(g900 +g960 +tp21689 +a(g737 +V%} +p21690 +tp21691 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aContent of block\u000aContent of block (first override)\u000aContent of block (second override)\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p21692 +tp21693 +a(g737 +V{% +p21694 +tp21695 +a(g900 +g960 +tp21696 +a(g818 +Vuse +p21697 +tp21698 +a(g900 +g960 +tp21699 +a(g216 +V'file2.html.twig' +p21700 +tp21701 +a(g900 +g960 +tp21702 +a(g737 +V%} +p21703 +tp21704 +a(g7 +V\u000a +p21705 +tp21706 +a(g737 +V{% +p21707 +tp21708 +a(g900 +g960 +tp21709 +a(g818 +Vuse +p21710 +tp21711 +a(g900 +g960 +tp21712 +a(g216 +V'file1.html.twig' +p21713 +tp21714 +a(g900 +g960 +tp21715 +a(g427 +Vwith +p21716 +tp21717 +a(g900 +g960 +tp21718 +a(g427 +Vfoo +p21719 +tp21720 +a(g900 +g960 +tp21721 +a(g737 +V%} +p21722 +tp21723 +a(g7 +V\u000a +p21724 +tp21725 +a(g737 +V{% +p21726 +tp21727 +a(g900 +g960 +tp21728 +a(g818 +Vblock +p21729 +tp21730 +a(g900 +g960 +tp21731 +a(g427 +Vfoo +p21732 +tp21733 +a(g900 +g960 +tp21734 +a(g737 +V%} +p21735 +tp21736 +a(g7 +V\u000a +p21737 +tp21738 +a(g737 +V{{ +p21739 +tp21740 +a(g399 +g1678 +tp21741 +a(g900 +g960 +tp21742 +a(g668 +Vparent +p21743 +tp21744 +a(g399 +g1145 +tp21745 +a(g399 +g1149 +tp21746 +a(g900 +g960 +tp21747 +a(g900 +g1678 +tp21748 +a(g737 +V}} +p21749 +tp21750 +a(g7 +V\u000a Content of foo (second override)\u000a +p21751 +tp21752 +a(g737 +V{% +p21753 +tp21754 +a(g900 +g960 +tp21755 +a(g818 +Vendblock +p21756 +tp21757 +a(g900 +g960 +tp21758 +a(g427 +Vfoo +p21759 +tp21760 +a(g900 +g960 +tp21761 +a(g737 +V%} +p21762 +tp21763 +a(g7 +V\u000a +p21764 +tp21765 +a(g737 +V{% +p21766 +tp21767 +a(g900 +g960 +tp21768 +a(g818 +Vblock +p21769 +tp21770 +a(g900 +g960 +tp21771 +a(g427 +Vbar +p21772 +tp21773 +a(g900 +g960 +tp21774 +a(g737 +V%} +p21775 +tp21776 +a(g7 +V\u000a +p21777 +tp21778 +a(g737 +V{{ +p21779 +tp21780 +a(g399 +g1678 +tp21781 +a(g900 +g960 +tp21782 +a(g668 +Vparent +p21783 +tp21784 +a(g399 +g1145 +tp21785 +a(g399 +g1149 +tp21786 +a(g900 +g960 +tp21787 +a(g900 +g1678 +tp21788 +a(g737 +V}} +p21789 +tp21790 +a(g7 +V\u000a Content of bar (second override)\u000a +p21791 +tp21792 +a(g737 +V{% +p21793 +tp21794 +a(g900 +g960 +tp21795 +a(g818 +Vendblock +p21796 +tp21797 +a(g900 +g960 +tp21798 +a(g427 +Vbar +p21799 +tp21800 +a(g900 +g960 +tp21801 +a(g737 +V%} +p21802 +tp21803 +a(g7 +V\u000a--TEMPLATE(file2.html.twig)--\u000a +p21804 +tp21805 +a(g737 +V{% +p21806 +tp21807 +a(g900 +g960 +tp21808 +a(g818 +Vuse +p21809 +tp21810 +a(g900 +g960 +tp21811 +a(g216 +V'file1.html.twig' +p21812 +tp21813 +a(g900 +g960 +tp21814 +a(g737 +V%} +p21815 +tp21816 +a(g7 +V\u000a +p21817 +tp21818 +a(g737 +V{% +p21819 +tp21820 +a(g900 +g960 +tp21821 +a(g818 +Vblock +p21822 +tp21823 +a(g900 +g960 +tp21824 +a(g427 +Vfoo +p21825 +tp21826 +a(g900 +g960 +tp21827 +a(g737 +V%} +p21828 +tp21829 +a(g7 +V\u000a +p21830 +tp21831 +a(g737 +V{{ +p21832 +tp21833 +a(g399 +g1678 +tp21834 +a(g900 +g960 +tp21835 +a(g668 +Vparent +p21836 +tp21837 +a(g399 +g1145 +tp21838 +a(g399 +g1149 +tp21839 +a(g900 +g960 +tp21840 +a(g900 +g1678 +tp21841 +a(g737 +V}} +p21842 +tp21843 +a(g7 +V\u000a Content of foo (first override)\u000a +p21844 +tp21845 +a(g737 +V{% +p21846 +tp21847 +a(g900 +g960 +tp21848 +a(g818 +Vendblock +p21849 +tp21850 +a(g900 +g960 +tp21851 +a(g427 +Vfoo +p21852 +tp21853 +a(g900 +g960 +tp21854 +a(g737 +V%} +p21855 +tp21856 +a(g7 +V\u000a +p21857 +tp21858 +a(g737 +V{% +p21859 +tp21860 +a(g900 +g960 +tp21861 +a(g818 +Vblock +p21862 +tp21863 +a(g900 +g960 +tp21864 +a(g427 +Vbar +p21865 +tp21866 +a(g900 +g960 +tp21867 +a(g737 +V%} +p21868 +tp21869 +a(g7 +V\u000a +p21870 +tp21871 +a(g737 +V{{ +p21872 +tp21873 +a(g399 +g1678 +tp21874 +a(g900 +g960 +tp21875 +a(g668 +Vparent +p21876 +tp21877 +a(g399 +g1145 +tp21878 +a(g399 +g1149 +tp21879 +a(g900 +g960 +tp21880 +a(g900 +g1678 +tp21881 +a(g737 +V}} +p21882 +tp21883 +a(g7 +V\u000a Content of bar (first override)\u000a +p21884 +tp21885 +a(g737 +V{% +p21886 +tp21887 +a(g900 +g960 +tp21888 +a(g818 +Vendblock +p21889 +tp21890 +a(g900 +g960 +tp21891 +a(g427 +Vbar +p21892 +tp21893 +a(g900 +g960 +tp21894 +a(g737 +V%} +p21895 +tp21896 +a(g7 +V\u000a--TEMPLATE(file1.html.twig)--\u000a +p21897 +tp21898 +a(g737 +V{% +p21899 +tp21900 +a(g900 +g960 +tp21901 +a(g818 +Vblock +p21902 +tp21903 +a(g900 +g960 +tp21904 +a(g427 +Vfoo +p21905 +tp21906 +a(g900 +g960 +tp21907 +a(g900 +g1678 +tp21908 +a(g737 +V%} +p21909 +tp21910 +a(g7 +V\u000a Content of foo\u000a +p21911 +tp21912 +a(g737 +V{% +p21913 +tp21914 +a(g900 +g960 +tp21915 +a(g818 +Vendblock +p21916 +tp21917 +a(g900 +g960 +tp21918 +a(g427 +Vfoo +p21919 +tp21920 +a(g900 +g960 +tp21921 +a(g737 +V%} +p21922 +tp21923 +a(g7 +V\u000a +p21924 +tp21925 +a(g737 +V{% +p21926 +tp21927 +a(g900 +g960 +tp21928 +a(g818 +Vblock +p21929 +tp21930 +a(g900 +g960 +tp21931 +a(g427 +Vbar +p21932 +tp21933 +a(g900 +g960 +tp21934 +a(g900 +g1678 +tp21935 +a(g737 +V%} +p21936 +tp21937 +a(g7 +V\u000a Content of bar\u000a +p21938 +tp21939 +a(g737 +V{% +p21940 +tp21941 +a(g900 +g960 +tp21942 +a(g818 +Vendblock +p21943 +tp21944 +a(g900 +g960 +tp21945 +a(g427 +Vbar +p21946 +tp21947 +a(g900 +g960 +tp21948 +a(g737 +V%} +p21949 +tp21950 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aContent of foo\u000aContent of foo (first override)\u000aContent of foo (second override)\u000aContent of bar\u000aContent of bar (second override)\u000a--TEST--\u000a"use" tag\u000a--TEMPLATE--\u000a +p21951 +tp21952 +a(g737 +V{% +p21953 +tp21954 +a(g900 +g960 +tp21955 +a(g818 +Vuse +p21956 +tp21957 +a(g900 +g960 +tp21958 +a(g216 +V'file2.html.twig' +p21959 +tp21960 +a(g900 +g960 +tp21961 +a(g427 +Vwith +p21962 +tp21963 +a(g900 +g960 +tp21964 +a(g427 +Vfoobar +p21965 +tp21966 +a(g900 +g960 +tp21967 +a(g427 +Vas +p21968 +tp21969 +a(g900 +g960 +tp21970 +a(g427 +Vbase_base_foobar +p21971 +tp21972 +a(g900 +g960 +tp21973 +a(g737 +V%} +p21974 +tp21975 +a(g7 +V\u000a +p21976 +tp21977 +a(g737 +V{% +p21978 +tp21979 +a(g900 +g960 +tp21980 +a(g818 +Vblock +p21981 +tp21982 +a(g900 +g960 +tp21983 +a(g427 +Vfoobar +p21984 +tp21985 +a(g900 +g960 +tp21986 +a(g737 +V%} +p21987 +tp21988 +a(g7 +V\u000a +p21989 +tp21990 +a(g737 +V{{ +p21991 +tp21992 +a(g399 +g1678 +tp21993 +a(g900 +g960 +tp21994 +a(g668 +Vblock +p21995 +tp21996 +a(g399 +g1145 +tp21997 +a(g216 +V'base_base_foobar' +p21998 +tp21999 +a(g399 +g1149 +tp22000 +a(g900 +g960 +tp22001 +a(g900 +g1678 +tp22002 +a(g737 +V}} +p22003 +tp22004 +a(g7 +V\u000a Content of block (second override)\u000a +p22005 +tp22006 +a(g737 +V{% +p22007 +tp22008 +a(g900 +g960 +tp22009 +a(g818 +Vendblock +p22010 +tp22011 +a(g900 +g960 +tp22012 +a(g427 +Vfoobar +p22013 +tp22014 +a(g900 +g960 +tp22015 +a(g737 +V%} +p22016 +tp22017 +a(g7 +V\u000a--TEMPLATE(file2.html.twig)--\u000a +p22018 +tp22019 +a(g737 +V{% +p22020 +tp22021 +a(g900 +g960 +tp22022 +a(g818 +Vuse +p22023 +tp22024 +a(g900 +g960 +tp22025 +a(g216 +V'file1.html.twig' +p22026 +tp22027 +a(g900 +g960 +tp22028 +a(g427 +Vwith +p22029 +tp22030 +a(g900 +g960 +tp22031 +a(g427 +Vfoobar +p22032 +tp22033 +a(g900 +g960 +tp22034 +a(g427 +Vas +p22035 +tp22036 +a(g900 +g960 +tp22037 +a(g427 +Vbase_foobar +p22038 +tp22039 +a(g900 +g960 +tp22040 +a(g737 +V%} +p22041 +tp22042 +a(g7 +V\u000a +p22043 +tp22044 +a(g737 +V{% +p22045 +tp22046 +a(g900 +g960 +tp22047 +a(g818 +Vblock +p22048 +tp22049 +a(g900 +g960 +tp22050 +a(g427 +Vfoobar +p22051 +tp22052 +a(g900 +g960 +tp22053 +a(g737 +V%} +p22054 +tp22055 +a(g7 +V\u000a +p22056 +tp22057 +a(g737 +V{{ +p22058 +tp22059 +a(g399 +g1678 +tp22060 +a(g900 +g960 +tp22061 +a(g668 +Vblock +p22062 +tp22063 +a(g399 +g1145 +tp22064 +a(g216 +V'base_foobar' +p22065 +tp22066 +a(g399 +g1149 +tp22067 +a(g900 +g960 +tp22068 +a(g900 +g1678 +tp22069 +a(g737 +V}} +p22070 +tp22071 +a(g7 +V\u000a Content of block (first override)\u000a +p22072 +tp22073 +a(g737 +V{% +p22074 +tp22075 +a(g900 +g960 +tp22076 +a(g818 +Vendblock +p22077 +tp22078 +a(g900 +g960 +tp22079 +a(g427 +Vfoobar +p22080 +tp22081 +a(g900 +g960 +tp22082 +a(g737 +V%} +p22083 +tp22084 +a(g7 +V\u000a--TEMPLATE(file1.html.twig)--\u000a +p22085 +tp22086 +a(g737 +V{% +p22087 +tp22088 +a(g900 +g960 +tp22089 +a(g818 +Vblock +p22090 +tp22091 +a(g900 +g960 +tp22092 +a(g427 +Vfoobar +p22093 +tp22094 +a(g900 +g960 +tp22095 +a(g900 +g1678 +tp22096 +a(g737 +V%} +p22097 +tp22098 +a(g7 +V\u000a Content of block\u000a +p22099 +tp22100 +a(g737 +V{% +p22101 +tp22102 +a(g900 +g960 +tp22103 +a(g818 +Vendblock +p22104 +tp22105 +a(g900 +g960 +tp22106 +a(g427 +Vfoobar +p22107 +tp22108 +a(g900 +g960 +tp22109 +a(g737 +V%} +p22110 +tp22111 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aContent of block\u000aContent of block (first override)\u000aContent of block (second override)\u000a--TEST--\u000a"verbatim" tag\u000a--TEMPLATE--\u000a +p22112 +tp22113 +a(g737 +V{% +p22114 +tp22115 +a(g900 +g960 +tp22116 +a(g818 +Vverbatim +p22117 +tp22118 +a(g900 +g960 +tp22119 +a(g737 +V%} +p22120 +tp22121 +a(g7 +V\u000a{{ foo }}\u000a +p22122 +tp22123 +a(g737 +V{% +p22124 +tp22125 +a(g900 +g960 +tp22126 +a(g818 +Vendverbatim +p22127 +tp22128 +a(g900 +g960 +tp22129 +a(g737 +V%} +p22130 +tp22131 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a +p22132 +tp22133 +a(g737 +V{{ +p22134 +tp22135 +a(g900 +g960 +tp22136 +a(g427 +Vfoo +p22137 +tp22138 +a(g900 +g960 +tp22139 +a(g737 +V}} +p22140 +tp22141 +a(g7 +V\u000a--TEST--\u000a"verbatim" tag\u000a--TEMPLATE--\u000a +p22142 +tp22143 +a(g737 +V{% +p22144 +tp22145 +a(g900 +g960 +tp22146 +a(g818 +Vverbatim +p22147 +tp22148 +a(g900 +g960 +tp22149 +a(g737 +V%} +p22150 +tp22151 +a(g7 +V\u000a{{ foo }}\u000a{% endraw %}\u000a--DATA--\u000areturn array()\u000a--EXCEPTION--\u000aTwig_Error_Syntax: Unexpected end of file: Unclosed "verbatim" block in "index.twig" at line 2\u000a--TEST--\u000a"verbatim" tag\u000a--TEMPLATE--\u000a1***\u000a\u000a{%- verbatim %}\u000a {{ 'bla' }}\u000a +p22152 +tp22153 +a(g737 +V{% +p22154 +tp22155 +a(g900 +g960 +tp22156 +a(g818 +Vendverbatim +p22157 +tp22158 +a(g900 +g960 +tp22159 +a(g737 +V%} +p22160 +tp22161 +a(g7 +V\u000a\u000a1***\u000a2***\u000a\u000a +p22162 +tp22163 +a(g737 +V{% +p22164 +tp22165 +a(g900 +V- +p22166 +tp22167 +a(g818 +Vverbatim +p22168 +tp22169 +a(g900 +V - +p22170 +tp22171 +a(g737 +V%} +p22172 +tp22173 +a(g7 +V\u000a {{ 'bla' }}\u000a +p22174 +tp22175 +a(g737 +V{% +p22176 +tp22177 +a(g900 +g960 +tp22178 +a(g818 +Vendverbatim +p22179 +tp22180 +a(g900 +g960 +tp22181 +a(g737 +V%} +p22182 +tp22183 +a(g7 +V\u000a\u000a2***\u000a3***\u000a\u000a +p22184 +tp22185 +a(g737 +V{% +p22186 +tp22187 +a(g900 +V- +p22188 +tp22189 +a(g818 +Vverbatim +p22190 +tp22191 +a(g900 +V - +p22192 +tp22193 +a(g737 +V%} +p22194 +tp22195 +a(g7 +V\u000a {{ 'bla' }}\u000a +p22196 +tp22197 +a(g737 +V{% +p22198 +tp22199 +a(g900 +g960 +tp22200 +a(g818 +Vendverbatim +p22201 +tp22202 +a(g900 +V - +p22203 +tp22204 +a(g737 +V%} +p22205 +tp22206 +a(g7 +V\u000a\u000a3***\u000a4***\u000a\u000a +p22207 +tp22208 +a(g737 +V{% +p22209 +tp22210 +a(g900 +V- +p22211 +tp22212 +a(g818 +Vverbatim +p22213 +tp22214 +a(g900 +V - +p22215 +tp22216 +a(g737 +V%} +p22217 +tp22218 +a(g7 +V\u000a {{ 'bla' }}\u000a +p22219 +tp22220 +a(g737 +V{% +p22221 +tp22222 +a(g900 +V- +p22223 +tp22224 +a(g818 +Vendverbatim +p22225 +tp22226 +a(g900 +g960 +tp22227 +a(g737 +V%} +p22228 +tp22229 +a(g7 +V\u000a\u000a4***\u000a5***\u000a\u000a +p22230 +tp22231 +a(g737 +V{% +p22232 +tp22233 +a(g900 +V- +p22234 +tp22235 +a(g818 +Vverbatim +p22236 +tp22237 +a(g900 +V - +p22238 +tp22239 +a(g737 +V%} +p22240 +tp22241 +a(g7 +V\u000a {{ 'bla' }}\u000a +p22242 +tp22243 +a(g737 +V{% +p22244 +tp22245 +a(g900 +V- +p22246 +tp22247 +a(g818 +Vendverbatim +p22248 +tp22249 +a(g900 +V - +p22250 +tp22251 +a(g737 +V%} +p22252 +tp22253 +a(g7 +V\u000a\u000a5***\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000a1***\u000a +p22254 +tp22255 +a(g737 +V{{ +p22256 +tp22257 +a(g900 +g960 +tp22258 +a(g216 +V'bla' +p22259 +tp22260 +a(g900 +g960 +tp22261 +a(g737 +V}} +p22262 +tp22263 +a(g7 +V\u000a\u000a\u000a1***\u000a2*** +p22264 +tp22265 +a(g737 +V{{ +p22266 +tp22267 +a(g900 +g960 +tp22268 +a(g216 +V'bla' +p22269 +tp22270 +a(g900 +g960 +tp22271 +a(g737 +V}} +p22272 +tp22273 +a(g7 +V\u000a\u000a\u000a2***\u000a3*** +p22274 +tp22275 +a(g737 +V{{ +p22276 +tp22277 +a(g900 +g960 +tp22278 +a(g216 +V'bla' +p22279 +tp22280 +a(g900 +g960 +tp22281 +a(g737 +V}} +p22282 +tp22283 +a(g7 +V\u000a3***\u000a4*** +p22284 +tp22285 +a(g737 +V{{ +p22286 +tp22287 +a(g900 +g960 +tp22288 +a(g216 +V'bla' +p22289 +tp22290 +a(g900 +g960 +tp22291 +a(g737 +V}} +p22292 +tp22293 +a(g7 +V\u000a\u000a4***\u000a5*** +p22294 +tp22295 +a(g737 +V{{ +p22296 +tp22297 +a(g900 +g960 +tp22298 +a(g216 +V'bla' +p22299 +tp22300 +a(g900 +g960 +tp22301 +a(g737 +V}} +p22302 +tp22303 +a(g7 +V5***\u000a--TEST--\u000aarray index test\u000a--TEMPLATE--\u000a +p22304 +tp22305 +a(g737 +V{% +p22306 +tp22307 +a(g900 +g960 +tp22308 +a(g818 +Vfor +p22309 +tp22310 +a(g900 +g960 +tp22311 +a(g427 +Vkey +p22312 +tp22313 +a(g399 +g1162 +tp22314 +a(g900 +g960 +tp22315 +a(g427 +Vvalue +p22316 +tp22317 +a(g900 +g960 +tp22318 +a(g818 +Vin +p22319 +tp22320 +a(g900 +g960 +tp22321 +a(g427 +Vdays +p22322 +tp22323 +a(g900 +g960 +tp22324 +a(g737 +V%} +p22325 +tp22326 +a(g7 +V\u000a +p22327 +tp22328 +a(g737 +V{{ +p22329 +tp22330 +a(g900 +g960 +tp22331 +a(g427 +Vkey +p22332 +tp22333 +a(g900 +g960 +tp22334 +a(g737 +V}} +p22335 +tp22336 +a(g7 +V\u000a +p22337 +tp22338 +a(g737 +V{% +p22339 +tp22340 +a(g900 +g960 +tp22341 +a(g818 +Vendfor +p22342 +tp22343 +a(g900 +g960 +tp22344 +a(g737 +V%} +p22345 +tp22346 +a(g7 +V\u000a--DATA--\u000areturn array('days' => array(\u000a 1 => array('money' => 9),\u000a 2 => array('money' => 21),\u000a 3 => array('money' => 38),\u000a 4 => array('money' => 6),\u000a 18 => array('money' => 6),\u000a 19 => array('money' => 3),\u000a 31 => array('money' => 11),\u000a));\u000a--EXPECT--\u000a1\u000a2\u000a3\u000a4\u000a18\u000a19\u000a31\u000a--TEST--\u000a"const" test\u000a--TEMPLATE--\u000a +p22347 +tp22348 +a(g737 +V{{ +p22349 +tp22350 +a(g900 +g960 +tp22351 +a(g17 +g2215 +tp22352 +a(g900 +g960 +tp22353 +a(g818 +Vis +p22354 +tp22355 +a(g900 +g960 +tp22356 +a(g560 +Vconstant +p22357 +tp22358 +a(g399 +g1145 +tp22359 +a(g216 +V'E_NOTICE' +p22360 +tp22361 +a(g399 +g1149 +tp22362 +a(g900 +g960 +tp22363 +a(g399 +g2039 +tp22364 +a(g900 +g960 +tp22365 +a(g216 +V'ok' +p22366 +tp22367 +a(g900 +g960 +tp22368 +a(g399 +g1210 +tp22369 +a(g900 +g960 +tp22370 +a(g216 +V'no' +p22371 +tp22372 +a(g900 +g960 +tp22373 +a(g737 +V}} +p22374 +tp22375 +a(g7 +V\u000a +p22376 +tp22377 +a(g737 +V{{ +p22378 +tp22379 +a(g900 +g960 +tp22380 +a(g216 +V'bar' +p22381 +tp22382 +a(g900 +g960 +tp22383 +a(g818 +Vis +p22384 +tp22385 +a(g900 +g960 +tp22386 +a(g560 +Vconstant +p22387 +tp22388 +a(g399 +g1145 +tp22389 +a(g216 +V'TwigTestFoo::BAR_NAME' +p22390 +tp22391 +a(g399 +g1149 +tp22392 +a(g900 +g960 +tp22393 +a(g399 +g2039 +tp22394 +a(g900 +g960 +tp22395 +a(g216 +V'ok' +p22396 +tp22397 +a(g900 +g960 +tp22398 +a(g399 +g1210 +tp22399 +a(g900 +g960 +tp22400 +a(g216 +V'no' +p22401 +tp22402 +a(g900 +g960 +tp22403 +a(g737 +V}} +p22404 +tp22405 +a(g7 +V\u000a +p22406 +tp22407 +a(g737 +V{{ +p22408 +tp22409 +a(g900 +g960 +tp22410 +a(g427 +Vvalue +p22411 +tp22412 +a(g900 +g960 +tp22413 +a(g818 +Vis +p22414 +tp22415 +a(g900 +g960 +tp22416 +a(g560 +Vconstant +p22417 +tp22418 +a(g399 +g1145 +tp22419 +a(g216 +V'TwigTestFoo::BAR_NAME' +p22420 +tp22421 +a(g399 +g1149 +tp22422 +a(g900 +g960 +tp22423 +a(g399 +g2039 +tp22424 +a(g900 +g960 +tp22425 +a(g216 +V'ok' +p22426 +tp22427 +a(g900 +g960 +tp22428 +a(g399 +g1210 +tp22429 +a(g900 +g960 +tp22430 +a(g216 +V'no' +p22431 +tp22432 +a(g900 +g960 +tp22433 +a(g737 +V}} +p22434 +tp22435 +a(g7 +V\u000a +p22436 +tp22437 +a(g737 +V{{ +p22438 +tp22439 +a(g900 +g960 +tp22440 +a(g17 +g1165 +tp22441 +a(g900 +g960 +tp22442 +a(g818 +Vis +p22443 +tp22444 +a(g900 +g960 +tp22445 +a(g560 +Vconstant +p22446 +tp22447 +a(g399 +g1145 +tp22448 +a(g216 +V'ARRAY_AS_PROPS' +p22449 +tp22450 +a(g399 +g1162 +tp22451 +a(g900 +g960 +tp22452 +a(g427 +Vobject +p22453 +tp22454 +a(g399 +g1149 +tp22455 +a(g900 +g960 +tp22456 +a(g399 +g2039 +tp22457 +a(g900 +g960 +tp22458 +a(g216 +V'ok' +p22459 +tp22460 +a(g900 +g960 +tp22461 +a(g399 +g1210 +tp22462 +a(g900 +g960 +tp22463 +a(g216 +V'no' +p22464 +tp22465 +a(g900 +g960 +tp22466 +a(g737 +V}} +p22467 +tp22468 +a(g7 +V\u000a--DATA--\u000areturn array('value' => 'bar', 'object' => new ArrayObject(array('hi')));\u000a--EXPECT--\u000aok\u000aok\u000aok\u000aok--TEST--\u000a"defined" test\u000a--TEMPLATE--\u000a +p22469 +tp22470 +a(g737 +V{{ +p22471 +tp22472 +a(g900 +g960 +tp22473 +a(g427 +VdefinedVar +p22474 +tp22475 +a(g900 +V +p22476 +tp22477 +a(g818 +Vis +p22478 +tp22479 +a(g900 +V +p22480 +tp22481 +a(g560 +Vdefined +p22482 +tp22483 +a(g900 +g960 +tp22484 +a(g399 +g2039 +tp22485 +a(g900 +g960 +tp22486 +a(g216 +V'ok' +p22487 +tp22488 +a(g900 +g960 +tp22489 +a(g399 +g1210 +tp22490 +a(g900 +g960 +tp22491 +a(g216 +V'ko' +p22492 +tp22493 +a(g900 +g960 +tp22494 +a(g737 +V}} +p22495 +tp22496 +a(g7 +V\u000a +p22497 +tp22498 +a(g737 +V{{ +p22499 +tp22500 +a(g900 +g960 +tp22501 +a(g427 +VdefinedVar +p22502 +tp22503 +a(g900 +V +p22504 +tp22505 +a(g818 +Vis +p22506 +tp22507 +a(g900 +g960 +tp22508 +a(g818 +Vnot +p22509 +tp22510 +a(g900 +g960 +tp22511 +a(g560 +Vdefined +p22512 +tp22513 +a(g900 +g960 +tp22514 +a(g399 +g2039 +tp22515 +a(g900 +g960 +tp22516 +a(g216 +V'ko' +p22517 +tp22518 +a(g900 +g960 +tp22519 +a(g399 +g1210 +tp22520 +a(g900 +g960 +tp22521 +a(g216 +V'ok' +p22522 +tp22523 +a(g900 +g960 +tp22524 +a(g737 +V}} +p22525 +tp22526 +a(g7 +V\u000a +p22527 +tp22528 +a(g737 +V{{ +p22529 +tp22530 +a(g900 +g960 +tp22531 +a(g427 +VundefinedVar +p22532 +tp22533 +a(g900 +V +p22534 +tp22535 +a(g818 +Vis +p22536 +tp22537 +a(g900 +V +p22538 +tp22539 +a(g560 +Vdefined +p22540 +tp22541 +a(g900 +g960 +tp22542 +a(g399 +g2039 +tp22543 +a(g900 +g960 +tp22544 +a(g216 +V'ko' +p22545 +tp22546 +a(g900 +g960 +tp22547 +a(g399 +g1210 +tp22548 +a(g900 +g960 +tp22549 +a(g216 +V'ok' +p22550 +tp22551 +a(g900 +g960 +tp22552 +a(g737 +V}} +p22553 +tp22554 +a(g7 +V\u000a +p22555 +tp22556 +a(g737 +V{{ +p22557 +tp22558 +a(g900 +g960 +tp22559 +a(g427 +VundefinedVar +p22560 +tp22561 +a(g900 +V +p22562 +tp22563 +a(g818 +Vis +p22564 +tp22565 +a(g900 +g960 +tp22566 +a(g818 +Vnot +p22567 +tp22568 +a(g900 +g960 +tp22569 +a(g560 +Vdefined +p22570 +tp22571 +a(g900 +g960 +tp22572 +a(g399 +g2039 +tp22573 +a(g900 +g960 +tp22574 +a(g216 +V'ok' +p22575 +tp22576 +a(g900 +g960 +tp22577 +a(g399 +g1210 +tp22578 +a(g900 +g960 +tp22579 +a(g216 +V'ko' +p22580 +tp22581 +a(g900 +g960 +tp22582 +a(g737 +V}} +p22583 +tp22584 +a(g7 +V\u000a +p22585 +tp22586 +a(g737 +V{{ +p22587 +tp22588 +a(g900 +g960 +tp22589 +a(g427 +VzeroVar +p22590 +tp22591 +a(g900 +V +p22592 +tp22593 +a(g818 +Vis +p22594 +tp22595 +a(g900 +V +p22596 +tp22597 +a(g560 +Vdefined +p22598 +tp22599 +a(g900 +g960 +tp22600 +a(g399 +g2039 +tp22601 +a(g900 +g960 +tp22602 +a(g216 +V'ok' +p22603 +tp22604 +a(g900 +g960 +tp22605 +a(g399 +g1210 +tp22606 +a(g900 +g960 +tp22607 +a(g216 +V'ko' +p22608 +tp22609 +a(g900 +g960 +tp22610 +a(g737 +V}} +p22611 +tp22612 +a(g7 +V\u000a +p22613 +tp22614 +a(g737 +V{{ +p22615 +tp22616 +a(g900 +g960 +tp22617 +a(g427 +VnullVar +p22618 +tp22619 +a(g900 +V +p22620 +tp22621 +a(g818 +Vis +p22622 +tp22623 +a(g900 +V +p22624 +tp22625 +a(g560 +Vdefined +p22626 +tp22627 +a(g900 +g960 +tp22628 +a(g399 +g2039 +tp22629 +a(g900 +g960 +tp22630 +a(g216 +V'ok' +p22631 +tp22632 +a(g900 +g960 +tp22633 +a(g399 +g1210 +tp22634 +a(g900 +g960 +tp22635 +a(g216 +V'ko' +p22636 +tp22637 +a(g900 +g960 +tp22638 +a(g737 +V}} +p22639 +tp22640 +a(g7 +V\u000a +p22641 +tp22642 +a(g737 +V{{ +p22643 +tp22644 +a(g900 +g960 +tp22645 +a(g427 +Vnested +p22646 +tp22647 +a(g427 +V.definedVar +p22648 +tp22649 +a(g900 +V +p22650 +tp22651 +a(g818 +Vis +p22652 +tp22653 +a(g900 +V +p22654 +tp22655 +a(g560 +Vdefined +p22656 +tp22657 +a(g900 +g960 +tp22658 +a(g399 +g2039 +tp22659 +a(g900 +g960 +tp22660 +a(g216 +V'ok' +p22661 +tp22662 +a(g900 +g960 +tp22663 +a(g399 +g1210 +tp22664 +a(g900 +g960 +tp22665 +a(g216 +V'ko' +p22666 +tp22667 +a(g900 +g960 +tp22668 +a(g737 +V}} +p22669 +tp22670 +a(g7 +V\u000a +p22671 +tp22672 +a(g737 +V{{ +p22673 +tp22674 +a(g900 +g960 +tp22675 +a(g427 +Vnested +p22676 +tp22677 +a(g399 +g1089 +tp22678 +a(g216 +V'definedVar' +p22679 +tp22680 +a(g399 +g1093 +tp22681 +a(g900 +V +p22682 +tp22683 +a(g818 +Vis +p22684 +tp22685 +a(g900 +V +p22686 +tp22687 +a(g560 +Vdefined +p22688 +tp22689 +a(g900 +g960 +tp22690 +a(g399 +g2039 +tp22691 +a(g900 +g960 +tp22692 +a(g216 +V'ok' +p22693 +tp22694 +a(g900 +g960 +tp22695 +a(g399 +g1210 +tp22696 +a(g900 +g960 +tp22697 +a(g216 +V'ko' +p22698 +tp22699 +a(g900 +g960 +tp22700 +a(g737 +V}} +p22701 +tp22702 +a(g7 +V\u000a +p22703 +tp22704 +a(g737 +V{{ +p22705 +tp22706 +a(g900 +g960 +tp22707 +a(g427 +Vnested +p22708 +tp22709 +a(g427 +V.definedVar +p22710 +tp22711 +a(g900 +V +p22712 +tp22713 +a(g818 +Vis +p22714 +tp22715 +a(g900 +g960 +tp22716 +a(g818 +Vnot +p22717 +tp22718 +a(g900 +g960 +tp22719 +a(g560 +Vdefined +p22720 +tp22721 +a(g900 +g960 +tp22722 +a(g399 +g2039 +tp22723 +a(g900 +g960 +tp22724 +a(g216 +V'ko' +p22725 +tp22726 +a(g900 +g960 +tp22727 +a(g399 +g1210 +tp22728 +a(g900 +g960 +tp22729 +a(g216 +V'ok' +p22730 +tp22731 +a(g900 +g960 +tp22732 +a(g737 +V}} +p22733 +tp22734 +a(g7 +V\u000a +p22735 +tp22736 +a(g737 +V{{ +p22737 +tp22738 +a(g900 +g960 +tp22739 +a(g427 +Vnested +p22740 +tp22741 +a(g427 +V.undefinedVar +p22742 +tp22743 +a(g900 +V +p22744 +tp22745 +a(g818 +Vis +p22746 +tp22747 +a(g900 +V +p22748 +tp22749 +a(g560 +Vdefined +p22750 +tp22751 +a(g900 +g960 +tp22752 +a(g399 +g2039 +tp22753 +a(g900 +g960 +tp22754 +a(g216 +V'ko' +p22755 +tp22756 +a(g900 +g960 +tp22757 +a(g399 +g1210 +tp22758 +a(g900 +g960 +tp22759 +a(g216 +V'ok' +p22760 +tp22761 +a(g900 +g960 +tp22762 +a(g737 +V}} +p22763 +tp22764 +a(g7 +V\u000a +p22765 +tp22766 +a(g737 +V{{ +p22767 +tp22768 +a(g900 +g960 +tp22769 +a(g427 +Vnested +p22770 +tp22771 +a(g399 +g1089 +tp22772 +a(g216 +V'undefinedVar' +p22773 +tp22774 +a(g399 +g1093 +tp22775 +a(g900 +V +p22776 +tp22777 +a(g818 +Vis +p22778 +tp22779 +a(g900 +V +p22780 +tp22781 +a(g560 +Vdefined +p22782 +tp22783 +a(g900 +g960 +tp22784 +a(g399 +g2039 +tp22785 +a(g900 +g960 +tp22786 +a(g216 +V'ko' +p22787 +tp22788 +a(g900 +g960 +tp22789 +a(g399 +g1210 +tp22790 +a(g900 +g960 +tp22791 +a(g216 +V'ok' +p22792 +tp22793 +a(g900 +g960 +tp22794 +a(g737 +V}} +p22795 +tp22796 +a(g7 +V\u000a +p22797 +tp22798 +a(g737 +V{{ +p22799 +tp22800 +a(g900 +g960 +tp22801 +a(g427 +Vnested +p22802 +tp22803 +a(g427 +V.undefinedVar +p22804 +tp22805 +a(g900 +V +p22806 +tp22807 +a(g818 +Vis +p22808 +tp22809 +a(g900 +g960 +tp22810 +a(g818 +Vnot +p22811 +tp22812 +a(g900 +g960 +tp22813 +a(g560 +Vdefined +p22814 +tp22815 +a(g900 +g960 +tp22816 +a(g399 +g2039 +tp22817 +a(g900 +g960 +tp22818 +a(g216 +V'ok' +p22819 +tp22820 +a(g900 +g960 +tp22821 +a(g399 +g1210 +tp22822 +a(g900 +g960 +tp22823 +a(g216 +V'ko' +p22824 +tp22825 +a(g900 +g960 +tp22826 +a(g737 +V}} +p22827 +tp22828 +a(g7 +V\u000a +p22829 +tp22830 +a(g737 +V{{ +p22831 +tp22832 +a(g900 +g960 +tp22833 +a(g427 +Vnested +p22834 +tp22835 +a(g427 +V.zeroVar +p22836 +tp22837 +a(g900 +V +p22838 +tp22839 +a(g818 +Vis +p22840 +tp22841 +a(g900 +V +p22842 +tp22843 +a(g560 +Vdefined +p22844 +tp22845 +a(g900 +g960 +tp22846 +a(g399 +g2039 +tp22847 +a(g900 +g960 +tp22848 +a(g216 +V'ok' +p22849 +tp22850 +a(g900 +g960 +tp22851 +a(g399 +g1210 +tp22852 +a(g900 +g960 +tp22853 +a(g216 +V'ko' +p22854 +tp22855 +a(g900 +g960 +tp22856 +a(g737 +V}} +p22857 +tp22858 +a(g7 +V\u000a +p22859 +tp22860 +a(g737 +V{{ +p22861 +tp22862 +a(g900 +g960 +tp22863 +a(g427 +Vnested +p22864 +tp22865 +a(g427 +V.nullVar +p22866 +tp22867 +a(g900 +V +p22868 +tp22869 +a(g818 +Vis +p22870 +tp22871 +a(g900 +V +p22872 +tp22873 +a(g560 +Vdefined +p22874 +tp22875 +a(g900 +g960 +tp22876 +a(g399 +g2039 +tp22877 +a(g900 +g960 +tp22878 +a(g216 +V'ok' +p22879 +tp22880 +a(g900 +g960 +tp22881 +a(g399 +g1210 +tp22882 +a(g900 +g960 +tp22883 +a(g216 +V'ko' +p22884 +tp22885 +a(g900 +g960 +tp22886 +a(g737 +V}} +p22887 +tp22888 +a(g7 +V\u000a +p22889 +tp22890 +a(g737 +V{{ +p22891 +tp22892 +a(g900 +g960 +tp22893 +a(g427 +Vnested +p22894 +tp22895 +a(g427 +V.definedArray +p22896 +tp22897 +a(g17 +V.0 +p22898 +tp22899 +a(g900 +V +p22900 +tp22901 +a(g818 +Vis +p22902 +tp22903 +a(g900 +V +p22904 +tp22905 +a(g560 +Vdefined +p22906 +tp22907 +a(g900 +g960 +tp22908 +a(g399 +g2039 +tp22909 +a(g900 +g960 +tp22910 +a(g216 +V'ok' +p22911 +tp22912 +a(g900 +g960 +tp22913 +a(g399 +g1210 +tp22914 +a(g900 +g960 +tp22915 +a(g216 +V'ko' +p22916 +tp22917 +a(g900 +g960 +tp22918 +a(g737 +V}} +p22919 +tp22920 +a(g7 +V\u000a +p22921 +tp22922 +a(g737 +V{{ +p22923 +tp22924 +a(g900 +g960 +tp22925 +a(g427 +Vnested +p22926 +tp22927 +a(g399 +g1089 +tp22928 +a(g216 +V'definedArray' +p22929 +tp22930 +a(g399 +g1093 +tp22931 +a(g399 +g1089 +tp22932 +a(g17 +g1208 +tp22933 +a(g399 +g1093 +tp22934 +a(g900 +V +p22935 +tp22936 +a(g818 +Vis +p22937 +tp22938 +a(g900 +V +p22939 +tp22940 +a(g560 +Vdefined +p22941 +tp22942 +a(g900 +g960 +tp22943 +a(g399 +g2039 +tp22944 +a(g900 +g960 +tp22945 +a(g216 +V'ok' +p22946 +tp22947 +a(g900 +g960 +tp22948 +a(g399 +g1210 +tp22949 +a(g900 +g960 +tp22950 +a(g216 +V'ko' +p22951 +tp22952 +a(g900 +g960 +tp22953 +a(g737 +V}} +p22954 +tp22955 +a(g7 +V\u000a +p22956 +tp22957 +a(g737 +V{{ +p22958 +tp22959 +a(g900 +g960 +tp22960 +a(g427 +Vobject +p22961 +tp22962 +a(g427 +V.foo +p22963 +tp22964 +a(g900 +V +p22965 +tp22966 +a(g818 +Vis +p22967 +tp22968 +a(g900 +V +p22969 +tp22970 +a(g560 +Vdefined +p22971 +tp22972 +a(g900 +g960 +tp22973 +a(g399 +g2039 +tp22974 +a(g900 +g960 +tp22975 +a(g216 +V'ok' +p22976 +tp22977 +a(g900 +g960 +tp22978 +a(g399 +g1210 +tp22979 +a(g900 +g960 +tp22980 +a(g216 +V'ko' +p22981 +tp22982 +a(g900 +g960 +tp22983 +a(g737 +V}} +p22984 +tp22985 +a(g7 +V\u000a +p22986 +tp22987 +a(g737 +V{{ +p22988 +tp22989 +a(g900 +g960 +tp22990 +a(g427 +Vobject +p22991 +tp22992 +a(g427 +V.undefinedMethod +p22993 +tp22994 +a(g900 +V +p22995 +tp22996 +a(g818 +Vis +p22997 +tp22998 +a(g900 +V +p22999 +tp23000 +a(g560 +Vdefined +p23001 +tp23002 +a(g900 +g960 +tp23003 +a(g399 +g2039 +tp23004 +a(g900 +g960 +tp23005 +a(g216 +V'ko' +p23006 +tp23007 +a(g900 +g960 +tp23008 +a(g399 +g1210 +tp23009 +a(g900 +g960 +tp23010 +a(g216 +V'ok' +p23011 +tp23012 +a(g900 +g960 +tp23013 +a(g737 +V}} +p23014 +tp23015 +a(g7 +V\u000a +p23016 +tp23017 +a(g737 +V{{ +p23018 +tp23019 +a(g900 +g960 +tp23020 +a(g427 +Vobject +p23021 +tp23022 +a(g427 +V.getFoo +p23023 +tp23024 +a(g399 +g1145 +tp23025 +a(g399 +g1149 +tp23026 +a(g900 +V +p23027 +tp23028 +a(g818 +Vis +p23029 +tp23030 +a(g900 +V +p23031 +tp23032 +a(g560 +Vdefined +p23033 +tp23034 +a(g900 +g960 +tp23035 +a(g399 +g2039 +tp23036 +a(g900 +g960 +tp23037 +a(g216 +V'ok' +p23038 +tp23039 +a(g900 +g960 +tp23040 +a(g399 +g1210 +tp23041 +a(g900 +g960 +tp23042 +a(g216 +V'ko' +p23043 +tp23044 +a(g900 +g960 +tp23045 +a(g737 +V}} +p23046 +tp23047 +a(g7 +V\u000a +p23048 +tp23049 +a(g737 +V{{ +p23050 +tp23051 +a(g900 +g960 +tp23052 +a(g427 +Vobject +p23053 +tp23054 +a(g427 +V.getFoo +p23055 +tp23056 +a(g399 +g1145 +tp23057 +a(g216 +V'a' +p23058 +tp23059 +a(g399 +g1149 +tp23060 +a(g900 +V +p23061 +tp23062 +a(g818 +Vis +p23063 +tp23064 +a(g900 +V +p23065 +tp23066 +a(g560 +Vdefined +p23067 +tp23068 +a(g900 +g960 +tp23069 +a(g399 +g2039 +tp23070 +a(g900 +g960 +tp23071 +a(g216 +V'ok' +p23072 +tp23073 +a(g900 +g960 +tp23074 +a(g399 +g1210 +tp23075 +a(g900 +g960 +tp23076 +a(g216 +V'ko' +p23077 +tp23078 +a(g900 +g960 +tp23079 +a(g737 +V}} +p23080 +tp23081 +a(g7 +V\u000a +p23082 +tp23083 +a(g737 +V{{ +p23084 +tp23085 +a(g900 +g960 +tp23086 +a(g427 +Vobject +p23087 +tp23088 +a(g427 +V.undefinedMethod +p23089 +tp23090 +a(g399 +g1145 +tp23091 +a(g399 +g1149 +tp23092 +a(g900 +V +p23093 +tp23094 +a(g818 +Vis +p23095 +tp23096 +a(g900 +V +p23097 +tp23098 +a(g560 +Vdefined +p23099 +tp23100 +a(g900 +g960 +tp23101 +a(g399 +g2039 +tp23102 +a(g900 +g960 +tp23103 +a(g216 +V'ko' +p23104 +tp23105 +a(g900 +g960 +tp23106 +a(g399 +g1210 +tp23107 +a(g900 +g960 +tp23108 +a(g216 +V'ok' +p23109 +tp23110 +a(g900 +g960 +tp23111 +a(g737 +V}} +p23112 +tp23113 +a(g7 +V\u000a +p23114 +tp23115 +a(g737 +V{{ +p23116 +tp23117 +a(g900 +g960 +tp23118 +a(g427 +Vobject +p23119 +tp23120 +a(g427 +V.undefinedMethod +p23121 +tp23122 +a(g399 +g1145 +tp23123 +a(g216 +V'a' +p23124 +tp23125 +a(g399 +g1149 +tp23126 +a(g900 +V +p23127 +tp23128 +a(g818 +Vis +p23129 +tp23130 +a(g900 +V +p23131 +tp23132 +a(g560 +Vdefined +p23133 +tp23134 +a(g900 +g960 +tp23135 +a(g399 +g2039 +tp23136 +a(g900 +g960 +tp23137 +a(g216 +V'ko' +p23138 +tp23139 +a(g900 +g960 +tp23140 +a(g399 +g1210 +tp23141 +a(g900 +g960 +tp23142 +a(g216 +V'ok' +p23143 +tp23144 +a(g900 +g960 +tp23145 +a(g737 +V}} +p23146 +tp23147 +a(g7 +V\u000a +p23148 +tp23149 +a(g737 +V{{ +p23150 +tp23151 +a(g900 +g960 +tp23152 +a(g427 +Vobject +p23153 +tp23154 +a(g427 +V.self +p23155 +tp23156 +a(g427 +V.foo +p23157 +tp23158 +a(g900 +V +p23159 +tp23160 +a(g818 +Vis +p23161 +tp23162 +a(g900 +V +p23163 +tp23164 +a(g560 +Vdefined +p23165 +tp23166 +a(g900 +g960 +tp23167 +a(g399 +g2039 +tp23168 +a(g900 +g960 +tp23169 +a(g216 +V'ok' +p23170 +tp23171 +a(g900 +g960 +tp23172 +a(g399 +g1210 +tp23173 +a(g900 +g960 +tp23174 +a(g216 +V'ko' +p23175 +tp23176 +a(g900 +g960 +tp23177 +a(g737 +V}} +p23178 +tp23179 +a(g7 +V\u000a +p23180 +tp23181 +a(g737 +V{{ +p23182 +tp23183 +a(g900 +g960 +tp23184 +a(g427 +Vobject +p23185 +tp23186 +a(g427 +V.self +p23187 +tp23188 +a(g427 +V.undefinedMethod +p23189 +tp23190 +a(g900 +V +p23191 +tp23192 +a(g818 +Vis +p23193 +tp23194 +a(g900 +V +p23195 +tp23196 +a(g560 +Vdefined +p23197 +tp23198 +a(g900 +g960 +tp23199 +a(g399 +g2039 +tp23200 +a(g900 +g960 +tp23201 +a(g216 +V'ko' +p23202 +tp23203 +a(g900 +g960 +tp23204 +a(g399 +g1210 +tp23205 +a(g900 +g960 +tp23206 +a(g216 +V'ok' +p23207 +tp23208 +a(g900 +g960 +tp23209 +a(g737 +V}} +p23210 +tp23211 +a(g7 +V\u000a +p23212 +tp23213 +a(g737 +V{{ +p23214 +tp23215 +a(g900 +g960 +tp23216 +a(g427 +Vobject +p23217 +tp23218 +a(g427 +V.undefinedMethod +p23219 +tp23220 +a(g427 +V.self +p23221 +tp23222 +a(g900 +V +p23223 +tp23224 +a(g818 +Vis +p23225 +tp23226 +a(g900 +V +p23227 +tp23228 +a(g560 +Vdefined +p23229 +tp23230 +a(g900 +g960 +tp23231 +a(g399 +g2039 +tp23232 +a(g900 +g960 +tp23233 +a(g216 +V'ko' +p23234 +tp23235 +a(g900 +g960 +tp23236 +a(g399 +g1210 +tp23237 +a(g900 +g960 +tp23238 +a(g216 +V'ok' +p23239 +tp23240 +a(g900 +g960 +tp23241 +a(g737 +V}} +p23242 +tp23243 +a(g7 +V\u000a--DATA--\u000areturn array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'nullVar' => null,\u000a 'nested' => array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'nullVar' => null,\u000a 'definedArray' => array(0),\u000a ),\u000a 'object' => new TwigTestFoo(),\u000a);\u000a--EXPECT--\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000a--DATA--\u000areturn array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'nullVar' => null,\u000a 'nested' => array(\u000a 'definedVar' => 'defined',\u000a 'zeroVar' => 0,\u000a 'nullVar' => null,\u000a 'definedArray' => array(0),\u000a ),\u000a 'object' => new TwigTestFoo(),\u000a);\u000a--CONFIG--\u000areturn array('strict_variables' => false)\u000a--EXPECT--\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000aok\u000a--TEST--\u000a"empty" test\u000a--TEMPLATE--\u000a +p23244 +tp23245 +a(g737 +V{{ +p23246 +tp23247 +a(g900 +g960 +tp23248 +a(g427 +Vfoo +p23249 +tp23250 +a(g900 +g960 +tp23251 +a(g818 +Vis +p23252 +tp23253 +a(g900 +g960 +tp23254 +a(g560 +Vempty +p23255 +tp23256 +a(g900 +g960 +tp23257 +a(g399 +g2039 +tp23258 +a(g900 +g960 +tp23259 +a(g216 +V'ok' +p23260 +tp23261 +a(g900 +g960 +tp23262 +a(g399 +g1210 +tp23263 +a(g900 +g960 +tp23264 +a(g216 +V'ko' +p23265 +tp23266 +a(g900 +g960 +tp23267 +a(g737 +V}} +p23268 +tp23269 +a(g7 +V\u000a +p23270 +tp23271 +a(g737 +V{{ +p23272 +tp23273 +a(g900 +g960 +tp23274 +a(g427 +Vbar +p23275 +tp23276 +a(g900 +g960 +tp23277 +a(g818 +Vis +p23278 +tp23279 +a(g900 +g960 +tp23280 +a(g560 +Vempty +p23281 +tp23282 +a(g900 +g960 +tp23283 +a(g399 +g2039 +tp23284 +a(g900 +g960 +tp23285 +a(g216 +V'ok' +p23286 +tp23287 +a(g900 +g960 +tp23288 +a(g399 +g1210 +tp23289 +a(g900 +g960 +tp23290 +a(g216 +V'ko' +p23291 +tp23292 +a(g900 +g960 +tp23293 +a(g737 +V}} +p23294 +tp23295 +a(g7 +V\u000a +p23296 +tp23297 +a(g737 +V{{ +p23298 +tp23299 +a(g900 +g960 +tp23300 +a(g427 +Vfoobar +p23301 +tp23302 +a(g900 +g960 +tp23303 +a(g818 +Vis +p23304 +tp23305 +a(g900 +g960 +tp23306 +a(g560 +Vempty +p23307 +tp23308 +a(g900 +g960 +tp23309 +a(g399 +g2039 +tp23310 +a(g900 +g960 +tp23311 +a(g216 +V'ok' +p23312 +tp23313 +a(g900 +g960 +tp23314 +a(g399 +g1210 +tp23315 +a(g900 +g960 +tp23316 +a(g216 +V'ko' +p23317 +tp23318 +a(g900 +g960 +tp23319 +a(g737 +V}} +p23320 +tp23321 +a(g7 +V\u000a +p23322 +tp23323 +a(g737 +V{{ +p23324 +tp23325 +a(g900 +g960 +tp23326 +a(g427 +Varray +p23327 +tp23328 +a(g900 +g960 +tp23329 +a(g818 +Vis +p23330 +tp23331 +a(g900 +g960 +tp23332 +a(g560 +Vempty +p23333 +tp23334 +a(g900 +g960 +tp23335 +a(g399 +g2039 +tp23336 +a(g900 +g960 +tp23337 +a(g216 +V'ok' +p23338 +tp23339 +a(g900 +g960 +tp23340 +a(g399 +g1210 +tp23341 +a(g900 +g960 +tp23342 +a(g216 +V'ko' +p23343 +tp23344 +a(g900 +g960 +tp23345 +a(g737 +V}} +p23346 +tp23347 +a(g7 +V\u000a +p23348 +tp23349 +a(g737 +V{{ +p23350 +tp23351 +a(g900 +g960 +tp23352 +a(g427 +Vzero +p23353 +tp23354 +a(g900 +g960 +tp23355 +a(g818 +Vis +p23356 +tp23357 +a(g900 +g960 +tp23358 +a(g560 +Vempty +p23359 +tp23360 +a(g900 +g960 +tp23361 +a(g399 +g2039 +tp23362 +a(g900 +g960 +tp23363 +a(g216 +V'ok' +p23364 +tp23365 +a(g900 +g960 +tp23366 +a(g399 +g1210 +tp23367 +a(g900 +g960 +tp23368 +a(g216 +V'ko' +p23369 +tp23370 +a(g900 +g960 +tp23371 +a(g737 +V}} +p23372 +tp23373 +a(g7 +V\u000a +p23374 +tp23375 +a(g737 +V{{ +p23376 +tp23377 +a(g900 +g960 +tp23378 +a(g427 +Vstring +p23379 +tp23380 +a(g900 +g960 +tp23381 +a(g818 +Vis +p23382 +tp23383 +a(g900 +g960 +tp23384 +a(g560 +Vempty +p23385 +tp23386 +a(g900 +g960 +tp23387 +a(g399 +g2039 +tp23388 +a(g900 +g960 +tp23389 +a(g216 +V'ok' +p23390 +tp23391 +a(g900 +g960 +tp23392 +a(g399 +g1210 +tp23393 +a(g900 +g960 +tp23394 +a(g216 +V'ko' +p23395 +tp23396 +a(g900 +g960 +tp23397 +a(g737 +V}} +p23398 +tp23399 +a(g7 +V\u000a +p23400 +tp23401 +a(g737 +V{{ +p23402 +tp23403 +a(g900 +g960 +tp23404 +a(g427 +Vcountable_empty +p23405 +tp23406 +a(g900 +g960 +tp23407 +a(g818 +Vis +p23408 +tp23409 +a(g900 +g960 +tp23410 +a(g560 +Vempty +p23411 +tp23412 +a(g900 +g960 +tp23413 +a(g399 +g2039 +tp23414 +a(g900 +g960 +tp23415 +a(g216 +V'ok' +p23416 +tp23417 +a(g900 +g960 +tp23418 +a(g399 +g1210 +tp23419 +a(g900 +g960 +tp23420 +a(g216 +V'ko' +p23421 +tp23422 +a(g900 +g960 +tp23423 +a(g737 +V}} +p23424 +tp23425 +a(g7 +V\u000a +p23426 +tp23427 +a(g737 +V{{ +p23428 +tp23429 +a(g900 +g960 +tp23430 +a(g427 +Vcountable_not_empty +p23431 +tp23432 +a(g900 +g960 +tp23433 +a(g818 +Vis +p23434 +tp23435 +a(g900 +g960 +tp23436 +a(g560 +Vempty +p23437 +tp23438 +a(g900 +g960 +tp23439 +a(g399 +g2039 +tp23440 +a(g900 +g960 +tp23441 +a(g216 +V'ok' +p23442 +tp23443 +a(g900 +g960 +tp23444 +a(g399 +g1210 +tp23445 +a(g900 +g960 +tp23446 +a(g216 +V'ko' +p23447 +tp23448 +a(g900 +g960 +tp23449 +a(g737 +V}} +p23450 +tp23451 +a(g7 +V\u000a +p23452 +tp23453 +a(g737 +V{{ +p23454 +tp23455 +a(g900 +g960 +tp23456 +a(g427 +Vmarkup_empty +p23457 +tp23458 +a(g900 +g960 +tp23459 +a(g818 +Vis +p23460 +tp23461 +a(g900 +g960 +tp23462 +a(g560 +Vempty +p23463 +tp23464 +a(g900 +g960 +tp23465 +a(g399 +g2039 +tp23466 +a(g900 +g960 +tp23467 +a(g216 +V'ok' +p23468 +tp23469 +a(g900 +g960 +tp23470 +a(g399 +g1210 +tp23471 +a(g900 +g960 +tp23472 +a(g216 +V'ko' +p23473 +tp23474 +a(g900 +g960 +tp23475 +a(g737 +V}} +p23476 +tp23477 +a(g7 +V\u000a +p23478 +tp23479 +a(g737 +V{{ +p23480 +tp23481 +a(g900 +g960 +tp23482 +a(g427 +Vmarkup_not_empty +p23483 +tp23484 +a(g900 +g960 +tp23485 +a(g818 +Vis +p23486 +tp23487 +a(g900 +g960 +tp23488 +a(g560 +Vempty +p23489 +tp23490 +a(g900 +g960 +tp23491 +a(g399 +g2039 +tp23492 +a(g900 +g960 +tp23493 +a(g216 +V'ok' +p23494 +tp23495 +a(g900 +g960 +tp23496 +a(g399 +g1210 +tp23497 +a(g900 +g960 +tp23498 +a(g216 +V'ko' +p23499 +tp23500 +a(g900 +g960 +tp23501 +a(g737 +V}} +p23502 +tp23503 +a(g7 +V\u000a--DATA--\u000a\u000aclass CountableStub implements Countable\u000a +p23504 +tp23505 +a(g7 +g1206 +tp23506 +a(g7 +V\u000a private $items;\u000a\u000a public function __construct(array $items)\u000a +p23507 +tp23508 +a(g7 +g1206 +tp23509 +a(g7 +V\u000a $this->items = $items;\u000a }\u000a\u000a public function count()\u000a +p23510 +tp23511 +a(g7 +g1206 +tp23512 +a(g7 +V\u000a return count($this->items);\u000a }\u000a}\u000areturn array(\u000a 'foo' => '', 'bar' => null, 'foobar' => false, 'array' => array(), 'zero' => 0, 'string' => '0',\u000a 'countable_empty' => new CountableStub(array()), 'countable_not_empty' => new CountableStub(array(1, 2)),\u000a 'markup_empty' => new Twig_Markup('', 'UTF-8'), 'markup_not_empty' => new Twig_Markup('test', 'UTF-8'),\u000a);\u000a--EXPECT--\u000aok\u000aok\u000aok\u000aok\u000ako\u000ako\u000aok\u000ako\u000aok\u000ako\u000a--TEST--\u000a"even" test\u000a--TEMPLATE--\u000a +p23513 +tp23514 +a(g737 +V{{ +p23515 +tp23516 +a(g900 +g960 +tp23517 +a(g17 +g1160 +tp23518 +a(g900 +g960 +tp23519 +a(g818 +Vis +p23520 +tp23521 +a(g900 +g960 +tp23522 +a(g560 +Veven +p23523 +tp23524 +a(g900 +g960 +tp23525 +a(g399 +g2039 +tp23526 +a(g900 +g960 +tp23527 +a(g216 +V'ko' +p23528 +tp23529 +a(g900 +g960 +tp23530 +a(g399 +g1210 +tp23531 +a(g900 +g960 +tp23532 +a(g216 +V'ok' +p23533 +tp23534 +a(g900 +g960 +tp23535 +a(g737 +V}} +p23536 +tp23537 +a(g7 +V\u000a +p23538 +tp23539 +a(g737 +V{{ +p23540 +tp23541 +a(g900 +g960 +tp23542 +a(g17 +g1165 +tp23543 +a(g900 +g960 +tp23544 +a(g818 +Vis +p23545 +tp23546 +a(g900 +g960 +tp23547 +a(g560 +Veven +p23548 +tp23549 +a(g900 +g960 +tp23550 +a(g399 +g2039 +tp23551 +a(g900 +g960 +tp23552 +a(g216 +V'ok' +p23553 +tp23554 +a(g900 +g960 +tp23555 +a(g399 +g1210 +tp23556 +a(g900 +g960 +tp23557 +a(g216 +V'ko' +p23558 +tp23559 +a(g900 +g960 +tp23560 +a(g737 +V}} +p23561 +tp23562 +a(g7 +V\u000a +p23563 +tp23564 +a(g737 +V{{ +p23565 +tp23566 +a(g900 +g960 +tp23567 +a(g17 +g1160 +tp23568 +a(g900 +g960 +tp23569 +a(g818 +Vis +p23570 +tp23571 +a(g900 +g960 +tp23572 +a(g818 +Vnot +p23573 +tp23574 +a(g900 +g960 +tp23575 +a(g560 +Veven +p23576 +tp23577 +a(g900 +g960 +tp23578 +a(g399 +g2039 +tp23579 +a(g900 +g960 +tp23580 +a(g216 +V'ok' +p23581 +tp23582 +a(g900 +g960 +tp23583 +a(g399 +g1210 +tp23584 +a(g900 +g960 +tp23585 +a(g216 +V'ko' +p23586 +tp23587 +a(g900 +g960 +tp23588 +a(g737 +V}} +p23589 +tp23590 +a(g7 +V\u000a +p23591 +tp23592 +a(g737 +V{{ +p23593 +tp23594 +a(g900 +g960 +tp23595 +a(g17 +g1165 +tp23596 +a(g900 +g960 +tp23597 +a(g818 +Vis +p23598 +tp23599 +a(g900 +g960 +tp23600 +a(g818 +Vnot +p23601 +tp23602 +a(g900 +g960 +tp23603 +a(g560 +Veven +p23604 +tp23605 +a(g900 +g960 +tp23606 +a(g399 +g2039 +tp23607 +a(g900 +g960 +tp23608 +a(g216 +V'ko' +p23609 +tp23610 +a(g900 +g960 +tp23611 +a(g399 +g1210 +tp23612 +a(g900 +g960 +tp23613 +a(g216 +V'ok' +p23614 +tp23615 +a(g900 +g960 +tp23616 +a(g737 +V}} +p23617 +tp23618 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aok\u000aok\u000aok\u000aok\u000a--TEST--\u000aTwig supports the in operator\u000a--TEMPLATE--\u000a +p23619 +tp23620 +a(g737 +V{% +p23621 +tp23622 +a(g900 +g960 +tp23623 +a(g818 +Vif +p23624 +tp23625 +a(g900 +g960 +tp23626 +a(g427 +Vbar +p23627 +tp23628 +a(g900 +g960 +tp23629 +a(g818 +Vin +p23630 +tp23631 +a(g900 +g960 +tp23632 +a(g427 +Vfoo +p23633 +tp23634 +a(g900 +g960 +tp23635 +a(g737 +V%} +p23636 +tp23637 +a(g7 +V\u000aTRUE\u000a +p23638 +tp23639 +a(g737 +V{% +p23640 +tp23641 +a(g900 +g960 +tp23642 +a(g818 +Vendif +p23643 +tp23644 +a(g900 +g960 +tp23645 +a(g737 +V%} +p23646 +tp23647 +a(g7 +V\u000a +p23648 +tp23649 +a(g737 +V{% +p23650 +tp23651 +a(g900 +g960 +tp23652 +a(g818 +Vif +p23653 +tp23654 +a(g900 +g960 +tp23655 +a(g818 +Vnot +p23656 +tp23657 +a(g900 +g960 +tp23658 +a(g399 +g1145 +tp23659 +a(g427 +Vbar +p23660 +tp23661 +a(g900 +g960 +tp23662 +a(g818 +Vin +p23663 +tp23664 +a(g900 +g960 +tp23665 +a(g427 +Vfoo +p23666 +tp23667 +a(g399 +g1149 +tp23668 +a(g900 +g960 +tp23669 +a(g737 +V%} +p23670 +tp23671 +a(g7 +V\u000a +p23672 +tp23673 +a(g737 +V{% +p23674 +tp23675 +a(g900 +g960 +tp23676 +a(g818 +Velse +p23677 +tp23678 +a(g900 +g960 +tp23679 +a(g737 +V%} +p23680 +tp23681 +a(g7 +V\u000aTRUE\u000a +p23682 +tp23683 +a(g737 +V{% +p23684 +tp23685 +a(g900 +g960 +tp23686 +a(g818 +Vendif +p23687 +tp23688 +a(g900 +g960 +tp23689 +a(g737 +V%} +p23690 +tp23691 +a(g7 +V\u000a +p23692 +tp23693 +a(g737 +V{% +p23694 +tp23695 +a(g900 +g960 +tp23696 +a(g818 +Vif +p23697 +tp23698 +a(g900 +g960 +tp23699 +a(g427 +Vbar +p23700 +tp23701 +a(g900 +g960 +tp23702 +a(g818 +Vnot +p23703 +tp23704 +a(g900 +g960 +tp23705 +a(g818 +Vin +p23706 +tp23707 +a(g900 +g960 +tp23708 +a(g427 +Vfoo +p23709 +tp23710 +a(g900 +g960 +tp23711 +a(g737 +V%} +p23712 +tp23713 +a(g7 +V\u000a +p23714 +tp23715 +a(g737 +V{% +p23716 +tp23717 +a(g900 +g960 +tp23718 +a(g818 +Velse +p23719 +tp23720 +a(g900 +g960 +tp23721 +a(g737 +V%} +p23722 +tp23723 +a(g7 +V\u000aTRUE\u000a +p23724 +tp23725 +a(g737 +V{% +p23726 +tp23727 +a(g900 +g960 +tp23728 +a(g818 +Vendif +p23729 +tp23730 +a(g900 +g960 +tp23731 +a(g737 +V%} +p23732 +tp23733 +a(g7 +V\u000a +p23734 +tp23735 +a(g737 +V{% +p23736 +tp23737 +a(g900 +g960 +tp23738 +a(g818 +Vif +p23739 +tp23740 +a(g900 +g960 +tp23741 +a(g216 +V'a' +p23742 +tp23743 +a(g900 +g960 +tp23744 +a(g818 +Vin +p23745 +tp23746 +a(g900 +g960 +tp23747 +a(g427 +Vbar +p23748 +tp23749 +a(g900 +g960 +tp23750 +a(g737 +V%} +p23751 +tp23752 +a(g7 +V\u000aTRUE\u000a +p23753 +tp23754 +a(g737 +V{% +p23755 +tp23756 +a(g900 +g960 +tp23757 +a(g818 +Vendif +p23758 +tp23759 +a(g900 +g960 +tp23760 +a(g737 +V%} +p23761 +tp23762 +a(g7 +V\u000a +p23763 +tp23764 +a(g737 +V{% +p23765 +tp23766 +a(g900 +g960 +tp23767 +a(g818 +Vif +p23768 +tp23769 +a(g900 +g960 +tp23770 +a(g216 +V'c' +p23771 +tp23772 +a(g900 +g960 +tp23773 +a(g818 +Vnot +p23774 +tp23775 +a(g900 +g960 +tp23776 +a(g818 +Vin +p23777 +tp23778 +a(g900 +g960 +tp23779 +a(g427 +Vbar +p23780 +tp23781 +a(g900 +g960 +tp23782 +a(g737 +V%} +p23783 +tp23784 +a(g7 +V\u000aTRUE\u000a +p23785 +tp23786 +a(g737 +V{% +p23787 +tp23788 +a(g900 +g960 +tp23789 +a(g818 +Vendif +p23790 +tp23791 +a(g900 +g960 +tp23792 +a(g737 +V%} +p23793 +tp23794 +a(g7 +V\u000a +p23795 +tp23796 +a(g737 +V{% +p23797 +tp23798 +a(g900 +g960 +tp23799 +a(g818 +Vif +p23800 +tp23801 +a(g900 +g960 +tp23802 +a(g216 +V'' +p23803 +tp23804 +a(g900 +g960 +tp23805 +a(g818 +Vnot +p23806 +tp23807 +a(g900 +g960 +tp23808 +a(g818 +Vin +p23809 +tp23810 +a(g900 +g960 +tp23811 +a(g427 +Vbar +p23812 +tp23813 +a(g900 +g960 +tp23814 +a(g737 +V%} +p23815 +tp23816 +a(g7 +V\u000aTRUE\u000a +p23817 +tp23818 +a(g737 +V{% +p23819 +tp23820 +a(g900 +g960 +tp23821 +a(g818 +Vendif +p23822 +tp23823 +a(g900 +g960 +tp23824 +a(g737 +V%} +p23825 +tp23826 +a(g7 +V\u000a +p23827 +tp23828 +a(g737 +V{% +p23829 +tp23830 +a(g900 +g960 +tp23831 +a(g818 +Vif +p23832 +tp23833 +a(g900 +g960 +tp23834 +a(g216 +V'' +p23835 +tp23836 +a(g900 +g960 +tp23837 +a(g818 +Vin +p23838 +tp23839 +a(g900 +g960 +tp23840 +a(g216 +V'' +p23841 +tp23842 +a(g900 +g960 +tp23843 +a(g737 +V%} +p23844 +tp23845 +a(g7 +V\u000aTRUE\u000a +p23846 +tp23847 +a(g737 +V{% +p23848 +tp23849 +a(g900 +g960 +tp23850 +a(g818 +Vendif +p23851 +tp23852 +a(g900 +g960 +tp23853 +a(g737 +V%} +p23854 +tp23855 +a(g7 +V\u000a +p23856 +tp23857 +a(g737 +V{% +p23858 +tp23859 +a(g900 +g960 +tp23860 +a(g818 +Vif +p23861 +tp23862 +a(g900 +g960 +tp23863 +a(g216 +V'0' +p23864 +tp23865 +a(g900 +g960 +tp23866 +a(g818 +Vnot +p23867 +tp23868 +a(g900 +g960 +tp23869 +a(g818 +Vin +p23870 +tp23871 +a(g900 +g960 +tp23872 +a(g216 +V'' +p23873 +tp23874 +a(g900 +g960 +tp23875 +a(g737 +V%} +p23876 +tp23877 +a(g7 +V\u000aTRUE\u000a +p23878 +tp23879 +a(g737 +V{% +p23880 +tp23881 +a(g900 +g960 +tp23882 +a(g818 +Vendif +p23883 +tp23884 +a(g900 +g960 +tp23885 +a(g737 +V%} +p23886 +tp23887 +a(g7 +V\u000a +p23888 +tp23889 +a(g737 +V{% +p23890 +tp23891 +a(g900 +g960 +tp23892 +a(g818 +Vif +p23893 +tp23894 +a(g900 +g960 +tp23895 +a(g216 +V'a' +p23896 +tp23897 +a(g900 +g960 +tp23898 +a(g818 +Vnot +p23899 +tp23900 +a(g900 +g960 +tp23901 +a(g818 +Vin +p23902 +tp23903 +a(g900 +g960 +tp23904 +a(g216 +V'0' +p23905 +tp23906 +a(g900 +g960 +tp23907 +a(g737 +V%} +p23908 +tp23909 +a(g7 +V\u000aTRUE\u000a +p23910 +tp23911 +a(g737 +V{% +p23912 +tp23913 +a(g900 +g960 +tp23914 +a(g818 +Vendif +p23915 +tp23916 +a(g900 +g960 +tp23917 +a(g737 +V%} +p23918 +tp23919 +a(g7 +V\u000a +p23920 +tp23921 +a(g737 +V{% +p23922 +tp23923 +a(g900 +g960 +tp23924 +a(g818 +Vif +p23925 +tp23926 +a(g900 +g960 +tp23927 +a(g216 +V'0' +p23928 +tp23929 +a(g900 +g960 +tp23930 +a(g818 +Vin +p23931 +tp23932 +a(g900 +g960 +tp23933 +a(g216 +V'0' +p23934 +tp23935 +a(g900 +g960 +tp23936 +a(g737 +V%} +p23937 +tp23938 +a(g7 +V\u000aTRUE\u000a +p23939 +tp23940 +a(g737 +V{% +p23941 +tp23942 +a(g900 +g960 +tp23943 +a(g818 +Vendif +p23944 +tp23945 +a(g900 +g960 +tp23946 +a(g737 +V%} +p23947 +tp23948 +a(g7 +V\u000a +p23949 +tp23950 +a(g737 +V{{ +p23951 +tp23952 +a(g900 +g960 +tp23953 +a(g859 +Vfalse +p23954 +tp23955 +a(g900 +g960 +tp23956 +a(g818 +Vin +p23957 +tp23958 +a(g900 +g960 +tp23959 +a(g399 +g1089 +tp23960 +a(g17 +g1208 +tp23961 +a(g399 +g1162 +tp23962 +a(g900 +g960 +tp23963 +a(g17 +g1160 +tp23964 +a(g399 +g1093 +tp23965 +a(g900 +g960 +tp23966 +a(g399 +g2039 +tp23967 +a(g900 +g960 +tp23968 +a(g216 +V'TRUE' +p23969 +tp23970 +a(g900 +g960 +tp23971 +a(g399 +g1210 +tp23972 +a(g900 +g960 +tp23973 +a(g216 +V'FALSE' +p23974 +tp23975 +a(g900 +g960 +tp23976 +a(g737 +V}} +p23977 +tp23978 +a(g7 +V\u000a +p23979 +tp23980 +a(g737 +V{{ +p23981 +tp23982 +a(g900 +g960 +tp23983 +a(g859 +Vtrue +p23984 +tp23985 +a(g900 +g960 +tp23986 +a(g818 +Vin +p23987 +tp23988 +a(g900 +g960 +tp23989 +a(g399 +g1089 +tp23990 +a(g17 +g1208 +tp23991 +a(g399 +g1162 +tp23992 +a(g900 +g960 +tp23993 +a(g17 +g1160 +tp23994 +a(g399 +g1093 +tp23995 +a(g900 +g960 +tp23996 +a(g399 +g2039 +tp23997 +a(g900 +g960 +tp23998 +a(g216 +V'TRUE' +p23999 +tp24000 +a(g900 +g960 +tp24001 +a(g399 +g1210 +tp24002 +a(g900 +g960 +tp24003 +a(g216 +V'FALSE' +p24004 +tp24005 +a(g900 +g960 +tp24006 +a(g737 +V}} +p24007 +tp24008 +a(g7 +V\u000a +p24009 +tp24010 +a(g737 +V{{ +p24011 +tp24012 +a(g900 +g960 +tp24013 +a(g216 +V'0' +p24014 +tp24015 +a(g900 +g960 +tp24016 +a(g818 +Vin +p24017 +tp24018 +a(g900 +g960 +tp24019 +a(g399 +g1089 +tp24020 +a(g17 +g1208 +tp24021 +a(g399 +g1162 +tp24022 +a(g900 +g960 +tp24023 +a(g17 +g1160 +tp24024 +a(g399 +g1093 +tp24025 +a(g900 +g960 +tp24026 +a(g399 +g2039 +tp24027 +a(g900 +g960 +tp24028 +a(g216 +V'TRUE' +p24029 +tp24030 +a(g900 +g960 +tp24031 +a(g399 +g1210 +tp24032 +a(g900 +g960 +tp24033 +a(g216 +V'FALSE' +p24034 +tp24035 +a(g900 +g960 +tp24036 +a(g737 +V}} +p24037 +tp24038 +a(g7 +V\u000a +p24039 +tp24040 +a(g737 +V{{ +p24041 +tp24042 +a(g900 +g960 +tp24043 +a(g216 +V'' +p24044 +tp24045 +a(g900 +g960 +tp24046 +a(g818 +Vin +p24047 +tp24048 +a(g900 +g960 +tp24049 +a(g399 +g1089 +tp24050 +a(g17 +g1208 +tp24051 +a(g399 +g1162 +tp24052 +a(g900 +g960 +tp24053 +a(g17 +g1160 +tp24054 +a(g399 +g1093 +tp24055 +a(g900 +g960 +tp24056 +a(g399 +g2039 +tp24057 +a(g900 +g960 +tp24058 +a(g216 +V'TRUE' +p24059 +tp24060 +a(g900 +g960 +tp24061 +a(g399 +g1210 +tp24062 +a(g900 +g960 +tp24063 +a(g216 +V'FALSE' +p24064 +tp24065 +a(g900 +g960 +tp24066 +a(g737 +V}} +p24067 +tp24068 +a(g7 +V\u000a +p24069 +tp24070 +a(g737 +V{{ +p24071 +tp24072 +a(g900 +g960 +tp24073 +a(g17 +g1208 +tp24074 +a(g900 +g960 +tp24075 +a(g818 +Vin +p24076 +tp24077 +a(g900 +g960 +tp24078 +a(g399 +g1089 +tp24079 +a(g216 +V'' +p24080 +tp24081 +a(g399 +g1162 +tp24082 +a(g900 +g960 +tp24083 +a(g17 +g1160 +tp24084 +a(g399 +g1093 +tp24085 +a(g900 +g960 +tp24086 +a(g399 +g2039 +tp24087 +a(g900 +g960 +tp24088 +a(g216 +V'TRUE' +p24089 +tp24090 +a(g900 +g960 +tp24091 +a(g399 +g1210 +tp24092 +a(g900 +g960 +tp24093 +a(g216 +V'FALSE' +p24094 +tp24095 +a(g900 +g960 +tp24096 +a(g737 +V}} +p24097 +tp24098 +a(g7 +V\u000a +p24099 +tp24100 +a(g737 +V{{ +p24101 +tp24102 +a(g900 +g960 +tp24103 +a(g216 +V'' +p24104 +tp24105 +a(g900 +g960 +tp24106 +a(g818 +Vin +p24107 +tp24108 +a(g900 +g960 +tp24109 +a(g216 +V'foo' +p24110 +tp24111 +a(g900 +g960 +tp24112 +a(g399 +g2039 +tp24113 +a(g900 +g960 +tp24114 +a(g216 +V'TRUE' +p24115 +tp24116 +a(g900 +g960 +tp24117 +a(g399 +g1210 +tp24118 +a(g900 +g960 +tp24119 +a(g216 +V'FALSE' +p24120 +tp24121 +a(g900 +g960 +tp24122 +a(g737 +V}} +p24123 +tp24124 +a(g7 +V\u000a +p24125 +tp24126 +a(g737 +V{{ +p24127 +tp24128 +a(g900 +g960 +tp24129 +a(g17 +g1208 +tp24130 +a(g900 +g960 +tp24131 +a(g818 +Vin +p24132 +tp24133 +a(g900 +g960 +tp24134 +a(g216 +V'foo' +p24135 +tp24136 +a(g900 +g960 +tp24137 +a(g399 +g2039 +tp24138 +a(g900 +g960 +tp24139 +a(g216 +V'TRUE' +p24140 +tp24141 +a(g900 +g960 +tp24142 +a(g399 +g1210 +tp24143 +a(g900 +g960 +tp24144 +a(g216 +V'FALSE' +p24145 +tp24146 +a(g900 +g960 +tp24147 +a(g737 +V}} +p24148 +tp24149 +a(g7 +V\u000a +p24150 +tp24151 +a(g737 +V{{ +p24152 +tp24153 +a(g900 +g960 +tp24154 +a(g859 +Vfalse +p24155 +tp24156 +a(g900 +g960 +tp24157 +a(g818 +Vin +p24158 +tp24159 +a(g900 +g960 +tp24160 +a(g216 +V'foo' +p24161 +tp24162 +a(g900 +g960 +tp24163 +a(g399 +g2039 +tp24164 +a(g900 +g960 +tp24165 +a(g216 +V'TRUE' +p24166 +tp24167 +a(g900 +g960 +tp24168 +a(g399 +g1210 +tp24169 +a(g900 +g960 +tp24170 +a(g216 +V'FALSE' +p24171 +tp24172 +a(g900 +g960 +tp24173 +a(g737 +V}} +p24174 +tp24175 +a(g7 +V\u000a +p24176 +tp24177 +a(g737 +V{{ +p24178 +tp24179 +a(g900 +g960 +tp24180 +a(g859 +Vtrue +p24181 +tp24182 +a(g900 +g960 +tp24183 +a(g818 +Vin +p24184 +tp24185 +a(g900 +g960 +tp24186 +a(g216 +V'100' +p24187 +tp24188 +a(g900 +g960 +tp24189 +a(g399 +g2039 +tp24190 +a(g900 +g960 +tp24191 +a(g216 +V'TRUE' +p24192 +tp24193 +a(g900 +g960 +tp24194 +a(g399 +g1210 +tp24195 +a(g900 +g960 +tp24196 +a(g216 +V'FALSE' +p24197 +tp24198 +a(g900 +g960 +tp24199 +a(g737 +V}} +p24200 +tp24201 +a(g7 +V\u000a +p24202 +tp24203 +a(g737 +V{{ +p24204 +tp24205 +a(g900 +g960 +tp24206 +a(g399 +g1089 +tp24207 +a(g399 +g1093 +tp24208 +a(g900 +g960 +tp24209 +a(g818 +Vin +p24210 +tp24211 +a(g900 +g960 +tp24212 +a(g216 +V'Array' +p24213 +tp24214 +a(g900 +g960 +tp24215 +a(g399 +g2039 +tp24216 +a(g900 +g960 +tp24217 +a(g216 +V'TRUE' +p24218 +tp24219 +a(g900 +g960 +tp24220 +a(g399 +g1210 +tp24221 +a(g900 +g960 +tp24222 +a(g216 +V'FALSE' +p24223 +tp24224 +a(g900 +g960 +tp24225 +a(g737 +V}} +p24226 +tp24227 +a(g7 +V\u000a +p24228 +tp24229 +a(g737 +V{{ +p24230 +tp24231 +a(g900 +g960 +tp24232 +a(g399 +g1089 +tp24233 +a(g399 +g1093 +tp24234 +a(g900 +g960 +tp24235 +a(g818 +Vin +p24236 +tp24237 +a(g900 +g960 +tp24238 +a(g399 +g1089 +tp24239 +a(g859 +Vtrue +p24240 +tp24241 +a(g399 +g1162 +tp24242 +a(g900 +g960 +tp24243 +a(g859 +Vfalse +p24244 +tp24245 +a(g399 +g1093 +tp24246 +a(g900 +g960 +tp24247 +a(g399 +g2039 +tp24248 +a(g900 +g960 +tp24249 +a(g216 +V'TRUE' +p24250 +tp24251 +a(g900 +g960 +tp24252 +a(g399 +g1210 +tp24253 +a(g900 +g960 +tp24254 +a(g216 +V'FALSE' +p24255 +tp24256 +a(g900 +g960 +tp24257 +a(g737 +V}} +p24258 +tp24259 +a(g7 +V\u000a +p24260 +tp24261 +a(g737 +V{{ +p24262 +tp24263 +a(g900 +g960 +tp24264 +a(g399 +g1089 +tp24265 +a(g399 +g1093 +tp24266 +a(g900 +g960 +tp24267 +a(g818 +Vin +p24268 +tp24269 +a(g900 +g960 +tp24270 +a(g399 +g1089 +tp24271 +a(g859 +Vtrue +p24272 +tp24273 +a(g399 +g1162 +tp24274 +a(g900 +g960 +tp24275 +a(g216 +V'' +p24276 +tp24277 +a(g399 +g1093 +tp24278 +a(g900 +g960 +tp24279 +a(g399 +g2039 +tp24280 +a(g900 +g960 +tp24281 +a(g216 +V'TRUE' +p24282 +tp24283 +a(g900 +g960 +tp24284 +a(g399 +g1210 +tp24285 +a(g900 +g960 +tp24286 +a(g216 +V'FALSE' +p24287 +tp24288 +a(g900 +g960 +tp24289 +a(g737 +V}} +p24290 +tp24291 +a(g7 +V\u000a +p24292 +tp24293 +a(g737 +V{{ +p24294 +tp24295 +a(g900 +g960 +tp24296 +a(g399 +g1089 +tp24297 +a(g399 +g1093 +tp24298 +a(g900 +g960 +tp24299 +a(g818 +Vin +p24300 +tp24301 +a(g900 +g960 +tp24302 +a(g399 +g1089 +tp24303 +a(g859 +Vtrue +p24304 +tp24305 +a(g399 +g1162 +tp24306 +a(g900 +g960 +tp24307 +a(g399 +g1089 +tp24308 +a(g399 +g1093 +tp24309 +a(g399 +g1093 +tp24310 +a(g900 +g960 +tp24311 +a(g399 +g2039 +tp24312 +a(g900 +g960 +tp24313 +a(g216 +V'TRUE' +p24314 +tp24315 +a(g900 +g960 +tp24316 +a(g399 +g1210 +tp24317 +a(g900 +g960 +tp24318 +a(g216 +V'FALSE' +p24319 +tp24320 +a(g900 +g960 +tp24321 +a(g737 +V}} +p24322 +tp24323 +a(g7 +V\u000a +p24324 +tp24325 +a(g737 +V{{ +p24326 +tp24327 +a(g900 +g960 +tp24328 +a(g427 +Vdir_object +p24329 +tp24330 +a(g900 +g960 +tp24331 +a(g818 +Vin +p24332 +tp24333 +a(g900 +g960 +tp24334 +a(g216 +V'foo' +p24335 +tp24336 +a(g399 +g1606 +tp24337 +a(g427 +Vdir_name +p24338 +tp24339 +a(g900 +g960 +tp24340 +a(g399 +g2039 +tp24341 +a(g900 +g960 +tp24342 +a(g216 +V'TRUE' +p24343 +tp24344 +a(g900 +g960 +tp24345 +a(g399 +g1210 +tp24346 +a(g900 +g960 +tp24347 +a(g216 +V'FALSE' +p24348 +tp24349 +a(g900 +g960 +tp24350 +a(g737 +V}} +p24351 +tp24352 +a(g7 +V\u000a +p24353 +tp24354 +a(g737 +V{{ +p24355 +tp24356 +a(g900 +g960 +tp24357 +a(g17 +g1963 +tp24358 +a(g900 +g960 +tp24359 +a(g818 +Vin +p24360 +tp24361 +a(g900 +g960 +tp24362 +a(g17 +g1160 +tp24363 +a(g17 +g1165 +tp24364 +a(g17 +g1963 +tp24365 +a(g900 +g960 +tp24366 +a(g399 +g2039 +tp24367 +a(g900 +g960 +tp24368 +a(g216 +V'TRUE' +p24369 +tp24370 +a(g900 +g960 +tp24371 +a(g399 +g1210 +tp24372 +a(g900 +g960 +tp24373 +a(g216 +V'FALSE' +p24374 +tp24375 +a(g900 +g960 +tp24376 +a(g737 +V}} +p24377 +tp24378 +a(g7 +V\u000a--DATA--\u000areturn array('bar' => 'bar', 'foo' => array('bar' => 'bar'), 'dir_name' => dirname(__FILE__), 'dir_object' => new SplFileInfo(dirname(__FILE__)))\u000a--EXPECT--\u000aTRUE\u000aTRUE\u000aTRUE\u000aTRUE\u000aTRUE\u000aTRUE\u000aTRUE\u000aTRUE\u000aTRUE\u000aFALSE\u000aFALSE\u000aFALSE\u000aFALSE\u000aFALSE\u000aTRUE\u000aFALSE\u000aFALSE\u000aFALSE\u000aFALSE\u000aFALSE\u000aFALSE\u000aTRUE\u000aFALSE\u000aFALSE\u000a--TEST--\u000aTwig supports the in operator when using objects\u000a--TEMPLATE--\u000a +p24379 +tp24380 +a(g737 +V{% +p24381 +tp24382 +a(g900 +g960 +tp24383 +a(g818 +Vif +p24384 +tp24385 +a(g900 +g960 +tp24386 +a(g427 +Vobject +p24387 +tp24388 +a(g900 +g960 +tp24389 +a(g818 +Vin +p24390 +tp24391 +a(g900 +g960 +tp24392 +a(g427 +Vobject_list +p24393 +tp24394 +a(g900 +g960 +tp24395 +a(g737 +V%} +p24396 +tp24397 +a(g7 +V\u000aTRUE\u000a +p24398 +tp24399 +a(g737 +V{% +p24400 +tp24401 +a(g900 +g960 +tp24402 +a(g818 +Vendif +p24403 +tp24404 +a(g900 +g960 +tp24405 +a(g737 +V%} +p24406 +tp24407 +a(g7 +V\u000a--DATA--\u000a$foo = new TwigTestFoo();\u000a$foo1 = new TwigTestFoo();\u000a\u000a$foo->position = $foo1;\u000a$foo1->position = $foo;\u000a\u000areturn array(\u000a 'object' => $foo,\u000a 'object_list' => array($foo1, $foo),\u000a);\u000a--EXPECT--\u000aTRUE\u000a--TEST--\u000a"iterable" test\u000a--TEMPLATE--\u000a +p24408 +tp24409 +a(g737 +V{{ +p24410 +tp24411 +a(g900 +g960 +tp24412 +a(g427 +Vfoo +p24413 +tp24414 +a(g900 +g960 +tp24415 +a(g818 +Vis +p24416 +tp24417 +a(g900 +g960 +tp24418 +a(g560 +Viterable +p24419 +tp24420 +a(g900 +g960 +tp24421 +a(g399 +g2039 +tp24422 +a(g900 +g960 +tp24423 +a(g216 +V'ok' +p24424 +tp24425 +a(g900 +g960 +tp24426 +a(g399 +g1210 +tp24427 +a(g900 +g960 +tp24428 +a(g216 +V'ko' +p24429 +tp24430 +a(g900 +g960 +tp24431 +a(g737 +V}} +p24432 +tp24433 +a(g7 +V\u000a +p24434 +tp24435 +a(g737 +V{{ +p24436 +tp24437 +a(g900 +g960 +tp24438 +a(g427 +Vtraversable +p24439 +tp24440 +a(g900 +g960 +tp24441 +a(g818 +Vis +p24442 +tp24443 +a(g900 +g960 +tp24444 +a(g560 +Viterable +p24445 +tp24446 +a(g900 +g960 +tp24447 +a(g399 +g2039 +tp24448 +a(g900 +g960 +tp24449 +a(g216 +V'ok' +p24450 +tp24451 +a(g900 +g960 +tp24452 +a(g399 +g1210 +tp24453 +a(g900 +g960 +tp24454 +a(g216 +V'ko' +p24455 +tp24456 +a(g900 +g960 +tp24457 +a(g737 +V}} +p24458 +tp24459 +a(g7 +V\u000a +p24460 +tp24461 +a(g737 +V{{ +p24462 +tp24463 +a(g900 +g960 +tp24464 +a(g427 +Vobj +p24465 +tp24466 +a(g900 +g960 +tp24467 +a(g818 +Vis +p24468 +tp24469 +a(g900 +g960 +tp24470 +a(g560 +Viterable +p24471 +tp24472 +a(g900 +g960 +tp24473 +a(g399 +g2039 +tp24474 +a(g900 +g960 +tp24475 +a(g216 +V'ok' +p24476 +tp24477 +a(g900 +g960 +tp24478 +a(g399 +g1210 +tp24479 +a(g900 +g960 +tp24480 +a(g216 +V'ko' +p24481 +tp24482 +a(g900 +g960 +tp24483 +a(g737 +V}} +p24484 +tp24485 +a(g7 +V\u000a +p24486 +tp24487 +a(g737 +V{{ +p24488 +tp24489 +a(g900 +g960 +tp24490 +a(g427 +Vval +p24491 +tp24492 +a(g900 +g960 +tp24493 +a(g818 +Vis +p24494 +tp24495 +a(g900 +g960 +tp24496 +a(g560 +Viterable +p24497 +tp24498 +a(g900 +g960 +tp24499 +a(g399 +g2039 +tp24500 +a(g900 +g960 +tp24501 +a(g216 +V'ok' +p24502 +tp24503 +a(g900 +g960 +tp24504 +a(g399 +g1210 +tp24505 +a(g900 +g960 +tp24506 +a(g216 +V'ko' +p24507 +tp24508 +a(g900 +g960 +tp24509 +a(g737 +V}} +p24510 +tp24511 +a(g7 +V\u000a--DATA--\u000areturn array(\u000a 'foo' => array(),\u000a 'traversable' => new ArrayIterator(array()),\u000a 'obj' => new stdClass(),\u000a 'val' => 'test',\u000a);\u000a--EXPECT--\u000aok\u000aok\u000ako\u000ako--TEST--\u000a"odd" test\u000a--TEMPLATE--\u000a +p24512 +tp24513 +a(g737 +V{{ +p24514 +tp24515 +a(g900 +g960 +tp24516 +a(g17 +g1160 +tp24517 +a(g900 +g960 +tp24518 +a(g818 +Vis +p24519 +tp24520 +a(g900 +g960 +tp24521 +a(g560 +Vodd +p24522 +tp24523 +a(g900 +g960 +tp24524 +a(g399 +g2039 +tp24525 +a(g900 +g960 +tp24526 +a(g216 +V'ok' +p24527 +tp24528 +a(g900 +g960 +tp24529 +a(g399 +g1210 +tp24530 +a(g900 +g960 +tp24531 +a(g216 +V'ko' +p24532 +tp24533 +a(g900 +g960 +tp24534 +a(g737 +V}} +p24535 +tp24536 +a(g7 +V\u000a +p24537 +tp24538 +a(g737 +V{{ +p24539 +tp24540 +a(g900 +g960 +tp24541 +a(g17 +g1165 +tp24542 +a(g900 +g960 +tp24543 +a(g818 +Vis +p24544 +tp24545 +a(g900 +g960 +tp24546 +a(g560 +Vodd +p24547 +tp24548 +a(g900 +g960 +tp24549 +a(g399 +g2039 +tp24550 +a(g900 +g960 +tp24551 +a(g216 +V'ko' +p24552 +tp24553 +a(g900 +g960 +tp24554 +a(g399 +g1210 +tp24555 +a(g900 +g960 +tp24556 +a(g216 +V'ok' +p24557 +tp24558 +a(g900 +g960 +tp24559 +a(g737 +V}} +p24560 +tp24561 +a(g7 +V\u000a--DATA--\u000areturn array()\u000a--EXPECT--\u000aok\u000aok\u000a +p24562 +tp24563 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/type.lisp b/tests/examplefiles/output/type.lisp new file mode 100644 index 0000000..edccdb0 --- /dev/null +++ b/tests/examplefiles/output/type.lisp @@ -0,0 +1,39661 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Single' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +g5 +tp227 +tp228 +Rp229 +(dp230 +g10 +g89 +sg20 +g21 +((lp231 +tp232 +Rp233 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp234 +tp235 +Rp236 +(dp237 +g10 +g89 +sg20 +g21 +((lp238 +tp239 +Rp240 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p241 +tp242 +tp243 +Rp244 +(dp245 +g10 +g89 +sg20 +g21 +((lp246 +tp247 +Rp248 +sbag93 +ag109 +atp249 +Rp250 +sg5 +g229 +sg241 +g244 +sg10 +g52 +sg70 +g236 +sg219 +g222 +sbsg20 +g21 +((lp251 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p252 +tp253 +tp254 +Rp255 +(dp256 +g10 +g52 +sg20 +g21 +((lp257 +tp258 +Rp259 +sbag57 +ag32 +atp260 +Rp261 +sg252 +g255 +sbsS'Bin' +p262 +g1 +(g2 +g3 +(g29 +g28 +g262 +tp263 +tp264 +Rp265 +(dp266 +g10 +g32 +sg20 +g21 +((lp267 +tp268 +Rp269 +sbsS'Radix' +p270 +g1 +(g2 +g3 +(g29 +g28 +g270 +tp271 +tp272 +Rp273 +(dp274 +g10 +g32 +sg20 +g21 +((lp275 +tp276 +Rp277 +sbsS'Oct' +p278 +g1 +(g2 +g3 +(g29 +g28 +g278 +tp279 +tp280 +Rp281 +(dp282 +g10 +g32 +sg20 +g21 +((lp283 +tp284 +Rp285 +sbsS'Dec' +p286 +g1 +(g2 +g3 +(g29 +g28 +g286 +tp287 +tp288 +Rp289 +(dp290 +g10 +g32 +sg20 +g21 +((lp291 +tp292 +Rp293 +sbsS'Hex' +p294 +g1 +(g2 +g3 +(g29 +g28 +g294 +tp295 +tp296 +Rp297 +(dp298 +g10 +g32 +sg20 +g21 +((lp299 +tp300 +Rp301 +sbsg20 +g21 +((lp302 +g37 +ag273 +ag289 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p303 +tp304 +tp305 +Rp306 +(dp307 +g10 +g32 +sg20 +g21 +((lp308 +tp309 +Rp310 +sbag265 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p311 +tp312 +tp313 +Rp314 +(dp315 +g10 +g32 +sg20 +g21 +((lp316 +tp317 +Rp318 +sbag281 +ag297 +atp319 +Rp320 +sg303 +g306 +sg311 +g314 +sbsS'Generic' +p321 +g1 +(g2 +g3 +(g321 +tp322 +tp323 +Rp324 +(dp325 +g10 +g26 +sS'Deleted' +p326 +g1 +(g2 +g3 +(g321 +g326 +tp327 +tp328 +Rp329 +(dp330 +g10 +g324 +sg20 +g21 +((lp331 +tp332 +Rp333 +sbsS'Subheading' +p334 +g1 +(g2 +g3 +(g321 +g334 +tp335 +tp336 +Rp337 +(dp338 +g10 +g324 +sg20 +g21 +((lp339 +tp340 +Rp341 +sbsS'Heading' +p342 +g1 +(g2 +g3 +(g321 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g324 +sg20 +g21 +((lp347 +tp348 +Rp349 +sbsS'Emph' +p350 +g1 +(g2 +g3 +(g321 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g324 +sg20 +g21 +((lp355 +tp356 +Rp357 +sbsS'Prompt' +p358 +g1 +(g2 +g3 +(g321 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g324 +sg20 +g21 +((lp363 +tp364 +Rp365 +sbsS'Inserted' +p366 +g1 +(g2 +g3 +(g321 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g324 +sg20 +g21 +((lp371 +tp372 +Rp373 +sbsS'Strong' +p374 +g1 +(g2 +g3 +(g321 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g324 +sg20 +g21 +((lp379 +tp380 +Rp381 +sbsS'Error' +p382 +g1 +(g2 +g3 +(g321 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g324 +sg20 +g21 +((lp387 +tp388 +Rp389 +sbsS'Traceback' +p390 +g1 +(g2 +g3 +(g321 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g324 +sg20 +g21 +((lp395 +tp396 +Rp397 +sbsg20 +g21 +((lp398 +g345 +ag337 +ag1 +(g2 +g3 +(g321 +S'Output' +p399 +tp400 +tp401 +Rp402 +(dp403 +g10 +g324 +sg20 +g21 +((lp404 +tp405 +Rp406 +sbag377 +ag353 +ag385 +ag393 +ag369 +ag361 +ag329 +atp407 +Rp408 +sg399 +g402 +sbsS'Operator' +p409 +g1 +(g2 +g3 +(g409 +tp410 +tp411 +Rp412 +(dp413 +g10 +g26 +sS'DBS' +p414 +g1 +(g2 +g3 +(g409 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g412 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsg20 +g21 +((lp422 +g417 +ag1 +(g2 +g3 +(g409 +S'Word' +p423 +tp424 +tp425 +Rp426 +(dp427 +g10 +g412 +sg20 +g21 +((lp428 +tp429 +Rp430 +sbatp431 +Rp432 +sg423 +g426 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp433 +tp434 +Rp435 +(dp436 +S'Variable' +p437 +g1 +(g2 +g3 +(g186 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g435 +sS'Class' +p442 +g1 +(g2 +g3 +(g186 +g437 +g442 +tp443 +tp444 +Rp445 +(dp446 +g10 +g440 +sg20 +g21 +((lp447 +tp448 +Rp449 +sbsS'Anonymous' +p450 +g1 +(g2 +g3 +(g186 +g437 +g450 +tp451 +tp452 +Rp453 +(dp454 +g10 +g440 +sg20 +g21 +((lp455 +tp456 +Rp457 +sbsS'Instance' +p458 +g1 +(g2 +g3 +(g186 +g437 +g458 +tp459 +tp460 +Rp461 +(dp462 +g10 +g440 +sg20 +g21 +((lp463 +tp464 +Rp465 +sbsS'Global' +p466 +g1 +(g2 +g3 +(g186 +g437 +g466 +tp467 +tp468 +Rp469 +(dp470 +g10 +g440 +sg20 +g21 +((lp471 +tp472 +Rp473 +sbsg20 +g21 +((lp474 +g453 +ag461 +ag1 +(g2 +g3 +(g186 +g437 +S'Magic' +p475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g440 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbag469 +ag445 +atp483 +Rp484 +sg475 +g478 +sbsg409 +g1 +(g2 +g3 +(g186 +g409 +tp485 +tp486 +Rp487 +(dp488 +g10 +g435 +sg20 +g21 +((lp489 +tp490 +Rp491 +sbsS'Decorator' +p492 +g1 +(g2 +g3 +(g186 +g492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g435 +sg20 +g21 +((lp497 +tp498 +Rp499 +sbsS'Entity' +p500 +g1 +(g2 +g3 +(g186 +g500 +tp501 +tp502 +Rp503 +(dp504 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g500 +g414 +tp505 +tp506 +Rp507 +(dp508 +g10 +g503 +sg20 +g21 +((lp509 +tp510 +Rp511 +sbsg20 +g21 +((lp512 +g507 +atp513 +Rp514 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp515 +tp516 +Rp517 +(dp518 +g10 +g435 +sg20 +g21 +((lp519 +tp520 +Rp521 +sbsS'Property' +p522 +g1 +(g2 +g3 +(g186 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g435 +sg20 +g21 +((lp527 +tp528 +Rp529 +sbsS'Pseudo' +p530 +g1 +(g2 +g3 +(g186 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g435 +sg20 +g21 +((lp535 +tp536 +Rp537 +sbsS'Type' +p538 +g1 +(g2 +g3 +(g186 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g435 +sg20 +g21 +((lp543 +tp544 +Rp545 +sbsS'Classes' +p546 +g1 +(g2 +g3 +(g186 +g546 +tp547 +tp548 +Rp549 +(dp550 +g10 +g435 +sg20 +g21 +((lp551 +tp552 +Rp553 +sbsS'Tag' +p554 +g1 +(g2 +g3 +(g186 +g554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g435 +sg20 +g21 +((lp559 +tp560 +Rp561 +sbsS'Constant' +p562 +g1 +(g2 +g3 +(g186 +g562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g435 +sg20 +g21 +((lp567 +tp568 +Rp569 +sbsS'Function' +p570 +g1 +(g2 +g3 +(g186 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g435 +sg20 +g21 +((lp575 +g1 +(g2 +g3 +(g186 +g570 +g475 +tp576 +tp577 +Rp578 +(dp579 +g10 +g573 +sg20 +g21 +((lp580 +tp581 +Rp582 +sbatp583 +Rp584 +sg475 +g578 +sbsS'Blubb' +p585 +g1 +(g2 +g3 +(g186 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g435 +sg20 +g21 +((lp590 +tp591 +Rp592 +sbsS'Label' +p593 +g1 +(g2 +g3 +(g186 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g435 +sg20 +g21 +((lp598 +tp599 +Rp600 +sbsS'Field' +p601 +g1 +(g2 +g3 +(g186 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g435 +sg20 +g21 +((lp606 +tp607 +Rp608 +sbsS'Exception' +p609 +g1 +(g2 +g3 +(g186 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g435 +sg20 +g21 +((lp614 +tp615 +Rp616 +sbsS'Namespace' +p617 +g1 +(g2 +g3 +(g186 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g435 +sg20 +g21 +((lp622 +tp623 +Rp624 +sbsg20 +g21 +((lp625 +g495 +ag588 +ag533 +ag503 +ag440 +ag612 +ag525 +ag557 +ag573 +ag549 +ag1 +(g2 +g3 +(g186 +g442 +tp626 +tp627 +Rp628 +(dp629 +g10 +g435 +sg414 +g1 +(g2 +g3 +(g186 +g442 +g414 +tp630 +tp631 +Rp632 +(dp633 +g10 +g628 +sg20 +g21 +((lp634 +tp635 +Rp636 +sbsg20 +g21 +((lp637 +g1 +(g2 +g3 +(g186 +g442 +S'Start' +p638 +tp639 +tp640 +Rp641 +(dp642 +g10 +g628 +sg20 +g21 +((lp643 +tp644 +Rp645 +sbag632 +atp646 +Rp647 +sg638 +g641 +sbag1 +(g2 +g3 +(g186 +g70 +tp648 +tp649 +Rp650 +(dp651 +g10 +g435 +sS'Member' +p652 +g1 +(g2 +g3 +(g186 +g70 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g650 +sg20 +g21 +((lp657 +tp658 +Rp659 +sbsg20 +g21 +((lp660 +g655 +atp661 +Rp662 +sbag596 +ag487 +ag620 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p663 +tp664 +tp665 +Rp666 +(dp667 +g10 +g435 +sg437 +g1 +(g2 +g3 +(g186 +g663 +g437 +tp668 +tp669 +Rp670 +(dp671 +g10 +g666 +sg20 +g21 +((lp672 +tp673 +Rp674 +sbsg20 +g21 +((lp675 +g670 +atp676 +Rp677 +sbag565 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p678 +tp679 +tp680 +Rp681 +(dp682 +g10 +g435 +sg538 +g1 +(g2 +g3 +(g186 +g678 +g538 +tp683 +tp684 +Rp685 +(dp686 +g10 +g681 +sg20 +g21 +((lp687 +tp688 +Rp689 +sbsg20 +g21 +((lp690 +g1 +(g2 +g3 +(g186 +g678 +g530 +tp691 +tp692 +Rp693 +(dp694 +g10 +g681 +sg20 +g21 +((lp695 +tp696 +Rp697 +sbag685 +atp698 +Rp699 +sg530 +g693 +sbag604 +ag541 +ag517 +atp700 +Rp701 +sg10 +g26 +sg442 +g628 +sg678 +g681 +sg663 +g666 +sg70 +g650 +sbsS'Punctuation' +p702 +g1 +(g2 +g3 +(g702 +tp703 +tp704 +Rp705 +(dp706 +g10 +g26 +sg20 +g21 +((lp707 +g1 +(g2 +g3 +(g702 +S'Indicator' +p708 +tp709 +tp710 +Rp711 +(dp712 +g10 +g705 +sg20 +g21 +((lp713 +tp714 +Rp715 +sbatp716 +Rp717 +sg708 +g711 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp718 +tp719 +Rp720 +(dp721 +g10 +g26 +sg20 +g21 +((lp722 +tp723 +Rp724 +sbsg382 +g1 +(g2 +g3 +(g382 +tp725 +tp726 +Rp727 +(dp728 +g10 +g26 +sg20 +g21 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp733 +tp734 +Rp735 +(dp736 +g10 +g26 +sg20 +g21 +((lp737 +tp738 +Rp739 +sbsg20 +g21 +((lp740 +g435 +ag720 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g26 +sg538 +g1 +(g2 +g3 +(g741 +g538 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg20 +g21 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg562 +g1 +(g2 +g3 +(g741 +g562 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsg617 +g1 +(g2 +g3 +(g741 +g617 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg20 +g21 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg20 +g21 +((lp780 +tp781 +Rp782 +sbsg530 +g1 +(g2 +g3 +(g741 +g530 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg20 +g21 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg20 +g21 +((lp795 +tp796 +Rp797 +sbsg20 +g21 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g423 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg20 +g21 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg20 +g21 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg20 +g21 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg423 +g801 +sbag324 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg20 +g21 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg20 +g21 +((lp841 +tp842 +Rp843 +sbsg10 +g26 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg20 +g21 +((lp849 +tp850 +Rp851 +sbsg114 +g1 +(g2 +g3 +(g823 +g114 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg20 +g21 +((lp856 +tp857 +Rp858 +sbsg702 +g1 +(g2 +g3 +(g823 +g702 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg20 +g21 +((lp863 +tp864 +Rp865 +sbsg20 +g21 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg20 +g21 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag412 +ag735 +ag705 +ag13 +ag727 +ag52 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Special' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg20 +g21 +((lp884 +tp885 +Rp886 +sbsS'Hashbang' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsS'Preproc' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsg5 +g8 +sS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag890 +ag18 +ag921 +ag898 +ag929 +ag8 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag882 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV;;;; TYPEP und Verwandtes +p956 +tp957 +a(g826 +V\u000a +p958 +tp959 +a(g8 +V;;;; Michael Stoll, 21. 10. 1988 +p960 +tp961 +a(g826 +V\u000a +p962 +tp963 +a(g8 +V;;;; Bruno Haible, 10.6.1989 +p964 +tp965 +a(g826 +V\u000a +p966 +tp967 +a(g8 +V;;;; Sam Steingold 2000-2005 +p968 +tp969 +a(g826 +V\u000a\u000a +p970 +tp971 +a(g8 +V;;; Datenstrukturen für TYPEP: +p972 +tp973 +a(g826 +V\u000a +p974 +tp975 +a(g8 +V;;; - Ein Type-Specifier-Symbol hat auf seiner Propertyliste unter dem +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g8 +V;;; Indikator SYS::TYPE-SYMBOL eine Funktion von einem Argument, die +p980 +tp981 +a(g826 +V\u000a +p982 +tp983 +a(g8 +V;;; testet, ob ein Objekt vom richtigen Typ ist. +p984 +tp985 +a(g826 +V\u000a +p986 +tp987 +a(g8 +V;;; - Ein Symbol, das eine Type-Specifier-Liste beginnen kann, hat auf seiner +p988 +tp989 +a(g826 +V\u000a +p990 +tp991 +a(g8 +V;;; Propertyliste unter dem Indikator SYS::TYPE-LIST eine Funktion von +p992 +tp993 +a(g826 +V\u000a +p994 +tp995 +a(g8 +V;;; einem Argument für das zu testende Objekt und zusätzlichen Argumenten +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g8 +V;;; für die Listenelemente. +p1000 +tp1001 +a(g826 +V\u000a +p1002 +tp1003 +a(g8 +V;;; - Ein Symbol, das als Typmacro definiert wurde, hat auf seiner Property- +p1004 +tp1005 +a(g826 +V\u000a +p1006 +tp1007 +a(g8 +V;;; liste unter dem Indikator SYSTEM::DEFTYPE-EXPANDER den zugehörigen +p1008 +tp1009 +a(g826 +V\u000a +p1010 +tp1011 +a(g8 +V;;; Expander: eine Funktion, die den zu expandierenden Type-Specifier (eine +p1012 +tp1013 +a(g826 +V\u000a +p1014 +tp1015 +a(g8 +V;;; mindestens einelementige Liste) als Argument bekommt. +p1016 +tp1017 +a(g826 +V\u000a\u000a +p1018 +tp1019 +a(g705 +V( +p1020 +tp1021 +a(g681 +Vin-package +p1022 +tp1023 +a(g826 +V +p1024 +tp1025 +a(g89 +V"EXT" +p1026 +tp1027 +a(g705 +V) +p1028 +tp1029 +a(g826 +V\u000a +p1030 +tp1031 +a(g705 +g1020 +tp1032 +a(g681 +Vexport +p1033 +tp1034 +a(g826 +g1024 +tp1035 +a(g412 +V' +p1036 +tp1037 +a(g705 +g1020 +tp1038 +a(g440 +Vtype-expand +p1039 +tp1040 +a(g705 +g1028 +tp1041 +a(g705 +g1028 +tp1042 +a(g826 +V\u000a +p1043 +tp1044 +a(g705 +g1020 +tp1045 +a(g681 +Vin-package +p1046 +tp1047 +a(g826 +g1024 +tp1048 +a(g89 +V"SYSTEM" +p1049 +tp1050 +a(g705 +g1028 +tp1051 +a(g826 +V\u000a\u000a +p1052 +tp1053 +a(g8 +V; vorläufig, solange bis clos.lisp geladen wird: +p1054 +tp1055 +a(g826 +V\u000a +p1056 +tp1057 +a(g705 +g1020 +tp1058 +a(g744 +Veval-when +p1059 +tp1060 +a(g826 +g1024 +tp1061 +a(g705 +g1020 +tp1062 +a(g681 +Veval +p1063 +tp1064 +a(g705 +g1028 +tp1065 +a(g826 +V\u000a +p1066 +tp1067 +a(g705 +g1020 +tp1068 +a(g440 +Vpredefun +p1069 +tp1070 +a(g826 +g1024 +tp1071 +a(g440 +Vclos::built-in-class-p +p1072 +tp1073 +a(g826 +g1024 +tp1074 +a(g705 +g1020 +tp1075 +a(g440 +Vobject +p1076 +tp1077 +a(g705 +g1028 +tp1078 +a(g826 +g1024 +tp1079 +a(g705 +g1020 +tp1080 +a(g744 +Vdeclare +p1081 +tp1082 +a(g826 +g1024 +tp1083 +a(g705 +g1020 +tp1084 +a(g744 +Vignore +p1085 +tp1086 +a(g826 +g1024 +tp1087 +a(g440 +Vobject +p1088 +tp1089 +a(g705 +g1028 +tp1090 +a(g705 +g1028 +tp1091 +a(g826 +g1024 +tp1092 +a(g565 +Vnil +p1093 +tp1094 +a(g705 +g1028 +tp1095 +a(g705 +g1028 +tp1096 +a(g826 +V\u000a +p1097 +tp1098 +a(g705 +g1020 +tp1099 +a(g681 +Vunless +p1100 +tp1101 +a(g826 +g1024 +tp1102 +a(g705 +g1020 +tp1103 +a(g681 +Vfboundp +p1104 +tp1105 +a(g826 +g1024 +tp1106 +a(g117 +V'clos::class-name +p1107 +tp1108 +a(g705 +g1028 +tp1109 +a(g826 +V\u000a +p1110 +tp1111 +a(g705 +g1020 +tp1112 +a(g681 +Vdefun +p1113 +tp1114 +a(g826 +g1024 +tp1115 +a(g440 +Vclos::class-name +p1116 +tp1117 +a(g826 +g1024 +tp1118 +a(g705 +g1020 +tp1119 +a(g440 +Vc +p1120 +tp1121 +a(g705 +g1028 +tp1122 +a(g826 +g1024 +tp1123 +a(g705 +g1020 +tp1124 +a(g744 +Vdeclare +p1125 +tp1126 +a(g826 +g1024 +tp1127 +a(g705 +g1020 +tp1128 +a(g744 +Vignore +p1129 +tp1130 +a(g826 +g1024 +tp1131 +a(g440 +g1120 +tp1132 +a(g705 +g1028 +tp1133 +a(g705 +g1028 +tp1134 +a(g826 +g1024 +tp1135 +a(g565 +Vnil +p1136 +tp1137 +a(g705 +g1028 +tp1138 +a(g826 +V\u000a +p1139 +tp1140 +a(g705 +g1028 +tp1141 +a(g826 +V\u000a\u000a +p1142 +tp1143 +a(g705 +g1020 +tp1144 +a(g681 +Vdefun +p1145 +tp1146 +a(g826 +g1024 +tp1147 +a(g440 +Vtypespec-error +p1148 +tp1149 +a(g826 +g1024 +tp1150 +a(g705 +g1020 +tp1151 +a(g440 +Vfun +p1152 +tp1153 +a(g826 +g1024 +tp1154 +a(g744 +Vtype +p1155 +tp1156 +a(g705 +g1028 +tp1157 +a(g826 +V\u000a +p1158 +tp1159 +a(g705 +g1020 +tp1160 +a(g440 +Verror-of-type +p1161 +tp1162 +a(g826 +g1024 +tp1163 +a(g117 +V'error +p1164 +tp1165 +a(g826 +V\u000a +p1166 +tp1167 +a(g705 +g1020 +tp1168 +a(g440 +VTEXT +p1169 +tp1170 +a(g826 +g1024 +tp1171 +a(g89 +V"~S: invalid type specification ~S" +p1172 +tp1173 +a(g705 +g1028 +tp1174 +a(g826 +V\u000a +p1175 +tp1176 +a(g440 +Vfun +p1177 +tp1178 +a(g826 +g1024 +tp1179 +a(g744 +Vtype +p1180 +tp1181 +a(g826 +V\u000a +p1182 +tp1183 +a(g705 +g1028 +tp1184 +a(g826 +g1024 +tp1185 +a(g705 +g1028 +tp1186 +a(g826 +V\u000a\u000a +p1187 +tp1188 +a(g8 +V;; ============================================================================ +p1189 +tp1190 +a(g826 +V\u000a\u000a +p1191 +tp1192 +a(g8 +V;; return the CLOS class named by TYPESPEC or NIL +p1193 +tp1194 +a(g826 +V\u000a +p1195 +tp1196 +a(g705 +g1020 +tp1197 +a(g681 +Vdefun +p1198 +tp1199 +a(g826 +g1024 +tp1200 +a(g440 +Vclos-class +p1201 +tp1202 +a(g826 +g1024 +tp1203 +a(g705 +g1020 +tp1204 +a(g440 +Vtypespec +p1205 +tp1206 +a(g705 +g1028 +tp1207 +a(g826 +V\u000a +p1208 +tp1209 +a(g705 +g1020 +tp1210 +a(g744 +Vlet +p1211 +tp1212 +a(g826 +g1024 +tp1213 +a(g705 +g1020 +tp1214 +a(g705 +g1020 +tp1215 +a(g440 +Vcc +p1216 +tp1217 +a(g826 +g1024 +tp1218 +a(g705 +g1020 +tp1219 +a(g681 +Vget +p1220 +tp1221 +a(g826 +g1024 +tp1222 +a(g440 +Vtypespec +p1223 +tp1224 +a(g826 +g1024 +tp1225 +a(g117 +V'CLOS::CLOSCLASS +p1226 +tp1227 +a(g705 +g1028 +tp1228 +a(g705 +g1028 +tp1229 +a(g705 +g1028 +tp1230 +a(g826 +V\u000a +p1231 +tp1232 +a(g705 +g1020 +tp1233 +a(g681 +Vwhen +p1234 +tp1235 +a(g826 +g1024 +tp1236 +a(g705 +g1020 +tp1237 +a(g681 +Vand +p1238 +tp1239 +a(g826 +g1024 +tp1240 +a(g440 +Vcc +p1241 +tp1242 +a(g826 +g1024 +tp1243 +a(g705 +g1020 +tp1244 +a(g440 +Vclos::defined-class-p +p1245 +tp1246 +a(g826 +g1024 +tp1247 +a(g440 +Vcc +p1248 +tp1249 +a(g705 +g1028 +tp1250 +a(g826 +g1024 +tp1251 +a(g705 +g1020 +tp1252 +a(g681 +Veq +p1253 +tp1254 +a(g826 +g1024 +tp1255 +a(g705 +g1020 +tp1256 +a(g440 +Vclos:class-name +p1257 +tp1258 +a(g826 +g1024 +tp1259 +a(g440 +Vcc +p1260 +tp1261 +a(g705 +g1028 +tp1262 +a(g826 +g1024 +tp1263 +a(g440 +Vtypespec +p1264 +tp1265 +a(g705 +g1028 +tp1266 +a(g705 +g1028 +tp1267 +a(g826 +V\u000a +p1268 +tp1269 +a(g440 +Vcc +p1270 +tp1271 +a(g705 +g1028 +tp1272 +a(g705 +g1028 +tp1273 +a(g705 +g1028 +tp1274 +a(g826 +V\u000a\u000a +p1275 +tp1276 +a(g8 +V;;; TYPEP, CLTL S. 72, S. 42-51 +p1277 +tp1278 +a(g826 +V\u000a +p1279 +tp1280 +a(g705 +g1020 +tp1281 +a(g681 +Vdefun +p1282 +tp1283 +a(g826 +g1024 +tp1284 +a(g681 +Vtypep +p1285 +tp1286 +a(g826 +g1024 +tp1287 +a(g705 +g1020 +tp1288 +a(g440 +Vx +p1289 +tp1290 +a(g826 +g1024 +tp1291 +a(g440 +Vy +p1292 +tp1293 +a(g826 +g1024 +tp1294 +a(g744 +V&optional +p1295 +tp1296 +a(g826 +g1024 +tp1297 +a(g440 +Venv +p1298 +tp1299 +a(g826 +g1024 +tp1300 +a(g744 +V&aux +p1301 +tp1302 +a(g826 +g1024 +tp1303 +a(g440 +Vf +p1304 +tp1305 +a(g705 +g1028 +tp1306 +a(g826 +g1024 +tp1307 +a(g8 +V; x = Objekt, y = Typ +p1308 +tp1309 +a(g826 +V\u000a +p1310 +tp1311 +a(g705 +g1020 +tp1312 +a(g744 +Vdeclare +p1313 +tp1314 +a(g826 +g1024 +tp1315 +a(g705 +g1020 +tp1316 +a(g744 +Vignore +p1317 +tp1318 +a(g826 +g1024 +tp1319 +a(g440 +Venv +p1320 +tp1321 +a(g705 +g1028 +tp1322 +a(g705 +g1028 +tp1323 +a(g826 +V\u000a +p1324 +tp1325 +a(g705 +g1020 +tp1326 +a(g744 +Vsetq +p1327 +tp1328 +a(g826 +g1024 +tp1329 +a(g440 +g1292 +tp1330 +a(g826 +g1024 +tp1331 +a(g705 +g1020 +tp1332 +a(g440 +Vexpand-deftype +p1333 +tp1334 +a(g826 +g1024 +tp1335 +a(g440 +g1292 +tp1336 +a(g705 +g1028 +tp1337 +a(g705 +g1028 +tp1338 +a(g826 +V\u000a +p1339 +tp1340 +a(g705 +g1020 +tp1341 +a(g681 +Vcond +p1342 +tp1343 +a(g826 +V\u000a +p1344 +tp1345 +a(g705 +g1020 +tp1346 +a(g705 +g1020 +tp1347 +a(g681 +Vsymbolp +p1348 +tp1349 +a(g826 +g1024 +tp1350 +a(g440 +g1292 +tp1351 +a(g705 +g1028 +tp1352 +a(g826 +V\u000a +p1353 +tp1354 +a(g705 +g1020 +tp1355 +a(g681 +Vcond +p1356 +tp1357 +a(g826 +g1024 +tp1358 +a(g705 +g1020 +tp1359 +a(g705 +g1020 +tp1360 +a(g744 +Vsetq +p1361 +tp1362 +a(g826 +g1024 +tp1363 +a(g440 +g1304 +tp1364 +a(g826 +g1024 +tp1365 +a(g705 +g1020 +tp1366 +a(g681 +Vget +p1367 +tp1368 +a(g826 +g1024 +tp1369 +a(g440 +g1292 +tp1370 +a(g826 +g1024 +tp1371 +a(g117 +V'TYPE-SYMBOL +p1372 +tp1373 +a(g705 +g1028 +tp1374 +a(g705 +g1028 +tp1375 +a(g826 +g1024 +tp1376 +a(g705 +g1020 +tp1377 +a(g681 +Vfuncall +p1378 +tp1379 +a(g826 +g1024 +tp1380 +a(g440 +g1304 +tp1381 +a(g826 +g1024 +tp1382 +a(g440 +g1289 +tp1383 +a(g705 +g1028 +tp1384 +a(g705 +g1028 +tp1385 +a(g826 +V\u000a +p1386 +tp1387 +a(g705 +g1020 +tp1388 +a(g705 +g1020 +tp1389 +a(g744 +Vsetq +p1390 +tp1391 +a(g826 +g1024 +tp1392 +a(g440 +g1304 +tp1393 +a(g826 +g1024 +tp1394 +a(g705 +g1020 +tp1395 +a(g681 +Vget +p1396 +tp1397 +a(g826 +g1024 +tp1398 +a(g440 +g1292 +tp1399 +a(g826 +g1024 +tp1400 +a(g117 +V'TYPE-LIST +p1401 +tp1402 +a(g705 +g1028 +tp1403 +a(g705 +g1028 +tp1404 +a(g826 +g1024 +tp1405 +a(g705 +g1020 +tp1406 +a(g681 +Vfuncall +p1407 +tp1408 +a(g826 +g1024 +tp1409 +a(g440 +g1304 +tp1410 +a(g826 +g1024 +tp1411 +a(g440 +g1289 +tp1412 +a(g705 +g1028 +tp1413 +a(g705 +g1028 +tp1414 +a(g826 +V\u000a +p1415 +tp1416 +a(g705 +g1020 +tp1417 +a(g705 +g1020 +tp1418 +a(g744 +Vsetq +p1419 +tp1420 +a(g826 +g1024 +tp1421 +a(g440 +g1304 +tp1422 +a(g826 +g1024 +tp1423 +a(g705 +g1020 +tp1424 +a(g681 +Vget +p1425 +tp1426 +a(g826 +g1024 +tp1427 +a(g440 +g1292 +tp1428 +a(g826 +g1024 +tp1429 +a(g117 +V'DEFSTRUCT-DESCRIPTION +p1430 +tp1431 +a(g705 +g1028 +tp1432 +a(g705 +g1028 +tp1433 +a(g826 +g1024 +tp1434 +a(g705 +g1020 +tp1435 +a(g440 +Vds-typep +p1436 +tp1437 +a(g826 +g1024 +tp1438 +a(g440 +g1289 +tp1439 +a(g826 +g1024 +tp1440 +a(g440 +g1292 +tp1441 +a(g826 +g1024 +tp1442 +a(g440 +g1304 +tp1443 +a(g705 +g1028 +tp1444 +a(g705 +g1028 +tp1445 +a(g826 +V\u000a +p1446 +tp1447 +a(g705 +g1020 +tp1448 +a(g705 +g1020 +tp1449 +a(g744 +Vsetq +p1450 +tp1451 +a(g826 +g1024 +tp1452 +a(g440 +g1304 +tp1453 +a(g826 +g1024 +tp1454 +a(g705 +g1020 +tp1455 +a(g440 +Vclos-class +p1456 +tp1457 +a(g826 +g1024 +tp1458 +a(g440 +g1292 +tp1459 +a(g705 +g1028 +tp1460 +a(g705 +g1028 +tp1461 +a(g826 +V\u000a +p1462 +tp1463 +a(g8 +V; It's not worth handling structure classes specially here. +p1464 +tp1465 +a(g826 +V\u000a +p1466 +tp1467 +a(g705 +g1020 +tp1468 +a(g440 +Vclos::typep-class +p1469 +tp1470 +a(g826 +g1024 +tp1471 +a(g440 +g1289 +tp1472 +a(g826 +g1024 +tp1473 +a(g440 +g1304 +tp1474 +a(g705 +g1028 +tp1475 +a(g705 +g1028 +tp1476 +a(g826 +V\u000a +p1477 +tp1478 +a(g705 +g1020 +tp1479 +a(g565 +Vt +p1480 +tp1481 +a(g826 +g1024 +tp1482 +a(g705 +g1020 +tp1483 +a(g440 +Vtypespec-error +p1484 +tp1485 +a(g826 +g1024 +tp1486 +a(g117 +V'typep +p1487 +tp1488 +a(g826 +g1024 +tp1489 +a(g440 +g1292 +tp1490 +a(g705 +g1028 +tp1491 +a(g705 +g1028 +tp1492 +a(g826 +V\u000a +p1493 +tp1494 +a(g705 +g1028 +tp1495 +a(g826 +V +p1496 +tp1497 +a(g705 +g1028 +tp1498 +a(g826 +V\u000a +p1499 +tp1500 +a(g705 +g1020 +tp1501 +a(g705 +g1020 +tp1502 +a(g681 +Vand +p1503 +tp1504 +a(g826 +g1024 +tp1505 +a(g705 +g1020 +tp1506 +a(g681 +Vconsp +p1507 +tp1508 +a(g826 +g1024 +tp1509 +a(g440 +g1292 +tp1510 +a(g705 +g1028 +tp1511 +a(g826 +g1024 +tp1512 +a(g705 +g1020 +tp1513 +a(g681 +Vsymbolp +p1514 +tp1515 +a(g826 +g1024 +tp1516 +a(g705 +g1020 +tp1517 +a(g681 +Vfirst +p1518 +tp1519 +a(g826 +g1024 +tp1520 +a(g440 +g1292 +tp1521 +a(g705 +g1028 +tp1522 +a(g705 +g1028 +tp1523 +a(g705 +g1028 +tp1524 +a(g826 +V\u000a +p1525 +tp1526 +a(g705 +g1020 +tp1527 +a(g681 +Vcond +p1528 +tp1529 +a(g826 +V\u000a +p1530 +tp1531 +a(g705 +g1020 +tp1532 +a(g705 +g1020 +tp1533 +a(g681 +Vand +p1534 +tp1535 +a(g826 +g1024 +tp1536 +a(g705 +g1020 +tp1537 +a(g681 +Veq +p1538 +tp1539 +a(g826 +g1024 +tp1540 +a(g705 +g1020 +tp1541 +a(g681 +Vfirst +p1542 +tp1543 +a(g826 +g1024 +tp1544 +a(g440 +g1292 +tp1545 +a(g705 +g1028 +tp1546 +a(g826 +g1024 +tp1547 +a(g117 +V'SATISFIES +p1548 +tp1549 +a(g705 +g1028 +tp1550 +a(g826 +g1024 +tp1551 +a(g705 +g1020 +tp1552 +a(g681 +Veql +p1553 +tp1554 +a(g826 +g1024 +tp1555 +a(g705 +g1020 +tp1556 +a(g681 +Vlength +p1557 +tp1558 +a(g826 +g1024 +tp1559 +a(g440 +g1292 +tp1560 +a(g705 +g1028 +tp1561 +a(g826 +g1024 +tp1562 +a(g37 +V2 +p1563 +tp1564 +a(g705 +g1028 +tp1565 +a(g705 +g1028 +tp1566 +a(g826 +V\u000a +p1567 +tp1568 +a(g705 +g1020 +tp1569 +a(g681 +Vunless +p1570 +tp1571 +a(g826 +g1024 +tp1572 +a(g705 +g1020 +tp1573 +a(g681 +Vsymbolp +p1574 +tp1575 +a(g826 +g1024 +tp1576 +a(g705 +g1020 +tp1577 +a(g681 +Vsecond +p1578 +tp1579 +a(g826 +g1024 +tp1580 +a(g440 +g1292 +tp1581 +a(g705 +g1028 +tp1582 +a(g705 +g1028 +tp1583 +a(g826 +V\u000a +p1584 +tp1585 +a(g705 +g1020 +tp1586 +a(g440 +Verror-of-type +p1587 +tp1588 +a(g826 +g1024 +tp1589 +a(g117 +V'error +p1590 +tp1591 +a(g826 +V\u000a +p1592 +tp1593 +a(g705 +g1020 +tp1594 +a(g440 +VTEXT +p1595 +tp1596 +a(g826 +g1024 +tp1597 +a(g89 +V"~S: argument to SATISFIES must be a symbol: ~S" +p1598 +tp1599 +a(g705 +g1028 +tp1600 +a(g826 +V\u000a +p1601 +tp1602 +a(g117 +V'typep +p1603 +tp1604 +a(g826 +g1024 +tp1605 +a(g705 +g1020 +tp1606 +a(g681 +Vsecond +p1607 +tp1608 +a(g826 +g1024 +tp1609 +a(g440 +g1292 +tp1610 +a(g705 +g1028 +tp1611 +a(g826 +V\u000a +p1612 +tp1613 +a(g705 +g1028 +tp1614 +a(g826 +g1024 +tp1615 +a(g705 +g1028 +tp1616 +a(g826 +V\u000a +p1617 +tp1618 +a(g705 +g1020 +tp1619 +a(g744 +Vif +p1620 +tp1621 +a(g826 +g1024 +tp1622 +a(g705 +g1020 +tp1623 +a(g681 +Vfuncall +p1624 +tp1625 +a(g826 +g1024 +tp1626 +a(g705 +g1020 +tp1627 +a(g681 +Vsymbol-function +p1628 +tp1629 +a(g826 +g1024 +tp1630 +a(g705 +g1020 +tp1631 +a(g681 +Vsecond +p1632 +tp1633 +a(g826 +g1024 +tp1634 +a(g440 +g1292 +tp1635 +a(g705 +g1028 +tp1636 +a(g705 +g1028 +tp1637 +a(g826 +g1024 +tp1638 +a(g440 +g1289 +tp1639 +a(g705 +g1028 +tp1640 +a(g826 +g1024 +tp1641 +a(g565 +g1480 +tp1642 +a(g826 +g1024 +tp1643 +a(g565 +Vnil +p1644 +tp1645 +a(g705 +g1028 +tp1646 +a(g826 +V\u000a +p1647 +tp1648 +a(g705 +g1028 +tp1649 +a(g826 +V\u000a +p1650 +tp1651 +a(g705 +g1020 +tp1652 +a(g705 +g1020 +tp1653 +a(g681 +Veq +p1654 +tp1655 +a(g826 +g1024 +tp1656 +a(g705 +g1020 +tp1657 +a(g681 +Vfirst +p1658 +tp1659 +a(g826 +g1024 +tp1660 +a(g440 +g1292 +tp1661 +a(g705 +g1028 +tp1662 +a(g826 +g1024 +tp1663 +a(g117 +V'MEMBER +p1664 +tp1665 +a(g705 +g1028 +tp1666 +a(g826 +V\u000a +p1667 +tp1668 +a(g705 +g1020 +tp1669 +a(g744 +Vif +p1670 +tp1671 +a(g826 +g1024 +tp1672 +a(g705 +g1020 +tp1673 +a(g681 +Vmember +p1674 +tp1675 +a(g826 +g1024 +tp1676 +a(g440 +g1289 +tp1677 +a(g826 +g1024 +tp1678 +a(g705 +g1020 +tp1679 +a(g681 +Vrest +p1680 +tp1681 +a(g826 +g1024 +tp1682 +a(g440 +g1292 +tp1683 +a(g705 +g1028 +tp1684 +a(g705 +g1028 +tp1685 +a(g826 +g1024 +tp1686 +a(g565 +g1480 +tp1687 +a(g826 +g1024 +tp1688 +a(g565 +Vnil +p1689 +tp1690 +a(g705 +g1028 +tp1691 +a(g826 +V\u000a +p1692 +tp1693 +a(g705 +g1028 +tp1694 +a(g826 +V\u000a +p1695 +tp1696 +a(g705 +g1020 +tp1697 +a(g705 +g1020 +tp1698 +a(g681 +Vand +p1699 +tp1700 +a(g826 +g1024 +tp1701 +a(g705 +g1020 +tp1702 +a(g681 +Veq +p1703 +tp1704 +a(g826 +g1024 +tp1705 +a(g705 +g1020 +tp1706 +a(g681 +Vfirst +p1707 +tp1708 +a(g826 +g1024 +tp1709 +a(g440 +g1292 +tp1710 +a(g705 +g1028 +tp1711 +a(g826 +g1024 +tp1712 +a(g117 +V'EQL +p1713 +tp1714 +a(g705 +g1028 +tp1715 +a(g826 +g1024 +tp1716 +a(g705 +g1020 +tp1717 +a(g681 +Veql +p1718 +tp1719 +a(g826 +g1024 +tp1720 +a(g705 +g1020 +tp1721 +a(g681 +Vlength +p1722 +tp1723 +a(g826 +g1024 +tp1724 +a(g440 +g1292 +tp1725 +a(g705 +g1028 +tp1726 +a(g826 +g1024 +tp1727 +a(g37 +g1563 +tp1728 +a(g705 +g1028 +tp1729 +a(g705 +g1028 +tp1730 +a(g826 +V\u000a +p1731 +tp1732 +a(g705 +g1020 +tp1733 +a(g681 +Veql +p1734 +tp1735 +a(g826 +g1024 +tp1736 +a(g440 +g1289 +tp1737 +a(g826 +g1024 +tp1738 +a(g705 +g1020 +tp1739 +a(g681 +Vsecond +p1740 +tp1741 +a(g826 +g1024 +tp1742 +a(g440 +g1292 +tp1743 +a(g705 +g1028 +tp1744 +a(g705 +g1028 +tp1745 +a(g826 +V\u000a +p1746 +tp1747 +a(g705 +g1028 +tp1748 +a(g826 +V\u000a +p1749 +tp1750 +a(g705 +g1020 +tp1751 +a(g705 +g1020 +tp1752 +a(g681 +Vand +p1753 +tp1754 +a(g826 +g1024 +tp1755 +a(g705 +g1020 +tp1756 +a(g681 +Veq +p1757 +tp1758 +a(g826 +g1024 +tp1759 +a(g705 +g1020 +tp1760 +a(g681 +Vfirst +p1761 +tp1762 +a(g826 +g1024 +tp1763 +a(g440 +g1292 +tp1764 +a(g705 +g1028 +tp1765 +a(g826 +g1024 +tp1766 +a(g117 +V'NOT +p1767 +tp1768 +a(g705 +g1028 +tp1769 +a(g826 +g1024 +tp1770 +a(g705 +g1020 +tp1771 +a(g681 +Veql +p1772 +tp1773 +a(g826 +g1024 +tp1774 +a(g705 +g1020 +tp1775 +a(g681 +Vlength +p1776 +tp1777 +a(g826 +g1024 +tp1778 +a(g440 +g1292 +tp1779 +a(g705 +g1028 +tp1780 +a(g826 +g1024 +tp1781 +a(g37 +g1563 +tp1782 +a(g705 +g1028 +tp1783 +a(g705 +g1028 +tp1784 +a(g826 +V\u000a +p1785 +tp1786 +a(g705 +g1020 +tp1787 +a(g681 +Vnot +p1788 +tp1789 +a(g826 +g1024 +tp1790 +a(g705 +g1020 +tp1791 +a(g681 +Vtypep +p1792 +tp1793 +a(g826 +g1024 +tp1794 +a(g440 +g1289 +tp1795 +a(g826 +g1024 +tp1796 +a(g705 +g1020 +tp1797 +a(g681 +Vsecond +p1798 +tp1799 +a(g826 +g1024 +tp1800 +a(g440 +g1292 +tp1801 +a(g705 +g1028 +tp1802 +a(g705 +g1028 +tp1803 +a(g705 +g1028 +tp1804 +a(g826 +V\u000a +p1805 +tp1806 +a(g705 +g1028 +tp1807 +a(g826 +V\u000a +p1808 +tp1809 +a(g705 +g1020 +tp1810 +a(g705 +g1020 +tp1811 +a(g681 +Veq +p1812 +tp1813 +a(g826 +g1024 +tp1814 +a(g705 +g1020 +tp1815 +a(g681 +Vfirst +p1816 +tp1817 +a(g826 +g1024 +tp1818 +a(g440 +g1292 +tp1819 +a(g705 +g1028 +tp1820 +a(g826 +g1024 +tp1821 +a(g117 +V'AND +p1822 +tp1823 +a(g705 +g1028 +tp1824 +a(g826 +V\u000a +p1825 +tp1826 +a(g705 +g1020 +tp1827 +a(g681 +Vdolist +p1828 +tp1829 +a(g826 +g1024 +tp1830 +a(g705 +g1020 +tp1831 +a(g744 +Vtype +p1832 +tp1833 +a(g826 +g1024 +tp1834 +a(g705 +g1020 +tp1835 +a(g681 +Vrest +p1836 +tp1837 +a(g826 +g1024 +tp1838 +a(g440 +g1292 +tp1839 +a(g705 +g1028 +tp1840 +a(g826 +g1024 +tp1841 +a(g565 +g1480 +tp1842 +a(g705 +g1028 +tp1843 +a(g826 +V\u000a +p1844 +tp1845 +a(g705 +g1020 +tp1846 +a(g681 +Vunless +p1847 +tp1848 +a(g826 +g1024 +tp1849 +a(g705 +g1020 +tp1850 +a(g681 +Vtypep +p1851 +tp1852 +a(g826 +g1024 +tp1853 +a(g440 +g1289 +tp1854 +a(g826 +g1024 +tp1855 +a(g744 +Vtype +p1856 +tp1857 +a(g705 +g1028 +tp1858 +a(g826 +g1024 +tp1859 +a(g705 +g1020 +tp1860 +a(g681 +Vreturn +p1861 +tp1862 +a(g826 +g1024 +tp1863 +a(g565 +Vnil +p1864 +tp1865 +a(g705 +g1028 +tp1866 +a(g705 +g1028 +tp1867 +a(g826 +V\u000a +p1868 +tp1869 +a(g705 +g1028 +tp1870 +a(g826 +V +p1871 +tp1872 +a(g705 +g1028 +tp1873 +a(g826 +V\u000a +p1874 +tp1875 +a(g705 +g1020 +tp1876 +a(g705 +g1020 +tp1877 +a(g681 +Veq +p1878 +tp1879 +a(g826 +g1024 +tp1880 +a(g705 +g1020 +tp1881 +a(g681 +Vfirst +p1882 +tp1883 +a(g826 +g1024 +tp1884 +a(g440 +g1292 +tp1885 +a(g705 +g1028 +tp1886 +a(g826 +g1024 +tp1887 +a(g117 +V'OR +p1888 +tp1889 +a(g705 +g1028 +tp1890 +a(g826 +V\u000a +p1891 +tp1892 +a(g705 +g1020 +tp1893 +a(g681 +Vdolist +p1894 +tp1895 +a(g826 +g1024 +tp1896 +a(g705 +g1020 +tp1897 +a(g744 +Vtype +p1898 +tp1899 +a(g826 +g1024 +tp1900 +a(g705 +g1020 +tp1901 +a(g681 +Vrest +p1902 +tp1903 +a(g826 +g1024 +tp1904 +a(g440 +g1292 +tp1905 +a(g705 +g1028 +tp1906 +a(g826 +g1024 +tp1907 +a(g565 +Vnil +p1908 +tp1909 +a(g705 +g1028 +tp1910 +a(g826 +V\u000a +p1911 +tp1912 +a(g705 +g1020 +tp1913 +a(g681 +Vwhen +p1914 +tp1915 +a(g826 +g1024 +tp1916 +a(g705 +g1020 +tp1917 +a(g681 +Vtypep +p1918 +tp1919 +a(g826 +g1024 +tp1920 +a(g440 +g1289 +tp1921 +a(g826 +g1024 +tp1922 +a(g744 +Vtype +p1923 +tp1924 +a(g705 +g1028 +tp1925 +a(g826 +g1024 +tp1926 +a(g705 +g1020 +tp1927 +a(g681 +Vreturn +p1928 +tp1929 +a(g826 +g1024 +tp1930 +a(g565 +g1480 +tp1931 +a(g705 +g1028 +tp1932 +a(g705 +g1028 +tp1933 +a(g826 +V\u000a +p1934 +tp1935 +a(g705 +g1028 +tp1936 +a(g826 +V +p1937 +tp1938 +a(g705 +g1028 +tp1939 +a(g826 +V\u000a +p1940 +tp1941 +a(g705 +g1020 +tp1942 +a(g705 +g1020 +tp1943 +a(g744 +Vsetq +p1944 +tp1945 +a(g826 +g1024 +tp1946 +a(g440 +g1304 +tp1947 +a(g826 +g1024 +tp1948 +a(g705 +g1020 +tp1949 +a(g681 +Vget +p1950 +tp1951 +a(g826 +g1024 +tp1952 +a(g705 +g1020 +tp1953 +a(g681 +Vfirst +p1954 +tp1955 +a(g826 +g1024 +tp1956 +a(g440 +g1292 +tp1957 +a(g705 +g1028 +tp1958 +a(g826 +g1024 +tp1959 +a(g117 +V'TYPE-LIST +p1960 +tp1961 +a(g705 +g1028 +tp1962 +a(g705 +g1028 +tp1963 +a(g826 +g1024 +tp1964 +a(g705 +g1020 +tp1965 +a(g681 +Vapply +p1966 +tp1967 +a(g826 +g1024 +tp1968 +a(g440 +g1304 +tp1969 +a(g826 +g1024 +tp1970 +a(g440 +g1289 +tp1971 +a(g826 +g1024 +tp1972 +a(g705 +g1020 +tp1973 +a(g681 +Vrest +p1974 +tp1975 +a(g826 +g1024 +tp1976 +a(g440 +g1292 +tp1977 +a(g705 +g1028 +tp1978 +a(g705 +g1028 +tp1979 +a(g705 +g1028 +tp1980 +a(g826 +V\u000a +p1981 +tp1982 +a(g705 +g1020 +tp1983 +a(g565 +g1480 +tp1984 +a(g826 +g1024 +tp1985 +a(g705 +g1020 +tp1986 +a(g440 +Vtypespec-error +p1987 +tp1988 +a(g826 +g1024 +tp1989 +a(g117 +V'typep +p1990 +tp1991 +a(g826 +g1024 +tp1992 +a(g440 +g1292 +tp1993 +a(g705 +g1028 +tp1994 +a(g705 +g1028 +tp1995 +a(g826 +V\u000a +p1996 +tp1997 +a(g705 +g1028 +tp1998 +a(g826 +V +p1999 +tp2000 +a(g705 +g1028 +tp2001 +a(g826 +V\u000a +p2002 +tp2003 +a(g705 +g1020 +tp2004 +a(g705 +g1020 +tp2005 +a(g440 +Vclos::defined-class-p +p2006 +tp2007 +a(g826 +g1024 +tp2008 +a(g440 +g1292 +tp2009 +a(g705 +g1028 +tp2010 +a(g826 +g1024 +tp2011 +a(g705 +g1020 +tp2012 +a(g440 +Vclos::typep-class +p2013 +tp2014 +a(g826 +g1024 +tp2015 +a(g440 +g1289 +tp2016 +a(g826 +g1024 +tp2017 +a(g440 +g1292 +tp2018 +a(g705 +g1028 +tp2019 +a(g705 +g1028 +tp2020 +a(g826 +V\u000a +p2021 +tp2022 +a(g705 +g1020 +tp2023 +a(g705 +g1020 +tp2024 +a(g440 +Vclos::eql-specializer-p +p2025 +tp2026 +a(g826 +g1024 +tp2027 +a(g440 +g1292 +tp2028 +a(g705 +g1028 +tp2029 +a(g826 +g1024 +tp2030 +a(g705 +g1020 +tp2031 +a(g681 +Veql +p2032 +tp2033 +a(g826 +g1024 +tp2034 +a(g440 +g1289 +tp2035 +a(g826 +g1024 +tp2036 +a(g705 +g1020 +tp2037 +a(g440 +Vclos::eql-specializer-singleton +p2038 +tp2039 +a(g826 +g1024 +tp2040 +a(g440 +g1292 +tp2041 +a(g705 +g1028 +tp2042 +a(g705 +g1028 +tp2043 +a(g705 +g1028 +tp2044 +a(g826 +V\u000a +p2045 +tp2046 +a(g705 +g1020 +tp2047 +a(g705 +g1020 +tp2048 +a(g440 +Vencodingp +p2049 +tp2050 +a(g826 +g1024 +tp2051 +a(g440 +g1292 +tp2052 +a(g705 +g1028 +tp2053 +a(g826 +g1024 +tp2054 +a(g705 +g1020 +tp2055 +a(g440 +Vcharset-typep +p2056 +tp2057 +a(g826 +g1024 +tp2058 +a(g440 +g1289 +tp2059 +a(g826 +g1024 +tp2060 +a(g440 +g1292 +tp2061 +a(g705 +g1028 +tp2062 +a(g705 +g1028 +tp2063 +a(g826 +V\u000a +p2064 +tp2065 +a(g705 +g1020 +tp2066 +a(g565 +g1480 +tp2067 +a(g826 +g1024 +tp2068 +a(g705 +g1020 +tp2069 +a(g440 +Vtypespec-error +p2070 +tp2071 +a(g826 +g1024 +tp2072 +a(g117 +V'typep +p2073 +tp2074 +a(g826 +g1024 +tp2075 +a(g440 +g1292 +tp2076 +a(g705 +g1028 +tp2077 +a(g705 +g1028 +tp2078 +a(g826 +V\u000a +p2079 +tp2080 +a(g705 +g1028 +tp2081 +a(g826 +g1024 +tp2082 +a(g705 +g1028 +tp2083 +a(g826 +V\u000a\u000a +p2084 +tp2085 +a(g8 +V;; ---------------------------------------------------------------------------- +p2086 +tp2087 +a(g826 +V\u000a\u000a +p2088 +tp2089 +a(g8 +V;; UPGRADED-ARRAY-ELEMENT-TYPE is a lattice homomorphism, see +p2090 +tp2091 +a(g826 +V\u000a +p2092 +tp2093 +a(g8 +V;; ANSI CL 15.1.2.1. +p2094 +tp2095 +a(g826 +V\u000a +p2096 +tp2097 +a(g705 +g1020 +tp2098 +a(g681 +Vdefun +p2099 +tp2100 +a(g826 +g1024 +tp2101 +a(g681 +Vupgraded-array-element-type +p2102 +tp2103 +a(g826 +g1024 +tp2104 +a(g705 +g1020 +tp2105 +a(g744 +Vtype +p2106 +tp2107 +a(g826 +g1024 +tp2108 +a(g744 +V&optional +p2109 +tp2110 +a(g826 +g1024 +tp2111 +a(g440 +Venvironment +p2112 +tp2113 +a(g705 +g1028 +tp2114 +a(g826 +V\u000a +p2115 +tp2116 +a(g705 +g1020 +tp2117 +a(g744 +Vdeclare +p2118 +tp2119 +a(g826 +g1024 +tp2120 +a(g705 +g1020 +tp2121 +a(g744 +Vignore +p2122 +tp2123 +a(g826 +g1024 +tp2124 +a(g440 +Venvironment +p2125 +tp2126 +a(g705 +g1028 +tp2127 +a(g705 +g1028 +tp2128 +a(g826 +V\u000a +p2129 +tp2130 +a(g8 +V;; see array.d +p2131 +tp2132 +a(g826 +V\u000a +p2133 +tp2134 +a(g705 +g1020 +tp2135 +a(g681 +Vcase +p2136 +tp2137 +a(g826 +g1024 +tp2138 +a(g744 +Vtype +p2139 +tp2140 +a(g826 +V\u000a +p2141 +tp2142 +a(g705 +g1020 +tp2143 +a(g705 +g1020 +tp2144 +a(g440 +VBIT +p2145 +tp2146 +a(g705 +g1028 +tp2147 +a(g826 +g1024 +tp2148 +a(g117 +V'BIT +p2149 +tp2150 +a(g705 +g1028 +tp2151 +a(g826 +V\u000a +p2152 +tp2153 +a(g705 +g1020 +tp2154 +a(g705 +g1020 +tp2155 +a(g440 +VCHARACTER +p2156 +tp2157 +a(g705 +g1028 +tp2158 +a(g826 +g1024 +tp2159 +a(g117 +V'CHARACTER +p2160 +tp2161 +a(g705 +g1028 +tp2162 +a(g826 +V\u000a +p2163 +tp2164 +a(g705 +g1020 +tp2165 +a(g705 +g1020 +tp2166 +a(g565 +VT +p2167 +tp2168 +a(g705 +g1028 +tp2169 +a(g826 +g1024 +tp2170 +a(g117 +V'T +p2171 +tp2172 +a(g705 +g1028 +tp2173 +a(g826 +V\u000a +p2174 +tp2175 +a(g705 +g1020 +tp2176 +a(g705 +g1020 +tp2177 +a(g565 +VNIL +p2178 +tp2179 +a(g705 +g1028 +tp2180 +a(g826 +g1024 +tp2181 +a(g117 +V'NIL +p2182 +tp2183 +a(g705 +g1028 +tp2184 +a(g826 +V\u000a +p2185 +tp2186 +a(g705 +g1020 +tp2187 +a(g565 +g1480 +tp2188 +a(g826 +g1024 +tp2189 +a(g705 +g1020 +tp2190 +a(g744 +Vif +p2191 +tp2192 +a(g826 +g1024 +tp2193 +a(g705 +g1020 +tp2194 +a(g681 +Vsubtypep +p2195 +tp2196 +a(g826 +g1024 +tp2197 +a(g744 +Vtype +p2198 +tp2199 +a(g826 +g1024 +tp2200 +a(g117 +V'NIL +p2201 +tp2202 +a(g705 +g1028 +tp2203 +a(g826 +V\u000a +p2204 +tp2205 +a(g117 +V'NIL +p2206 +tp2207 +a(g826 +V\u000a +p2208 +tp2209 +a(g705 +g1020 +tp2210 +a(g681 +Vmultiple-value-bind +p2211 +tp2212 +a(g826 +g1024 +tp2213 +a(g705 +g1020 +tp2214 +a(g440 +Vlow +p2215 +tp2216 +a(g826 +g1024 +tp2217 +a(g440 +Vhigh +p2218 +tp2219 +a(g705 +g1028 +tp2220 +a(g826 +g1024 +tp2221 +a(g705 +g1020 +tp2222 +a(g440 +Vsys::subtype-integer +p2223 +tp2224 +a(g826 +g1024 +tp2225 +a(g744 +Vtype +p2226 +tp2227 +a(g705 +g1028 +tp2228 +a(g826 +V\u000a +p2229 +tp2230 +a(g8 +V; Es gilt (or (null low) (subtypep type `(INTEGER ,low ,high))) +p2231 +tp2232 +a(g826 +V\u000a +p2233 +tp2234 +a(g705 +g1020 +tp2235 +a(g744 +Vif +p2236 +tp2237 +a(g826 +g1024 +tp2238 +a(g705 +g1020 +tp2239 +a(g681 +Vand +p2240 +tp2241 +a(g826 +g1024 +tp2242 +a(g705 +g1020 +tp2243 +a(g681 +Vintegerp +p2244 +tp2245 +a(g826 +g1024 +tp2246 +a(g440 +Vlow +p2247 +tp2248 +a(g705 +g1028 +tp2249 +a(g826 +g1024 +tp2250 +a(g705 +g1020 +tp2251 +a(g681 +Vnot +p2252 +tp2253 +a(g826 +g1024 +tp2254 +a(g705 +g1020 +tp2255 +a(g681 +Vminusp +p2256 +tp2257 +a(g826 +g1024 +tp2258 +a(g440 +Vlow +p2259 +tp2260 +a(g705 +g1028 +tp2261 +a(g705 +g1028 +tp2262 +a(g826 +g1024 +tp2263 +a(g705 +g1020 +tp2264 +a(g681 +Vintegerp +p2265 +tp2266 +a(g826 +g1024 +tp2267 +a(g440 +Vhigh +p2268 +tp2269 +a(g705 +g1028 +tp2270 +a(g705 +g1028 +tp2271 +a(g826 +V\u000a +p2272 +tp2273 +a(g705 +g1020 +tp2274 +a(g744 +Vlet +p2275 +tp2276 +a(g826 +g1024 +tp2277 +a(g705 +g1020 +tp2278 +a(g705 +g1020 +tp2279 +a(g440 +Vl +p2280 +tp2281 +a(g826 +g1024 +tp2282 +a(g705 +g1020 +tp2283 +a(g681 +Vinteger-length +p2284 +tp2285 +a(g826 +g1024 +tp2286 +a(g440 +Vhigh +p2287 +tp2288 +a(g705 +g1028 +tp2289 +a(g705 +g1028 +tp2290 +a(g705 +g1028 +tp2291 +a(g826 +V\u000a +p2292 +tp2293 +a(g8 +V; Es gilt (subtypep type `(UNSIGNED-BYTE ,l)) +p2294 +tp2295 +a(g826 +V\u000a +p2296 +tp2297 +a(g705 +g1020 +tp2298 +a(g681 +Vcond +p2299 +tp2300 +a(g826 +g1024 +tp2301 +a(g705 +g1020 +tp2302 +a(g705 +g1020 +tp2303 +a(g681 +V<= +p2304 +tp2305 +a(g826 +g1024 +tp2306 +a(g440 +g2280 +tp2307 +a(g826 +g1024 +tp2308 +a(g37 +V1 +p2309 +tp2310 +a(g705 +g1028 +tp2311 +a(g826 +g1024 +tp2312 +a(g117 +V'BIT +p2313 +tp2314 +a(g705 +g1028 +tp2315 +a(g826 +V\u000a +p2316 +tp2317 +a(g705 +g1020 +tp2318 +a(g705 +g1020 +tp2319 +a(g681 +V<= +p2320 +tp2321 +a(g826 +g1024 +tp2322 +a(g440 +g2280 +tp2323 +a(g826 +g1024 +tp2324 +a(g37 +g1563 +tp2325 +a(g705 +g1028 +tp2326 +a(g826 +g1024 +tp2327 +a(g412 +g1036 +tp2328 +a(g705 +g1020 +tp2329 +a(g440 +VUNSIGNED-BYTE +p2330 +tp2331 +a(g826 +g1024 +tp2332 +a(g37 +g1563 +tp2333 +a(g705 +g1028 +tp2334 +a(g705 +g1028 +tp2335 +a(g826 +V\u000a +p2336 +tp2337 +a(g705 +g1020 +tp2338 +a(g705 +g1020 +tp2339 +a(g681 +V<= +p2340 +tp2341 +a(g826 +g1024 +tp2342 +a(g440 +g2280 +tp2343 +a(g826 +g1024 +tp2344 +a(g37 +V4 +p2345 +tp2346 +a(g705 +g1028 +tp2347 +a(g826 +g1024 +tp2348 +a(g412 +g1036 +tp2349 +a(g705 +g1020 +tp2350 +a(g440 +VUNSIGNED-BYTE +p2351 +tp2352 +a(g826 +g1024 +tp2353 +a(g37 +g2345 +tp2354 +a(g705 +g1028 +tp2355 +a(g705 +g1028 +tp2356 +a(g826 +V\u000a +p2357 +tp2358 +a(g705 +g1020 +tp2359 +a(g705 +g1020 +tp2360 +a(g681 +V<= +p2361 +tp2362 +a(g826 +g1024 +tp2363 +a(g440 +g2280 +tp2364 +a(g826 +g1024 +tp2365 +a(g37 +V8 +p2366 +tp2367 +a(g705 +g1028 +tp2368 +a(g826 +g1024 +tp2369 +a(g412 +g1036 +tp2370 +a(g705 +g1020 +tp2371 +a(g440 +VUNSIGNED-BYTE +p2372 +tp2373 +a(g826 +g1024 +tp2374 +a(g37 +g2366 +tp2375 +a(g705 +g1028 +tp2376 +a(g705 +g1028 +tp2377 +a(g826 +V\u000a +p2378 +tp2379 +a(g705 +g1020 +tp2380 +a(g705 +g1020 +tp2381 +a(g681 +V<= +p2382 +tp2383 +a(g826 +g1024 +tp2384 +a(g440 +g2280 +tp2385 +a(g826 +g1024 +tp2386 +a(g37 +V16 +p2387 +tp2388 +a(g705 +g1028 +tp2389 +a(g826 +g1024 +tp2390 +a(g412 +g1036 +tp2391 +a(g705 +g1020 +tp2392 +a(g440 +VUNSIGNED-BYTE +p2393 +tp2394 +a(g826 +g1024 +tp2395 +a(g37 +V16 +p2396 +tp2397 +a(g705 +g1028 +tp2398 +a(g705 +g1028 +tp2399 +a(g826 +V\u000a +p2400 +tp2401 +a(g705 +g1020 +tp2402 +a(g705 +g1020 +tp2403 +a(g681 +V<= +p2404 +tp2405 +a(g826 +g1024 +tp2406 +a(g440 +g2280 +tp2407 +a(g826 +g1024 +tp2408 +a(g37 +V32 +p2409 +tp2410 +a(g705 +g1028 +tp2411 +a(g826 +g1024 +tp2412 +a(g412 +g1036 +tp2413 +a(g705 +g1020 +tp2414 +a(g440 +VUNSIGNED-BYTE +p2415 +tp2416 +a(g826 +g1024 +tp2417 +a(g37 +V32 +p2418 +tp2419 +a(g705 +g1028 +tp2420 +a(g705 +g1028 +tp2421 +a(g826 +V\u000a +p2422 +tp2423 +a(g705 +g1020 +tp2424 +a(g565 +g1480 +tp2425 +a(g826 +g1024 +tp2426 +a(g117 +V'T +p2427 +tp2428 +a(g705 +g1028 +tp2429 +a(g705 +g1028 +tp2430 +a(g705 +g1028 +tp2431 +a(g826 +V\u000a +p2432 +tp2433 +a(g705 +g1020 +tp2434 +a(g744 +Vif +p2435 +tp2436 +a(g826 +g1024 +tp2437 +a(g705 +g1020 +tp2438 +a(g681 +Vsubtypep +p2439 +tp2440 +a(g826 +g1024 +tp2441 +a(g744 +Vtype +p2442 +tp2443 +a(g826 +g1024 +tp2444 +a(g117 +V'CHARACTER +p2445 +tp2446 +a(g705 +g1028 +tp2447 +a(g826 +V\u000a +p2448 +tp2449 +a(g117 +V'CHARACTER +p2450 +tp2451 +a(g826 +V\u000a +p2452 +tp2453 +a(g117 +V'T +p2454 +tp2455 +a(g705 +g1028 +tp2456 +a(g705 +g1028 +tp2457 +a(g705 +g1028 +tp2458 +a(g705 +g1028 +tp2459 +a(g705 +g1028 +tp2460 +a(g705 +g1028 +tp2461 +a(g705 +g1028 +tp2462 +a(g826 +V\u000a\u000a +p2463 +tp2464 +a(g8 +V;; ---------------------------------------------------------------------------- +p2465 +tp2466 +a(g826 +V\u000a\u000a +p2467 +tp2468 +a(g8 +V;; UPGRADED-COMPLEX-PART-TYPE is a lattice homomorphism, see +p2469 +tp2470 +a(g826 +V\u000a +p2471 +tp2472 +a(g8 +V;; HyperSpec/Body/fun_complex.html and HyperSpec/Body/syscla_complex.html, +p2473 +tp2474 +a(g826 +V\u000a +p2475 +tp2476 +a(g8 +V;; and an idempotent. Therefore +p2477 +tp2478 +a(g826 +V\u000a +p2479 +tp2480 +a(g8 +V;; (subtypep (upgraded-complex-part-type T1) (upgraded-complex-part-type T2)) +p2481 +tp2482 +a(g826 +V\u000a +p2483 +tp2484 +a(g8 +V;; is equivalent to +p2485 +tp2486 +a(g826 +V\u000a +p2487 +tp2488 +a(g8 +V;; (subtypep T1 (upgraded-complex-part-type T2)) +p2489 +tp2490 +a(g826 +V\u000a +p2491 +tp2492 +a(g8 +V;; (Proof: Let U T be an abbreviation for (upgraded-complex-part-type T). +p2493 +tp2494 +a(g826 +V\u000a +p2495 +tp2496 +a(g8 +V;; If U T1 <= U T2, then T1 <= U T1 <= U T2. +p2497 +tp2498 +a(g826 +V\u000a +p2499 +tp2500 +a(g8 +V;; If T1 <= U T2, then by homomorphism U T1 <= U U T2 = U T2.) +p2501 +tp2502 +a(g826 +V\u000a +p2503 +tp2504 +a(g8 +V;; +p2505 +tp2506 +a(g826 +V\u000a +p2507 +tp2508 +a(g8 +V;; For _any_ CL implementation, you could define +p2509 +tp2510 +a(g826 +V\u000a +p2511 +tp2512 +a(g8 +V;; (defun upgraded-complex-part-type (type) 'REAL) +p2513 +tp2514 +a(g826 +V\u000a +p2515 +tp2516 +a(g8 +V;; Likewise for _any_ CL implementation, you could define +p2517 +tp2518 +a(g826 +V\u000a +p2519 +tp2520 +a(g8 +V;; (defun upgraded-complex-part-type (type) type) +p2521 +tp2522 +a(g826 +V\u000a +p2523 +tp2524 +a(g8 +V;; or - again for _any_ CL implementation: +p2525 +tp2526 +a(g826 +V\u000a +p2527 +tp2528 +a(g8 +V;; (defun upgraded-complex-part-type (type) +p2529 +tp2530 +a(g826 +V\u000a +p2531 +tp2532 +a(g8 +V;; (cond ((subtypep type 'NIL) 'NIL) +p2533 +tp2534 +a(g826 +V\u000a +p2535 +tp2536 +a(g8 +V;; ((subtypep type 'SHORT-FLOAT) 'SHORT-FLOAT) +p2537 +tp2538 +a(g826 +V\u000a +p2539 +tp2540 +a(g8 +V;; ((subtypep type 'SINGLE-FLOAT) 'SINGLE-FLOAT) +p2541 +tp2542 +a(g826 +V\u000a +p2543 +tp2544 +a(g8 +V;; ((subtypep type 'DOUBLE-FLOAT) 'DOUBLE-FLOAT) +p2545 +tp2546 +a(g826 +V\u000a +p2547 +tp2548 +a(g8 +V;; ((subtypep type 'LONG-FLOAT) 'LONG-FLOAT) +p2549 +tp2550 +a(g826 +V\u000a +p2551 +tp2552 +a(g8 +V;; ((subtypep type 'RATIONAL) 'RATIONAL) +p2553 +tp2554 +a(g826 +V\u000a +p2555 +tp2556 +a(g8 +V;; ((subtypep type 'REAL) 'REAL) +p2557 +tp2558 +a(g826 +V\u000a +p2559 +tp2560 +a(g8 +V;; (t (error ...)))) +p2561 +tp2562 +a(g826 +V\u000a +p2563 +tp2564 +a(g8 +V;; The reason is that a complex number is immutable: no setters for the +p2565 +tp2566 +a(g826 +V\u000a +p2567 +tp2568 +a(g8 +V;; realpart and imagpart exist. +p2569 +tp2570 +a(g826 +V\u000a +p2571 +tp2572 +a(g8 +V;; +p2573 +tp2574 +a(g826 +V\u000a +p2575 +tp2576 +a(g8 +V;; We choose the second implementation because it allows the most precise +p2577 +tp2578 +a(g826 +V\u000a +p2579 +tp2580 +a(g8 +V;; type inference. +p2581 +tp2582 +a(g826 +V\u000a +p2583 +tp2584 +a(g705 +g1020 +tp2585 +a(g681 +Vdefun +p2586 +tp2587 +a(g826 +g1024 +tp2588 +a(g681 +Vupgraded-complex-part-type +p2589 +tp2590 +a(g826 +g1024 +tp2591 +a(g705 +g1020 +tp2592 +a(g744 +Vtype +p2593 +tp2594 +a(g826 +g1024 +tp2595 +a(g744 +V&optional +p2596 +tp2597 +a(g826 +g1024 +tp2598 +a(g440 +Venvironment +p2599 +tp2600 +a(g705 +g1028 +tp2601 +a(g826 +V\u000a +p2602 +tp2603 +a(g705 +g1020 +tp2604 +a(g744 +Vdeclare +p2605 +tp2606 +a(g826 +g1024 +tp2607 +a(g705 +g1020 +tp2608 +a(g744 +Vignore +p2609 +tp2610 +a(g826 +g1024 +tp2611 +a(g440 +Venvironment +p2612 +tp2613 +a(g705 +g1028 +tp2614 +a(g705 +g1028 +tp2615 +a(g826 +V\u000a +p2616 +tp2617 +a(g705 +g1020 +tp2618 +a(g744 +Vif +p2619 +tp2620 +a(g826 +g1024 +tp2621 +a(g705 +g1020 +tp2622 +a(g681 +Vsubtypep +p2623 +tp2624 +a(g826 +g1024 +tp2625 +a(g744 +Vtype +p2626 +tp2627 +a(g826 +g1024 +tp2628 +a(g117 +V'REAL +p2629 +tp2630 +a(g705 +g1028 +tp2631 +a(g826 +V\u000a +p2632 +tp2633 +a(g744 +Vtype +p2634 +tp2635 +a(g826 +V\u000a +p2636 +tp2637 +a(g705 +g1020 +tp2638 +a(g440 +Verror-of-type +p2639 +tp2640 +a(g826 +g1024 +tp2641 +a(g117 +V'error +p2642 +tp2643 +a(g826 +V\u000a +p2644 +tp2645 +a(g705 +g1020 +tp2646 +a(g440 +VTEXT +p2647 +tp2648 +a(g826 +g1024 +tp2649 +a(g89 +V"~S: type ~S is not a subtype of ~S" +p2650 +tp2651 +a(g705 +g1028 +tp2652 +a(g826 +V\u000a +p2653 +tp2654 +a(g117 +V'upgraded-complex-part-type +p2655 +tp2656 +a(g826 +g1024 +tp2657 +a(g744 +Vtype +p2658 +tp2659 +a(g826 +g1024 +tp2660 +a(g117 +V'real +p2661 +tp2662 +a(g705 +g1028 +tp2663 +a(g705 +g1028 +tp2664 +a(g705 +g1028 +tp2665 +a(g826 +V\u000a\u000a +p2666 +tp2667 +a(g8 +V;; ---------------------------------------------------------------------------- +p2668 +tp2669 +a(g826 +V\u000a\u000a +p2670 +tp2671 +a(g8 +V;; Macros for defining the various built-in "atomic type specifier"s and +p2672 +tp2673 +a(g826 +V\u000a +p2674 +tp2675 +a(g8 +V;; "compound type specifier"s. The following macros add information for both +p2676 +tp2677 +a(g826 +V\u000a +p2678 +tp2679 +a(g8 +V;; the TYPEP function above and the c-TYPEP in the compiler. +p2680 +tp2681 +a(g826 +V\u000a\u000a +p2682 +tp2683 +a(g8 +V; Alist symbol -> funname, used by the compiler. +p2684 +tp2685 +a(g826 +V\u000a +p2686 +tp2687 +a(g705 +g1020 +tp2688 +a(g681 +Vdefparameter +p2689 +tp2690 +a(g826 +g1024 +tp2691 +a(g440 +Vc-typep-alist1 +p2692 +tp2693 +a(g826 +g1024 +tp2694 +a(g412 +g1036 +tp2695 +a(g705 +g1020 +tp2696 +a(g705 +g1028 +tp2697 +a(g705 +g1028 +tp2698 +a(g826 +V\u000a +p2699 +tp2700 +a(g8 +V; Alist symbol -> lambdabody, used by the compiler. +p2701 +tp2702 +a(g826 +V\u000a +p2703 +tp2704 +a(g705 +g1020 +tp2705 +a(g681 +Vdefparameter +p2706 +tp2707 +a(g826 +g1024 +tp2708 +a(g440 +Vc-typep-alist2 +p2709 +tp2710 +a(g826 +g1024 +tp2711 +a(g412 +g1036 +tp2712 +a(g705 +g1020 +tp2713 +a(g705 +g1028 +tp2714 +a(g705 +g1028 +tp2715 +a(g826 +V\u000a +p2716 +tp2717 +a(g8 +V; Alist symbol -> expander function, used by the compiler. +p2718 +tp2719 +a(g826 +V\u000a +p2720 +tp2721 +a(g705 +g1020 +tp2722 +a(g681 +Vdefparameter +p2723 +tp2724 +a(g826 +g1024 +tp2725 +a(g440 +Vc-typep-alist3 +p2726 +tp2727 +a(g826 +g1024 +tp2728 +a(g412 +g1036 +tp2729 +a(g705 +g1020 +tp2730 +a(g705 +g1028 +tp2731 +a(g705 +g1028 +tp2732 +a(g826 +V\u000a\u000a +p2733 +tp2734 +a(g8 +V; (def-atomic-type symbol function-name) +p2735 +tp2736 +a(g826 +V\u000a +p2737 +tp2738 +a(g8 +V; defines an atomic type. The function-name designates a function taking one +p2739 +tp2740 +a(g826 +V\u000a +p2741 +tp2742 +a(g8 +V; argument and returning a generalized boolean value. It can be either a +p2743 +tp2744 +a(g826 +V\u000a +p2745 +tp2746 +a(g8 +V; symbol or a lambda expression. +p2747 +tp2748 +a(g826 +V\u000a +p2749 +tp2750 +a(g705 +g1020 +tp2751 +a(g681 +Vdefmacro +p2752 +tp2753 +a(g826 +g1024 +tp2754 +a(g440 +Vdef-atomic-type +p2755 +tp2756 +a(g826 +g1024 +tp2757 +a(g705 +g1020 +tp2758 +a(g628 +Vsymbol +p2759 +tp2760 +a(g826 +g1024 +tp2761 +a(g440 +Vfunname +p2762 +tp2763 +a(g705 +g1028 +tp2764 +a(g826 +V\u000a +p2765 +tp2766 +a(g705 +g1020 +tp2767 +a(g744 +Vlet +p2768 +tp2769 +a(g826 +g1024 +tp2770 +a(g705 +g1020 +tp2771 +a(g705 +g1020 +tp2772 +a(g440 +Vlambdap +p2773 +tp2774 +a(g826 +g1024 +tp2775 +a(g705 +g1020 +tp2776 +a(g681 +Vand +p2777 +tp2778 +a(g826 +g1024 +tp2779 +a(g705 +g1020 +tp2780 +a(g681 +Vconsp +p2781 +tp2782 +a(g826 +g1024 +tp2783 +a(g440 +Vfunname +p2784 +tp2785 +a(g705 +g1028 +tp2786 +a(g826 +g1024 +tp2787 +a(g705 +g1020 +tp2788 +a(g681 +Veq +p2789 +tp2790 +a(g826 +g1024 +tp2791 +a(g705 +g1020 +tp2792 +a(g681 +Vcar +p2793 +tp2794 +a(g826 +g1024 +tp2795 +a(g440 +Vfunname +p2796 +tp2797 +a(g705 +g1028 +tp2798 +a(g826 +g1024 +tp2799 +a(g117 +V'LAMBDA +p2800 +tp2801 +a(g705 +g1028 +tp2802 +a(g705 +g1028 +tp2803 +a(g705 +g1028 +tp2804 +a(g705 +g1028 +tp2805 +a(g826 +V\u000a +p2806 +tp2807 +a(g412 +V` +p2808 +tp2809 +a(g705 +g1020 +tp2810 +a(g440 +VPROGN +p2811 +tp2812 +a(g826 +V\u000a +p2813 +tp2814 +a(g705 +g1020 +tp2815 +a(g440 +VSETF +p2816 +tp2817 +a(g826 +g1024 +tp2818 +a(g705 +g1020 +tp2819 +a(g440 +VGET +p2820 +tp2821 +a(g826 +g1024 +tp2822 +a(g117 +V',symbol +p2823 +tp2824 +a(g826 +g1024 +tp2825 +a(g117 +V'TYPE-SYMBOL +p2826 +tp2827 +a(g705 +g1028 +tp2828 +a(g826 +V\u000a +p2829 +tp2830 +a(g412 +V, +p2831 +tp2832 +a(g705 +g1020 +tp2833 +a(g744 +Vif +p2834 +tp2835 +a(g826 +g1024 +tp2836 +a(g440 +Vlambdap +p2837 +tp2838 +a(g826 +V\u000a +p2839 +tp2840 +a(g412 +g2808 +tp2841 +a(g705 +g1020 +tp2842 +a(g440 +VFUNCTION +p2843 +tp2844 +a(g826 +g1024 +tp2845 +a(g412 +g2831 +tp2846 +a(g705 +g1020 +tp2847 +a(g440 +Vconcat-pnames +p2848 +tp2849 +a(g826 +g1024 +tp2850 +a(g89 +V"TYPE-SYMBOL-" +p2851 +tp2852 +a(g826 +g1024 +tp2853 +a(g628 +Vsymbol +p2854 +tp2855 +a(g705 +g1028 +tp2856 +a(g826 +g1024 +tp2857 +a(g412 +g2831 +tp2858 +a(g440 +Vfunname +p2859 +tp2860 +a(g705 +g1028 +tp2861 +a(g826 +V\u000a +p2862 +tp2863 +a(g412 +g2808 +tp2864 +a(g705 +g1020 +tp2865 +a(g440 +VFUNCTION +p2866 +tp2867 +a(g826 +g1024 +tp2868 +a(g412 +g2831 +tp2869 +a(g440 +Vfunname +p2870 +tp2871 +a(g705 +g1028 +tp2872 +a(g826 +V\u000a +p2873 +tp2874 +a(g705 +g1028 +tp2875 +a(g826 +V\u000a +p2876 +tp2877 +a(g705 +g1028 +tp2878 +a(g826 +V\u000a +p2879 +tp2880 +a(g412 +g2831 +tp2881 +a(g705 +g1020 +tp2882 +a(g744 +Vif +p2883 +tp2884 +a(g826 +g1024 +tp2885 +a(g440 +Vlambdap +p2886 +tp2887 +a(g826 +V\u000a +p2888 +tp2889 +a(g412 +g2808 +tp2890 +a(g705 +g1020 +tp2891 +a(g440 +VSETQ +p2892 +tp2893 +a(g826 +g1024 +tp2894 +a(g440 +VC-TYPEP-ALIST2 +p2895 +tp2896 +a(g826 +V\u000a +p2897 +tp2898 +a(g705 +g1020 +tp2899 +a(g440 +VNCONC +p2900 +tp2901 +a(g826 +g1024 +tp2902 +a(g440 +VC-TYPEP-ALIST2 +p2903 +tp2904 +a(g826 +g1024 +tp2905 +a(g705 +g1020 +tp2906 +a(g440 +VLIST +p2907 +tp2908 +a(g826 +g1024 +tp2909 +a(g705 +g1020 +tp2910 +a(g440 +VCONS +p2911 +tp2912 +a(g826 +g1024 +tp2913 +a(g117 +V',symbol +p2914 +tp2915 +a(g826 +g1024 +tp2916 +a(g117 +V', +p2917 +tp2918 +a(g705 +g1020 +tp2919 +a(g681 +Vcdr +p2920 +tp2921 +a(g826 +g1024 +tp2922 +a(g440 +Vfunname +p2923 +tp2924 +a(g705 +g1028 +tp2925 +a(g705 +g1028 +tp2926 +a(g705 +g1028 +tp2927 +a(g705 +g1028 +tp2928 +a(g826 +V\u000a +p2929 +tp2930 +a(g705 +g1028 +tp2931 +a(g826 +V\u000a +p2932 +tp2933 +a(g412 +g2808 +tp2934 +a(g705 +g1020 +tp2935 +a(g440 +VSETQ +p2936 +tp2937 +a(g826 +g1024 +tp2938 +a(g440 +VC-TYPEP-ALIST1 +p2939 +tp2940 +a(g826 +V\u000a +p2941 +tp2942 +a(g705 +g1020 +tp2943 +a(g440 +VNCONC +p2944 +tp2945 +a(g826 +g1024 +tp2946 +a(g440 +VC-TYPEP-ALIST1 +p2947 +tp2948 +a(g826 +g1024 +tp2949 +a(g705 +g1020 +tp2950 +a(g440 +VLIST +p2951 +tp2952 +a(g826 +g1024 +tp2953 +a(g705 +g1020 +tp2954 +a(g440 +VCONS +p2955 +tp2956 +a(g826 +g1024 +tp2957 +a(g117 +V',symbol +p2958 +tp2959 +a(g826 +g1024 +tp2960 +a(g117 +V',funname +p2961 +tp2962 +a(g705 +g1028 +tp2963 +a(g705 +g1028 +tp2964 +a(g705 +g1028 +tp2965 +a(g826 +V\u000a +p2966 +tp2967 +a(g705 +g1028 +tp2968 +a(g826 +V\u000a +p2969 +tp2970 +a(g705 +g1028 +tp2971 +a(g826 +V\u000a +p2972 +tp2973 +a(g117 +V',symbol +p2974 +tp2975 +a(g826 +V\u000a +p2976 +tp2977 +a(g705 +g1028 +tp2978 +a(g826 +V\u000a +p2979 +tp2980 +a(g705 +g1028 +tp2981 +a(g826 +g1024 +tp2982 +a(g705 +g1028 +tp2983 +a(g826 +V\u000a\u000a +p2984 +tp2985 +a(g8 +V; (def-compound-type symbol lambda-list (x) check-form typep-form c-typep-form) +p2986 +tp2987 +a(g826 +V\u000a +p2988 +tp2989 +a(g8 +V; defines a compound type. The lambda-list is of the form (&optional ...) +p2990 +tp2991 +a(g826 +V\u000a +p2992 +tp2993 +a(g8 +V; where the arguments come from the CDR of the type specifier. +p2994 +tp2995 +a(g826 +V\u000a +p2996 +tp2997 +a(g8 +V; For typep-form, x is an object. +p2998 +tp2999 +a(g826 +V\u000a +p3000 +tp3001 +a(g8 +V; For c-typep-form, x is a multiply evaluatable form (actually a gensym). +p3002 +tp3003 +a(g826 +V\u000a +p3004 +tp3005 +a(g8 +V; check-form is a form performing error checking, may call `error'. +p3006 +tp3007 +a(g826 +V\u000a +p3008 +tp3009 +a(g8 +V; typep-form should return a generalized boolean value. +p3010 +tp3011 +a(g826 +V\u000a +p3012 +tp3013 +a(g8 +V; c-typep-form should produce a form returning a generalized boolean value. +p3014 +tp3015 +a(g826 +V\u000a +p3016 +tp3017 +a(g705 +g1020 +tp3018 +a(g681 +Vdefmacro +p3019 +tp3020 +a(g826 +g1024 +tp3021 +a(g440 +Vdef-compound-type +p3022 +tp3023 +a(g826 +g1024 +tp3024 +a(g705 +g1020 +tp3025 +a(g628 +Vsymbol +p3026 +tp3027 +a(g826 +g1024 +tp3028 +a(g440 +Vlambdalist +p3029 +tp3030 +a(g826 +g1024 +tp3031 +a(g705 +g1020 +tp3032 +a(g440 +Vvar +p3033 +tp3034 +a(g705 +g1028 +tp3035 +a(g826 +g1024 +tp3036 +a(g440 +Vcheck-form +p3037 +tp3038 +a(g826 +g1024 +tp3039 +a(g440 +Vtypep-form +p3040 +tp3041 +a(g826 +g1024 +tp3042 +a(g440 +Vc-typep-form +p3043 +tp3044 +a(g705 +g1028 +tp3045 +a(g826 +V\u000a +p3046 +tp3047 +a(g412 +g2808 +tp3048 +a(g705 +g1020 +tp3049 +a(g440 +VPROGN +p3050 +tp3051 +a(g826 +V\u000a +p3052 +tp3053 +a(g705 +g1020 +tp3054 +a(g440 +VSETF +p3055 +tp3056 +a(g826 +g1024 +tp3057 +a(g705 +g1020 +tp3058 +a(g440 +VGET +p3059 +tp3060 +a(g826 +g1024 +tp3061 +a(g117 +V',symbol +p3062 +tp3063 +a(g826 +g1024 +tp3064 +a(g117 +V'TYPE-LIST +p3065 +tp3066 +a(g705 +g1028 +tp3067 +a(g826 +V\u000a +p3068 +tp3069 +a(g705 +g1020 +tp3070 +a(g440 +VFUNCTION +p3071 +tp3072 +a(g826 +g1024 +tp3073 +a(g412 +g2831 +tp3074 +a(g705 +g1020 +tp3075 +a(g440 +Vconcat-pnames +p3076 +tp3077 +a(g826 +g1024 +tp3078 +a(g89 +V"TYPE-LIST-" +p3079 +tp3080 +a(g826 +g1024 +tp3081 +a(g628 +Vsymbol +p3082 +tp3083 +a(g705 +g1028 +tp3084 +a(g826 +V\u000a +p3085 +tp3086 +a(g705 +g1020 +tp3087 +a(g440 +VLAMBDA +p3088 +tp3089 +a(g826 +g1024 +tp3090 +a(g705 +g1020 +tp3091 +a(g412 +g2831 +tp3092 +a(g440 +Vvar +p3093 +tp3094 +a(g826 +g1024 +tp3095 +a(g412 +V,@ +p3096 +tp3097 +a(g440 +Vlambdalist +p3098 +tp3099 +a(g705 +g1028 +tp3100 +a(g826 +V\u000a +p3101 +tp3102 +a(g412 +V,@ +p3103 +tp3104 +a(g705 +g1020 +tp3105 +a(g744 +Vif +p3106 +tp3107 +a(g826 +g1024 +tp3108 +a(g440 +Vcheck-form +p3109 +tp3110 +a(g826 +V\u000a +p3111 +tp3112 +a(g412 +g2808 +tp3113 +a(g705 +g1020 +tp3114 +a(g705 +g1020 +tp3115 +a(g440 +VMACROLET +p3116 +tp3117 +a(g826 +g1024 +tp3118 +a(g705 +g1020 +tp3119 +a(g705 +g1020 +tp3120 +a(g440 +VERROR +p3121 +tp3122 +a(g826 +g1024 +tp3123 +a(g705 +g1020 +tp3124 +a(g440 +V&REST +p3125 +tp3126 +a(g826 +g1024 +tp3127 +a(g440 +VERROR-ARGS +p3128 +tp3129 +a(g705 +g1028 +tp3130 +a(g826 +V\u000a +p3131 +tp3132 +a(g705 +g1020 +tp3133 +a(g440 +VLIST* +p3134 +tp3135 +a(g826 +g1024 +tp3136 +a(g117 +V'ERROR-OF-TYPE +p3137 +tp3138 +a(g826 +g1024 +tp3139 +a(g412 +g1036 +tp3140 +a(g117 +V'ERROR +p3141 +tp3142 +a(g826 +g1024 +tp3143 +a(g440 +VERROR-ARGS +p3144 +tp3145 +a(g705 +g1028 +tp3146 +a(g826 +V\u000a +p3147 +tp3148 +a(g705 +g1028 +tp3149 +a(g705 +g1028 +tp3150 +a(g826 +V\u000a +p3151 +tp3152 +a(g412 +g2831 +tp3153 +a(g440 +Vcheck-form +p3154 +tp3155 +a(g826 +V\u000a +p3156 +tp3157 +a(g705 +g1028 +tp3158 +a(g705 +g1028 +tp3159 +a(g826 +V\u000a +p3160 +tp3161 +a(g705 +g1028 +tp3162 +a(g826 +V\u000a +p3163 +tp3164 +a(g412 +g2831 +tp3165 +a(g440 +Vtypep-form +p3166 +tp3167 +a(g826 +V\u000a +p3168 +tp3169 +a(g705 +g1028 +tp3170 +a(g826 +V +p3171 +tp3172 +a(g705 +g1028 +tp3173 +a(g826 +g1024 +tp3174 +a(g705 +g1028 +tp3175 +a(g826 +V\u000a +p3176 +tp3177 +a(g705 +g1020 +tp3178 +a(g440 +VSETQ +p3179 +tp3180 +a(g826 +g1024 +tp3181 +a(g440 +VC-TYPEP-ALIST3 +p3182 +tp3183 +a(g826 +V\u000a +p3184 +tp3185 +a(g705 +g1020 +tp3186 +a(g440 +VNCONC +p3187 +tp3188 +a(g826 +g1024 +tp3189 +a(g440 +VC-TYPEP-ALIST3 +p3190 +tp3191 +a(g826 +V\u000a +p3192 +tp3193 +a(g705 +g1020 +tp3194 +a(g440 +VLIST +p3195 +tp3196 +a(g826 +g1024 +tp3197 +a(g705 +g1020 +tp3198 +a(g440 +VCONS +p3199 +tp3200 +a(g826 +g1024 +tp3201 +a(g117 +V',symbol +p3202 +tp3203 +a(g826 +V\u000a +p3204 +tp3205 +a(g573 +V#' +p3206 +tp3207 +a(g705 +g1020 +tp3208 +a(g440 +VLAMBDA +p3209 +tp3210 +a(g826 +g1024 +tp3211 +a(g705 +g1020 +tp3212 +a(g412 +g2831 +tp3213 +a(g440 +Vvar +p3214 +tp3215 +a(g826 +g1024 +tp3216 +a(g412 +V,@ +p3217 +tp3218 +a(g440 +Vlambdalist +p3219 +tp3220 +a(g826 +g1024 +tp3221 +a(g440 +V&REST +p3222 +tp3223 +a(g826 +g1024 +tp3224 +a(g440 +VILLEGAL-ARGS +p3225 +tp3226 +a(g705 +g1028 +tp3227 +a(g826 +V\u000a +p3228 +tp3229 +a(g705 +g1020 +tp3230 +a(g440 +VDECLARE +p3231 +tp3232 +a(g826 +g1024 +tp3233 +a(g705 +g1020 +tp3234 +a(g440 +VIGNORE +p3235 +tp3236 +a(g826 +g1024 +tp3237 +a(g440 +VILLEGAL-ARGS +p3238 +tp3239 +a(g705 +g1028 +tp3240 +a(g705 +g1028 +tp3241 +a(g826 +V\u000a +p3242 +tp3243 +a(g412 +V,@ +p3244 +tp3245 +a(g705 +g1020 +tp3246 +a(g744 +Vif +p3247 +tp3248 +a(g826 +g1024 +tp3249 +a(g440 +Vcheck-form +p3250 +tp3251 +a(g826 +V\u000a +p3252 +tp3253 +a(g412 +g2808 +tp3254 +a(g705 +g1020 +tp3255 +a(g705 +g1020 +tp3256 +a(g440 +VMACROLET +p3257 +tp3258 +a(g826 +g1024 +tp3259 +a(g705 +g1020 +tp3260 +a(g705 +g1020 +tp3261 +a(g440 +VERROR +p3262 +tp3263 +a(g826 +g1024 +tp3264 +a(g705 +g1020 +tp3265 +a(g440 +V&REST +p3266 +tp3267 +a(g826 +g1024 +tp3268 +a(g440 +VERROR-ARGS +p3269 +tp3270 +a(g705 +g1028 +tp3271 +a(g826 +V\u000a +p3272 +tp3273 +a(g705 +g1020 +tp3274 +a(g440 +VLIST +p3275 +tp3276 +a(g826 +g1024 +tp3277 +a(g117 +V'PROGN +p3278 +tp3279 +a(g826 +V\u000a +p3280 +tp3281 +a(g705 +g1020 +tp3282 +a(g440 +VLIST* +p3283 +tp3284 +a(g826 +g1024 +tp3285 +a(g117 +V'C-WARN +p3286 +tp3287 +a(g826 +g1024 +tp3288 +a(g440 +VERROR-ARGS +p3289 +tp3290 +a(g705 +g1028 +tp3291 +a(g826 +V\u000a +p3292 +tp3293 +a(g412 +g1036 +tp3294 +a(g705 +g1020 +tp3295 +a(g440 +VTHROW +p3296 +tp3297 +a(g826 +g1024 +tp3298 +a(g117 +V'C-TYPEP +p3299 +tp3300 +a(g826 +g1024 +tp3301 +a(g565 +VNIL +p3302 +tp3303 +a(g705 +g1028 +tp3304 +a(g826 +V\u000a +p3305 +tp3306 +a(g705 +g1028 +tp3307 +a(g705 +g1028 +tp3308 +a(g826 +g1024 +tp3309 +a(g705 +g1028 +tp3310 +a(g826 +V\u000a +p3311 +tp3312 +a(g412 +g2831 +tp3313 +a(g440 +Vcheck-form +p3314 +tp3315 +a(g826 +V\u000a +p3316 +tp3317 +a(g705 +g1028 +tp3318 +a(g705 +g1028 +tp3319 +a(g826 +V\u000a +p3320 +tp3321 +a(g705 +g1028 +tp3322 +a(g826 +V\u000a +p3323 +tp3324 +a(g412 +g2831 +tp3325 +a(g440 +Vc-typep-form +p3326 +tp3327 +a(g826 +V\u000a +p3328 +tp3329 +a(g705 +g1028 +tp3330 +a(g826 +V\u000a +p3331 +tp3332 +a(g705 +g1028 +tp3333 +a(g826 +V +p3334 +tp3335 +a(g705 +g1028 +tp3336 +a(g826 +V +p3337 +tp3338 +a(g705 +g1028 +tp3339 +a(g826 +V +p3340 +tp3341 +a(g705 +g1028 +tp3342 +a(g826 +V\u000a +p3343 +tp3344 +a(g117 +V',symbol +p3345 +tp3346 +a(g826 +V\u000a +p3347 +tp3348 +a(g705 +g1028 +tp3349 +a(g826 +V\u000a +p3350 +tp3351 +a(g705 +g1028 +tp3352 +a(g826 +V\u000a\u000a +p3353 +tp3354 +a(g8 +V; CLtL1 p. 43 +p3355 +tp3356 +a(g826 +V\u000a +p3357 +tp3358 +a(g705 +g1020 +tp3359 +a(g440 +Vdef-atomic-type +p3360 +tp3361 +a(g826 +g1024 +tp3362 +a(g440 +VARRAY +p3363 +tp3364 +a(g826 +g1024 +tp3365 +a(g681 +Varrayp +p3366 +tp3367 +a(g705 +g1028 +tp3368 +a(g826 +V\u000a +p3369 +tp3370 +a(g705 +g1020 +tp3371 +a(g440 +Vdef-atomic-type +p3372 +tp3373 +a(g826 +g1024 +tp3374 +a(g440 +VATOM +p3375 +tp3376 +a(g826 +g1024 +tp3377 +a(g681 +Vatom +p3378 +tp3379 +a(g705 +g1028 +tp3380 +a(g826 +V\u000a +p3381 +tp3382 +a(g705 +g1020 +tp3383 +a(g440 +Vdef-atomic-type +p3384 +tp3385 +a(g826 +g1024 +tp3386 +a(g440 +VBASE-CHAR +p3387 +tp3388 +a(g826 +V\u000a +p3389 +tp3390 +a(g412 +V#+ +p3391 +tp3392 +a(g440 +VBASE-CHAR=CHARACTER +p3393 +tp3394 +a(g826 +V\u000a +p3395 +tp3396 +a(g681 +Vcharacterp +p3397 +tp3398 +a(g826 +V\u000a +p3399 +tp3400 +a(g412 +V#- +p3401 +tp3402 +a(g440 +VBASE-CHAR=CHARACTER +p3403 +tp3404 +a(g826 +V\u000a +p3405 +tp3406 +a(g705 +g1020 +tp3407 +a(g744 +Vlambda +p3408 +tp3409 +a(g826 +g1024 +tp3410 +a(g705 +g1020 +tp3411 +a(g440 +g1289 +tp3412 +a(g705 +g1028 +tp3413 +a(g826 +g1024 +tp3414 +a(g705 +g1020 +tp3415 +a(g681 +Vand +p3416 +tp3417 +a(g826 +g1024 +tp3418 +a(g705 +g1020 +tp3419 +a(g681 +Vcharacterp +p3420 +tp3421 +a(g826 +g1024 +tp3422 +a(g440 +g1289 +tp3423 +a(g705 +g1028 +tp3424 +a(g826 +g1024 +tp3425 +a(g705 +g1020 +tp3426 +a(g440 +Vbase-char-p +p3427 +tp3428 +a(g826 +g1024 +tp3429 +a(g440 +g1289 +tp3430 +a(g705 +g1028 +tp3431 +a(g705 +g1028 +tp3432 +a(g705 +g1028 +tp3433 +a(g826 +V\u000a +p3434 +tp3435 +a(g705 +g1028 +tp3436 +a(g826 +V\u000a +p3437 +tp3438 +a(g705 +g1020 +tp3439 +a(g440 +Vdef-atomic-type +p3440 +tp3441 +a(g826 +g1024 +tp3442 +a(g440 +VBASE-STRING +p3443 +tp3444 +a(g826 +V\u000a +p3445 +tp3446 +a(g705 +g1020 +tp3447 +a(g744 +Vlambda +p3448 +tp3449 +a(g826 +g1024 +tp3450 +a(g705 +g1020 +tp3451 +a(g440 +g1289 +tp3452 +a(g705 +g1028 +tp3453 +a(g826 +V\u000a +p3454 +tp3455 +a(g705 +g1020 +tp3456 +a(g681 +Vand +p3457 +tp3458 +a(g826 +g1024 +tp3459 +a(g705 +g1020 +tp3460 +a(g681 +Vstringp +p3461 +tp3462 +a(g826 +g1024 +tp3463 +a(g440 +g1289 +tp3464 +a(g705 +g1028 +tp3465 +a(g826 +V\u000a +p3466 +tp3467 +a(g705 +g1020 +tp3468 +a(g681 +Veq +p3469 +tp3470 +a(g826 +g1024 +tp3471 +a(g705 +g1020 +tp3472 +a(g681 +Varray-element-type +p3473 +tp3474 +a(g826 +g1024 +tp3475 +a(g440 +g1289 +tp3476 +a(g705 +g1028 +tp3477 +a(g826 +V\u000a +p3478 +tp3479 +a(g412 +V#+ +p3480 +tp3481 +a(g440 +VBASE-CHAR=CHARACTER +p3482 +tp3483 +a(g826 +g1024 +tp3484 +a(g117 +V'CHARACTER +p3485 +tp3486 +a(g826 +g1024 +tp3487 +a(g412 +V#- +p3488 +tp3489 +a(g440 +VBASE-CHAR=CHARACTER +p3490 +tp3491 +a(g826 +g1024 +tp3492 +a(g117 +V'BASE-CHAR +p3493 +tp3494 +a(g826 +V\u000a +p3495 +tp3496 +a(g705 +g1028 +tp3497 +a(g826 +g1024 +tp3498 +a(g705 +g1028 +tp3499 +a(g826 +g1024 +tp3500 +a(g705 +g1028 +tp3501 +a(g826 +V +p3502 +tp3503 +a(g705 +g1028 +tp3504 +a(g826 +V\u000a +p3505 +tp3506 +a(g705 +g1020 +tp3507 +a(g440 +Vdef-atomic-type +p3508 +tp3509 +a(g826 +g1024 +tp3510 +a(g440 +VBIGNUM +p3511 +tp3512 +a(g826 +V\u000a +p3513 +tp3514 +a(g705 +g1020 +tp3515 +a(g744 +Vlambda +p3516 +tp3517 +a(g826 +g1024 +tp3518 +a(g705 +g1020 +tp3519 +a(g440 +g1289 +tp3520 +a(g705 +g1028 +tp3521 +a(g826 +g1024 +tp3522 +a(g705 +g1020 +tp3523 +a(g681 +Vand +p3524 +tp3525 +a(g826 +g1024 +tp3526 +a(g705 +g1020 +tp3527 +a(g681 +Vintegerp +p3528 +tp3529 +a(g826 +g1024 +tp3530 +a(g440 +g1289 +tp3531 +a(g705 +g1028 +tp3532 +a(g826 +g1024 +tp3533 +a(g705 +g1020 +tp3534 +a(g681 +Vnot +p3535 +tp3536 +a(g826 +g1024 +tp3537 +a(g705 +g1020 +tp3538 +a(g440 +Vfixnump +p3539 +tp3540 +a(g826 +g1024 +tp3541 +a(g440 +g1289 +tp3542 +a(g705 +g1028 +tp3543 +a(g705 +g1028 +tp3544 +a(g705 +g1028 +tp3545 +a(g705 +g1028 +tp3546 +a(g826 +V\u000a +p3547 +tp3548 +a(g705 +g1028 +tp3549 +a(g826 +V\u000a +p3550 +tp3551 +a(g705 +g1020 +tp3552 +a(g440 +Vdef-atomic-type +p3553 +tp3554 +a(g826 +g1024 +tp3555 +a(g440 +VBIT +p3556 +tp3557 +a(g826 +V\u000a +p3558 +tp3559 +a(g705 +g1020 +tp3560 +a(g744 +Vlambda +p3561 +tp3562 +a(g826 +g1024 +tp3563 +a(g705 +g1020 +tp3564 +a(g440 +g1289 +tp3565 +a(g705 +g1028 +tp3566 +a(g826 +g1024 +tp3567 +a(g705 +g1020 +tp3568 +a(g681 +Vor +p3569 +tp3570 +a(g826 +g1024 +tp3571 +a(g705 +g1020 +tp3572 +a(g681 +Veql +p3573 +tp3574 +a(g826 +g1024 +tp3575 +a(g440 +g1289 +tp3576 +a(g826 +g1024 +tp3577 +a(g37 +V0 +p3578 +tp3579 +a(g705 +g1028 +tp3580 +a(g826 +g1024 +tp3581 +a(g705 +g1020 +tp3582 +a(g681 +Veql +p3583 +tp3584 +a(g826 +g1024 +tp3585 +a(g440 +g1289 +tp3586 +a(g826 +g1024 +tp3587 +a(g37 +g2309 +tp3588 +a(g705 +g1028 +tp3589 +a(g705 +g1028 +tp3590 +a(g705 +g1028 +tp3591 +a(g826 +V\u000a +p3592 +tp3593 +a(g705 +g1028 +tp3594 +a(g826 +V\u000a +p3595 +tp3596 +a(g705 +g1020 +tp3597 +a(g440 +Vdef-atomic-type +p3598 +tp3599 +a(g826 +g1024 +tp3600 +a(g440 +VBIT-VECTOR +p3601 +tp3602 +a(g826 +g1024 +tp3603 +a(g681 +Vbit-vector-p +p3604 +tp3605 +a(g705 +g1028 +tp3606 +a(g826 +V\u000a +p3607 +tp3608 +a(g705 +g1020 +tp3609 +a(g440 +Vdef-atomic-type +p3610 +tp3611 +a(g826 +g1024 +tp3612 +a(g440 +VBOOLEAN +p3613 +tp3614 +a(g826 +V\u000a +p3615 +tp3616 +a(g705 +g1020 +tp3617 +a(g744 +Vlambda +p3618 +tp3619 +a(g826 +g1024 +tp3620 +a(g705 +g1020 +tp3621 +a(g440 +g1289 +tp3622 +a(g705 +g1028 +tp3623 +a(g826 +g1024 +tp3624 +a(g705 +g1020 +tp3625 +a(g681 +Vor +p3626 +tp3627 +a(g826 +g1024 +tp3628 +a(g705 +g1020 +tp3629 +a(g681 +Veq +p3630 +tp3631 +a(g826 +g1024 +tp3632 +a(g440 +g1289 +tp3633 +a(g826 +g1024 +tp3634 +a(g117 +V'nil +p3635 +tp3636 +a(g705 +g1028 +tp3637 +a(g826 +g1024 +tp3638 +a(g705 +g1020 +tp3639 +a(g681 +Veq +p3640 +tp3641 +a(g826 +g1024 +tp3642 +a(g440 +g1289 +tp3643 +a(g826 +g1024 +tp3644 +a(g117 +V't +p3645 +tp3646 +a(g705 +g1028 +tp3647 +a(g705 +g1028 +tp3648 +a(g705 +g1028 +tp3649 +a(g826 +V\u000a +p3650 +tp3651 +a(g705 +g1028 +tp3652 +a(g826 +V\u000a +p3653 +tp3654 +a(g705 +g1020 +tp3655 +a(g440 +Vdef-atomic-type +p3656 +tp3657 +a(g826 +g1024 +tp3658 +a(g440 +VCHARACTER +p3659 +tp3660 +a(g826 +g1024 +tp3661 +a(g681 +Vcharacterp +p3662 +tp3663 +a(g705 +g1028 +tp3664 +a(g826 +V\u000a +p3665 +tp3666 +a(g705 +g1020 +tp3667 +a(g440 +Vdef-atomic-type +p3668 +tp3669 +a(g826 +g1024 +tp3670 +a(g440 +VCOMPILED-FUNCTION +p3671 +tp3672 +a(g826 +g1024 +tp3673 +a(g681 +Vcompiled-function-p +p3674 +tp3675 +a(g705 +g1028 +tp3676 +a(g826 +V\u000a +p3677 +tp3678 +a(g705 +g1020 +tp3679 +a(g440 +Vdef-atomic-type +p3680 +tp3681 +a(g826 +g1024 +tp3682 +a(g440 +VCOMPLEX +p3683 +tp3684 +a(g826 +g1024 +tp3685 +a(g681 +Vcomplexp +p3686 +tp3687 +a(g705 +g1028 +tp3688 +a(g826 +V\u000a +p3689 +tp3690 +a(g705 +g1020 +tp3691 +a(g440 +Vdef-atomic-type +p3692 +tp3693 +a(g826 +g1024 +tp3694 +a(g440 +VCONS +p3695 +tp3696 +a(g826 +g1024 +tp3697 +a(g681 +Vconsp +p3698 +tp3699 +a(g705 +g1028 +tp3700 +a(g826 +V\u000a +p3701 +tp3702 +a(g705 +g1020 +tp3703 +a(g440 +Vdef-atomic-type +p3704 +tp3705 +a(g826 +g1024 +tp3706 +a(g440 +VDOUBLE-FLOAT +p3707 +tp3708 +a(g826 +g1024 +tp3709 +a(g440 +Vdouble-float-p +p3710 +tp3711 +a(g705 +g1028 +tp3712 +a(g826 +V\u000a +p3713 +tp3714 +a(g705 +g1020 +tp3715 +a(g440 +Vdef-atomic-type +p3716 +tp3717 +a(g826 +g1024 +tp3718 +a(g440 +VENCODING +p3719 +tp3720 +a(g826 +g1024 +tp3721 +a(g440 +Vencodingp +p3722 +tp3723 +a(g705 +g1028 +tp3724 +a(g826 +V\u000a +p3725 +tp3726 +a(g705 +g1020 +tp3727 +a(g440 +Vdef-atomic-type +p3728 +tp3729 +a(g826 +g1024 +tp3730 +a(g440 +VEXTENDED-CHAR +p3731 +tp3732 +a(g826 +V\u000a +p3733 +tp3734 +a(g412 +V#+ +p3735 +tp3736 +a(g440 +VBASE-CHAR=CHARACTER +p3737 +tp3738 +a(g826 +V\u000a +p3739 +tp3740 +a(g705 +g1020 +tp3741 +a(g744 +Vlambda +p3742 +tp3743 +a(g826 +g1024 +tp3744 +a(g705 +g1020 +tp3745 +a(g440 +g1289 +tp3746 +a(g705 +g1028 +tp3747 +a(g826 +g1024 +tp3748 +a(g705 +g1020 +tp3749 +a(g744 +Vdeclare +p3750 +tp3751 +a(g826 +g1024 +tp3752 +a(g705 +g1020 +tp3753 +a(g744 +Vignore +p3754 +tp3755 +a(g826 +g1024 +tp3756 +a(g440 +g1289 +tp3757 +a(g705 +g1028 +tp3758 +a(g705 +g1028 +tp3759 +a(g826 +g1024 +tp3760 +a(g565 +Vnil +p3761 +tp3762 +a(g705 +g1028 +tp3763 +a(g826 +V\u000a +p3764 +tp3765 +a(g412 +V#- +p3766 +tp3767 +a(g440 +VBASE-CHAR=CHARACTER +p3768 +tp3769 +a(g826 +V\u000a +p3770 +tp3771 +a(g705 +g1020 +tp3772 +a(g744 +Vlambda +p3773 +tp3774 +a(g826 +g1024 +tp3775 +a(g705 +g1020 +tp3776 +a(g440 +g1289 +tp3777 +a(g705 +g1028 +tp3778 +a(g826 +g1024 +tp3779 +a(g705 +g1020 +tp3780 +a(g681 +Vand +p3781 +tp3782 +a(g826 +g1024 +tp3783 +a(g705 +g1020 +tp3784 +a(g681 +Vcharacterp +p3785 +tp3786 +a(g826 +g1024 +tp3787 +a(g440 +g1289 +tp3788 +a(g705 +g1028 +tp3789 +a(g826 +g1024 +tp3790 +a(g705 +g1020 +tp3791 +a(g681 +Vnot +p3792 +tp3793 +a(g826 +g1024 +tp3794 +a(g705 +g1020 +tp3795 +a(g440 +Vbase-char-p +p3796 +tp3797 +a(g826 +g1024 +tp3798 +a(g440 +g1289 +tp3799 +a(g705 +g1028 +tp3800 +a(g705 +g1028 +tp3801 +a(g705 +g1028 +tp3802 +a(g705 +g1028 +tp3803 +a(g826 +V\u000a +p3804 +tp3805 +a(g705 +g1028 +tp3806 +a(g826 +V\u000a +p3807 +tp3808 +a(g705 +g1020 +tp3809 +a(g440 +Vdef-atomic-type +p3810 +tp3811 +a(g826 +g1024 +tp3812 +a(g440 +VFIXNUM +p3813 +tp3814 +a(g826 +g1024 +tp3815 +a(g440 +Vfixnump +p3816 +tp3817 +a(g705 +g1028 +tp3818 +a(g826 +V\u000a +p3819 +tp3820 +a(g705 +g1020 +tp3821 +a(g440 +Vdef-atomic-type +p3822 +tp3823 +a(g826 +g1024 +tp3824 +a(g440 +VFLOAT +p3825 +tp3826 +a(g826 +g1024 +tp3827 +a(g681 +Vfloatp +p3828 +tp3829 +a(g705 +g1028 +tp3830 +a(g826 +V\u000a +p3831 +tp3832 +a(g705 +g1020 +tp3833 +a(g440 +Vdef-atomic-type +p3834 +tp3835 +a(g826 +g1024 +tp3836 +a(g440 +VFUNCTION +p3837 +tp3838 +a(g826 +g1024 +tp3839 +a(g681 +Vfunctionp +p3840 +tp3841 +a(g705 +g1028 +tp3842 +a(g826 +V\u000a +p3843 +tp3844 +a(g705 +g1020 +tp3845 +a(g440 +Vdef-atomic-type +p3846 +tp3847 +a(g826 +g1024 +tp3848 +a(g440 +VHASH-TABLE +p3849 +tp3850 +a(g826 +g1024 +tp3851 +a(g681 +Vhash-table-p +p3852 +tp3853 +a(g705 +g1028 +tp3854 +a(g826 +V\u000a +p3855 +tp3856 +a(g705 +g1020 +tp3857 +a(g440 +Vdef-atomic-type +p3858 +tp3859 +a(g826 +g1024 +tp3860 +a(g440 +VINTEGER +p3861 +tp3862 +a(g826 +g1024 +tp3863 +a(g681 +Vintegerp +p3864 +tp3865 +a(g705 +g1028 +tp3866 +a(g826 +V\u000a +p3867 +tp3868 +a(g705 +g1020 +tp3869 +a(g440 +Vdef-atomic-type +p3870 +tp3871 +a(g826 +g1024 +tp3872 +a(g440 +VKEYWORD +p3873 +tp3874 +a(g826 +g1024 +tp3875 +a(g681 +Vkeywordp +p3876 +tp3877 +a(g705 +g1028 +tp3878 +a(g826 +V\u000a +p3879 +tp3880 +a(g705 +g1020 +tp3881 +a(g440 +Vdef-atomic-type +p3882 +tp3883 +a(g826 +g1024 +tp3884 +a(g440 +VLIST +p3885 +tp3886 +a(g826 +g1024 +tp3887 +a(g681 +Vlistp +p3888 +tp3889 +a(g705 +g1028 +tp3890 +a(g826 +V\u000a +p3891 +tp3892 +a(g412 +V#+ +p3893 +tp3894 +a(g440 +VLOGICAL-PATHNAMES +p3895 +tp3896 +a(g826 +V\u000a +p3897 +tp3898 +a(g705 +g1020 +tp3899 +a(g440 +Vdef-atomic-type +p3900 +tp3901 +a(g826 +g1024 +tp3902 +a(g440 +VLOGICAL-PATHNAME +p3903 +tp3904 +a(g826 +g1024 +tp3905 +a(g440 +Vlogical-pathname-p +p3906 +tp3907 +a(g705 +g1028 +tp3908 +a(g826 +V\u000a +p3909 +tp3910 +a(g705 +g1020 +tp3911 +a(g440 +Vdef-atomic-type +p3912 +tp3913 +a(g826 +g1024 +tp3914 +a(g440 +VLONG-FLOAT +p3915 +tp3916 +a(g826 +g1024 +tp3917 +a(g440 +Vlong-float-p +p3918 +tp3919 +a(g705 +g1028 +tp3920 +a(g826 +V\u000a +p3921 +tp3922 +a(g705 +g1020 +tp3923 +a(g440 +Vdef-atomic-type +p3924 +tp3925 +a(g826 +g1024 +tp3926 +a(g565 +VNIL +p3927 +tp3928 +a(g826 +V\u000a +p3929 +tp3930 +a(g705 +g1020 +tp3931 +a(g744 +Vlambda +p3932 +tp3933 +a(g826 +g1024 +tp3934 +a(g705 +g1020 +tp3935 +a(g440 +g1289 +tp3936 +a(g705 +g1028 +tp3937 +a(g826 +g1024 +tp3938 +a(g705 +g1020 +tp3939 +a(g744 +Vdeclare +p3940 +tp3941 +a(g826 +g1024 +tp3942 +a(g705 +g1020 +tp3943 +a(g744 +Vignore +p3944 +tp3945 +a(g826 +g1024 +tp3946 +a(g440 +g1289 +tp3947 +a(g705 +g1028 +tp3948 +a(g705 +g1028 +tp3949 +a(g826 +g1024 +tp3950 +a(g565 +Vnil +p3951 +tp3952 +a(g705 +g1028 +tp3953 +a(g826 +V\u000a +p3954 +tp3955 +a(g705 +g1028 +tp3956 +a(g826 +V\u000a +p3957 +tp3958 +a(g705 +g1020 +tp3959 +a(g440 +Vdef-atomic-type +p3960 +tp3961 +a(g826 +g1024 +tp3962 +a(g440 +VNULL +p3963 +tp3964 +a(g826 +g1024 +tp3965 +a(g681 +Vnull +p3966 +tp3967 +a(g705 +g1028 +tp3968 +a(g826 +V\u000a +p3969 +tp3970 +a(g705 +g1020 +tp3971 +a(g440 +Vdef-atomic-type +p3972 +tp3973 +a(g826 +g1024 +tp3974 +a(g440 +VNUMBER +p3975 +tp3976 +a(g826 +g1024 +tp3977 +a(g681 +Vnumberp +p3978 +tp3979 +a(g705 +g1028 +tp3980 +a(g826 +V\u000a +p3981 +tp3982 +a(g705 +g1020 +tp3983 +a(g440 +Vdef-atomic-type +p3984 +tp3985 +a(g826 +g1024 +tp3986 +a(g440 +VPACKAGE +p3987 +tp3988 +a(g826 +g1024 +tp3989 +a(g681 +Vpackagep +p3990 +tp3991 +a(g705 +g1028 +tp3992 +a(g826 +V\u000a +p3993 +tp3994 +a(g705 +g1020 +tp3995 +a(g440 +Vdef-atomic-type +p3996 +tp3997 +a(g826 +g1024 +tp3998 +a(g440 +VPATHNAME +p3999 +tp4000 +a(g826 +g1024 +tp4001 +a(g681 +Vpathnamep +p4002 +tp4003 +a(g705 +g1028 +tp4004 +a(g826 +V\u000a +p4005 +tp4006 +a(g705 +g1020 +tp4007 +a(g440 +Vdef-atomic-type +p4008 +tp4009 +a(g826 +g1024 +tp4010 +a(g440 +VRANDOM-STATE +p4011 +tp4012 +a(g826 +g1024 +tp4013 +a(g681 +Vrandom-state-p +p4014 +tp4015 +a(g705 +g1028 +tp4016 +a(g826 +V\u000a +p4017 +tp4018 +a(g705 +g1020 +tp4019 +a(g440 +Vdef-atomic-type +p4020 +tp4021 +a(g826 +g1024 +tp4022 +a(g440 +VRATIO +p4023 +tp4024 +a(g826 +V\u000a +p4025 +tp4026 +a(g705 +g1020 +tp4027 +a(g744 +Vlambda +p4028 +tp4029 +a(g826 +g1024 +tp4030 +a(g705 +g1020 +tp4031 +a(g440 +g1289 +tp4032 +a(g705 +g1028 +tp4033 +a(g826 +g1024 +tp4034 +a(g705 +g1020 +tp4035 +a(g681 +Vand +p4036 +tp4037 +a(g826 +g1024 +tp4038 +a(g705 +g1020 +tp4039 +a(g681 +Vrationalp +p4040 +tp4041 +a(g826 +g1024 +tp4042 +a(g440 +g1289 +tp4043 +a(g705 +g1028 +tp4044 +a(g826 +g1024 +tp4045 +a(g705 +g1020 +tp4046 +a(g681 +Vnot +p4047 +tp4048 +a(g826 +g1024 +tp4049 +a(g705 +g1020 +tp4050 +a(g681 +Vintegerp +p4051 +tp4052 +a(g826 +g1024 +tp4053 +a(g440 +g1289 +tp4054 +a(g705 +g1028 +tp4055 +a(g705 +g1028 +tp4056 +a(g705 +g1028 +tp4057 +a(g705 +g1028 +tp4058 +a(g826 +V\u000a +p4059 +tp4060 +a(g705 +g1028 +tp4061 +a(g826 +V\u000a +p4062 +tp4063 +a(g705 +g1020 +tp4064 +a(g440 +Vdef-atomic-type +p4065 +tp4066 +a(g826 +g1024 +tp4067 +a(g440 +VRATIONAL +p4068 +tp4069 +a(g826 +g1024 +tp4070 +a(g681 +Vrationalp +p4071 +tp4072 +a(g705 +g1028 +tp4073 +a(g826 +V\u000a +p4074 +tp4075 +a(g705 +g1020 +tp4076 +a(g440 +Vdef-atomic-type +p4077 +tp4078 +a(g826 +g1024 +tp4079 +a(g440 +VREADTABLE +p4080 +tp4081 +a(g826 +g1024 +tp4082 +a(g681 +Vreadtablep +p4083 +tp4084 +a(g705 +g1028 +tp4085 +a(g826 +V\u000a +p4086 +tp4087 +a(g705 +g1020 +tp4088 +a(g440 +Vdef-atomic-type +p4089 +tp4090 +a(g826 +g1024 +tp4091 +a(g440 +VREAL +p4092 +tp4093 +a(g826 +g1024 +tp4094 +a(g681 +Vrealp +p4095 +tp4096 +a(g705 +g1028 +tp4097 +a(g826 +V\u000a +p4098 +tp4099 +a(g705 +g1020 +tp4100 +a(g440 +Vdef-atomic-type +p4101 +tp4102 +a(g826 +g1024 +tp4103 +a(g440 +VSEQUENCE +p4104 +tp4105 +a(g826 +g1024 +tp4106 +a(g440 +Vsequencep +p4107 +tp4108 +a(g705 +g1028 +tp4109 +a(g826 +V\u000a +p4110 +tp4111 +a(g705 +g1020 +tp4112 +a(g440 +Vdef-atomic-type +p4113 +tp4114 +a(g826 +g1024 +tp4115 +a(g440 +VSHORT-FLOAT +p4116 +tp4117 +a(g826 +g1024 +tp4118 +a(g440 +Vshort-float-p +p4119 +tp4120 +a(g705 +g1028 +tp4121 +a(g826 +V\u000a +p4122 +tp4123 +a(g705 +g1020 +tp4124 +a(g440 +Vdef-atomic-type +p4125 +tp4126 +a(g826 +g1024 +tp4127 +a(g440 +VSIMPLE-ARRAY +p4128 +tp4129 +a(g826 +g1024 +tp4130 +a(g440 +Vsimple-array-p +p4131 +tp4132 +a(g705 +g1028 +tp4133 +a(g826 +V\u000a +p4134 +tp4135 +a(g705 +g1020 +tp4136 +a(g440 +Vdef-atomic-type +p4137 +tp4138 +a(g826 +g1024 +tp4139 +a(g440 +VSIMPLE-BASE-STRING +p4140 +tp4141 +a(g826 +V\u000a +p4142 +tp4143 +a(g705 +g1020 +tp4144 +a(g744 +Vlambda +p4145 +tp4146 +a(g826 +g1024 +tp4147 +a(g705 +g1020 +tp4148 +a(g440 +g1289 +tp4149 +a(g705 +g1028 +tp4150 +a(g826 +V\u000a +p4151 +tp4152 +a(g705 +g1020 +tp4153 +a(g681 +Vand +p4154 +tp4155 +a(g826 +g1024 +tp4156 +a(g705 +g1020 +tp4157 +a(g681 +Vsimple-string-p +p4158 +tp4159 +a(g826 +g1024 +tp4160 +a(g440 +g1289 +tp4161 +a(g705 +g1028 +tp4162 +a(g826 +V\u000a +p4163 +tp4164 +a(g705 +g1020 +tp4165 +a(g681 +Veq +p4166 +tp4167 +a(g826 +g1024 +tp4168 +a(g705 +g1020 +tp4169 +a(g681 +Varray-element-type +p4170 +tp4171 +a(g826 +g1024 +tp4172 +a(g440 +g1289 +tp4173 +a(g705 +g1028 +tp4174 +a(g826 +V\u000a +p4175 +tp4176 +a(g412 +V#+ +p4177 +tp4178 +a(g440 +VBASE-CHAR=CHARACTER +p4179 +tp4180 +a(g826 +g1024 +tp4181 +a(g117 +V'CHARACTER +p4182 +tp4183 +a(g826 +g1024 +tp4184 +a(g412 +V#- +p4185 +tp4186 +a(g440 +VBASE-CHAR=CHARACTER +p4187 +tp4188 +a(g826 +g1024 +tp4189 +a(g117 +V'BASE-CHAR +p4190 +tp4191 +a(g826 +V\u000a +p4192 +tp4193 +a(g705 +g1028 +tp4194 +a(g826 +g1024 +tp4195 +a(g705 +g1028 +tp4196 +a(g826 +g1024 +tp4197 +a(g705 +g1028 +tp4198 +a(g826 +V +p4199 +tp4200 +a(g705 +g1028 +tp4201 +a(g826 +V\u000a +p4202 +tp4203 +a(g705 +g1020 +tp4204 +a(g440 +Vdef-atomic-type +p4205 +tp4206 +a(g826 +g1024 +tp4207 +a(g440 +VSIMPLE-BIT-VECTOR +p4208 +tp4209 +a(g826 +g1024 +tp4210 +a(g681 +Vsimple-bit-vector-p +p4211 +tp4212 +a(g705 +g1028 +tp4213 +a(g826 +V\u000a +p4214 +tp4215 +a(g705 +g1020 +tp4216 +a(g440 +Vdef-atomic-type +p4217 +tp4218 +a(g826 +g1024 +tp4219 +a(g440 +VSIMPLE-STRING +p4220 +tp4221 +a(g826 +g1024 +tp4222 +a(g681 +Vsimple-string-p +p4223 +tp4224 +a(g705 +g1028 +tp4225 +a(g826 +V\u000a +p4226 +tp4227 +a(g705 +g1020 +tp4228 +a(g440 +Vdef-atomic-type +p4229 +tp4230 +a(g826 +g1024 +tp4231 +a(g440 +VSIMPLE-VECTOR +p4232 +tp4233 +a(g826 +g1024 +tp4234 +a(g681 +Vsimple-vector-p +p4235 +tp4236 +a(g705 +g1028 +tp4237 +a(g826 +V\u000a +p4238 +tp4239 +a(g705 +g1020 +tp4240 +a(g440 +Vdef-atomic-type +p4241 +tp4242 +a(g826 +g1024 +tp4243 +a(g440 +VSINGLE-FLOAT +p4244 +tp4245 +a(g826 +g1024 +tp4246 +a(g440 +Vsingle-float-p +p4247 +tp4248 +a(g705 +g1028 +tp4249 +a(g826 +V\u000a +p4250 +tp4251 +a(g705 +g1020 +tp4252 +a(g681 +Vdefun +p4253 +tp4254 +a(g826 +g1024 +tp4255 +a(g440 +V%standard-char-p +p4256 +tp4257 +a(g826 +g1024 +tp4258 +a(g705 +g1020 +tp4259 +a(g440 +g1289 +tp4260 +a(g705 +g1028 +tp4261 +a(g826 +g1024 +tp4262 +a(g705 +g1020 +tp4263 +a(g681 +Vand +p4264 +tp4265 +a(g826 +g1024 +tp4266 +a(g705 +g1020 +tp4267 +a(g681 +Vcharacterp +p4268 +tp4269 +a(g826 +g1024 +tp4270 +a(g440 +g1289 +tp4271 +a(g705 +g1028 +tp4272 +a(g826 +g1024 +tp4273 +a(g705 +g1020 +tp4274 +a(g681 +Vstandard-char-p +p4275 +tp4276 +a(g826 +g1024 +tp4277 +a(g440 +g1289 +tp4278 +a(g705 +g1028 +tp4279 +a(g705 +g1028 +tp4280 +a(g705 +g1028 +tp4281 +a(g826 +g1024 +tp4282 +a(g8 +V; ABI +p4283 +tp4284 +a(g826 +V\u000a +p4285 +tp4286 +a(g705 +g1020 +tp4287 +a(g440 +Vdef-atomic-type +p4288 +tp4289 +a(g826 +g1024 +tp4290 +a(g440 +VSTANDARD-CHAR +p4291 +tp4292 +a(g826 +g1024 +tp4293 +a(g440 +V%standard-char-p +p4294 +tp4295 +a(g705 +g1028 +tp4296 +a(g826 +V\u000a +p4297 +tp4298 +a(g705 +g1020 +tp4299 +a(g440 +Vdef-atomic-type +p4300 +tp4301 +a(g826 +g1024 +tp4302 +a(g440 +VCLOS:STANDARD-OBJECT +p4303 +tp4304 +a(g826 +g1024 +tp4305 +a(g440 +Vclos::std-instance-p +p4306 +tp4307 +a(g705 +g1028 +tp4308 +a(g826 +V\u000a +p4309 +tp4310 +a(g705 +g1020 +tp4311 +a(g440 +Vdef-atomic-type +p4312 +tp4313 +a(g826 +g1024 +tp4314 +a(g440 +VSTREAM +p4315 +tp4316 +a(g826 +g1024 +tp4317 +a(g681 +Vstreamp +p4318 +tp4319 +a(g705 +g1028 +tp4320 +a(g826 +V\u000a +p4321 +tp4322 +a(g705 +g1020 +tp4323 +a(g440 +Vdef-atomic-type +p4324 +tp4325 +a(g826 +g1024 +tp4326 +a(g440 +VFILE-STREAM +p4327 +tp4328 +a(g826 +g1024 +tp4329 +a(g440 +Vfile-stream-p +p4330 +tp4331 +a(g705 +g1028 +tp4332 +a(g826 +V\u000a +p4333 +tp4334 +a(g705 +g1020 +tp4335 +a(g440 +Vdef-atomic-type +p4336 +tp4337 +a(g826 +g1024 +tp4338 +a(g440 +VSYNONYM-STREAM +p4339 +tp4340 +a(g826 +g1024 +tp4341 +a(g440 +Vsynonym-stream-p +p4342 +tp4343 +a(g705 +g1028 +tp4344 +a(g826 +V\u000a +p4345 +tp4346 +a(g705 +g1020 +tp4347 +a(g440 +Vdef-atomic-type +p4348 +tp4349 +a(g826 +g1024 +tp4350 +a(g440 +VBROADCAST-STREAM +p4351 +tp4352 +a(g826 +g1024 +tp4353 +a(g440 +Vbroadcast-stream-p +p4354 +tp4355 +a(g705 +g1028 +tp4356 +a(g826 +V\u000a +p4357 +tp4358 +a(g705 +g1020 +tp4359 +a(g440 +Vdef-atomic-type +p4360 +tp4361 +a(g826 +g1024 +tp4362 +a(g440 +VCONCATENATED-STREAM +p4363 +tp4364 +a(g826 +g1024 +tp4365 +a(g440 +Vconcatenated-stream-p +p4366 +tp4367 +a(g705 +g1028 +tp4368 +a(g826 +V\u000a +p4369 +tp4370 +a(g705 +g1020 +tp4371 +a(g440 +Vdef-atomic-type +p4372 +tp4373 +a(g826 +g1024 +tp4374 +a(g440 +VTWO-WAY-STREAM +p4375 +tp4376 +a(g826 +g1024 +tp4377 +a(g440 +Vtwo-way-stream-p +p4378 +tp4379 +a(g705 +g1028 +tp4380 +a(g826 +V\u000a +p4381 +tp4382 +a(g705 +g1020 +tp4383 +a(g440 +Vdef-atomic-type +p4384 +tp4385 +a(g826 +g1024 +tp4386 +a(g440 +VECHO-STREAM +p4387 +tp4388 +a(g826 +g1024 +tp4389 +a(g440 +Vecho-stream-p +p4390 +tp4391 +a(g705 +g1028 +tp4392 +a(g826 +V\u000a +p4393 +tp4394 +a(g705 +g1020 +tp4395 +a(g440 +Vdef-atomic-type +p4396 +tp4397 +a(g826 +g1024 +tp4398 +a(g440 +VSTRING-STREAM +p4399 +tp4400 +a(g826 +g1024 +tp4401 +a(g440 +Vstring-stream-p +p4402 +tp4403 +a(g705 +g1028 +tp4404 +a(g826 +V\u000a +p4405 +tp4406 +a(g705 +g1020 +tp4407 +a(g440 +Vdef-atomic-type +p4408 +tp4409 +a(g826 +g1024 +tp4410 +a(g440 +VSTRING +p4411 +tp4412 +a(g826 +g1024 +tp4413 +a(g681 +Vstringp +p4414 +tp4415 +a(g705 +g1028 +tp4416 +a(g826 +V\u000a +p4417 +tp4418 +a(g705 +g1020 +tp4419 +a(g440 +Vdef-atomic-type +p4420 +tp4421 +a(g826 +g1024 +tp4422 +a(g440 +VSTRING-CHAR +p4423 +tp4424 +a(g826 +g1024 +tp4425 +a(g681 +Vcharacterp +p4426 +tp4427 +a(g705 +g1028 +tp4428 +a(g826 +V\u000a +p4429 +tp4430 +a(g705 +g1020 +tp4431 +a(g440 +Vdef-atomic-type +p4432 +tp4433 +a(g826 +g1024 +tp4434 +a(g440 +VCLOS:STRUCTURE-OBJECT +p4435 +tp4436 +a(g826 +g1024 +tp4437 +a(g440 +Vclos::structure-object-p +p4438 +tp4439 +a(g705 +g1028 +tp4440 +a(g826 +V\u000a +p4441 +tp4442 +a(g705 +g1020 +tp4443 +a(g440 +Vdef-atomic-type +p4444 +tp4445 +a(g826 +g1024 +tp4446 +a(g440 +VSYMBOL +p4447 +tp4448 +a(g826 +g1024 +tp4449 +a(g681 +Vsymbolp +p4450 +tp4451 +a(g705 +g1028 +tp4452 +a(g826 +V\u000a +p4453 +tp4454 +a(g705 +g1020 +tp4455 +a(g440 +Vdef-atomic-type +p4456 +tp4457 +a(g826 +g1024 +tp4458 +a(g565 +g2167 +tp4459 +a(g826 +g1024 +tp4460 +a(g705 +g1020 +tp4461 +a(g744 +Vlambda +p4462 +tp4463 +a(g826 +g1024 +tp4464 +a(g705 +g1020 +tp4465 +a(g440 +g1289 +tp4466 +a(g705 +g1028 +tp4467 +a(g826 +g1024 +tp4468 +a(g705 +g1020 +tp4469 +a(g744 +Vdeclare +p4470 +tp4471 +a(g826 +g1024 +tp4472 +a(g705 +g1020 +tp4473 +a(g744 +Vignore +p4474 +tp4475 +a(g826 +g1024 +tp4476 +a(g440 +g1289 +tp4477 +a(g705 +g1028 +tp4478 +a(g705 +g1028 +tp4479 +a(g826 +g1024 +tp4480 +a(g565 +g1480 +tp4481 +a(g705 +g1028 +tp4482 +a(g705 +g1028 +tp4483 +a(g826 +V\u000a +p4484 +tp4485 +a(g8 +V;; foreign1.lisp is loaded after this file, +p4486 +tp4487 +a(g826 +V\u000a +p4488 +tp4489 +a(g8 +V;; so these symbols are not external yet +p4490 +tp4491 +a(g826 +V\u000a +p4492 +tp4493 +a(g412 +V#+ +p4494 +tp4495 +a(g440 +Vffi +p4496 +tp4497 +a(g826 +V\u000a +p4498 +tp4499 +a(g705 +g1020 +tp4500 +a(g440 +Vdef-atomic-type +p4501 +tp4502 +a(g826 +g1024 +tp4503 +a(g440 +Vffi::foreign-function +p4504 +tp4505 +a(g826 +V\u000a +p4506 +tp4507 +a(g705 +g1020 +tp4508 +a(g744 +Vlambda +p4509 +tp4510 +a(g826 +g1024 +tp4511 +a(g705 +g1020 +tp4512 +a(g440 +g1289 +tp4513 +a(g705 +g1028 +tp4514 +a(g826 +g1024 +tp4515 +a(g705 +g1020 +tp4516 +a(g681 +Veq +p4517 +tp4518 +a(g826 +g1024 +tp4519 +a(g117 +V'ffi::foreign-function +p4520 +tp4521 +a(g826 +g1024 +tp4522 +a(g705 +g1020 +tp4523 +a(g681 +Vtype-of +p4524 +tp4525 +a(g826 +g1024 +tp4526 +a(g440 +g1289 +tp4527 +a(g705 +g1028 +tp4528 +a(g705 +g1028 +tp4529 +a(g705 +g1028 +tp4530 +a(g705 +g1028 +tp4531 +a(g826 +V\u000a +p4532 +tp4533 +a(g412 +V#+ +p4534 +tp4535 +a(g440 +Vffi +p4536 +tp4537 +a(g826 +V\u000a +p4538 +tp4539 +a(g705 +g1020 +tp4540 +a(g440 +Vdef-atomic-type +p4541 +tp4542 +a(g826 +g1024 +tp4543 +a(g440 +Vffi::foreign-variable +p4544 +tp4545 +a(g826 +V\u000a +p4546 +tp4547 +a(g705 +g1020 +tp4548 +a(g744 +Vlambda +p4549 +tp4550 +a(g826 +g1024 +tp4551 +a(g705 +g1020 +tp4552 +a(g440 +g1289 +tp4553 +a(g705 +g1028 +tp4554 +a(g826 +g1024 +tp4555 +a(g705 +g1020 +tp4556 +a(g681 +Veq +p4557 +tp4558 +a(g826 +g1024 +tp4559 +a(g117 +V'ffi::foreign-variable +p4560 +tp4561 +a(g826 +g1024 +tp4562 +a(g705 +g1020 +tp4563 +a(g681 +Vtype-of +p4564 +tp4565 +a(g826 +g1024 +tp4566 +a(g440 +g1289 +tp4567 +a(g705 +g1028 +tp4568 +a(g705 +g1028 +tp4569 +a(g705 +g1028 +tp4570 +a(g705 +g1028 +tp4571 +a(g826 +V\u000a +p4572 +tp4573 +a(g412 +V#+ +p4574 +tp4575 +a(g440 +Vffi +p4576 +tp4577 +a(g826 +V\u000a +p4578 +tp4579 +a(g705 +g1020 +tp4580 +a(g440 +Vdef-atomic-type +p4581 +tp4582 +a(g826 +g1024 +tp4583 +a(g440 +Vffi::foreign-address +p4584 +tp4585 +a(g826 +V\u000a +p4586 +tp4587 +a(g705 +g1020 +tp4588 +a(g744 +Vlambda +p4589 +tp4590 +a(g826 +g1024 +tp4591 +a(g705 +g1020 +tp4592 +a(g440 +g1289 +tp4593 +a(g705 +g1028 +tp4594 +a(g826 +g1024 +tp4595 +a(g705 +g1020 +tp4596 +a(g681 +Veq +p4597 +tp4598 +a(g826 +g1024 +tp4599 +a(g117 +V'ffi::foreign-address +p4600 +tp4601 +a(g826 +g1024 +tp4602 +a(g705 +g1020 +tp4603 +a(g681 +Vtype-of +p4604 +tp4605 +a(g826 +g1024 +tp4606 +a(g440 +g1289 +tp4607 +a(g705 +g1028 +tp4608 +a(g705 +g1028 +tp4609 +a(g705 +g1028 +tp4610 +a(g705 +g1028 +tp4611 +a(g826 +V\u000a +p4612 +tp4613 +a(g8 +V;; see lispbibl.d (#define FOREIGN) and predtype.d (TYPE-OF): +p4614 +tp4615 +a(g826 +V\u000a +p4616 +tp4617 +a(g412 +V#+ +p4618 +tp4619 +a(g705 +g1020 +tp4620 +a(g681 +Vor +p4621 +tp4622 +a(g826 +g1024 +tp4623 +a(g440 +Vunix +p4624 +tp4625 +a(g826 +g1024 +tp4626 +a(g440 +Vffi +p4627 +tp4628 +a(g826 +g1024 +tp4629 +a(g440 +Vaffi +p4630 +tp4631 +a(g826 +g1024 +tp4632 +a(g440 +Vwin32 +p4633 +tp4634 +a(g705 +g1028 +tp4635 +a(g826 +V\u000a +p4636 +tp4637 +a(g705 +g1020 +tp4638 +a(g440 +Vdef-atomic-type +p4639 +tp4640 +a(g826 +g1024 +tp4641 +a(g440 +Vforeign-pointer +p4642 +tp4643 +a(g826 +V\u000a +p4644 +tp4645 +a(g705 +g1020 +tp4646 +a(g744 +Vlambda +p4647 +tp4648 +a(g826 +g1024 +tp4649 +a(g705 +g1020 +tp4650 +a(g440 +g1289 +tp4651 +a(g705 +g1028 +tp4652 +a(g826 +g1024 +tp4653 +a(g705 +g1020 +tp4654 +a(g681 +Veq +p4655 +tp4656 +a(g826 +g1024 +tp4657 +a(g117 +V'foreign-pointer +p4658 +tp4659 +a(g826 +g1024 +tp4660 +a(g705 +g1020 +tp4661 +a(g681 +Vtype-of +p4662 +tp4663 +a(g826 +g1024 +tp4664 +a(g440 +g1289 +tp4665 +a(g705 +g1028 +tp4666 +a(g705 +g1028 +tp4667 +a(g705 +g1028 +tp4668 +a(g705 +g1028 +tp4669 +a(g826 +V\u000a +p4670 +tp4671 +a(g705 +g1020 +tp4672 +a(g440 +Vdef-atomic-type +p4673 +tp4674 +a(g826 +g1024 +tp4675 +a(g440 +VVECTOR +p4676 +tp4677 +a(g826 +g1024 +tp4678 +a(g681 +Vvectorp +p4679 +tp4680 +a(g705 +g1028 +tp4681 +a(g826 +V\u000a +p4682 +tp4683 +a(g705 +g1020 +tp4684 +a(g440 +Vdef-atomic-type +p4685 +tp4686 +a(g826 +g1024 +tp4687 +a(g440 +VPLIST +p4688 +tp4689 +a(g826 +V\u000a +p4690 +tp4691 +a(g705 +g1020 +tp4692 +a(g744 +Vlambda +p4693 +tp4694 +a(g826 +g1024 +tp4695 +a(g705 +g1020 +tp4696 +a(g440 +g1289 +tp4697 +a(g705 +g1028 +tp4698 +a(g826 +g1024 +tp4699 +a(g705 +g1020 +tp4700 +a(g681 +Vmultiple-value-bind +p4701 +tp4702 +a(g826 +g1024 +tp4703 +a(g705 +g1020 +tp4704 +a(g681 +Vlength +p4705 +tp4706 +a(g826 +g1024 +tp4707 +a(g440 +Vtail +p4708 +tp4709 +a(g705 +g1028 +tp4710 +a(g826 +g1024 +tp4711 +a(g705 +g1020 +tp4712 +a(g440 +Vlist-length-dotted +p4713 +tp4714 +a(g826 +g1024 +tp4715 +a(g440 +g1289 +tp4716 +a(g705 +g1028 +tp4717 +a(g826 +V\u000a +p4718 +tp4719 +a(g705 +g1020 +tp4720 +a(g681 +Vand +p4721 +tp4722 +a(g826 +g1024 +tp4723 +a(g705 +g1020 +tp4724 +a(g681 +Vnull +p4725 +tp4726 +a(g826 +g1024 +tp4727 +a(g440 +Vtail +p4728 +tp4729 +a(g705 +g1028 +tp4730 +a(g826 +g1024 +tp4731 +a(g705 +g1020 +tp4732 +a(g681 +Vevenp +p4733 +tp4734 +a(g826 +g1024 +tp4735 +a(g681 +Vlength +p4736 +tp4737 +a(g705 +g1028 +tp4738 +a(g705 +g1028 +tp4739 +a(g705 +g1028 +tp4740 +a(g705 +g1028 +tp4741 +a(g705 +g1028 +tp4742 +a(g826 +V\u000a\u000a +p4743 +tp4744 +a(g705 +g1020 +tp4745 +a(g681 +Vdefmacro +p4746 +tp4747 +a(g826 +g1024 +tp4748 +a(g440 +Vensure-dim +p4749 +tp4750 +a(g826 +g1024 +tp4751 +a(g705 +g1020 +tp4752 +a(g744 +Vtype +p4753 +tp4754 +a(g826 +g1024 +tp4755 +a(g440 +Vdim +p4756 +tp4757 +a(g705 +g1028 +tp4758 +a(g826 +V\u000a +p4759 +tp4760 +a(g8 +V;; make sure DIM is a valid dimension +p4761 +tp4762 +a(g826 +V\u000a +p4763 +tp4764 +a(g412 +g2808 +tp4765 +a(g705 +g1020 +tp4766 +a(g681 +Vunless +p4767 +tp4768 +a(g826 +g1024 +tp4769 +a(g705 +g1020 +tp4770 +a(g681 +Vor +p4771 +tp4772 +a(g826 +g1024 +tp4773 +a(g705 +g1020 +tp4774 +a(g681 +Veq +p4775 +tp4776 +a(g826 +g1024 +tp4777 +a(g412 +g2831 +tp4778 +a(g440 +Vdim +p4779 +tp4780 +a(g826 +g1024 +tp4781 +a(g117 +V'* +p4782 +tp4783 +a(g705 +g1028 +tp4784 +a(g826 +g1024 +tp4785 +a(g705 +g1020 +tp4786 +a(g681 +Vtypep +p4787 +tp4788 +a(g826 +g1024 +tp4789 +a(g412 +g2831 +tp4790 +a(g440 +Vdim +p4791 +tp4792 +a(g826 +g1024 +tp4793 +a(g412 +g2808 +tp4794 +a(g705 +g1020 +tp4795 +a(g440 +VINTEGER +p4796 +tp4797 +a(g826 +g1024 +tp4798 +a(g37 +g3578 +tp4799 +a(g826 +g1024 +tp4800 +a(g705 +g1020 +tp4801 +a(g412 +g2831 +tp4802 +a(g440 +VARRAY-DIMENSION-LIMIT +p4803 +tp4804 +a(g705 +g1028 +tp4805 +a(g705 +g1028 +tp4806 +a(g705 +g1028 +tp4807 +a(g705 +g1028 +tp4808 +a(g826 +V\u000a +p4809 +tp4810 +a(g705 +g1020 +tp4811 +a(g681 +Verror +p4812 +tp4813 +a(g826 +g1024 +tp4814 +a(g705 +g1020 +tp4815 +a(g440 +VTEXT +p4816 +tp4817 +a(g826 +g1024 +tp4818 +a(g89 +V"~S: dimension ~S is invalid" +p4819 +tp4820 +a(g705 +g1028 +tp4821 +a(g826 +g1024 +tp4822 +a(g117 +V',type +p4823 +tp4824 +a(g826 +g1024 +tp4825 +a(g412 +g2831 +tp4826 +a(g440 +Vdim +p4827 +tp4828 +a(g705 +g1028 +tp4829 +a(g705 +g1028 +tp4830 +a(g705 +g1028 +tp4831 +a(g826 +V\u000a\u000a +p4832 +tp4833 +a(g705 +g1020 +tp4834 +a(g681 +Vdefmacro +p4835 +tp4836 +a(g826 +g1024 +tp4837 +a(g440 +Vensure-rank +p4838 +tp4839 +a(g826 +g1024 +tp4840 +a(g705 +g1020 +tp4841 +a(g744 +Vtype +p4842 +tp4843 +a(g826 +g1024 +tp4844 +a(g440 +Vrank +p4845 +tp4846 +a(g705 +g1028 +tp4847 +a(g826 +V\u000a +p4848 +tp4849 +a(g8 +V;; make sure RANK is a valid rank +p4850 +tp4851 +a(g826 +V\u000a +p4852 +tp4853 +a(g412 +g2808 +tp4854 +a(g705 +g1020 +tp4855 +a(g681 +Vunless +p4856 +tp4857 +a(g826 +g1024 +tp4858 +a(g705 +g1020 +tp4859 +a(g681 +Vtypep +p4860 +tp4861 +a(g826 +g1024 +tp4862 +a(g412 +g2831 +tp4863 +a(g440 +Vrank +p4864 +tp4865 +a(g826 +g1024 +tp4866 +a(g412 +g2808 +tp4867 +a(g705 +g1020 +tp4868 +a(g440 +VINTEGER +p4869 +tp4870 +a(g826 +g1024 +tp4871 +a(g37 +g3578 +tp4872 +a(g826 +g1024 +tp4873 +a(g705 +g1020 +tp4874 +a(g412 +g2831 +tp4875 +a(g440 +VARRAY-RANK-LIMIT +p4876 +tp4877 +a(g705 +g1028 +tp4878 +a(g705 +g1028 +tp4879 +a(g705 +g1028 +tp4880 +a(g826 +V\u000a +p4881 +tp4882 +a(g705 +g1020 +tp4883 +a(g681 +Verror +p4884 +tp4885 +a(g826 +g1024 +tp4886 +a(g705 +g1020 +tp4887 +a(g440 +VTEXT +p4888 +tp4889 +a(g826 +g1024 +tp4890 +a(g89 +V"~S: rank ~S is invalid" +p4891 +tp4892 +a(g705 +g1028 +tp4893 +a(g826 +g1024 +tp4894 +a(g117 +V',type +p4895 +tp4896 +a(g826 +g1024 +tp4897 +a(g412 +g2831 +tp4898 +a(g440 +Vrank +p4899 +tp4900 +a(g705 +g1028 +tp4901 +a(g705 +g1028 +tp4902 +a(g705 +g1028 +tp4903 +a(g826 +V\u000a\u000a +p4904 +tp4905 +a(g8 +V; CLtL1 p. 46-50 +p4906 +tp4907 +a(g826 +V\u000a +p4908 +tp4909 +a(g705 +g1020 +tp4910 +a(g681 +Vdefun +p4911 +tp4912 +a(g826 +g1024 +tp4913 +a(g440 +Vc-typep-array +p4914 +tp4915 +a(g826 +g1024 +tp4916 +a(g705 +g1020 +tp4917 +a(g440 +Vtester +p4918 +tp4919 +a(g826 +g1024 +tp4920 +a(g440 +Vel-type +p4921 +tp4922 +a(g826 +g1024 +tp4923 +a(g440 +Vdims +p4924 +tp4925 +a(g826 +g1024 +tp4926 +a(g440 +g1289 +tp4927 +a(g705 +g1028 +tp4928 +a(g826 +V\u000a +p4929 +tp4930 +a(g412 +g2808 +tp4931 +a(g705 +g1020 +tp4932 +a(g440 +VAND +p4933 +tp4934 +a(g826 +g1024 +tp4935 +a(g705 +g1020 +tp4936 +a(g412 +g2831 +tp4937 +a(g440 +Vtester +p4938 +tp4939 +a(g826 +g1024 +tp4940 +a(g412 +g2831 +tp4941 +a(g440 +g1289 +tp4942 +a(g705 +g1028 +tp4943 +a(g826 +V\u000a +p4944 +tp4945 +a(g412 +V,@ +p4946 +tp4947 +a(g705 +g1020 +tp4948 +a(g744 +Vif +p4949 +tp4950 +a(g826 +g1024 +tp4951 +a(g705 +g1020 +tp4952 +a(g681 +Veq +p4953 +tp4954 +a(g826 +g1024 +tp4955 +a(g440 +Vel-type +p4956 +tp4957 +a(g826 +g1024 +tp4958 +a(g117 +V'* +p4959 +tp4960 +a(g705 +g1028 +tp4961 +a(g826 +V\u000a +p4962 +tp4963 +a(g412 +g1036 +tp4964 +a(g705 +g1020 +tp4965 +a(g705 +g1028 +tp4966 +a(g826 +V\u000a +p4967 +tp4968 +a(g412 +g2808 +tp4969 +a(g705 +g1020 +tp4970 +a(g705 +g1020 +tp4971 +a(g440 +VEQUAL +p4972 +tp4973 +a(g826 +g1024 +tp4974 +a(g705 +g1020 +tp4975 +a(g440 +VARRAY-ELEMENT-TYPE +p4976 +tp4977 +a(g826 +g1024 +tp4978 +a(g412 +g2831 +tp4979 +a(g440 +g1289 +tp4980 +a(g705 +g1028 +tp4981 +a(g826 +g1024 +tp4982 +a(g117 +V', +p4983 +tp4984 +a(g705 +g1020 +tp4985 +a(g681 +Vupgraded-array-element-type +p4986 +tp4987 +a(g826 +g1024 +tp4988 +a(g440 +Vel-type +p4989 +tp4990 +a(g705 +g1028 +tp4991 +a(g705 +g1028 +tp4992 +a(g705 +g1028 +tp4993 +a(g826 +V\u000a +p4994 +tp4995 +a(g705 +g1028 +tp4996 +a(g826 +V\u000a +p4997 +tp4998 +a(g412 +V,@ +p4999 +tp5000 +a(g705 +g1020 +tp5001 +a(g744 +Vif +p5002 +tp5003 +a(g826 +g1024 +tp5004 +a(g705 +g1020 +tp5005 +a(g681 +Veq +p5006 +tp5007 +a(g826 +g1024 +tp5008 +a(g440 +Vdims +p5009 +tp5010 +a(g826 +g1024 +tp5011 +a(g117 +V'* +p5012 +tp5013 +a(g705 +g1028 +tp5014 +a(g826 +V\u000a +p5015 +tp5016 +a(g412 +g1036 +tp5017 +a(g705 +g1020 +tp5018 +a(g705 +g1028 +tp5019 +a(g826 +V\u000a +p5020 +tp5021 +a(g705 +g1020 +tp5022 +a(g744 +Vif +p5023 +tp5024 +a(g826 +g1024 +tp5025 +a(g705 +g1020 +tp5026 +a(g681 +Vnumberp +p5027 +tp5028 +a(g826 +g1024 +tp5029 +a(g440 +Vdims +p5030 +tp5031 +a(g705 +g1028 +tp5032 +a(g826 +V\u000a +p5033 +tp5034 +a(g412 +g2808 +tp5035 +a(g705 +g1020 +tp5036 +a(g705 +g1020 +tp5037 +a(g440 +VEQL +p5038 +tp5039 +a(g826 +g1024 +tp5040 +a(g412 +g2831 +tp5041 +a(g440 +Vdims +p5042 +tp5043 +a(g826 +g1024 +tp5044 +a(g705 +g1020 +tp5045 +a(g440 +VARRAY-RANK +p5046 +tp5047 +a(g826 +g1024 +tp5048 +a(g412 +g2831 +tp5049 +a(g440 +g1289 +tp5050 +a(g705 +g1028 +tp5051 +a(g705 +g1028 +tp5052 +a(g705 +g1028 +tp5053 +a(g826 +V\u000a +p5054 +tp5055 +a(g412 +g2808 +tp5056 +a(g705 +g1020 +tp5057 +a(g705 +g1020 +tp5058 +a(g440 +VEQL +p5059 +tp5060 +a(g826 +g1024 +tp5061 +a(g412 +g2831 +tp5062 +a(g705 +g1020 +tp5063 +a(g681 +Vlength +p5064 +tp5065 +a(g826 +g1024 +tp5066 +a(g440 +Vdims +p5067 +tp5068 +a(g705 +g1028 +tp5069 +a(g826 +g1024 +tp5070 +a(g705 +g1020 +tp5071 +a(g440 +VARRAY-RANK +p5072 +tp5073 +a(g826 +g1024 +tp5074 +a(g412 +g2831 +tp5075 +a(g440 +g1289 +tp5076 +a(g705 +g1028 +tp5077 +a(g705 +g1028 +tp5078 +a(g826 +V\u000a +p5079 +tp5080 +a(g412 +V,@ +p5081 +tp5082 +a(g705 +g1020 +tp5083 +a(g744 +Vlet +p5084 +tp5085 +a(g826 +g1024 +tp5086 +a(g705 +g1020 +tp5087 +a(g705 +g1020 +tp5088 +a(g440 +Vi +p5089 +tp5090 +a(g826 +g1024 +tp5091 +a(g37 +g3578 +tp5092 +a(g705 +g1028 +tp5093 +a(g705 +g1028 +tp5094 +a(g826 +V\u000a +p5095 +tp5096 +a(g705 +g1020 +tp5097 +a(g440 +Vmapcap +p5098 +tp5099 +a(g826 +g1024 +tp5100 +a(g573 +V#' +p5101 +tp5102 +a(g705 +g1020 +tp5103 +a(g744 +Vlambda +p5104 +tp5105 +a(g826 +g1024 +tp5106 +a(g705 +g1020 +tp5107 +a(g440 +Vdim +p5108 +tp5109 +a(g705 +g1028 +tp5110 +a(g826 +V\u000a +p5111 +tp5112 +a(g705 +g1020 +tp5113 +a(g681 +Vprog1 +p5114 +tp5115 +a(g826 +V\u000a +p5116 +tp5117 +a(g705 +g1020 +tp5118 +a(g744 +Vif +p5119 +tp5120 +a(g826 +g1024 +tp5121 +a(g705 +g1020 +tp5122 +a(g681 +Veq +p5123 +tp5124 +a(g826 +g1024 +tp5125 +a(g440 +Vdim +p5126 +tp5127 +a(g826 +g1024 +tp5128 +a(g117 +V'* +p5129 +tp5130 +a(g705 +g1028 +tp5131 +a(g826 +V\u000a +p5132 +tp5133 +a(g412 +g1036 +tp5134 +a(g705 +g1020 +tp5135 +a(g705 +g1028 +tp5136 +a(g826 +V\u000a +p5137 +tp5138 +a(g412 +g2808 +tp5139 +a(g705 +g1020 +tp5140 +a(g705 +g1020 +tp5141 +a(g440 +VEQL +p5142 +tp5143 +a(g826 +g1024 +tp5144 +a(g117 +V',dim +p5145 +tp5146 +a(g826 +g1024 +tp5147 +a(g705 +g1020 +tp5148 +a(g440 +VARRAY-DIMENSION +p5149 +tp5150 +a(g826 +g1024 +tp5151 +a(g412 +g2831 +tp5152 +a(g440 +g1289 +tp5153 +a(g826 +g1024 +tp5154 +a(g412 +g2831 +tp5155 +a(g440 +g5089 +tp5156 +a(g705 +g1028 +tp5157 +a(g705 +g1028 +tp5158 +a(g705 +g1028 +tp5159 +a(g826 +V\u000a +p5160 +tp5161 +a(g705 +g1028 +tp5162 +a(g826 +V\u000a +p5163 +tp5164 +a(g705 +g1020 +tp5165 +a(g681 +Vincf +p5166 +tp5167 +a(g826 +g1024 +tp5168 +a(g440 +g5089 +tp5169 +a(g705 +g1028 +tp5170 +a(g826 +V\u000a +p5171 +tp5172 +a(g705 +g1028 +tp5173 +a(g826 +g1024 +tp5174 +a(g705 +g1028 +tp5175 +a(g826 +V\u000a +p5176 +tp5177 +a(g440 +Vdims +p5178 +tp5179 +a(g826 +V\u000a +p5180 +tp5181 +a(g705 +g1028 +tp5182 +a(g826 +g1024 +tp5183 +a(g705 +g1028 +tp5184 +a(g826 +V\u000a +p5185 +tp5186 +a(g705 +g1028 +tp5187 +a(g826 +V\u000a +p5188 +tp5189 +a(g705 +g1028 +tp5190 +a(g826 +g1024 +tp5191 +a(g705 +g1028 +tp5192 +a(g826 +V\u000a +p5193 +tp5194 +a(g705 +g1028 +tp5195 +a(g826 +V\u000a +p5196 +tp5197 +a(g705 +g1028 +tp5198 +a(g826 +V\u000a +p5199 +tp5200 +a(g705 +g1020 +tp5201 +a(g681 +Vdefun +p5202 +tp5203 +a(g826 +g1024 +tp5204 +a(g440 +Vc-typep-vector +p5205 +tp5206 +a(g826 +g1024 +tp5207 +a(g705 +g1020 +tp5208 +a(g440 +Vtester +p5209 +tp5210 +a(g826 +g1024 +tp5211 +a(g440 +Vsize +p5212 +tp5213 +a(g826 +g1024 +tp5214 +a(g440 +g1289 +tp5215 +a(g705 +g1028 +tp5216 +a(g826 +V\u000a +p5217 +tp5218 +a(g412 +g2808 +tp5219 +a(g705 +g1020 +tp5220 +a(g440 +VAND +p5221 +tp5222 +a(g826 +g1024 +tp5223 +a(g705 +g1020 +tp5224 +a(g412 +g2831 +tp5225 +a(g440 +Vtester +p5226 +tp5227 +a(g826 +g1024 +tp5228 +a(g412 +g2831 +tp5229 +a(g440 +g1289 +tp5230 +a(g705 +g1028 +tp5231 +a(g826 +V\u000a +p5232 +tp5233 +a(g412 +V,@ +p5234 +tp5235 +a(g705 +g1020 +tp5236 +a(g744 +Vif +p5237 +tp5238 +a(g826 +g1024 +tp5239 +a(g705 +g1020 +tp5240 +a(g681 +Veq +p5241 +tp5242 +a(g826 +g1024 +tp5243 +a(g440 +Vsize +p5244 +tp5245 +a(g826 +g1024 +tp5246 +a(g117 +V'* +p5247 +tp5248 +a(g705 +g1028 +tp5249 +a(g826 +V\u000a +p5250 +tp5251 +a(g412 +g1036 +tp5252 +a(g705 +g1020 +tp5253 +a(g705 +g1028 +tp5254 +a(g826 +V\u000a +p5255 +tp5256 +a(g412 +g2808 +tp5257 +a(g705 +g1020 +tp5258 +a(g705 +g1020 +tp5259 +a(g440 +VEQL +p5260 +tp5261 +a(g826 +g1024 +tp5262 +a(g117 +V',size +p5263 +tp5264 +a(g826 +g1024 +tp5265 +a(g705 +g1020 +tp5266 +a(g440 +VARRAY-DIMENSION +p5267 +tp5268 +a(g826 +g1024 +tp5269 +a(g412 +g2831 +tp5270 +a(g440 +g1289 +tp5271 +a(g826 +g1024 +tp5272 +a(g37 +g3578 +tp5273 +a(g705 +g1028 +tp5274 +a(g705 +g1028 +tp5275 +a(g705 +g1028 +tp5276 +a(g826 +V\u000a +p5277 +tp5278 +a(g705 +g1028 +tp5279 +a(g826 +V\u000a +p5280 +tp5281 +a(g705 +g1028 +tp5282 +a(g826 +V\u000a +p5283 +tp5284 +a(g705 +g1028 +tp5285 +a(g826 +V\u000a +p5286 +tp5287 +a(g705 +g1020 +tp5288 +a(g681 +Vdefun +p5289 +tp5290 +a(g826 +g1024 +tp5291 +a(g440 +Vtypep-number-test +p5292 +tp5293 +a(g826 +g1024 +tp5294 +a(g705 +g1020 +tp5295 +a(g440 +g1289 +tp5296 +a(g826 +g1024 +tp5297 +a(g440 +Vlow +p5298 +tp5299 +a(g826 +g1024 +tp5300 +a(g440 +Vhigh +p5301 +tp5302 +a(g826 +g1024 +tp5303 +a(g440 +Vtest +p5304 +tp5305 +a(g826 +g1024 +tp5306 +a(g744 +Vtype +p5307 +tp5308 +a(g705 +g1028 +tp5309 +a(g826 +V\u000a +p5310 +tp5311 +a(g705 +g1020 +tp5312 +a(g681 +Vand +p5313 +tp5314 +a(g826 +g1024 +tp5315 +a(g705 +g1020 +tp5316 +a(g681 +Vfuncall +p5317 +tp5318 +a(g826 +g1024 +tp5319 +a(g440 +Vtest +p5320 +tp5321 +a(g826 +g1024 +tp5322 +a(g440 +g1289 +tp5323 +a(g705 +g1028 +tp5324 +a(g826 +V\u000a +p5325 +tp5326 +a(g705 +g1020 +tp5327 +a(g681 +Vcond +p5328 +tp5329 +a(g826 +g1024 +tp5330 +a(g705 +g1020 +tp5331 +a(g705 +g1020 +tp5332 +a(g681 +Veq +p5333 +tp5334 +a(g826 +g1024 +tp5335 +a(g440 +Vlow +p5336 +tp5337 +a(g826 +g1024 +tp5338 +a(g117 +V'* +p5339 +tp5340 +a(g705 +g1028 +tp5341 +a(g705 +g1028 +tp5342 +a(g826 +V\u000a +p5343 +tp5344 +a(g705 +g1020 +tp5345 +a(g705 +g1020 +tp5346 +a(g681 +Vfuncall +p5347 +tp5348 +a(g826 +g1024 +tp5349 +a(g440 +Vtest +p5350 +tp5351 +a(g826 +g1024 +tp5352 +a(g440 +Vlow +p5353 +tp5354 +a(g705 +g1028 +tp5355 +a(g826 +g1024 +tp5356 +a(g705 +g1020 +tp5357 +a(g681 +V<= +p5358 +tp5359 +a(g826 +g1024 +tp5360 +a(g440 +Vlow +p5361 +tp5362 +a(g826 +g1024 +tp5363 +a(g440 +g1289 +tp5364 +a(g705 +g1028 +tp5365 +a(g705 +g1028 +tp5366 +a(g826 +V\u000a +p5367 +tp5368 +a(g705 +g1020 +tp5369 +a(g705 +g1020 +tp5370 +a(g681 +Vand +p5371 +tp5372 +a(g826 +g1024 +tp5373 +a(g705 +g1020 +tp5374 +a(g681 +Vconsp +p5375 +tp5376 +a(g826 +g1024 +tp5377 +a(g440 +Vlow +p5378 +tp5379 +a(g705 +g1028 +tp5380 +a(g826 +g1024 +tp5381 +a(g705 +g1020 +tp5382 +a(g681 +Vnull +p5383 +tp5384 +a(g826 +g1024 +tp5385 +a(g705 +g1020 +tp5386 +a(g681 +Vrest +p5387 +tp5388 +a(g826 +g1024 +tp5389 +a(g440 +Vlow +p5390 +tp5391 +a(g705 +g1028 +tp5392 +a(g705 +g1028 +tp5393 +a(g826 +g1024 +tp5394 +a(g705 +g1020 +tp5395 +a(g681 +Vfuncall +p5396 +tp5397 +a(g826 +g1024 +tp5398 +a(g440 +Vtest +p5399 +tp5400 +a(g826 +g1024 +tp5401 +a(g705 +g1020 +tp5402 +a(g681 +Vfirst +p5403 +tp5404 +a(g826 +g1024 +tp5405 +a(g440 +Vlow +p5406 +tp5407 +a(g705 +g1028 +tp5408 +a(g705 +g1028 +tp5409 +a(g705 +g1028 +tp5410 +a(g826 +V\u000a +p5411 +tp5412 +a(g705 +g1020 +tp5413 +a(g681 +V< +p5414 +tp5415 +a(g826 +g1024 +tp5416 +a(g705 +g1020 +tp5417 +a(g681 +Vfirst +p5418 +tp5419 +a(g826 +g1024 +tp5420 +a(g440 +Vlow +p5421 +tp5422 +a(g705 +g1028 +tp5423 +a(g826 +g1024 +tp5424 +a(g440 +g1289 +tp5425 +a(g705 +g1028 +tp5426 +a(g826 +V\u000a +p5427 +tp5428 +a(g705 +g1028 +tp5429 +a(g826 +V\u000a +p5430 +tp5431 +a(g705 +g1020 +tp5432 +a(g565 +g1480 +tp5433 +a(g826 +g1024 +tp5434 +a(g705 +g1020 +tp5435 +a(g440 +Verror-of-type +p5436 +tp5437 +a(g826 +g1024 +tp5438 +a(g117 +V'error +p5439 +tp5440 +a(g826 +V\u000a +p5441 +tp5442 +a(g412 +V#1= +p5443 +tp5444 +a(g705 +g1020 +tp5445 +a(g440 +VTEXT +p5446 +tp5447 +a(g826 +g1024 +tp5448 +a(g89 +V"~S: argument to ~S must be *, ~S or a list of ~S: ~S" +p5449 +tp5450 +a(g705 +g1028 +tp5451 +a(g826 +V\u000a +p5452 +tp5453 +a(g117 +V'typep +p5454 +tp5455 +a(g826 +g1024 +tp5456 +a(g744 +Vtype +p5457 +tp5458 +a(g826 +g1024 +tp5459 +a(g744 +Vtype +p5460 +tp5461 +a(g826 +g1024 +tp5462 +a(g744 +Vtype +p5463 +tp5464 +a(g826 +g1024 +tp5465 +a(g440 +Vlow +p5466 +tp5467 +a(g826 +V\u000a +p5468 +tp5469 +a(g705 +g1028 +tp5470 +a(g826 +V +p5471 +tp5472 +a(g705 +g1028 +tp5473 +a(g826 +V +p5474 +tp5475 +a(g705 +g1028 +tp5476 +a(g826 +V\u000a +p5477 +tp5478 +a(g705 +g1020 +tp5479 +a(g681 +Vcond +p5480 +tp5481 +a(g826 +g1024 +tp5482 +a(g705 +g1020 +tp5483 +a(g705 +g1020 +tp5484 +a(g681 +Veq +p5485 +tp5486 +a(g826 +g1024 +tp5487 +a(g440 +Vhigh +p5488 +tp5489 +a(g826 +g1024 +tp5490 +a(g117 +V'* +p5491 +tp5492 +a(g705 +g1028 +tp5493 +a(g705 +g1028 +tp5494 +a(g826 +V\u000a +p5495 +tp5496 +a(g705 +g1020 +tp5497 +a(g705 +g1020 +tp5498 +a(g681 +Vfuncall +p5499 +tp5500 +a(g826 +g1024 +tp5501 +a(g440 +Vtest +p5502 +tp5503 +a(g826 +g1024 +tp5504 +a(g440 +Vhigh +p5505 +tp5506 +a(g705 +g1028 +tp5507 +a(g826 +g1024 +tp5508 +a(g705 +g1020 +tp5509 +a(g681 +V>= +p5510 +tp5511 +a(g826 +g1024 +tp5512 +a(g440 +Vhigh +p5513 +tp5514 +a(g826 +g1024 +tp5515 +a(g440 +g1289 +tp5516 +a(g705 +g1028 +tp5517 +a(g705 +g1028 +tp5518 +a(g826 +V\u000a +p5519 +tp5520 +a(g705 +g1020 +tp5521 +a(g705 +g1020 +tp5522 +a(g681 +Vand +p5523 +tp5524 +a(g826 +g1024 +tp5525 +a(g705 +g1020 +tp5526 +a(g681 +Vconsp +p5527 +tp5528 +a(g826 +g1024 +tp5529 +a(g440 +Vhigh +p5530 +tp5531 +a(g705 +g1028 +tp5532 +a(g826 +g1024 +tp5533 +a(g705 +g1020 +tp5534 +a(g681 +Vnull +p5535 +tp5536 +a(g826 +g1024 +tp5537 +a(g705 +g1020 +tp5538 +a(g681 +Vrest +p5539 +tp5540 +a(g826 +g1024 +tp5541 +a(g440 +Vhigh +p5542 +tp5543 +a(g705 +g1028 +tp5544 +a(g705 +g1028 +tp5545 +a(g826 +g1024 +tp5546 +a(g705 +g1020 +tp5547 +a(g681 +Vfuncall +p5548 +tp5549 +a(g826 +g1024 +tp5550 +a(g440 +Vtest +p5551 +tp5552 +a(g826 +g1024 +tp5553 +a(g705 +g1020 +tp5554 +a(g681 +Vfirst +p5555 +tp5556 +a(g826 +g1024 +tp5557 +a(g440 +Vhigh +p5558 +tp5559 +a(g705 +g1028 +tp5560 +a(g705 +g1028 +tp5561 +a(g705 +g1028 +tp5562 +a(g826 +V\u000a +p5563 +tp5564 +a(g705 +g1020 +tp5565 +a(g681 +V> +p5566 +tp5567 +a(g826 +g1024 +tp5568 +a(g705 +g1020 +tp5569 +a(g681 +Vfirst +p5570 +tp5571 +a(g826 +g1024 +tp5572 +a(g440 +Vhigh +p5573 +tp5574 +a(g705 +g1028 +tp5575 +a(g826 +g1024 +tp5576 +a(g440 +g1289 +tp5577 +a(g705 +g1028 +tp5578 +a(g826 +V\u000a +p5579 +tp5580 +a(g705 +g1028 +tp5581 +a(g826 +V\u000a +p5582 +tp5583 +a(g705 +g1020 +tp5584 +a(g565 +g1480 +tp5585 +a(g826 +g1024 +tp5586 +a(g705 +g1020 +tp5587 +a(g440 +Verror-of-type +p5588 +tp5589 +a(g826 +g1024 +tp5590 +a(g117 +V'error +p5591 +tp5592 +a(g826 +V\u000a +p5593 +tp5594 +a(g412 +V#1# +p5595 +tp5596 +a(g826 +g1024 +tp5597 +a(g117 +V'typep +p5598 +tp5599 +a(g826 +g1024 +tp5600 +a(g744 +Vtype +p5601 +tp5602 +a(g826 +g1024 +tp5603 +a(g744 +Vtype +p5604 +tp5605 +a(g826 +g1024 +tp5606 +a(g744 +Vtype +p5607 +tp5608 +a(g826 +g1024 +tp5609 +a(g440 +Vhigh +p5610 +tp5611 +a(g826 +V\u000a +p5612 +tp5613 +a(g705 +g1028 +tp5614 +a(g826 +g1024 +tp5615 +a(g705 +g1028 +tp5616 +a(g826 +V +p5617 +tp5618 +a(g705 +g1028 +tp5619 +a(g826 +V +p5620 +tp5621 +a(g705 +g1028 +tp5622 +a(g826 +V +p5623 +tp5624 +a(g705 +g1028 +tp5625 +a(g826 +V\u000a +p5626 +tp5627 +a(g705 +g1020 +tp5628 +a(g681 +Vdefun +p5629 +tp5630 +a(g826 +g1024 +tp5631 +a(g440 +Vc-typep-number +p5632 +tp5633 +a(g826 +g1024 +tp5634 +a(g705 +g1020 +tp5635 +a(g440 +Vcaller +p5636 +tp5637 +a(g826 +g1024 +tp5638 +a(g440 +Vtester +p5639 +tp5640 +a(g826 +g1024 +tp5641 +a(g440 +Vlow +p5642 +tp5643 +a(g826 +g1024 +tp5644 +a(g440 +Vhigh +p5645 +tp5646 +a(g826 +g1024 +tp5647 +a(g440 +g1289 +tp5648 +a(g705 +g1028 +tp5649 +a(g826 +V\u000a +p5650 +tp5651 +a(g412 +g2808 +tp5652 +a(g705 +g1020 +tp5653 +a(g440 +VAND +p5654 +tp5655 +a(g826 +g1024 +tp5656 +a(g705 +g1020 +tp5657 +a(g412 +g2831 +tp5658 +a(g440 +Vtester +p5659 +tp5660 +a(g826 +g1024 +tp5661 +a(g412 +g2831 +tp5662 +a(g440 +g1289 +tp5663 +a(g705 +g1028 +tp5664 +a(g826 +V\u000a +p5665 +tp5666 +a(g412 +V,@ +p5667 +tp5668 +a(g705 +g1020 +tp5669 +a(g681 +Vcond +p5670 +tp5671 +a(g826 +g1024 +tp5672 +a(g705 +g1020 +tp5673 +a(g705 +g1020 +tp5674 +a(g681 +Veq +p5675 +tp5676 +a(g826 +g1024 +tp5677 +a(g440 +Vlow +p5678 +tp5679 +a(g826 +g1024 +tp5680 +a(g117 +V'* +p5681 +tp5682 +a(g705 +g1028 +tp5683 +a(g826 +g1024 +tp5684 +a(g412 +g1036 +tp5685 +a(g705 +g1020 +tp5686 +a(g705 +g1028 +tp5687 +a(g705 +g1028 +tp5688 +a(g826 +V\u000a +p5689 +tp5690 +a(g705 +g1020 +tp5691 +a(g705 +g1020 +tp5692 +a(g681 +Vfuncall +p5693 +tp5694 +a(g826 +g1024 +tp5695 +a(g440 +Vtester +p5696 +tp5697 +a(g826 +g1024 +tp5698 +a(g440 +Vlow +p5699 +tp5700 +a(g705 +g1028 +tp5701 +a(g826 +g1024 +tp5702 +a(g412 +g2808 +tp5703 +a(g705 +g1020 +tp5704 +a(g705 +g1020 +tp5705 +a(g681 +V<= +p5706 +tp5707 +a(g826 +g1024 +tp5708 +a(g412 +g2831 +tp5709 +a(g440 +Vlow +p5710 +tp5711 +a(g826 +g1024 +tp5712 +a(g412 +g2831 +tp5713 +a(g440 +g1289 +tp5714 +a(g705 +g1028 +tp5715 +a(g705 +g1028 +tp5716 +a(g705 +g1028 +tp5717 +a(g826 +V\u000a +p5718 +tp5719 +a(g705 +g1020 +tp5720 +a(g705 +g1020 +tp5721 +a(g681 +Vand +p5722 +tp5723 +a(g826 +g1024 +tp5724 +a(g705 +g1020 +tp5725 +a(g681 +Vconsp +p5726 +tp5727 +a(g826 +g1024 +tp5728 +a(g440 +Vlow +p5729 +tp5730 +a(g705 +g1028 +tp5731 +a(g826 +g1024 +tp5732 +a(g705 +g1020 +tp5733 +a(g681 +Vnull +p5734 +tp5735 +a(g826 +g1024 +tp5736 +a(g705 +g1020 +tp5737 +a(g681 +Vrest +p5738 +tp5739 +a(g826 +g1024 +tp5740 +a(g440 +Vlow +p5741 +tp5742 +a(g705 +g1028 +tp5743 +a(g705 +g1028 +tp5744 +a(g826 +g1024 +tp5745 +a(g705 +g1020 +tp5746 +a(g681 +Vfuncall +p5747 +tp5748 +a(g826 +g1024 +tp5749 +a(g440 +Vtester +p5750 +tp5751 +a(g826 +g1024 +tp5752 +a(g705 +g1020 +tp5753 +a(g681 +Vfirst +p5754 +tp5755 +a(g826 +g1024 +tp5756 +a(g440 +Vlow +p5757 +tp5758 +a(g705 +g1028 +tp5759 +a(g705 +g1028 +tp5760 +a(g705 +g1028 +tp5761 +a(g826 +V\u000a +p5762 +tp5763 +a(g412 +g2808 +tp5764 +a(g705 +g1020 +tp5765 +a(g705 +g1020 +tp5766 +a(g681 +g5414 +tp5767 +a(g826 +g1024 +tp5768 +a(g412 +g2831 +tp5769 +a(g705 +g1020 +tp5770 +a(g681 +Vfirst +p5771 +tp5772 +a(g826 +g1024 +tp5773 +a(g440 +Vlow +p5774 +tp5775 +a(g705 +g1028 +tp5776 +a(g826 +g1024 +tp5777 +a(g412 +g2831 +tp5778 +a(g440 +g1289 +tp5779 +a(g705 +g1028 +tp5780 +a(g705 +g1028 +tp5781 +a(g826 +V\u000a +p5782 +tp5783 +a(g705 +g1028 +tp5784 +a(g826 +V\u000a +p5785 +tp5786 +a(g705 +g1020 +tp5787 +a(g565 +g1480 +tp5788 +a(g826 +g1024 +tp5789 +a(g705 +g1020 +tp5790 +a(g440 +Vc-warn +p5791 +tp5792 +a(g826 +g1024 +tp5793 +a(g412 +V#1= +p5794 +tp5795 +a(g705 +g1020 +tp5796 +a(g440 +VTEXT +p5797 +tp5798 +a(g826 +g1024 +tp5799 +a(g89 +V"~S: argument to ~S must be *, ~S or a list of ~S: ~S" +p5800 +tp5801 +a(g705 +g1028 +tp5802 +a(g826 +V\u000a +p5803 +tp5804 +a(g117 +V'typep +p5805 +tp5806 +a(g826 +g1024 +tp5807 +a(g440 +Vcaller +p5808 +tp5809 +a(g826 +g1024 +tp5810 +a(g440 +Vcaller +p5811 +tp5812 +a(g826 +g1024 +tp5813 +a(g440 +Vcaller +p5814 +tp5815 +a(g826 +g1024 +tp5816 +a(g440 +Vlow +p5817 +tp5818 +a(g826 +V\u000a +p5819 +tp5820 +a(g705 +g1028 +tp5821 +a(g826 +V\u000a +p5822 +tp5823 +a(g705 +g1020 +tp5824 +a(g744 +Vthrow +p5825 +tp5826 +a(g826 +g1024 +tp5827 +a(g117 +V'c-TYPEP +p5828 +tp5829 +a(g826 +g1024 +tp5830 +a(g565 +Vnil +p5831 +tp5832 +a(g705 +g1028 +tp5833 +a(g826 +V\u000a +p5834 +tp5835 +a(g705 +g1028 +tp5836 +a(g826 +V +p5837 +tp5838 +a(g705 +g1028 +tp5839 +a(g826 +V\u000a +p5840 +tp5841 +a(g412 +V,@ +p5842 +tp5843 +a(g705 +g1020 +tp5844 +a(g681 +Vcond +p5845 +tp5846 +a(g826 +g1024 +tp5847 +a(g705 +g1020 +tp5848 +a(g705 +g1020 +tp5849 +a(g681 +Veq +p5850 +tp5851 +a(g826 +g1024 +tp5852 +a(g440 +Vhigh +p5853 +tp5854 +a(g826 +g1024 +tp5855 +a(g117 +V'* +p5856 +tp5857 +a(g705 +g1028 +tp5858 +a(g826 +g1024 +tp5859 +a(g412 +g1036 +tp5860 +a(g705 +g1020 +tp5861 +a(g705 +g1028 +tp5862 +a(g705 +g1028 +tp5863 +a(g826 +V\u000a +p5864 +tp5865 +a(g705 +g1020 +tp5866 +a(g705 +g1020 +tp5867 +a(g681 +Vfuncall +p5868 +tp5869 +a(g826 +g1024 +tp5870 +a(g440 +Vtester +p5871 +tp5872 +a(g826 +g1024 +tp5873 +a(g440 +Vhigh +p5874 +tp5875 +a(g705 +g1028 +tp5876 +a(g826 +g1024 +tp5877 +a(g412 +g2808 +tp5878 +a(g705 +g1020 +tp5879 +a(g705 +g1020 +tp5880 +a(g681 +V>= +p5881 +tp5882 +a(g826 +g1024 +tp5883 +a(g412 +g2831 +tp5884 +a(g440 +Vhigh +p5885 +tp5886 +a(g826 +g1024 +tp5887 +a(g412 +g2831 +tp5888 +a(g440 +g1289 +tp5889 +a(g705 +g1028 +tp5890 +a(g705 +g1028 +tp5891 +a(g705 +g1028 +tp5892 +a(g826 +V\u000a +p5893 +tp5894 +a(g705 +g1020 +tp5895 +a(g705 +g1020 +tp5896 +a(g681 +Vand +p5897 +tp5898 +a(g826 +g1024 +tp5899 +a(g705 +g1020 +tp5900 +a(g681 +Vconsp +p5901 +tp5902 +a(g826 +g1024 +tp5903 +a(g440 +Vhigh +p5904 +tp5905 +a(g705 +g1028 +tp5906 +a(g826 +g1024 +tp5907 +a(g705 +g1020 +tp5908 +a(g681 +Vnull +p5909 +tp5910 +a(g826 +g1024 +tp5911 +a(g705 +g1020 +tp5912 +a(g681 +Vrest +p5913 +tp5914 +a(g826 +g1024 +tp5915 +a(g440 +Vhigh +p5916 +tp5917 +a(g705 +g1028 +tp5918 +a(g705 +g1028 +tp5919 +a(g826 +g1024 +tp5920 +a(g705 +g1020 +tp5921 +a(g681 +Vfuncall +p5922 +tp5923 +a(g826 +g1024 +tp5924 +a(g440 +Vtester +p5925 +tp5926 +a(g826 +g1024 +tp5927 +a(g705 +g1020 +tp5928 +a(g681 +Vfirst +p5929 +tp5930 +a(g826 +g1024 +tp5931 +a(g440 +Vhigh +p5932 +tp5933 +a(g705 +g1028 +tp5934 +a(g705 +g1028 +tp5935 +a(g705 +g1028 +tp5936 +a(g826 +V\u000a +p5937 +tp5938 +a(g412 +g2808 +tp5939 +a(g705 +g1020 +tp5940 +a(g705 +g1020 +tp5941 +a(g681 +g5566 +tp5942 +a(g826 +g1024 +tp5943 +a(g412 +g2831 +tp5944 +a(g705 +g1020 +tp5945 +a(g681 +Vfirst +p5946 +tp5947 +a(g826 +g1024 +tp5948 +a(g440 +Vhigh +p5949 +tp5950 +a(g705 +g1028 +tp5951 +a(g826 +g1024 +tp5952 +a(g412 +g2831 +tp5953 +a(g440 +g1289 +tp5954 +a(g705 +g1028 +tp5955 +a(g705 +g1028 +tp5956 +a(g826 +V\u000a +p5957 +tp5958 +a(g705 +g1028 +tp5959 +a(g826 +V\u000a +p5960 +tp5961 +a(g705 +g1020 +tp5962 +a(g565 +g1480 +tp5963 +a(g826 +g1024 +tp5964 +a(g705 +g1020 +tp5965 +a(g440 +Vc-warn +p5966 +tp5967 +a(g826 +g1024 +tp5968 +a(g412 +V#1# +p5969 +tp5970 +a(g826 +g1024 +tp5971 +a(g117 +V'typep +p5972 +tp5973 +a(g826 +g1024 +tp5974 +a(g440 +Vcaller +p5975 +tp5976 +a(g826 +g1024 +tp5977 +a(g440 +Vcaller +p5978 +tp5979 +a(g826 +g1024 +tp5980 +a(g440 +Vcaller +p5981 +tp5982 +a(g826 +g1024 +tp5983 +a(g440 +Vhigh +p5984 +tp5985 +a(g705 +g1028 +tp5986 +a(g826 +V\u000a +p5987 +tp5988 +a(g705 +g1020 +tp5989 +a(g744 +Vthrow +p5990 +tp5991 +a(g826 +g1024 +tp5992 +a(g117 +V'c-TYPEP +p5993 +tp5994 +a(g826 +g1024 +tp5995 +a(g565 +Vnil +p5996 +tp5997 +a(g705 +g1028 +tp5998 +a(g826 +V\u000a +p5999 +tp6000 +a(g705 +g1028 +tp6001 +a(g826 +V +p6002 +tp6003 +a(g705 +g1028 +tp6004 +a(g826 +V\u000a +p6005 +tp6006 +a(g705 +g1028 +tp6007 +a(g826 +V\u000a +p6008 +tp6009 +a(g705 +g1028 +tp6010 +a(g826 +V\u000a +p6011 +tp6012 +a(g705 +g1020 +tp6013 +a(g440 +Vdef-compound-type +p6014 +tp6015 +a(g826 +g1024 +tp6016 +a(g440 +VARRAY +p6017 +tp6018 +a(g826 +g1024 +tp6019 +a(g705 +g1020 +tp6020 +a(g744 +V&optional +p6021 +tp6022 +a(g826 +g1024 +tp6023 +a(g705 +g1020 +tp6024 +a(g440 +Vel-type +p6025 +tp6026 +a(g826 +g1024 +tp6027 +a(g117 +V'* +p6028 +tp6029 +a(g705 +g1028 +tp6030 +a(g826 +g1024 +tp6031 +a(g705 +g1020 +tp6032 +a(g440 +Vdims +p6033 +tp6034 +a(g826 +g1024 +tp6035 +a(g117 +V'* +p6036 +tp6037 +a(g705 +g1028 +tp6038 +a(g705 +g1028 +tp6039 +a(g826 +g1024 +tp6040 +a(g705 +g1020 +tp6041 +a(g440 +g1289 +tp6042 +a(g705 +g1028 +tp6043 +a(g826 +V\u000a +p6044 +tp6045 +a(g705 +g1020 +tp6046 +a(g681 +Vunless +p6047 +tp6048 +a(g826 +g1024 +tp6049 +a(g705 +g1020 +tp6050 +a(g681 +Veq +p6051 +tp6052 +a(g826 +g1024 +tp6053 +a(g440 +Vdims +p6054 +tp6055 +a(g826 +g1024 +tp6056 +a(g117 +V'* +p6057 +tp6058 +a(g705 +g1028 +tp6059 +a(g826 +V\u000a +p6060 +tp6061 +a(g705 +g1020 +tp6062 +a(g744 +Vif +p6063 +tp6064 +a(g826 +g1024 +tp6065 +a(g705 +g1020 +tp6066 +a(g681 +Vnumberp +p6067 +tp6068 +a(g826 +g1024 +tp6069 +a(g440 +Vdims +p6070 +tp6071 +a(g705 +g1028 +tp6072 +a(g826 +V\u000a +p6073 +tp6074 +a(g705 +g1020 +tp6075 +a(g440 +Vensure-rank +p6076 +tp6077 +a(g826 +g1024 +tp6078 +a(g440 +VARRAY +p6079 +tp6080 +a(g826 +g1024 +tp6081 +a(g440 +Vdims +p6082 +tp6083 +a(g705 +g1028 +tp6084 +a(g826 +V\u000a +p6085 +tp6086 +a(g705 +g1020 +tp6087 +a(g681 +Vdolist +p6088 +tp6089 +a(g826 +g1024 +tp6090 +a(g705 +g1020 +tp6091 +a(g440 +Vdim +p6092 +tp6093 +a(g826 +g1024 +tp6094 +a(g440 +Vdims +p6095 +tp6096 +a(g705 +g1028 +tp6097 +a(g826 +g1024 +tp6098 +a(g705 +g1020 +tp6099 +a(g440 +Vensure-dim +p6100 +tp6101 +a(g826 +g1024 +tp6102 +a(g440 +VARRAY +p6103 +tp6104 +a(g826 +g1024 +tp6105 +a(g440 +Vdim +p6106 +tp6107 +a(g705 +g1028 +tp6108 +a(g705 +g1028 +tp6109 +a(g705 +g1028 +tp6110 +a(g705 +g1028 +tp6111 +a(g826 +V\u000a +p6112 +tp6113 +a(g705 +g1020 +tp6114 +a(g681 +Vand +p6115 +tp6116 +a(g826 +g1024 +tp6117 +a(g705 +g1020 +tp6118 +a(g681 +Varrayp +p6119 +tp6120 +a(g826 +g1024 +tp6121 +a(g440 +g1289 +tp6122 +a(g705 +g1028 +tp6123 +a(g826 +V\u000a +p6124 +tp6125 +a(g705 +g1020 +tp6126 +a(g681 +Vor +p6127 +tp6128 +a(g826 +g1024 +tp6129 +a(g705 +g1020 +tp6130 +a(g681 +Veq +p6131 +tp6132 +a(g826 +g1024 +tp6133 +a(g440 +Vel-type +p6134 +tp6135 +a(g826 +g1024 +tp6136 +a(g117 +V'* +p6137 +tp6138 +a(g705 +g1028 +tp6139 +a(g826 +V\u000a +p6140 +tp6141 +a(g705 +g1020 +tp6142 +a(g681 +Vequal +p6143 +tp6144 +a(g826 +g1024 +tp6145 +a(g705 +g1020 +tp6146 +a(g681 +Varray-element-type +p6147 +tp6148 +a(g826 +g1024 +tp6149 +a(g440 +g1289 +tp6150 +a(g705 +g1028 +tp6151 +a(g826 +g1024 +tp6152 +a(g705 +g1020 +tp6153 +a(g681 +Vupgraded-array-element-type +p6154 +tp6155 +a(g826 +g1024 +tp6156 +a(g440 +Vel-type +p6157 +tp6158 +a(g705 +g1028 +tp6159 +a(g705 +g1028 +tp6160 +a(g826 +V\u000a +p6161 +tp6162 +a(g705 +g1028 +tp6163 +a(g826 +V\u000a +p6164 +tp6165 +a(g705 +g1020 +tp6166 +a(g681 +Vor +p6167 +tp6168 +a(g826 +g1024 +tp6169 +a(g705 +g1020 +tp6170 +a(g681 +Veq +p6171 +tp6172 +a(g826 +g1024 +tp6173 +a(g440 +Vdims +p6174 +tp6175 +a(g826 +g1024 +tp6176 +a(g117 +V'* +p6177 +tp6178 +a(g705 +g1028 +tp6179 +a(g826 +V\u000a +p6180 +tp6181 +a(g705 +g1020 +tp6182 +a(g744 +Vif +p6183 +tp6184 +a(g826 +g1024 +tp6185 +a(g705 +g1020 +tp6186 +a(g681 +Vnumberp +p6187 +tp6188 +a(g826 +g1024 +tp6189 +a(g440 +Vdims +p6190 +tp6191 +a(g705 +g1028 +tp6192 +a(g826 +V\u000a +p6193 +tp6194 +a(g705 +g1020 +tp6195 +a(g681 +Veql +p6196 +tp6197 +a(g826 +g1024 +tp6198 +a(g440 +Vdims +p6199 +tp6200 +a(g826 +g1024 +tp6201 +a(g705 +g1020 +tp6202 +a(g681 +Varray-rank +p6203 +tp6204 +a(g826 +g1024 +tp6205 +a(g440 +g1289 +tp6206 +a(g705 +g1028 +tp6207 +a(g705 +g1028 +tp6208 +a(g826 +V\u000a +p6209 +tp6210 +a(g705 +g1020 +tp6211 +a(g681 +Vand +p6212 +tp6213 +a(g826 +g1024 +tp6214 +a(g705 +g1020 +tp6215 +a(g681 +Veql +p6216 +tp6217 +a(g826 +g1024 +tp6218 +a(g705 +g1020 +tp6219 +a(g681 +Vlength +p6220 +tp6221 +a(g826 +g1024 +tp6222 +a(g440 +Vdims +p6223 +tp6224 +a(g705 +g1028 +tp6225 +a(g826 +g1024 +tp6226 +a(g705 +g1020 +tp6227 +a(g681 +Varray-rank +p6228 +tp6229 +a(g826 +g1024 +tp6230 +a(g440 +g1289 +tp6231 +a(g705 +g1028 +tp6232 +a(g705 +g1028 +tp6233 +a(g826 +V\u000a +p6234 +tp6235 +a(g705 +g1020 +tp6236 +a(g681 +Vevery +p6237 +tp6238 +a(g826 +g1024 +tp6239 +a(g573 +V#' +p6240 +tp6241 +a(g705 +g1020 +tp6242 +a(g744 +Vlambda +p6243 +tp6244 +a(g826 +g1024 +tp6245 +a(g705 +g1020 +tp6246 +a(g440 +Va +p6247 +tp6248 +a(g826 +g1024 +tp6249 +a(g440 +Vb +p6250 +tp6251 +a(g705 +g1028 +tp6252 +a(g826 +g1024 +tp6253 +a(g705 +g1020 +tp6254 +a(g681 +Vor +p6255 +tp6256 +a(g826 +g1024 +tp6257 +a(g705 +g1020 +tp6258 +a(g681 +Veq +p6259 +tp6260 +a(g826 +g1024 +tp6261 +a(g440 +g6247 +tp6262 +a(g826 +g1024 +tp6263 +a(g117 +V'* +p6264 +tp6265 +a(g705 +g1028 +tp6266 +a(g826 +g1024 +tp6267 +a(g705 +g1020 +tp6268 +a(g681 +Veql +p6269 +tp6270 +a(g826 +g1024 +tp6271 +a(g440 +g6247 +tp6272 +a(g826 +g1024 +tp6273 +a(g440 +g6250 +tp6274 +a(g705 +g1028 +tp6275 +a(g705 +g1028 +tp6276 +a(g705 +g1028 +tp6277 +a(g826 +V\u000a +p6278 +tp6279 +a(g440 +Vdims +p6280 +tp6281 +a(g826 +g1024 +tp6282 +a(g705 +g1020 +tp6283 +a(g681 +Varray-dimensions +p6284 +tp6285 +a(g826 +g1024 +tp6286 +a(g440 +g1289 +tp6287 +a(g705 +g1028 +tp6288 +a(g826 +V\u000a +p6289 +tp6290 +a(g705 +g1028 +tp6291 +a(g826 +V +p6292 +tp6293 +a(g705 +g1028 +tp6294 +a(g826 +V +p6295 +tp6296 +a(g705 +g1028 +tp6297 +a(g826 +g1024 +tp6298 +a(g705 +g1028 +tp6299 +a(g826 +V +p6300 +tp6301 +a(g705 +g1028 +tp6302 +a(g826 +V\u000a +p6303 +tp6304 +a(g705 +g1020 +tp6305 +a(g440 +Vc-typep-array +p6306 +tp6307 +a(g826 +g1024 +tp6308 +a(g117 +V'ARRAYP +p6309 +tp6310 +a(g826 +g1024 +tp6311 +a(g440 +Vel-type +p6312 +tp6313 +a(g826 +g1024 +tp6314 +a(g440 +Vdims +p6315 +tp6316 +a(g826 +g1024 +tp6317 +a(g440 +g1289 +tp6318 +a(g705 +g1028 +tp6319 +a(g826 +V\u000a +p6320 +tp6321 +a(g705 +g1028 +tp6322 +a(g826 +V\u000a +p6323 +tp6324 +a(g705 +g1020 +tp6325 +a(g440 +Vdef-compound-type +p6326 +tp6327 +a(g826 +g1024 +tp6328 +a(g440 +VSIMPLE-ARRAY +p6329 +tp6330 +a(g826 +g1024 +tp6331 +a(g705 +g1020 +tp6332 +a(g744 +V&optional +p6333 +tp6334 +a(g826 +g1024 +tp6335 +a(g705 +g1020 +tp6336 +a(g440 +Vel-type +p6337 +tp6338 +a(g826 +g1024 +tp6339 +a(g117 +V'* +p6340 +tp6341 +a(g705 +g1028 +tp6342 +a(g826 +g1024 +tp6343 +a(g705 +g1020 +tp6344 +a(g440 +Vdims +p6345 +tp6346 +a(g826 +g1024 +tp6347 +a(g117 +V'* +p6348 +tp6349 +a(g705 +g1028 +tp6350 +a(g705 +g1028 +tp6351 +a(g826 +g1024 +tp6352 +a(g705 +g1020 +tp6353 +a(g440 +g1289 +tp6354 +a(g705 +g1028 +tp6355 +a(g826 +V\u000a +p6356 +tp6357 +a(g705 +g1020 +tp6358 +a(g681 +Vunless +p6359 +tp6360 +a(g826 +g1024 +tp6361 +a(g705 +g1020 +tp6362 +a(g681 +Veq +p6363 +tp6364 +a(g826 +g1024 +tp6365 +a(g440 +Vdims +p6366 +tp6367 +a(g826 +g1024 +tp6368 +a(g117 +V'* +p6369 +tp6370 +a(g705 +g1028 +tp6371 +a(g826 +V\u000a +p6372 +tp6373 +a(g705 +g1020 +tp6374 +a(g744 +Vif +p6375 +tp6376 +a(g826 +g1024 +tp6377 +a(g705 +g1020 +tp6378 +a(g681 +Vnumberp +p6379 +tp6380 +a(g826 +g1024 +tp6381 +a(g440 +Vdims +p6382 +tp6383 +a(g705 +g1028 +tp6384 +a(g826 +V\u000a +p6385 +tp6386 +a(g705 +g1020 +tp6387 +a(g440 +Vensure-rank +p6388 +tp6389 +a(g826 +g1024 +tp6390 +a(g440 +VSIMPLE-ARRAY +p6391 +tp6392 +a(g826 +g1024 +tp6393 +a(g440 +Vdims +p6394 +tp6395 +a(g705 +g1028 +tp6396 +a(g826 +V\u000a +p6397 +tp6398 +a(g705 +g1020 +tp6399 +a(g681 +Vdolist +p6400 +tp6401 +a(g826 +g1024 +tp6402 +a(g705 +g1020 +tp6403 +a(g440 +Vdim +p6404 +tp6405 +a(g826 +g1024 +tp6406 +a(g440 +Vdims +p6407 +tp6408 +a(g705 +g1028 +tp6409 +a(g826 +g1024 +tp6410 +a(g705 +g1020 +tp6411 +a(g440 +Vensure-dim +p6412 +tp6413 +a(g826 +g1024 +tp6414 +a(g440 +VSIMPLE-ARRAY +p6415 +tp6416 +a(g826 +g1024 +tp6417 +a(g440 +Vdim +p6418 +tp6419 +a(g705 +g1028 +tp6420 +a(g705 +g1028 +tp6421 +a(g705 +g1028 +tp6422 +a(g705 +g1028 +tp6423 +a(g826 +V\u000a +p6424 +tp6425 +a(g705 +g1020 +tp6426 +a(g681 +Vand +p6427 +tp6428 +a(g826 +g1024 +tp6429 +a(g705 +g1020 +tp6430 +a(g440 +Vsimple-array-p +p6431 +tp6432 +a(g826 +g1024 +tp6433 +a(g440 +g1289 +tp6434 +a(g705 +g1028 +tp6435 +a(g826 +V\u000a +p6436 +tp6437 +a(g705 +g1020 +tp6438 +a(g681 +Vor +p6439 +tp6440 +a(g826 +g1024 +tp6441 +a(g705 +g1020 +tp6442 +a(g681 +Veq +p6443 +tp6444 +a(g826 +g1024 +tp6445 +a(g440 +Vel-type +p6446 +tp6447 +a(g826 +g1024 +tp6448 +a(g117 +V'* +p6449 +tp6450 +a(g705 +g1028 +tp6451 +a(g826 +V\u000a +p6452 +tp6453 +a(g705 +g1020 +tp6454 +a(g681 +Vequal +p6455 +tp6456 +a(g826 +g1024 +tp6457 +a(g705 +g1020 +tp6458 +a(g681 +Varray-element-type +p6459 +tp6460 +a(g826 +g1024 +tp6461 +a(g440 +g1289 +tp6462 +a(g705 +g1028 +tp6463 +a(g826 +g1024 +tp6464 +a(g705 +g1020 +tp6465 +a(g681 +Vupgraded-array-element-type +p6466 +tp6467 +a(g826 +g1024 +tp6468 +a(g440 +Vel-type +p6469 +tp6470 +a(g705 +g1028 +tp6471 +a(g705 +g1028 +tp6472 +a(g826 +V\u000a +p6473 +tp6474 +a(g705 +g1028 +tp6475 +a(g826 +V\u000a +p6476 +tp6477 +a(g705 +g1020 +tp6478 +a(g681 +Vor +p6479 +tp6480 +a(g826 +g1024 +tp6481 +a(g705 +g1020 +tp6482 +a(g681 +Veq +p6483 +tp6484 +a(g826 +g1024 +tp6485 +a(g440 +Vdims +p6486 +tp6487 +a(g826 +g1024 +tp6488 +a(g117 +V'* +p6489 +tp6490 +a(g705 +g1028 +tp6491 +a(g826 +V\u000a +p6492 +tp6493 +a(g705 +g1020 +tp6494 +a(g744 +Vif +p6495 +tp6496 +a(g826 +g1024 +tp6497 +a(g705 +g1020 +tp6498 +a(g681 +Vnumberp +p6499 +tp6500 +a(g826 +g1024 +tp6501 +a(g440 +Vdims +p6502 +tp6503 +a(g705 +g1028 +tp6504 +a(g826 +V\u000a +p6505 +tp6506 +a(g705 +g1020 +tp6507 +a(g681 +Veql +p6508 +tp6509 +a(g826 +g1024 +tp6510 +a(g440 +Vdims +p6511 +tp6512 +a(g826 +g1024 +tp6513 +a(g705 +g1020 +tp6514 +a(g681 +Varray-rank +p6515 +tp6516 +a(g826 +g1024 +tp6517 +a(g440 +g1289 +tp6518 +a(g705 +g1028 +tp6519 +a(g705 +g1028 +tp6520 +a(g826 +V\u000a +p6521 +tp6522 +a(g705 +g1020 +tp6523 +a(g681 +Vand +p6524 +tp6525 +a(g826 +g1024 +tp6526 +a(g705 +g1020 +tp6527 +a(g681 +Veql +p6528 +tp6529 +a(g826 +g1024 +tp6530 +a(g705 +g1020 +tp6531 +a(g681 +Vlength +p6532 +tp6533 +a(g826 +g1024 +tp6534 +a(g440 +Vdims +p6535 +tp6536 +a(g705 +g1028 +tp6537 +a(g826 +g1024 +tp6538 +a(g705 +g1020 +tp6539 +a(g681 +Varray-rank +p6540 +tp6541 +a(g826 +g1024 +tp6542 +a(g440 +g1289 +tp6543 +a(g705 +g1028 +tp6544 +a(g705 +g1028 +tp6545 +a(g826 +V\u000a +p6546 +tp6547 +a(g705 +g1020 +tp6548 +a(g681 +Vevery +p6549 +tp6550 +a(g826 +g1024 +tp6551 +a(g573 +V#' +p6552 +tp6553 +a(g705 +g1020 +tp6554 +a(g744 +Vlambda +p6555 +tp6556 +a(g826 +g1024 +tp6557 +a(g705 +g1020 +tp6558 +a(g440 +g6247 +tp6559 +a(g826 +g1024 +tp6560 +a(g440 +g6250 +tp6561 +a(g705 +g1028 +tp6562 +a(g826 +g1024 +tp6563 +a(g705 +g1020 +tp6564 +a(g681 +Vor +p6565 +tp6566 +a(g826 +g1024 +tp6567 +a(g705 +g1020 +tp6568 +a(g681 +Veq +p6569 +tp6570 +a(g826 +g1024 +tp6571 +a(g440 +g6247 +tp6572 +a(g826 +g1024 +tp6573 +a(g117 +V'* +p6574 +tp6575 +a(g705 +g1028 +tp6576 +a(g826 +g1024 +tp6577 +a(g705 +g1020 +tp6578 +a(g681 +Veql +p6579 +tp6580 +a(g826 +g1024 +tp6581 +a(g440 +g6247 +tp6582 +a(g826 +g1024 +tp6583 +a(g440 +g6250 +tp6584 +a(g705 +g1028 +tp6585 +a(g705 +g1028 +tp6586 +a(g705 +g1028 +tp6587 +a(g826 +V\u000a +p6588 +tp6589 +a(g440 +Vdims +p6590 +tp6591 +a(g826 +g1024 +tp6592 +a(g705 +g1020 +tp6593 +a(g681 +Varray-dimensions +p6594 +tp6595 +a(g826 +g1024 +tp6596 +a(g440 +g1289 +tp6597 +a(g705 +g1028 +tp6598 +a(g826 +V\u000a +p6599 +tp6600 +a(g705 +g1028 +tp6601 +a(g826 +V +p6602 +tp6603 +a(g705 +g1028 +tp6604 +a(g826 +V +p6605 +tp6606 +a(g705 +g1028 +tp6607 +a(g826 +g1024 +tp6608 +a(g705 +g1028 +tp6609 +a(g826 +V +p6610 +tp6611 +a(g705 +g1028 +tp6612 +a(g826 +V\u000a +p6613 +tp6614 +a(g705 +g1020 +tp6615 +a(g440 +Vc-typep-array +p6616 +tp6617 +a(g826 +g1024 +tp6618 +a(g117 +V'SIMPLE-ARRAY-P +p6619 +tp6620 +a(g826 +g1024 +tp6621 +a(g440 +Vel-type +p6622 +tp6623 +a(g826 +g1024 +tp6624 +a(g440 +Vdims +p6625 +tp6626 +a(g826 +g1024 +tp6627 +a(g440 +g1289 +tp6628 +a(g705 +g1028 +tp6629 +a(g826 +V\u000a +p6630 +tp6631 +a(g705 +g1028 +tp6632 +a(g826 +V\u000a +p6633 +tp6634 +a(g705 +g1020 +tp6635 +a(g440 +Vdef-compound-type +p6636 +tp6637 +a(g826 +g1024 +tp6638 +a(g440 +VVECTOR +p6639 +tp6640 +a(g826 +g1024 +tp6641 +a(g705 +g1020 +tp6642 +a(g744 +V&optional +p6643 +tp6644 +a(g826 +g1024 +tp6645 +a(g705 +g1020 +tp6646 +a(g440 +Vel-type +p6647 +tp6648 +a(g826 +g1024 +tp6649 +a(g117 +V'* +p6650 +tp6651 +a(g705 +g1028 +tp6652 +a(g826 +g1024 +tp6653 +a(g705 +g1020 +tp6654 +a(g440 +Vsize +p6655 +tp6656 +a(g826 +g1024 +tp6657 +a(g117 +V'* +p6658 +tp6659 +a(g705 +g1028 +tp6660 +a(g705 +g1028 +tp6661 +a(g826 +g1024 +tp6662 +a(g705 +g1020 +tp6663 +a(g440 +g1289 +tp6664 +a(g705 +g1028 +tp6665 +a(g826 +V\u000a +p6666 +tp6667 +a(g705 +g1020 +tp6668 +a(g440 +Vensure-dim +p6669 +tp6670 +a(g826 +g1024 +tp6671 +a(g440 +VVECTOR +p6672 +tp6673 +a(g826 +g1024 +tp6674 +a(g440 +Vsize +p6675 +tp6676 +a(g705 +g1028 +tp6677 +a(g826 +V\u000a +p6678 +tp6679 +a(g705 +g1020 +tp6680 +a(g681 +Vand +p6681 +tp6682 +a(g826 +g1024 +tp6683 +a(g705 +g1020 +tp6684 +a(g681 +Vvectorp +p6685 +tp6686 +a(g826 +g1024 +tp6687 +a(g440 +g1289 +tp6688 +a(g705 +g1028 +tp6689 +a(g826 +V\u000a +p6690 +tp6691 +a(g705 +g1020 +tp6692 +a(g681 +Vor +p6693 +tp6694 +a(g826 +g1024 +tp6695 +a(g705 +g1020 +tp6696 +a(g681 +Veq +p6697 +tp6698 +a(g826 +g1024 +tp6699 +a(g440 +Vel-type +p6700 +tp6701 +a(g826 +g1024 +tp6702 +a(g117 +V'* +p6703 +tp6704 +a(g705 +g1028 +tp6705 +a(g826 +V\u000a +p6706 +tp6707 +a(g705 +g1020 +tp6708 +a(g681 +Vequal +p6709 +tp6710 +a(g826 +g1024 +tp6711 +a(g705 +g1020 +tp6712 +a(g681 +Varray-element-type +p6713 +tp6714 +a(g826 +g1024 +tp6715 +a(g440 +g1289 +tp6716 +a(g705 +g1028 +tp6717 +a(g826 +g1024 +tp6718 +a(g705 +g1020 +tp6719 +a(g681 +Vupgraded-array-element-type +p6720 +tp6721 +a(g826 +g1024 +tp6722 +a(g440 +Vel-type +p6723 +tp6724 +a(g705 +g1028 +tp6725 +a(g705 +g1028 +tp6726 +a(g826 +V\u000a +p6727 +tp6728 +a(g705 +g1028 +tp6729 +a(g826 +V\u000a +p6730 +tp6731 +a(g705 +g1020 +tp6732 +a(g681 +Vor +p6733 +tp6734 +a(g826 +g1024 +tp6735 +a(g705 +g1020 +tp6736 +a(g681 +Veq +p6737 +tp6738 +a(g826 +g1024 +tp6739 +a(g440 +Vsize +p6740 +tp6741 +a(g826 +g1024 +tp6742 +a(g117 +V'* +p6743 +tp6744 +a(g705 +g1028 +tp6745 +a(g826 +g1024 +tp6746 +a(g705 +g1020 +tp6747 +a(g681 +Veql +p6748 +tp6749 +a(g826 +g1024 +tp6750 +a(g705 +g1020 +tp6751 +a(g681 +Varray-dimension +p6752 +tp6753 +a(g826 +g1024 +tp6754 +a(g440 +g1289 +tp6755 +a(g826 +g1024 +tp6756 +a(g37 +g3578 +tp6757 +a(g705 +g1028 +tp6758 +a(g826 +g1024 +tp6759 +a(g440 +Vsize +p6760 +tp6761 +a(g705 +g1028 +tp6762 +a(g705 +g1028 +tp6763 +a(g826 +V\u000a +p6764 +tp6765 +a(g705 +g1028 +tp6766 +a(g826 +V\u000a +p6767 +tp6768 +a(g412 +g2808 +tp6769 +a(g705 +g1020 +tp6770 +a(g440 +VAND +p6771 +tp6772 +a(g826 +g1024 +tp6773 +a(g705 +g1020 +tp6774 +a(g440 +VVECTORP +p6775 +tp6776 +a(g826 +g1024 +tp6777 +a(g412 +g2831 +tp6778 +a(g440 +g1289 +tp6779 +a(g705 +g1028 +tp6780 +a(g826 +V\u000a +p6781 +tp6782 +a(g412 +V,@ +p6783 +tp6784 +a(g705 +g1020 +tp6785 +a(g744 +Vif +p6786 +tp6787 +a(g826 +g1024 +tp6788 +a(g705 +g1020 +tp6789 +a(g681 +Veq +p6790 +tp6791 +a(g826 +g1024 +tp6792 +a(g440 +Vel-type +p6793 +tp6794 +a(g826 +g1024 +tp6795 +a(g117 +V'* +p6796 +tp6797 +a(g705 +g1028 +tp6798 +a(g826 +V\u000a +p6799 +tp6800 +a(g412 +g1036 +tp6801 +a(g705 +g1020 +tp6802 +a(g705 +g1028 +tp6803 +a(g826 +V\u000a +p6804 +tp6805 +a(g412 +g2808 +tp6806 +a(g705 +g1020 +tp6807 +a(g705 +g1020 +tp6808 +a(g440 +VEQUAL +p6809 +tp6810 +a(g826 +g1024 +tp6811 +a(g705 +g1020 +tp6812 +a(g440 +VARRAY-ELEMENT-TYPE +p6813 +tp6814 +a(g826 +g1024 +tp6815 +a(g412 +g2831 +tp6816 +a(g440 +g1289 +tp6817 +a(g705 +g1028 +tp6818 +a(g826 +g1024 +tp6819 +a(g117 +V', +p6820 +tp6821 +a(g705 +g1020 +tp6822 +a(g681 +Vupgraded-array-element-type +p6823 +tp6824 +a(g826 +g1024 +tp6825 +a(g440 +Vel-type +p6826 +tp6827 +a(g705 +g1028 +tp6828 +a(g705 +g1028 +tp6829 +a(g705 +g1028 +tp6830 +a(g826 +V\u000a +p6831 +tp6832 +a(g705 +g1028 +tp6833 +a(g826 +V\u000a +p6834 +tp6835 +a(g412 +V,@ +p6836 +tp6837 +a(g705 +g1020 +tp6838 +a(g744 +Vif +p6839 +tp6840 +a(g826 +g1024 +tp6841 +a(g705 +g1020 +tp6842 +a(g681 +Veq +p6843 +tp6844 +a(g826 +g1024 +tp6845 +a(g440 +Vsize +p6846 +tp6847 +a(g826 +g1024 +tp6848 +a(g117 +V'* +p6849 +tp6850 +a(g705 +g1028 +tp6851 +a(g826 +V\u000a +p6852 +tp6853 +a(g412 +g1036 +tp6854 +a(g705 +g1020 +tp6855 +a(g705 +g1028 +tp6856 +a(g826 +V\u000a +p6857 +tp6858 +a(g412 +g2808 +tp6859 +a(g705 +g1020 +tp6860 +a(g705 +g1020 +tp6861 +a(g440 +VEQL +p6862 +tp6863 +a(g826 +g1024 +tp6864 +a(g705 +g1020 +tp6865 +a(g440 +VARRAY-DIMENSION +p6866 +tp6867 +a(g826 +g1024 +tp6868 +a(g412 +g2831 +tp6869 +a(g440 +g1289 +tp6870 +a(g826 +g1024 +tp6871 +a(g37 +g3578 +tp6872 +a(g705 +g1028 +tp6873 +a(g826 +g1024 +tp6874 +a(g117 +V',size +p6875 +tp6876 +a(g705 +g1028 +tp6877 +a(g705 +g1028 +tp6878 +a(g826 +V\u000a +p6879 +tp6880 +a(g705 +g1028 +tp6881 +a(g826 +V\u000a +p6882 +tp6883 +a(g705 +g1028 +tp6884 +a(g826 +V\u000a +p6885 +tp6886 +a(g705 +g1028 +tp6887 +a(g826 +V\u000a +p6888 +tp6889 +a(g705 +g1020 +tp6890 +a(g440 +Vdef-compound-type +p6891 +tp6892 +a(g826 +g1024 +tp6893 +a(g440 +VSIMPLE-VECTOR +p6894 +tp6895 +a(g826 +g1024 +tp6896 +a(g705 +g1020 +tp6897 +a(g744 +V&optional +p6898 +tp6899 +a(g826 +g1024 +tp6900 +a(g705 +g1020 +tp6901 +a(g440 +Vsize +p6902 +tp6903 +a(g826 +g1024 +tp6904 +a(g117 +V'* +p6905 +tp6906 +a(g705 +g1028 +tp6907 +a(g705 +g1028 +tp6908 +a(g826 +g1024 +tp6909 +a(g705 +g1020 +tp6910 +a(g440 +g1289 +tp6911 +a(g705 +g1028 +tp6912 +a(g826 +V\u000a +p6913 +tp6914 +a(g705 +g1020 +tp6915 +a(g440 +Vensure-dim +p6916 +tp6917 +a(g826 +g1024 +tp6918 +a(g440 +VSIMLPE-VECTOR +p6919 +tp6920 +a(g826 +g1024 +tp6921 +a(g440 +Vsize +p6922 +tp6923 +a(g705 +g1028 +tp6924 +a(g826 +V\u000a +p6925 +tp6926 +a(g705 +g1020 +tp6927 +a(g681 +Vand +p6928 +tp6929 +a(g826 +g1024 +tp6930 +a(g705 +g1020 +tp6931 +a(g681 +Vsimple-vector-p +p6932 +tp6933 +a(g826 +g1024 +tp6934 +a(g440 +g1289 +tp6935 +a(g705 +g1028 +tp6936 +a(g826 +V\u000a +p6937 +tp6938 +a(g705 +g1020 +tp6939 +a(g681 +Vor +p6940 +tp6941 +a(g826 +g1024 +tp6942 +a(g705 +g1020 +tp6943 +a(g681 +Veq +p6944 +tp6945 +a(g826 +g1024 +tp6946 +a(g440 +Vsize +p6947 +tp6948 +a(g826 +g1024 +tp6949 +a(g117 +V'* +p6950 +tp6951 +a(g705 +g1028 +tp6952 +a(g826 +g1024 +tp6953 +a(g705 +g1020 +tp6954 +a(g681 +Veql +p6955 +tp6956 +a(g826 +g1024 +tp6957 +a(g440 +Vsize +p6958 +tp6959 +a(g826 +g1024 +tp6960 +a(g705 +g1020 +tp6961 +a(g681 +Varray-dimension +p6962 +tp6963 +a(g826 +g1024 +tp6964 +a(g440 +g1289 +tp6965 +a(g826 +g1024 +tp6966 +a(g37 +g3578 +tp6967 +a(g705 +g1028 +tp6968 +a(g705 +g1028 +tp6969 +a(g705 +g1028 +tp6970 +a(g826 +V\u000a +p6971 +tp6972 +a(g705 +g1028 +tp6973 +a(g826 +V\u000a +p6974 +tp6975 +a(g705 +g1020 +tp6976 +a(g440 +Vc-typep-vector +p6977 +tp6978 +a(g826 +g1024 +tp6979 +a(g117 +V'SIMPLE-VECTOR-P +p6980 +tp6981 +a(g826 +g1024 +tp6982 +a(g440 +Vsize +p6983 +tp6984 +a(g826 +g1024 +tp6985 +a(g440 +g1289 +tp6986 +a(g705 +g1028 +tp6987 +a(g826 +V\u000a +p6988 +tp6989 +a(g705 +g1028 +tp6990 +a(g826 +V\u000a +p6991 +tp6992 +a(g705 +g1020 +tp6993 +a(g440 +Vdef-compound-type +p6994 +tp6995 +a(g826 +g1024 +tp6996 +a(g440 +VCOMPLEX +p6997 +tp6998 +a(g826 +g1024 +tp6999 +a(g705 +g1020 +tp7000 +a(g744 +V&optional +p7001 +tp7002 +a(g826 +g1024 +tp7003 +a(g705 +g1020 +tp7004 +a(g440 +Vrtype +p7005 +tp7006 +a(g826 +g1024 +tp7007 +a(g117 +V'* +p7008 +tp7009 +a(g705 +g1028 +tp7010 +a(g826 +g1024 +tp7011 +a(g705 +g1020 +tp7012 +a(g440 +Vitype +p7013 +tp7014 +a(g826 +g1024 +tp7015 +a(g440 +Vrtype +p7016 +tp7017 +a(g705 +g1028 +tp7018 +a(g705 +g1028 +tp7019 +a(g826 +g1024 +tp7020 +a(g705 +g1020 +tp7021 +a(g440 +g1289 +tp7022 +a(g705 +g1028 +tp7023 +a(g826 +V\u000a +p7024 +tp7025 +a(g565 +Vnil +p7026 +tp7027 +a(g826 +V\u000a +p7028 +tp7029 +a(g705 +g1020 +tp7030 +a(g681 +Vand +p7031 +tp7032 +a(g826 +g1024 +tp7033 +a(g705 +g1020 +tp7034 +a(g681 +Vcomplexp +p7035 +tp7036 +a(g826 +g1024 +tp7037 +a(g440 +g1289 +tp7038 +a(g705 +g1028 +tp7039 +a(g826 +V\u000a +p7040 +tp7041 +a(g705 +g1020 +tp7042 +a(g681 +Vor +p7043 +tp7044 +a(g826 +g1024 +tp7045 +a(g705 +g1020 +tp7046 +a(g681 +Veq +p7047 +tp7048 +a(g826 +g1024 +tp7049 +a(g440 +Vrtype +p7050 +tp7051 +a(g826 +g1024 +tp7052 +a(g117 +V'* +p7053 +tp7054 +a(g705 +g1028 +tp7055 +a(g826 +V\u000a +p7056 +tp7057 +a(g705 +g1020 +tp7058 +a(g681 +Vtypep +p7059 +tp7060 +a(g826 +g1024 +tp7061 +a(g705 +g1020 +tp7062 +a(g681 +Vrealpart +p7063 +tp7064 +a(g826 +g1024 +tp7065 +a(g440 +g1289 +tp7066 +a(g705 +g1028 +tp7067 +a(g826 +g1024 +tp7068 +a(g705 +g1020 +tp7069 +a(g681 +Vupgraded-complex-part-type +p7070 +tp7071 +a(g826 +g1024 +tp7072 +a(g440 +Vrtype +p7073 +tp7074 +a(g705 +g1028 +tp7075 +a(g705 +g1028 +tp7076 +a(g705 +g1028 +tp7077 +a(g826 +V\u000a +p7078 +tp7079 +a(g705 +g1020 +tp7080 +a(g681 +Vor +p7081 +tp7082 +a(g826 +g1024 +tp7083 +a(g705 +g1020 +tp7084 +a(g681 +Veq +p7085 +tp7086 +a(g826 +g1024 +tp7087 +a(g440 +Vitype +p7088 +tp7089 +a(g826 +g1024 +tp7090 +a(g117 +V'* +p7091 +tp7092 +a(g705 +g1028 +tp7093 +a(g826 +V\u000a +p7094 +tp7095 +a(g705 +g1020 +tp7096 +a(g681 +Vtypep +p7097 +tp7098 +a(g826 +g1024 +tp7099 +a(g705 +g1020 +tp7100 +a(g681 +Vimagpart +p7101 +tp7102 +a(g826 +g1024 +tp7103 +a(g440 +g1289 +tp7104 +a(g705 +g1028 +tp7105 +a(g826 +g1024 +tp7106 +a(g705 +g1020 +tp7107 +a(g681 +Vupgraded-complex-part-type +p7108 +tp7109 +a(g826 +g1024 +tp7110 +a(g440 +Vitype +p7111 +tp7112 +a(g705 +g1028 +tp7113 +a(g705 +g1028 +tp7114 +a(g705 +g1028 +tp7115 +a(g705 +g1028 +tp7116 +a(g826 +V\u000a +p7117 +tp7118 +a(g412 +g2808 +tp7119 +a(g705 +g1020 +tp7120 +a(g440 +VAND +p7121 +tp7122 +a(g826 +g1024 +tp7123 +a(g705 +g1020 +tp7124 +a(g440 +VCOMPLEXP +p7125 +tp7126 +a(g826 +g1024 +tp7127 +a(g412 +g2831 +tp7128 +a(g440 +g1289 +tp7129 +a(g705 +g1028 +tp7130 +a(g826 +V\u000a +p7131 +tp7132 +a(g412 +V,@ +p7133 +tp7134 +a(g705 +g1020 +tp7135 +a(g744 +Vif +p7136 +tp7137 +a(g826 +g1024 +tp7138 +a(g705 +g1020 +tp7139 +a(g681 +Veq +p7140 +tp7141 +a(g826 +g1024 +tp7142 +a(g440 +Vrtype +p7143 +tp7144 +a(g826 +g1024 +tp7145 +a(g117 +V'* +p7146 +tp7147 +a(g705 +g1028 +tp7148 +a(g826 +V\u000a +p7149 +tp7150 +a(g412 +g1036 +tp7151 +a(g705 +g1020 +tp7152 +a(g705 +g1028 +tp7153 +a(g826 +V\u000a +p7154 +tp7155 +a(g412 +g2808 +tp7156 +a(g705 +g1020 +tp7157 +a(g705 +g1020 +tp7158 +a(g440 +VTYPEP +p7159 +tp7160 +a(g826 +g1024 +tp7161 +a(g705 +g1020 +tp7162 +a(g440 +VREALPART +p7163 +tp7164 +a(g826 +g1024 +tp7165 +a(g412 +g2831 +tp7166 +a(g440 +g1289 +tp7167 +a(g705 +g1028 +tp7168 +a(g826 +g1024 +tp7169 +a(g117 +V', +p7170 +tp7171 +a(g705 +g1020 +tp7172 +a(g681 +Vupgraded-complex-part-type +p7173 +tp7174 +a(g826 +g1024 +tp7175 +a(g440 +Vrtype +p7176 +tp7177 +a(g705 +g1028 +tp7178 +a(g705 +g1028 +tp7179 +a(g705 +g1028 +tp7180 +a(g705 +g1028 +tp7181 +a(g826 +V\u000a +p7182 +tp7183 +a(g412 +V,@ +p7184 +tp7185 +a(g705 +g1020 +tp7186 +a(g744 +Vif +p7187 +tp7188 +a(g826 +g1024 +tp7189 +a(g705 +g1020 +tp7190 +a(g681 +Veq +p7191 +tp7192 +a(g826 +g1024 +tp7193 +a(g440 +Vitype +p7194 +tp7195 +a(g826 +g1024 +tp7196 +a(g117 +V'* +p7197 +tp7198 +a(g705 +g1028 +tp7199 +a(g826 +V\u000a +p7200 +tp7201 +a(g412 +g1036 +tp7202 +a(g705 +g1020 +tp7203 +a(g705 +g1028 +tp7204 +a(g826 +V\u000a +p7205 +tp7206 +a(g412 +g2808 +tp7207 +a(g705 +g1020 +tp7208 +a(g705 +g1020 +tp7209 +a(g440 +VTYPEP +p7210 +tp7211 +a(g826 +g1024 +tp7212 +a(g705 +g1020 +tp7213 +a(g440 +VIMAGPART +p7214 +tp7215 +a(g826 +g1024 +tp7216 +a(g412 +g2831 +tp7217 +a(g440 +g1289 +tp7218 +a(g705 +g1028 +tp7219 +a(g826 +g1024 +tp7220 +a(g117 +V', +p7221 +tp7222 +a(g705 +g1020 +tp7223 +a(g681 +Vupgraded-complex-part-type +p7224 +tp7225 +a(g826 +g1024 +tp7226 +a(g440 +Vitype +p7227 +tp7228 +a(g705 +g1028 +tp7229 +a(g705 +g1028 +tp7230 +a(g705 +g1028 +tp7231 +a(g705 +g1028 +tp7232 +a(g705 +g1028 +tp7233 +a(g705 +g1028 +tp7234 +a(g826 +V\u000a +p7235 +tp7236 +a(g705 +g1020 +tp7237 +a(g440 +Vdef-compound-type +p7238 +tp7239 +a(g826 +g1024 +tp7240 +a(g440 +VINTEGER +p7241 +tp7242 +a(g826 +g1024 +tp7243 +a(g705 +g1020 +tp7244 +a(g744 +V&optional +p7245 +tp7246 +a(g826 +g1024 +tp7247 +a(g705 +g1020 +tp7248 +a(g440 +Vlow +p7249 +tp7250 +a(g826 +g1024 +tp7251 +a(g117 +V'* +p7252 +tp7253 +a(g705 +g1028 +tp7254 +a(g826 +g1024 +tp7255 +a(g705 +g1020 +tp7256 +a(g440 +Vhigh +p7257 +tp7258 +a(g826 +g1024 +tp7259 +a(g117 +V'* +p7260 +tp7261 +a(g705 +g1028 +tp7262 +a(g705 +g1028 +tp7263 +a(g826 +g1024 +tp7264 +a(g705 +g1020 +tp7265 +a(g440 +g1289 +tp7266 +a(g705 +g1028 +tp7267 +a(g826 +V\u000a +p7268 +tp7269 +a(g565 +Vnil +p7270 +tp7271 +a(g826 +V\u000a +p7272 +tp7273 +a(g705 +g1020 +tp7274 +a(g440 +Vtypep-number-test +p7275 +tp7276 +a(g826 +g1024 +tp7277 +a(g440 +g1289 +tp7278 +a(g826 +g1024 +tp7279 +a(g440 +Vlow +p7280 +tp7281 +a(g826 +g1024 +tp7282 +a(g440 +Vhigh +p7283 +tp7284 +a(g826 +g1024 +tp7285 +a(g573 +V#' +p7286 +tp7287 +a(g681 +Vintegerp +p7288 +tp7289 +a(g826 +g1024 +tp7290 +a(g117 +V'INTEGER +p7291 +tp7292 +a(g705 +g1028 +tp7293 +a(g826 +V\u000a +p7294 +tp7295 +a(g705 +g1020 +tp7296 +a(g440 +Vc-typep-number +p7297 +tp7298 +a(g826 +g1024 +tp7299 +a(g117 +V'INTEGER +p7300 +tp7301 +a(g826 +g1024 +tp7302 +a(g117 +V'INTEGERP +p7303 +tp7304 +a(g826 +g1024 +tp7305 +a(g440 +Vlow +p7306 +tp7307 +a(g826 +g1024 +tp7308 +a(g440 +Vhigh +p7309 +tp7310 +a(g826 +g1024 +tp7311 +a(g440 +g1289 +tp7312 +a(g705 +g1028 +tp7313 +a(g826 +V\u000a +p7314 +tp7315 +a(g705 +g1028 +tp7316 +a(g826 +V\u000a +p7317 +tp7318 +a(g705 +g1020 +tp7319 +a(g440 +Vdef-compound-type +p7320 +tp7321 +a(g826 +g1024 +tp7322 +a(g440 +VMOD +p7323 +tp7324 +a(g826 +g1024 +tp7325 +a(g705 +g1020 +tp7326 +a(g440 +Vn +p7327 +tp7328 +a(g705 +g1028 +tp7329 +a(g826 +g1024 +tp7330 +a(g705 +g1020 +tp7331 +a(g440 +g1289 +tp7332 +a(g705 +g1028 +tp7333 +a(g826 +V\u000a +p7334 +tp7335 +a(g705 +g1020 +tp7336 +a(g681 +Vunless +p7337 +tp7338 +a(g826 +g1024 +tp7339 +a(g705 +g1020 +tp7340 +a(g681 +Vintegerp +p7341 +tp7342 +a(g826 +g1024 +tp7343 +a(g440 +g7327 +tp7344 +a(g705 +g1028 +tp7345 +a(g826 +V\u000a +p7346 +tp7347 +a(g705 +g1020 +tp7348 +a(g681 +Verror +p7349 +tp7350 +a(g826 +g1024 +tp7351 +a(g705 +g1020 +tp7352 +a(g440 +VTEXT +p7353 +tp7354 +a(g826 +g1024 +tp7355 +a(g89 +V"~S: argument to MOD must be an integer: ~S" +p7356 +tp7357 +a(g705 +g1028 +tp7358 +a(g826 +V\u000a +p7359 +tp7360 +a(g117 +V'typep +p7361 +tp7362 +a(g826 +g1024 +tp7363 +a(g440 +g7327 +tp7364 +a(g826 +V\u000a +p7365 +tp7366 +a(g705 +g1028 +tp7367 +a(g826 +g1024 +tp7368 +a(g705 +g1028 +tp7369 +a(g826 +V\u000a +p7370 +tp7371 +a(g705 +g1020 +tp7372 +a(g681 +Vand +p7373 +tp7374 +a(g826 +g1024 +tp7375 +a(g705 +g1020 +tp7376 +a(g681 +Vintegerp +p7377 +tp7378 +a(g826 +g1024 +tp7379 +a(g440 +g1289 +tp7380 +a(g705 +g1028 +tp7381 +a(g826 +g1024 +tp7382 +a(g705 +g1020 +tp7383 +a(g681 +V<= +p7384 +tp7385 +a(g826 +g1024 +tp7386 +a(g37 +g3578 +tp7387 +a(g826 +g1024 +tp7388 +a(g440 +g1289 +tp7389 +a(g705 +g1028 +tp7390 +a(g826 +g1024 +tp7391 +a(g705 +g1020 +tp7392 +a(g681 +g5414 +tp7393 +a(g826 +g1024 +tp7394 +a(g440 +g1289 +tp7395 +a(g826 +g1024 +tp7396 +a(g440 +g7327 +tp7397 +a(g705 +g1028 +tp7398 +a(g705 +g1028 +tp7399 +a(g826 +V\u000a +p7400 +tp7401 +a(g412 +g2808 +tp7402 +a(g705 +g1020 +tp7403 +a(g440 +VAND +p7404 +tp7405 +a(g826 +g1024 +tp7406 +a(g705 +g1020 +tp7407 +a(g440 +VINTEGERP +p7408 +tp7409 +a(g826 +g1024 +tp7410 +a(g412 +g2831 +tp7411 +a(g440 +g1289 +tp7412 +a(g705 +g1028 +tp7413 +a(g826 +g1024 +tp7414 +a(g705 +g1020 +tp7415 +a(g440 +VNOT +p7416 +tp7417 +a(g826 +g1024 +tp7418 +a(g705 +g1020 +tp7419 +a(g440 +VMINUSP +p7420 +tp7421 +a(g826 +g1024 +tp7422 +a(g412 +g2831 +tp7423 +a(g440 +g1289 +tp7424 +a(g705 +g1028 +tp7425 +a(g705 +g1028 +tp7426 +a(g826 +g1024 +tp7427 +a(g705 +g1020 +tp7428 +a(g681 +g5414 +tp7429 +a(g826 +g1024 +tp7430 +a(g412 +g2831 +tp7431 +a(g440 +g1289 +tp7432 +a(g826 +g1024 +tp7433 +a(g412 +g2831 +tp7434 +a(g440 +g7327 +tp7435 +a(g705 +g1028 +tp7436 +a(g705 +g1028 +tp7437 +a(g826 +V\u000a +p7438 +tp7439 +a(g705 +g1028 +tp7440 +a(g826 +V\u000a +p7441 +tp7442 +a(g705 +g1020 +tp7443 +a(g440 +Vdef-compound-type +p7444 +tp7445 +a(g826 +g1024 +tp7446 +a(g440 +VSIGNED-BYTE +p7447 +tp7448 +a(g826 +g1024 +tp7449 +a(g705 +g1020 +tp7450 +a(g744 +V&optional +p7451 +tp7452 +a(g826 +g1024 +tp7453 +a(g705 +g1020 +tp7454 +a(g440 +g7327 +tp7455 +a(g826 +g1024 +tp7456 +a(g117 +V'* +p7457 +tp7458 +a(g705 +g1028 +tp7459 +a(g705 +g1028 +tp7460 +a(g826 +g1024 +tp7461 +a(g705 +g1020 +tp7462 +a(g440 +g1289 +tp7463 +a(g705 +g1028 +tp7464 +a(g826 +V\u000a +p7465 +tp7466 +a(g705 +g1020 +tp7467 +a(g681 +Vunless +p7468 +tp7469 +a(g826 +g1024 +tp7470 +a(g705 +g1020 +tp7471 +a(g681 +Vor +p7472 +tp7473 +a(g826 +g1024 +tp7474 +a(g705 +g1020 +tp7475 +a(g681 +Veq +p7476 +tp7477 +a(g826 +g1024 +tp7478 +a(g440 +g7327 +tp7479 +a(g826 +g1024 +tp7480 +a(g117 +V'* +p7481 +tp7482 +a(g705 +g1028 +tp7483 +a(g826 +g1024 +tp7484 +a(g705 +g1020 +tp7485 +a(g681 +Vintegerp +p7486 +tp7487 +a(g826 +g1024 +tp7488 +a(g440 +g7327 +tp7489 +a(g705 +g1028 +tp7490 +a(g705 +g1028 +tp7491 +a(g826 +V\u000a +p7492 +tp7493 +a(g705 +g1020 +tp7494 +a(g681 +Verror +p7495 +tp7496 +a(g826 +g1024 +tp7497 +a(g705 +g1020 +tp7498 +a(g440 +VTEXT +p7499 +tp7500 +a(g826 +g1024 +tp7501 +a(g89 +V"~S: argument to SIGNED-BYTE must be an integer or * : ~S" +p7502 +tp7503 +a(g705 +g1028 +tp7504 +a(g826 +V\u000a +p7505 +tp7506 +a(g117 +V'typep +p7507 +tp7508 +a(g826 +g1024 +tp7509 +a(g440 +g7327 +tp7510 +a(g826 +V\u000a +p7511 +tp7512 +a(g705 +g1028 +tp7513 +a(g826 +g1024 +tp7514 +a(g705 +g1028 +tp7515 +a(g826 +V\u000a +p7516 +tp7517 +a(g705 +g1020 +tp7518 +a(g681 +Vand +p7519 +tp7520 +a(g826 +g1024 +tp7521 +a(g705 +g1020 +tp7522 +a(g681 +Vintegerp +p7523 +tp7524 +a(g826 +g1024 +tp7525 +a(g440 +g1289 +tp7526 +a(g705 +g1028 +tp7527 +a(g826 +g1024 +tp7528 +a(g705 +g1020 +tp7529 +a(g681 +Vor +p7530 +tp7531 +a(g826 +g1024 +tp7532 +a(g705 +g1020 +tp7533 +a(g681 +Veq +p7534 +tp7535 +a(g826 +g1024 +tp7536 +a(g440 +g7327 +tp7537 +a(g826 +g1024 +tp7538 +a(g117 +V'* +p7539 +tp7540 +a(g705 +g1028 +tp7541 +a(g826 +g1024 +tp7542 +a(g705 +g1020 +tp7543 +a(g681 +g5414 +tp7544 +a(g826 +g1024 +tp7545 +a(g705 +g1020 +tp7546 +a(g681 +Vinteger-length +p7547 +tp7548 +a(g826 +g1024 +tp7549 +a(g440 +g1289 +tp7550 +a(g705 +g1028 +tp7551 +a(g826 +g1024 +tp7552 +a(g440 +g7327 +tp7553 +a(g705 +g1028 +tp7554 +a(g705 +g1028 +tp7555 +a(g705 +g1028 +tp7556 +a(g826 +V\u000a +p7557 +tp7558 +a(g412 +g2808 +tp7559 +a(g705 +g1020 +tp7560 +a(g440 +VAND +p7561 +tp7562 +a(g826 +g1024 +tp7563 +a(g705 +g1020 +tp7564 +a(g440 +VINTEGERP +p7565 +tp7566 +a(g826 +g1024 +tp7567 +a(g412 +g2831 +tp7568 +a(g440 +g1289 +tp7569 +a(g705 +g1028 +tp7570 +a(g826 +V\u000a +p7571 +tp7572 +a(g412 +V,@ +p7573 +tp7574 +a(g705 +g1020 +tp7575 +a(g744 +Vif +p7576 +tp7577 +a(g826 +g1024 +tp7578 +a(g705 +g1020 +tp7579 +a(g681 +Veq +p7580 +tp7581 +a(g826 +g1024 +tp7582 +a(g440 +g7327 +tp7583 +a(g826 +g1024 +tp7584 +a(g117 +V'* +p7585 +tp7586 +a(g705 +g1028 +tp7587 +a(g826 +g1024 +tp7588 +a(g412 +g1036 +tp7589 +a(g705 +g1020 +tp7590 +a(g705 +g1028 +tp7591 +a(g826 +g1024 +tp7592 +a(g412 +g2808 +tp7593 +a(g705 +g1020 +tp7594 +a(g705 +g1020 +tp7595 +a(g681 +g5414 +tp7596 +a(g826 +g1024 +tp7597 +a(g705 +g1020 +tp7598 +a(g440 +VINTEGER-LENGTH +p7599 +tp7600 +a(g826 +g1024 +tp7601 +a(g412 +g2831 +tp7602 +a(g440 +g1289 +tp7603 +a(g705 +g1028 +tp7604 +a(g826 +g1024 +tp7605 +a(g412 +g2831 +tp7606 +a(g440 +g7327 +tp7607 +a(g705 +g1028 +tp7608 +a(g705 +g1028 +tp7609 +a(g705 +g1028 +tp7610 +a(g826 +V\u000a +p7611 +tp7612 +a(g705 +g1028 +tp7613 +a(g826 +V\u000a +p7614 +tp7615 +a(g705 +g1028 +tp7616 +a(g826 +V\u000a +p7617 +tp7618 +a(g705 +g1020 +tp7619 +a(g440 +Vdef-compound-type +p7620 +tp7621 +a(g826 +g1024 +tp7622 +a(g440 +VUNSIGNED-BYTE +p7623 +tp7624 +a(g826 +g1024 +tp7625 +a(g705 +g1020 +tp7626 +a(g744 +V&optional +p7627 +tp7628 +a(g826 +g1024 +tp7629 +a(g705 +g1020 +tp7630 +a(g440 +g7327 +tp7631 +a(g826 +g1024 +tp7632 +a(g117 +V'* +p7633 +tp7634 +a(g705 +g1028 +tp7635 +a(g705 +g1028 +tp7636 +a(g826 +g1024 +tp7637 +a(g705 +g1020 +tp7638 +a(g440 +g1289 +tp7639 +a(g705 +g1028 +tp7640 +a(g826 +V\u000a +p7641 +tp7642 +a(g705 +g1020 +tp7643 +a(g681 +Vunless +p7644 +tp7645 +a(g826 +g1024 +tp7646 +a(g705 +g1020 +tp7647 +a(g681 +Vor +p7648 +tp7649 +a(g826 +g1024 +tp7650 +a(g705 +g1020 +tp7651 +a(g681 +Veq +p7652 +tp7653 +a(g826 +g1024 +tp7654 +a(g440 +g7327 +tp7655 +a(g826 +g1024 +tp7656 +a(g117 +V'* +p7657 +tp7658 +a(g705 +g1028 +tp7659 +a(g826 +g1024 +tp7660 +a(g705 +g1020 +tp7661 +a(g681 +Vintegerp +p7662 +tp7663 +a(g826 +g1024 +tp7664 +a(g440 +g7327 +tp7665 +a(g705 +g1028 +tp7666 +a(g705 +g1028 +tp7667 +a(g826 +V\u000a +p7668 +tp7669 +a(g705 +g1020 +tp7670 +a(g681 +Verror +p7671 +tp7672 +a(g826 +g1024 +tp7673 +a(g705 +g1020 +tp7674 +a(g440 +VTEXT +p7675 +tp7676 +a(g826 +g1024 +tp7677 +a(g89 +V"~S: argument to UNSIGNED-BYTE must be an integer or * : ~S" +p7678 +tp7679 +a(g705 +g1028 +tp7680 +a(g826 +V\u000a +p7681 +tp7682 +a(g117 +V'typep +p7683 +tp7684 +a(g826 +g1024 +tp7685 +a(g440 +g7327 +tp7686 +a(g826 +V\u000a +p7687 +tp7688 +a(g705 +g1028 +tp7689 +a(g826 +g1024 +tp7690 +a(g705 +g1028 +tp7691 +a(g826 +V\u000a +p7692 +tp7693 +a(g705 +g1020 +tp7694 +a(g681 +Vand +p7695 +tp7696 +a(g826 +g1024 +tp7697 +a(g705 +g1020 +tp7698 +a(g681 +Vintegerp +p7699 +tp7700 +a(g826 +g1024 +tp7701 +a(g440 +g1289 +tp7702 +a(g705 +g1028 +tp7703 +a(g826 +V\u000a +p7704 +tp7705 +a(g705 +g1020 +tp7706 +a(g681 +Vnot +p7707 +tp7708 +a(g826 +g1024 +tp7709 +a(g705 +g1020 +tp7710 +a(g681 +Vminusp +p7711 +tp7712 +a(g826 +g1024 +tp7713 +a(g440 +g1289 +tp7714 +a(g705 +g1028 +tp7715 +a(g705 +g1028 +tp7716 +a(g826 +V\u000a +p7717 +tp7718 +a(g705 +g1020 +tp7719 +a(g681 +Vor +p7720 +tp7721 +a(g826 +g1024 +tp7722 +a(g705 +g1020 +tp7723 +a(g681 +Veq +p7724 +tp7725 +a(g826 +g1024 +tp7726 +a(g440 +g7327 +tp7727 +a(g826 +g1024 +tp7728 +a(g117 +V'* +p7729 +tp7730 +a(g705 +g1028 +tp7731 +a(g826 +g1024 +tp7732 +a(g705 +g1020 +tp7733 +a(g681 +V<= +p7734 +tp7735 +a(g826 +g1024 +tp7736 +a(g705 +g1020 +tp7737 +a(g681 +Vinteger-length +p7738 +tp7739 +a(g826 +g1024 +tp7740 +a(g440 +g1289 +tp7741 +a(g705 +g1028 +tp7742 +a(g826 +g1024 +tp7743 +a(g440 +g7327 +tp7744 +a(g705 +g1028 +tp7745 +a(g705 +g1028 +tp7746 +a(g826 +V\u000a +p7747 +tp7748 +a(g705 +g1028 +tp7749 +a(g826 +V\u000a +p7750 +tp7751 +a(g412 +g2808 +tp7752 +a(g705 +g1020 +tp7753 +a(g440 +VAND +p7754 +tp7755 +a(g826 +g1024 +tp7756 +a(g705 +g1020 +tp7757 +a(g440 +VINTEGERP +p7758 +tp7759 +a(g826 +g1024 +tp7760 +a(g412 +g2831 +tp7761 +a(g440 +g1289 +tp7762 +a(g705 +g1028 +tp7763 +a(g826 +g1024 +tp7764 +a(g705 +g1020 +tp7765 +a(g440 +VNOT +p7766 +tp7767 +a(g826 +g1024 +tp7768 +a(g705 +g1020 +tp7769 +a(g440 +VMINUSP +p7770 +tp7771 +a(g826 +g1024 +tp7772 +a(g412 +g2831 +tp7773 +a(g440 +g1289 +tp7774 +a(g705 +g1028 +tp7775 +a(g705 +g1028 +tp7776 +a(g826 +V\u000a +p7777 +tp7778 +a(g412 +V,@ +p7779 +tp7780 +a(g705 +g1020 +tp7781 +a(g744 +Vif +p7782 +tp7783 +a(g826 +g1024 +tp7784 +a(g705 +g1020 +tp7785 +a(g681 +Veq +p7786 +tp7787 +a(g826 +g1024 +tp7788 +a(g440 +g7327 +tp7789 +a(g826 +g1024 +tp7790 +a(g117 +V'* +p7791 +tp7792 +a(g705 +g1028 +tp7793 +a(g826 +g1024 +tp7794 +a(g412 +g1036 +tp7795 +a(g705 +g1020 +tp7796 +a(g705 +g1028 +tp7797 +a(g826 +g1024 +tp7798 +a(g412 +g2808 +tp7799 +a(g705 +g1020 +tp7800 +a(g705 +g1020 +tp7801 +a(g681 +V<= +p7802 +tp7803 +a(g826 +g1024 +tp7804 +a(g705 +g1020 +tp7805 +a(g440 +VINTEGER-LENGTH +p7806 +tp7807 +a(g826 +g1024 +tp7808 +a(g412 +g2831 +tp7809 +a(g440 +g1289 +tp7810 +a(g705 +g1028 +tp7811 +a(g826 +g1024 +tp7812 +a(g412 +g2831 +tp7813 +a(g440 +g7327 +tp7814 +a(g705 +g1028 +tp7815 +a(g705 +g1028 +tp7816 +a(g705 +g1028 +tp7817 +a(g826 +V\u000a +p7818 +tp7819 +a(g705 +g1028 +tp7820 +a(g826 +V\u000a +p7821 +tp7822 +a(g705 +g1028 +tp7823 +a(g826 +V\u000a +p7824 +tp7825 +a(g705 +g1020 +tp7826 +a(g440 +Vdef-compound-type +p7827 +tp7828 +a(g826 +g1024 +tp7829 +a(g440 +VREAL +p7830 +tp7831 +a(g826 +g1024 +tp7832 +a(g705 +g1020 +tp7833 +a(g744 +V&optional +p7834 +tp7835 +a(g826 +g1024 +tp7836 +a(g705 +g1020 +tp7837 +a(g440 +Vlow +p7838 +tp7839 +a(g826 +g1024 +tp7840 +a(g117 +V'* +p7841 +tp7842 +a(g705 +g1028 +tp7843 +a(g826 +g1024 +tp7844 +a(g705 +g1020 +tp7845 +a(g440 +Vhigh +p7846 +tp7847 +a(g826 +g1024 +tp7848 +a(g117 +V'* +p7849 +tp7850 +a(g705 +g1028 +tp7851 +a(g705 +g1028 +tp7852 +a(g826 +g1024 +tp7853 +a(g705 +g1020 +tp7854 +a(g440 +g1289 +tp7855 +a(g705 +g1028 +tp7856 +a(g826 +V\u000a +p7857 +tp7858 +a(g565 +Vnil +p7859 +tp7860 +a(g826 +V\u000a +p7861 +tp7862 +a(g705 +g1020 +tp7863 +a(g440 +Vtypep-number-test +p7864 +tp7865 +a(g826 +g1024 +tp7866 +a(g440 +g1289 +tp7867 +a(g826 +g1024 +tp7868 +a(g440 +Vlow +p7869 +tp7870 +a(g826 +g1024 +tp7871 +a(g440 +Vhigh +p7872 +tp7873 +a(g826 +g1024 +tp7874 +a(g573 +V#' +p7875 +tp7876 +a(g681 +Vrealp +p7877 +tp7878 +a(g826 +g1024 +tp7879 +a(g117 +V'REAL +p7880 +tp7881 +a(g705 +g1028 +tp7882 +a(g826 +V\u000a +p7883 +tp7884 +a(g705 +g1020 +tp7885 +a(g440 +Vc-typep-number +p7886 +tp7887 +a(g826 +g1024 +tp7888 +a(g117 +V'REAL +p7889 +tp7890 +a(g826 +g1024 +tp7891 +a(g117 +V'REALP +p7892 +tp7893 +a(g826 +g1024 +tp7894 +a(g440 +Vlow +p7895 +tp7896 +a(g826 +g1024 +tp7897 +a(g440 +Vhigh +p7898 +tp7899 +a(g826 +g1024 +tp7900 +a(g440 +g1289 +tp7901 +a(g705 +g1028 +tp7902 +a(g826 +V\u000a +p7903 +tp7904 +a(g705 +g1028 +tp7905 +a(g826 +V\u000a +p7906 +tp7907 +a(g705 +g1020 +tp7908 +a(g440 +Vdef-compound-type +p7909 +tp7910 +a(g826 +g1024 +tp7911 +a(g440 +VRATIONAL +p7912 +tp7913 +a(g826 +g1024 +tp7914 +a(g705 +g1020 +tp7915 +a(g744 +V&optional +p7916 +tp7917 +a(g826 +g1024 +tp7918 +a(g705 +g1020 +tp7919 +a(g440 +Vlow +p7920 +tp7921 +a(g826 +g1024 +tp7922 +a(g117 +V'* +p7923 +tp7924 +a(g705 +g1028 +tp7925 +a(g826 +g1024 +tp7926 +a(g705 +g1020 +tp7927 +a(g440 +Vhigh +p7928 +tp7929 +a(g826 +g1024 +tp7930 +a(g117 +V'* +p7931 +tp7932 +a(g705 +g1028 +tp7933 +a(g705 +g1028 +tp7934 +a(g826 +g1024 +tp7935 +a(g705 +g1020 +tp7936 +a(g440 +g1289 +tp7937 +a(g705 +g1028 +tp7938 +a(g826 +V\u000a +p7939 +tp7940 +a(g565 +Vnil +p7941 +tp7942 +a(g826 +V\u000a +p7943 +tp7944 +a(g705 +g1020 +tp7945 +a(g440 +Vtypep-number-test +p7946 +tp7947 +a(g826 +g1024 +tp7948 +a(g440 +g1289 +tp7949 +a(g826 +g1024 +tp7950 +a(g440 +Vlow +p7951 +tp7952 +a(g826 +g1024 +tp7953 +a(g440 +Vhigh +p7954 +tp7955 +a(g826 +g1024 +tp7956 +a(g573 +V#' +p7957 +tp7958 +a(g681 +Vrationalp +p7959 +tp7960 +a(g826 +g1024 +tp7961 +a(g117 +V'RATIONAL +p7962 +tp7963 +a(g705 +g1028 +tp7964 +a(g826 +V\u000a +p7965 +tp7966 +a(g705 +g1020 +tp7967 +a(g440 +Vc-typep-number +p7968 +tp7969 +a(g826 +g1024 +tp7970 +a(g117 +V'RATIONAL +p7971 +tp7972 +a(g826 +g1024 +tp7973 +a(g117 +V'RATIONALP +p7974 +tp7975 +a(g826 +g1024 +tp7976 +a(g440 +Vlow +p7977 +tp7978 +a(g826 +g1024 +tp7979 +a(g440 +Vhigh +p7980 +tp7981 +a(g826 +g1024 +tp7982 +a(g440 +g1289 +tp7983 +a(g705 +g1028 +tp7984 +a(g826 +V\u000a +p7985 +tp7986 +a(g705 +g1028 +tp7987 +a(g826 +V\u000a +p7988 +tp7989 +a(g705 +g1020 +tp7990 +a(g440 +Vdef-compound-type +p7991 +tp7992 +a(g826 +g1024 +tp7993 +a(g440 +VFLOAT +p7994 +tp7995 +a(g826 +g1024 +tp7996 +a(g705 +g1020 +tp7997 +a(g744 +V&optional +p7998 +tp7999 +a(g826 +g1024 +tp8000 +a(g705 +g1020 +tp8001 +a(g440 +Vlow +p8002 +tp8003 +a(g826 +g1024 +tp8004 +a(g117 +V'* +p8005 +tp8006 +a(g705 +g1028 +tp8007 +a(g826 +g1024 +tp8008 +a(g705 +g1020 +tp8009 +a(g440 +Vhigh +p8010 +tp8011 +a(g826 +g1024 +tp8012 +a(g117 +V'* +p8013 +tp8014 +a(g705 +g1028 +tp8015 +a(g705 +g1028 +tp8016 +a(g826 +g1024 +tp8017 +a(g705 +g1020 +tp8018 +a(g440 +g1289 +tp8019 +a(g705 +g1028 +tp8020 +a(g826 +V\u000a +p8021 +tp8022 +a(g565 +Vnil +p8023 +tp8024 +a(g826 +V\u000a +p8025 +tp8026 +a(g705 +g1020 +tp8027 +a(g440 +Vtypep-number-test +p8028 +tp8029 +a(g826 +g1024 +tp8030 +a(g440 +g1289 +tp8031 +a(g826 +g1024 +tp8032 +a(g440 +Vlow +p8033 +tp8034 +a(g826 +g1024 +tp8035 +a(g440 +Vhigh +p8036 +tp8037 +a(g826 +g1024 +tp8038 +a(g573 +V#' +p8039 +tp8040 +a(g681 +Vfloatp +p8041 +tp8042 +a(g826 +g1024 +tp8043 +a(g117 +V'FLOAT +p8044 +tp8045 +a(g705 +g1028 +tp8046 +a(g826 +V\u000a +p8047 +tp8048 +a(g705 +g1020 +tp8049 +a(g440 +Vc-typep-number +p8050 +tp8051 +a(g826 +g1024 +tp8052 +a(g117 +V'FLOAT +p8053 +tp8054 +a(g826 +g1024 +tp8055 +a(g117 +V'FLOATP +p8056 +tp8057 +a(g826 +g1024 +tp8058 +a(g440 +Vlow +p8059 +tp8060 +a(g826 +g1024 +tp8061 +a(g440 +Vhigh +p8062 +tp8063 +a(g826 +g1024 +tp8064 +a(g440 +g1289 +tp8065 +a(g705 +g1028 +tp8066 +a(g826 +V\u000a +p8067 +tp8068 +a(g705 +g1028 +tp8069 +a(g826 +V\u000a +p8070 +tp8071 +a(g705 +g1020 +tp8072 +a(g440 +Vdef-compound-type +p8073 +tp8074 +a(g826 +g1024 +tp8075 +a(g440 +VSHORT-FLOAT +p8076 +tp8077 +a(g826 +g1024 +tp8078 +a(g705 +g1020 +tp8079 +a(g744 +V&optional +p8080 +tp8081 +a(g826 +g1024 +tp8082 +a(g705 +g1020 +tp8083 +a(g440 +Vlow +p8084 +tp8085 +a(g826 +g1024 +tp8086 +a(g117 +V'* +p8087 +tp8088 +a(g705 +g1028 +tp8089 +a(g826 +g1024 +tp8090 +a(g705 +g1020 +tp8091 +a(g440 +Vhigh +p8092 +tp8093 +a(g826 +g1024 +tp8094 +a(g117 +V'* +p8095 +tp8096 +a(g705 +g1028 +tp8097 +a(g705 +g1028 +tp8098 +a(g826 +g1024 +tp8099 +a(g705 +g1020 +tp8100 +a(g440 +g1289 +tp8101 +a(g705 +g1028 +tp8102 +a(g826 +V\u000a +p8103 +tp8104 +a(g565 +Vnil +p8105 +tp8106 +a(g826 +V\u000a +p8107 +tp8108 +a(g705 +g1020 +tp8109 +a(g440 +Vtypep-number-test +p8110 +tp8111 +a(g826 +g1024 +tp8112 +a(g440 +g1289 +tp8113 +a(g826 +g1024 +tp8114 +a(g440 +Vlow +p8115 +tp8116 +a(g826 +g1024 +tp8117 +a(g440 +Vhigh +p8118 +tp8119 +a(g826 +g1024 +tp8120 +a(g573 +V#' +p8121 +tp8122 +a(g440 +Vshort-float-p +p8123 +tp8124 +a(g826 +g1024 +tp8125 +a(g117 +V'SHORT-FLOAT +p8126 +tp8127 +a(g705 +g1028 +tp8128 +a(g826 +V\u000a +p8129 +tp8130 +a(g705 +g1020 +tp8131 +a(g440 +Vc-typep-number +p8132 +tp8133 +a(g826 +g1024 +tp8134 +a(g117 +V'SHORT-FLOAT +p8135 +tp8136 +a(g826 +g1024 +tp8137 +a(g117 +V'SHORT-FLOAT-P +p8138 +tp8139 +a(g826 +g1024 +tp8140 +a(g440 +Vlow +p8141 +tp8142 +a(g826 +g1024 +tp8143 +a(g440 +Vhigh +p8144 +tp8145 +a(g826 +g1024 +tp8146 +a(g440 +g1289 +tp8147 +a(g705 +g1028 +tp8148 +a(g826 +V\u000a +p8149 +tp8150 +a(g705 +g1028 +tp8151 +a(g826 +V\u000a +p8152 +tp8153 +a(g705 +g1020 +tp8154 +a(g440 +Vdef-compound-type +p8155 +tp8156 +a(g826 +g1024 +tp8157 +a(g440 +VSINGLE-FLOAT +p8158 +tp8159 +a(g826 +g1024 +tp8160 +a(g705 +g1020 +tp8161 +a(g744 +V&optional +p8162 +tp8163 +a(g826 +g1024 +tp8164 +a(g705 +g1020 +tp8165 +a(g440 +Vlow +p8166 +tp8167 +a(g826 +g1024 +tp8168 +a(g117 +V'* +p8169 +tp8170 +a(g705 +g1028 +tp8171 +a(g826 +g1024 +tp8172 +a(g705 +g1020 +tp8173 +a(g440 +Vhigh +p8174 +tp8175 +a(g826 +g1024 +tp8176 +a(g117 +V'* +p8177 +tp8178 +a(g705 +g1028 +tp8179 +a(g705 +g1028 +tp8180 +a(g826 +g1024 +tp8181 +a(g705 +g1020 +tp8182 +a(g440 +g1289 +tp8183 +a(g705 +g1028 +tp8184 +a(g826 +V\u000a +p8185 +tp8186 +a(g565 +Vnil +p8187 +tp8188 +a(g826 +V\u000a +p8189 +tp8190 +a(g705 +g1020 +tp8191 +a(g440 +Vtypep-number-test +p8192 +tp8193 +a(g826 +g1024 +tp8194 +a(g440 +g1289 +tp8195 +a(g826 +g1024 +tp8196 +a(g440 +Vlow +p8197 +tp8198 +a(g826 +g1024 +tp8199 +a(g440 +Vhigh +p8200 +tp8201 +a(g826 +g1024 +tp8202 +a(g573 +V#' +p8203 +tp8204 +a(g440 +Vsingle-float-p +p8205 +tp8206 +a(g826 +g1024 +tp8207 +a(g117 +V'SINGLE-FLOAT +p8208 +tp8209 +a(g705 +g1028 +tp8210 +a(g826 +V\u000a +p8211 +tp8212 +a(g705 +g1020 +tp8213 +a(g440 +Vc-typep-number +p8214 +tp8215 +a(g826 +g1024 +tp8216 +a(g117 +V'SINGLE-FLOAT +p8217 +tp8218 +a(g826 +g1024 +tp8219 +a(g117 +V'SINGLE-FLOAT-P +p8220 +tp8221 +a(g826 +g1024 +tp8222 +a(g440 +Vlow +p8223 +tp8224 +a(g826 +g1024 +tp8225 +a(g440 +Vhigh +p8226 +tp8227 +a(g826 +g1024 +tp8228 +a(g440 +g1289 +tp8229 +a(g705 +g1028 +tp8230 +a(g826 +V\u000a +p8231 +tp8232 +a(g705 +g1028 +tp8233 +a(g826 +V\u000a +p8234 +tp8235 +a(g705 +g1020 +tp8236 +a(g440 +Vdef-compound-type +p8237 +tp8238 +a(g826 +g1024 +tp8239 +a(g440 +VDOUBLE-FLOAT +p8240 +tp8241 +a(g826 +g1024 +tp8242 +a(g705 +g1020 +tp8243 +a(g744 +V&optional +p8244 +tp8245 +a(g826 +g1024 +tp8246 +a(g705 +g1020 +tp8247 +a(g440 +Vlow +p8248 +tp8249 +a(g826 +g1024 +tp8250 +a(g117 +V'* +p8251 +tp8252 +a(g705 +g1028 +tp8253 +a(g826 +g1024 +tp8254 +a(g705 +g1020 +tp8255 +a(g440 +Vhigh +p8256 +tp8257 +a(g826 +g1024 +tp8258 +a(g117 +V'* +p8259 +tp8260 +a(g705 +g1028 +tp8261 +a(g705 +g1028 +tp8262 +a(g826 +g1024 +tp8263 +a(g705 +g1020 +tp8264 +a(g440 +g1289 +tp8265 +a(g705 +g1028 +tp8266 +a(g826 +V\u000a +p8267 +tp8268 +a(g565 +Vnil +p8269 +tp8270 +a(g826 +V\u000a +p8271 +tp8272 +a(g705 +g1020 +tp8273 +a(g440 +Vtypep-number-test +p8274 +tp8275 +a(g826 +g1024 +tp8276 +a(g440 +g1289 +tp8277 +a(g826 +g1024 +tp8278 +a(g440 +Vlow +p8279 +tp8280 +a(g826 +g1024 +tp8281 +a(g440 +Vhigh +p8282 +tp8283 +a(g826 +g1024 +tp8284 +a(g573 +V#' +p8285 +tp8286 +a(g440 +Vdouble-float-p +p8287 +tp8288 +a(g826 +g1024 +tp8289 +a(g117 +V'DOUBLE-FLOAT +p8290 +tp8291 +a(g705 +g1028 +tp8292 +a(g826 +V\u000a +p8293 +tp8294 +a(g705 +g1020 +tp8295 +a(g440 +Vc-typep-number +p8296 +tp8297 +a(g826 +g1024 +tp8298 +a(g117 +V'DOUBLE-FLOAT +p8299 +tp8300 +a(g826 +g1024 +tp8301 +a(g117 +V'DOUBLE-FLOAT-P +p8302 +tp8303 +a(g826 +g1024 +tp8304 +a(g440 +Vlow +p8305 +tp8306 +a(g826 +g1024 +tp8307 +a(g440 +Vhigh +p8308 +tp8309 +a(g826 +g1024 +tp8310 +a(g440 +g1289 +tp8311 +a(g705 +g1028 +tp8312 +a(g826 +V\u000a +p8313 +tp8314 +a(g705 +g1028 +tp8315 +a(g826 +V\u000a +p8316 +tp8317 +a(g705 +g1020 +tp8318 +a(g440 +Vdef-compound-type +p8319 +tp8320 +a(g826 +g1024 +tp8321 +a(g440 +VLONG-FLOAT +p8322 +tp8323 +a(g826 +g1024 +tp8324 +a(g705 +g1020 +tp8325 +a(g744 +V&optional +p8326 +tp8327 +a(g826 +g1024 +tp8328 +a(g705 +g1020 +tp8329 +a(g440 +Vlow +p8330 +tp8331 +a(g826 +g1024 +tp8332 +a(g117 +V'* +p8333 +tp8334 +a(g705 +g1028 +tp8335 +a(g826 +g1024 +tp8336 +a(g705 +g1020 +tp8337 +a(g440 +Vhigh +p8338 +tp8339 +a(g826 +g1024 +tp8340 +a(g117 +V'* +p8341 +tp8342 +a(g705 +g1028 +tp8343 +a(g705 +g1028 +tp8344 +a(g826 +g1024 +tp8345 +a(g705 +g1020 +tp8346 +a(g440 +g1289 +tp8347 +a(g705 +g1028 +tp8348 +a(g826 +V\u000a +p8349 +tp8350 +a(g565 +Vnil +p8351 +tp8352 +a(g826 +V\u000a +p8353 +tp8354 +a(g705 +g1020 +tp8355 +a(g440 +Vtypep-number-test +p8356 +tp8357 +a(g826 +g1024 +tp8358 +a(g440 +g1289 +tp8359 +a(g826 +g1024 +tp8360 +a(g440 +Vlow +p8361 +tp8362 +a(g826 +g1024 +tp8363 +a(g440 +Vhigh +p8364 +tp8365 +a(g826 +g1024 +tp8366 +a(g573 +V#' +p8367 +tp8368 +a(g440 +Vlong-float-p +p8369 +tp8370 +a(g826 +g1024 +tp8371 +a(g117 +V'LONG-FLOAT +p8372 +tp8373 +a(g705 +g1028 +tp8374 +a(g826 +V\u000a +p8375 +tp8376 +a(g705 +g1020 +tp8377 +a(g440 +Vc-typep-number +p8378 +tp8379 +a(g826 +g1024 +tp8380 +a(g117 +V'LONG-FLOAT +p8381 +tp8382 +a(g826 +g1024 +tp8383 +a(g117 +V'LONG-FLOAT-P +p8384 +tp8385 +a(g826 +g1024 +tp8386 +a(g440 +Vlow +p8387 +tp8388 +a(g826 +g1024 +tp8389 +a(g440 +Vhigh +p8390 +tp8391 +a(g826 +g1024 +tp8392 +a(g440 +g1289 +tp8393 +a(g705 +g1028 +tp8394 +a(g826 +V\u000a +p8395 +tp8396 +a(g705 +g1028 +tp8397 +a(g826 +V\u000a +p8398 +tp8399 +a(g705 +g1020 +tp8400 +a(g440 +Vdef-compound-type +p8401 +tp8402 +a(g826 +g1024 +tp8403 +a(g440 +VSTRING +p8404 +tp8405 +a(g826 +g1024 +tp8406 +a(g705 +g1020 +tp8407 +a(g744 +V&optional +p8408 +tp8409 +a(g826 +g1024 +tp8410 +a(g705 +g1020 +tp8411 +a(g440 +Vsize +p8412 +tp8413 +a(g826 +g1024 +tp8414 +a(g117 +V'* +p8415 +tp8416 +a(g705 +g1028 +tp8417 +a(g705 +g1028 +tp8418 +a(g826 +g1024 +tp8419 +a(g705 +g1020 +tp8420 +a(g440 +g1289 +tp8421 +a(g705 +g1028 +tp8422 +a(g826 +V\u000a +p8423 +tp8424 +a(g705 +g1020 +tp8425 +a(g440 +Vensure-dim +p8426 +tp8427 +a(g826 +g1024 +tp8428 +a(g440 +VSTRING +p8429 +tp8430 +a(g826 +g1024 +tp8431 +a(g440 +Vsize +p8432 +tp8433 +a(g705 +g1028 +tp8434 +a(g826 +V\u000a +p8435 +tp8436 +a(g705 +g1020 +tp8437 +a(g681 +Vand +p8438 +tp8439 +a(g826 +g1024 +tp8440 +a(g705 +g1020 +tp8441 +a(g681 +Vstringp +p8442 +tp8443 +a(g826 +g1024 +tp8444 +a(g440 +g1289 +tp8445 +a(g705 +g1028 +tp8446 +a(g826 +V\u000a +p8447 +tp8448 +a(g705 +g1020 +tp8449 +a(g681 +Vor +p8450 +tp8451 +a(g826 +g1024 +tp8452 +a(g705 +g1020 +tp8453 +a(g681 +Veq +p8454 +tp8455 +a(g826 +g1024 +tp8456 +a(g440 +Vsize +p8457 +tp8458 +a(g826 +g1024 +tp8459 +a(g117 +V'* +p8460 +tp8461 +a(g705 +g1028 +tp8462 +a(g826 +g1024 +tp8463 +a(g705 +g1020 +tp8464 +a(g681 +Veql +p8465 +tp8466 +a(g826 +g1024 +tp8467 +a(g440 +Vsize +p8468 +tp8469 +a(g826 +g1024 +tp8470 +a(g705 +g1020 +tp8471 +a(g681 +Varray-dimension +p8472 +tp8473 +a(g826 +g1024 +tp8474 +a(g440 +g1289 +tp8475 +a(g826 +g1024 +tp8476 +a(g37 +g3578 +tp8477 +a(g705 +g1028 +tp8478 +a(g705 +g1028 +tp8479 +a(g705 +g1028 +tp8480 +a(g826 +V\u000a +p8481 +tp8482 +a(g705 +g1028 +tp8483 +a(g826 +V\u000a +p8484 +tp8485 +a(g705 +g1020 +tp8486 +a(g440 +Vc-typep-vector +p8487 +tp8488 +a(g826 +g1024 +tp8489 +a(g117 +V'STRINGP +p8490 +tp8491 +a(g826 +g1024 +tp8492 +a(g440 +Vsize +p8493 +tp8494 +a(g826 +g1024 +tp8495 +a(g440 +g1289 +tp8496 +a(g705 +g1028 +tp8497 +a(g826 +V\u000a +p8498 +tp8499 +a(g705 +g1028 +tp8500 +a(g826 +V\u000a +p8501 +tp8502 +a(g705 +g1020 +tp8503 +a(g440 +Vdef-compound-type +p8504 +tp8505 +a(g826 +g1024 +tp8506 +a(g440 +VSIMPLE-STRING +p8507 +tp8508 +a(g826 +g1024 +tp8509 +a(g705 +g1020 +tp8510 +a(g744 +V&optional +p8511 +tp8512 +a(g826 +g1024 +tp8513 +a(g705 +g1020 +tp8514 +a(g440 +Vsize +p8515 +tp8516 +a(g826 +g1024 +tp8517 +a(g117 +V'* +p8518 +tp8519 +a(g705 +g1028 +tp8520 +a(g705 +g1028 +tp8521 +a(g826 +g1024 +tp8522 +a(g705 +g1020 +tp8523 +a(g440 +g1289 +tp8524 +a(g705 +g1028 +tp8525 +a(g826 +V\u000a +p8526 +tp8527 +a(g705 +g1020 +tp8528 +a(g440 +Vensure-dim +p8529 +tp8530 +a(g826 +g1024 +tp8531 +a(g440 +VSIMPLE-STRING +p8532 +tp8533 +a(g826 +g1024 +tp8534 +a(g440 +Vsize +p8535 +tp8536 +a(g705 +g1028 +tp8537 +a(g826 +V\u000a +p8538 +tp8539 +a(g705 +g1020 +tp8540 +a(g681 +Vand +p8541 +tp8542 +a(g826 +g1024 +tp8543 +a(g705 +g1020 +tp8544 +a(g681 +Vsimple-string-p +p8545 +tp8546 +a(g826 +g1024 +tp8547 +a(g440 +g1289 +tp8548 +a(g705 +g1028 +tp8549 +a(g826 +V\u000a +p8550 +tp8551 +a(g705 +g1020 +tp8552 +a(g681 +Vor +p8553 +tp8554 +a(g826 +g1024 +tp8555 +a(g705 +g1020 +tp8556 +a(g681 +Veq +p8557 +tp8558 +a(g826 +g1024 +tp8559 +a(g440 +Vsize +p8560 +tp8561 +a(g826 +g1024 +tp8562 +a(g117 +V'* +p8563 +tp8564 +a(g705 +g1028 +tp8565 +a(g826 +g1024 +tp8566 +a(g705 +g1020 +tp8567 +a(g681 +Veql +p8568 +tp8569 +a(g826 +g1024 +tp8570 +a(g440 +Vsize +p8571 +tp8572 +a(g826 +g1024 +tp8573 +a(g705 +g1020 +tp8574 +a(g681 +Varray-dimension +p8575 +tp8576 +a(g826 +g1024 +tp8577 +a(g440 +g1289 +tp8578 +a(g826 +g1024 +tp8579 +a(g37 +g3578 +tp8580 +a(g705 +g1028 +tp8581 +a(g705 +g1028 +tp8582 +a(g705 +g1028 +tp8583 +a(g826 +V\u000a +p8584 +tp8585 +a(g705 +g1028 +tp8586 +a(g826 +V\u000a +p8587 +tp8588 +a(g705 +g1020 +tp8589 +a(g440 +Vc-typep-vector +p8590 +tp8591 +a(g826 +g1024 +tp8592 +a(g117 +V'SIMPLE-STRING-P +p8593 +tp8594 +a(g826 +g1024 +tp8595 +a(g440 +Vsize +p8596 +tp8597 +a(g826 +g1024 +tp8598 +a(g440 +g1289 +tp8599 +a(g705 +g1028 +tp8600 +a(g826 +V\u000a +p8601 +tp8602 +a(g705 +g1028 +tp8603 +a(g826 +V\u000a +p8604 +tp8605 +a(g705 +g1020 +tp8606 +a(g440 +Vdef-compound-type +p8607 +tp8608 +a(g826 +g1024 +tp8609 +a(g440 +VBASE-STRING +p8610 +tp8611 +a(g826 +g1024 +tp8612 +a(g705 +g1020 +tp8613 +a(g744 +V&optional +p8614 +tp8615 +a(g826 +g1024 +tp8616 +a(g705 +g1020 +tp8617 +a(g440 +Vsize +p8618 +tp8619 +a(g826 +g1024 +tp8620 +a(g117 +V'* +p8621 +tp8622 +a(g705 +g1028 +tp8623 +a(g705 +g1028 +tp8624 +a(g826 +g1024 +tp8625 +a(g705 +g1020 +tp8626 +a(g440 +g1289 +tp8627 +a(g705 +g1028 +tp8628 +a(g826 +V\u000a +p8629 +tp8630 +a(g705 +g1020 +tp8631 +a(g440 +Vensure-dim +p8632 +tp8633 +a(g826 +g1024 +tp8634 +a(g440 +VBASE-STRING +p8635 +tp8636 +a(g826 +g1024 +tp8637 +a(g440 +Vsize +p8638 +tp8639 +a(g705 +g1028 +tp8640 +a(g826 +V\u000a +p8641 +tp8642 +a(g705 +g1020 +tp8643 +a(g681 +Vand +p8644 +tp8645 +a(g826 +g1024 +tp8646 +a(g705 +g1020 +tp8647 +a(g681 +Vstringp +p8648 +tp8649 +a(g826 +g1024 +tp8650 +a(g440 +g1289 +tp8651 +a(g705 +g1028 +tp8652 +a(g826 +V\u000a +p8653 +tp8654 +a(g705 +g1020 +tp8655 +a(g681 +Vor +p8656 +tp8657 +a(g826 +g1024 +tp8658 +a(g705 +g1020 +tp8659 +a(g681 +Veq +p8660 +tp8661 +a(g826 +g1024 +tp8662 +a(g440 +Vsize +p8663 +tp8664 +a(g826 +g1024 +tp8665 +a(g117 +V'* +p8666 +tp8667 +a(g705 +g1028 +tp8668 +a(g826 +g1024 +tp8669 +a(g705 +g1020 +tp8670 +a(g681 +Veql +p8671 +tp8672 +a(g826 +g1024 +tp8673 +a(g440 +Vsize +p8674 +tp8675 +a(g826 +g1024 +tp8676 +a(g705 +g1020 +tp8677 +a(g681 +Varray-dimension +p8678 +tp8679 +a(g826 +g1024 +tp8680 +a(g440 +g1289 +tp8681 +a(g826 +g1024 +tp8682 +a(g37 +g3578 +tp8683 +a(g705 +g1028 +tp8684 +a(g705 +g1028 +tp8685 +a(g705 +g1028 +tp8686 +a(g826 +V\u000a +p8687 +tp8688 +a(g705 +g1028 +tp8689 +a(g826 +V\u000a +p8690 +tp8691 +a(g705 +g1020 +tp8692 +a(g440 +Vc-typep-vector +p8693 +tp8694 +a(g826 +g1024 +tp8695 +a(g117 +V'STRINGP +p8696 +tp8697 +a(g826 +g1024 +tp8698 +a(g440 +Vsize +p8699 +tp8700 +a(g826 +g1024 +tp8701 +a(g440 +g1289 +tp8702 +a(g705 +g1028 +tp8703 +a(g826 +V\u000a +p8704 +tp8705 +a(g705 +g1028 +tp8706 +a(g826 +V\u000a +p8707 +tp8708 +a(g705 +g1020 +tp8709 +a(g440 +Vdef-compound-type +p8710 +tp8711 +a(g826 +g1024 +tp8712 +a(g440 +VSIMPLE-BASE-STRING +p8713 +tp8714 +a(g826 +g1024 +tp8715 +a(g705 +g1020 +tp8716 +a(g744 +V&optional +p8717 +tp8718 +a(g826 +g1024 +tp8719 +a(g705 +g1020 +tp8720 +a(g440 +Vsize +p8721 +tp8722 +a(g826 +g1024 +tp8723 +a(g117 +V'* +p8724 +tp8725 +a(g705 +g1028 +tp8726 +a(g705 +g1028 +tp8727 +a(g826 +g1024 +tp8728 +a(g705 +g1020 +tp8729 +a(g440 +g1289 +tp8730 +a(g705 +g1028 +tp8731 +a(g826 +V\u000a +p8732 +tp8733 +a(g705 +g1020 +tp8734 +a(g440 +Vensure-dim +p8735 +tp8736 +a(g826 +g1024 +tp8737 +a(g440 +VSIMPLE-BASE-STRING +p8738 +tp8739 +a(g826 +g1024 +tp8740 +a(g440 +Vsize +p8741 +tp8742 +a(g705 +g1028 +tp8743 +a(g826 +V\u000a +p8744 +tp8745 +a(g705 +g1020 +tp8746 +a(g681 +Vand +p8747 +tp8748 +a(g826 +g1024 +tp8749 +a(g705 +g1020 +tp8750 +a(g681 +Vsimple-string-p +p8751 +tp8752 +a(g826 +g1024 +tp8753 +a(g440 +g1289 +tp8754 +a(g705 +g1028 +tp8755 +a(g826 +V\u000a +p8756 +tp8757 +a(g705 +g1020 +tp8758 +a(g681 +Vor +p8759 +tp8760 +a(g826 +g1024 +tp8761 +a(g705 +g1020 +tp8762 +a(g681 +Veq +p8763 +tp8764 +a(g826 +g1024 +tp8765 +a(g440 +Vsize +p8766 +tp8767 +a(g826 +g1024 +tp8768 +a(g117 +V'* +p8769 +tp8770 +a(g705 +g1028 +tp8771 +a(g826 +g1024 +tp8772 +a(g705 +g1020 +tp8773 +a(g681 +Veql +p8774 +tp8775 +a(g826 +g1024 +tp8776 +a(g440 +Vsize +p8777 +tp8778 +a(g826 +g1024 +tp8779 +a(g705 +g1020 +tp8780 +a(g681 +Varray-dimension +p8781 +tp8782 +a(g826 +g1024 +tp8783 +a(g440 +g1289 +tp8784 +a(g826 +g1024 +tp8785 +a(g37 +g3578 +tp8786 +a(g705 +g1028 +tp8787 +a(g705 +g1028 +tp8788 +a(g705 +g1028 +tp8789 +a(g826 +V\u000a +p8790 +tp8791 +a(g705 +g1028 +tp8792 +a(g826 +V\u000a +p8793 +tp8794 +a(g705 +g1020 +tp8795 +a(g440 +Vc-typep-vector +p8796 +tp8797 +a(g826 +g1024 +tp8798 +a(g117 +V'SIMPLE-STRING-P +p8799 +tp8800 +a(g826 +g1024 +tp8801 +a(g440 +Vsize +p8802 +tp8803 +a(g826 +g1024 +tp8804 +a(g440 +g1289 +tp8805 +a(g705 +g1028 +tp8806 +a(g826 +V\u000a +p8807 +tp8808 +a(g705 +g1028 +tp8809 +a(g826 +V\u000a +p8810 +tp8811 +a(g705 +g1020 +tp8812 +a(g440 +Vdef-compound-type +p8813 +tp8814 +a(g826 +g1024 +tp8815 +a(g440 +VBIT-VECTOR +p8816 +tp8817 +a(g826 +g1024 +tp8818 +a(g705 +g1020 +tp8819 +a(g744 +V&optional +p8820 +tp8821 +a(g826 +g1024 +tp8822 +a(g705 +g1020 +tp8823 +a(g440 +Vsize +p8824 +tp8825 +a(g826 +g1024 +tp8826 +a(g117 +V'* +p8827 +tp8828 +a(g705 +g1028 +tp8829 +a(g705 +g1028 +tp8830 +a(g826 +g1024 +tp8831 +a(g705 +g1020 +tp8832 +a(g440 +g1289 +tp8833 +a(g705 +g1028 +tp8834 +a(g826 +V\u000a +p8835 +tp8836 +a(g705 +g1020 +tp8837 +a(g440 +Vensure-dim +p8838 +tp8839 +a(g826 +g1024 +tp8840 +a(g440 +VBIT-VECTOR +p8841 +tp8842 +a(g826 +g1024 +tp8843 +a(g440 +Vsize +p8844 +tp8845 +a(g705 +g1028 +tp8846 +a(g826 +V\u000a +p8847 +tp8848 +a(g705 +g1020 +tp8849 +a(g681 +Vand +p8850 +tp8851 +a(g826 +g1024 +tp8852 +a(g705 +g1020 +tp8853 +a(g681 +Vbit-vector-p +p8854 +tp8855 +a(g826 +g1024 +tp8856 +a(g440 +g1289 +tp8857 +a(g705 +g1028 +tp8858 +a(g826 +V\u000a +p8859 +tp8860 +a(g705 +g1020 +tp8861 +a(g681 +Vor +p8862 +tp8863 +a(g826 +g1024 +tp8864 +a(g705 +g1020 +tp8865 +a(g681 +Veq +p8866 +tp8867 +a(g826 +g1024 +tp8868 +a(g440 +Vsize +p8869 +tp8870 +a(g826 +g1024 +tp8871 +a(g117 +V'* +p8872 +tp8873 +a(g705 +g1028 +tp8874 +a(g826 +g1024 +tp8875 +a(g705 +g1020 +tp8876 +a(g681 +Veql +p8877 +tp8878 +a(g826 +g1024 +tp8879 +a(g440 +Vsize +p8880 +tp8881 +a(g826 +g1024 +tp8882 +a(g705 +g1020 +tp8883 +a(g681 +Varray-dimension +p8884 +tp8885 +a(g826 +g1024 +tp8886 +a(g440 +g1289 +tp8887 +a(g826 +g1024 +tp8888 +a(g37 +g3578 +tp8889 +a(g705 +g1028 +tp8890 +a(g705 +g1028 +tp8891 +a(g705 +g1028 +tp8892 +a(g826 +V\u000a +p8893 +tp8894 +a(g705 +g1028 +tp8895 +a(g826 +V\u000a +p8896 +tp8897 +a(g705 +g1020 +tp8898 +a(g440 +Vc-typep-vector +p8899 +tp8900 +a(g826 +g1024 +tp8901 +a(g117 +V'BIT-VECTOR-P +p8902 +tp8903 +a(g826 +g1024 +tp8904 +a(g440 +Vsize +p8905 +tp8906 +a(g826 +g1024 +tp8907 +a(g440 +g1289 +tp8908 +a(g705 +g1028 +tp8909 +a(g826 +V\u000a +p8910 +tp8911 +a(g705 +g1028 +tp8912 +a(g826 +V\u000a +p8913 +tp8914 +a(g705 +g1020 +tp8915 +a(g440 +Vdef-compound-type +p8916 +tp8917 +a(g826 +g1024 +tp8918 +a(g440 +VSIMPLE-BIT-VECTOR +p8919 +tp8920 +a(g826 +g1024 +tp8921 +a(g705 +g1020 +tp8922 +a(g744 +V&optional +p8923 +tp8924 +a(g826 +g1024 +tp8925 +a(g705 +g1020 +tp8926 +a(g440 +Vsize +p8927 +tp8928 +a(g826 +g1024 +tp8929 +a(g117 +V'* +p8930 +tp8931 +a(g705 +g1028 +tp8932 +a(g705 +g1028 +tp8933 +a(g826 +g1024 +tp8934 +a(g705 +g1020 +tp8935 +a(g440 +g1289 +tp8936 +a(g705 +g1028 +tp8937 +a(g826 +V\u000a +p8938 +tp8939 +a(g705 +g1020 +tp8940 +a(g440 +Vensure-dim +p8941 +tp8942 +a(g826 +g1024 +tp8943 +a(g440 +VSIMPLE-BIT-VECTOR +p8944 +tp8945 +a(g826 +g1024 +tp8946 +a(g440 +Vsize +p8947 +tp8948 +a(g705 +g1028 +tp8949 +a(g826 +V\u000a +p8950 +tp8951 +a(g705 +g1020 +tp8952 +a(g681 +Vand +p8953 +tp8954 +a(g826 +g1024 +tp8955 +a(g705 +g1020 +tp8956 +a(g681 +Vsimple-bit-vector-p +p8957 +tp8958 +a(g826 +g1024 +tp8959 +a(g440 +g1289 +tp8960 +a(g705 +g1028 +tp8961 +a(g826 +V\u000a +p8962 +tp8963 +a(g705 +g1020 +tp8964 +a(g681 +Vor +p8965 +tp8966 +a(g826 +g1024 +tp8967 +a(g705 +g1020 +tp8968 +a(g681 +Veq +p8969 +tp8970 +a(g826 +g1024 +tp8971 +a(g440 +Vsize +p8972 +tp8973 +a(g826 +g1024 +tp8974 +a(g117 +V'* +p8975 +tp8976 +a(g705 +g1028 +tp8977 +a(g826 +g1024 +tp8978 +a(g705 +g1020 +tp8979 +a(g681 +Veql +p8980 +tp8981 +a(g826 +g1024 +tp8982 +a(g440 +Vsize +p8983 +tp8984 +a(g826 +g1024 +tp8985 +a(g705 +g1020 +tp8986 +a(g681 +Varray-dimension +p8987 +tp8988 +a(g826 +g1024 +tp8989 +a(g440 +g1289 +tp8990 +a(g826 +g1024 +tp8991 +a(g37 +g3578 +tp8992 +a(g705 +g1028 +tp8993 +a(g705 +g1028 +tp8994 +a(g705 +g1028 +tp8995 +a(g826 +V\u000a +p8996 +tp8997 +a(g705 +g1028 +tp8998 +a(g826 +V\u000a +p8999 +tp9000 +a(g705 +g1020 +tp9001 +a(g440 +Vc-typep-vector +p9002 +tp9003 +a(g826 +g1024 +tp9004 +a(g117 +V'SIMPLE-BIT-VECTOR-P +p9005 +tp9006 +a(g826 +g1024 +tp9007 +a(g440 +Vsize +p9008 +tp9009 +a(g826 +g1024 +tp9010 +a(g440 +g1289 +tp9011 +a(g705 +g1028 +tp9012 +a(g826 +V\u000a +p9013 +tp9014 +a(g705 +g1028 +tp9015 +a(g826 +V\u000a +p9016 +tp9017 +a(g705 +g1020 +tp9018 +a(g440 +Vdef-compound-type +p9019 +tp9020 +a(g826 +g1024 +tp9021 +a(g440 +VCONS +p9022 +tp9023 +a(g826 +g1024 +tp9024 +a(g705 +g1020 +tp9025 +a(g744 +V&optional +p9026 +tp9027 +a(g826 +g1024 +tp9028 +a(g705 +g1020 +tp9029 +a(g440 +Vcar-type +p9030 +tp9031 +a(g826 +g1024 +tp9032 +a(g117 +V'* +p9033 +tp9034 +a(g705 +g1028 +tp9035 +a(g826 +g1024 +tp9036 +a(g705 +g1020 +tp9037 +a(g440 +Vcdr-type +p9038 +tp9039 +a(g826 +g1024 +tp9040 +a(g117 +V'* +p9041 +tp9042 +a(g705 +g1028 +tp9043 +a(g705 +g1028 +tp9044 +a(g826 +g1024 +tp9045 +a(g705 +g1020 +tp9046 +a(g440 +g1289 +tp9047 +a(g705 +g1028 +tp9048 +a(g826 +V\u000a +p9049 +tp9050 +a(g565 +Vnil +p9051 +tp9052 +a(g826 +V\u000a +p9053 +tp9054 +a(g705 +g1020 +tp9055 +a(g681 +Vand +p9056 +tp9057 +a(g826 +g1024 +tp9058 +a(g705 +g1020 +tp9059 +a(g681 +Vconsp +p9060 +tp9061 +a(g826 +g1024 +tp9062 +a(g440 +g1289 +tp9063 +a(g705 +g1028 +tp9064 +a(g826 +V\u000a +p9065 +tp9066 +a(g705 +g1020 +tp9067 +a(g681 +Vor +p9068 +tp9069 +a(g826 +g1024 +tp9070 +a(g705 +g1020 +tp9071 +a(g681 +Veq +p9072 +tp9073 +a(g826 +g1024 +tp9074 +a(g440 +Vcar-type +p9075 +tp9076 +a(g826 +g1024 +tp9077 +a(g117 +V'* +p9078 +tp9079 +a(g705 +g1028 +tp9080 +a(g826 +g1024 +tp9081 +a(g705 +g1020 +tp9082 +a(g681 +Vtypep +p9083 +tp9084 +a(g826 +g1024 +tp9085 +a(g705 +g1020 +tp9086 +a(g681 +Vcar +p9087 +tp9088 +a(g826 +g1024 +tp9089 +a(g440 +g1289 +tp9090 +a(g705 +g1028 +tp9091 +a(g826 +g1024 +tp9092 +a(g440 +Vcar-type +p9093 +tp9094 +a(g705 +g1028 +tp9095 +a(g705 +g1028 +tp9096 +a(g826 +V\u000a +p9097 +tp9098 +a(g705 +g1020 +tp9099 +a(g681 +Vor +p9100 +tp9101 +a(g826 +g1024 +tp9102 +a(g705 +g1020 +tp9103 +a(g681 +Veq +p9104 +tp9105 +a(g826 +g1024 +tp9106 +a(g440 +Vcdr-type +p9107 +tp9108 +a(g826 +g1024 +tp9109 +a(g117 +V'* +p9110 +tp9111 +a(g705 +g1028 +tp9112 +a(g826 +g1024 +tp9113 +a(g705 +g1020 +tp9114 +a(g681 +Vtypep +p9115 +tp9116 +a(g826 +g1024 +tp9117 +a(g705 +g1020 +tp9118 +a(g681 +Vcdr +p9119 +tp9120 +a(g826 +g1024 +tp9121 +a(g440 +g1289 +tp9122 +a(g705 +g1028 +tp9123 +a(g826 +g1024 +tp9124 +a(g440 +Vcdr-type +p9125 +tp9126 +a(g705 +g1028 +tp9127 +a(g705 +g1028 +tp9128 +a(g826 +V\u000a +p9129 +tp9130 +a(g705 +g1028 +tp9131 +a(g826 +V\u000a +p9132 +tp9133 +a(g412 +g2808 +tp9134 +a(g705 +g1020 +tp9135 +a(g440 +VAND +p9136 +tp9137 +a(g826 +g1024 +tp9138 +a(g705 +g1020 +tp9139 +a(g440 +VCONSP +p9140 +tp9141 +a(g826 +g1024 +tp9142 +a(g412 +g2831 +tp9143 +a(g440 +g1289 +tp9144 +a(g705 +g1028 +tp9145 +a(g826 +V\u000a +p9146 +tp9147 +a(g412 +V,@ +p9148 +tp9149 +a(g705 +g1020 +tp9150 +a(g744 +Vif +p9151 +tp9152 +a(g826 +g1024 +tp9153 +a(g705 +g1020 +tp9154 +a(g681 +Veq +p9155 +tp9156 +a(g826 +g1024 +tp9157 +a(g440 +Vcar-type +p9158 +tp9159 +a(g826 +g1024 +tp9160 +a(g117 +V'* +p9161 +tp9162 +a(g705 +g1028 +tp9163 +a(g826 +g1024 +tp9164 +a(g412 +g1036 +tp9165 +a(g705 +g1020 +tp9166 +a(g705 +g1028 +tp9167 +a(g826 +g1024 +tp9168 +a(g412 +g2808 +tp9169 +a(g705 +g1020 +tp9170 +a(g705 +g1020 +tp9171 +a(g440 +VTYPEP +p9172 +tp9173 +a(g826 +g1024 +tp9174 +a(g705 +g1020 +tp9175 +a(g440 +VCAR +p9176 +tp9177 +a(g826 +g1024 +tp9178 +a(g412 +g2831 +tp9179 +a(g440 +g1289 +tp9180 +a(g705 +g1028 +tp9181 +a(g826 +g1024 +tp9182 +a(g117 +V',car-type +p9183 +tp9184 +a(g705 +g1028 +tp9185 +a(g705 +g1028 +tp9186 +a(g705 +g1028 +tp9187 +a(g826 +V\u000a +p9188 +tp9189 +a(g412 +V,@ +p9190 +tp9191 +a(g705 +g1020 +tp9192 +a(g744 +Vif +p9193 +tp9194 +a(g826 +g1024 +tp9195 +a(g705 +g1020 +tp9196 +a(g681 +Veq +p9197 +tp9198 +a(g826 +g1024 +tp9199 +a(g440 +Vcdr-type +p9200 +tp9201 +a(g826 +g1024 +tp9202 +a(g117 +V'* +p9203 +tp9204 +a(g705 +g1028 +tp9205 +a(g826 +g1024 +tp9206 +a(g412 +g1036 +tp9207 +a(g705 +g1020 +tp9208 +a(g705 +g1028 +tp9209 +a(g826 +g1024 +tp9210 +a(g412 +g2808 +tp9211 +a(g705 +g1020 +tp9212 +a(g705 +g1020 +tp9213 +a(g440 +VTYPEP +p9214 +tp9215 +a(g826 +g1024 +tp9216 +a(g705 +g1020 +tp9217 +a(g440 +VCDR +p9218 +tp9219 +a(g826 +g1024 +tp9220 +a(g412 +g2831 +tp9221 +a(g440 +g1289 +tp9222 +a(g705 +g1028 +tp9223 +a(g826 +g1024 +tp9224 +a(g117 +V',cdr-type +p9225 +tp9226 +a(g705 +g1028 +tp9227 +a(g705 +g1028 +tp9228 +a(g705 +g1028 +tp9229 +a(g826 +V\u000a +p9230 +tp9231 +a(g705 +g1028 +tp9232 +a(g826 +V\u000a +p9233 +tp9234 +a(g705 +g1028 +tp9235 +a(g826 +V\u000a\u000a +p9236 +tp9237 +a(g705 +g1020 +tp9238 +a(g681 +Vfmakunbound +p9239 +tp9240 +a(g826 +g1024 +tp9241 +a(g117 +V'def-compound-type +p9242 +tp9243 +a(g705 +g1028 +tp9244 +a(g826 +V\u000a\u000a +p9245 +tp9246 +a(g8 +V;; ---------------------------------------------------------------------------- +p9247 +tp9248 +a(g826 +V\u000a\u000a +p9249 +tp9250 +a(g8 +V; Typtest ohne Gefahr einer Fehlermeldung. Für SIGNAL und HANDLER-BIND. +p9251 +tp9252 +a(g826 +V\u000a +p9253 +tp9254 +a(g705 +g1020 +tp9255 +a(g681 +Vdefun +p9256 +tp9257 +a(g826 +g1024 +tp9258 +a(g440 +Vsafe-typep +p9259 +tp9260 +a(g826 +g1024 +tp9261 +a(g705 +g1020 +tp9262 +a(g440 +g1289 +tp9263 +a(g826 +g1024 +tp9264 +a(g440 +g1292 +tp9265 +a(g826 +g1024 +tp9266 +a(g744 +V&optional +p9267 +tp9268 +a(g826 +g1024 +tp9269 +a(g440 +Venv +p9270 +tp9271 +a(g705 +g1028 +tp9272 +a(g826 +V\u000a +p9273 +tp9274 +a(g705 +g1020 +tp9275 +a(g744 +Vlet +p9276 +tp9277 +a(g826 +g1024 +tp9278 +a(g705 +g1020 +tp9279 +a(g705 +g1020 +tp9280 +a(g469 +V*error-handler* +p9281 +tp9282 +a(g826 +V\u000a +p9283 +tp9284 +a(g573 +V#' +p9285 +tp9286 +a(g705 +g1020 +tp9287 +a(g744 +Vlambda +p9288 +tp9289 +a(g826 +g1024 +tp9290 +a(g705 +g1020 +tp9291 +a(g744 +V&rest +p9292 +tp9293 +a(g826 +g1024 +tp9294 +a(g440 +Verror-args +p9295 +tp9296 +a(g705 +g1028 +tp9297 +a(g826 +V\u000a +p9298 +tp9299 +a(g705 +g1020 +tp9300 +a(g744 +Vdeclare +p9301 +tp9302 +a(g826 +g1024 +tp9303 +a(g705 +g1020 +tp9304 +a(g744 +Vignore +p9305 +tp9306 +a(g826 +g1024 +tp9307 +a(g440 +Verror-args +p9308 +tp9309 +a(g705 +g1028 +tp9310 +a(g705 +g1028 +tp9311 +a(g826 +V\u000a +p9312 +tp9313 +a(g705 +g1020 +tp9314 +a(g744 +Vreturn-from +p9315 +tp9316 +a(g826 +g1024 +tp9317 +a(g440 +Vsafe-typep +p9318 +tp9319 +a(g826 +g1024 +tp9320 +a(g705 +g1020 +tp9321 +a(g681 +Vvalues +p9322 +tp9323 +a(g826 +g1024 +tp9324 +a(g565 +Vnil +p9325 +tp9326 +a(g826 +g1024 +tp9327 +a(g565 +Vnil +p9328 +tp9329 +a(g705 +g1028 +tp9330 +a(g705 +g1028 +tp9331 +a(g826 +V\u000a +p9332 +tp9333 +a(g705 +g1028 +tp9334 +a(g705 +g1028 +tp9335 +a(g826 +V +p9336 +tp9337 +a(g705 +g1028 +tp9338 +a(g826 +V\u000a +p9339 +tp9340 +a(g705 +g1020 +tp9341 +a(g681 +Vvalues +p9342 +tp9343 +a(g826 +g1024 +tp9344 +a(g705 +g1020 +tp9345 +a(g681 +Vtypep +p9346 +tp9347 +a(g826 +g1024 +tp9348 +a(g440 +g1289 +tp9349 +a(g826 +g1024 +tp9350 +a(g440 +g1292 +tp9351 +a(g826 +g1024 +tp9352 +a(g440 +Venv +p9353 +tp9354 +a(g705 +g1028 +tp9355 +a(g826 +g1024 +tp9356 +a(g565 +g1480 +tp9357 +a(g705 +g1028 +tp9358 +a(g826 +V\u000a +p9359 +tp9360 +a(g705 +g1028 +tp9361 +a(g826 +g1024 +tp9362 +a(g705 +g1028 +tp9363 +a(g826 +V\u000a\u000a +p9364 +tp9365 +a(g8 +V; Umwandlung eines "type for declaration" in einen "type for discrimination". +p9366 +tp9367 +a(g826 +V\u000a +p9368 +tp9369 +a(g705 +g1020 +tp9370 +a(g681 +Vdefun +p9371 +tp9372 +a(g826 +g1024 +tp9373 +a(g440 +Vtype-for-discrimination +p9374 +tp9375 +a(g826 +g1024 +tp9376 +a(g705 +g1020 +tp9377 +a(g440 +g1292 +tp9378 +a(g826 +g1024 +tp9379 +a(g744 +V&optional +p9380 +tp9381 +a(g826 +g1024 +tp9382 +a(g705 +g1020 +tp9383 +a(g440 +Vnotp +p9384 +tp9385 +a(g826 +g1024 +tp9386 +a(g565 +Vnil +p9387 +tp9388 +a(g705 +g1028 +tp9389 +a(g826 +g1024 +tp9390 +a(g744 +V&aux +p9391 +tp9392 +a(g826 +g1024 +tp9393 +a(g440 +g1304 +tp9394 +a(g705 +g1028 +tp9395 +a(g826 +V\u000a +p9396 +tp9397 +a(g705 +g1020 +tp9398 +a(g681 +Vcond +p9399 +tp9400 +a(g826 +g1024 +tp9401 +a(g705 +g1020 +tp9402 +a(g705 +g1020 +tp9403 +a(g681 +Vsymbolp +p9404 +tp9405 +a(g826 +g1024 +tp9406 +a(g440 +g1292 +tp9407 +a(g705 +g1028 +tp9408 +a(g826 +V\u000a +p9409 +tp9410 +a(g705 +g1020 +tp9411 +a(g681 +Vcond +p9412 +tp9413 +a(g826 +g1024 +tp9414 +a(g705 +g1020 +tp9415 +a(g705 +g1020 +tp9416 +a(g681 +Vget +p9417 +tp9418 +a(g826 +g1024 +tp9419 +a(g440 +g1292 +tp9420 +a(g826 +g1024 +tp9421 +a(g117 +V'TYPE-SYMBOL +p9422 +tp9423 +a(g705 +g1028 +tp9424 +a(g826 +g1024 +tp9425 +a(g440 +g1292 +tp9426 +a(g705 +g1028 +tp9427 +a(g826 +V\u000a +p9428 +tp9429 +a(g705 +g1020 +tp9430 +a(g705 +g1020 +tp9431 +a(g681 +Vget +p9432 +tp9433 +a(g826 +g1024 +tp9434 +a(g440 +g1292 +tp9435 +a(g826 +g1024 +tp9436 +a(g117 +V'TYPE-LIST +p9437 +tp9438 +a(g705 +g1028 +tp9439 +a(g826 +g1024 +tp9440 +a(g440 +g1292 +tp9441 +a(g705 +g1028 +tp9442 +a(g826 +V\u000a +p9443 +tp9444 +a(g705 +g1020 +tp9445 +a(g705 +g1020 +tp9446 +a(g744 +Vsetq +p9447 +tp9448 +a(g826 +g1024 +tp9449 +a(g440 +g1304 +tp9450 +a(g826 +g1024 +tp9451 +a(g705 +g1020 +tp9452 +a(g681 +Vget +p9453 +tp9454 +a(g826 +g1024 +tp9455 +a(g440 +g1292 +tp9456 +a(g826 +g1024 +tp9457 +a(g117 +V'DEFTYPE-EXPANDER +p9458 +tp9459 +a(g705 +g1028 +tp9460 +a(g705 +g1028 +tp9461 +a(g826 +V\u000a +p9462 +tp9463 +a(g705 +g1020 +tp9464 +a(g744 +Vlet* +p9465 +tp9466 +a(g826 +g1024 +tp9467 +a(g705 +g1020 +tp9468 +a(g705 +g1020 +tp9469 +a(g440 +Vz +p9470 +tp9471 +a(g826 +g1024 +tp9472 +a(g705 +g1020 +tp9473 +a(g681 +Vfuncall +p9474 +tp9475 +a(g826 +g1024 +tp9476 +a(g440 +g1304 +tp9477 +a(g826 +g1024 +tp9478 +a(g705 +g1020 +tp9479 +a(g681 +Vlist +p9480 +tp9481 +a(g826 +g1024 +tp9482 +a(g440 +g1292 +tp9483 +a(g705 +g1028 +tp9484 +a(g705 +g1028 +tp9485 +a(g705 +g1028 +tp9486 +a(g826 +V\u000a +p9487 +tp9488 +a(g705 +g1020 +tp9489 +a(g440 +Vzx +p9490 +tp9491 +a(g826 +g1024 +tp9492 +a(g705 +g1020 +tp9493 +a(g440 +Vtype-for-discrimination +p9494 +tp9495 +a(g826 +g1024 +tp9496 +a(g440 +g9470 +tp9497 +a(g826 +g1024 +tp9498 +a(g440 +Vnotp +p9499 +tp9500 +a(g705 +g1028 +tp9501 +a(g705 +g1028 +tp9502 +a(g705 +g1028 +tp9503 +a(g826 +V\u000a +p9504 +tp9505 +a(g705 +g1020 +tp9506 +a(g744 +Vif +p9507 +tp9508 +a(g826 +g1024 +tp9509 +a(g705 +g1020 +tp9510 +a(g681 +Veql +p9511 +tp9512 +a(g826 +g1024 +tp9513 +a(g440 +Vzx +p9514 +tp9515 +a(g826 +g1024 +tp9516 +a(g440 +g9470 +tp9517 +a(g705 +g1028 +tp9518 +a(g826 +g1024 +tp9519 +a(g440 +g1292 +tp9520 +a(g826 +g1024 +tp9521 +a(g440 +Vzx +p9522 +tp9523 +a(g705 +g1028 +tp9524 +a(g826 +V\u000a +p9525 +tp9526 +a(g705 +g1028 +tp9527 +a(g705 +g1028 +tp9528 +a(g826 +V\u000a +p9529 +tp9530 +a(g705 +g1020 +tp9531 +a(g565 +g1480 +tp9532 +a(g826 +g1024 +tp9533 +a(g440 +g1292 +tp9534 +a(g705 +g1028 +tp9535 +a(g826 +V\u000a +p9536 +tp9537 +a(g705 +g1028 +tp9538 +a(g826 +V +p9539 +tp9540 +a(g705 +g1028 +tp9541 +a(g826 +V\u000a +p9542 +tp9543 +a(g705 +g1020 +tp9544 +a(g705 +g1020 +tp9545 +a(g681 +Vand +p9546 +tp9547 +a(g826 +g1024 +tp9548 +a(g705 +g1020 +tp9549 +a(g681 +Vconsp +p9550 +tp9551 +a(g826 +g1024 +tp9552 +a(g440 +g1292 +tp9553 +a(g705 +g1028 +tp9554 +a(g826 +g1024 +tp9555 +a(g705 +g1020 +tp9556 +a(g681 +Vsymbolp +p9557 +tp9558 +a(g826 +g1024 +tp9559 +a(g705 +g1020 +tp9560 +a(g681 +Vfirst +p9561 +tp9562 +a(g826 +g1024 +tp9563 +a(g440 +g1292 +tp9564 +a(g705 +g1028 +tp9565 +a(g705 +g1028 +tp9566 +a(g705 +g1028 +tp9567 +a(g826 +V\u000a +p9568 +tp9569 +a(g705 +g1020 +tp9570 +a(g681 +Vcase +p9571 +tp9572 +a(g826 +g1024 +tp9573 +a(g705 +g1020 +tp9574 +a(g681 +Vfirst +p9575 +tp9576 +a(g826 +g1024 +tp9577 +a(g440 +g1292 +tp9578 +a(g705 +g1028 +tp9579 +a(g826 +V\u000a +p9580 +tp9581 +a(g705 +g1020 +tp9582 +a(g705 +g1020 +tp9583 +a(g440 +VSATISFIES +p9584 +tp9585 +a(g826 +g1024 +tp9586 +a(g440 +VMEMBER +p9587 +tp9588 +a(g826 +g1024 +tp9589 +a(g440 +VEQL +p9590 +tp9591 +a(g705 +g1028 +tp9592 +a(g826 +g1024 +tp9593 +a(g440 +g1292 +tp9594 +a(g705 +g1028 +tp9595 +a(g826 +V\u000a +p9596 +tp9597 +a(g705 +g1020 +tp9598 +a(g440 +VNOT +p9599 +tp9600 +a(g826 +V\u000a +p9601 +tp9602 +a(g705 +g1020 +tp9603 +a(g744 +Vlet* +p9604 +tp9605 +a(g826 +g1024 +tp9606 +a(g705 +g1020 +tp9607 +a(g705 +g1020 +tp9608 +a(g440 +g9470 +tp9609 +a(g826 +g1024 +tp9610 +a(g705 +g1020 +tp9611 +a(g681 +Vsecond +p9612 +tp9613 +a(g826 +g1024 +tp9614 +a(g440 +g1292 +tp9615 +a(g705 +g1028 +tp9616 +a(g705 +g1028 +tp9617 +a(g826 +V\u000a +p9618 +tp9619 +a(g705 +g1020 +tp9620 +a(g440 +Vzx +p9621 +tp9622 +a(g826 +g1024 +tp9623 +a(g705 +g1020 +tp9624 +a(g440 +Vtype-for-discrimination +p9625 +tp9626 +a(g826 +g1024 +tp9627 +a(g440 +g9470 +tp9628 +a(g826 +g1024 +tp9629 +a(g705 +g1020 +tp9630 +a(g681 +Vnot +p9631 +tp9632 +a(g826 +g1024 +tp9633 +a(g440 +Vnotp +p9634 +tp9635 +a(g705 +g1028 +tp9636 +a(g705 +g1028 +tp9637 +a(g705 +g1028 +tp9638 +a(g705 +g1028 +tp9639 +a(g826 +V\u000a +p9640 +tp9641 +a(g705 +g1020 +tp9642 +a(g744 +Vif +p9643 +tp9644 +a(g826 +g1024 +tp9645 +a(g705 +g1020 +tp9646 +a(g681 +Veql +p9647 +tp9648 +a(g826 +g1024 +tp9649 +a(g440 +Vzx +p9650 +tp9651 +a(g826 +g1024 +tp9652 +a(g440 +g9470 +tp9653 +a(g705 +g1028 +tp9654 +a(g826 +g1024 +tp9655 +a(g440 +g1292 +tp9656 +a(g826 +g1024 +tp9657 +a(g412 +g2808 +tp9658 +a(g705 +g1020 +tp9659 +a(g440 +VNOT +p9660 +tp9661 +a(g826 +g1024 +tp9662 +a(g412 +g2831 +tp9663 +a(g440 +Vzx +p9664 +tp9665 +a(g705 +g1028 +tp9666 +a(g705 +g1028 +tp9667 +a(g826 +V\u000a +p9668 +tp9669 +a(g705 +g1028 +tp9670 +a(g705 +g1028 +tp9671 +a(g826 +V\u000a +p9672 +tp9673 +a(g705 +g1020 +tp9674 +a(g705 +g1020 +tp9675 +a(g440 +VAND +p9676 +tp9677 +a(g826 +g1024 +tp9678 +a(g440 +VOR +p9679 +tp9680 +a(g826 +g1024 +tp9681 +a(g440 +VCOMPLEX +p9682 +tp9683 +a(g826 +g1024 +tp9684 +a(g440 +VVALUES +p9685 +tp9686 +a(g705 +g1028 +tp9687 +a(g826 +V\u000a +p9688 +tp9689 +a(g705 +g1020 +tp9690 +a(g744 +Vlet* +p9691 +tp9692 +a(g826 +g1024 +tp9693 +a(g705 +g1020 +tp9694 +a(g705 +g1020 +tp9695 +a(g440 +g9470 +tp9696 +a(g826 +g1024 +tp9697 +a(g705 +g1020 +tp9698 +a(g681 +Vrest +p9699 +tp9700 +a(g826 +g1024 +tp9701 +a(g440 +g1292 +tp9702 +a(g705 +g1028 +tp9703 +a(g705 +g1028 +tp9704 +a(g826 +V\u000a +p9705 +tp9706 +a(g705 +g1020 +tp9707 +a(g440 +Vzx +p9708 +tp9709 +a(g826 +g1024 +tp9710 +a(g705 +g1020 +tp9711 +a(g681 +Vmapcar +p9712 +tp9713 +a(g826 +g1024 +tp9714 +a(g573 +V#' +p9715 +tp9716 +a(g705 +g1020 +tp9717 +a(g744 +Vlambda +p9718 +tp9719 +a(g826 +g1024 +tp9720 +a(g705 +g1020 +tp9721 +a(g440 +g1289 +tp9722 +a(g705 +g1028 +tp9723 +a(g826 +g1024 +tp9724 +a(g705 +g1020 +tp9725 +a(g440 +Vtype-for-discrimination +p9726 +tp9727 +a(g826 +g1024 +tp9728 +a(g440 +g1289 +tp9729 +a(g826 +g1024 +tp9730 +a(g440 +Vnotp +p9731 +tp9732 +a(g705 +g1028 +tp9733 +a(g705 +g1028 +tp9734 +a(g826 +g1024 +tp9735 +a(g440 +g9470 +tp9736 +a(g705 +g1028 +tp9737 +a(g705 +g1028 +tp9738 +a(g705 +g1028 +tp9739 +a(g826 +V\u000a +p9740 +tp9741 +a(g705 +g1020 +tp9742 +a(g744 +Vif +p9743 +tp9744 +a(g826 +g1024 +tp9745 +a(g705 +g1020 +tp9746 +a(g681 +Vevery +p9747 +tp9748 +a(g826 +g1024 +tp9749 +a(g573 +V#' +p9750 +tp9751 +a(g681 +Veql +p9752 +tp9753 +a(g826 +g1024 +tp9754 +a(g440 +g9470 +tp9755 +a(g826 +g1024 +tp9756 +a(g440 +Vzx +p9757 +tp9758 +a(g705 +g1028 +tp9759 +a(g826 +g1024 +tp9760 +a(g440 +g1292 +tp9761 +a(g826 +g1024 +tp9762 +a(g705 +g1020 +tp9763 +a(g681 +Vcons +p9764 +tp9765 +a(g826 +g1024 +tp9766 +a(g705 +g1020 +tp9767 +a(g681 +Vfirst +p9768 +tp9769 +a(g826 +g1024 +tp9770 +a(g440 +g1292 +tp9771 +a(g705 +g1028 +tp9772 +a(g826 +g1024 +tp9773 +a(g440 +Vzx +p9774 +tp9775 +a(g705 +g1028 +tp9776 +a(g705 +g1028 +tp9777 +a(g826 +V\u000a +p9778 +tp9779 +a(g705 +g1028 +tp9780 +a(g705 +g1028 +tp9781 +a(g826 +V\u000a +p9782 +tp9783 +a(g705 +g1020 +tp9784 +a(g440 +VFUNCTION +p9785 +tp9786 +a(g826 +V\u000a +p9787 +tp9788 +a(g8 +V;; (FUNCTION arg-types res-type) is somewhere between +p9789 +tp9790 +a(g826 +V\u000a +p9791 +tp9792 +a(g8 +V;; NIL and FUNCTION, but undecidable. +p9793 +tp9794 +a(g826 +V\u000a +p9795 +tp9796 +a(g705 +g1020 +tp9797 +a(g744 +Vif +p9798 +tp9799 +a(g826 +g1024 +tp9800 +a(g440 +Vnotp +p9801 +tp9802 +a(g826 +g1024 +tp9803 +a(g117 +V'NIL +p9804 +tp9805 +a(g826 +g1024 +tp9806 +a(g117 +V'FUNCTION +p9807 +tp9808 +a(g705 +g1028 +tp9809 +a(g826 +V\u000a +p9810 +tp9811 +a(g705 +g1028 +tp9812 +a(g826 +V\u000a +p9813 +tp9814 +a(g705 +g1020 +tp9815 +a(g565 +g1480 +tp9816 +a(g826 +g1024 +tp9817 +a(g705 +g1020 +tp9818 +a(g681 +Vcond +p9819 +tp9820 +a(g826 +g1024 +tp9821 +a(g705 +g1020 +tp9822 +a(g705 +g1020 +tp9823 +a(g681 +Vget +p9824 +tp9825 +a(g826 +g1024 +tp9826 +a(g705 +g1020 +tp9827 +a(g681 +Vfirst +p9828 +tp9829 +a(g826 +g1024 +tp9830 +a(g440 +g1292 +tp9831 +a(g705 +g1028 +tp9832 +a(g826 +g1024 +tp9833 +a(g117 +V'TYPE-LIST +p9834 +tp9835 +a(g705 +g1028 +tp9836 +a(g826 +g1024 +tp9837 +a(g440 +g1292 +tp9838 +a(g705 +g1028 +tp9839 +a(g826 +V\u000a +p9840 +tp9841 +a(g705 +g1020 +tp9842 +a(g705 +g1020 +tp9843 +a(g744 +Vsetq +p9844 +tp9845 +a(g826 +g1024 +tp9846 +a(g440 +g1304 +tp9847 +a(g826 +g1024 +tp9848 +a(g705 +g1020 +tp9849 +a(g681 +Vget +p9850 +tp9851 +a(g826 +g1024 +tp9852 +a(g705 +g1020 +tp9853 +a(g681 +Vfirst +p9854 +tp9855 +a(g826 +g1024 +tp9856 +a(g440 +g1292 +tp9857 +a(g705 +g1028 +tp9858 +a(g826 +g1024 +tp9859 +a(g117 +V'DEFTYPE-EXPANDER +p9860 +tp9861 +a(g705 +g1028 +tp9862 +a(g705 +g1028 +tp9863 +a(g826 +V\u000a +p9864 +tp9865 +a(g705 +g1020 +tp9866 +a(g744 +Vlet* +p9867 +tp9868 +a(g826 +g1024 +tp9869 +a(g705 +g1020 +tp9870 +a(g705 +g1020 +tp9871 +a(g440 +g9470 +tp9872 +a(g826 +g1024 +tp9873 +a(g705 +g1020 +tp9874 +a(g681 +Vfuncall +p9875 +tp9876 +a(g826 +g1024 +tp9877 +a(g440 +g1304 +tp9878 +a(g826 +g1024 +tp9879 +a(g440 +g1292 +tp9880 +a(g705 +g1028 +tp9881 +a(g705 +g1028 +tp9882 +a(g826 +V\u000a +p9883 +tp9884 +a(g705 +g1020 +tp9885 +a(g440 +Vzx +p9886 +tp9887 +a(g826 +g1024 +tp9888 +a(g705 +g1020 +tp9889 +a(g440 +Vtype-for-discrimination +p9890 +tp9891 +a(g826 +g1024 +tp9892 +a(g440 +g9470 +tp9893 +a(g826 +g1024 +tp9894 +a(g440 +Vnotp +p9895 +tp9896 +a(g705 +g1028 +tp9897 +a(g705 +g1028 +tp9898 +a(g705 +g1028 +tp9899 +a(g826 +V\u000a +p9900 +tp9901 +a(g705 +g1020 +tp9902 +a(g744 +Vif +p9903 +tp9904 +a(g826 +g1024 +tp9905 +a(g705 +g1020 +tp9906 +a(g681 +Veql +p9907 +tp9908 +a(g826 +g1024 +tp9909 +a(g440 +Vzx +p9910 +tp9911 +a(g826 +g1024 +tp9912 +a(g440 +g9470 +tp9913 +a(g705 +g1028 +tp9914 +a(g826 +g1024 +tp9915 +a(g440 +g1292 +tp9916 +a(g826 +g1024 +tp9917 +a(g440 +Vzx +p9918 +tp9919 +a(g705 +g1028 +tp9920 +a(g826 +V\u000a +p9921 +tp9922 +a(g705 +g1028 +tp9923 +a(g705 +g1028 +tp9924 +a(g826 +V\u000a +p9925 +tp9926 +a(g705 +g1020 +tp9927 +a(g565 +g1480 +tp9928 +a(g826 +g1024 +tp9929 +a(g440 +g1292 +tp9930 +a(g705 +g1028 +tp9931 +a(g826 +V\u000a +p9932 +tp9933 +a(g705 +g1028 +tp9934 +a(g826 +V +p9935 +tp9936 +a(g705 +g1028 +tp9937 +a(g826 +g1024 +tp9938 +a(g705 +g1028 +tp9939 +a(g826 +V +p9940 +tp9941 +a(g705 +g1028 +tp9942 +a(g826 +V\u000a +p9943 +tp9944 +a(g705 +g1020 +tp9945 +a(g565 +g1480 +tp9946 +a(g826 +g1024 +tp9947 +a(g440 +g1292 +tp9948 +a(g705 +g1028 +tp9949 +a(g826 +V\u000a +p9950 +tp9951 +a(g705 +g1028 +tp9952 +a(g826 +g1024 +tp9953 +a(g705 +g1028 +tp9954 +a(g826 +V\u000a\u000a +p9955 +tp9956 +a(g8 +V; Testet eine Liste von Werten auf Erfüllen eines Type-Specifiers. Für THE. +p9957 +tp9958 +a(g826 +V\u000a +p9959 +tp9960 +a(g705 +g1020 +tp9961 +a(g681 +Vdefun +p9962 +tp9963 +a(g826 +g1024 +tp9964 +a(g440 +V%the +p9965 +tp9966 +a(g826 +g1024 +tp9967 +a(g705 +g1020 +tp9968 +a(g681 +Vvalues +p9969 +tp9970 +a(g826 +g1024 +tp9971 +a(g744 +Vtype +p9972 +tp9973 +a(g705 +g1028 +tp9974 +a(g826 +g1024 +tp9975 +a(g8 +V; ABI +p9976 +tp9977 +a(g826 +V\u000a +p9978 +tp9979 +a(g705 +g1020 +tp9980 +a(g744 +Vmacrolet +p9981 +tp9982 +a(g826 +g1024 +tp9983 +a(g705 +g1020 +tp9984 +a(g705 +g1020 +tp9985 +a(g440 +Vnear-typep +p9986 +tp9987 +a(g826 +g1024 +tp9988 +a(g705 +g1020 +tp9989 +a(g440 +Vobjform +p9990 +tp9991 +a(g826 +g1024 +tp9992 +a(g440 +Vtypform +p9993 +tp9994 +a(g705 +g1028 +tp9995 +a(g826 +V\u000a +p9996 +tp9997 +a(g8 +V;; near-typep ist wie typep, nur dass das Objekt auch ein +p9998 +tp9999 +a(g826 +V\u000a +p10000 +tp10001 +a(g8 +V;; Read-Label sein darf. Das tritt z.B. auf bei +p10002 +tp10003 +a(g826 +V\u000a +p10004 +tp10005 +a(g8 +V;; (read-from-string "#1=#S(FOO :X #1#)") +p10006 +tp10007 +a(g826 +V\u000a +p10008 +tp10009 +a(g8 +V;; im Konstruktor MAKE-FOO. Die Implementation ist aber +p10010 +tp10011 +a(g826 +V\u000a +p10012 +tp10013 +a(g8 +V;; nicht gezwungen, bei fehlerhaftem THE zwingend einen +p10014 +tp10015 +a(g826 +V\u000a +p10016 +tp10017 +a(g8 +V;; Fehler zu melden, darum ist ein lascherer Typcheck hier +p10018 +tp10019 +a(g826 +V\u000a +p10020 +tp10021 +a(g8 +V;; erlaubt. +p10022 +tp10023 +a(g826 +V\u000a +p10024 +tp10025 +a(g705 +g1020 +tp10026 +a(g744 +Vlet +p10027 +tp10028 +a(g826 +g1024 +tp10029 +a(g705 +g1020 +tp10030 +a(g705 +g1020 +tp10031 +a(g440 +Vg +p10032 +tp10033 +a(g826 +g1024 +tp10034 +a(g705 +g1020 +tp10035 +a(g681 +Vgensym +p10036 +tp10037 +a(g705 +g1028 +tp10038 +a(g705 +g1028 +tp10039 +a(g705 +g1028 +tp10040 +a(g826 +V\u000a +p10041 +tp10042 +a(g412 +g2808 +tp10043 +a(g705 +g1020 +tp10044 +a(g744 +Vlet +p10045 +tp10046 +a(g826 +g1024 +tp10047 +a(g705 +g1020 +tp10048 +a(g705 +g1020 +tp10049 +a(g412 +g2831 +tp10050 +a(g440 +g10032 +tp10051 +a(g826 +g1024 +tp10052 +a(g412 +g2831 +tp10053 +a(g440 +Vobjform +p10054 +tp10055 +a(g705 +g1028 +tp10056 +a(g705 +g1028 +tp10057 +a(g826 +V\u000a +p10058 +tp10059 +a(g705 +g1020 +tp10060 +a(g681 +Vor +p10061 +tp10062 +a(g826 +g1024 +tp10063 +a(g705 +g1020 +tp10064 +a(g681 +Vtypep +p10065 +tp10066 +a(g826 +g1024 +tp10067 +a(g412 +g2831 +tp10068 +a(g440 +g10032 +tp10069 +a(g826 +g1024 +tp10070 +a(g412 +g2831 +tp10071 +a(g440 +Vtypform +p10072 +tp10073 +a(g705 +g1028 +tp10074 +a(g826 +g1024 +tp10075 +a(g705 +g1020 +tp10076 +a(g681 +Veq +p10077 +tp10078 +a(g826 +g1024 +tp10079 +a(g705 +g1020 +tp10080 +a(g681 +Vtype-of +p10081 +tp10082 +a(g826 +g1024 +tp10083 +a(g412 +g2831 +tp10084 +a(g440 +g10032 +tp10085 +a(g705 +g1028 +tp10086 +a(g826 +g1024 +tp10087 +a(g117 +V'READ-LABEL +p10088 +tp10089 +a(g705 +g1028 +tp10090 +a(g705 +g1028 +tp10091 +a(g705 +g1028 +tp10092 +a(g705 +g1028 +tp10093 +a(g705 +g1028 +tp10094 +a(g705 +g1028 +tp10095 +a(g826 +V\u000a +p10096 +tp10097 +a(g705 +g1020 +tp10098 +a(g744 +Vif +p10099 +tp10100 +a(g826 +g1024 +tp10101 +a(g705 +g1020 +tp10102 +a(g681 +Vand +p10103 +tp10104 +a(g826 +g1024 +tp10105 +a(g705 +g1020 +tp10106 +a(g681 +Vconsp +p10107 +tp10108 +a(g826 +g1024 +tp10109 +a(g744 +Vtype +p10110 +tp10111 +a(g705 +g1028 +tp10112 +a(g826 +g1024 +tp10113 +a(g705 +g1020 +tp10114 +a(g681 +Veq +p10115 +tp10116 +a(g826 +g1024 +tp10117 +a(g705 +g1020 +tp10118 +a(g681 +Vcar +p10119 +tp10120 +a(g826 +g1024 +tp10121 +a(g744 +Vtype +p10122 +tp10123 +a(g705 +g1028 +tp10124 +a(g826 +g1024 +tp10125 +a(g117 +V'VALUES +p10126 +tp10127 +a(g705 +g1028 +tp10128 +a(g705 +g1028 +tp10129 +a(g826 +V\u000a +p10130 +tp10131 +a(g8 +V;; The VALUES type specifier is ill-defined in ANSI CL. +p10132 +tp10133 +a(g826 +V\u000a +p10134 +tp10135 +a(g8 +V;; +p10136 +tp10137 +a(g826 +V\u000a +p10138 +tp10139 +a(g8 +V;; There are two possibilities to define a VALUES type specifier in a +p10140 +tp10141 +a(g826 +V\u000a +p10142 +tp10143 +a(g8 +V;; sane way: +p10144 +tp10145 +a(g826 +V\u000a +p10146 +tp10147 +a(g8 +V;; - (EXACT-VALUES type1 ... [&optional ...]) describes the exact shape +p10148 +tp10149 +a(g826 +V\u000a +p10150 +tp10151 +a(g8 +V;; of the values list, as received by MULTIPLE-VALUE-LIST. +p10152 +tp10153 +a(g826 +V\u000a +p10154 +tp10155 +a(g8 +V;; For example, (EXACT-VALUES SYMBOL) is matched by (values 'a) but not +p10156 +tp10157 +a(g826 +V\u000a +p10158 +tp10159 +a(g8 +V;; by (values 'a 'b) or (values). +p10160 +tp10161 +a(g826 +V\u000a +p10162 +tp10163 +a(g8 +V;; - (ASSIGNABLE-VALUES type1 ... [&optional ...]) describes the values +p10164 +tp10165 +a(g826 +V\u000a +p10166 +tp10167 +a(g8 +V;; as received by a set of variables through MULTIPLE-VALUE-BIND or +p10168 +tp10169 +a(g826 +V\u000a +p10170 +tp10171 +a(g8 +V;; MULTIPLE-VALUE-SETQ. For example, (ASSIGNABLE-VALUES SYMBOL) is +p10172 +tp10173 +a(g826 +V\u000a +p10174 +tp10175 +a(g8 +V;; defined by whether +p10176 +tp10177 +a(g826 +V\u000a +p10178 +tp10179 +a(g8 +V;; (MULTIPLE-VALUE-BIND (var1) values (DECLARE (TYPE SYMBOL var1)) ...) +p10180 +tp10181 +a(g826 +V\u000a +p10182 +tp10183 +a(g8 +V;; is valid or not; therefore (ASSIGNABLE-VALUES SYMBOL) is matched by +p10184 +tp10185 +a(g826 +V\u000a +p10186 +tp10187 +a(g8 +V;; (values 'a) and (values 'a 'b) and (values). +p10188 +tp10189 +a(g826 +V\u000a +p10190 +tp10191 +a(g8 +V;; Note that &OPTIONAL is actually redundant here: +p10192 +tp10193 +a(g826 +V\u000a +p10194 +tp10195 +a(g8 +V;; (ASSIGNABLE-VALUES type1 ... &optional otype1 ...) +p10196 +tp10197 +a(g826 +V\u000a +p10198 +tp10199 +a(g8 +V;; is equivalent to +p10200 +tp10201 +a(g826 +V\u000a +p10202 +tp10203 +a(g8 +V;; (ASSIGNABLE-VALUES type1 ... (OR NULL otype1) ...) +p10204 +tp10205 +a(g826 +V\u000a +p10206 +tp10207 +a(g8 +V;; HyperSpec/Body/typspe_values.html indicates that VALUES means +p10208 +tp10209 +a(g826 +V\u000a +p10210 +tp10211 +a(g8 +V;; EXACT-VALUES; however, HyperSpec/Body/speope_the.html indicates that +p10212 +tp10213 +a(g826 +V\u000a +p10214 +tp10215 +a(g8 +V;; VALUES means ASSIGNABLE-VALUES. +p10216 +tp10217 +a(g826 +V\u000a +p10218 +tp10219 +a(g8 +V;; +p10220 +tp10221 +a(g826 +V\u000a +p10222 +tp10223 +a(g8 +V;; SBCL interprets the VALUES type specifier to mean EXACT-VALUES when +p10224 +tp10225 +a(g826 +V\u000a +p10226 +tp10227 +a(g8 +V;; it contains &OPTIONAL or &REST, but ASSIGNABLE-VALUES when it has +p10228 +tp10229 +a(g826 +V\u000a +p10230 +tp10231 +a(g8 +V;; only a tuple of type specifiers. This is utter nonsense, in particular +p10232 +tp10233 +a(g826 +V\u000a +p10234 +tp10235 +a(g8 +V;; because it makes (VALUES type1 ... typek &OPTIONAL) +p10236 +tp10237 +a(g826 +V\u000a +p10238 +tp10239 +a(g8 +V;; different from (VALUES type1 ... typek). +p10240 +tp10241 +a(g826 +V\u000a +p10242 +tp10243 +a(g8 +V;; +p10244 +tp10245 +a(g826 +V\u000a +p10246 +tp10247 +a(g8 +V;; Here we use the ASSIGNABLE-VALUES interpretation. +p10248 +tp10249 +a(g826 +V\u000a +p10250 +tp10251 +a(g8 +V;; In SUBTYPEP we just punt and don't assume any interpretation. +p10252 +tp10253 +a(g826 +V\u000a +p10254 +tp10255 +a(g705 +g1020 +tp10256 +a(g744 +Vlet +p10257 +tp10258 +a(g826 +g1024 +tp10259 +a(g705 +g1020 +tp10260 +a(g705 +g1020 +tp10261 +a(g440 +Vvals +p10262 +tp10263 +a(g826 +g1024 +tp10264 +a(g681 +Vvalues +p10265 +tp10266 +a(g705 +g1028 +tp10267 +a(g826 +g1024 +tp10268 +a(g705 +g1020 +tp10269 +a(g440 +Vtypes +p10270 +tp10271 +a(g826 +g1024 +tp10272 +a(g705 +g1020 +tp10273 +a(g681 +Vcdr +p10274 +tp10275 +a(g826 +g1024 +tp10276 +a(g744 +Vtype +p10277 +tp10278 +a(g705 +g1028 +tp10279 +a(g705 +g1028 +tp10280 +a(g705 +g1028 +tp10281 +a(g826 +V\u000a +p10282 +tp10283 +a(g8 +V;; required: +p10284 +tp10285 +a(g826 +V\u000a +p10286 +tp10287 +a(g705 +g1020 +tp10288 +a(g681 +Vloop +p10289 +tp10290 +a(g826 +V\u000a +p10291 +tp10292 +a(g705 +g1020 +tp10293 +a(g681 +Vwhen +p10294 +tp10295 +a(g826 +g1024 +tp10296 +a(g705 +g1020 +tp10297 +a(g681 +Vor +p10298 +tp10299 +a(g826 +g1024 +tp10300 +a(g705 +g1020 +tp10301 +a(g681 +Vatom +p10302 +tp10303 +a(g826 +g1024 +tp10304 +a(g440 +Vtypes +p10305 +tp10306 +a(g705 +g1028 +tp10307 +a(g826 +g1024 +tp10308 +a(g705 +g1020 +tp10309 +a(g681 +Vatom +p10310 +tp10311 +a(g826 +g1024 +tp10312 +a(g440 +Vvals +p10313 +tp10314 +a(g705 +g1028 +tp10315 +a(g705 +g1028 +tp10316 +a(g826 +g1024 +tp10317 +a(g705 +g1020 +tp10318 +a(g744 +Vreturn-from +p10319 +tp10320 +a(g826 +g1024 +tp10321 +a(g440 +V%the +p10322 +tp10323 +a(g826 +g1024 +tp10324 +a(g565 +g1480 +tp10325 +a(g705 +g1028 +tp10326 +a(g705 +g1028 +tp10327 +a(g826 +V\u000a +p10328 +tp10329 +a(g705 +g1020 +tp10330 +a(g681 +Vwhen +p10331 +tp10332 +a(g826 +g1024 +tp10333 +a(g705 +g1020 +tp10334 +a(g440 +Vmemq +p10335 +tp10336 +a(g826 +g1024 +tp10337 +a(g705 +g1020 +tp10338 +a(g681 +Vcar +p10339 +tp10340 +a(g826 +g1024 +tp10341 +a(g440 +Vtypes +p10342 +tp10343 +a(g705 +g1028 +tp10344 +a(g826 +g1024 +tp10345 +a(g440 +Vlambda-list-keywords +p10346 +tp10347 +a(g705 +g1028 +tp10348 +a(g826 +g1024 +tp10349 +a(g705 +g1020 +tp10350 +a(g681 +Vreturn +p10351 +tp10352 +a(g705 +g1028 +tp10353 +a(g705 +g1028 +tp10354 +a(g826 +V\u000a +p10355 +tp10356 +a(g705 +g1020 +tp10357 +a(g681 +Vunless +p10358 +tp10359 +a(g826 +g1024 +tp10360 +a(g705 +g1020 +tp10361 +a(g440 +Vnear-typep +p10362 +tp10363 +a(g826 +g1024 +tp10364 +a(g705 +g1020 +tp10365 +a(g681 +Vpop +p10366 +tp10367 +a(g826 +g1024 +tp10368 +a(g440 +Vvals +p10369 +tp10370 +a(g705 +g1028 +tp10371 +a(g826 +g1024 +tp10372 +a(g705 +g1020 +tp10373 +a(g681 +Vpop +p10374 +tp10375 +a(g826 +g1024 +tp10376 +a(g440 +Vtypes +p10377 +tp10378 +a(g705 +g1028 +tp10379 +a(g705 +g1028 +tp10380 +a(g826 +V\u000a +p10381 +tp10382 +a(g705 +g1020 +tp10383 +a(g744 +Vreturn-from +p10384 +tp10385 +a(g826 +g1024 +tp10386 +a(g440 +V%the +p10387 +tp10388 +a(g826 +g1024 +tp10389 +a(g565 +Vnil +p10390 +tp10391 +a(g705 +g1028 +tp10392 +a(g705 +g1028 +tp10393 +a(g705 +g1028 +tp10394 +a(g826 +V\u000a +p10395 +tp10396 +a(g8 +V;; &optional: +p10397 +tp10398 +a(g826 +V\u000a +p10399 +tp10400 +a(g705 +g1020 +tp10401 +a(g681 +Vwhen +p10402 +tp10403 +a(g826 +g1024 +tp10404 +a(g705 +g1020 +tp10405 +a(g681 +Vand +p10406 +tp10407 +a(g826 +g1024 +tp10408 +a(g705 +g1020 +tp10409 +a(g681 +Vconsp +p10410 +tp10411 +a(g826 +g1024 +tp10412 +a(g440 +Vtypes +p10413 +tp10414 +a(g705 +g1028 +tp10415 +a(g826 +g1024 +tp10416 +a(g705 +g1020 +tp10417 +a(g681 +Veq +p10418 +tp10419 +a(g826 +g1024 +tp10420 +a(g705 +g1020 +tp10421 +a(g681 +Vcar +p10422 +tp10423 +a(g826 +g1024 +tp10424 +a(g440 +Vtypes +p10425 +tp10426 +a(g705 +g1028 +tp10427 +a(g826 +g1024 +tp10428 +a(g117 +V'&optional +p10429 +tp10430 +a(g705 +g1028 +tp10431 +a(g705 +g1028 +tp10432 +a(g826 +V\u000a +p10433 +tp10434 +a(g705 +g1020 +tp10435 +a(g744 +Vsetq +p10436 +tp10437 +a(g826 +g1024 +tp10438 +a(g440 +Vtypes +p10439 +tp10440 +a(g826 +g1024 +tp10441 +a(g705 +g1020 +tp10442 +a(g681 +Vcdr +p10443 +tp10444 +a(g826 +g1024 +tp10445 +a(g440 +Vtypes +p10446 +tp10447 +a(g705 +g1028 +tp10448 +a(g705 +g1028 +tp10449 +a(g826 +V\u000a +p10450 +tp10451 +a(g705 +g1020 +tp10452 +a(g681 +Vloop +p10453 +tp10454 +a(g826 +V\u000a +p10455 +tp10456 +a(g705 +g1020 +tp10457 +a(g681 +Vwhen +p10458 +tp10459 +a(g826 +g1024 +tp10460 +a(g705 +g1020 +tp10461 +a(g681 +Vor +p10462 +tp10463 +a(g826 +g1024 +tp10464 +a(g705 +g1020 +tp10465 +a(g681 +Vatom +p10466 +tp10467 +a(g826 +g1024 +tp10468 +a(g440 +Vtypes +p10469 +tp10470 +a(g705 +g1028 +tp10471 +a(g826 +g1024 +tp10472 +a(g705 +g1020 +tp10473 +a(g681 +Vatom +p10474 +tp10475 +a(g826 +g1024 +tp10476 +a(g440 +Vvals +p10477 +tp10478 +a(g705 +g1028 +tp10479 +a(g705 +g1028 +tp10480 +a(g826 +g1024 +tp10481 +a(g705 +g1020 +tp10482 +a(g744 +Vreturn-from +p10483 +tp10484 +a(g826 +g1024 +tp10485 +a(g440 +V%the +p10486 +tp10487 +a(g826 +g1024 +tp10488 +a(g565 +g1480 +tp10489 +a(g705 +g1028 +tp10490 +a(g705 +g1028 +tp10491 +a(g826 +V\u000a +p10492 +tp10493 +a(g705 +g1020 +tp10494 +a(g681 +Vwhen +p10495 +tp10496 +a(g826 +g1024 +tp10497 +a(g705 +g1020 +tp10498 +a(g440 +Vmemq +p10499 +tp10500 +a(g826 +g1024 +tp10501 +a(g705 +g1020 +tp10502 +a(g681 +Vcar +p10503 +tp10504 +a(g826 +g1024 +tp10505 +a(g440 +Vtypes +p10506 +tp10507 +a(g705 +g1028 +tp10508 +a(g826 +g1024 +tp10509 +a(g440 +Vlambda-list-keywords +p10510 +tp10511 +a(g705 +g1028 +tp10512 +a(g826 +g1024 +tp10513 +a(g705 +g1020 +tp10514 +a(g681 +Vreturn +p10515 +tp10516 +a(g705 +g1028 +tp10517 +a(g705 +g1028 +tp10518 +a(g826 +V\u000a +p10519 +tp10520 +a(g705 +g1020 +tp10521 +a(g681 +Vunless +p10522 +tp10523 +a(g826 +g1024 +tp10524 +a(g705 +g1020 +tp10525 +a(g440 +Vnear-typep +p10526 +tp10527 +a(g826 +g1024 +tp10528 +a(g705 +g1020 +tp10529 +a(g681 +Vpop +p10530 +tp10531 +a(g826 +g1024 +tp10532 +a(g440 +Vvals +p10533 +tp10534 +a(g705 +g1028 +tp10535 +a(g826 +g1024 +tp10536 +a(g705 +g1020 +tp10537 +a(g681 +Vpop +p10538 +tp10539 +a(g826 +g1024 +tp10540 +a(g440 +Vtypes +p10541 +tp10542 +a(g705 +g1028 +tp10543 +a(g705 +g1028 +tp10544 +a(g826 +V\u000a +p10545 +tp10546 +a(g705 +g1020 +tp10547 +a(g744 +Vreturn-from +p10548 +tp10549 +a(g826 +g1024 +tp10550 +a(g440 +V%the +p10551 +tp10552 +a(g826 +g1024 +tp10553 +a(g565 +Vnil +p10554 +tp10555 +a(g705 +g1028 +tp10556 +a(g705 +g1028 +tp10557 +a(g705 +g1028 +tp10558 +a(g705 +g1028 +tp10559 +a(g826 +V\u000a +p10560 +tp10561 +a(g8 +V;; &rest &key: +p10562 +tp10563 +a(g826 +V\u000a +p10564 +tp10565 +a(g705 +g1020 +tp10566 +a(g681 +Vcase +p10567 +tp10568 +a(g826 +g1024 +tp10569 +a(g705 +g1020 +tp10570 +a(g681 +Vcar +p10571 +tp10572 +a(g826 +g1024 +tp10573 +a(g440 +Vtypes +p10574 +tp10575 +a(g705 +g1028 +tp10576 +a(g826 +V\u000a +p10577 +tp10578 +a(g705 +g1020 +tp10579 +a(g744 +V&rest +p10580 +tp10581 +a(g826 +V\u000a +p10582 +tp10583 +a(g705 +g1020 +tp10584 +a(g744 +Vsetq +p10585 +tp10586 +a(g826 +g1024 +tp10587 +a(g440 +Vtypes +p10588 +tp10589 +a(g826 +g1024 +tp10590 +a(g705 +g1020 +tp10591 +a(g681 +Vcdr +p10592 +tp10593 +a(g826 +g1024 +tp10594 +a(g440 +Vtypes +p10595 +tp10596 +a(g705 +g1028 +tp10597 +a(g705 +g1028 +tp10598 +a(g826 +V\u000a +p10599 +tp10600 +a(g705 +g1020 +tp10601 +a(g681 +Vwhen +p10602 +tp10603 +a(g826 +g1024 +tp10604 +a(g705 +g1020 +tp10605 +a(g681 +Vatom +p10606 +tp10607 +a(g826 +g1024 +tp10608 +a(g440 +Vtypes +p10609 +tp10610 +a(g705 +g1028 +tp10611 +a(g826 +g1024 +tp10612 +a(g705 +g1020 +tp10613 +a(g440 +Vtypespec-error +p10614 +tp10615 +a(g826 +g1024 +tp10616 +a(g117 +V'the +p10617 +tp10618 +a(g826 +g1024 +tp10619 +a(g744 +Vtype +p10620 +tp10621 +a(g705 +g1028 +tp10622 +a(g705 +g1028 +tp10623 +a(g826 +V\u000a +p10624 +tp10625 +a(g705 +g1020 +tp10626 +a(g681 +Vunless +p10627 +tp10628 +a(g826 +g1024 +tp10629 +a(g705 +g1020 +tp10630 +a(g440 +Vnear-typep +p10631 +tp10632 +a(g826 +g1024 +tp10633 +a(g705 +g1020 +tp10634 +a(g681 +Vpop +p10635 +tp10636 +a(g826 +g1024 +tp10637 +a(g440 +Vvals +p10638 +tp10639 +a(g705 +g1028 +tp10640 +a(g826 +g1024 +tp10641 +a(g705 +g1020 +tp10642 +a(g681 +Vpop +p10643 +tp10644 +a(g826 +g1024 +tp10645 +a(g440 +Vtypes +p10646 +tp10647 +a(g705 +g1028 +tp10648 +a(g705 +g1028 +tp10649 +a(g826 +V\u000a +p10650 +tp10651 +a(g705 +g1020 +tp10652 +a(g744 +Vreturn-from +p10653 +tp10654 +a(g826 +g1024 +tp10655 +a(g440 +V%the +p10656 +tp10657 +a(g826 +g1024 +tp10658 +a(g565 +Vnil +p10659 +tp10660 +a(g705 +g1028 +tp10661 +a(g705 +g1028 +tp10662 +a(g705 +g1028 +tp10663 +a(g826 +V\u000a +p10664 +tp10665 +a(g705 +g1020 +tp10666 +a(g744 +V&key +p10667 +tp10668 +a(g705 +g1028 +tp10669 +a(g826 +V\u000a +p10670 +tp10671 +a(g705 +g1020 +tp10672 +a(g565 +g1480 +tp10673 +a(g826 +g1024 +tp10674 +a(g705 +g1020 +tp10675 +a(g440 +Vtypespec-error +p10676 +tp10677 +a(g826 +g1024 +tp10678 +a(g117 +V'the +p10679 +tp10680 +a(g826 +g1024 +tp10681 +a(g744 +Vtype +p10682 +tp10683 +a(g705 +g1028 +tp10684 +a(g705 +g1028 +tp10685 +a(g705 +g1028 +tp10686 +a(g826 +V\u000a +p10687 +tp10688 +a(g705 +g1020 +tp10689 +a(g744 +Vif +p10690 +tp10691 +a(g826 +g1024 +tp10692 +a(g705 +g1020 +tp10693 +a(g681 +Veq +p10694 +tp10695 +a(g826 +g1024 +tp10696 +a(g705 +g1020 +tp10697 +a(g681 +Vcar +p10698 +tp10699 +a(g826 +g1024 +tp10700 +a(g440 +Vtypes +p10701 +tp10702 +a(g705 +g1028 +tp10703 +a(g826 +g1024 +tp10704 +a(g117 +V'&key +p10705 +tp10706 +a(g705 +g1028 +tp10707 +a(g826 +V\u000a +p10708 +tp10709 +a(g705 +g1020 +tp10710 +a(g744 +Vprogn +p10711 +tp10712 +a(g826 +V\u000a +p10713 +tp10714 +a(g705 +g1020 +tp10715 +a(g744 +Vsetq +p10716 +tp10717 +a(g826 +g1024 +tp10718 +a(g440 +Vtypes +p10719 +tp10720 +a(g826 +g1024 +tp10721 +a(g705 +g1020 +tp10722 +a(g681 +Vcdr +p10723 +tp10724 +a(g826 +g1024 +tp10725 +a(g440 +Vtypes +p10726 +tp10727 +a(g705 +g1028 +tp10728 +a(g705 +g1028 +tp10729 +a(g826 +V\u000a +p10730 +tp10731 +a(g705 +g1020 +tp10732 +a(g681 +Vwhen +p10733 +tp10734 +a(g826 +g1024 +tp10735 +a(g705 +g1020 +tp10736 +a(g681 +Voddp +p10737 +tp10738 +a(g826 +g1024 +tp10739 +a(g705 +g1020 +tp10740 +a(g681 +Vlength +p10741 +tp10742 +a(g826 +g1024 +tp10743 +a(g440 +Vvals +p10744 +tp10745 +a(g705 +g1028 +tp10746 +a(g705 +g1028 +tp10747 +a(g826 +g1024 +tp10748 +a(g705 +g1020 +tp10749 +a(g744 +Vreturn-from +p10750 +tp10751 +a(g826 +g1024 +tp10752 +a(g440 +V%the +p10753 +tp10754 +a(g826 +g1024 +tp10755 +a(g565 +Vnil +p10756 +tp10757 +a(g705 +g1028 +tp10758 +a(g705 +g1028 +tp10759 +a(g826 +V\u000a +p10760 +tp10761 +a(g705 +g1020 +tp10762 +a(g744 +Vlet +p10763 +tp10764 +a(g826 +g1024 +tp10765 +a(g705 +g1020 +tp10766 +a(g705 +g1020 +tp10767 +a(g440 +Vkeywords +p10768 +tp10769 +a(g826 +g1024 +tp10770 +a(g565 +Vnil +p10771 +tp10772 +a(g705 +g1028 +tp10773 +a(g705 +g1028 +tp10774 +a(g826 +V\u000a +p10775 +tp10776 +a(g705 +g1020 +tp10777 +a(g681 +Vloop +p10778 +tp10779 +a(g826 +V\u000a +p10780 +tp10781 +a(g705 +g1020 +tp10782 +a(g681 +Vwhen +p10783 +tp10784 +a(g826 +g1024 +tp10785 +a(g705 +g1020 +tp10786 +a(g681 +Vor +p10787 +tp10788 +a(g826 +g1024 +tp10789 +a(g705 +g1020 +tp10790 +a(g681 +Vatom +p10791 +tp10792 +a(g826 +g1024 +tp10793 +a(g440 +Vtypes +p10794 +tp10795 +a(g705 +g1028 +tp10796 +a(g826 +g1024 +tp10797 +a(g705 +g1020 +tp10798 +a(g681 +Vatom +p10799 +tp10800 +a(g826 +g1024 +tp10801 +a(g440 +Vvals +p10802 +tp10803 +a(g705 +g1028 +tp10804 +a(g705 +g1028 +tp10805 +a(g826 +g1024 +tp10806 +a(g705 +g1020 +tp10807 +a(g744 +Vreturn-from +p10808 +tp10809 +a(g826 +g1024 +tp10810 +a(g440 +V%the +p10811 +tp10812 +a(g826 +g1024 +tp10813 +a(g565 +g1480 +tp10814 +a(g705 +g1028 +tp10815 +a(g705 +g1028 +tp10816 +a(g826 +V\u000a +p10817 +tp10818 +a(g705 +g1020 +tp10819 +a(g681 +Vwhen +p10820 +tp10821 +a(g826 +g1024 +tp10822 +a(g705 +g1020 +tp10823 +a(g440 +Vmemq +p10824 +tp10825 +a(g826 +g1024 +tp10826 +a(g705 +g1020 +tp10827 +a(g681 +Vcar +p10828 +tp10829 +a(g826 +g1024 +tp10830 +a(g440 +Vtypes +p10831 +tp10832 +a(g705 +g1028 +tp10833 +a(g826 +g1024 +tp10834 +a(g440 +Vlambda-list-keywords +p10835 +tp10836 +a(g705 +g1028 +tp10837 +a(g826 +g1024 +tp10838 +a(g705 +g1020 +tp10839 +a(g681 +Vreturn +p10840 +tp10841 +a(g705 +g1028 +tp10842 +a(g705 +g1028 +tp10843 +a(g826 +V\u000a +p10844 +tp10845 +a(g705 +g1020 +tp10846 +a(g744 +Vlet +p10847 +tp10848 +a(g826 +g1024 +tp10849 +a(g705 +g1020 +tp10850 +a(g705 +g1020 +tp10851 +a(g440 +Vitem +p10852 +tp10853 +a(g826 +g1024 +tp10854 +a(g705 +g1020 +tp10855 +a(g681 +Vpop +p10856 +tp10857 +a(g826 +g1024 +tp10858 +a(g440 +Vtypes +p10859 +tp10860 +a(g705 +g1028 +tp10861 +a(g705 +g1028 +tp10862 +a(g705 +g1028 +tp10863 +a(g826 +V\u000a +p10864 +tp10865 +a(g705 +g1020 +tp10866 +a(g681 +Vunless +p10867 +tp10868 +a(g826 +g1024 +tp10869 +a(g705 +g1020 +tp10870 +a(g681 +Vand +p10871 +tp10872 +a(g826 +g1024 +tp10873 +a(g705 +g1020 +tp10874 +a(g681 +Vlistp +p10875 +tp10876 +a(g826 +g1024 +tp10877 +a(g440 +Vitem +p10878 +tp10879 +a(g705 +g1028 +tp10880 +a(g826 +g1024 +tp10881 +a(g705 +g1020 +tp10882 +a(g681 +Veql +p10883 +tp10884 +a(g826 +g1024 +tp10885 +a(g705 +g1020 +tp10886 +a(g681 +Vlength +p10887 +tp10888 +a(g826 +g1024 +tp10889 +a(g440 +Vitem +p10890 +tp10891 +a(g705 +g1028 +tp10892 +a(g826 +g1024 +tp10893 +a(g37 +g1563 +tp10894 +a(g705 +g1028 +tp10895 +a(g826 +V\u000a +p10896 +tp10897 +a(g705 +g1020 +tp10898 +a(g681 +Vsymbolp +p10899 +tp10900 +a(g826 +g1024 +tp10901 +a(g705 +g1020 +tp10902 +a(g681 +Vfirst +p10903 +tp10904 +a(g826 +g1024 +tp10905 +a(g440 +Vitem +p10906 +tp10907 +a(g705 +g1028 +tp10908 +a(g705 +g1028 +tp10909 +a(g705 +g1028 +tp10910 +a(g826 +V\u000a +p10911 +tp10912 +a(g705 +g1020 +tp10913 +a(g440 +Vtypespec-error +p10914 +tp10915 +a(g826 +g1024 +tp10916 +a(g117 +V'the +p10917 +tp10918 +a(g826 +g1024 +tp10919 +a(g744 +Vtype +p10920 +tp10921 +a(g705 +g1028 +tp10922 +a(g705 +g1028 +tp10923 +a(g826 +V\u000a +p10924 +tp10925 +a(g705 +g1020 +tp10926 +a(g744 +Vlet +p10927 +tp10928 +a(g826 +g1024 +tp10929 +a(g705 +g1020 +tp10930 +a(g705 +g1020 +tp10931 +a(g440 +Vkw +p10932 +tp10933 +a(g826 +g1024 +tp10934 +a(g705 +g1020 +tp10935 +a(g440 +Vsymbol-to-keyword +p10936 +tp10937 +a(g826 +g1024 +tp10938 +a(g705 +g1020 +tp10939 +a(g681 +Vfirst +p10940 +tp10941 +a(g826 +g1024 +tp10942 +a(g440 +Vitem +p10943 +tp10944 +a(g705 +g1028 +tp10945 +a(g705 +g1028 +tp10946 +a(g705 +g1028 +tp10947 +a(g705 +g1028 +tp10948 +a(g826 +V\u000a +p10949 +tp10950 +a(g705 +g1020 +tp10951 +a(g681 +Vunless +p10952 +tp10953 +a(g826 +g1024 +tp10954 +a(g705 +g1020 +tp10955 +a(g440 +Vnear-typep +p10956 +tp10957 +a(g826 +g1024 +tp10958 +a(g705 +g1020 +tp10959 +a(g681 +Vgetf +p10960 +tp10961 +a(g826 +g1024 +tp10962 +a(g440 +Vvals +p10963 +tp10964 +a(g826 +g1024 +tp10965 +a(g440 +Vkw +p10966 +tp10967 +a(g705 +g1028 +tp10968 +a(g826 +g1024 +tp10969 +a(g705 +g1020 +tp10970 +a(g681 +Vsecond +p10971 +tp10972 +a(g826 +g1024 +tp10973 +a(g440 +Vitem +p10974 +tp10975 +a(g705 +g1028 +tp10976 +a(g705 +g1028 +tp10977 +a(g826 +V\u000a +p10978 +tp10979 +a(g705 +g1020 +tp10980 +a(g744 +Vreturn-from +p10981 +tp10982 +a(g826 +g1024 +tp10983 +a(g440 +V%the +p10984 +tp10985 +a(g826 +g1024 +tp10986 +a(g565 +Vnil +p10987 +tp10988 +a(g705 +g1028 +tp10989 +a(g705 +g1028 +tp10990 +a(g826 +V\u000a +p10991 +tp10992 +a(g705 +g1020 +tp10993 +a(g681 +Vpush +p10994 +tp10995 +a(g826 +g1024 +tp10996 +a(g440 +Vkw +p10997 +tp10998 +a(g826 +g1024 +tp10999 +a(g440 +Vkeywords +p11000 +tp11001 +a(g705 +g1028 +tp11002 +a(g705 +g1028 +tp11003 +a(g705 +g1028 +tp11004 +a(g705 +g1028 +tp11005 +a(g826 +V\u000a +p11006 +tp11007 +a(g705 +g1020 +tp11008 +a(g744 +Vif +p11009 +tp11010 +a(g826 +g1024 +tp11011 +a(g705 +g1020 +tp11012 +a(g681 +Vand +p11013 +tp11014 +a(g826 +g1024 +tp11015 +a(g705 +g1020 +tp11016 +a(g681 +Vconsp +p11017 +tp11018 +a(g826 +g1024 +tp11019 +a(g440 +Vtypes +p11020 +tp11021 +a(g705 +g1028 +tp11022 +a(g826 +g1024 +tp11023 +a(g705 +g1020 +tp11024 +a(g681 +Veq +p11025 +tp11026 +a(g826 +g1024 +tp11027 +a(g705 +g1020 +tp11028 +a(g681 +Vcar +p11029 +tp11030 +a(g826 +g1024 +tp11031 +a(g440 +Vtypes +p11032 +tp11033 +a(g705 +g1028 +tp11034 +a(g826 +g1024 +tp11035 +a(g117 +V'&allow-other-keys +p11036 +tp11037 +a(g705 +g1028 +tp11038 +a(g705 +g1028 +tp11039 +a(g826 +V\u000a +p11040 +tp11041 +a(g705 +g1020 +tp11042 +a(g744 +Vsetq +p11043 +tp11044 +a(g826 +g1024 +tp11045 +a(g440 +Vtypes +p11046 +tp11047 +a(g826 +g1024 +tp11048 +a(g705 +g1020 +tp11049 +a(g681 +Vcdr +p11050 +tp11051 +a(g826 +g1024 +tp11052 +a(g440 +Vtypes +p11053 +tp11054 +a(g705 +g1028 +tp11055 +a(g705 +g1028 +tp11056 +a(g826 +V\u000a +p11057 +tp11058 +a(g705 +g1020 +tp11059 +a(g681 +Vunless +p11060 +tp11061 +a(g826 +g1024 +tp11062 +a(g705 +g1020 +tp11063 +a(g681 +Vgetf +p11064 +tp11065 +a(g826 +g1024 +tp11066 +a(g440 +Vvals +p11067 +tp11068 +a(g826 +g1024 +tp11069 +a(g412 +g1036 +tp11070 +a(g117 +V:allow-other-keys +p11071 +tp11072 +a(g705 +g1028 +tp11073 +a(g826 +V\u000a +p11074 +tp11075 +a(g705 +g1020 +tp11076 +a(g681 +Vdo +p11077 +tp11078 +a(g826 +g1024 +tp11079 +a(g705 +g1020 +tp11080 +a(g705 +g1020 +tp11081 +a(g440 +VL +p11082 +tp11083 +a(g826 +g1024 +tp11084 +a(g440 +Vvals +p11085 +tp11086 +a(g826 +g1024 +tp11087 +a(g705 +g1020 +tp11088 +a(g681 +Vcddr +p11089 +tp11090 +a(g826 +g1024 +tp11091 +a(g440 +g11082 +tp11092 +a(g705 +g1028 +tp11093 +a(g705 +g1028 +tp11094 +a(g705 +g1028 +tp11095 +a(g826 +V\u000a +p11096 +tp11097 +a(g705 +g1020 +tp11098 +a(g705 +g1020 +tp11099 +a(g681 +Vatom +p11100 +tp11101 +a(g826 +g1024 +tp11102 +a(g440 +g11082 +tp11103 +a(g705 +g1028 +tp11104 +a(g705 +g1028 +tp11105 +a(g826 +V\u000a +p11106 +tp11107 +a(g705 +g1020 +tp11108 +a(g681 +Vunless +p11109 +tp11110 +a(g826 +g1024 +tp11111 +a(g705 +g1020 +tp11112 +a(g440 +Vmemq +p11113 +tp11114 +a(g826 +g1024 +tp11115 +a(g705 +g1020 +tp11116 +a(g681 +Vcar +p11117 +tp11118 +a(g826 +g1024 +tp11119 +a(g440 +g11082 +tp11120 +a(g705 +g1028 +tp11121 +a(g826 +g1024 +tp11122 +a(g440 +Vkeywords +p11123 +tp11124 +a(g705 +g1028 +tp11125 +a(g826 +V\u000a +p11126 +tp11127 +a(g705 +g1020 +tp11128 +a(g744 +Vreturn-from +p11129 +tp11130 +a(g826 +g1024 +tp11131 +a(g440 +V%the +p11132 +tp11133 +a(g826 +g1024 +tp11134 +a(g565 +Vnil +p11135 +tp11136 +a(g705 +g1028 +tp11137 +a(g705 +g1028 +tp11138 +a(g705 +g1028 +tp11139 +a(g705 +g1028 +tp11140 +a(g705 +g1028 +tp11141 +a(g705 +g1028 +tp11142 +a(g705 +g1028 +tp11143 +a(g826 +V\u000a +p11144 +tp11145 +a(g705 +g1020 +tp11146 +a(g681 +Vwhen +p11147 +tp11148 +a(g826 +g1024 +tp11149 +a(g705 +g1020 +tp11150 +a(g681 +Vconsp +p11151 +tp11152 +a(g826 +g1024 +tp11153 +a(g440 +Vtypes +p11154 +tp11155 +a(g705 +g1028 +tp11156 +a(g826 +g1024 +tp11157 +a(g705 +g1020 +tp11158 +a(g440 +Vtypespec-error +p11159 +tp11160 +a(g826 +g1024 +tp11161 +a(g117 +V'the +p11162 +tp11163 +a(g826 +g1024 +tp11164 +a(g744 +Vtype +p11165 +tp11166 +a(g705 +g1028 +tp11167 +a(g705 +g1028 +tp11168 +a(g705 +g1028 +tp11169 +a(g826 +V\u000a +p11170 +tp11171 +a(g565 +g1480 +tp11172 +a(g705 +g1028 +tp11173 +a(g826 +V\u000a +p11174 +tp11175 +a(g705 +g1020 +tp11176 +a(g440 +Vnear-typep +p11177 +tp11178 +a(g826 +g1024 +tp11179 +a(g705 +g1020 +tp11180 +a(g744 +Vif +p11181 +tp11182 +a(g826 +g1024 +tp11183 +a(g705 +g1020 +tp11184 +a(g681 +Vconsp +p11185 +tp11186 +a(g826 +g1024 +tp11187 +a(g681 +Vvalues +p11188 +tp11189 +a(g705 +g1028 +tp11190 +a(g826 +g1024 +tp11191 +a(g705 +g1020 +tp11192 +a(g681 +Vcar +p11193 +tp11194 +a(g826 +g1024 +tp11195 +a(g681 +Vvalues +p11196 +tp11197 +a(g705 +g1028 +tp11198 +a(g826 +g1024 +tp11199 +a(g565 +Vnil +p11200 +tp11201 +a(g705 +g1028 +tp11202 +a(g826 +g1024 +tp11203 +a(g744 +Vtype +p11204 +tp11205 +a(g705 +g1028 +tp11206 +a(g705 +g1028 +tp11207 +a(g705 +g1028 +tp11208 +a(g705 +g1028 +tp11209 +a(g826 +V\u000a\u000a +p11210 +tp11211 +a(g8 +V;;; =========================================================================== +p11212 +tp11213 +a(g826 +V\u000a\u000a +p11214 +tp11215 +a(g8 +V;; SUBTYPEP +p11216 +tp11217 +a(g826 +V\u000a +p11218 +tp11219 +a(g705 +g1020 +tp11220 +a(g681 +Vload +p11221 +tp11222 +a(g826 +g1024 +tp11223 +a(g89 +V"subtypep" +p11224 +tp11225 +a(g705 +g1028 +tp11226 +a(g826 +V\u000a\u000a\u000a +p11227 +tp11228 +a(g8 +V;; Returns the number of bytes that are needed to represent #\u005cNull in a +p11229 +tp11230 +a(g826 +V\u000a +p11231 +tp11232 +a(g8 +V;; given encoding. +p11233 +tp11234 +a(g826 +V\u000a +p11235 +tp11236 +a(g705 +g1020 +tp11237 +a(g681 +Vdefun +p11238 +tp11239 +a(g826 +g1024 +tp11240 +a(g440 +Vencoding-zeroes +p11241 +tp11242 +a(g826 +g1024 +tp11243 +a(g705 +g1020 +tp11244 +a(g440 +Vencoding +p11245 +tp11246 +a(g705 +g1028 +tp11247 +a(g826 +V\u000a +p11248 +tp11249 +a(g412 +V#+ +p11250 +tp11251 +a(g440 +VUNICODE +p11252 +tp11253 +a(g826 +V\u000a +p11254 +tp11255 +a(g8 +V;; this should use min_bytes_per_char for cache, not the hash table +p11256 +tp11257 +a(g826 +V\u000a +p11258 +tp11259 +a(g705 +g1020 +tp11260 +a(g744 +Vlet +p11261 +tp11262 +a(g826 +g1024 +tp11263 +a(g705 +g1020 +tp11264 +a(g705 +g1020 +tp11265 +a(g440 +Vname +p11266 +tp11267 +a(g826 +g1024 +tp11268 +a(g705 +g1020 +tp11269 +a(g440 +Vext:encoding-charset +p11270 +tp11271 +a(g826 +g1024 +tp11272 +a(g440 +Vencoding +p11273 +tp11274 +a(g705 +g1028 +tp11275 +a(g705 +g1028 +tp11276 +a(g826 +V\u000a +p11277 +tp11278 +a(g705 +g1020 +tp11279 +a(g440 +Vtable +p11280 +tp11281 +a(g826 +g1024 +tp11282 +a(g412 +V#. +p11283 +tp11284 +a(g705 +g1020 +tp11285 +a(g681 +Vmake-hash-table +p11286 +tp11287 +a(g826 +g1024 +tp11288 +a(g117 +V:key-type +p11289 +tp11290 +a(g826 +g1024 +tp11291 +a(g412 +g1036 +tp11292 +a(g705 +g1020 +tp11293 +a(g681 +Vor +p11294 +tp11295 +a(g826 +g1024 +tp11296 +a(g681 +Vstring +p11297 +tp11298 +a(g826 +g1024 +tp11299 +a(g628 +Vsymbol +p11300 +tp11301 +a(g705 +g1028 +tp11302 +a(g826 +g1024 +tp11303 +a(g117 +V:value-type +p11304 +tp11305 +a(g826 +g1024 +tp11306 +a(g117 +V'fixnum +p11307 +tp11308 +a(g826 +V\u000a +p11309 +tp11310 +a(g117 +V:test +p11311 +tp11312 +a(g826 +g1024 +tp11313 +a(g117 +V'stablehash-equal +p11314 +tp11315 +a(g826 +g1024 +tp11316 +a(g117 +V:warn-if-needs-rehash-after-gc +p11317 +tp11318 +a(g826 +g1024 +tp11319 +a(g565 +g1480 +tp11320 +a(g826 +V\u000a +p11321 +tp11322 +a(g117 +V:initial-contents +p11323 +tp11324 +a(g826 +g1024 +tp11325 +a(g412 +g1036 +tp11326 +a(g705 +g1020 +tp11327 +a(g705 +g1020 +tp11328 +a(g89 +V"UTF-7" +p11329 +tp11330 +a(g826 +g1024 +tp11331 +a(g412 +V. +p11332 +tp11333 +a(g826 +g1024 +tp11334 +a(g37 +g2309 +tp11335 +a(g705 +g1028 +tp11336 +a(g705 +g1028 +tp11337 +a(g705 +g1028 +tp11338 +a(g705 +g1028 +tp11339 +a(g826 +V\u000a +p11340 +tp11341 +a(g705 +g1020 +tp11342 +a(g440 +Vtester +p11343 +tp11344 +a(g826 +g1024 +tp11345 +a(g412 +V#. +p11346 +tp11347 +a(g705 +g1020 +tp11348 +a(g681 +Vmake-string +p11349 +tp11350 +a(g826 +g1024 +tp11351 +a(g37 +g1563 +tp11352 +a(g826 +g1024 +tp11353 +a(g117 +V:initial-element +p11354 +tp11355 +a(g826 +g1024 +tp11356 +a(g705 +g1020 +tp11357 +a(g681 +Vcode-char +p11358 +tp11359 +a(g826 +g1024 +tp11360 +a(g37 +g3578 +tp11361 +a(g705 +g1028 +tp11362 +a(g705 +g1028 +tp11363 +a(g705 +g1028 +tp11364 +a(g705 +g1028 +tp11365 +a(g826 +V\u000a +p11366 +tp11367 +a(g705 +g1020 +tp11368 +a(g681 +Vor +p11369 +tp11370 +a(g826 +g1024 +tp11371 +a(g705 +g1020 +tp11372 +a(g681 +Vgethash +p11373 +tp11374 +a(g826 +g1024 +tp11375 +a(g440 +Vname +p11376 +tp11377 +a(g826 +g1024 +tp11378 +a(g440 +Vtable +p11379 +tp11380 +a(g705 +g1028 +tp11381 +a(g826 +V\u000a +p11382 +tp11383 +a(g705 +g1020 +tp11384 +a(g681 +Vsetf +p11385 +tp11386 +a(g826 +g1024 +tp11387 +a(g705 +g1020 +tp11388 +a(g681 +Vgethash +p11389 +tp11390 +a(g826 +g1024 +tp11391 +a(g440 +Vname +p11392 +tp11393 +a(g826 +g1024 +tp11394 +a(g440 +Vtable +p11395 +tp11396 +a(g705 +g1028 +tp11397 +a(g826 +V\u000a +p11398 +tp11399 +a(g705 +g1020 +tp11400 +a(g681 +V- +p11401 +tp11402 +a(g826 +g1024 +tp11403 +a(g705 +g1020 +tp11404 +a(g681 +Vlength +p11405 +tp11406 +a(g826 +g1024 +tp11407 +a(g705 +g1020 +tp11408 +a(g440 +Vext:convert-string-to-bytes +p11409 +tp11410 +a(g826 +g1024 +tp11411 +a(g440 +Vtester +p11412 +tp11413 +a(g826 +g1024 +tp11414 +a(g440 +Vencoding +p11415 +tp11416 +a(g705 +g1028 +tp11417 +a(g705 +g1028 +tp11418 +a(g826 +V\u000a +p11419 +tp11420 +a(g705 +g1020 +tp11421 +a(g681 +Vlength +p11422 +tp11423 +a(g826 +g1024 +tp11424 +a(g705 +g1020 +tp11425 +a(g440 +Vext:convert-string-to-bytes +p11426 +tp11427 +a(g826 +g1024 +tp11428 +a(g440 +Vtester +p11429 +tp11430 +a(g826 +g1024 +tp11431 +a(g440 +Vencoding +p11432 +tp11433 +a(g826 +V\u000a +p11434 +tp11435 +a(g117 +V:end +p11436 +tp11437 +a(g826 +g1024 +tp11438 +a(g37 +g2309 +tp11439 +a(g705 +g1028 +tp11440 +a(g705 +g1028 +tp11441 +a(g705 +g1028 +tp11442 +a(g705 +g1028 +tp11443 +a(g705 +g1028 +tp11444 +a(g705 +g1028 +tp11445 +a(g826 +V\u000a +p11446 +tp11447 +a(g412 +V#- +p11448 +tp11449 +a(g440 +VUNICODE +p11450 +tp11451 +a(g826 +g1024 +tp11452 +a(g37 +g2309 +tp11453 +a(g705 +g1028 +tp11454 +a(g826 +V\u000a\u000a +p11455 +tp11456 +a(g8 +V;; Determines two values low,high such that +p11457 +tp11458 +a(g826 +V\u000a +p11459 +tp11460 +a(g8 +V;; (subtypep type `(INTEGER ,low ,high)) +p11461 +tp11462 +a(g826 +V\u000a +p11463 +tp11464 +a(g8 +V;; holds and low is as large as possible and high is as small as possible. +p11465 +tp11466 +a(g826 +V\u000a +p11467 +tp11468 +a(g8 +V;; low = * means -infinity, high = * means infinity. +p11469 +tp11470 +a(g826 +V\u000a +p11471 +tp11472 +a(g8 +V;; When (subtypep type 'INTEGER) is false, the values NIL,NIL are returned. +p11473 +tp11474 +a(g826 +V\u000a +p11475 +tp11476 +a(g8 +V;; We need this function only for MAKE-ARRAY, UPGRADED-ARRAY-ELEMENT-TYPE and +p11477 +tp11478 +a(g826 +V\u000a +p11479 +tp11480 +a(g8 +V;; OPEN and can therefore w.l.o.g. replace +p11481 +tp11482 +a(g826 +V\u000a +p11483 +tp11484 +a(g8 +V;; type with `(OR ,type (MEMBER 0)) +p11485 +tp11486 +a(g826 +V\u000a +p11487 +tp11488 +a(g929 +V#| +p11489 +tp11490 +a(g929 +V ;; The original implementation calls canonicalize-type and then applies\u000a ;; a particular SUBTYPE variant:\u000a (defun subtype-integer (type)\u000a (macrolet ((yes () '(return-from subtype-integer (values low high)))\u000a (no () '(return-from subtype-integer nil))\u000a (unknown () '(return-from subtype-integer nil)))\u000a (setq type (canonicalize-type type))\u000a (if (consp type)\u000a (case (first type)\u000a (MEMBER ; (MEMBER &rest objects)\u000a ;; All elements must be of type INTEGER.\u000a (let ((low 0) (high 0)) ; wlog!\u000a (dolist (x (rest type) (yes))\u000a (unless (typep x 'INTEGER) (return (no)))\u000a (setq low (min low x) high (max high x)))))\u000a (OR ; (OR type*)\u000a ;; Every type must be subtype of INTEGER.\u000a (let ((low 0) (high 0)) ; wlog!\u000a (dolist (type1 (rest type) (yes))\u000a (multiple-value-bind (low1 high1) (subtype-integer type1)\u000a (unless low1 (return (no)))\u000a (setq low (if (or (eq low '*) (eq low1 '*)) '* (min low low1))\u000a high (if (or (eq high '*) (eq high1 '*))\u000a '* (max high high1)))))))\u000a (AND ; (AND type*)\u000a ;; If one of the types is subtype of INTEGER, then yes,\u000a ;; otherwise unknown.\u000a (let ((low nil) (high nil))\u000a (dolist (type1 (rest type))\u000a (multiple-value-bind (low1 high1) (subtype-integer type1)\u000a (when low1\u000a (if low\u000a (setq low (if (eq low '*) low1 (if (eq low1 '*) low (max low low1)))\u000a high (if (eq high '*) high1 (if (eq high1 '*) high (min high high1))))\u000a (setq low low1 high high1)))))\u000a (if low\u000a (progn\u000a (when (and (numberp low) (numberp high) (not (<= low high)))\u000a (setq low 0 high 0) ; type equivalent to NIL)\u000a (yes))\u000a (unknown)))))\u000a (setq type (list type)))\u000a (if (eq (first type) 'INTEGER)\u000a (let ((low (if (rest type) (second type) '*))\u000a (high (if (cddr type) (third type) '*)))\u000a (when (consp low)\u000a (setq low (first low))\u000a (when (numberp low) (incf low)))\u000a (when (consp high)\u000a (setq high (first high))\u000a (when (numberp high) (decf high)))\u000a (when (and (numberp low) (numberp high) (not (<= low high))) ; type leer?\u000a (setq low 0 high 0))\u000a (yes))\u000a (if (and (eq (first type) 'INTERVALS) (eq (second type) 'INTEGER))\u000a (let ((low (third type))\u000a (high (car (last type))))\u000a (when (consp low)\u000a (setq low (first low))\u000a (when (numberp low) (incf low)))\u000a (when (consp high)\u000a (setq high (first high))\u000a (when (numberp high) (decf high)))\u000a (yes))\u000a (unknown)))))\u000a +p11491 +tp11492 +a(g929 +V|# +p11493 +tp11494 +a(g826 +g1024 +tp11495 +a(g8 +V;; This implementation inlines the (tail-recursive) canonicalize-type +p11496 +tp11497 +a(g826 +V\u000a +p11498 +tp11499 +a(g8 +V;; function. Its advantage is that it doesn't cons as much. +p11500 +tp11501 +a(g826 +V\u000a +p11502 +tp11503 +a(g8 +V;; (For example, (subtype-integer '(UNSIGNED-BYTE 8)) doesn't cons.) +p11504 +tp11505 +a(g826 +V\u000a +p11506 +tp11507 +a(g705 +g1020 +tp11508 +a(g681 +Vdefun +p11509 +tp11510 +a(g826 +g1024 +tp11511 +a(g440 +Vsubtype-integer +p11512 +tp11513 +a(g826 +g1024 +tp11514 +a(g705 +g1020 +tp11515 +a(g744 +Vtype +p11516 +tp11517 +a(g705 +g1028 +tp11518 +a(g826 +V\u000a +p11519 +tp11520 +a(g705 +g1020 +tp11521 +a(g744 +Vmacrolet +p11522 +tp11523 +a(g826 +g1024 +tp11524 +a(g705 +g1020 +tp11525 +a(g705 +g1020 +tp11526 +a(g440 +Vyes +p11527 +tp11528 +a(g826 +g1024 +tp11529 +a(g705 +g1020 +tp11530 +a(g705 +g1028 +tp11531 +a(g826 +g1024 +tp11532 +a(g412 +g1036 +tp11533 +a(g705 +g1020 +tp11534 +a(g744 +Vreturn-from +p11535 +tp11536 +a(g826 +g1024 +tp11537 +a(g440 +Vsubtype-integer +p11538 +tp11539 +a(g826 +g1024 +tp11540 +a(g705 +g1020 +tp11541 +a(g681 +Vvalues +p11542 +tp11543 +a(g826 +g1024 +tp11544 +a(g440 +Vlow +p11545 +tp11546 +a(g826 +g1024 +tp11547 +a(g440 +Vhigh +p11548 +tp11549 +a(g705 +g1028 +tp11550 +a(g705 +g1028 +tp11551 +a(g705 +g1028 +tp11552 +a(g826 +V\u000a +p11553 +tp11554 +a(g705 +g1020 +tp11555 +a(g440 +Vno +p11556 +tp11557 +a(g826 +g1024 +tp11558 +a(g705 +g1020 +tp11559 +a(g705 +g1028 +tp11560 +a(g826 +g1024 +tp11561 +a(g412 +g1036 +tp11562 +a(g705 +g1020 +tp11563 +a(g744 +Vreturn-from +p11564 +tp11565 +a(g826 +g1024 +tp11566 +a(g440 +Vsubtype-integer +p11567 +tp11568 +a(g826 +g1024 +tp11569 +a(g565 +Vnil +p11570 +tp11571 +a(g705 +g1028 +tp11572 +a(g705 +g1028 +tp11573 +a(g826 +V\u000a +p11574 +tp11575 +a(g705 +g1020 +tp11576 +a(g440 +Vunknown +p11577 +tp11578 +a(g826 +g1024 +tp11579 +a(g705 +g1020 +tp11580 +a(g705 +g1028 +tp11581 +a(g826 +g1024 +tp11582 +a(g412 +g1036 +tp11583 +a(g705 +g1020 +tp11584 +a(g744 +Vreturn-from +p11585 +tp11586 +a(g826 +g1024 +tp11587 +a(g440 +Vsubtype-integer +p11588 +tp11589 +a(g826 +g1024 +tp11590 +a(g565 +Vnil +p11591 +tp11592 +a(g705 +g1028 +tp11593 +a(g705 +g1028 +tp11594 +a(g705 +g1028 +tp11595 +a(g826 +V\u000a +p11596 +tp11597 +a(g705 +g1020 +tp11598 +a(g744 +Vsetq +p11599 +tp11600 +a(g826 +g1024 +tp11601 +a(g744 +Vtype +p11602 +tp11603 +a(g826 +g1024 +tp11604 +a(g705 +g1020 +tp11605 +a(g440 +Vexpand-deftype +p11606 +tp11607 +a(g826 +g1024 +tp11608 +a(g744 +Vtype +p11609 +tp11610 +a(g705 +g1028 +tp11611 +a(g705 +g1028 +tp11612 +a(g826 +V\u000a +p11613 +tp11614 +a(g705 +g1020 +tp11615 +a(g681 +Vcond +p11616 +tp11617 +a(g826 +g1024 +tp11618 +a(g705 +g1020 +tp11619 +a(g705 +g1020 +tp11620 +a(g681 +Vsymbolp +p11621 +tp11622 +a(g826 +g1024 +tp11623 +a(g744 +Vtype +p11624 +tp11625 +a(g705 +g1028 +tp11626 +a(g826 +V\u000a +p11627 +tp11628 +a(g705 +g1020 +tp11629 +a(g681 +Vcase +p11630 +tp11631 +a(g826 +g1024 +tp11632 +a(g744 +Vtype +p11633 +tp11634 +a(g826 +V\u000a +p11635 +tp11636 +a(g705 +g1020 +tp11637 +a(g440 +VBIT +p11638 +tp11639 +a(g826 +g1024 +tp11640 +a(g705 +g1020 +tp11641 +a(g744 +Vlet +p11642 +tp11643 +a(g826 +g1024 +tp11644 +a(g705 +g1020 +tp11645 +a(g705 +g1020 +tp11646 +a(g440 +Vlow +p11647 +tp11648 +a(g826 +g1024 +tp11649 +a(g37 +g3578 +tp11650 +a(g705 +g1028 +tp11651 +a(g826 +g1024 +tp11652 +a(g705 +g1020 +tp11653 +a(g440 +Vhigh +p11654 +tp11655 +a(g826 +g1024 +tp11656 +a(g37 +g2309 +tp11657 +a(g705 +g1028 +tp11658 +a(g705 +g1028 +tp11659 +a(g826 +g1024 +tp11660 +a(g705 +g1020 +tp11661 +a(g440 +Vyes +p11662 +tp11663 +a(g705 +g1028 +tp11664 +a(g705 +g1028 +tp11665 +a(g705 +g1028 +tp11666 +a(g826 +V\u000a +p11667 +tp11668 +a(g705 +g1020 +tp11669 +a(g440 +VFIXNUM +p11670 +tp11671 +a(g826 +V\u000a +p11672 +tp11673 +a(g705 +g1020 +tp11674 +a(g744 +Vlet +p11675 +tp11676 +a(g826 +g1024 +tp11677 +a(g705 +g1020 +tp11678 +a(g705 +g1020 +tp11679 +a(g440 +Vlow +p11680 +tp11681 +a(g826 +g1024 +tp11682 +a(g412 +g1036 +tp11683 +a(g412 +V#, +p11684 +tp11685 +a(g440 +Vmost-negative-fixnum +p11686 +tp11687 +a(g705 +g1028 +tp11688 +a(g826 +V\u000a +p11689 +tp11690 +a(g705 +g1020 +tp11691 +a(g440 +Vhigh +p11692 +tp11693 +a(g826 +g1024 +tp11694 +a(g412 +g1036 +tp11695 +a(g412 +V#, +p11696 +tp11697 +a(g440 +Vmost-positive-fixnum +p11698 +tp11699 +a(g705 +g1028 +tp11700 +a(g705 +g1028 +tp11701 +a(g826 +V\u000a +p11702 +tp11703 +a(g705 +g1020 +tp11704 +a(g440 +Vyes +p11705 +tp11706 +a(g705 +g1028 +tp11707 +a(g705 +g1028 +tp11708 +a(g705 +g1028 +tp11709 +a(g826 +V\u000a +p11710 +tp11711 +a(g705 +g1020 +tp11712 +a(g705 +g1020 +tp11713 +a(g440 +VINTEGER +p11714 +tp11715 +a(g826 +g1024 +tp11716 +a(g440 +VBIGNUM +p11717 +tp11718 +a(g826 +g1024 +tp11719 +a(g440 +VSIGNED-BYTE +p11720 +tp11721 +a(g705 +g1028 +tp11722 +a(g826 +V\u000a +p11723 +tp11724 +a(g705 +g1020 +tp11725 +a(g744 +Vlet +p11726 +tp11727 +a(g826 +g1024 +tp11728 +a(g705 +g1020 +tp11729 +a(g705 +g1020 +tp11730 +a(g440 +Vlow +p11731 +tp11732 +a(g826 +g1024 +tp11733 +a(g117 +V'* +p11734 +tp11735 +a(g705 +g1028 +tp11736 +a(g826 +g1024 +tp11737 +a(g705 +g1020 +tp11738 +a(g440 +Vhigh +p11739 +tp11740 +a(g826 +g1024 +tp11741 +a(g117 +V'* +p11742 +tp11743 +a(g705 +g1028 +tp11744 +a(g705 +g1028 +tp11745 +a(g826 +g1024 +tp11746 +a(g705 +g1020 +tp11747 +a(g440 +Vyes +p11748 +tp11749 +a(g705 +g1028 +tp11750 +a(g705 +g1028 +tp11751 +a(g705 +g1028 +tp11752 +a(g826 +V\u000a +p11753 +tp11754 +a(g705 +g1020 +tp11755 +a(g440 +VUNSIGNED-BYTE +p11756 +tp11757 +a(g826 +V\u000a +p11758 +tp11759 +a(g705 +g1020 +tp11760 +a(g744 +Vlet +p11761 +tp11762 +a(g826 +g1024 +tp11763 +a(g705 +g1020 +tp11764 +a(g705 +g1020 +tp11765 +a(g440 +Vlow +p11766 +tp11767 +a(g826 +g1024 +tp11768 +a(g37 +g3578 +tp11769 +a(g705 +g1028 +tp11770 +a(g826 +g1024 +tp11771 +a(g705 +g1020 +tp11772 +a(g440 +Vhigh +p11773 +tp11774 +a(g826 +g1024 +tp11775 +a(g117 +V'* +p11776 +tp11777 +a(g705 +g1028 +tp11778 +a(g705 +g1028 +tp11779 +a(g826 +g1024 +tp11780 +a(g705 +g1020 +tp11781 +a(g440 +Vyes +p11782 +tp11783 +a(g705 +g1028 +tp11784 +a(g705 +g1028 +tp11785 +a(g705 +g1028 +tp11786 +a(g826 +V\u000a +p11787 +tp11788 +a(g705 +g1020 +tp11789 +a(g705 +g1020 +tp11790 +a(g565 +VNIL +p11791 +tp11792 +a(g705 +g1028 +tp11793 +a(g826 +V\u000a +p11794 +tp11795 +a(g705 +g1020 +tp11796 +a(g744 +Vlet +p11797 +tp11798 +a(g826 +g1024 +tp11799 +a(g705 +g1020 +tp11800 +a(g705 +g1020 +tp11801 +a(g440 +Vlow +p11802 +tp11803 +a(g826 +g1024 +tp11804 +a(g37 +g3578 +tp11805 +a(g705 +g1028 +tp11806 +a(g826 +g1024 +tp11807 +a(g705 +g1020 +tp11808 +a(g440 +Vhigh +p11809 +tp11810 +a(g826 +g1024 +tp11811 +a(g37 +g3578 +tp11812 +a(g705 +g1028 +tp11813 +a(g705 +g1028 +tp11814 +a(g826 +g1024 +tp11815 +a(g705 +g1020 +tp11816 +a(g440 +Vyes +p11817 +tp11818 +a(g705 +g1028 +tp11819 +a(g705 +g1028 +tp11820 +a(g705 +g1028 +tp11821 +a(g826 +g1024 +tp11822 +a(g8 +V; wlog! +p11823 +tp11824 +a(g826 +V\u000a +p11825 +tp11826 +a(g705 +g1020 +tp11827 +a(g565 +g1480 +tp11828 +a(g826 +g1024 +tp11829 +a(g705 +g1020 +tp11830 +a(g440 +Vno +p11831 +tp11832 +a(g705 +g1028 +tp11833 +a(g705 +g1028 +tp11834 +a(g705 +g1028 +tp11835 +a(g705 +g1028 +tp11836 +a(g826 +V\u000a +p11837 +tp11838 +a(g705 +g1020 +tp11839 +a(g705 +g1020 +tp11840 +a(g681 +Vand +p11841 +tp11842 +a(g826 +g1024 +tp11843 +a(g705 +g1020 +tp11844 +a(g681 +Vconsp +p11845 +tp11846 +a(g826 +g1024 +tp11847 +a(g744 +Vtype +p11848 +tp11849 +a(g705 +g1028 +tp11850 +a(g826 +g1024 +tp11851 +a(g705 +g1020 +tp11852 +a(g681 +Vsymbolp +p11853 +tp11854 +a(g826 +g1024 +tp11855 +a(g705 +g1020 +tp11856 +a(g681 +Vfirst +p11857 +tp11858 +a(g826 +g1024 +tp11859 +a(g744 +Vtype +p11860 +tp11861 +a(g705 +g1028 +tp11862 +a(g705 +g1028 +tp11863 +a(g705 +g1028 +tp11864 +a(g826 +V\u000a +p11865 +tp11866 +a(g705 +g1020 +tp11867 +a(g681 +Vunless +p11868 +tp11869 +a(g826 +g1024 +tp11870 +a(g705 +g1020 +tp11871 +a(g681 +Vand +p11872 +tp11873 +a(g826 +g1024 +tp11874 +a(g705 +g1020 +tp11875 +a(g681 +Vlist-length +p11876 +tp11877 +a(g826 +g1024 +tp11878 +a(g744 +Vtype +p11879 +tp11880 +a(g705 +g1028 +tp11881 +a(g826 +g1024 +tp11882 +a(g705 +g1020 +tp11883 +a(g681 +Vnull +p11884 +tp11885 +a(g826 +g1024 +tp11886 +a(g705 +g1020 +tp11887 +a(g681 +Vcdr +p11888 +tp11889 +a(g826 +g1024 +tp11890 +a(g705 +g1020 +tp11891 +a(g681 +Vlast +p11892 +tp11893 +a(g826 +g1024 +tp11894 +a(g744 +Vtype +p11895 +tp11896 +a(g705 +g1028 +tp11897 +a(g705 +g1028 +tp11898 +a(g705 +g1028 +tp11899 +a(g705 +g1028 +tp11900 +a(g826 +V\u000a +p11901 +tp11902 +a(g705 +g1020 +tp11903 +a(g440 +Vtypespec-error +p11904 +tp11905 +a(g826 +g1024 +tp11906 +a(g117 +V'subtypep +p11907 +tp11908 +a(g826 +g1024 +tp11909 +a(g744 +Vtype +p11910 +tp11911 +a(g705 +g1028 +tp11912 +a(g705 +g1028 +tp11913 +a(g826 +V\u000a +p11914 +tp11915 +a(g705 +g1020 +tp11916 +a(g681 +Vcase +p11917 +tp11918 +a(g826 +g1024 +tp11919 +a(g705 +g1020 +tp11920 +a(g681 +Vfirst +p11921 +tp11922 +a(g826 +g1024 +tp11923 +a(g744 +Vtype +p11924 +tp11925 +a(g705 +g1028 +tp11926 +a(g826 +V\u000a +p11927 +tp11928 +a(g705 +g1020 +tp11929 +a(g440 +VMEMBER +p11930 +tp11931 +a(g826 +g1024 +tp11932 +a(g8 +V; (MEMBER &rest objects) +p11933 +tp11934 +a(g826 +V\u000a +p11935 +tp11936 +a(g8 +V;; All elements must be of type INTEGER. +p11937 +tp11938 +a(g826 +V\u000a +p11939 +tp11940 +a(g705 +g1020 +tp11941 +a(g744 +Vlet +p11942 +tp11943 +a(g826 +g1024 +tp11944 +a(g705 +g1020 +tp11945 +a(g705 +g1020 +tp11946 +a(g440 +Vlow +p11947 +tp11948 +a(g826 +g1024 +tp11949 +a(g37 +g3578 +tp11950 +a(g705 +g1028 +tp11951 +a(g826 +g1024 +tp11952 +a(g705 +g1020 +tp11953 +a(g440 +Vhigh +p11954 +tp11955 +a(g826 +g1024 +tp11956 +a(g37 +g3578 +tp11957 +a(g705 +g1028 +tp11958 +a(g705 +g1028 +tp11959 +a(g826 +g1024 +tp11960 +a(g8 +V; wlog! +p11961 +tp11962 +a(g826 +V\u000a +p11963 +tp11964 +a(g705 +g1020 +tp11965 +a(g681 +Vdolist +p11966 +tp11967 +a(g826 +g1024 +tp11968 +a(g705 +g1020 +tp11969 +a(g440 +g1289 +tp11970 +a(g826 +g1024 +tp11971 +a(g705 +g1020 +tp11972 +a(g681 +Vrest +p11973 +tp11974 +a(g826 +g1024 +tp11975 +a(g744 +Vtype +p11976 +tp11977 +a(g705 +g1028 +tp11978 +a(g826 +g1024 +tp11979 +a(g705 +g1020 +tp11980 +a(g440 +Vyes +p11981 +tp11982 +a(g705 +g1028 +tp11983 +a(g705 +g1028 +tp11984 +a(g826 +V\u000a +p11985 +tp11986 +a(g705 +g1020 +tp11987 +a(g681 +Vunless +p11988 +tp11989 +a(g826 +g1024 +tp11990 +a(g705 +g1020 +tp11991 +a(g681 +Vtypep +p11992 +tp11993 +a(g826 +g1024 +tp11994 +a(g440 +g1289 +tp11995 +a(g826 +g1024 +tp11996 +a(g117 +V'INTEGER +p11997 +tp11998 +a(g705 +g1028 +tp11999 +a(g826 +g1024 +tp12000 +a(g705 +g1020 +tp12001 +a(g681 +Vreturn +p12002 +tp12003 +a(g826 +g1024 +tp12004 +a(g705 +g1020 +tp12005 +a(g440 +Vno +p12006 +tp12007 +a(g705 +g1028 +tp12008 +a(g705 +g1028 +tp12009 +a(g705 +g1028 +tp12010 +a(g826 +V\u000a +p12011 +tp12012 +a(g705 +g1020 +tp12013 +a(g744 +Vsetq +p12014 +tp12015 +a(g826 +g1024 +tp12016 +a(g440 +Vlow +p12017 +tp12018 +a(g826 +g1024 +tp12019 +a(g705 +g1020 +tp12020 +a(g681 +Vmin +p12021 +tp12022 +a(g826 +g1024 +tp12023 +a(g440 +Vlow +p12024 +tp12025 +a(g826 +g1024 +tp12026 +a(g440 +g1289 +tp12027 +a(g705 +g1028 +tp12028 +a(g826 +g1024 +tp12029 +a(g440 +Vhigh +p12030 +tp12031 +a(g826 +g1024 +tp12032 +a(g705 +g1020 +tp12033 +a(g681 +Vmax +p12034 +tp12035 +a(g826 +g1024 +tp12036 +a(g440 +Vhigh +p12037 +tp12038 +a(g826 +g1024 +tp12039 +a(g440 +g1289 +tp12040 +a(g705 +g1028 +tp12041 +a(g705 +g1028 +tp12042 +a(g705 +g1028 +tp12043 +a(g705 +g1028 +tp12044 +a(g705 +g1028 +tp12045 +a(g826 +V\u000a +p12046 +tp12047 +a(g705 +g1020 +tp12048 +a(g440 +VEQL +p12049 +tp12050 +a(g826 +g1024 +tp12051 +a(g8 +V; (EQL object) +p12052 +tp12053 +a(g826 +V\u000a +p12054 +tp12055 +a(g705 +g1020 +tp12056 +a(g744 +Vlet +p12057 +tp12058 +a(g826 +g1024 +tp12059 +a(g705 +g1020 +tp12060 +a(g705 +g1020 +tp12061 +a(g440 +g1289 +tp12062 +a(g826 +g1024 +tp12063 +a(g705 +g1020 +tp12064 +a(g681 +Vsecond +p12065 +tp12066 +a(g826 +g1024 +tp12067 +a(g744 +Vtype +p12068 +tp12069 +a(g705 +g1028 +tp12070 +a(g705 +g1028 +tp12071 +a(g705 +g1028 +tp12072 +a(g826 +V\u000a +p12073 +tp12074 +a(g705 +g1020 +tp12075 +a(g744 +Vif +p12076 +tp12077 +a(g826 +g1024 +tp12078 +a(g705 +g1020 +tp12079 +a(g681 +Vtypep +p12080 +tp12081 +a(g826 +g1024 +tp12082 +a(g440 +g1289 +tp12083 +a(g826 +g1024 +tp12084 +a(g117 +V'INTEGER +p12085 +tp12086 +a(g705 +g1028 +tp12087 +a(g826 +V\u000a +p12088 +tp12089 +a(g705 +g1020 +tp12090 +a(g744 +Vlet +p12091 +tp12092 +a(g826 +g1024 +tp12093 +a(g705 +g1020 +tp12094 +a(g705 +g1020 +tp12095 +a(g440 +Vlow +p12096 +tp12097 +a(g826 +g1024 +tp12098 +a(g705 +g1020 +tp12099 +a(g681 +Vmin +p12100 +tp12101 +a(g826 +g1024 +tp12102 +a(g37 +g3578 +tp12103 +a(g826 +g1024 +tp12104 +a(g440 +g1289 +tp12105 +a(g705 +g1028 +tp12106 +a(g705 +g1028 +tp12107 +a(g826 +g1024 +tp12108 +a(g705 +g1020 +tp12109 +a(g440 +Vhigh +p12110 +tp12111 +a(g826 +g1024 +tp12112 +a(g705 +g1020 +tp12113 +a(g681 +Vmax +p12114 +tp12115 +a(g826 +g1024 +tp12116 +a(g37 +g3578 +tp12117 +a(g826 +g1024 +tp12118 +a(g440 +g1289 +tp12119 +a(g705 +g1028 +tp12120 +a(g705 +g1028 +tp12121 +a(g705 +g1028 +tp12122 +a(g826 +g1024 +tp12123 +a(g705 +g1020 +tp12124 +a(g440 +Vyes +p12125 +tp12126 +a(g705 +g1028 +tp12127 +a(g705 +g1028 +tp12128 +a(g826 +V\u000a +p12129 +tp12130 +a(g705 +g1020 +tp12131 +a(g440 +Vno +p12132 +tp12133 +a(g705 +g1028 +tp12134 +a(g705 +g1028 +tp12135 +a(g705 +g1028 +tp12136 +a(g705 +g1028 +tp12137 +a(g826 +V\u000a +p12138 +tp12139 +a(g705 +g1020 +tp12140 +a(g440 +VOR +p12141 +tp12142 +a(g826 +g1024 +tp12143 +a(g8 +V; (OR type*) +p12144 +tp12145 +a(g826 +V\u000a +p12146 +tp12147 +a(g8 +V;; Every type must be subtype of INTEGER. +p12148 +tp12149 +a(g826 +V\u000a +p12150 +tp12151 +a(g705 +g1020 +tp12152 +a(g744 +Vlet +p12153 +tp12154 +a(g826 +g1024 +tp12155 +a(g705 +g1020 +tp12156 +a(g705 +g1020 +tp12157 +a(g440 +Vlow +p12158 +tp12159 +a(g826 +g1024 +tp12160 +a(g37 +g3578 +tp12161 +a(g705 +g1028 +tp12162 +a(g826 +g1024 +tp12163 +a(g705 +g1020 +tp12164 +a(g440 +Vhigh +p12165 +tp12166 +a(g826 +g1024 +tp12167 +a(g37 +g3578 +tp12168 +a(g705 +g1028 +tp12169 +a(g705 +g1028 +tp12170 +a(g826 +g1024 +tp12171 +a(g8 +V; wlog! +p12172 +tp12173 +a(g826 +V\u000a +p12174 +tp12175 +a(g705 +g1020 +tp12176 +a(g681 +Vdolist +p12177 +tp12178 +a(g826 +g1024 +tp12179 +a(g705 +g1020 +tp12180 +a(g440 +Vtype1 +p12181 +tp12182 +a(g826 +g1024 +tp12183 +a(g705 +g1020 +tp12184 +a(g681 +Vrest +p12185 +tp12186 +a(g826 +g1024 +tp12187 +a(g744 +Vtype +p12188 +tp12189 +a(g705 +g1028 +tp12190 +a(g826 +g1024 +tp12191 +a(g705 +g1020 +tp12192 +a(g440 +Vyes +p12193 +tp12194 +a(g705 +g1028 +tp12195 +a(g705 +g1028 +tp12196 +a(g826 +V\u000a +p12197 +tp12198 +a(g705 +g1020 +tp12199 +a(g681 +Vmultiple-value-bind +p12200 +tp12201 +a(g826 +g1024 +tp12202 +a(g705 +g1020 +tp12203 +a(g440 +Vlow1 +p12204 +tp12205 +a(g826 +g1024 +tp12206 +a(g440 +Vhigh1 +p12207 +tp12208 +a(g705 +g1028 +tp12209 +a(g826 +g1024 +tp12210 +a(g705 +g1020 +tp12211 +a(g440 +Vsubtype-integer +p12212 +tp12213 +a(g826 +g1024 +tp12214 +a(g440 +Vtype1 +p12215 +tp12216 +a(g705 +g1028 +tp12217 +a(g826 +V\u000a +p12218 +tp12219 +a(g705 +g1020 +tp12220 +a(g681 +Vunless +p12221 +tp12222 +a(g826 +g1024 +tp12223 +a(g440 +Vlow1 +p12224 +tp12225 +a(g826 +g1024 +tp12226 +a(g705 +g1020 +tp12227 +a(g681 +Vreturn +p12228 +tp12229 +a(g826 +g1024 +tp12230 +a(g705 +g1020 +tp12231 +a(g440 +Vno +p12232 +tp12233 +a(g705 +g1028 +tp12234 +a(g705 +g1028 +tp12235 +a(g705 +g1028 +tp12236 +a(g826 +V\u000a +p12237 +tp12238 +a(g705 +g1020 +tp12239 +a(g744 +Vsetq +p12240 +tp12241 +a(g826 +g1024 +tp12242 +a(g440 +Vlow +p12243 +tp12244 +a(g826 +g1024 +tp12245 +a(g705 +g1020 +tp12246 +a(g744 +Vif +p12247 +tp12248 +a(g826 +g1024 +tp12249 +a(g705 +g1020 +tp12250 +a(g681 +Vor +p12251 +tp12252 +a(g826 +g1024 +tp12253 +a(g705 +g1020 +tp12254 +a(g681 +Veq +p12255 +tp12256 +a(g826 +g1024 +tp12257 +a(g440 +Vlow +p12258 +tp12259 +a(g826 +g1024 +tp12260 +a(g117 +V'* +p12261 +tp12262 +a(g705 +g1028 +tp12263 +a(g826 +g1024 +tp12264 +a(g705 +g1020 +tp12265 +a(g681 +Veq +p12266 +tp12267 +a(g826 +g1024 +tp12268 +a(g440 +Vlow1 +p12269 +tp12270 +a(g826 +g1024 +tp12271 +a(g117 +V'* +p12272 +tp12273 +a(g705 +g1028 +tp12274 +a(g705 +g1028 +tp12275 +a(g826 +V\u000a +p12276 +tp12277 +a(g117 +V'* +p12278 +tp12279 +a(g826 +g1024 +tp12280 +a(g705 +g1020 +tp12281 +a(g681 +Vmin +p12282 +tp12283 +a(g826 +g1024 +tp12284 +a(g440 +Vlow +p12285 +tp12286 +a(g826 +g1024 +tp12287 +a(g440 +Vlow1 +p12288 +tp12289 +a(g705 +g1028 +tp12290 +a(g705 +g1028 +tp12291 +a(g826 +V\u000a +p12292 +tp12293 +a(g440 +Vhigh +p12294 +tp12295 +a(g826 +g1024 +tp12296 +a(g705 +g1020 +tp12297 +a(g744 +Vif +p12298 +tp12299 +a(g826 +g1024 +tp12300 +a(g705 +g1020 +tp12301 +a(g681 +Vor +p12302 +tp12303 +a(g826 +g1024 +tp12304 +a(g705 +g1020 +tp12305 +a(g681 +Veq +p12306 +tp12307 +a(g826 +g1024 +tp12308 +a(g440 +Vhigh +p12309 +tp12310 +a(g826 +g1024 +tp12311 +a(g117 +V'* +p12312 +tp12313 +a(g705 +g1028 +tp12314 +a(g826 +g1024 +tp12315 +a(g705 +g1020 +tp12316 +a(g681 +Veq +p12317 +tp12318 +a(g826 +g1024 +tp12319 +a(g440 +Vhigh1 +p12320 +tp12321 +a(g826 +g1024 +tp12322 +a(g117 +V'* +p12323 +tp12324 +a(g705 +g1028 +tp12325 +a(g705 +g1028 +tp12326 +a(g826 +V\u000a +p12327 +tp12328 +a(g117 +V'* +p12329 +tp12330 +a(g826 +g1024 +tp12331 +a(g705 +g1020 +tp12332 +a(g681 +Vmax +p12333 +tp12334 +a(g826 +g1024 +tp12335 +a(g440 +Vhigh +p12336 +tp12337 +a(g826 +g1024 +tp12338 +a(g440 +Vhigh1 +p12339 +tp12340 +a(g705 +g1028 +tp12341 +a(g705 +g1028 +tp12342 +a(g705 +g1028 +tp12343 +a(g705 +g1028 +tp12344 +a(g705 +g1028 +tp12345 +a(g705 +g1028 +tp12346 +a(g705 +g1028 +tp12347 +a(g826 +V\u000a +p12348 +tp12349 +a(g705 +g1020 +tp12350 +a(g440 +VAND +p12351 +tp12352 +a(g826 +g1024 +tp12353 +a(g8 +V; (AND type*) +p12354 +tp12355 +a(g826 +V\u000a +p12356 +tp12357 +a(g8 +V;; If one of the types is subtype of INTEGER, then yes, +p12358 +tp12359 +a(g826 +V\u000a +p12360 +tp12361 +a(g8 +V;; otherwise unknown. +p12362 +tp12363 +a(g826 +V\u000a +p12364 +tp12365 +a(g705 +g1020 +tp12366 +a(g744 +Vlet +p12367 +tp12368 +a(g826 +g1024 +tp12369 +a(g705 +g1020 +tp12370 +a(g705 +g1020 +tp12371 +a(g440 +Vlow +p12372 +tp12373 +a(g826 +g1024 +tp12374 +a(g565 +Vnil +p12375 +tp12376 +a(g705 +g1028 +tp12377 +a(g826 +g1024 +tp12378 +a(g705 +g1020 +tp12379 +a(g440 +Vhigh +p12380 +tp12381 +a(g826 +g1024 +tp12382 +a(g565 +Vnil +p12383 +tp12384 +a(g705 +g1028 +tp12385 +a(g705 +g1028 +tp12386 +a(g826 +V\u000a +p12387 +tp12388 +a(g705 +g1020 +tp12389 +a(g681 +Vdolist +p12390 +tp12391 +a(g826 +g1024 +tp12392 +a(g705 +g1020 +tp12393 +a(g440 +Vtype1 +p12394 +tp12395 +a(g826 +g1024 +tp12396 +a(g705 +g1020 +tp12397 +a(g681 +Vrest +p12398 +tp12399 +a(g826 +g1024 +tp12400 +a(g744 +Vtype +p12401 +tp12402 +a(g705 +g1028 +tp12403 +a(g705 +g1028 +tp12404 +a(g826 +V\u000a +p12405 +tp12406 +a(g705 +g1020 +tp12407 +a(g681 +Vmultiple-value-bind +p12408 +tp12409 +a(g826 +g1024 +tp12410 +a(g705 +g1020 +tp12411 +a(g440 +Vlow1 +p12412 +tp12413 +a(g826 +g1024 +tp12414 +a(g440 +Vhigh1 +p12415 +tp12416 +a(g705 +g1028 +tp12417 +a(g826 +g1024 +tp12418 +a(g705 +g1020 +tp12419 +a(g440 +Vsubtype-integer +p12420 +tp12421 +a(g826 +g1024 +tp12422 +a(g440 +Vtype1 +p12423 +tp12424 +a(g705 +g1028 +tp12425 +a(g826 +V\u000a +p12426 +tp12427 +a(g705 +g1020 +tp12428 +a(g681 +Vwhen +p12429 +tp12430 +a(g826 +g1024 +tp12431 +a(g440 +Vlow1 +p12432 +tp12433 +a(g826 +V\u000a +p12434 +tp12435 +a(g705 +g1020 +tp12436 +a(g744 +Vif +p12437 +tp12438 +a(g826 +g1024 +tp12439 +a(g440 +Vlow +p12440 +tp12441 +a(g826 +V\u000a +p12442 +tp12443 +a(g705 +g1020 +tp12444 +a(g744 +Vsetq +p12445 +tp12446 +a(g826 +g1024 +tp12447 +a(g440 +Vlow +p12448 +tp12449 +a(g826 +g1024 +tp12450 +a(g705 +g1020 +tp12451 +a(g744 +Vif +p12452 +tp12453 +a(g826 +g1024 +tp12454 +a(g705 +g1020 +tp12455 +a(g681 +Veq +p12456 +tp12457 +a(g826 +g1024 +tp12458 +a(g440 +Vlow +p12459 +tp12460 +a(g826 +g1024 +tp12461 +a(g117 +V'* +p12462 +tp12463 +a(g705 +g1028 +tp12464 +a(g826 +g1024 +tp12465 +a(g440 +Vlow1 +p12466 +tp12467 +a(g826 +V\u000a +p12468 +tp12469 +a(g705 +g1020 +tp12470 +a(g744 +Vif +p12471 +tp12472 +a(g826 +g1024 +tp12473 +a(g705 +g1020 +tp12474 +a(g681 +Veq +p12475 +tp12476 +a(g826 +g1024 +tp12477 +a(g440 +Vlow1 +p12478 +tp12479 +a(g826 +g1024 +tp12480 +a(g117 +V'* +p12481 +tp12482 +a(g705 +g1028 +tp12483 +a(g826 +g1024 +tp12484 +a(g440 +Vlow +p12485 +tp12486 +a(g826 +V\u000a +p12487 +tp12488 +a(g705 +g1020 +tp12489 +a(g681 +Vmax +p12490 +tp12491 +a(g826 +g1024 +tp12492 +a(g440 +Vlow +p12493 +tp12494 +a(g826 +g1024 +tp12495 +a(g440 +Vlow1 +p12496 +tp12497 +a(g705 +g1028 +tp12498 +a(g705 +g1028 +tp12499 +a(g705 +g1028 +tp12500 +a(g826 +V\u000a +p12501 +tp12502 +a(g440 +Vhigh +p12503 +tp12504 +a(g826 +g1024 +tp12505 +a(g705 +g1020 +tp12506 +a(g744 +Vif +p12507 +tp12508 +a(g826 +g1024 +tp12509 +a(g705 +g1020 +tp12510 +a(g681 +Veq +p12511 +tp12512 +a(g826 +g1024 +tp12513 +a(g440 +Vhigh +p12514 +tp12515 +a(g826 +g1024 +tp12516 +a(g117 +V'* +p12517 +tp12518 +a(g705 +g1028 +tp12519 +a(g826 +g1024 +tp12520 +a(g440 +Vhigh1 +p12521 +tp12522 +a(g826 +V\u000a +p12523 +tp12524 +a(g705 +g1020 +tp12525 +a(g744 +Vif +p12526 +tp12527 +a(g826 +g1024 +tp12528 +a(g705 +g1020 +tp12529 +a(g681 +Veq +p12530 +tp12531 +a(g826 +g1024 +tp12532 +a(g440 +Vhigh1 +p12533 +tp12534 +a(g826 +g1024 +tp12535 +a(g117 +V'* +p12536 +tp12537 +a(g705 +g1028 +tp12538 +a(g826 +g1024 +tp12539 +a(g440 +Vhigh +p12540 +tp12541 +a(g826 +V\u000a +p12542 +tp12543 +a(g705 +g1020 +tp12544 +a(g681 +Vmin +p12545 +tp12546 +a(g826 +g1024 +tp12547 +a(g440 +Vhigh +p12548 +tp12549 +a(g826 +g1024 +tp12550 +a(g440 +Vhigh1 +p12551 +tp12552 +a(g705 +g1028 +tp12553 +a(g705 +g1028 +tp12554 +a(g705 +g1028 +tp12555 +a(g705 +g1028 +tp12556 +a(g826 +V\u000a +p12557 +tp12558 +a(g705 +g1020 +tp12559 +a(g744 +Vsetq +p12560 +tp12561 +a(g826 +g1024 +tp12562 +a(g440 +Vlow +p12563 +tp12564 +a(g826 +g1024 +tp12565 +a(g440 +Vlow1 +p12566 +tp12567 +a(g826 +V\u000a +p12568 +tp12569 +a(g440 +Vhigh +p12570 +tp12571 +a(g826 +g1024 +tp12572 +a(g440 +Vhigh1 +p12573 +tp12574 +a(g705 +g1028 +tp12575 +a(g705 +g1028 +tp12576 +a(g705 +g1028 +tp12577 +a(g705 +g1028 +tp12578 +a(g705 +g1028 +tp12579 +a(g826 +V\u000a +p12580 +tp12581 +a(g705 +g1020 +tp12582 +a(g744 +Vif +p12583 +tp12584 +a(g826 +g1024 +tp12585 +a(g440 +Vlow +p12586 +tp12587 +a(g826 +V\u000a +p12588 +tp12589 +a(g705 +g1020 +tp12590 +a(g744 +Vprogn +p12591 +tp12592 +a(g826 +V\u000a +p12593 +tp12594 +a(g705 +g1020 +tp12595 +a(g681 +Vwhen +p12596 +tp12597 +a(g826 +g1024 +tp12598 +a(g705 +g1020 +tp12599 +a(g681 +Vand +p12600 +tp12601 +a(g826 +g1024 +tp12602 +a(g705 +g1020 +tp12603 +a(g681 +Vnumberp +p12604 +tp12605 +a(g826 +g1024 +tp12606 +a(g440 +Vlow +p12607 +tp12608 +a(g705 +g1028 +tp12609 +a(g826 +g1024 +tp12610 +a(g705 +g1020 +tp12611 +a(g681 +Vnumberp +p12612 +tp12613 +a(g826 +g1024 +tp12614 +a(g440 +Vhigh +p12615 +tp12616 +a(g705 +g1028 +tp12617 +a(g826 +V\u000a +p12618 +tp12619 +a(g705 +g1020 +tp12620 +a(g681 +Vnot +p12621 +tp12622 +a(g826 +g1024 +tp12623 +a(g705 +g1020 +tp12624 +a(g681 +V<= +p12625 +tp12626 +a(g826 +g1024 +tp12627 +a(g440 +Vlow +p12628 +tp12629 +a(g826 +g1024 +tp12630 +a(g440 +Vhigh +p12631 +tp12632 +a(g705 +g1028 +tp12633 +a(g705 +g1028 +tp12634 +a(g705 +g1028 +tp12635 +a(g826 +V\u000a +p12636 +tp12637 +a(g705 +g1020 +tp12638 +a(g744 +Vsetq +p12639 +tp12640 +a(g826 +g1024 +tp12641 +a(g440 +Vlow +p12642 +tp12643 +a(g826 +g1024 +tp12644 +a(g37 +g3578 +tp12645 +a(g826 +g1024 +tp12646 +a(g440 +Vhigh +p12647 +tp12648 +a(g826 +g1024 +tp12649 +a(g37 +g3578 +tp12650 +a(g705 +g1028 +tp12651 +a(g705 +g1028 +tp12652 +a(g826 +g1024 +tp12653 +a(g8 +V; type equivalent to NIL +p12654 +tp12655 +a(g826 +V\u000a +p12656 +tp12657 +a(g705 +g1020 +tp12658 +a(g440 +Vyes +p12659 +tp12660 +a(g705 +g1028 +tp12661 +a(g705 +g1028 +tp12662 +a(g826 +V\u000a +p12663 +tp12664 +a(g705 +g1020 +tp12665 +a(g440 +Vunknown +p12666 +tp12667 +a(g705 +g1028 +tp12668 +a(g705 +g1028 +tp12669 +a(g705 +g1028 +tp12670 +a(g705 +g1028 +tp12671 +a(g826 +V\u000a +p12672 +tp12673 +a(g705 +g1020 +tp12674 +a(g440 +VINTEGER +p12675 +tp12676 +a(g826 +V\u000a +p12677 +tp12678 +a(g705 +g1020 +tp12679 +a(g744 +Vlet +p12680 +tp12681 +a(g826 +g1024 +tp12682 +a(g705 +g1020 +tp12683 +a(g705 +g1020 +tp12684 +a(g440 +Vlow +p12685 +tp12686 +a(g826 +g1024 +tp12687 +a(g705 +g1020 +tp12688 +a(g744 +Vif +p12689 +tp12690 +a(g826 +g1024 +tp12691 +a(g705 +g1020 +tp12692 +a(g681 +Vrest +p12693 +tp12694 +a(g826 +g1024 +tp12695 +a(g744 +Vtype +p12696 +tp12697 +a(g705 +g1028 +tp12698 +a(g826 +g1024 +tp12699 +a(g705 +g1020 +tp12700 +a(g681 +Vsecond +p12701 +tp12702 +a(g826 +g1024 +tp12703 +a(g744 +Vtype +p12704 +tp12705 +a(g705 +g1028 +tp12706 +a(g826 +g1024 +tp12707 +a(g117 +V'* +p12708 +tp12709 +a(g705 +g1028 +tp12710 +a(g705 +g1028 +tp12711 +a(g826 +V\u000a +p12712 +tp12713 +a(g705 +g1020 +tp12714 +a(g440 +Vhigh +p12715 +tp12716 +a(g826 +g1024 +tp12717 +a(g705 +g1020 +tp12718 +a(g744 +Vif +p12719 +tp12720 +a(g826 +g1024 +tp12721 +a(g705 +g1020 +tp12722 +a(g681 +Vcddr +p12723 +tp12724 +a(g826 +g1024 +tp12725 +a(g744 +Vtype +p12726 +tp12727 +a(g705 +g1028 +tp12728 +a(g826 +g1024 +tp12729 +a(g705 +g1020 +tp12730 +a(g681 +Vthird +p12731 +tp12732 +a(g826 +g1024 +tp12733 +a(g744 +Vtype +p12734 +tp12735 +a(g705 +g1028 +tp12736 +a(g826 +g1024 +tp12737 +a(g117 +V'* +p12738 +tp12739 +a(g705 +g1028 +tp12740 +a(g705 +g1028 +tp12741 +a(g705 +g1028 +tp12742 +a(g826 +V\u000a +p12743 +tp12744 +a(g705 +g1020 +tp12745 +a(g681 +Vwhen +p12746 +tp12747 +a(g826 +g1024 +tp12748 +a(g705 +g1020 +tp12749 +a(g681 +Vconsp +p12750 +tp12751 +a(g826 +g1024 +tp12752 +a(g440 +Vlow +p12753 +tp12754 +a(g705 +g1028 +tp12755 +a(g826 +V\u000a +p12756 +tp12757 +a(g705 +g1020 +tp12758 +a(g744 +Vsetq +p12759 +tp12760 +a(g826 +g1024 +tp12761 +a(g440 +Vlow +p12762 +tp12763 +a(g826 +g1024 +tp12764 +a(g705 +g1020 +tp12765 +a(g681 +Vfirst +p12766 +tp12767 +a(g826 +g1024 +tp12768 +a(g440 +Vlow +p12769 +tp12770 +a(g705 +g1028 +tp12771 +a(g705 +g1028 +tp12772 +a(g826 +V\u000a +p12773 +tp12774 +a(g705 +g1020 +tp12775 +a(g681 +Vwhen +p12776 +tp12777 +a(g826 +g1024 +tp12778 +a(g705 +g1020 +tp12779 +a(g681 +Vnumberp +p12780 +tp12781 +a(g826 +g1024 +tp12782 +a(g440 +Vlow +p12783 +tp12784 +a(g705 +g1028 +tp12785 +a(g826 +g1024 +tp12786 +a(g705 +g1020 +tp12787 +a(g681 +Vincf +p12788 +tp12789 +a(g826 +g1024 +tp12790 +a(g440 +Vlow +p12791 +tp12792 +a(g705 +g1028 +tp12793 +a(g705 +g1028 +tp12794 +a(g705 +g1028 +tp12795 +a(g826 +V\u000a +p12796 +tp12797 +a(g705 +g1020 +tp12798 +a(g681 +Vwhen +p12799 +tp12800 +a(g826 +g1024 +tp12801 +a(g705 +g1020 +tp12802 +a(g681 +Vconsp +p12803 +tp12804 +a(g826 +g1024 +tp12805 +a(g440 +Vhigh +p12806 +tp12807 +a(g705 +g1028 +tp12808 +a(g826 +V\u000a +p12809 +tp12810 +a(g705 +g1020 +tp12811 +a(g744 +Vsetq +p12812 +tp12813 +a(g826 +g1024 +tp12814 +a(g440 +Vhigh +p12815 +tp12816 +a(g826 +g1024 +tp12817 +a(g705 +g1020 +tp12818 +a(g681 +Vfirst +p12819 +tp12820 +a(g826 +g1024 +tp12821 +a(g440 +Vhigh +p12822 +tp12823 +a(g705 +g1028 +tp12824 +a(g705 +g1028 +tp12825 +a(g826 +V\u000a +p12826 +tp12827 +a(g705 +g1020 +tp12828 +a(g681 +Vwhen +p12829 +tp12830 +a(g826 +g1024 +tp12831 +a(g705 +g1020 +tp12832 +a(g681 +Vnumberp +p12833 +tp12834 +a(g826 +g1024 +tp12835 +a(g440 +Vhigh +p12836 +tp12837 +a(g705 +g1028 +tp12838 +a(g826 +g1024 +tp12839 +a(g705 +g1020 +tp12840 +a(g681 +Vdecf +p12841 +tp12842 +a(g826 +g1024 +tp12843 +a(g440 +Vhigh +p12844 +tp12845 +a(g705 +g1028 +tp12846 +a(g705 +g1028 +tp12847 +a(g705 +g1028 +tp12848 +a(g826 +V\u000a +p12849 +tp12850 +a(g705 +g1020 +tp12851 +a(g681 +Vwhen +p12852 +tp12853 +a(g826 +g1024 +tp12854 +a(g705 +g1020 +tp12855 +a(g681 +Vand +p12856 +tp12857 +a(g826 +g1024 +tp12858 +a(g705 +g1020 +tp12859 +a(g681 +Vnumberp +p12860 +tp12861 +a(g826 +g1024 +tp12862 +a(g440 +Vlow +p12863 +tp12864 +a(g705 +g1028 +tp12865 +a(g826 +g1024 +tp12866 +a(g705 +g1020 +tp12867 +a(g681 +Vnumberp +p12868 +tp12869 +a(g826 +g1024 +tp12870 +a(g440 +Vhigh +p12871 +tp12872 +a(g705 +g1028 +tp12873 +a(g826 +g1024 +tp12874 +a(g705 +g1020 +tp12875 +a(g681 +Vnot +p12876 +tp12877 +a(g826 +g1024 +tp12878 +a(g705 +g1020 +tp12879 +a(g681 +V<= +p12880 +tp12881 +a(g826 +g1024 +tp12882 +a(g440 +Vlow +p12883 +tp12884 +a(g826 +g1024 +tp12885 +a(g440 +Vhigh +p12886 +tp12887 +a(g705 +g1028 +tp12888 +a(g705 +g1028 +tp12889 +a(g705 +g1028 +tp12890 +a(g826 +V\u000a +p12891 +tp12892 +a(g705 +g1020 +tp12893 +a(g744 +Vsetq +p12894 +tp12895 +a(g826 +g1024 +tp12896 +a(g440 +Vlow +p12897 +tp12898 +a(g826 +g1024 +tp12899 +a(g37 +g3578 +tp12900 +a(g826 +g1024 +tp12901 +a(g440 +Vhigh +p12902 +tp12903 +a(g826 +g1024 +tp12904 +a(g37 +g3578 +tp12905 +a(g705 +g1028 +tp12906 +a(g705 +g1028 +tp12907 +a(g826 +g1024 +tp12908 +a(g8 +V; type equivalent to NIL +p12909 +tp12910 +a(g826 +V\u000a +p12911 +tp12912 +a(g705 +g1020 +tp12913 +a(g440 +Vyes +p12914 +tp12915 +a(g705 +g1028 +tp12916 +a(g705 +g1028 +tp12917 +a(g705 +g1028 +tp12918 +a(g826 +V\u000a +p12919 +tp12920 +a(g705 +g1020 +tp12921 +a(g440 +VINTERVALS +p12922 +tp12923 +a(g826 +V\u000a +p12924 +tp12925 +a(g705 +g1020 +tp12926 +a(g744 +Vif +p12927 +tp12928 +a(g826 +g1024 +tp12929 +a(g705 +g1020 +tp12930 +a(g681 +Veq +p12931 +tp12932 +a(g826 +g1024 +tp12933 +a(g705 +g1020 +tp12934 +a(g681 +Vsecond +p12935 +tp12936 +a(g826 +g1024 +tp12937 +a(g744 +Vtype +p12938 +tp12939 +a(g705 +g1028 +tp12940 +a(g826 +g1024 +tp12941 +a(g117 +V'INTEGER +p12942 +tp12943 +a(g705 +g1028 +tp12944 +a(g826 +V\u000a +p12945 +tp12946 +a(g705 +g1020 +tp12947 +a(g744 +Vlet +p12948 +tp12949 +a(g826 +g1024 +tp12950 +a(g705 +g1020 +tp12951 +a(g705 +g1020 +tp12952 +a(g440 +Vlow +p12953 +tp12954 +a(g826 +g1024 +tp12955 +a(g705 +g1020 +tp12956 +a(g681 +Vthird +p12957 +tp12958 +a(g826 +g1024 +tp12959 +a(g744 +Vtype +p12960 +tp12961 +a(g705 +g1028 +tp12962 +a(g705 +g1028 +tp12963 +a(g826 +V\u000a +p12964 +tp12965 +a(g705 +g1020 +tp12966 +a(g440 +Vhigh +p12967 +tp12968 +a(g826 +g1024 +tp12969 +a(g705 +g1020 +tp12970 +a(g681 +Vcar +p12971 +tp12972 +a(g826 +g1024 +tp12973 +a(g705 +g1020 +tp12974 +a(g681 +Vlast +p12975 +tp12976 +a(g826 +g1024 +tp12977 +a(g744 +Vtype +p12978 +tp12979 +a(g705 +g1028 +tp12980 +a(g705 +g1028 +tp12981 +a(g705 +g1028 +tp12982 +a(g705 +g1028 +tp12983 +a(g826 +V\u000a +p12984 +tp12985 +a(g705 +g1020 +tp12986 +a(g681 +Vwhen +p12987 +tp12988 +a(g826 +g1024 +tp12989 +a(g705 +g1020 +tp12990 +a(g681 +Vconsp +p12991 +tp12992 +a(g826 +g1024 +tp12993 +a(g440 +Vlow +p12994 +tp12995 +a(g705 +g1028 +tp12996 +a(g826 +V\u000a +p12997 +tp12998 +a(g705 +g1020 +tp12999 +a(g744 +Vsetq +p13000 +tp13001 +a(g826 +g1024 +tp13002 +a(g440 +Vlow +p13003 +tp13004 +a(g826 +g1024 +tp13005 +a(g705 +g1020 +tp13006 +a(g681 +Vfirst +p13007 +tp13008 +a(g826 +g1024 +tp13009 +a(g440 +Vlow +p13010 +tp13011 +a(g705 +g1028 +tp13012 +a(g705 +g1028 +tp13013 +a(g826 +V\u000a +p13014 +tp13015 +a(g705 +g1020 +tp13016 +a(g681 +Vwhen +p13017 +tp13018 +a(g826 +g1024 +tp13019 +a(g705 +g1020 +tp13020 +a(g681 +Vnumberp +p13021 +tp13022 +a(g826 +g1024 +tp13023 +a(g440 +Vlow +p13024 +tp13025 +a(g705 +g1028 +tp13026 +a(g826 +g1024 +tp13027 +a(g705 +g1020 +tp13028 +a(g681 +Vincf +p13029 +tp13030 +a(g826 +g1024 +tp13031 +a(g440 +Vlow +p13032 +tp13033 +a(g705 +g1028 +tp13034 +a(g705 +g1028 +tp13035 +a(g705 +g1028 +tp13036 +a(g826 +V\u000a +p13037 +tp13038 +a(g705 +g1020 +tp13039 +a(g681 +Vwhen +p13040 +tp13041 +a(g826 +g1024 +tp13042 +a(g705 +g1020 +tp13043 +a(g681 +Vconsp +p13044 +tp13045 +a(g826 +g1024 +tp13046 +a(g440 +Vhigh +p13047 +tp13048 +a(g705 +g1028 +tp13049 +a(g826 +V\u000a +p13050 +tp13051 +a(g705 +g1020 +tp13052 +a(g744 +Vsetq +p13053 +tp13054 +a(g826 +g1024 +tp13055 +a(g440 +Vhigh +p13056 +tp13057 +a(g826 +g1024 +tp13058 +a(g705 +g1020 +tp13059 +a(g681 +Vfirst +p13060 +tp13061 +a(g826 +g1024 +tp13062 +a(g440 +Vhigh +p13063 +tp13064 +a(g705 +g1028 +tp13065 +a(g705 +g1028 +tp13066 +a(g826 +V\u000a +p13067 +tp13068 +a(g705 +g1020 +tp13069 +a(g681 +Vwhen +p13070 +tp13071 +a(g826 +g1024 +tp13072 +a(g705 +g1020 +tp13073 +a(g681 +Vnumberp +p13074 +tp13075 +a(g826 +g1024 +tp13076 +a(g440 +Vhigh +p13077 +tp13078 +a(g705 +g1028 +tp13079 +a(g826 +g1024 +tp13080 +a(g705 +g1020 +tp13081 +a(g681 +Vdecf +p13082 +tp13083 +a(g826 +g1024 +tp13084 +a(g440 +Vhigh +p13085 +tp13086 +a(g705 +g1028 +tp13087 +a(g705 +g1028 +tp13088 +a(g705 +g1028 +tp13089 +a(g826 +V\u000a +p13090 +tp13091 +a(g705 +g1020 +tp13092 +a(g440 +Vyes +p13093 +tp13094 +a(g705 +g1028 +tp13095 +a(g705 +g1028 +tp13096 +a(g826 +V\u000a +p13097 +tp13098 +a(g705 +g1020 +tp13099 +a(g440 +Vunknown +p13100 +tp13101 +a(g705 +g1028 +tp13102 +a(g705 +g1028 +tp13103 +a(g705 +g1028 +tp13104 +a(g826 +V\u000a +p13105 +tp13106 +a(g705 +g1020 +tp13107 +a(g440 +VMOD +p13108 +tp13109 +a(g826 +g1024 +tp13110 +a(g8 +V; (MOD n) +p13111 +tp13112 +a(g826 +V\u000a +p13113 +tp13114 +a(g705 +g1020 +tp13115 +a(g744 +Vlet +p13116 +tp13117 +a(g826 +g1024 +tp13118 +a(g705 +g1020 +tp13119 +a(g705 +g1020 +tp13120 +a(g440 +g7327 +tp13121 +a(g826 +g1024 +tp13122 +a(g705 +g1020 +tp13123 +a(g681 +Vsecond +p13124 +tp13125 +a(g826 +g1024 +tp13126 +a(g744 +Vtype +p13127 +tp13128 +a(g705 +g1028 +tp13129 +a(g705 +g1028 +tp13130 +a(g705 +g1028 +tp13131 +a(g826 +V\u000a +p13132 +tp13133 +a(g705 +g1020 +tp13134 +a(g681 +Vunless +p13135 +tp13136 +a(g826 +g1024 +tp13137 +a(g705 +g1020 +tp13138 +a(g681 +Vand +p13139 +tp13140 +a(g826 +g1024 +tp13141 +a(g705 +g1020 +tp13142 +a(g681 +Vintegerp +p13143 +tp13144 +a(g826 +g1024 +tp13145 +a(g440 +g7327 +tp13146 +a(g705 +g1028 +tp13147 +a(g826 +g1024 +tp13148 +a(g705 +g1020 +tp13149 +a(g681 +V>= +p13150 +tp13151 +a(g826 +g1024 +tp13152 +a(g440 +g7327 +tp13153 +a(g826 +g1024 +tp13154 +a(g37 +g3578 +tp13155 +a(g705 +g1028 +tp13156 +a(g705 +g1028 +tp13157 +a(g826 +V\u000a +p13158 +tp13159 +a(g705 +g1020 +tp13160 +a(g440 +Vtypespec-error +p13161 +tp13162 +a(g826 +g1024 +tp13163 +a(g117 +V'subtypep +p13164 +tp13165 +a(g826 +g1024 +tp13166 +a(g744 +Vtype +p13167 +tp13168 +a(g705 +g1028 +tp13169 +a(g705 +g1028 +tp13170 +a(g826 +V\u000a +p13171 +tp13172 +a(g705 +g1020 +tp13173 +a(g744 +Vif +p13174 +tp13175 +a(g826 +g1024 +tp13176 +a(g705 +g1020 +tp13177 +a(g681 +Veql +p13178 +tp13179 +a(g826 +g1024 +tp13180 +a(g440 +g7327 +tp13181 +a(g826 +g1024 +tp13182 +a(g37 +g3578 +tp13183 +a(g705 +g1028 +tp13184 +a(g826 +V\u000a +p13185 +tp13186 +a(g705 +g1020 +tp13187 +a(g440 +Vno +p13188 +tp13189 +a(g705 +g1028 +tp13190 +a(g826 +V\u000a +p13191 +tp13192 +a(g705 +g1020 +tp13193 +a(g744 +Vlet +p13194 +tp13195 +a(g826 +g1024 +tp13196 +a(g705 +g1020 +tp13197 +a(g705 +g1020 +tp13198 +a(g440 +Vlow +p13199 +tp13200 +a(g826 +g1024 +tp13201 +a(g37 +g3578 +tp13202 +a(g705 +g1028 +tp13203 +a(g826 +g1024 +tp13204 +a(g705 +g1020 +tp13205 +a(g440 +Vhigh +p13206 +tp13207 +a(g826 +g1024 +tp13208 +a(g705 +g1020 +tp13209 +a(g681 +V1- +p13210 +tp13211 +a(g826 +g1024 +tp13212 +a(g440 +g7327 +tp13213 +a(g705 +g1028 +tp13214 +a(g705 +g1028 +tp13215 +a(g705 +g1028 +tp13216 +a(g826 +V\u000a +p13217 +tp13218 +a(g705 +g1020 +tp13219 +a(g440 +Vyes +p13220 +tp13221 +a(g705 +g1028 +tp13222 +a(g705 +g1028 +tp13223 +a(g705 +g1028 +tp13224 +a(g705 +g1028 +tp13225 +a(g705 +g1028 +tp13226 +a(g826 +V\u000a +p13227 +tp13228 +a(g705 +g1020 +tp13229 +a(g440 +VSIGNED-BYTE +p13230 +tp13231 +a(g826 +g1024 +tp13232 +a(g8 +V; (SIGNED-BYTE &optional s) +p13233 +tp13234 +a(g826 +V\u000a +p13235 +tp13236 +a(g705 +g1020 +tp13237 +a(g744 +Vlet +p13238 +tp13239 +a(g826 +g1024 +tp13240 +a(g705 +g1020 +tp13241 +a(g705 +g1020 +tp13242 +a(g440 +Vs +p13243 +tp13244 +a(g826 +g1024 +tp13245 +a(g705 +g1020 +tp13246 +a(g744 +Vif +p13247 +tp13248 +a(g826 +g1024 +tp13249 +a(g705 +g1020 +tp13250 +a(g681 +Vcdr +p13251 +tp13252 +a(g826 +g1024 +tp13253 +a(g744 +Vtype +p13254 +tp13255 +a(g705 +g1028 +tp13256 +a(g826 +g1024 +tp13257 +a(g705 +g1020 +tp13258 +a(g681 +Vsecond +p13259 +tp13260 +a(g826 +g1024 +tp13261 +a(g744 +Vtype +p13262 +tp13263 +a(g705 +g1028 +tp13264 +a(g826 +g1024 +tp13265 +a(g117 +V'* +p13266 +tp13267 +a(g705 +g1028 +tp13268 +a(g705 +g1028 +tp13269 +a(g705 +g1028 +tp13270 +a(g826 +V\u000a +p13271 +tp13272 +a(g705 +g1020 +tp13273 +a(g744 +Vif +p13274 +tp13275 +a(g826 +g1024 +tp13276 +a(g705 +g1020 +tp13277 +a(g681 +Veq +p13278 +tp13279 +a(g826 +g1024 +tp13280 +a(g440 +g13243 +tp13281 +a(g826 +g1024 +tp13282 +a(g117 +V'* +p13283 +tp13284 +a(g705 +g1028 +tp13285 +a(g826 +V\u000a +p13286 +tp13287 +a(g705 +g1020 +tp13288 +a(g744 +Vlet +p13289 +tp13290 +a(g826 +g1024 +tp13291 +a(g705 +g1020 +tp13292 +a(g705 +g1020 +tp13293 +a(g440 +Vlow +p13294 +tp13295 +a(g826 +g1024 +tp13296 +a(g117 +V'* +p13297 +tp13298 +a(g705 +g1028 +tp13299 +a(g826 +g1024 +tp13300 +a(g705 +g1020 +tp13301 +a(g440 +Vhigh +p13302 +tp13303 +a(g826 +g1024 +tp13304 +a(g117 +V'* +p13305 +tp13306 +a(g705 +g1028 +tp13307 +a(g705 +g1028 +tp13308 +a(g826 +g1024 +tp13309 +a(g705 +g1020 +tp13310 +a(g440 +Vyes +p13311 +tp13312 +a(g705 +g1028 +tp13313 +a(g705 +g1028 +tp13314 +a(g826 +V\u000a +p13315 +tp13316 +a(g705 +g1020 +tp13317 +a(g744 +Vprogn +p13318 +tp13319 +a(g826 +V\u000a +p13320 +tp13321 +a(g705 +g1020 +tp13322 +a(g681 +Vunless +p13323 +tp13324 +a(g826 +g1024 +tp13325 +a(g705 +g1020 +tp13326 +a(g681 +Vand +p13327 +tp13328 +a(g826 +g1024 +tp13329 +a(g705 +g1020 +tp13330 +a(g681 +Vintegerp +p13331 +tp13332 +a(g826 +g1024 +tp13333 +a(g440 +g13243 +tp13334 +a(g705 +g1028 +tp13335 +a(g826 +g1024 +tp13336 +a(g705 +g1020 +tp13337 +a(g681 +Vplusp +p13338 +tp13339 +a(g826 +g1024 +tp13340 +a(g440 +g13243 +tp13341 +a(g705 +g1028 +tp13342 +a(g705 +g1028 +tp13343 +a(g826 +V\u000a +p13344 +tp13345 +a(g705 +g1020 +tp13346 +a(g440 +Vtypespec-error +p13347 +tp13348 +a(g826 +g1024 +tp13349 +a(g117 +V'subtypep +p13350 +tp13351 +a(g826 +g1024 +tp13352 +a(g744 +Vtype +p13353 +tp13354 +a(g705 +g1028 +tp13355 +a(g705 +g1028 +tp13356 +a(g826 +V\u000a +p13357 +tp13358 +a(g705 +g1020 +tp13359 +a(g744 +Vlet +p13360 +tp13361 +a(g826 +g1024 +tp13362 +a(g705 +g1020 +tp13363 +a(g705 +g1020 +tp13364 +a(g440 +g7327 +tp13365 +a(g826 +g1024 +tp13366 +a(g705 +g1020 +tp13367 +a(g681 +Vash +p13368 +tp13369 +a(g826 +g1024 +tp13370 +a(g37 +g2309 +tp13371 +a(g826 +g1024 +tp13372 +a(g705 +g1020 +tp13373 +a(g681 +V1- +p13374 +tp13375 +a(g826 +g1024 +tp13376 +a(g440 +g13243 +tp13377 +a(g705 +g1028 +tp13378 +a(g705 +g1028 +tp13379 +a(g705 +g1028 +tp13380 +a(g705 +g1028 +tp13381 +a(g826 +g1024 +tp13382 +a(g8 +V; (ash 1 *) == (expt 2 *) +p13383 +tp13384 +a(g826 +V\u000a +p13385 +tp13386 +a(g705 +g1020 +tp13387 +a(g744 +Vlet +p13388 +tp13389 +a(g826 +g1024 +tp13390 +a(g705 +g1020 +tp13391 +a(g705 +g1020 +tp13392 +a(g440 +Vlow +p13393 +tp13394 +a(g826 +g1024 +tp13395 +a(g705 +g1020 +tp13396 +a(g681 +g11401 +tp13397 +a(g826 +g1024 +tp13398 +a(g440 +g7327 +tp13399 +a(g705 +g1028 +tp13400 +a(g705 +g1028 +tp13401 +a(g826 +g1024 +tp13402 +a(g705 +g1020 +tp13403 +a(g440 +Vhigh +p13404 +tp13405 +a(g826 +g1024 +tp13406 +a(g705 +g1020 +tp13407 +a(g681 +V1- +p13408 +tp13409 +a(g826 +g1024 +tp13410 +a(g440 +g7327 +tp13411 +a(g705 +g1028 +tp13412 +a(g705 +g1028 +tp13413 +a(g705 +g1028 +tp13414 +a(g826 +V\u000a +p13415 +tp13416 +a(g705 +g1020 +tp13417 +a(g440 +Vyes +p13418 +tp13419 +a(g705 +g1028 +tp13420 +a(g705 +g1028 +tp13421 +a(g705 +g1028 +tp13422 +a(g705 +g1028 +tp13423 +a(g705 +g1028 +tp13424 +a(g705 +g1028 +tp13425 +a(g705 +g1028 +tp13426 +a(g826 +V\u000a +p13427 +tp13428 +a(g705 +g1020 +tp13429 +a(g440 +VUNSIGNED-BYTE +p13430 +tp13431 +a(g826 +g1024 +tp13432 +a(g8 +V; (UNSIGNED-BYTE &optional s) +p13433 +tp13434 +a(g826 +V\u000a +p13435 +tp13436 +a(g705 +g1020 +tp13437 +a(g744 +Vlet +p13438 +tp13439 +a(g826 +g1024 +tp13440 +a(g705 +g1020 +tp13441 +a(g705 +g1020 +tp13442 +a(g440 +g13243 +tp13443 +a(g826 +g1024 +tp13444 +a(g705 +g1020 +tp13445 +a(g744 +Vif +p13446 +tp13447 +a(g826 +g1024 +tp13448 +a(g705 +g1020 +tp13449 +a(g681 +Vcdr +p13450 +tp13451 +a(g826 +g1024 +tp13452 +a(g744 +Vtype +p13453 +tp13454 +a(g705 +g1028 +tp13455 +a(g826 +g1024 +tp13456 +a(g705 +g1020 +tp13457 +a(g681 +Vsecond +p13458 +tp13459 +a(g826 +g1024 +tp13460 +a(g744 +Vtype +p13461 +tp13462 +a(g705 +g1028 +tp13463 +a(g826 +g1024 +tp13464 +a(g117 +V'* +p13465 +tp13466 +a(g705 +g1028 +tp13467 +a(g705 +g1028 +tp13468 +a(g705 +g1028 +tp13469 +a(g826 +V\u000a +p13470 +tp13471 +a(g705 +g1020 +tp13472 +a(g744 +Vif +p13473 +tp13474 +a(g826 +g1024 +tp13475 +a(g705 +g1020 +tp13476 +a(g681 +Veq +p13477 +tp13478 +a(g826 +g1024 +tp13479 +a(g440 +g13243 +tp13480 +a(g826 +g1024 +tp13481 +a(g117 +V'* +p13482 +tp13483 +a(g705 +g1028 +tp13484 +a(g826 +V\u000a +p13485 +tp13486 +a(g705 +g1020 +tp13487 +a(g744 +Vlet +p13488 +tp13489 +a(g826 +g1024 +tp13490 +a(g705 +g1020 +tp13491 +a(g705 +g1020 +tp13492 +a(g440 +Vlow +p13493 +tp13494 +a(g826 +g1024 +tp13495 +a(g37 +g3578 +tp13496 +a(g705 +g1028 +tp13497 +a(g826 +g1024 +tp13498 +a(g705 +g1020 +tp13499 +a(g440 +Vhigh +p13500 +tp13501 +a(g826 +g1024 +tp13502 +a(g117 +V'* +p13503 +tp13504 +a(g705 +g1028 +tp13505 +a(g705 +g1028 +tp13506 +a(g826 +g1024 +tp13507 +a(g705 +g1020 +tp13508 +a(g440 +Vyes +p13509 +tp13510 +a(g705 +g1028 +tp13511 +a(g705 +g1028 +tp13512 +a(g826 +V\u000a +p13513 +tp13514 +a(g705 +g1020 +tp13515 +a(g744 +Vprogn +p13516 +tp13517 +a(g826 +V\u000a +p13518 +tp13519 +a(g705 +g1020 +tp13520 +a(g681 +Vunless +p13521 +tp13522 +a(g826 +g1024 +tp13523 +a(g705 +g1020 +tp13524 +a(g681 +Vand +p13525 +tp13526 +a(g826 +g1024 +tp13527 +a(g705 +g1020 +tp13528 +a(g681 +Vintegerp +p13529 +tp13530 +a(g826 +g1024 +tp13531 +a(g440 +g13243 +tp13532 +a(g705 +g1028 +tp13533 +a(g826 +g1024 +tp13534 +a(g705 +g1020 +tp13535 +a(g681 +V>= +p13536 +tp13537 +a(g826 +g1024 +tp13538 +a(g440 +g13243 +tp13539 +a(g826 +g1024 +tp13540 +a(g37 +g3578 +tp13541 +a(g705 +g1028 +tp13542 +a(g705 +g1028 +tp13543 +a(g826 +V\u000a +p13544 +tp13545 +a(g705 +g1020 +tp13546 +a(g440 +Vtypespec-error +p13547 +tp13548 +a(g826 +g1024 +tp13549 +a(g117 +V'subtypep +p13550 +tp13551 +a(g826 +g1024 +tp13552 +a(g744 +Vtype +p13553 +tp13554 +a(g705 +g1028 +tp13555 +a(g705 +g1028 +tp13556 +a(g826 +V\u000a +p13557 +tp13558 +a(g705 +g1020 +tp13559 +a(g744 +Vlet +p13560 +tp13561 +a(g826 +g1024 +tp13562 +a(g705 +g1020 +tp13563 +a(g705 +g1020 +tp13564 +a(g440 +g7327 +tp13565 +a(g826 +g1024 +tp13566 +a(g705 +g1020 +tp13567 +a(g681 +Vash +p13568 +tp13569 +a(g826 +g1024 +tp13570 +a(g37 +g2309 +tp13571 +a(g826 +g1024 +tp13572 +a(g440 +g13243 +tp13573 +a(g705 +g1028 +tp13574 +a(g705 +g1028 +tp13575 +a(g705 +g1028 +tp13576 +a(g826 +g1024 +tp13577 +a(g8 +V; (ash 1 *) == (expt 2 *) +p13578 +tp13579 +a(g826 +V\u000a +p13580 +tp13581 +a(g705 +g1020 +tp13582 +a(g744 +Vlet +p13583 +tp13584 +a(g826 +g1024 +tp13585 +a(g705 +g1020 +tp13586 +a(g705 +g1020 +tp13587 +a(g440 +Vlow +p13588 +tp13589 +a(g826 +g1024 +tp13590 +a(g37 +g3578 +tp13591 +a(g705 +g1028 +tp13592 +a(g826 +g1024 +tp13593 +a(g705 +g1020 +tp13594 +a(g440 +Vhigh +p13595 +tp13596 +a(g826 +g1024 +tp13597 +a(g705 +g1020 +tp13598 +a(g681 +V1- +p13599 +tp13600 +a(g826 +g1024 +tp13601 +a(g440 +g7327 +tp13602 +a(g705 +g1028 +tp13603 +a(g705 +g1028 +tp13604 +a(g705 +g1028 +tp13605 +a(g826 +V\u000a +p13606 +tp13607 +a(g705 +g1020 +tp13608 +a(g440 +Vyes +p13609 +tp13610 +a(g705 +g1028 +tp13611 +a(g705 +g1028 +tp13612 +a(g705 +g1028 +tp13613 +a(g705 +g1028 +tp13614 +a(g705 +g1028 +tp13615 +a(g705 +g1028 +tp13616 +a(g705 +g1028 +tp13617 +a(g826 +V\u000a +p13618 +tp13619 +a(g705 +g1020 +tp13620 +a(g565 +g1480 +tp13621 +a(g826 +g1024 +tp13622 +a(g705 +g1020 +tp13623 +a(g440 +Vno +p13624 +tp13625 +a(g705 +g1028 +tp13626 +a(g705 +g1028 +tp13627 +a(g705 +g1028 +tp13628 +a(g705 +g1028 +tp13629 +a(g826 +V\u000a +p13630 +tp13631 +a(g705 +g1020 +tp13632 +a(g705 +g1020 +tp13633 +a(g440 +Vclos::defined-class-p +p13634 +tp13635 +a(g826 +g1024 +tp13636 +a(g744 +Vtype +p13637 +tp13638 +a(g705 +g1028 +tp13639 +a(g826 +V\u000a +p13640 +tp13641 +a(g705 +g1020 +tp13642 +a(g744 +Vif +p13643 +tp13644 +a(g826 +g1024 +tp13645 +a(g705 +g1020 +tp13646 +a(g681 +Vand +p13647 +tp13648 +a(g826 +g1024 +tp13649 +a(g705 +g1020 +tp13650 +a(g440 +Vclos::built-in-class-p +p13651 +tp13652 +a(g826 +g1024 +tp13653 +a(g744 +Vtype +p13654 +tp13655 +a(g705 +g1028 +tp13656 +a(g826 +V\u000a +p13657 +tp13658 +a(g705 +g1020 +tp13659 +a(g681 +Veq +p13660 +tp13661 +a(g826 +g1024 +tp13662 +a(g705 +g1020 +tp13663 +a(g681 +Vget +p13664 +tp13665 +a(g826 +g1024 +tp13666 +a(g705 +g1020 +tp13667 +a(g440 +Vclos:class-name +p13668 +tp13669 +a(g826 +g1024 +tp13670 +a(g744 +Vtype +p13671 +tp13672 +a(g705 +g1028 +tp13673 +a(g826 +g1024 +tp13674 +a(g117 +V'CLOS::CLOSCLASS +p13675 +tp13676 +a(g705 +g1028 +tp13677 +a(g826 +g1024 +tp13678 +a(g744 +Vtype +p13679 +tp13680 +a(g705 +g1028 +tp13681 +a(g705 +g1028 +tp13682 +a(g826 +V\u000a +p13683 +tp13684 +a(g705 +g1020 +tp13685 +a(g744 +Vreturn-from +p13686 +tp13687 +a(g826 +g1024 +tp13688 +a(g440 +Vsubtype-integer +p13689 +tp13690 +a(g826 +V\u000a +p13691 +tp13692 +a(g705 +g1020 +tp13693 +a(g440 +Vsubtype-integer +p13694 +tp13695 +a(g826 +g1024 +tp13696 +a(g705 +g1020 +tp13697 +a(g440 +Vclos:class-name +p13698 +tp13699 +a(g826 +g1024 +tp13700 +a(g744 +Vtype +p13701 +tp13702 +a(g705 +g1028 +tp13703 +a(g705 +g1028 +tp13704 +a(g705 +g1028 +tp13705 +a(g826 +V\u000a +p13706 +tp13707 +a(g705 +g1020 +tp13708 +a(g440 +Vno +p13709 +tp13710 +a(g705 +g1028 +tp13711 +a(g705 +g1028 +tp13712 +a(g705 +g1028 +tp13713 +a(g826 +V\u000a +p13714 +tp13715 +a(g705 +g1020 +tp13716 +a(g705 +g1020 +tp13717 +a(g440 +Vclos::eql-specializer-p +p13718 +tp13719 +a(g826 +g1024 +tp13720 +a(g744 +Vtype +p13721 +tp13722 +a(g705 +g1028 +tp13723 +a(g826 +V\u000a +p13724 +tp13725 +a(g705 +g1020 +tp13726 +a(g744 +Vlet +p13727 +tp13728 +a(g826 +g1024 +tp13729 +a(g705 +g1020 +tp13730 +a(g705 +g1020 +tp13731 +a(g440 +g1289 +tp13732 +a(g826 +g1024 +tp13733 +a(g705 +g1020 +tp13734 +a(g440 +Vclos::eql-specializer-singleton +p13735 +tp13736 +a(g826 +g1024 +tp13737 +a(g744 +Vtype +p13738 +tp13739 +a(g705 +g1028 +tp13740 +a(g705 +g1028 +tp13741 +a(g705 +g1028 +tp13742 +a(g826 +V\u000a +p13743 +tp13744 +a(g705 +g1020 +tp13745 +a(g744 +Vif +p13746 +tp13747 +a(g826 +g1024 +tp13748 +a(g705 +g1020 +tp13749 +a(g681 +Vtypep +p13750 +tp13751 +a(g826 +g1024 +tp13752 +a(g440 +g1289 +tp13753 +a(g826 +g1024 +tp13754 +a(g117 +V'INTEGER +p13755 +tp13756 +a(g705 +g1028 +tp13757 +a(g826 +V\u000a +p13758 +tp13759 +a(g705 +g1020 +tp13760 +a(g744 +Vlet +p13761 +tp13762 +a(g826 +g1024 +tp13763 +a(g705 +g1020 +tp13764 +a(g705 +g1020 +tp13765 +a(g440 +Vlow +p13766 +tp13767 +a(g826 +g1024 +tp13768 +a(g705 +g1020 +tp13769 +a(g681 +Vmin +p13770 +tp13771 +a(g826 +g1024 +tp13772 +a(g37 +g3578 +tp13773 +a(g826 +g1024 +tp13774 +a(g440 +g1289 +tp13775 +a(g705 +g1028 +tp13776 +a(g705 +g1028 +tp13777 +a(g826 +g1024 +tp13778 +a(g705 +g1020 +tp13779 +a(g440 +Vhigh +p13780 +tp13781 +a(g826 +g1024 +tp13782 +a(g705 +g1020 +tp13783 +a(g681 +Vmax +p13784 +tp13785 +a(g826 +g1024 +tp13786 +a(g37 +g3578 +tp13787 +a(g826 +g1024 +tp13788 +a(g440 +g1289 +tp13789 +a(g705 +g1028 +tp13790 +a(g705 +g1028 +tp13791 +a(g705 +g1028 +tp13792 +a(g826 +g1024 +tp13793 +a(g705 +g1020 +tp13794 +a(g440 +Vyes +p13795 +tp13796 +a(g705 +g1028 +tp13797 +a(g705 +g1028 +tp13798 +a(g826 +V\u000a +p13799 +tp13800 +a(g705 +g1020 +tp13801 +a(g440 +Vno +p13802 +tp13803 +a(g705 +g1028 +tp13804 +a(g705 +g1028 +tp13805 +a(g705 +g1028 +tp13806 +a(g705 +g1028 +tp13807 +a(g826 +V\u000a +p13808 +tp13809 +a(g705 +g1020 +tp13810 +a(g705 +g1020 +tp13811 +a(g440 +Vencodingp +p13812 +tp13813 +a(g826 +g1024 +tp13814 +a(g744 +Vtype +p13815 +tp13816 +a(g705 +g1028 +tp13817 +a(g826 +g1024 +tp13818 +a(g705 +g1020 +tp13819 +a(g440 +Vno +p13820 +tp13821 +a(g705 +g1028 +tp13822 +a(g705 +g1028 +tp13823 +a(g826 +V\u000a +p13824 +tp13825 +a(g705 +g1020 +tp13826 +a(g565 +g1480 +tp13827 +a(g826 +g1024 +tp13828 +a(g705 +g1020 +tp13829 +a(g440 +Vtypespec-error +p13830 +tp13831 +a(g826 +g1024 +tp13832 +a(g117 +V'subtypep +p13833 +tp13834 +a(g826 +g1024 +tp13835 +a(g744 +Vtype +p13836 +tp13837 +a(g705 +g1028 +tp13838 +a(g705 +g1028 +tp13839 +a(g705 +g1028 +tp13840 +a(g705 +g1028 +tp13841 +a(g705 +g1028 +tp13842 +a(g826 +V\u000a\u000a +p13843 +tp13844 +a(g929 +V#| +p13845 +tp13846 +a(g929 +V TODO: Fix subtype-integer such that this works.\u000aHenry Baker:\u000a (defun type-null (x)\u000a (values (and (eq 'bit (upgraded-array-element-type `(or bit ,x)))\u000a (not (typep 0 x))\u000a (not (typep 1 x)))\u000a t))\u000a (type-null '(and symbol number))\u000a (type-null '(and integer symbol))\u000a (type-null '(and integer character))\u000a +p13847 +tp13848 +a(g929 +V|# +p13849 +tp13850 +a(g826 +V\u000a\u000a +p13851 +tp13852 +a(g8 +V;; Determines a sequence kind (an atom, as defined in defseq.lisp: one of +p13853 +tp13854 +a(g826 +V\u000a +p13855 +tp13856 +a(g8 +V;; LIST - stands for LIST +p13857 +tp13858 +a(g826 +V\u000a +p13859 +tp13860 +a(g8 +V;; VECTOR - stands for (VECTOR T) +p13861 +tp13862 +a(g826 +V\u000a +p13863 +tp13864 +a(g8 +V;; STRING - stands for (VECTOR CHARACTER) +p13865 +tp13866 +a(g826 +V\u000a +p13867 +tp13868 +a(g8 +V;; 1, 2, 4, 8, 16, 32 - stands for (VECTOR (UNSIGNED-BYTE n)) +p13869 +tp13870 +a(g826 +V\u000a +p13871 +tp13872 +a(g8 +V;; 0 - stands for (VECTOR NIL)) +p13873 +tp13874 +a(g826 +V\u000a +p13875 +tp13876 +a(g8 +V;; that indicates the sequence type meant by the given type. Other possible +p13877 +tp13878 +a(g826 +V\u000a +p13879 +tp13880 +a(g8 +V;; return values are +p13881 +tp13882 +a(g826 +V\u000a +p13883 +tp13884 +a(g8 +V;; SEQUENCE - denoting a type whose intersection with (OR LIST VECTOR) is not +p13885 +tp13886 +a(g826 +V\u000a +p13887 +tp13888 +a(g8 +V;; subtype of LIST or VECTOR, or +p13889 +tp13890 +a(g826 +V\u000a +p13891 +tp13892 +a(g8 +V;; NIL - indicating a type whose intersection with (OR LIST VECTOR) is empty. +p13893 +tp13894 +a(g826 +V\u000a +p13895 +tp13896 +a(g8 +V;; When the type is (OR (VECTOR eltype1) ... (VECTOR eltypeN)), the chosen +p13897 +tp13898 +a(g826 +V\u000a +p13899 +tp13900 +a(g8 +V;; element type is the smallest element type that contains all of eltype1 ... +p13901 +tp13902 +a(g826 +V\u000a +p13903 +tp13904 +a(g8 +V;; eltypeN. +p13905 +tp13906 +a(g826 +V\u000a +p13907 +tp13908 +a(g8 +V;; +p13909 +tp13910 +a(g826 +V\u000a +p13911 +tp13912 +a(g8 +V;; User-defined sequence types are not supported here. +p13913 +tp13914 +a(g826 +V\u000a +p13915 +tp13916 +a(g8 +V;; +p13917 +tp13918 +a(g826 +V\u000a +p13919 +tp13920 +a(g8 +V;; This implementation inlines the (tail-recursive) canonicalize-type +p13921 +tp13922 +a(g826 +V\u000a +p13923 +tp13924 +a(g8 +V;; function. Its advantage is that it doesn't cons as much. Also it employs +p13925 +tp13926 +a(g826 +V\u000a +p13927 +tp13928 +a(g8 +V;; some heuristics and does not have the full power of SUBTYPEP. +p13929 +tp13930 +a(g826 +V\u000a +p13931 +tp13932 +a(g705 +g1020 +tp13933 +a(g681 +Vdefun +p13934 +tp13935 +a(g826 +g1024 +tp13936 +a(g440 +Vsubtype-sequence +p13937 +tp13938 +a(g826 +g1024 +tp13939 +a(g705 +g1020 +tp13940 +a(g744 +Vtype +p13941 +tp13942 +a(g705 +g1028 +tp13943 +a(g826 +V\u000a +p13944 +tp13945 +a(g705 +g1020 +tp13946 +a(g744 +Vsetq +p13947 +tp13948 +a(g826 +g1024 +tp13949 +a(g744 +Vtype +p13950 +tp13951 +a(g826 +g1024 +tp13952 +a(g705 +g1020 +tp13953 +a(g440 +Vexpand-deftype +p13954 +tp13955 +a(g826 +g1024 +tp13956 +a(g744 +Vtype +p13957 +tp13958 +a(g705 +g1028 +tp13959 +a(g705 +g1028 +tp13960 +a(g826 +V\u000a +p13961 +tp13962 +a(g705 +g1020 +tp13963 +a(g681 +Vcond +p13964 +tp13965 +a(g826 +g1024 +tp13966 +a(g705 +g1020 +tp13967 +a(g705 +g1020 +tp13968 +a(g681 +Vsymbolp +p13969 +tp13970 +a(g826 +g1024 +tp13971 +a(g744 +Vtype +p13972 +tp13973 +a(g705 +g1028 +tp13974 +a(g826 +V\u000a +p13975 +tp13976 +a(g705 +g1020 +tp13977 +a(g681 +Vcase +p13978 +tp13979 +a(g826 +g1024 +tp13980 +a(g744 +Vtype +p13981 +tp13982 +a(g826 +V\u000a +p13983 +tp13984 +a(g705 +g1020 +tp13985 +a(g705 +g1020 +tp13986 +a(g440 +VLIST +p13987 +tp13988 +a(g826 +g1024 +tp13989 +a(g440 +VCONS +p13990 +tp13991 +a(g826 +g1024 +tp13992 +a(g440 +VNULL +p13993 +tp13994 +a(g705 +g1028 +tp13995 +a(g826 +g1024 +tp13996 +a(g117 +V'LIST +p13997 +tp13998 +a(g705 +g1028 +tp13999 +a(g826 +V\u000a +p14000 +tp14001 +a(g705 +g1020 +tp14002 +a(g705 +g1020 +tp14003 +a(g565 +VNIL +p14004 +tp14005 +a(g705 +g1028 +tp14006 +a(g826 +g1024 +tp14007 +a(g117 +V'NIL +p14008 +tp14009 +a(g705 +g1028 +tp14010 +a(g826 +V\u000a +p14011 +tp14012 +a(g705 +g1020 +tp14013 +a(g705 +g1020 +tp14014 +a(g440 +VBIT-VECTOR +p14015 +tp14016 +a(g826 +g1024 +tp14017 +a(g440 +VSIMPLE-BIT-VECTOR +p14018 +tp14019 +a(g705 +g1028 +tp14020 +a(g826 +g1024 +tp14021 +a(g117 +V'1 +p14022 +tp14023 +a(g705 +g1028 +tp14024 +a(g826 +V\u000a +p14025 +tp14026 +a(g705 +g1020 +tp14027 +a(g705 +g1020 +tp14028 +a(g440 +VSTRING +p14029 +tp14030 +a(g826 +g1024 +tp14031 +a(g440 +VSIMPLE-STRING +p14032 +tp14033 +a(g826 +g1024 +tp14034 +a(g440 +VBASE-STRING +p14035 +tp14036 +a(g826 +g1024 +tp14037 +a(g440 +VSIMPLE-BASE-STRING +p14038 +tp14039 +a(g705 +g1028 +tp14040 +a(g826 +g1024 +tp14041 +a(g117 +V'STRING +p14042 +tp14043 +a(g705 +g1028 +tp14044 +a(g826 +V\u000a +p14045 +tp14046 +a(g705 +g1020 +tp14047 +a(g705 +g1020 +tp14048 +a(g440 +VVECTOR +p14049 +tp14050 +a(g826 +g1024 +tp14051 +a(g440 +VSIMPLE-VECTOR +p14052 +tp14053 +a(g826 +g1024 +tp14054 +a(g440 +VARRAY +p14055 +tp14056 +a(g826 +g1024 +tp14057 +a(g440 +VSIMPLE-ARRAY +p14058 +tp14059 +a(g705 +g1028 +tp14060 +a(g826 +g1024 +tp14061 +a(g117 +V'VECTOR +p14062 +tp14063 +a(g705 +g1028 +tp14064 +a(g826 +V\u000a +p14065 +tp14066 +a(g705 +g1020 +tp14067 +a(g705 +g1020 +tp14068 +a(g440 +VSEQUENCE +p14069 +tp14070 +a(g705 +g1028 +tp14071 +a(g826 +g1024 +tp14072 +a(g117 +V'SEQUENCE +p14073 +tp14074 +a(g705 +g1028 +tp14075 +a(g826 +V\u000a +p14076 +tp14077 +a(g705 +g1020 +tp14078 +a(g565 +g1480 +tp14079 +a(g826 +g1024 +tp14080 +a(g117 +V'NIL +p14081 +tp14082 +a(g705 +g1028 +tp14083 +a(g705 +g1028 +tp14084 +a(g705 +g1028 +tp14085 +a(g826 +V\u000a +p14086 +tp14087 +a(g705 +g1020 +tp14088 +a(g705 +g1020 +tp14089 +a(g681 +Vand +p14090 +tp14091 +a(g826 +g1024 +tp14092 +a(g705 +g1020 +tp14093 +a(g681 +Vconsp +p14094 +tp14095 +a(g826 +g1024 +tp14096 +a(g744 +Vtype +p14097 +tp14098 +a(g705 +g1028 +tp14099 +a(g826 +g1024 +tp14100 +a(g705 +g1020 +tp14101 +a(g681 +Vsymbolp +p14102 +tp14103 +a(g826 +g1024 +tp14104 +a(g705 +g1020 +tp14105 +a(g681 +Vfirst +p14106 +tp14107 +a(g826 +g1024 +tp14108 +a(g744 +Vtype +p14109 +tp14110 +a(g705 +g1028 +tp14111 +a(g705 +g1028 +tp14112 +a(g705 +g1028 +tp14113 +a(g826 +V\u000a +p14114 +tp14115 +a(g705 +g1020 +tp14116 +a(g681 +Vunless +p14117 +tp14118 +a(g826 +g1024 +tp14119 +a(g705 +g1020 +tp14120 +a(g681 +Vand +p14121 +tp14122 +a(g826 +g1024 +tp14123 +a(g705 +g1020 +tp14124 +a(g681 +Vlist-length +p14125 +tp14126 +a(g826 +g1024 +tp14127 +a(g744 +Vtype +p14128 +tp14129 +a(g705 +g1028 +tp14130 +a(g826 +g1024 +tp14131 +a(g705 +g1020 +tp14132 +a(g681 +Vnull +p14133 +tp14134 +a(g826 +g1024 +tp14135 +a(g705 +g1020 +tp14136 +a(g681 +Vcdr +p14137 +tp14138 +a(g826 +g1024 +tp14139 +a(g705 +g1020 +tp14140 +a(g681 +Vlast +p14141 +tp14142 +a(g826 +g1024 +tp14143 +a(g744 +Vtype +p14144 +tp14145 +a(g705 +g1028 +tp14146 +a(g705 +g1028 +tp14147 +a(g705 +g1028 +tp14148 +a(g705 +g1028 +tp14149 +a(g826 +V\u000a +p14150 +tp14151 +a(g705 +g1020 +tp14152 +a(g440 +Vtypespec-error +p14153 +tp14154 +a(g826 +g1024 +tp14155 +a(g117 +V'subtypep +p14156 +tp14157 +a(g826 +g1024 +tp14158 +a(g744 +Vtype +p14159 +tp14160 +a(g705 +g1028 +tp14161 +a(g705 +g1028 +tp14162 +a(g826 +V\u000a +p14163 +tp14164 +a(g705 +g1020 +tp14165 +a(g681 +Vcase +p14166 +tp14167 +a(g826 +g1024 +tp14168 +a(g705 +g1020 +tp14169 +a(g681 +Vfirst +p14170 +tp14171 +a(g826 +g1024 +tp14172 +a(g744 +Vtype +p14173 +tp14174 +a(g705 +g1028 +tp14175 +a(g826 +V\u000a +p14176 +tp14177 +a(g705 +g1020 +tp14178 +a(g440 +VMEMBER +p14179 +tp14180 +a(g826 +g1024 +tp14181 +a(g8 +V; (MEMBER &rest objects) +p14182 +tp14183 +a(g826 +V\u000a +p14184 +tp14185 +a(g705 +g1020 +tp14186 +a(g744 +Vlet +p14187 +tp14188 +a(g826 +g1024 +tp14189 +a(g705 +g1020 +tp14190 +a(g705 +g1020 +tp14191 +a(g440 +Vkind +p14192 +tp14193 +a(g826 +g1024 +tp14194 +a(g117 +V'NIL +p14195 +tp14196 +a(g705 +g1028 +tp14197 +a(g705 +g1028 +tp14198 +a(g826 +V\u000a +p14199 +tp14200 +a(g705 +g1020 +tp14201 +a(g681 +Vdolist +p14202 +tp14203 +a(g826 +g1024 +tp14204 +a(g705 +g1020 +tp14205 +a(g440 +g1289 +tp14206 +a(g826 +g1024 +tp14207 +a(g705 +g1020 +tp14208 +a(g681 +Vrest +p14209 +tp14210 +a(g826 +g1024 +tp14211 +a(g744 +Vtype +p14212 +tp14213 +a(g705 +g1028 +tp14214 +a(g705 +g1028 +tp14215 +a(g826 +V\u000a +p14216 +tp14217 +a(g705 +g1020 +tp14218 +a(g744 +Vsetq +p14219 +tp14220 +a(g826 +g1024 +tp14221 +a(g440 +Vkind +p14222 +tp14223 +a(g826 +g1024 +tp14224 +a(g705 +g1020 +tp14225 +a(g440 +Vsequence-type-union +p14226 +tp14227 +a(g826 +g1024 +tp14228 +a(g440 +Vkind +p14229 +tp14230 +a(g826 +g1024 +tp14231 +a(g705 +g1020 +tp14232 +a(g440 +Vtype-of-sequence +p14233 +tp14234 +a(g826 +g1024 +tp14235 +a(g440 +g1289 +tp14236 +a(g705 +g1028 +tp14237 +a(g705 +g1028 +tp14238 +a(g705 +g1028 +tp14239 +a(g705 +g1028 +tp14240 +a(g826 +V\u000a +p14241 +tp14242 +a(g440 +Vkind +p14243 +tp14244 +a(g705 +g1028 +tp14245 +a(g705 +g1028 +tp14246 +a(g826 +V\u000a +p14247 +tp14248 +a(g705 +g1020 +tp14249 +a(g440 +VEQL +p14250 +tp14251 +a(g826 +g1024 +tp14252 +a(g8 +V; (EQL object) +p14253 +tp14254 +a(g826 +V\u000a +p14255 +tp14256 +a(g705 +g1020 +tp14257 +a(g681 +Vunless +p14258 +tp14259 +a(g826 +g1024 +tp14260 +a(g705 +g1020 +tp14261 +a(g681 +Veql +p14262 +tp14263 +a(g826 +g1024 +tp14264 +a(g705 +g1020 +tp14265 +a(g681 +Vlength +p14266 +tp14267 +a(g826 +g1024 +tp14268 +a(g744 +Vtype +p14269 +tp14270 +a(g705 +g1028 +tp14271 +a(g826 +g1024 +tp14272 +a(g37 +g1563 +tp14273 +a(g705 +g1028 +tp14274 +a(g826 +V\u000a +p14275 +tp14276 +a(g705 +g1020 +tp14277 +a(g440 +Vtypespec-error +p14278 +tp14279 +a(g826 +g1024 +tp14280 +a(g117 +V'subtypep +p14281 +tp14282 +a(g826 +g1024 +tp14283 +a(g744 +Vtype +p14284 +tp14285 +a(g705 +g1028 +tp14286 +a(g705 +g1028 +tp14287 +a(g826 +V\u000a +p14288 +tp14289 +a(g705 +g1020 +tp14290 +a(g440 +Vtype-of-sequence +p14291 +tp14292 +a(g826 +g1024 +tp14293 +a(g705 +g1020 +tp14294 +a(g681 +Vsecond +p14295 +tp14296 +a(g826 +g1024 +tp14297 +a(g744 +Vtype +p14298 +tp14299 +a(g705 +g1028 +tp14300 +a(g705 +g1028 +tp14301 +a(g705 +g1028 +tp14302 +a(g826 +V\u000a +p14303 +tp14304 +a(g705 +g1020 +tp14305 +a(g440 +VOR +p14306 +tp14307 +a(g826 +g1024 +tp14308 +a(g8 +V; (OR type*) +p14309 +tp14310 +a(g826 +V\u000a +p14311 +tp14312 +a(g705 +g1020 +tp14313 +a(g744 +Vlet +p14314 +tp14315 +a(g826 +g1024 +tp14316 +a(g705 +g1020 +tp14317 +a(g705 +g1020 +tp14318 +a(g440 +Vkind +p14319 +tp14320 +a(g826 +g1024 +tp14321 +a(g117 +V'NIL +p14322 +tp14323 +a(g705 +g1028 +tp14324 +a(g705 +g1028 +tp14325 +a(g826 +V\u000a +p14326 +tp14327 +a(g705 +g1020 +tp14328 +a(g681 +Vdolist +p14329 +tp14330 +a(g826 +g1024 +tp14331 +a(g705 +g1020 +tp14332 +a(g440 +g1289 +tp14333 +a(g826 +g1024 +tp14334 +a(g705 +g1020 +tp14335 +a(g681 +Vrest +p14336 +tp14337 +a(g826 +g1024 +tp14338 +a(g744 +Vtype +p14339 +tp14340 +a(g705 +g1028 +tp14341 +a(g705 +g1028 +tp14342 +a(g826 +V\u000a +p14343 +tp14344 +a(g705 +g1020 +tp14345 +a(g744 +Vsetq +p14346 +tp14347 +a(g826 +g1024 +tp14348 +a(g440 +Vkind +p14349 +tp14350 +a(g826 +g1024 +tp14351 +a(g705 +g1020 +tp14352 +a(g440 +Vsequence-type-union +p14353 +tp14354 +a(g826 +g1024 +tp14355 +a(g440 +Vkind +p14356 +tp14357 +a(g826 +g1024 +tp14358 +a(g705 +g1020 +tp14359 +a(g440 +Vsubtype-sequence +p14360 +tp14361 +a(g826 +g1024 +tp14362 +a(g440 +g1289 +tp14363 +a(g705 +g1028 +tp14364 +a(g705 +g1028 +tp14365 +a(g705 +g1028 +tp14366 +a(g705 +g1028 +tp14367 +a(g826 +V\u000a +p14368 +tp14369 +a(g440 +Vkind +p14370 +tp14371 +a(g705 +g1028 +tp14372 +a(g705 +g1028 +tp14373 +a(g826 +V\u000a +p14374 +tp14375 +a(g705 +g1020 +tp14376 +a(g440 +VAND +p14377 +tp14378 +a(g826 +g1024 +tp14379 +a(g8 +V; (AND type*) +p14380 +tp14381 +a(g826 +V\u000a +p14382 +tp14383 +a(g705 +g1020 +tp14384 +a(g744 +Vlet +p14385 +tp14386 +a(g826 +g1024 +tp14387 +a(g705 +g1020 +tp14388 +a(g705 +g1020 +tp14389 +a(g440 +Vkind +p14390 +tp14391 +a(g826 +g1024 +tp14392 +a(g117 +V'SEQUENCE +p14393 +tp14394 +a(g705 +g1028 +tp14395 +a(g705 +g1028 +tp14396 +a(g826 +V\u000a +p14397 +tp14398 +a(g705 +g1020 +tp14399 +a(g681 +Vdolist +p14400 +tp14401 +a(g826 +g1024 +tp14402 +a(g705 +g1020 +tp14403 +a(g440 +g1289 +tp14404 +a(g826 +g1024 +tp14405 +a(g705 +g1020 +tp14406 +a(g681 +Vrest +p14407 +tp14408 +a(g826 +g1024 +tp14409 +a(g744 +Vtype +p14410 +tp14411 +a(g705 +g1028 +tp14412 +a(g705 +g1028 +tp14413 +a(g826 +V\u000a +p14414 +tp14415 +a(g705 +g1020 +tp14416 +a(g744 +Vsetq +p14417 +tp14418 +a(g826 +g1024 +tp14419 +a(g440 +Vkind +p14420 +tp14421 +a(g826 +g1024 +tp14422 +a(g705 +g1020 +tp14423 +a(g440 +Vsequence-type-intersection +p14424 +tp14425 +a(g826 +g1024 +tp14426 +a(g440 +Vkind +p14427 +tp14428 +a(g826 +g1024 +tp14429 +a(g705 +g1020 +tp14430 +a(g440 +Vsubtype-sequence +p14431 +tp14432 +a(g826 +g1024 +tp14433 +a(g440 +g1289 +tp14434 +a(g705 +g1028 +tp14435 +a(g705 +g1028 +tp14436 +a(g705 +g1028 +tp14437 +a(g705 +g1028 +tp14438 +a(g826 +V\u000a +p14439 +tp14440 +a(g440 +Vkind +p14441 +tp14442 +a(g705 +g1028 +tp14443 +a(g705 +g1028 +tp14444 +a(g826 +V\u000a +p14445 +tp14446 +a(g705 +g1020 +tp14447 +a(g705 +g1020 +tp14448 +a(g440 +VSIMPLE-BIT-VECTOR +p14449 +tp14450 +a(g826 +g1024 +tp14451 +a(g440 +VBIT-VECTOR +p14452 +tp14453 +a(g705 +g1028 +tp14454 +a(g826 +g1024 +tp14455 +a(g8 +V; (SIMPLE-BIT-VECTOR &optional size) +p14456 +tp14457 +a(g826 +V\u000a +p14458 +tp14459 +a(g705 +g1020 +tp14460 +a(g681 +Vwhen +p14461 +tp14462 +a(g826 +g1024 +tp14463 +a(g705 +g1020 +tp14464 +a(g681 +Vcddr +p14465 +tp14466 +a(g826 +g1024 +tp14467 +a(g744 +Vtype +p14468 +tp14469 +a(g705 +g1028 +tp14470 +a(g826 +V\u000a +p14471 +tp14472 +a(g705 +g1020 +tp14473 +a(g440 +Vtypespec-error +p14474 +tp14475 +a(g826 +g1024 +tp14476 +a(g117 +V'subtypep +p14477 +tp14478 +a(g826 +g1024 +tp14479 +a(g744 +Vtype +p14480 +tp14481 +a(g705 +g1028 +tp14482 +a(g705 +g1028 +tp14483 +a(g826 +V\u000a +p14484 +tp14485 +a(g117 +V'1 +p14486 +tp14487 +a(g705 +g1028 +tp14488 +a(g826 +V\u000a +p14489 +tp14490 +a(g705 +g1020 +tp14491 +a(g705 +g1020 +tp14492 +a(g440 +VSIMPLE-STRING +p14493 +tp14494 +a(g826 +g1024 +tp14495 +a(g440 +VSTRING +p14496 +tp14497 +a(g826 +g1024 +tp14498 +a(g440 +VSIMPLE-BASE-STRING +p14499 +tp14500 +a(g826 +g1024 +tp14501 +a(g440 +VBASE-STRING +p14502 +tp14503 +a(g705 +g1028 +tp14504 +a(g826 +g1024 +tp14505 +a(g8 +V; (SIMPLE-STRING &optional size) +p14506 +tp14507 +a(g826 +V\u000a +p14508 +tp14509 +a(g705 +g1020 +tp14510 +a(g681 +Vwhen +p14511 +tp14512 +a(g826 +g1024 +tp14513 +a(g705 +g1020 +tp14514 +a(g681 +Vcddr +p14515 +tp14516 +a(g826 +g1024 +tp14517 +a(g744 +Vtype +p14518 +tp14519 +a(g705 +g1028 +tp14520 +a(g826 +V\u000a +p14521 +tp14522 +a(g705 +g1020 +tp14523 +a(g440 +Vtypespec-error +p14524 +tp14525 +a(g826 +g1024 +tp14526 +a(g117 +V'subtypep +p14527 +tp14528 +a(g826 +g1024 +tp14529 +a(g744 +Vtype +p14530 +tp14531 +a(g705 +g1028 +tp14532 +a(g705 +g1028 +tp14533 +a(g826 +V\u000a +p14534 +tp14535 +a(g117 +V'STRING +p14536 +tp14537 +a(g705 +g1028 +tp14538 +a(g826 +V\u000a +p14539 +tp14540 +a(g705 +g1020 +tp14541 +a(g440 +VSIMPLE-VECTOR +p14542 +tp14543 +a(g826 +g1024 +tp14544 +a(g8 +V; (SIMPLE-VECTOR &optional size) +p14545 +tp14546 +a(g826 +V\u000a +p14547 +tp14548 +a(g705 +g1020 +tp14549 +a(g681 +Vwhen +p14550 +tp14551 +a(g826 +g1024 +tp14552 +a(g705 +g1020 +tp14553 +a(g681 +Vcddr +p14554 +tp14555 +a(g826 +g1024 +tp14556 +a(g744 +Vtype +p14557 +tp14558 +a(g705 +g1028 +tp14559 +a(g826 +V\u000a +p14560 +tp14561 +a(g705 +g1020 +tp14562 +a(g440 +Vtypespec-error +p14563 +tp14564 +a(g826 +g1024 +tp14565 +a(g117 +V'subtypep +p14566 +tp14567 +a(g826 +g1024 +tp14568 +a(g744 +Vtype +p14569 +tp14570 +a(g705 +g1028 +tp14571 +a(g705 +g1028 +tp14572 +a(g826 +V\u000a +p14573 +tp14574 +a(g117 +V'VECTOR +p14575 +tp14576 +a(g705 +g1028 +tp14577 +a(g826 +V\u000a +p14578 +tp14579 +a(g705 +g1020 +tp14580 +a(g705 +g1020 +tp14581 +a(g440 +VVECTOR +p14582 +tp14583 +a(g826 +g1024 +tp14584 +a(g440 +VARRAY +p14585 +tp14586 +a(g826 +g1024 +tp14587 +a(g440 +VSIMPLE-ARRAY +p14588 +tp14589 +a(g705 +g1028 +tp14590 +a(g826 +g1024 +tp14591 +a(g8 +V; (VECTOR &optional el-type size), (ARRAY &optional el-type dimensions) +p14592 +tp14593 +a(g826 +V\u000a +p14594 +tp14595 +a(g705 +g1020 +tp14596 +a(g681 +Vwhen +p14597 +tp14598 +a(g826 +g1024 +tp14599 +a(g705 +g1020 +tp14600 +a(g681 +Vcdddr +p14601 +tp14602 +a(g826 +g1024 +tp14603 +a(g744 +Vtype +p14604 +tp14605 +a(g705 +g1028 +tp14606 +a(g826 +V\u000a +p14607 +tp14608 +a(g705 +g1020 +tp14609 +a(g440 +Vtypespec-error +p14610 +tp14611 +a(g826 +g1024 +tp14612 +a(g117 +V'subtypep +p14613 +tp14614 +a(g826 +g1024 +tp14615 +a(g744 +Vtype +p14616 +tp14617 +a(g705 +g1028 +tp14618 +a(g705 +g1028 +tp14619 +a(g826 +V\u000a +p14620 +tp14621 +a(g705 +g1020 +tp14622 +a(g744 +Vlet +p14623 +tp14624 +a(g826 +g1024 +tp14625 +a(g705 +g1020 +tp14626 +a(g705 +g1020 +tp14627 +a(g440 +Vel-type +p14628 +tp14629 +a(g826 +g1024 +tp14630 +a(g705 +g1020 +tp14631 +a(g744 +Vif +p14632 +tp14633 +a(g826 +g1024 +tp14634 +a(g705 +g1020 +tp14635 +a(g681 +Vcdr +p14636 +tp14637 +a(g826 +g1024 +tp14638 +a(g744 +Vtype +p14639 +tp14640 +a(g705 +g1028 +tp14641 +a(g826 +g1024 +tp14642 +a(g705 +g1020 +tp14643 +a(g681 +Vsecond +p14644 +tp14645 +a(g826 +g1024 +tp14646 +a(g744 +Vtype +p14647 +tp14648 +a(g705 +g1028 +tp14649 +a(g826 +g1024 +tp14650 +a(g117 +V'* +p14651 +tp14652 +a(g705 +g1028 +tp14653 +a(g705 +g1028 +tp14654 +a(g705 +g1028 +tp14655 +a(g826 +V\u000a +p14656 +tp14657 +a(g705 +g1020 +tp14658 +a(g744 +Vif +p14659 +tp14660 +a(g826 +g1024 +tp14661 +a(g705 +g1020 +tp14662 +a(g681 +Veq +p14663 +tp14664 +a(g826 +g1024 +tp14665 +a(g440 +Vel-type +p14666 +tp14667 +a(g826 +g1024 +tp14668 +a(g117 +V'* +p14669 +tp14670 +a(g705 +g1028 +tp14671 +a(g826 +V\u000a +p14672 +tp14673 +a(g117 +V'VECTOR +p14674 +tp14675 +a(g826 +V\u000a +p14676 +tp14677 +a(g705 +g1020 +tp14678 +a(g744 +Vlet +p14679 +tp14680 +a(g826 +g1024 +tp14681 +a(g705 +g1020 +tp14682 +a(g705 +g1020 +tp14683 +a(g440 +Veltype +p14684 +tp14685 +a(g826 +g1024 +tp14686 +a(g705 +g1020 +tp14687 +a(g681 +Vupgraded-array-element-type +p14688 +tp14689 +a(g826 +g1024 +tp14690 +a(g440 +Vel-type +p14691 +tp14692 +a(g705 +g1028 +tp14693 +a(g705 +g1028 +tp14694 +a(g705 +g1028 +tp14695 +a(g826 +V\u000a +p14696 +tp14697 +a(g705 +g1020 +tp14698 +a(g681 +Vcond +p14699 +tp14700 +a(g826 +g1024 +tp14701 +a(g705 +g1020 +tp14702 +a(g705 +g1020 +tp14703 +a(g681 +Veq +p14704 +tp14705 +a(g826 +g1024 +tp14706 +a(g440 +Veltype +p14707 +tp14708 +a(g826 +g1024 +tp14709 +a(g117 +V'T +p14710 +tp14711 +a(g705 +g1028 +tp14712 +a(g826 +g1024 +tp14713 +a(g117 +V'VECTOR +p14714 +tp14715 +a(g705 +g1028 +tp14716 +a(g826 +V\u000a +p14717 +tp14718 +a(g705 +g1020 +tp14719 +a(g705 +g1020 +tp14720 +a(g681 +Veq +p14721 +tp14722 +a(g826 +g1024 +tp14723 +a(g440 +Veltype +p14724 +tp14725 +a(g826 +g1024 +tp14726 +a(g117 +V'CHARACTER +p14727 +tp14728 +a(g705 +g1028 +tp14729 +a(g826 +g1024 +tp14730 +a(g117 +V'STRING +p14731 +tp14732 +a(g705 +g1028 +tp14733 +a(g826 +V\u000a +p14734 +tp14735 +a(g705 +g1020 +tp14736 +a(g705 +g1020 +tp14737 +a(g681 +Veq +p14738 +tp14739 +a(g826 +g1024 +tp14740 +a(g440 +Veltype +p14741 +tp14742 +a(g826 +g1024 +tp14743 +a(g117 +V'BIT +p14744 +tp14745 +a(g705 +g1028 +tp14746 +a(g826 +g1024 +tp14747 +a(g117 +V'1 +p14748 +tp14749 +a(g705 +g1028 +tp14750 +a(g826 +V\u000a +p14751 +tp14752 +a(g705 +g1020 +tp14753 +a(g705 +g1020 +tp14754 +a(g681 +Vand +p14755 +tp14756 +a(g826 +g1024 +tp14757 +a(g705 +g1020 +tp14758 +a(g681 +Vconsp +p14759 +tp14760 +a(g826 +g1024 +tp14761 +a(g440 +Veltype +p14762 +tp14763 +a(g705 +g1028 +tp14764 +a(g826 +g1024 +tp14765 +a(g705 +g1020 +tp14766 +a(g681 +Veq +p14767 +tp14768 +a(g826 +g1024 +tp14769 +a(g705 +g1020 +tp14770 +a(g681 +Vfirst +p14771 +tp14772 +a(g826 +g1024 +tp14773 +a(g440 +Veltype +p14774 +tp14775 +a(g705 +g1028 +tp14776 +a(g826 +g1024 +tp14777 +a(g117 +V'UNSIGNED-BYTE +p14778 +tp14779 +a(g705 +g1028 +tp14780 +a(g705 +g1028 +tp14781 +a(g826 +g1024 +tp14782 +a(g705 +g1020 +tp14783 +a(g681 +Vsecond +p14784 +tp14785 +a(g826 +g1024 +tp14786 +a(g440 +Veltype +p14787 +tp14788 +a(g705 +g1028 +tp14789 +a(g705 +g1028 +tp14790 +a(g826 +V\u000a +p14791 +tp14792 +a(g705 +g1020 +tp14793 +a(g705 +g1020 +tp14794 +a(g681 +Veq +p14795 +tp14796 +a(g826 +g1024 +tp14797 +a(g440 +Veltype +p14798 +tp14799 +a(g826 +g1024 +tp14800 +a(g117 +V'NIL +p14801 +tp14802 +a(g705 +g1028 +tp14803 +a(g826 +g1024 +tp14804 +a(g117 +V'0 +p14805 +tp14806 +a(g705 +g1028 +tp14807 +a(g826 +V\u000a +p14808 +tp14809 +a(g705 +g1020 +tp14810 +a(g565 +g1480 +tp14811 +a(g826 +g1024 +tp14812 +a(g705 +g1020 +tp14813 +a(g681 +Verror +p14814 +tp14815 +a(g826 +g1024 +tp14816 +a(g705 +g1020 +tp14817 +a(g440 +VTEXT +p14818 +tp14819 +a(g826 +g1024 +tp14820 +a(g89 +V"~S is not up-to-date with ~S for element type ~S" +p14821 +tp14822 +a(g705 +g1028 +tp14823 +a(g826 +V\u000a +p14824 +tp14825 +a(g117 +V'subtypep-sequence +p14826 +tp14827 +a(g826 +g1024 +tp14828 +a(g117 +V'upgraded-array-element-type +p14829 +tp14830 +a(g826 +g1024 +tp14831 +a(g440 +Veltype +p14832 +tp14833 +a(g705 +g1028 +tp14834 +a(g705 +g1028 +tp14835 +a(g705 +g1028 +tp14836 +a(g705 +g1028 +tp14837 +a(g705 +g1028 +tp14838 +a(g705 +g1028 +tp14839 +a(g705 +g1028 +tp14840 +a(g826 +V\u000a +p14841 +tp14842 +a(g705 +g1020 +tp14843 +a(g705 +g1020 +tp14844 +a(g440 +VCONS +p14845 +tp14846 +a(g705 +g1028 +tp14847 +a(g826 +g1024 +tp14848 +a(g8 +V; (CONS &optional cartype cdrtype) +p14849 +tp14850 +a(g826 +V\u000a +p14851 +tp14852 +a(g705 +g1020 +tp14853 +a(g681 +Vwhen +p14854 +tp14855 +a(g826 +g1024 +tp14856 +a(g705 +g1020 +tp14857 +a(g681 +Vcdddr +p14858 +tp14859 +a(g826 +g1024 +tp14860 +a(g744 +Vtype +p14861 +tp14862 +a(g705 +g1028 +tp14863 +a(g826 +V\u000a +p14864 +tp14865 +a(g705 +g1020 +tp14866 +a(g440 +Vtypespec-error +p14867 +tp14868 +a(g826 +g1024 +tp14869 +a(g117 +V'subtypep +p14870 +tp14871 +a(g826 +g1024 +tp14872 +a(g744 +Vtype +p14873 +tp14874 +a(g705 +g1028 +tp14875 +a(g705 +g1028 +tp14876 +a(g826 +V\u000a +p14877 +tp14878 +a(g117 +V'LIST +p14879 +tp14880 +a(g705 +g1028 +tp14881 +a(g826 +V\u000a +p14882 +tp14883 +a(g705 +g1020 +tp14884 +a(g565 +g1480 +tp14885 +a(g826 +g1024 +tp14886 +a(g117 +V'NIL +p14887 +tp14888 +a(g705 +g1028 +tp14889 +a(g705 +g1028 +tp14890 +a(g705 +g1028 +tp14891 +a(g826 +V\u000a +p14892 +tp14893 +a(g705 +g1020 +tp14894 +a(g705 +g1020 +tp14895 +a(g440 +Vclos::defined-class-p +p14896 +tp14897 +a(g826 +g1024 +tp14898 +a(g744 +Vtype +p14899 +tp14900 +a(g705 +g1028 +tp14901 +a(g826 +V\u000a +p14902 +tp14903 +a(g705 +g1020 +tp14904 +a(g744 +Vif +p14905 +tp14906 +a(g826 +g1024 +tp14907 +a(g705 +g1020 +tp14908 +a(g681 +Vand +p14909 +tp14910 +a(g826 +g1024 +tp14911 +a(g705 +g1020 +tp14912 +a(g440 +Vclos::built-in-class-p +p14913 +tp14914 +a(g826 +g1024 +tp14915 +a(g744 +Vtype +p14916 +tp14917 +a(g705 +g1028 +tp14918 +a(g826 +V\u000a +p14919 +tp14920 +a(g705 +g1020 +tp14921 +a(g681 +Veq +p14922 +tp14923 +a(g826 +g1024 +tp14924 +a(g705 +g1020 +tp14925 +a(g681 +Vget +p14926 +tp14927 +a(g826 +g1024 +tp14928 +a(g705 +g1020 +tp14929 +a(g440 +Vclos:class-name +p14930 +tp14931 +a(g826 +g1024 +tp14932 +a(g744 +Vtype +p14933 +tp14934 +a(g705 +g1028 +tp14935 +a(g826 +g1024 +tp14936 +a(g117 +V'CLOS::CLOSCLASS +p14937 +tp14938 +a(g705 +g1028 +tp14939 +a(g826 +g1024 +tp14940 +a(g744 +Vtype +p14941 +tp14942 +a(g705 +g1028 +tp14943 +a(g705 +g1028 +tp14944 +a(g826 +V\u000a +p14945 +tp14946 +a(g705 +g1020 +tp14947 +a(g440 +Vsubtype-sequence +p14948 +tp14949 +a(g826 +g1024 +tp14950 +a(g705 +g1020 +tp14951 +a(g440 +Vclos:class-name +p14952 +tp14953 +a(g826 +g1024 +tp14954 +a(g744 +Vtype +p14955 +tp14956 +a(g705 +g1028 +tp14957 +a(g705 +g1028 +tp14958 +a(g826 +V\u000a +p14959 +tp14960 +a(g117 +V'NIL +p14961 +tp14962 +a(g705 +g1028 +tp14963 +a(g705 +g1028 +tp14964 +a(g826 +V\u000a +p14965 +tp14966 +a(g705 +g1020 +tp14967 +a(g705 +g1020 +tp14968 +a(g440 +Vclos::eql-specializer-p +p14969 +tp14970 +a(g826 +g1024 +tp14971 +a(g744 +Vtype +p14972 +tp14973 +a(g705 +g1028 +tp14974 +a(g826 +V\u000a +p14975 +tp14976 +a(g705 +g1020 +tp14977 +a(g440 +Vtype-of-sequence +p14978 +tp14979 +a(g826 +g1024 +tp14980 +a(g705 +g1020 +tp14981 +a(g440 +Vclos::eql-specializer-singleton +p14982 +tp14983 +a(g826 +g1024 +tp14984 +a(g744 +Vtype +p14985 +tp14986 +a(g705 +g1028 +tp14987 +a(g705 +g1028 +tp14988 +a(g705 +g1028 +tp14989 +a(g826 +V\u000a +p14990 +tp14991 +a(g705 +g1020 +tp14992 +a(g565 +g1480 +tp14993 +a(g826 +g1024 +tp14994 +a(g117 +V'NIL +p14995 +tp14996 +a(g705 +g1028 +tp14997 +a(g705 +g1028 +tp14998 +a(g705 +g1028 +tp14999 +a(g826 +V\u000a +p15000 +tp15001 +a(g705 +g1020 +tp15002 +a(g681 +Vdefun +p15003 +tp15004 +a(g826 +g1024 +tp15005 +a(g440 +Vtype-of-sequence +p15006 +tp15007 +a(g826 +g1024 +tp15008 +a(g705 +g1020 +tp15009 +a(g440 +g1289 +tp15010 +a(g705 +g1028 +tp15011 +a(g826 +V\u000a +p15012 +tp15013 +a(g705 +g1020 +tp15014 +a(g681 +Vcond +p15015 +tp15016 +a(g826 +g1024 +tp15017 +a(g705 +g1020 +tp15018 +a(g705 +g1020 +tp15019 +a(g681 +Vlistp +p15020 +tp15021 +a(g826 +g1024 +tp15022 +a(g440 +g1289 +tp15023 +a(g705 +g1028 +tp15024 +a(g826 +g1024 +tp15025 +a(g117 +V'LIST +p15026 +tp15027 +a(g705 +g1028 +tp15028 +a(g826 +V\u000a +p15029 +tp15030 +a(g705 +g1020 +tp15031 +a(g705 +g1020 +tp15032 +a(g681 +Vvectorp +p15033 +tp15034 +a(g826 +g1024 +tp15035 +a(g440 +g1289 +tp15036 +a(g705 +g1028 +tp15037 +a(g826 +V\u000a +p15038 +tp15039 +a(g705 +g1020 +tp15040 +a(g744 +Vlet +p15041 +tp15042 +a(g826 +g1024 +tp15043 +a(g705 +g1020 +tp15044 +a(g705 +g1020 +tp15045 +a(g440 +Veltype +p15046 +tp15047 +a(g826 +g1024 +tp15048 +a(g705 +g1020 +tp15049 +a(g681 +Varray-element-type +p15050 +tp15051 +a(g826 +g1024 +tp15052 +a(g440 +g1289 +tp15053 +a(g705 +g1028 +tp15054 +a(g705 +g1028 +tp15055 +a(g705 +g1028 +tp15056 +a(g826 +V\u000a +p15057 +tp15058 +a(g705 +g1020 +tp15059 +a(g681 +Vcond +p15060 +tp15061 +a(g826 +g1024 +tp15062 +a(g705 +g1020 +tp15063 +a(g705 +g1020 +tp15064 +a(g681 +Veq +p15065 +tp15066 +a(g826 +g1024 +tp15067 +a(g440 +Veltype +p15068 +tp15069 +a(g826 +g1024 +tp15070 +a(g117 +V'T +p15071 +tp15072 +a(g705 +g1028 +tp15073 +a(g826 +g1024 +tp15074 +a(g117 +V'VECTOR +p15075 +tp15076 +a(g705 +g1028 +tp15077 +a(g826 +V\u000a +p15078 +tp15079 +a(g705 +g1020 +tp15080 +a(g705 +g1020 +tp15081 +a(g681 +Veq +p15082 +tp15083 +a(g826 +g1024 +tp15084 +a(g440 +Veltype +p15085 +tp15086 +a(g826 +g1024 +tp15087 +a(g117 +V'CHARACTER +p15088 +tp15089 +a(g705 +g1028 +tp15090 +a(g826 +g1024 +tp15091 +a(g117 +V'STRING +p15092 +tp15093 +a(g705 +g1028 +tp15094 +a(g826 +V\u000a +p15095 +tp15096 +a(g705 +g1020 +tp15097 +a(g705 +g1020 +tp15098 +a(g681 +Veq +p15099 +tp15100 +a(g826 +g1024 +tp15101 +a(g440 +Veltype +p15102 +tp15103 +a(g826 +g1024 +tp15104 +a(g117 +V'BIT +p15105 +tp15106 +a(g705 +g1028 +tp15107 +a(g826 +g1024 +tp15108 +a(g117 +V'1 +p15109 +tp15110 +a(g705 +g1028 +tp15111 +a(g826 +V\u000a +p15112 +tp15113 +a(g705 +g1020 +tp15114 +a(g705 +g1020 +tp15115 +a(g681 +Vand +p15116 +tp15117 +a(g826 +g1024 +tp15118 +a(g705 +g1020 +tp15119 +a(g681 +Vconsp +p15120 +tp15121 +a(g826 +g1024 +tp15122 +a(g440 +Veltype +p15123 +tp15124 +a(g705 +g1028 +tp15125 +a(g826 +g1024 +tp15126 +a(g705 +g1020 +tp15127 +a(g681 +Veq +p15128 +tp15129 +a(g826 +g1024 +tp15130 +a(g705 +g1020 +tp15131 +a(g681 +Vfirst +p15132 +tp15133 +a(g826 +g1024 +tp15134 +a(g440 +Veltype +p15135 +tp15136 +a(g705 +g1028 +tp15137 +a(g826 +g1024 +tp15138 +a(g117 +V'UNSIGNED-BYTE +p15139 +tp15140 +a(g705 +g1028 +tp15141 +a(g705 +g1028 +tp15142 +a(g826 +g1024 +tp15143 +a(g705 +g1020 +tp15144 +a(g681 +Vsecond +p15145 +tp15146 +a(g826 +g1024 +tp15147 +a(g440 +Veltype +p15148 +tp15149 +a(g705 +g1028 +tp15150 +a(g705 +g1028 +tp15151 +a(g826 +V\u000a +p15152 +tp15153 +a(g705 +g1020 +tp15154 +a(g705 +g1020 +tp15155 +a(g681 +Veq +p15156 +tp15157 +a(g826 +g1024 +tp15158 +a(g440 +Veltype +p15159 +tp15160 +a(g826 +g1024 +tp15161 +a(g117 +V'NIL +p15162 +tp15163 +a(g705 +g1028 +tp15164 +a(g826 +g1024 +tp15165 +a(g117 +V'0 +p15166 +tp15167 +a(g705 +g1028 +tp15168 +a(g826 +V\u000a +p15169 +tp15170 +a(g705 +g1020 +tp15171 +a(g565 +g1480 +tp15172 +a(g826 +g1024 +tp15173 +a(g705 +g1020 +tp15174 +a(g681 +Verror +p15175 +tp15176 +a(g826 +g1024 +tp15177 +a(g705 +g1020 +tp15178 +a(g440 +VTEXT +p15179 +tp15180 +a(g826 +g1024 +tp15181 +a(g89 +V"~S is not up-to-date with ~S for element type ~S" +p15182 +tp15183 +a(g705 +g1028 +tp15184 +a(g826 +V\u000a +p15185 +tp15186 +a(g117 +V'type-of-sequence +p15187 +tp15188 +a(g826 +g1024 +tp15189 +a(g117 +V'array-element-type +p15190 +tp15191 +a(g826 +g1024 +tp15192 +a(g440 +Veltype +p15193 +tp15194 +a(g705 +g1028 +tp15195 +a(g705 +g1028 +tp15196 +a(g705 +g1028 +tp15197 +a(g705 +g1028 +tp15198 +a(g705 +g1028 +tp15199 +a(g826 +V\u000a +p15200 +tp15201 +a(g705 +g1020 +tp15202 +a(g565 +g1480 +tp15203 +a(g826 +g1024 +tp15204 +a(g117 +V'NIL +p15205 +tp15206 +a(g705 +g1028 +tp15207 +a(g705 +g1028 +tp15208 +a(g705 +g1028 +tp15209 +a(g826 +V\u000a +p15210 +tp15211 +a(g705 +g1020 +tp15212 +a(g681 +Vdefun +p15213 +tp15214 +a(g826 +g1024 +tp15215 +a(g440 +Vsequence-type-union +p15216 +tp15217 +a(g826 +g1024 +tp15218 +a(g705 +g1020 +tp15219 +a(g440 +Vt1 +p15220 +tp15221 +a(g826 +g1024 +tp15222 +a(g440 +Vt2 +p15223 +tp15224 +a(g705 +g1028 +tp15225 +a(g826 +V\u000a +p15226 +tp15227 +a(g705 +g1020 +tp15228 +a(g681 +Vcond +p15229 +tp15230 +a(g826 +g1024 +tp15231 +a(g8 +V; Simple general rules. +p15232 +tp15233 +a(g826 +V\u000a +p15234 +tp15235 +a(g705 +g1020 +tp15236 +a(g705 +g1020 +tp15237 +a(g681 +Veql +p15238 +tp15239 +a(g826 +g1024 +tp15240 +a(g440 +Vt1 +p15241 +tp15242 +a(g826 +g1024 +tp15243 +a(g440 +Vt2 +p15244 +tp15245 +a(g705 +g1028 +tp15246 +a(g826 +g1024 +tp15247 +a(g440 +Vt1 +p15248 +tp15249 +a(g705 +g1028 +tp15250 +a(g826 +V\u000a +p15251 +tp15252 +a(g705 +g1020 +tp15253 +a(g705 +g1020 +tp15254 +a(g681 +Veq +p15255 +tp15256 +a(g826 +g1024 +tp15257 +a(g440 +Vt1 +p15258 +tp15259 +a(g826 +g1024 +tp15260 +a(g117 +V'NIL +p15261 +tp15262 +a(g705 +g1028 +tp15263 +a(g826 +g1024 +tp15264 +a(g440 +Vt2 +p15265 +tp15266 +a(g705 +g1028 +tp15267 +a(g826 +V\u000a +p15268 +tp15269 +a(g705 +g1020 +tp15270 +a(g705 +g1020 +tp15271 +a(g681 +Veq +p15272 +tp15273 +a(g826 +g1024 +tp15274 +a(g440 +Vt2 +p15275 +tp15276 +a(g826 +g1024 +tp15277 +a(g117 +V'NIL +p15278 +tp15279 +a(g705 +g1028 +tp15280 +a(g826 +g1024 +tp15281 +a(g440 +Vt1 +p15282 +tp15283 +a(g705 +g1028 +tp15284 +a(g826 +V\u000a +p15285 +tp15286 +a(g8 +V; Now the union of two different types. +p15287 +tp15288 +a(g826 +V\u000a +p15289 +tp15290 +a(g705 +g1020 +tp15291 +a(g705 +g1020 +tp15292 +a(g681 +Vor +p15293 +tp15294 +a(g826 +g1024 +tp15295 +a(g705 +g1020 +tp15296 +a(g681 +Veq +p15297 +tp15298 +a(g826 +g1024 +tp15299 +a(g440 +Vt1 +p15300 +tp15301 +a(g826 +g1024 +tp15302 +a(g117 +V'SEQUENCE +p15303 +tp15304 +a(g705 +g1028 +tp15305 +a(g826 +g1024 +tp15306 +a(g705 +g1020 +tp15307 +a(g681 +Veq +p15308 +tp15309 +a(g826 +g1024 +tp15310 +a(g440 +Vt2 +p15311 +tp15312 +a(g826 +g1024 +tp15313 +a(g117 +V'SEQUENCE +p15314 +tp15315 +a(g705 +g1028 +tp15316 +a(g705 +g1028 +tp15317 +a(g826 +g1024 +tp15318 +a(g117 +V'SEQUENCE +p15319 +tp15320 +a(g705 +g1028 +tp15321 +a(g826 +V\u000a +p15322 +tp15323 +a(g705 +g1020 +tp15324 +a(g705 +g1020 +tp15325 +a(g681 +Vor +p15326 +tp15327 +a(g826 +g1024 +tp15328 +a(g705 +g1020 +tp15329 +a(g681 +Veq +p15330 +tp15331 +a(g826 +g1024 +tp15332 +a(g440 +Vt1 +p15333 +tp15334 +a(g826 +g1024 +tp15335 +a(g117 +V'LIST +p15336 +tp15337 +a(g705 +g1028 +tp15338 +a(g826 +g1024 +tp15339 +a(g705 +g1020 +tp15340 +a(g681 +Veq +p15341 +tp15342 +a(g826 +g1024 +tp15343 +a(g440 +Vt2 +p15344 +tp15345 +a(g826 +g1024 +tp15346 +a(g117 +V'LIST +p15347 +tp15348 +a(g705 +g1028 +tp15349 +a(g705 +g1028 +tp15350 +a(g826 +V\u000a +p15351 +tp15352 +a(g8 +V; union of LIST and a vector type +p15353 +tp15354 +a(g826 +V\u000a +p15355 +tp15356 +a(g117 +V'SEQUENCE +p15357 +tp15358 +a(g705 +g1028 +tp15359 +a(g826 +V\u000a +p15360 +tp15361 +a(g705 +g1020 +tp15362 +a(g705 +g1020 +tp15363 +a(g681 +Vor +p15364 +tp15365 +a(g826 +g1024 +tp15366 +a(g705 +g1020 +tp15367 +a(g681 +Veq +p15368 +tp15369 +a(g826 +g1024 +tp15370 +a(g440 +Vt1 +p15371 +tp15372 +a(g826 +g1024 +tp15373 +a(g117 +V'VECTOR +p15374 +tp15375 +a(g705 +g1028 +tp15376 +a(g826 +g1024 +tp15377 +a(g705 +g1020 +tp15378 +a(g681 +Veq +p15379 +tp15380 +a(g826 +g1024 +tp15381 +a(g440 +Vt2 +p15382 +tp15383 +a(g826 +g1024 +tp15384 +a(g117 +V'VECTOR +p15385 +tp15386 +a(g705 +g1028 +tp15387 +a(g705 +g1028 +tp15388 +a(g826 +g1024 +tp15389 +a(g117 +V'VECTOR +p15390 +tp15391 +a(g705 +g1028 +tp15392 +a(g826 +V\u000a +p15393 +tp15394 +a(g705 +g1020 +tp15395 +a(g705 +g1020 +tp15396 +a(g681 +Veql +p15397 +tp15398 +a(g826 +g1024 +tp15399 +a(g440 +Vt1 +p15400 +tp15401 +a(g826 +g1024 +tp15402 +a(g37 +g3578 +tp15403 +a(g705 +g1028 +tp15404 +a(g826 +g1024 +tp15405 +a(g440 +Vt2 +p15406 +tp15407 +a(g705 +g1028 +tp15408 +a(g826 +V\u000a +p15409 +tp15410 +a(g705 +g1020 +tp15411 +a(g705 +g1020 +tp15412 +a(g681 +Veql +p15413 +tp15414 +a(g826 +g1024 +tp15415 +a(g440 +Vt2 +p15416 +tp15417 +a(g826 +g1024 +tp15418 +a(g37 +g3578 +tp15419 +a(g705 +g1028 +tp15420 +a(g826 +g1024 +tp15421 +a(g440 +Vt1 +p15422 +tp15423 +a(g705 +g1028 +tp15424 +a(g826 +V\u000a +p15425 +tp15426 +a(g705 +g1020 +tp15427 +a(g705 +g1020 +tp15428 +a(g681 +Vor +p15429 +tp15430 +a(g826 +g1024 +tp15431 +a(g705 +g1020 +tp15432 +a(g681 +Veq +p15433 +tp15434 +a(g826 +g1024 +tp15435 +a(g440 +Vt1 +p15436 +tp15437 +a(g826 +g1024 +tp15438 +a(g117 +V'STRING +p15439 +tp15440 +a(g705 +g1028 +tp15441 +a(g826 +g1024 +tp15442 +a(g705 +g1020 +tp15443 +a(g681 +Veq +p15444 +tp15445 +a(g826 +g1024 +tp15446 +a(g440 +Vt2 +p15447 +tp15448 +a(g826 +g1024 +tp15449 +a(g117 +V'STRING +p15450 +tp15451 +a(g705 +g1028 +tp15452 +a(g705 +g1028 +tp15453 +a(g826 +V\u000a +p15454 +tp15455 +a(g8 +V; union of STRING and an integer-vector type +p15456 +tp15457 +a(g826 +V\u000a +p15458 +tp15459 +a(g117 +V'VECTOR +p15460 +tp15461 +a(g705 +g1028 +tp15462 +a(g826 +V\u000a +p15463 +tp15464 +a(g705 +g1020 +tp15465 +a(g565 +g1480 +tp15466 +a(g826 +g1024 +tp15467 +a(g705 +g1020 +tp15468 +a(g681 +Vmax +p15469 +tp15470 +a(g826 +g1024 +tp15471 +a(g440 +Vt1 +p15472 +tp15473 +a(g826 +g1024 +tp15474 +a(g440 +Vt2 +p15475 +tp15476 +a(g705 +g1028 +tp15477 +a(g705 +g1028 +tp15478 +a(g705 +g1028 +tp15479 +a(g705 +g1028 +tp15480 +a(g826 +V\u000a +p15481 +tp15482 +a(g705 +g1020 +tp15483 +a(g681 +Vdefun +p15484 +tp15485 +a(g826 +g1024 +tp15486 +a(g440 +Vsequence-type-intersection +p15487 +tp15488 +a(g826 +g1024 +tp15489 +a(g705 +g1020 +tp15490 +a(g440 +Vt1 +p15491 +tp15492 +a(g826 +g1024 +tp15493 +a(g440 +Vt2 +p15494 +tp15495 +a(g705 +g1028 +tp15496 +a(g826 +V\u000a +p15497 +tp15498 +a(g705 +g1020 +tp15499 +a(g681 +Vcond +p15500 +tp15501 +a(g826 +g1024 +tp15502 +a(g8 +V; Simple general rules. +p15503 +tp15504 +a(g826 +V\u000a +p15505 +tp15506 +a(g705 +g1020 +tp15507 +a(g705 +g1020 +tp15508 +a(g681 +Veql +p15509 +tp15510 +a(g826 +g1024 +tp15511 +a(g440 +Vt1 +p15512 +tp15513 +a(g826 +g1024 +tp15514 +a(g440 +Vt2 +p15515 +tp15516 +a(g705 +g1028 +tp15517 +a(g826 +g1024 +tp15518 +a(g440 +Vt1 +p15519 +tp15520 +a(g705 +g1028 +tp15521 +a(g826 +V\u000a +p15522 +tp15523 +a(g705 +g1020 +tp15524 +a(g705 +g1020 +tp15525 +a(g681 +Vor +p15526 +tp15527 +a(g826 +g1024 +tp15528 +a(g705 +g1020 +tp15529 +a(g681 +Veq +p15530 +tp15531 +a(g826 +g1024 +tp15532 +a(g440 +Vt1 +p15533 +tp15534 +a(g826 +g1024 +tp15535 +a(g117 +V'NIL +p15536 +tp15537 +a(g705 +g1028 +tp15538 +a(g826 +g1024 +tp15539 +a(g705 +g1020 +tp15540 +a(g681 +Veq +p15541 +tp15542 +a(g826 +g1024 +tp15543 +a(g440 +Vt2 +p15544 +tp15545 +a(g826 +g1024 +tp15546 +a(g117 +V'NIL +p15547 +tp15548 +a(g705 +g1028 +tp15549 +a(g705 +g1028 +tp15550 +a(g826 +g1024 +tp15551 +a(g117 +V'NIL +p15552 +tp15553 +a(g705 +g1028 +tp15554 +a(g826 +V\u000a +p15555 +tp15556 +a(g8 +V; Now the intersection of two different types. +p15557 +tp15558 +a(g826 +V\u000a +p15559 +tp15560 +a(g705 +g1020 +tp15561 +a(g705 +g1020 +tp15562 +a(g681 +Veq +p15563 +tp15564 +a(g826 +g1024 +tp15565 +a(g440 +Vt1 +p15566 +tp15567 +a(g826 +g1024 +tp15568 +a(g117 +V'SEQUENCE +p15569 +tp15570 +a(g705 +g1028 +tp15571 +a(g826 +g1024 +tp15572 +a(g440 +Vt2 +p15573 +tp15574 +a(g705 +g1028 +tp15575 +a(g826 +V\u000a +p15576 +tp15577 +a(g705 +g1020 +tp15578 +a(g705 +g1020 +tp15579 +a(g681 +Veq +p15580 +tp15581 +a(g826 +g1024 +tp15582 +a(g440 +Vt2 +p15583 +tp15584 +a(g826 +g1024 +tp15585 +a(g117 +V'SEQUENCE +p15586 +tp15587 +a(g705 +g1028 +tp15588 +a(g826 +g1024 +tp15589 +a(g440 +Vt1 +p15590 +tp15591 +a(g705 +g1028 +tp15592 +a(g826 +V\u000a +p15593 +tp15594 +a(g705 +g1020 +tp15595 +a(g705 +g1020 +tp15596 +a(g681 +Vor +p15597 +tp15598 +a(g826 +g1024 +tp15599 +a(g705 +g1020 +tp15600 +a(g681 +Veq +p15601 +tp15602 +a(g826 +g1024 +tp15603 +a(g440 +Vt1 +p15604 +tp15605 +a(g826 +g1024 +tp15606 +a(g117 +V'LIST +p15607 +tp15608 +a(g705 +g1028 +tp15609 +a(g826 +g1024 +tp15610 +a(g705 +g1020 +tp15611 +a(g681 +Veq +p15612 +tp15613 +a(g826 +g1024 +tp15614 +a(g440 +Vt2 +p15615 +tp15616 +a(g826 +g1024 +tp15617 +a(g117 +V'LIST +p15618 +tp15619 +a(g705 +g1028 +tp15620 +a(g705 +g1028 +tp15621 +a(g826 +V\u000a +p15622 +tp15623 +a(g8 +V; intersection of LIST and a vector type +p15624 +tp15625 +a(g826 +V\u000a +p15626 +tp15627 +a(g117 +V'NIL +p15628 +tp15629 +a(g705 +g1028 +tp15630 +a(g826 +V\u000a +p15631 +tp15632 +a(g705 +g1020 +tp15633 +a(g705 +g1020 +tp15634 +a(g681 +Veq +p15635 +tp15636 +a(g826 +g1024 +tp15637 +a(g440 +Vt1 +p15638 +tp15639 +a(g826 +g1024 +tp15640 +a(g117 +V'VECTOR +p15641 +tp15642 +a(g705 +g1028 +tp15643 +a(g826 +g1024 +tp15644 +a(g440 +Vt2 +p15645 +tp15646 +a(g705 +g1028 +tp15647 +a(g826 +V\u000a +p15648 +tp15649 +a(g705 +g1020 +tp15650 +a(g705 +g1020 +tp15651 +a(g681 +Veq +p15652 +tp15653 +a(g826 +g1024 +tp15654 +a(g440 +Vt2 +p15655 +tp15656 +a(g826 +g1024 +tp15657 +a(g117 +V'VECTOR +p15658 +tp15659 +a(g705 +g1028 +tp15660 +a(g826 +g1024 +tp15661 +a(g440 +Vt1 +p15662 +tp15663 +a(g705 +g1028 +tp15664 +a(g826 +V\u000a +p15665 +tp15666 +a(g705 +g1020 +tp15667 +a(g705 +g1020 +tp15668 +a(g681 +Vor +p15669 +tp15670 +a(g826 +g1024 +tp15671 +a(g705 +g1020 +tp15672 +a(g681 +Veql +p15673 +tp15674 +a(g826 +g1024 +tp15675 +a(g440 +Vt1 +p15676 +tp15677 +a(g826 +g1024 +tp15678 +a(g37 +g3578 +tp15679 +a(g705 +g1028 +tp15680 +a(g826 +g1024 +tp15681 +a(g705 +g1020 +tp15682 +a(g681 +Veql +p15683 +tp15684 +a(g826 +g1024 +tp15685 +a(g440 +Vt2 +p15686 +tp15687 +a(g826 +g1024 +tp15688 +a(g37 +g3578 +tp15689 +a(g705 +g1028 +tp15690 +a(g705 +g1028 +tp15691 +a(g826 +g1024 +tp15692 +a(g117 +V'0 +p15693 +tp15694 +a(g705 +g1028 +tp15695 +a(g826 +V\u000a +p15696 +tp15697 +a(g705 +g1020 +tp15698 +a(g705 +g1020 +tp15699 +a(g681 +Vor +p15700 +tp15701 +a(g826 +g1024 +tp15702 +a(g705 +g1020 +tp15703 +a(g681 +Veq +p15704 +tp15705 +a(g826 +g1024 +tp15706 +a(g440 +Vt1 +p15707 +tp15708 +a(g826 +g1024 +tp15709 +a(g117 +V'STRING +p15710 +tp15711 +a(g705 +g1028 +tp15712 +a(g826 +g1024 +tp15713 +a(g705 +g1020 +tp15714 +a(g681 +Veq +p15715 +tp15716 +a(g826 +g1024 +tp15717 +a(g440 +Vt2 +p15718 +tp15719 +a(g826 +g1024 +tp15720 +a(g117 +V'STRING +p15721 +tp15722 +a(g705 +g1028 +tp15723 +a(g705 +g1028 +tp15724 +a(g826 +V\u000a +p15725 +tp15726 +a(g8 +V; intersection of STRING and an integer-vector type +p15727 +tp15728 +a(g826 +V\u000a +p15729 +tp15730 +a(g117 +V'0 +p15731 +tp15732 +a(g705 +g1028 +tp15733 +a(g826 +V\u000a +p15734 +tp15735 +a(g705 +g1020 +tp15736 +a(g565 +g1480 +tp15737 +a(g826 +g1024 +tp15738 +a(g705 +g1020 +tp15739 +a(g681 +Vmin +p15740 +tp15741 +a(g826 +g1024 +tp15742 +a(g440 +Vt1 +p15743 +tp15744 +a(g826 +g1024 +tp15745 +a(g440 +Vt2 +p15746 +tp15747 +a(g705 +g1028 +tp15748 +a(g705 +g1028 +tp15749 +a(g705 +g1028 +tp15750 +a(g705 +g1028 +tp15751 +a(g826 +V\u000a\u000a +p15752 +tp15753 +a(g8 +V;; ============================================================================ +p15754 +tp15755 +a(g826 +V\u000a\u000a +p15756 +tp15757 +a(g705 +g1020 +tp15758 +a(g681 +Vdefun +p15759 +tp15760 +a(g826 +g1024 +tp15761 +a(g440 +Vtype-expand +p15762 +tp15763 +a(g826 +g1024 +tp15764 +a(g705 +g1020 +tp15765 +a(g440 +Vtypespec +p15766 +tp15767 +a(g826 +g1024 +tp15768 +a(g744 +V&optional +p15769 +tp15770 +a(g826 +g1024 +tp15771 +a(g440 +Vonce-p +p15772 +tp15773 +a(g705 +g1028 +tp15774 +a(g826 +V\u000a +p15775 +tp15776 +a(g705 +g1020 +tp15777 +a(g681 +Vmultiple-value-bind +p15778 +tp15779 +a(g826 +g1024 +tp15780 +a(g705 +g1020 +tp15781 +a(g440 +Vexpanded +p15782 +tp15783 +a(g826 +g1024 +tp15784 +a(g440 +Vuser-defined-p +p15785 +tp15786 +a(g705 +g1028 +tp15787 +a(g826 +V\u000a +p15788 +tp15789 +a(g705 +g1020 +tp15790 +a(g440 +Vexpand-deftype +p15791 +tp15792 +a(g826 +g1024 +tp15793 +a(g440 +Vtypespec +p15794 +tp15795 +a(g826 +g1024 +tp15796 +a(g440 +Vonce-p +p15797 +tp15798 +a(g705 +g1028 +tp15799 +a(g826 +V\u000a +p15800 +tp15801 +a(g705 +g1020 +tp15802 +a(g744 +Vif +p15803 +tp15804 +a(g826 +g1024 +tp15805 +a(g440 +Vuser-defined-p +p15806 +tp15807 +a(g826 +g1024 +tp15808 +a(g705 +g1020 +tp15809 +a(g681 +Vvalues +p15810 +tp15811 +a(g826 +g1024 +tp15812 +a(g440 +Vexpanded +p15813 +tp15814 +a(g826 +g1024 +tp15815 +a(g440 +Vuser-defined-p +p15816 +tp15817 +a(g705 +g1028 +tp15818 +a(g826 +V\u000a +p15819 +tp15820 +a(g705 +g1020 +tp15821 +a(g681 +Vcond +p15822 +tp15823 +a(g826 +g1024 +tp15824 +a(g705 +g1020 +tp15825 +a(g705 +g1020 +tp15826 +a(g681 +Vsymbolp +p15827 +tp15828 +a(g826 +g1024 +tp15829 +a(g440 +Vtypespec +p15830 +tp15831 +a(g705 +g1028 +tp15832 +a(g826 +V\u000a +p15833 +tp15834 +a(g705 +g1020 +tp15835 +a(g681 +Vcond +p15836 +tp15837 +a(g826 +g1024 +tp15838 +a(g705 +g1020 +tp15839 +a(g705 +g1020 +tp15840 +a(g681 +Vor +p15841 +tp15842 +a(g826 +g1024 +tp15843 +a(g705 +g1020 +tp15844 +a(g681 +Vget +p15845 +tp15846 +a(g826 +g1024 +tp15847 +a(g440 +Vtypespec +p15848 +tp15849 +a(g826 +g1024 +tp15850 +a(g117 +V'TYPE-SYMBOL +p15851 +tp15852 +a(g705 +g1028 +tp15853 +a(g826 +g1024 +tp15854 +a(g705 +g1020 +tp15855 +a(g681 +Vget +p15856 +tp15857 +a(g826 +g1024 +tp15858 +a(g440 +Vtypespec +p15859 +tp15860 +a(g826 +g1024 +tp15861 +a(g117 +V'TYPE-LIST +p15862 +tp15863 +a(g705 +g1028 +tp15864 +a(g705 +g1028 +tp15865 +a(g826 +V\u000a +p15866 +tp15867 +a(g705 +g1020 +tp15868 +a(g681 +Vvalues +p15869 +tp15870 +a(g826 +g1024 +tp15871 +a(g440 +Vtypespec +p15872 +tp15873 +a(g826 +g1024 +tp15874 +a(g565 +Vnil +p15875 +tp15876 +a(g705 +g1028 +tp15877 +a(g705 +g1028 +tp15878 +a(g826 +V\u000a +p15879 +tp15880 +a(g705 +g1020 +tp15881 +a(g705 +g1020 +tp15882 +a(g681 +Vor +p15883 +tp15884 +a(g826 +g1024 +tp15885 +a(g705 +g1020 +tp15886 +a(g681 +Vget +p15887 +tp15888 +a(g826 +g1024 +tp15889 +a(g440 +Vtypespec +p15890 +tp15891 +a(g826 +g1024 +tp15892 +a(g117 +V'DEFSTRUCT-DESCRIPTION +p15893 +tp15894 +a(g705 +g1028 +tp15895 +a(g826 +V\u000a +p15896 +tp15897 +a(g705 +g1020 +tp15898 +a(g440 +Vclos-class +p15899 +tp15900 +a(g826 +g1024 +tp15901 +a(g440 +Vtypespec +p15902 +tp15903 +a(g705 +g1028 +tp15904 +a(g705 +g1028 +tp15905 +a(g826 +V\u000a +p15906 +tp15907 +a(g705 +g1020 +tp15908 +a(g681 +Vvalues +p15909 +tp15910 +a(g826 +g1024 +tp15911 +a(g440 +Vtypespec +p15912 +tp15913 +a(g826 +g1024 +tp15914 +a(g565 +Vnil +p15915 +tp15916 +a(g705 +g1028 +tp15917 +a(g705 +g1028 +tp15918 +a(g826 +V\u000a +p15919 +tp15920 +a(g705 +g1020 +tp15921 +a(g565 +g1480 +tp15922 +a(g826 +g1024 +tp15923 +a(g705 +g1020 +tp15924 +a(g440 +Vtypespec-error +p15925 +tp15926 +a(g826 +g1024 +tp15927 +a(g117 +V'type-expand +p15928 +tp15929 +a(g826 +g1024 +tp15930 +a(g440 +Vtypespec +p15931 +tp15932 +a(g705 +g1028 +tp15933 +a(g705 +g1028 +tp15934 +a(g705 +g1028 +tp15935 +a(g705 +g1028 +tp15936 +a(g826 +V\u000a +p15937 +tp15938 +a(g705 +g1020 +tp15939 +a(g705 +g1020 +tp15940 +a(g681 +Vand +p15941 +tp15942 +a(g826 +g1024 +tp15943 +a(g705 +g1020 +tp15944 +a(g681 +Vconsp +p15945 +tp15946 +a(g826 +g1024 +tp15947 +a(g440 +Vtypespec +p15948 +tp15949 +a(g705 +g1028 +tp15950 +a(g826 +g1024 +tp15951 +a(g705 +g1020 +tp15952 +a(g681 +Vsymbolp +p15953 +tp15954 +a(g826 +g1024 +tp15955 +a(g705 +g1020 +tp15956 +a(g681 +Vfirst +p15957 +tp15958 +a(g826 +g1024 +tp15959 +a(g440 +Vtypespec +p15960 +tp15961 +a(g705 +g1028 +tp15962 +a(g705 +g1028 +tp15963 +a(g705 +g1028 +tp15964 +a(g826 +V\u000a +p15965 +tp15966 +a(g705 +g1020 +tp15967 +a(g681 +Vcase +p15968 +tp15969 +a(g826 +g1024 +tp15970 +a(g705 +g1020 +tp15971 +a(g681 +Vfirst +p15972 +tp15973 +a(g826 +g1024 +tp15974 +a(g440 +Vtypespec +p15975 +tp15976 +a(g705 +g1028 +tp15977 +a(g826 +V\u000a +p15978 +tp15979 +a(g705 +g1020 +tp15980 +a(g705 +g1020 +tp15981 +a(g440 +VSATISFIES +p15982 +tp15983 +a(g826 +g1024 +tp15984 +a(g440 +VMEMBER +p15985 +tp15986 +a(g826 +g1024 +tp15987 +a(g440 +VEQL +p15988 +tp15989 +a(g826 +g1024 +tp15990 +a(g440 +VNOT +p15991 +tp15992 +a(g826 +g1024 +tp15993 +a(g440 +VAND +p15994 +tp15995 +a(g826 +g1024 +tp15996 +a(g440 +VOR +p15997 +tp15998 +a(g705 +g1028 +tp15999 +a(g826 +g1024 +tp16000 +a(g705 +g1020 +tp16001 +a(g681 +Vvalues +p16002 +tp16003 +a(g826 +g1024 +tp16004 +a(g440 +Vtypespec +p16005 +tp16006 +a(g826 +g1024 +tp16007 +a(g565 +Vnil +p16008 +tp16009 +a(g705 +g1028 +tp16010 +a(g705 +g1028 +tp16011 +a(g826 +V\u000a +p16012 +tp16013 +a(g705 +g1020 +tp16014 +a(g565 +g1480 +tp16015 +a(g826 +g1024 +tp16016 +a(g705 +g1020 +tp16017 +a(g681 +Vcond +p16018 +tp16019 +a(g826 +g1024 +tp16020 +a(g705 +g1020 +tp16021 +a(g705 +g1020 +tp16022 +a(g681 +Vget +p16023 +tp16024 +a(g826 +g1024 +tp16025 +a(g705 +g1020 +tp16026 +a(g681 +Vfirst +p16027 +tp16028 +a(g826 +g1024 +tp16029 +a(g440 +Vtypespec +p16030 +tp16031 +a(g705 +g1028 +tp16032 +a(g826 +g1024 +tp16033 +a(g117 +V'TYPE-LIST +p16034 +tp16035 +a(g705 +g1028 +tp16036 +a(g826 +V\u000a +p16037 +tp16038 +a(g705 +g1020 +tp16039 +a(g681 +Vvalues +p16040 +tp16041 +a(g826 +g1024 +tp16042 +a(g440 +Vtypespec +p16043 +tp16044 +a(g826 +g1024 +tp16045 +a(g565 +Vnil +p16046 +tp16047 +a(g705 +g1028 +tp16048 +a(g705 +g1028 +tp16049 +a(g826 +V\u000a +p16050 +tp16051 +a(g705 +g1020 +tp16052 +a(g565 +g1480 +tp16053 +a(g826 +g1024 +tp16054 +a(g705 +g1020 +tp16055 +a(g440 +Vtypespec-error +p16056 +tp16057 +a(g826 +g1024 +tp16058 +a(g117 +V'type-expand +p16059 +tp16060 +a(g826 +g1024 +tp16061 +a(g440 +Vtypespec +p16062 +tp16063 +a(g705 +g1028 +tp16064 +a(g705 +g1028 +tp16065 +a(g705 +g1028 +tp16066 +a(g705 +g1028 +tp16067 +a(g705 +g1028 +tp16068 +a(g705 +g1028 +tp16069 +a(g826 +V\u000a +p16070 +tp16071 +a(g705 +g1020 +tp16072 +a(g705 +g1020 +tp16073 +a(g440 +Vclos::defined-class-p +p16074 +tp16075 +a(g826 +g1024 +tp16076 +a(g440 +Vtypespec +p16077 +tp16078 +a(g705 +g1028 +tp16079 +a(g826 +g1024 +tp16080 +a(g705 +g1020 +tp16081 +a(g681 +Vvalues +p16082 +tp16083 +a(g826 +g1024 +tp16084 +a(g440 +Vtypespec +p16085 +tp16086 +a(g826 +g1024 +tp16087 +a(g565 +Vnil +p16088 +tp16089 +a(g705 +g1028 +tp16090 +a(g705 +g1028 +tp16091 +a(g826 +V\u000a +p16092 +tp16093 +a(g705 +g1020 +tp16094 +a(g565 +g1480 +tp16095 +a(g826 +g1024 +tp16096 +a(g705 +g1020 +tp16097 +a(g440 +Vtypespec-error +p16098 +tp16099 +a(g826 +g1024 +tp16100 +a(g117 +V'type-expand +p16101 +tp16102 +a(g826 +g1024 +tp16103 +a(g440 +Vtypespec +p16104 +tp16105 +a(g705 +g1028 +tp16106 +a(g705 +g1028 +tp16107 +a(g705 +g1028 +tp16108 +a(g705 +g1028 +tp16109 +a(g705 +g1028 +tp16110 +a(g705 +g1028 +tp16111 +a(g826 +V\u000a\u000a +p16112 +tp16113 +a(g8 +V;; ============================================================================ +p16114 +tp16115 +a(g826 +V\u000a\u000a +p16116 +tp16117 +a(g705 +g1020 +tp16118 +a(g681 +Vunless +p16119 +tp16120 +a(g826 +g1024 +tp16121 +a(g705 +g1020 +tp16122 +a(g440 +Vclos::funcallable-instance-p +p16123 +tp16124 +a(g826 +g1024 +tp16125 +a(g573 +V#' +p16126 +tp16127 +a(g440 +Vclos::class-name +p16128 +tp16129 +a(g705 +g1028 +tp16130 +a(g826 +V\u000a +p16131 +tp16132 +a(g705 +g1020 +tp16133 +a(g681 +Vfmakunbound +p16134 +tp16135 +a(g826 +g1024 +tp16136 +a(g117 +V'clos::class-name +p16137 +tp16138 +a(g705 +g1028 +tp16139 +a(g705 +g1028 +tp16140 +a(g826 +V\u000a\u000a\u000a +p16141 +tp16142 +a(g705 +g1020 +tp16143 +a(g681 +Vkeywordp +p16144 +tp16145 +a(g826 +g1024 +tp16146 +a(g117 +V:junk +p16147 +tp16148 +a(g705 +g1028 +tp16149 +a(g826 +V\u000a +p16150 +tp16151 +a(g565 +g2167 +tp16152 +a(g826 +V\u000a\u000a +p16153 +tp16154 +a(g705 +g1020 +tp16155 +a(g681 +Vkeywordp +p16156 +tp16157 +a(g826 +g1024 +tp16158 +a(g117 +V::junk +p16159 +tp16160 +a(g705 +g1028 +tp16161 +a(g826 +V\u000a +p16162 +tp16163 +a(g565 +g2167 +tp16164 +a(g826 +V\u000a\u000a +p16165 +tp16166 +a(g705 +g1020 +tp16167 +a(g681 +Vsymbol-name +p16168 +tp16169 +a(g826 +g1024 +tp16170 +a(g117 +V::junk +p16171 +tp16172 +a(g705 +g1028 +tp16173 +a(g826 +V\u000a +p16174 +tp16175 +a(g89 +V"JUNK" +p16176 +tp16177 +a(g826 +V\u000a\u000a +p16178 +tp16179 +a(g705 +g1020 +tp16180 +a(g681 +Vsymbol-name +p16181 +tp16182 +a(g826 +g1024 +tp16183 +a(g117 +V:#junk +p16184 +tp16185 +a(g705 +g1028 +tp16186 +a(g826 +V\u000a +p16187 +tp16188 +a(g89 +V"#JUNK" +p16189 +tp16190 +a(g826 +V\u000a\u000a +p16191 +tp16192 +a(g705 +g1020 +tp16193 +a(g681 +Vsymbol-name +p16194 +tp16195 +a(g826 +g1024 +tp16196 +a(g117 +V:#.junk +p16197 +tp16198 +a(g705 +g1028 +tp16199 +a(g826 +V\u000a +p16200 +tp16201 +a(g89 +V"#.JUNK" +p16202 +tp16203 +a(g826 +V\u000a +p16204 +tp16205 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/typescript_example b/tests/examplefiles/output/typescript_example new file mode 100644 index 0000000..6c3dcb7 --- /dev/null +++ b/tests/examplefiles/output/typescript_example @@ -0,0 +1,3116 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Reserved' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg5 +g8 +sg34 +g35 +((lp928 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag894 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag8 +ag916 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVclass +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g643 +VAnimal +p960 +tp961 +a(g831 +g958 +tp962 +a(g698 +V{ +p963 +tp964 +a(g831 +V\u000a +p965 +tp966 +a(g8 +Vconstructor +p967 +tp968 +a(g698 +V( +p969 +tp970 +a(g8 +Vpublic +p971 +tp972 +a(g831 +g958 +tp973 +a(g643 +Vname +p974 +tp975 +a(g698 +V) +p976 +tp977 +a(g831 +g958 +tp978 +a(g698 +g963 +tp979 +a(g831 +g958 +tp980 +a(g698 +V} +p981 +tp982 +a(g831 +V\u000a +p983 +tp984 +a(g643 +Vmove +p985 +tp986 +a(g698 +g969 +tp987 +a(g643 +Vmeters +p988 +tp989 +a(g698 +g976 +tp990 +a(g831 +g958 +tp991 +a(g698 +g963 +tp992 +a(g831 +V\u000a +p993 +tp994 +a(g643 +Valert +p995 +tp996 +a(g698 +g969 +tp997 +a(g13 +Vthis +p998 +tp999 +a(g698 +V. +p1000 +tp1001 +a(g643 +Vname +p1002 +tp1003 +a(g831 +g958 +tp1004 +a(g405 +V+ +p1005 +tp1006 +a(g831 +g958 +tp1007 +a(g149 +V" moved " +p1008 +tp1009 +a(g831 +g958 +tp1010 +a(g405 +g1005 +tp1011 +a(g831 +g958 +tp1012 +a(g643 +Vmeters +p1013 +tp1014 +a(g831 +g958 +tp1015 +a(g405 +g1005 +tp1016 +a(g831 +g958 +tp1017 +a(g149 +V"m." +p1018 +tp1019 +a(g698 +g976 +tp1020 +a(g698 +V; +p1021 +tp1022 +a(g831 +V\u000a +p1023 +tp1024 +a(g698 +g981 +tp1025 +a(g831 +V\u000a +p1026 +tp1027 +a(g698 +g981 +tp1028 +a(g831 +V\u000a\u000a +p1029 +tp1030 +a(g8 +Vclass +p1031 +tp1032 +a(g831 +g958 +tp1033 +a(g643 +VSnake +p1034 +tp1035 +a(g831 +g958 +tp1036 +a(g8 +Vextends +p1037 +tp1038 +a(g831 +g958 +tp1039 +a(g643 +VAnimal +p1040 +tp1041 +a(g831 +g958 +tp1042 +a(g698 +g963 +tp1043 +a(g831 +V\u000a +p1044 +tp1045 +a(g8 +Vconstructor +p1046 +tp1047 +a(g698 +g969 +tp1048 +a(g643 +Vname +p1049 +tp1050 +a(g698 +g976 +tp1051 +a(g831 +g958 +tp1052 +a(g698 +g963 +tp1053 +a(g831 +g958 +tp1054 +a(g8 +Vsuper +p1055 +tp1056 +a(g698 +g969 +tp1057 +a(g643 +Vname +p1058 +tp1059 +a(g698 +g976 +tp1060 +a(g698 +g1021 +tp1061 +a(g831 +g958 +tp1062 +a(g698 +g981 +tp1063 +a(g831 +V\u000a +p1064 +tp1065 +a(g643 +Vmove() { +p1066 +tp1067 +a(g831 +V\u000a +p1068 +tp1069 +a(g643 +Valert +p1070 +tp1071 +a(g698 +g969 +tp1072 +a(g149 +V"Slithering..." +p1073 +tp1074 +a(g698 +g976 +tp1075 +a(g698 +g1021 +tp1076 +a(g831 +V\u000a +p1077 +tp1078 +a(g8 +Vsuper +p1079 +tp1080 +a(g698 +g1000 +tp1081 +a(g643 +Vmove +p1082 +tp1083 +a(g698 +g969 +tp1084 +a(g27 +V5 +p1085 +tp1086 +a(g698 +g976 +tp1087 +a(g698 +g1021 +tp1088 +a(g831 +V\u000a +p1089 +tp1090 +a(g698 +g981 +tp1091 +a(g831 +V\u000a +p1092 +tp1093 +a(g698 +g981 +tp1094 +a(g831 +V\u000a\u000a +p1095 +tp1096 +a(g8 +Vclass +p1097 +tp1098 +a(g831 +g958 +tp1099 +a(g643 +VHorse +p1100 +tp1101 +a(g831 +g958 +tp1102 +a(g8 +Vextends +p1103 +tp1104 +a(g831 +g958 +tp1105 +a(g643 +VAnimal +p1106 +tp1107 +a(g831 +g958 +tp1108 +a(g698 +g963 +tp1109 +a(g831 +V\u000a +p1110 +tp1111 +a(g8 +Vconstructor +p1112 +tp1113 +a(g698 +g969 +tp1114 +a(g643 +Vname +p1115 +tp1116 +a(g698 +g976 +tp1117 +a(g831 +g958 +tp1118 +a(g698 +g963 +tp1119 +a(g831 +g958 +tp1120 +a(g8 +Vsuper +p1121 +tp1122 +a(g698 +g969 +tp1123 +a(g643 +Vname +p1124 +tp1125 +a(g698 +g976 +tp1126 +a(g698 +g1021 +tp1127 +a(g831 +g958 +tp1128 +a(g698 +g981 +tp1129 +a(g831 +V\u000a +p1130 +tp1131 +a(g643 +Vmove() { +p1132 +tp1133 +a(g831 +V\u000a +p1134 +tp1135 +a(g643 +Valert +p1136 +tp1137 +a(g698 +g969 +tp1138 +a(g149 +V"Galloping..." +p1139 +tp1140 +a(g698 +g976 +tp1141 +a(g698 +g1021 +tp1142 +a(g831 +V\u000a +p1143 +tp1144 +a(g8 +Vsuper +p1145 +tp1146 +a(g698 +g1000 +tp1147 +a(g643 +Vmove +p1148 +tp1149 +a(g698 +g969 +tp1150 +a(g27 +V45 +p1151 +tp1152 +a(g698 +g976 +tp1153 +a(g698 +g1021 +tp1154 +a(g831 +V\u000a +p1155 +tp1156 +a(g698 +g981 +tp1157 +a(g831 +V\u000a +p1158 +tp1159 +a(g698 +g981 +tp1160 +a(g831 +V\u000a\u000a +p1161 +tp1162 +a(g939 +V@View +p1163 +tp1164 +a(g698 +g969 +tp1165 +a(g698 +g963 +tp1166 +a(g831 +V\u000a +p1167 +tp1168 +a(g643 +VtemplateUrl +p1169 +tp1170 +a(g405 +V: +p1171 +tp1172 +a(g831 +g958 +tp1173 +a(g149 +V"app/components/LoginForm.html" +p1174 +tp1175 +a(g698 +V, +p1176 +tp1177 +a(g831 +V\u000a +p1178 +tp1179 +a(g643 +Vdirectives +p1180 +tp1181 +a(g405 +g1171 +tp1182 +a(g831 +g958 +tp1183 +a(g698 +V[ +p1184 +tp1185 +a(g643 +VFORM_DIRECTIVES +p1186 +tp1187 +a(g698 +g1176 +tp1188 +a(g831 +g958 +tp1189 +a(g643 +VNgIf +p1190 +tp1191 +a(g698 +V] +p1192 +tp1193 +a(g831 +V\u000a +p1194 +tp1195 +a(g698 +g981 +tp1196 +a(g698 +g976 +tp1197 +a(g831 +V\u000a +p1198 +tp1199 +a(g939 +V@Component +p1200 +tp1201 +a(g698 +g969 +tp1202 +a(g698 +g963 +tp1203 +a(g831 +V\u000a +p1204 +tp1205 +a(g643 +Vselector +p1206 +tp1207 +a(g405 +g1171 +tp1208 +a(g831 +g958 +tp1209 +a(g149 +V"login-form" +p1210 +tp1211 +a(g831 +V\u000a +p1212 +tp1213 +a(g698 +g981 +tp1214 +a(g698 +g976 +tp1215 +a(g831 +V\u000a +p1216 +tp1217 +a(g8 +Vclass +p1218 +tp1219 +a(g831 +g958 +tp1220 +a(g643 +VLoginForm +p1221 +tp1222 +a(g831 +g958 +tp1223 +a(g698 +g963 +tp1224 +a(g831 +V\u000a\u000a +p1225 +tp1226 +a(g698 +g981 +tp1227 +a(g831 +V\u000a\u000a +p1228 +tp1229 +a(g939 +Vvar +p1230 +tp1231 +a(g831 +g958 +tp1232 +a(g643 +Vsam +p1233 +tp1234 +a(g831 +g958 +tp1235 +a(g405 +V= +p1236 +tp1237 +a(g831 +g958 +tp1238 +a(g13 +Vnew +p1239 +tp1240 +a(g831 +g958 +tp1241 +a(g643 +VSnake +p1242 +tp1243 +a(g698 +g969 +tp1244 +a(g149 +V"Sammy the Python" +p1245 +tp1246 +a(g698 +g976 +tp1247 +a(g831 +V\u000a +p1248 +tp1249 +a(g939 +Vvar +p1250 +tp1251 +a(g831 +g958 +tp1252 +a(g643 +Vtom +p1253 +tp1254 +a(g831 +V: +p1255 +tp1256 +a(g886 +VAnimal +p1257 +tp1258 +a(g831 +g958 +tp1259 +a(g405 +g1236 +tp1260 +a(g831 +g958 +tp1261 +a(g13 +Vnew +p1262 +tp1263 +a(g831 +g958 +tp1264 +a(g643 +VHorse +p1265 +tp1266 +a(g698 +g969 +tp1267 +a(g149 +V"Tommy the Palomino" +p1268 +tp1269 +a(g698 +g976 +tp1270 +a(g831 +V\u000a\u000a +p1271 +tp1272 +a(g643 +Vsam +p1273 +tp1274 +a(g698 +g1000 +tp1275 +a(g643 +Vmove +p1276 +tp1277 +a(g698 +g969 +tp1278 +a(g698 +g976 +tp1279 +a(g831 +V\u000a +p1280 +tp1281 +a(g643 +Vtom +p1282 +tp1283 +a(g698 +g1000 +tp1284 +a(g643 +Vmove +p1285 +tp1286 +a(g698 +g969 +tp1287 +a(g27 +V34 +p1288 +tp1289 +a(g698 +g976 +tp1290 +a(g831 +V\u000a +p1291 +tp1292 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/typoscript_example b/tests/examplefiles/output/typoscript_example new file mode 100644 index 0000000..8356faa --- /dev/null +++ b/tests/examplefiles/output/typoscript_example @@ -0,0 +1,45956 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# *************************************************************************** +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V# Notice: "styles." (and "temp.") objects are UNSET after template parsing! +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +V# Use "lib." for persisting storage of objects. +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g7 +V# *************************************************************************** +p968 +tp969 +a(g822 +V\u000a\u000a +p970 +tp971 +a(g84 +V< +p972 +tp973 +a(g624 +VINCLUDE_TYPOSCRIPT +p974 +tp975 +a(g84 +V: +p976 +tp977 +a(g822 +V +p978 +tp979 +a(g84 +Vsource +p980 +tp981 +a(g84 +V= +p982 +tp983 +a(g84 +V"FILE +p984 +tp985 +a(g84 +g976 +tp986 +a(g822 +g978 +tp987 +a(g84 +VEXT:www_tue_nl/Configuration/TypoScript/Setup/Root.ts +p988 +tp989 +a(g84 +V" +p990 +tp991 +a(g84 +V> +p992 +tp993 +a(g822 +V\u000a\u000a +p994 +tp995 +a(g677 +Vpage +p996 +tp997 +a(g701 +V. +p998 +tp999 +a(g32 +V80 +p1000 +tp1001 +a(g822 +g978 +tp1002 +a(g408 +g982 +tp1003 +a(g822 +g978 +tp1004 +a(g624 +VRECORDS +p1005 +tp1006 +a(g822 +V\u000a +p1007 +tp1008 +a(g677 +Vpage +p1009 +tp1010 +a(g701 +g998 +tp1011 +a(g32 +V80 +p1012 +tp1013 +a(g822 +g978 +tp1014 +a(g112 +V{ +p1015 +tp1016 +a(g822 +V\u000a +p1017 +tp1018 +a(g822 +Vsource +p1019 +tp1020 +a(g822 +g978 +tp1021 +a(g408 +g982 +tp1022 +a(g822 +g978 +tp1023 +a(g32 +V1 +p1024 +tp1025 +a(g822 +V\u000a +p1026 +tp1027 +a(g822 +Vtables +p1028 +tp1029 +a(g822 +g978 +tp1030 +a(g408 +V= +p1031 +tp1032 +a(g677 +Vtt_address +p1033 +tp1034 +a(g822 +V\u000a +p1035 +tp1036 +a(g822 +Vconf +p1037 +tp1038 +a(g701 +g998 +tp1039 +a(g822 +Vtt_address +p1040 +tp1041 +a(g822 +g978 +tp1042 +a(g408 +g982 +tp1043 +a(g822 +g978 +tp1044 +a(g624 +VCOA +p1045 +tp1046 +a(g822 +V\u000a +p1047 +tp1048 +a(g822 +Vconf +p1049 +tp1050 +a(g701 +g998 +tp1051 +a(g822 +Vtt_address +p1052 +tp1053 +a(g822 +g978 +tp1054 +a(g112 +g1015 +tp1055 +a(g822 +V\u000a +p1056 +tp1057 +a(g32 +V20 +p1058 +tp1059 +a(g822 +g978 +tp1060 +a(g408 +g982 +tp1061 +a(g822 +g978 +tp1062 +a(g624 +VTEXT +p1063 +tp1064 +a(g822 +V\u000a +p1065 +tp1066 +a(g32 +V20 +p1067 +tp1068 +a(g701 +g998 +tp1069 +a(g822 +Vfield +p1070 +tp1071 +a(g822 +g978 +tp1072 +a(g408 +g982 +tp1073 +a(g822 +g978 +tp1074 +a(g822 +Vemail +p1075 +tp1076 +a(g822 +V\u000a +p1077 +tp1078 +a(g32 +V20 +p1079 +tp1080 +a(g701 +g998 +tp1081 +a(g569 +Vtypolink +p1082 +tp1083 +a(g701 +g998 +tp1084 +a(g822 +Vparameter +p1085 +tp1086 +a(g701 +g998 +tp1087 +a(g822 +Vfield +p1088 +tp1089 +a(g822 +g978 +tp1090 +a(g408 +g982 +tp1091 +a(g822 +g978 +tp1092 +a(g822 +Vemail +p1093 +tp1094 +a(g822 +V\u000a +p1095 +tp1096 +a(g112 +V} +p1097 +tp1098 +a(g822 +V\u000a +p1099 +tp1100 +a(g112 +g1097 +tp1101 +a(g822 +V\u000a\u000a +p1102 +tp1103 +a(g7 +V/*\u000apage.200 = PHP_SCRIPT_EXT\u000apage.200 {\u000a 1 = TMENU\u000a 1.wrap =
    |
    \u000a 1.expAll = 1\u000a 1.submenuObjSuffixes = a |*| |*| b\u000a 1.NO.allWrap = |
    \u000a\u000a 2 = TMENU\u000a 2.NO.allWrap =
    |
    \u000a\u000a 2a = TMENU\u000a 2a.NO.allWrap =
    |
    \u000a*\u000a 2b = TMENU\u000a 2b.NO.allWrap =
    |
    \u000a}\u000a*/ +p1104 +tp1105 +a(g822 +V\u000a\u000a +p1106 +tp1107 +a(g7 +V# Add the CSS and JS files +p1108 +tp1109 +a(g822 +V\u000a +p1110 +tp1111 +a(g677 +Vpage +p1112 +tp1113 +a(g822 +g978 +tp1114 +a(g112 +g1015 +tp1115 +a(g822 +V\u000a +p1116 +tp1117 +a(g822 +VincludeCSS +p1118 +tp1119 +a(g822 +g978 +tp1120 +a(g112 +g1015 +tp1121 +a(g822 +g978 +tp1122 +a(g7 +V# comment at the end of a line +p1123 +tp1124 +a(g822 +V\u000a +p1125 +tp1126 +a(g822 +Vfile99 +p1127 +tp1128 +a(g822 +g978 +tp1129 +a(g408 +g982 +tp1130 +a(g822 +g978 +tp1131 +a(g84 +Vfileadmin/your-fancybox +p1132 +tp1133 +a(g84 +V.css\u000a +p1134 +tp1135 +a(g822 +V +p1136 +tp1137 +a(g112 +g1097 +tp1138 +a(g822 +V\u000a +p1139 +tp1140 +a(g822 +VincludeJSFooter +p1141 +tp1142 +a(g822 +g978 +tp1143 +a(g112 +g1015 +tp1144 +a(g822 +V\u000a +p1145 +tp1146 +a(g822 +Vfancybox +p1147 +tp1148 +a(g822 +g978 +tp1149 +a(g408 +g982 +tp1150 +a(g822 +g978 +tp1151 +a(g84 +Vfileadmin/your-fancybox +p1152 +tp1153 +a(g84 +V.js\u000a +p1154 +tp1155 +a(g822 +V +p1156 +tp1157 +a(g112 +g1097 +tp1158 +a(g822 +V\u000a +p1159 +tp1160 +a(g112 +g1097 +tp1161 +a(g822 +V\u000a\u000a +p1162 +tp1163 +a(g7 +V# Change the default rendering of images to match lightbox requirements +p1164 +tp1165 +a(g822 +V\u000a +p1166 +tp1167 +a(g677 +Vtt_content +p1168 +tp1169 +a(g701 +g998 +tp1170 +a(g822 +Vimage +p1171 +tp1172 +a(g701 +g998 +tp1173 +a(g32 +V20 +p1174 +tp1175 +a(g701 +g998 +tp1176 +a(g32 +g1024 +tp1177 +a(g701 +g998 +tp1178 +a(g569 +VimageLinkWrap +p1179 +tp1180 +a(g822 +g978 +tp1181 +a(g112 +g1015 +tp1182 +a(g822 +V\u000a +p1183 +tp1184 +a(g822 +VJSwindow +p1185 +tp1186 +a(g822 +g978 +tp1187 +a(g408 +g982 +tp1188 +a(g822 +g978 +tp1189 +a(g32 +V0 +p1190 +tp1191 +a(g822 +V\u000a +p1192 +tp1193 +a(g822 +Vtest +p1194 +tp1195 +a(g822 +g978 +tp1196 +a(g408 +g982 +tp1197 +a(g822 +g978 +tp1198 +a(g822 +VMyExtension +p1199 +tp1200 +a(g112 +V\u005c +p1201 +tp1202 +a(g822 +VPath +p1203 +tp1204 +a(g112 +V\u005c +p1205 +tp1206 +a(g822 +VTo +p1207 +tp1208 +a(g112 +V\u005c +p1209 +tp1210 +a(g822 +VClass +p1211 +tp1212 +a(g822 +V\u000a\u000a +p1213 +tp1214 +a(g822 +VdirectImageLink +p1215 +tp1216 +a(g822 +g978 +tp1217 +a(g408 +g982 +tp1218 +a(g822 +g978 +tp1219 +a(g32 +g1024 +tp1220 +a(g822 +V\u000a +p1221 +tp1222 +a(g822 +VlinkParams +p1223 +tp1224 +a(g701 +g998 +tp1225 +a(g822 +VATagParams +p1226 +tp1227 +a(g822 +g978 +tp1228 +a(g112 +g1015 +tp1229 +a(g822 +V\u000a +p1230 +tp1231 +a(g822 +VdataWrap +p1232 +tp1233 +a(g822 +g978 +tp1234 +a(g408 +g982 +tp1235 +a(g822 +g978 +tp1236 +a(g822 +Vclass +p1237 +tp1238 +a(g408 +g982 +tp1239 +a(g822 +g978 +tp1240 +a(g822 +V"lightbox" +p1241 +tp1242 +a(g822 +g978 +tp1243 +a(g822 +Vrel +p1244 +tp1245 +a(g408 +g982 +tp1246 +a(g822 +V"fancybox +p1247 +tp1248 +a(g112 +g1015 +tp1249 +a(g561 +Vfield +p1250 +tp1251 +a(g408 +g976 +tp1252 +a(g561 +Vuid +p1253 +tp1254 +a(g112 +g1097 +tp1255 +a(g822 +g990 +tp1256 +a(g822 +V\u000a +p1257 +tp1258 +a(g112 +g1097 +tp1259 +a(g822 +V\u000a +p1260 +tp1261 +a(g112 +g1097 +tp1262 +a(g822 +V\u000a\u000a +p1263 +tp1264 +a(g677 +Vtt_content +p1265 +tp1266 +a(g701 +g998 +tp1267 +a(g822 +Vimage +p1268 +tp1269 +a(g701 +g998 +tp1270 +a(g32 +V20 +p1271 +tp1272 +a(g701 +g998 +tp1273 +a(g32 +g1024 +tp1274 +a(g701 +g998 +tp1275 +a(g569 +VimageLinkWrap +p1276 +tp1277 +a(g822 +g978 +tp1278 +a(g408 +g992 +tp1279 +a(g822 +V\u000a +p1280 +tp1281 +a(g677 +Vtt_content +p1282 +tp1283 +a(g701 +g998 +tp1284 +a(g822 +Vimage +p1285 +tp1286 +a(g701 +g998 +tp1287 +a(g32 +V20 +p1288 +tp1289 +a(g701 +g998 +tp1290 +a(g32 +g1024 +tp1291 +a(g701 +g998 +tp1292 +a(g569 +VimageLinkWrap +p1293 +tp1294 +a(g822 +g978 +tp1295 +a(g408 +g982 +tp1296 +a(g822 +g978 +tp1297 +a(g32 +g1024 +tp1298 +a(g822 +V\u000a +p1299 +tp1300 +a(g677 +Vtt_content +p1301 +tp1302 +a(g701 +g998 +tp1303 +a(g822 +Vimage +p1304 +tp1305 +a(g701 +g998 +tp1306 +a(g32 +V20 +p1307 +tp1308 +a(g701 +g998 +tp1309 +a(g32 +g1024 +tp1310 +a(g701 +g998 +tp1311 +a(g569 +VimageLinkWrap +p1312 +tp1313 +a(g822 +g978 +tp1314 +a(g112 +g1015 +tp1315 +a(g822 +V\u000a +p1316 +tp1317 +a(g822 +Venable +p1318 +tp1319 +a(g822 +g978 +tp1320 +a(g408 +g982 +tp1321 +a(g822 +g978 +tp1322 +a(g32 +g1024 +tp1323 +a(g822 +V\u000a +p1324 +tp1325 +a(g569 +Vtypolink +p1326 +tp1327 +a(g822 +g978 +tp1328 +a(g112 +g1015 +tp1329 +a(g822 +V\u000a +p1330 +tp1331 +a(g7 +V# directly link to the recent image +p1332 +tp1333 +a(g822 +V\u000a +p1334 +tp1335 +a(g822 +Vparameter +p1336 +tp1337 +a(g701 +g998 +tp1338 +a(g822 +VcObject +p1339 +tp1340 +a(g822 +g978 +tp1341 +a(g408 +g982 +tp1342 +a(g822 +g978 +tp1343 +a(g624 +VIMG_RESOURCE +p1344 +tp1345 +a(g822 +V\u000a +p1346 +tp1347 +a(g822 +Vparameter +p1348 +tp1349 +a(g701 +g998 +tp1350 +a(g822 +VcObject +p1351 +tp1352 +a(g701 +g998 +tp1353 +a(g822 +Vfile +p1354 +tp1355 +a(g701 +g998 +tp1356 +a(g822 +Vimport +p1357 +tp1358 +a(g701 +g998 +tp1359 +a(g822 +Vdata +p1360 +tp1361 +a(g822 +g978 +tp1362 +a(g408 +g982 +tp1363 +a(g822 +g978 +tp1364 +a(g822 +VTSFE +p1365 +tp1366 +a(g408 +g976 +tp1367 +a(g822 +VlastImageInfo +p1368 +tp1369 +a(g408 +V| +p1370 +tp1371 +a(g822 +VorigFile +p1372 +tp1373 +a(g822 +V\u000a +p1374 +tp1375 +a(g822 +Vparameter +p1376 +tp1377 +a(g701 +g998 +tp1378 +a(g822 +VcObject +p1379 +tp1380 +a(g701 +g998 +tp1381 +a(g822 +Vfile +p1382 +tp1383 +a(g701 +g998 +tp1384 +a(g822 +VmaxW +p1385 +tp1386 +a(g822 +g978 +tp1387 +a(g408 +g982 +tp1388 +a(g822 +g978 +tp1389 +a(g112 +g1015 +tp1390 +a(g408 +V$ +p1391 +tp1392 +a(g561 +Vstyles.content.imgtext. +p1393 +tp1394 +a(g561 +VmaxW +p1395 +tp1396 +a(g112 +g1097 +tp1397 +a(g822 +V\u000a +p1398 +tp1399 +a(g822 +Vparameter +p1400 +tp1401 +a(g701 +g998 +tp1402 +a(g822 +Voverride +p1403 +tp1404 +a(g701 +g998 +tp1405 +a(g822 +VlistNum +p1406 +tp1407 +a(g701 +g998 +tp1408 +a(g569 +VstdWrap +p1409 +tp1410 +a(g701 +g998 +tp1411 +a(g822 +Vdata +p1412 +tp1413 +a(g822 +g978 +tp1414 +a(g408 +V= +p1415 +tp1416 +a(g677 +Vregister +p1417 +tp1418 +a(g822 +g978 +tp1419 +a(g408 +g976 +tp1420 +a(g822 +g978 +tp1421 +a(g822 +VIMAGE_NUM_CURRENT +p1422 +tp1423 +a(g822 +V\u000a +p1424 +tp1425 +a(g822 +Vtitle +p1426 +tp1427 +a(g701 +g998 +tp1428 +a(g822 +Vfield +p1429 +tp1430 +a(g822 +g978 +tp1431 +a(g408 +g982 +tp1432 +a(g822 +g978 +tp1433 +a(g822 +Vimagecaption +p1434 +tp1435 +a(g822 +g978 +tp1436 +a(g7 +V// title +p1437 +tp1438 +a(g822 +V\u000a +p1439 +tp1440 +a(g822 +Vtitle +p1441 +tp1442 +a(g701 +g998 +tp1443 +a(g569 +Vsplit +p1444 +tp1445 +a(g701 +g998 +tp1446 +a(g822 +Vtoken +p1447 +tp1448 +a(g701 +g998 +tp1449 +a(g822 +Vchar +p1450 +tp1451 +a(g822 +g978 +tp1452 +a(g408 +g982 +tp1453 +a(g822 +g978 +tp1454 +a(g32 +V10 +p1455 +tp1456 +a(g822 +V\u000a +p1457 +tp1458 +a(g822 +Vtitle +p1459 +tp1460 +a(g701 +g998 +tp1461 +a(g569 +Vif +p1462 +tp1463 +a(g701 +g998 +tp1464 +a(g822 +VisTrue +p1465 +tp1466 +a(g701 +g998 +tp1467 +a(g822 +Vfield +p1468 +tp1469 +a(g822 +g978 +tp1470 +a(g408 +g982 +tp1471 +a(g822 +g978 +tp1472 +a(g822 +Vimagecaption +p1473 +tp1474 +a(g822 +g978 +tp1475 +a(g7 +V// header +p1476 +tp1477 +a(g822 +V\u000a +p1478 +tp1479 +a(g822 +Vtitle +p1480 +tp1481 +a(g701 +g998 +tp1482 +a(g569 +Vsplit +p1483 +tp1484 +a(g701 +g998 +tp1485 +a(g822 +Vtoken +p1486 +tp1487 +a(g701 +g998 +tp1488 +a(g822 +Vchar +p1489 +tp1490 +a(g822 +g978 +tp1491 +a(g408 +g982 +tp1492 +a(g822 +g978 +tp1493 +a(g32 +V10 +p1494 +tp1495 +a(g822 +V\u000a +p1496 +tp1497 +a(g822 +Vtitle +p1498 +tp1499 +a(g701 +g998 +tp1500 +a(g569 +Vsplit +p1501 +tp1502 +a(g701 +g998 +tp1503 +a(g822 +VreturnKey +p1504 +tp1505 +a(g701 +g998 +tp1506 +a(g822 +Vdata +p1507 +tp1508 +a(g822 +g978 +tp1509 +a(g408 +V= +p1510 +tp1511 +a(g677 +Vregister +p1512 +tp1513 +a(g822 +g978 +tp1514 +a(g408 +g976 +tp1515 +a(g822 +g978 +tp1516 +a(g822 +VIMAGE_NUM_CURRENT +p1517 +tp1518 +a(g822 +V\u000a +p1519 +tp1520 +a(g822 +Vparameter +p1521 +tp1522 +a(g701 +g998 +tp1523 +a(g822 +VcObject +p1524 +tp1525 +a(g822 +g978 +tp1526 +a(g408 +g982 +tp1527 +a(g822 +g978 +tp1528 +a(g624 +VIMG_RESOURCE +p1529 +tp1530 +a(g822 +V\u000a +p1531 +tp1532 +a(g822 +Vparameter +p1533 +tp1534 +a(g701 +g998 +tp1535 +a(g822 +VcObject +p1536 +tp1537 +a(g701 +g998 +tp1538 +a(g822 +Vfile +p1539 +tp1540 +a(g701 +g998 +tp1541 +a(g822 +Vimport +p1542 +tp1543 +a(g701 +g998 +tp1544 +a(g822 +Vdata +p1545 +tp1546 +a(g822 +g978 +tp1547 +a(g408 +g982 +tp1548 +a(g822 +g978 +tp1549 +a(g822 +VTSFE +p1550 +tp1551 +a(g408 +g976 +tp1552 +a(g822 +VlastImageInfo +p1553 +tp1554 +a(g408 +g1370 +tp1555 +a(g822 +VorigFile +p1556 +tp1557 +a(g822 +V\u000a +p1558 +tp1559 +a(g822 +VATagParams +p1560 +tp1561 +a(g822 +g978 +tp1562 +a(g408 +g982 +tp1563 +a(g822 +g978 +tp1564 +a(g822 +Vtarget +p1565 +tp1566 +a(g408 +g982 +tp1567 +a(g822 +V"_blank" +p1568 +tp1569 +a(g822 +V\u000a +p1570 +tp1571 +a(g112 +g1097 +tp1572 +a(g822 +V\u000a +p1573 +tp1574 +a(g112 +g1097 +tp1575 +a(g822 +V\u000a\u000a +p1576 +tp1577 +a(g32 +V10 +p1578 +tp1579 +a(g822 +g978 +tp1580 +a(g408 +g982 +tp1581 +a(g822 +g978 +tp1582 +a(g624 +VIMAGE +p1583 +tp1584 +a(g822 +V\u000a +p1585 +tp1586 +a(g32 +V10 +p1587 +tp1588 +a(g822 +g978 +tp1589 +a(g112 +g1015 +tp1590 +a(g822 +V\u000a +p1591 +tp1592 +a(g7 +V# point to the image +p1593 +tp1594 +a(g822 +V\u000a +p1595 +tp1596 +a(g822 +Vfile +p1597 +tp1598 +a(g822 +g978 +tp1599 +a(g408 +g982 +tp1600 +a(g822 +g978 +tp1601 +a(g84 +Vfileadmin/demo/lorem_ipsum/images/a4 +p1602 +tp1603 +a(g84 +V.jpg\u000a +p1604 +tp1605 +a(g822 +V +p1606 +tp1607 +a(g7 +V# make it rather small +p1608 +tp1609 +a(g822 +V\u000a +p1610 +tp1611 +a(g822 +Vfile +p1612 +tp1613 +a(g701 +g998 +tp1614 +a(g822 +Vwidth +p1615 +tp1616 +a(g822 +g978 +tp1617 +a(g408 +g982 +tp1618 +a(g822 +g978 +tp1619 +a(g32 +V80 +p1620 +tp1621 +a(g822 +V\u000a +p1622 +tp1623 +a(g7 +V# add a link to tx_cms_showpic.php that shows the original image +p1624 +tp1625 +a(g822 +V\u000a +p1626 +tp1627 +a(g569 +VimageLinkWrap +p1628 +tp1629 +a(g822 +g978 +tp1630 +a(g408 +g982 +tp1631 +a(g822 +g978 +tp1632 +a(g32 +g1024 +tp1633 +a(g822 +V\u000a +p1634 +tp1635 +a(g569 +VimageLinkWrap +p1636 +tp1637 +a(g822 +g978 +tp1638 +a(g112 +g1015 +tp1639 +a(g822 +V\u000a +p1640 +tp1641 +a(g822 +Venable +p1642 +tp1643 +a(g822 +g978 +tp1644 +a(g408 +g982 +tp1645 +a(g822 +g978 +tp1646 +a(g32 +g1024 +tp1647 +a(g822 +V\u000a +p1648 +tp1649 +a(g7 +V# JSwindow = 1 +p1650 +tp1651 +a(g822 +V\u000a +p1652 +tp1653 +a(g112 +g1097 +tp1654 +a(g822 +V\u000a +p1655 +tp1656 +a(g112 +g1097 +tp1657 +a(g822 +V\u000a\u000a +p1658 +tp1659 +a(g7 +V# Clear out any constants in this reserved room! +p1660 +tp1661 +a(g822 +V\u000a +p1662 +tp1663 +a(g677 +Vstyles +p1664 +tp1665 +a(g701 +g998 +tp1666 +a(g822 +Vcontent +p1667 +tp1668 +a(g822 +g978 +tp1669 +a(g408 +g992 +tp1670 +a(g822 +V\u000a\u000a +p1671 +tp1672 +a(g7 +V# get content +p1673 +tp1674 +a(g822 +V\u000a +p1675 +tp1676 +a(g677 +Vstyles +p1677 +tp1678 +a(g701 +g998 +tp1679 +a(g822 +Vcontent +p1680 +tp1681 +a(g701 +g998 +tp1682 +a(g822 +Vget +p1683 +tp1684 +a(g822 +g978 +tp1685 +a(g408 +g982 +tp1686 +a(g822 +g978 +tp1687 +a(g624 +VCONTENT +p1688 +tp1689 +a(g822 +V\u000a +p1690 +tp1691 +a(g677 +Vstyles +p1692 +tp1693 +a(g701 +g998 +tp1694 +a(g822 +Vcontent +p1695 +tp1696 +a(g701 +g998 +tp1697 +a(g822 +Vget +p1698 +tp1699 +a(g822 +g978 +tp1700 +a(g112 +g1015 +tp1701 +a(g822 +V\u000a +p1702 +tp1703 +a(g822 +Vtable +p1704 +tp1705 +a(g822 +g978 +tp1706 +a(g408 +V= +p1707 +tp1708 +a(g677 +Vtt_content +p1709 +tp1710 +a(g822 +V\u000a +p1711 +tp1712 +a(g569 +Vselect +p1713 +tp1714 +a(g701 +g998 +tp1715 +a(g822 +VorderBy +p1716 +tp1717 +a(g822 +g978 +tp1718 +a(g408 +g982 +tp1719 +a(g822 +g978 +tp1720 +a(g822 +Vsorting +p1721 +tp1722 +a(g822 +V\u000a +p1723 +tp1724 +a(g569 +Vselect +p1725 +tp1726 +a(g701 +g998 +tp1727 +a(g822 +Vwhere +p1728 +tp1729 +a(g822 +g978 +tp1730 +a(g408 +g982 +tp1731 +a(g822 +g978 +tp1732 +a(g822 +VcolPos +p1733 +tp1734 +a(g408 +g982 +tp1735 +a(g32 +g1190 +tp1736 +a(g822 +V\u000a +p1737 +tp1738 +a(g569 +Vselect +p1739 +tp1740 +a(g701 +g998 +tp1741 +a(g822 +VlanguageField +p1742 +tp1743 +a(g822 +g978 +tp1744 +a(g408 +g982 +tp1745 +a(g822 +g978 +tp1746 +a(g822 +Vsys_language_uid +p1747 +tp1748 +a(g822 +V\u000a +p1749 +tp1750 +a(g112 +g1097 +tp1751 +a(g822 +V\u000a\u000a +p1752 +tp1753 +a(g7 +V# get content, left +p1754 +tp1755 +a(g822 +V\u000a +p1756 +tp1757 +a(g677 +Vstyles +p1758 +tp1759 +a(g701 +g998 +tp1760 +a(g822 +Vcontent +p1761 +tp1762 +a(g701 +g998 +tp1763 +a(g822 +VgetLeft +p1764 +tp1765 +a(g822 +g978 +tp1766 +a(g408 +V< +p1767 +tp1768 +a(g677 +Vstyles +p1769 +tp1770 +a(g701 +g998 +tp1771 +a(g822 +Vcontent +p1772 +tp1773 +a(g701 +g998 +tp1774 +a(g822 +Vget +p1775 +tp1776 +a(g822 +V\u000a +p1777 +tp1778 +a(g677 +Vstyles +p1779 +tp1780 +a(g701 +g998 +tp1781 +a(g822 +Vcontent +p1782 +tp1783 +a(g701 +g998 +tp1784 +a(g822 +VgetLeft +p1785 +tp1786 +a(g701 +g998 +tp1787 +a(g569 +Vselect +p1788 +tp1789 +a(g701 +g998 +tp1790 +a(g822 +Vwhere +p1791 +tp1792 +a(g822 +g978 +tp1793 +a(g408 +g982 +tp1794 +a(g822 +g978 +tp1795 +a(g822 +VcolPos +p1796 +tp1797 +a(g408 +g982 +tp1798 +a(g32 +g1024 +tp1799 +a(g822 +V\u000a\u000a +p1800 +tp1801 +a(g7 +V# get content, right +p1802 +tp1803 +a(g822 +V\u000a +p1804 +tp1805 +a(g677 +Vstyles +p1806 +tp1807 +a(g701 +g998 +tp1808 +a(g822 +Vcontent +p1809 +tp1810 +a(g701 +g998 +tp1811 +a(g822 +VgetRight +p1812 +tp1813 +a(g822 +g978 +tp1814 +a(g408 +V< +p1815 +tp1816 +a(g677 +Vstyles +p1817 +tp1818 +a(g701 +g998 +tp1819 +a(g822 +Vcontent +p1820 +tp1821 +a(g701 +g998 +tp1822 +a(g822 +Vget +p1823 +tp1824 +a(g822 +V\u000a +p1825 +tp1826 +a(g677 +Vstyles +p1827 +tp1828 +a(g701 +g998 +tp1829 +a(g822 +Vcontent +p1830 +tp1831 +a(g701 +g998 +tp1832 +a(g822 +VgetRight +p1833 +tp1834 +a(g701 +g998 +tp1835 +a(g569 +Vselect +p1836 +tp1837 +a(g701 +g998 +tp1838 +a(g822 +Vwhere +p1839 +tp1840 +a(g822 +g978 +tp1841 +a(g408 +g982 +tp1842 +a(g822 +g978 +tp1843 +a(g822 +VcolPos +p1844 +tp1845 +a(g408 +g982 +tp1846 +a(g32 +V2 +p1847 +tp1848 +a(g822 +V\u000a\u000a +p1849 +tp1850 +a(g7 +V# get content, margin +p1851 +tp1852 +a(g822 +V\u000a +p1853 +tp1854 +a(g677 +Vstyles +p1855 +tp1856 +a(g701 +g998 +tp1857 +a(g822 +Vcontent +p1858 +tp1859 +a(g701 +g998 +tp1860 +a(g822 +VgetBorder +p1861 +tp1862 +a(g822 +g978 +tp1863 +a(g408 +V< +p1864 +tp1865 +a(g677 +Vstyles +p1866 +tp1867 +a(g701 +g998 +tp1868 +a(g822 +Vcontent +p1869 +tp1870 +a(g701 +g998 +tp1871 +a(g822 +Vget +p1872 +tp1873 +a(g822 +V\u000a +p1874 +tp1875 +a(g677 +Vstyles +p1876 +tp1877 +a(g701 +g998 +tp1878 +a(g822 +Vcontent +p1879 +tp1880 +a(g701 +g998 +tp1881 +a(g822 +VgetBorder +p1882 +tp1883 +a(g701 +g998 +tp1884 +a(g569 +Vselect +p1885 +tp1886 +a(g701 +g998 +tp1887 +a(g822 +Vwhere +p1888 +tp1889 +a(g822 +g978 +tp1890 +a(g408 +g982 +tp1891 +a(g822 +g978 +tp1892 +a(g822 +VcolPos +p1893 +tp1894 +a(g408 +g982 +tp1895 +a(g32 +V3 +p1896 +tp1897 +a(g822 +V\u000a\u000a +p1898 +tp1899 +a(g7 +V# get news +p1900 +tp1901 +a(g822 +V\u000a +p1902 +tp1903 +a(g677 +Vstyles +p1904 +tp1905 +a(g701 +g998 +tp1906 +a(g822 +Vcontent +p1907 +tp1908 +a(g701 +g998 +tp1909 +a(g822 +VgetNews +p1910 +tp1911 +a(g822 +g978 +tp1912 +a(g408 +V< +p1913 +tp1914 +a(g677 +Vstyles +p1915 +tp1916 +a(g701 +g998 +tp1917 +a(g822 +Vcontent +p1918 +tp1919 +a(g701 +g998 +tp1920 +a(g822 +Vget +p1921 +tp1922 +a(g822 +V\u000a +p1923 +tp1924 +a(g677 +Vstyles +p1925 +tp1926 +a(g701 +g998 +tp1927 +a(g822 +Vcontent +p1928 +tp1929 +a(g701 +g998 +tp1930 +a(g822 +VgetNews +p1931 +tp1932 +a(g701 +g998 +tp1933 +a(g569 +Vselect +p1934 +tp1935 +a(g701 +g998 +tp1936 +a(g822 +VpidInList +p1937 +tp1938 +a(g822 +g978 +tp1939 +a(g408 +g982 +tp1940 +a(g822 +g978 +tp1941 +a(g112 +g1015 +tp1942 +a(g408 +g1391 +tp1943 +a(g561 +Vstyles.content.getNews. +p1944 +tp1945 +a(g561 +VnewsPid +p1946 +tp1947 +a(g112 +g1097 +tp1948 +a(g822 +V\u000a\u000a +p1949 +tp1950 +a(g7 +V# Edit page object: +p1951 +tp1952 +a(g822 +V\u000a +p1953 +tp1954 +a(g677 +Vstyles +p1955 +tp1956 +a(g701 +g998 +tp1957 +a(g822 +Vcontent +p1958 +tp1959 +a(g701 +g998 +tp1960 +a(g822 +VeditPanelPage +p1961 +tp1962 +a(g822 +g978 +tp1963 +a(g408 +g982 +tp1964 +a(g822 +g978 +tp1965 +a(g624 +VCOA +p1966 +tp1967 +a(g822 +V\u000a +p1968 +tp1969 +a(g677 +Vstyles +p1970 +tp1971 +a(g701 +g998 +tp1972 +a(g822 +Vcontent +p1973 +tp1974 +a(g701 +g998 +tp1975 +a(g822 +VeditPanelPage +p1976 +tp1977 +a(g822 +g978 +tp1978 +a(g112 +g1015 +tp1979 +a(g822 +V\u000a +p1980 +tp1981 +a(g32 +V10 +p1982 +tp1983 +a(g822 +g978 +tp1984 +a(g408 +g982 +tp1985 +a(g822 +g978 +tp1986 +a(g624 +VEDITPANEL +p1987 +tp1988 +a(g822 +V\u000a +p1989 +tp1990 +a(g32 +V10 +p1991 +tp1992 +a(g822 +g978 +tp1993 +a(g112 +g1015 +tp1994 +a(g822 +V\u000a +p1995 +tp1996 +a(g822 +Vallow +p1997 +tp1998 +a(g822 +g978 +tp1999 +a(g408 +g982 +tp2000 +a(g822 +g978 +tp2001 +a(g822 +Vtoolbar +p2002 +tp2003 +a(g701 +V, +p2004 +tp2005 +a(g822 +Vmove +p2006 +tp2007 +a(g701 +g2004 +tp2008 +a(g822 +Vhide +p2009 +tp2010 +a(g822 +V\u000a +p2011 +tp2012 +a(g822 +Vlabel +p2013 +tp2014 +a(g701 +g998 +tp2015 +a(g822 +Vdata +p2016 +tp2017 +a(g822 +g978 +tp2018 +a(g408 +g982 +tp2019 +a(g822 +g978 +tp2020 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.page +p2021 +tp2022 +a(g822 +V\u000a +p2023 +tp2024 +a(g822 +Vlabel +p2025 +tp2026 +a(g701 +g998 +tp2027 +a(g822 +Vwrap +p2028 +tp2029 +a(g822 +g978 +tp2030 +a(g408 +g982 +tp2031 +a(g822 +g978 +tp2032 +a(g408 +g1370 +tp2033 +a(g84 +V  +p2034 +tp2035 +a(g84 +g972 +tp2036 +a(g84 +Vb +p2037 +tp2038 +a(g84 +g992 +tp2039 +a(g408 +V% +p2040 +tp2041 +a(g822 +Vs +p2042 +tp2043 +a(g84 +g972 +tp2044 +a(g84 +V/b +p2045 +tp2046 +a(g84 +g992 +tp2047 +a(g822 +V\u000a +p2048 +tp2049 +a(g112 +g1097 +tp2050 +a(g822 +V\u000a +p2051 +tp2052 +a(g112 +g1097 +tp2053 +a(g822 +V\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a +p2054 +tp2055 +a(g7 +V# ********************************************************************* +p2056 +tp2057 +a(g822 +V\u000a +p2058 +tp2059 +a(g7 +V# "lib." objects are preserved from unsetting after template parsing +p2060 +tp2061 +a(g822 +V\u000a +p2062 +tp2063 +a(g7 +V# ********************************************************************* +p2064 +tp2065 +a(g822 +V\u000a\u000a +p2066 +tp2067 +a(g7 +V# Creates persistent ParseFunc setup for non-HTML content. This is recommended to use (as a reference!) +p2068 +tp2069 +a(g822 +V\u000a +p2070 +tp2071 +a(g677 +Vlib +p2072 +tp2073 +a(g701 +g998 +tp2074 +a(g569 +VparseFunc +p2075 +tp2076 +a(g822 +g978 +tp2077 +a(g112 +g1015 +tp2078 +a(g822 +V\u000a +p2079 +tp2080 +a(g569 +Vmakelinks +p2081 +tp2082 +a(g822 +g978 +tp2083 +a(g408 +g982 +tp2084 +a(g822 +g978 +tp2085 +a(g32 +g1024 +tp2086 +a(g822 +V\u000a +p2087 +tp2088 +a(g569 +Vmakelinks +p2089 +tp2090 +a(g701 +g998 +tp2091 +a(g822 +Vhttp +p2092 +tp2093 +a(g701 +g998 +tp2094 +a(g822 +Vkeep +p2095 +tp2096 +a(g822 +g978 +tp2097 +a(g408 +g982 +tp2098 +a(g822 +g978 +tp2099 +a(g112 +g1015 +tp2100 +a(g408 +g1391 +tp2101 +a(g561 +Vstyles.content.links. +p2102 +tp2103 +a(g561 +Vkeep +p2104 +tp2105 +a(g112 +g1097 +tp2106 +a(g822 +V\u000a +p2107 +tp2108 +a(g569 +Vmakelinks +p2109 +tp2110 +a(g701 +g998 +tp2111 +a(g822 +Vhttp +p2112 +tp2113 +a(g701 +g998 +tp2114 +a(g822 +VextTarget +p2115 +tp2116 +a(g822 +g978 +tp2117 +a(g408 +g982 +tp2118 +a(g822 +g978 +tp2119 +a(g112 +g1015 +tp2120 +a(g408 +g1391 +tp2121 +a(g561 +Vstyles.content.links. +p2122 +tp2123 +a(g561 +VextTarget +p2124 +tp2125 +a(g112 +g1097 +tp2126 +a(g822 +V\u000a +p2127 +tp2128 +a(g569 +Vmakelinks +p2129 +tp2130 +a(g701 +g998 +tp2131 +a(g822 +Vmailto +p2132 +tp2133 +a(g701 +g998 +tp2134 +a(g822 +Vkeep +p2135 +tp2136 +a(g822 +g978 +tp2137 +a(g408 +g982 +tp2138 +a(g822 +g978 +tp2139 +a(g822 +Vpath +p2140 +tp2141 +a(g822 +V\u000a +p2142 +tp2143 +a(g569 +Vtags +p2144 +tp2145 +a(g822 +g978 +tp2146 +a(g112 +g1015 +tp2147 +a(g822 +V\u000a +p2148 +tp2149 +a(g822 +Vlink +p2150 +tp2151 +a(g822 +g978 +tp2152 +a(g408 +g982 +tp2153 +a(g822 +g978 +tp2154 +a(g624 +VTEXT +p2155 +tp2156 +a(g822 +V\u000a +p2157 +tp2158 +a(g822 +Vlink +p2159 +tp2160 +a(g822 +g978 +tp2161 +a(g112 +g1015 +tp2162 +a(g822 +V\u000a +p2163 +tp2164 +a(g822 +Vcurrent +p2165 +tp2166 +a(g822 +g978 +tp2167 +a(g408 +g982 +tp2168 +a(g822 +g978 +tp2169 +a(g32 +g1024 +tp2170 +a(g822 +V\u000a +p2171 +tp2172 +a(g569 +Vtypolink +p2173 +tp2174 +a(g701 +g998 +tp2175 +a(g822 +Vparameter +p2176 +tp2177 +a(g701 +g998 +tp2178 +a(g822 +Vdata +p2179 +tp2180 +a(g822 +g978 +tp2181 +a(g408 +g982 +tp2182 +a(g822 +g978 +tp2183 +a(g822 +Vparameters +p2184 +tp2185 +a(g822 +g978 +tp2186 +a(g408 +g976 +tp2187 +a(g822 +g978 +tp2188 +a(g822 +VallParams +p2189 +tp2190 +a(g822 +V\u000a +p2191 +tp2192 +a(g569 +Vtypolink +p2193 +tp2194 +a(g701 +g998 +tp2195 +a(g822 +VextTarget +p2196 +tp2197 +a(g822 +g978 +tp2198 +a(g408 +g982 +tp2199 +a(g822 +g978 +tp2200 +a(g112 +g1015 +tp2201 +a(g408 +g1391 +tp2202 +a(g561 +Vstyles.content.links. +p2203 +tp2204 +a(g561 +VextTarget +p2205 +tp2206 +a(g112 +g1097 +tp2207 +a(g822 +V\u000a +p2208 +tp2209 +a(g569 +Vtypolink +p2210 +tp2211 +a(g701 +g998 +tp2212 +a(g822 +Vtarget +p2213 +tp2214 +a(g822 +g978 +tp2215 +a(g408 +g982 +tp2216 +a(g822 +g978 +tp2217 +a(g112 +g1015 +tp2218 +a(g408 +g1391 +tp2219 +a(g561 +Vstyles.content.links. +p2220 +tp2221 +a(g561 +Vtarget +p2222 +tp2223 +a(g112 +g1097 +tp2224 +a(g822 +V\u000a +p2225 +tp2226 +a(g569 +VparseFunc +p2227 +tp2228 +a(g701 +g998 +tp2229 +a(g822 +Vconstants +p2230 +tp2231 +a(g822 +g978 +tp2232 +a(g408 +g982 +tp2233 +a(g32 +g1024 +tp2234 +a(g822 +V\u000a +p2235 +tp2236 +a(g112 +g1097 +tp2237 +a(g822 +V\u000a +p2238 +tp2239 +a(g112 +g1097 +tp2240 +a(g822 +V\u000a +p2241 +tp2242 +a(g822 +VallowTags +p2243 +tp2244 +a(g822 +g978 +tp2245 +a(g408 +g982 +tp2246 +a(g822 +g978 +tp2247 +a(g112 +g1015 +tp2248 +a(g408 +g1391 +tp2249 +a(g561 +Vstyles.content.links. +p2250 +tp2251 +a(g561 +VallowTags +p2252 +tp2253 +a(g112 +g1097 +tp2254 +a(g822 +V\u000a +p2255 +tp2256 +a(g822 +VdenyTags +p2257 +tp2258 +a(g822 +g978 +tp2259 +a(g408 +g982 +tp2260 +a(g822 +g978 +tp2261 +a(g408 +V* +p2262 +tp2263 +a(g822 +V\u000a +p2264 +tp2265 +a(g822 +Vsword +p2266 +tp2267 +a(g822 +g978 +tp2268 +a(g408 +g982 +tp2269 +a(g822 +g978 +tp2270 +a(g84 +g972 +tp2271 +a(g84 +Vspan +p2272 +tp2273 +a(g822 +g978 +tp2274 +a(g84 +Vclass +p2275 +tp2276 +a(g84 +g982 +tp2277 +a(g84 +V"csc-sword" +p2278 +tp2279 +a(g84 +g992 +tp2280 +a(g408 +g1370 +tp2281 +a(g84 +g972 +tp2282 +a(g84 +V/span +p2283 +tp2284 +a(g84 +g992 +tp2285 +a(g822 +V\u000a +p2286 +tp2287 +a(g822 +Vconstants +p2288 +tp2289 +a(g822 +g978 +tp2290 +a(g408 +g982 +tp2291 +a(g822 +g978 +tp2292 +a(g32 +g1024 +tp2293 +a(g822 +V\u000a\u000a +p2294 +tp2295 +a(g822 +VnonTypoTagStdWrap +p2296 +tp2297 +a(g701 +g998 +tp2298 +a(g569 +VHTMLparser +p2299 +tp2300 +a(g822 +g978 +tp2301 +a(g408 +g982 +tp2302 +a(g822 +g978 +tp2303 +a(g32 +g1024 +tp2304 +a(g822 +V\u000a +p2305 +tp2306 +a(g822 +VnonTypoTagStdWrap +p2307 +tp2308 +a(g701 +g998 +tp2309 +a(g569 +VHTMLparser +p2310 +tp2311 +a(g822 +g978 +tp2312 +a(g112 +g1015 +tp2313 +a(g822 +V\u000a +p2314 +tp2315 +a(g822 +VkeepNonMatchedTags +p2316 +tp2317 +a(g822 +g978 +tp2318 +a(g408 +g982 +tp2319 +a(g822 +g978 +tp2320 +a(g32 +g1024 +tp2321 +a(g822 +V\u000a +p2322 +tp2323 +a(g822 +VhtmlSpecialChars +p2324 +tp2325 +a(g822 +g978 +tp2326 +a(g408 +g982 +tp2327 +a(g822 +g978 +tp2328 +a(g32 +g1847 +tp2329 +a(g822 +V\u000a +p2330 +tp2331 +a(g112 +g1097 +tp2332 +a(g822 +V\u000a +p2333 +tp2334 +a(g112 +g1097 +tp2335 +a(g822 +V\u000a\u000a +p2336 +tp2337 +a(g7 +V# good old parsefunc in "styles.content.parseFunc" is created for backwards compatibility. Don't use it, just ignore. +p2338 +tp2339 +a(g822 +V\u000a +p2340 +tp2341 +a(g677 +Vstyles +p2342 +tp2343 +a(g701 +g998 +tp2344 +a(g822 +Vcontent +p2345 +tp2346 +a(g701 +g998 +tp2347 +a(g569 +VparseFunc +p2348 +tp2349 +a(g822 +g978 +tp2350 +a(g408 +V< +p2351 +tp2352 +a(g677 +Vlib +p2353 +tp2354 +a(g701 +g998 +tp2355 +a(g569 +VparseFunc +p2356 +tp2357 +a(g822 +V\u000a\u000a +p2358 +tp2359 +a(g7 +V# Creates persistent ParseFunc setup for RTE content (which is mainly HTML) based on the "ts_css" transformation. +p2360 +tp2361 +a(g822 +V\u000a +p2362 +tp2363 +a(g677 +Vlib +p2364 +tp2365 +a(g701 +g998 +tp2366 +a(g822 +VparseFunc_RTE +p2367 +tp2368 +a(g822 +g978 +tp2369 +a(g408 +V< +p2370 +tp2371 +a(g677 +Vlib +p2372 +tp2373 +a(g701 +g998 +tp2374 +a(g569 +VparseFunc +p2375 +tp2376 +a(g822 +V\u000a +p2377 +tp2378 +a(g677 +Vlib +p2379 +tp2380 +a(g701 +g998 +tp2381 +a(g822 +VparseFunc_RTE +p2382 +tp2383 +a(g822 +g978 +tp2384 +a(g112 +g1015 +tp2385 +a(g822 +V\u000a +p2386 +tp2387 +a(g7 +V// makelinks > +p2388 +tp2389 +a(g822 +V\u000a +p2390 +tp2391 +a(g7 +V# Processing and
    blocks separately +p2392 +tp2393 +a(g822 +V\u000a +p2394 +tp2395 +a(g822 +VexternalBlocks +p2396 +tp2397 +a(g822 +g978 +tp2398 +a(g408 +g982 +tp2399 +a(g822 +g978 +tp2400 +a(g822 +Vtable +p2401 +tp2402 +a(g701 +g2004 +tp2403 +a(g822 +g978 +tp2404 +a(g822 +Vblockquote +p2405 +tp2406 +a(g701 +g2004 +tp2407 +a(g822 +g978 +tp2408 +a(g822 +Vdd +p2409 +tp2410 +a(g701 +g2004 +tp2411 +a(g822 +g978 +tp2412 +a(g822 +Vdl +p2413 +tp2414 +a(g701 +g2004 +tp2415 +a(g822 +g978 +tp2416 +a(g822 +Vol +p2417 +tp2418 +a(g701 +g2004 +tp2419 +a(g822 +g978 +tp2420 +a(g822 +Vul +p2421 +tp2422 +a(g701 +g2004 +tp2423 +a(g822 +g978 +tp2424 +a(g822 +Vdiv +p2425 +tp2426 +a(g822 +V\u000a +p2427 +tp2428 +a(g822 +VexternalBlocks +p2429 +tp2430 +a(g822 +g978 +tp2431 +a(g112 +g1015 +tp2432 +a(g822 +V\u000a +p2433 +tp2434 +a(g7 +V# The blockquote content is passed into parseFunc again... +p2435 +tp2436 +a(g822 +V\u000a +p2437 +tp2438 +a(g822 +Vblockquote +p2439 +tp2440 +a(g701 +g998 +tp2441 +a(g822 +VstripNL +p2442 +tp2443 +a(g408 +g982 +tp2444 +a(g32 +g1024 +tp2445 +a(g822 +V\u000a +p2446 +tp2447 +a(g822 +Vblockquote +p2448 +tp2449 +a(g701 +g998 +tp2450 +a(g822 +VcallRecursive +p2451 +tp2452 +a(g408 +g982 +tp2453 +a(g32 +g1024 +tp2454 +a(g822 +V\u000a +p2455 +tp2456 +a(g822 +Vblockquote +p2457 +tp2458 +a(g701 +g998 +tp2459 +a(g822 +VcallRecursive +p2460 +tp2461 +a(g701 +g998 +tp2462 +a(g822 +VtagStdWrap +p2463 +tp2464 +a(g701 +g998 +tp2465 +a(g569 +VHTMLparser +p2466 +tp2467 +a(g822 +g978 +tp2468 +a(g408 +g982 +tp2469 +a(g822 +g978 +tp2470 +a(g32 +g1024 +tp2471 +a(g822 +V\u000a +p2472 +tp2473 +a(g822 +Vblockquote +p2474 +tp2475 +a(g701 +g998 +tp2476 +a(g822 +VcallRecursive +p2477 +tp2478 +a(g701 +g998 +tp2479 +a(g822 +VtagStdWrap +p2480 +tp2481 +a(g701 +g998 +tp2482 +a(g569 +VHTMLparser +p2483 +tp2484 +a(g701 +g998 +tp2485 +a(g569 +Vtags +p2486 +tp2487 +a(g701 +g998 +tp2488 +a(g822 +Vblockquote +p2489 +tp2490 +a(g701 +g998 +tp2491 +a(g822 +VoverrideAttribs +p2492 +tp2493 +a(g822 +g978 +tp2494 +a(g408 +g982 +tp2495 +a(g822 +g978 +tp2496 +a(g822 +Vstyle +p2497 +tp2498 +a(g408 +g982 +tp2499 +a(g822 +V"margin-bottom +p2500 +tp2501 +a(g408 +g976 +tp2502 +a(g32 +g1190 +tp2503 +a(g822 +V;margin-top +p2504 +tp2505 +a(g408 +g976 +tp2506 +a(g32 +g1190 +tp2507 +a(g822 +V;" +p2508 +tp2509 +a(g822 +V\u000a\u000a +p2510 +tp2511 +a(g822 +Vol +p2512 +tp2513 +a(g701 +g998 +tp2514 +a(g822 +VstripNL +p2515 +tp2516 +a(g408 +g982 +tp2517 +a(g32 +g1024 +tp2518 +a(g822 +V\u000a +p2519 +tp2520 +a(g822 +Vol +p2521 +tp2522 +a(g701 +g998 +tp2523 +a(g569 +VstdWrap +p2524 +tp2525 +a(g701 +g998 +tp2526 +a(g569 +VparseFunc +p2527 +tp2528 +a(g822 +g978 +tp2529 +a(g408 +V= < +p2530 +tp2531 +a(g677 +Vlib +p2532 +tp2533 +a(g701 +g998 +tp2534 +a(g569 +VparseFunc +p2535 +tp2536 +a(g822 +V\u000a\u000a +p2537 +tp2538 +a(g822 +Vul +p2539 +tp2540 +a(g701 +g998 +tp2541 +a(g822 +VstripNL +p2542 +tp2543 +a(g408 +g982 +tp2544 +a(g32 +g1024 +tp2545 +a(g822 +V\u000a +p2546 +tp2547 +a(g822 +Vul +p2548 +tp2549 +a(g701 +g998 +tp2550 +a(g569 +VstdWrap +p2551 +tp2552 +a(g701 +g998 +tp2553 +a(g569 +VparseFunc +p2554 +tp2555 +a(g822 +g978 +tp2556 +a(g408 +V= < +p2557 +tp2558 +a(g677 +Vlib +p2559 +tp2560 +a(g701 +g998 +tp2561 +a(g569 +VparseFunc +p2562 +tp2563 +a(g822 +V\u000a\u000a +p2564 +tp2565 +a(g822 +Vtable +p2566 +tp2567 +a(g701 +g998 +tp2568 +a(g822 +VstripNL +p2569 +tp2570 +a(g408 +g982 +tp2571 +a(g32 +g1024 +tp2572 +a(g822 +V\u000a +p2573 +tp2574 +a(g822 +Vtable +p2575 +tp2576 +a(g701 +g998 +tp2577 +a(g569 +VstdWrap +p2578 +tp2579 +a(g701 +g998 +tp2580 +a(g569 +VHTMLparser +p2581 +tp2582 +a(g822 +g978 +tp2583 +a(g408 +g982 +tp2584 +a(g822 +g978 +tp2585 +a(g32 +g1024 +tp2586 +a(g822 +V\u000a +p2587 +tp2588 +a(g822 +Vtable +p2589 +tp2590 +a(g701 +g998 +tp2591 +a(g569 +VstdWrap +p2592 +tp2593 +a(g701 +g998 +tp2594 +a(g569 +VHTMLparser +p2595 +tp2596 +a(g701 +g998 +tp2597 +a(g569 +Vtags +p2598 +tp2599 +a(g701 +g998 +tp2600 +a(g822 +Vtable +p2601 +tp2602 +a(g701 +g998 +tp2603 +a(g822 +VfixAttrib +p2604 +tp2605 +a(g701 +g998 +tp2606 +a(g822 +Vclass +p2607 +tp2608 +a(g822 +g978 +tp2609 +a(g112 +g1015 +tp2610 +a(g822 +V\u000a +p2611 +tp2612 +a(g822 +Vdefault +p2613 +tp2614 +a(g822 +g978 +tp2615 +a(g408 +g982 +tp2616 +a(g822 +g978 +tp2617 +a(g822 +Vcontenttable +p2618 +tp2619 +a(g822 +V\u000a +p2620 +tp2621 +a(g822 +Valways +p2622 +tp2623 +a(g822 +g978 +tp2624 +a(g408 +g982 +tp2625 +a(g822 +g978 +tp2626 +a(g32 +g1024 +tp2627 +a(g822 +V\u000a +p2628 +tp2629 +a(g822 +Vlist +p2630 +tp2631 +a(g822 +g978 +tp2632 +a(g408 +g982 +tp2633 +a(g822 +g978 +tp2634 +a(g822 +Vcontenttable +p2635 +tp2636 +a(g822 +V\u000a +p2637 +tp2638 +a(g112 +g1097 +tp2639 +a(g822 +V\u000a +p2640 +tp2641 +a(g822 +Vtable +p2642 +tp2643 +a(g701 +g998 +tp2644 +a(g569 +VstdWrap +p2645 +tp2646 +a(g701 +g998 +tp2647 +a(g569 +VHTMLparser +p2648 +tp2649 +a(g701 +g998 +tp2650 +a(g822 +VkeepNonMatchedTags +p2651 +tp2652 +a(g822 +g978 +tp2653 +a(g408 +g982 +tp2654 +a(g822 +g978 +tp2655 +a(g32 +g1024 +tp2656 +a(g822 +V\u000a +p2657 +tp2658 +a(g822 +Vtable +p2659 +tp2660 +a(g701 +g998 +tp2661 +a(g822 +VHTMLtableCells +p2662 +tp2663 +a(g408 +g982 +tp2664 +a(g32 +g1024 +tp2665 +a(g822 +V\u000a +p2666 +tp2667 +a(g822 +Vtable +p2668 +tp2669 +a(g701 +g998 +tp2670 +a(g822 +VHTMLtableCells +p2671 +tp2672 +a(g822 +g978 +tp2673 +a(g112 +g1015 +tp2674 +a(g822 +V\u000a +p2675 +tp2676 +a(g822 +Vdefault +p2677 +tp2678 +a(g701 +g998 +tp2679 +a(g822 +VcallRecursive +p2680 +tp2681 +a(g408 +g982 +tp2682 +a(g32 +g1024 +tp2683 +a(g822 +V\u000a +p2684 +tp2685 +a(g822 +VaddChr10BetweenParagraphs +p2686 +tp2687 +a(g408 +g982 +tp2688 +a(g32 +g1024 +tp2689 +a(g822 +V\u000a +p2690 +tp2691 +a(g112 +g1097 +tp2692 +a(g822 +V\u000a +p2693 +tp2694 +a(g822 +Vdiv +p2695 +tp2696 +a(g701 +g998 +tp2697 +a(g822 +VstripNL +p2698 +tp2699 +a(g822 +g978 +tp2700 +a(g408 +g982 +tp2701 +a(g822 +g978 +tp2702 +a(g32 +g1024 +tp2703 +a(g822 +V\u000a +p2704 +tp2705 +a(g822 +Vdiv +p2706 +tp2707 +a(g701 +g998 +tp2708 +a(g822 +VcallRecursive +p2709 +tp2710 +a(g822 +g978 +tp2711 +a(g408 +g982 +tp2712 +a(g822 +g978 +tp2713 +a(g32 +g1024 +tp2714 +a(g822 +V\u000a\u000a +p2715 +tp2716 +a(g7 +V# Definition list processing +p2717 +tp2718 +a(g822 +V\u000a +p2719 +tp2720 +a(g822 +Vdl +p2721 +tp2722 +a(g822 +g978 +tp2723 +a(g408 +g972 +tp2724 +a(g822 +g978 +tp2725 +a(g701 +g998 +tp2726 +a(g822 +Vdiv +p2727 +tp2728 +a(g822 +V\u000a +p2729 +tp2730 +a(g822 +Vdd +p2731 +tp2732 +a(g822 +g978 +tp2733 +a(g408 +g972 +tp2734 +a(g822 +g978 +tp2735 +a(g701 +g998 +tp2736 +a(g822 +Vdiv +p2737 +tp2738 +a(g822 +V\u000a +p2739 +tp2740 +a(g112 +g1097 +tp2741 +a(g822 +V\u000a +p2742 +tp2743 +a(g822 +VnonTypoTagStdWrap +p2744 +tp2745 +a(g701 +g998 +tp2746 +a(g569 +VencapsLines +p2747 +tp2748 +a(g822 +g978 +tp2749 +a(g112 +g1015 +tp2750 +a(g822 +V\u000a +p2751 +tp2752 +a(g822 +VencapsTagList +p2753 +tp2754 +a(g822 +g978 +tp2755 +a(g408 +g982 +tp2756 +a(g822 +g978 +tp2757 +a(g822 +Vp +p2758 +tp2759 +a(g701 +g2004 +tp2760 +a(g822 +Vpre +p2761 +tp2762 +a(g701 +g2004 +tp2763 +a(g822 +Vh1 +p2764 +tp2765 +a(g701 +g2004 +tp2766 +a(g822 +Vh2 +p2767 +tp2768 +a(g701 +g2004 +tp2769 +a(g822 +Vh3 +p2770 +tp2771 +a(g701 +g2004 +tp2772 +a(g822 +Vh4 +p2773 +tp2774 +a(g701 +g2004 +tp2775 +a(g822 +Vh5 +p2776 +tp2777 +a(g701 +g2004 +tp2778 +a(g822 +Vh6 +p2779 +tp2780 +a(g701 +g2004 +tp2781 +a(g822 +Vhr +p2782 +tp2783 +a(g701 +g2004 +tp2784 +a(g822 +Vdt +p2785 +tp2786 +a(g822 +V\u000a +p2787 +tp2788 +a(g822 +VremapTag +p2789 +tp2790 +a(g701 +g998 +tp2791 +a(g822 +VDIV +p2792 +tp2793 +a(g822 +g978 +tp2794 +a(g408 +g982 +tp2795 +a(g822 +g978 +tp2796 +a(g822 +VP +p2797 +tp2798 +a(g822 +V\u000a +p2799 +tp2800 +a(g822 +VnonWrappedTag +p2801 +tp2802 +a(g822 +g978 +tp2803 +a(g408 +g982 +tp2804 +a(g822 +g978 +tp2805 +a(g822 +g2797 +tp2806 +a(g822 +V\u000a +p2807 +tp2808 +a(g822 +VinnerStdWrap_all +p2809 +tp2810 +a(g701 +g998 +tp2811 +a(g822 +VifBlank +p2812 +tp2813 +a(g822 +g978 +tp2814 +a(g408 +g982 +tp2815 +a(g822 +g978 +tp2816 +a(g84 +V  +p2817 +tp2818 +a(g822 +V\u000a +p2819 +tp2820 +a(g822 +VaddAttributes +p2821 +tp2822 +a(g701 +g998 +tp2823 +a(g822 +g2797 +tp2824 +a(g701 +g998 +tp2825 +a(g822 +Vclass +p2826 +tp2827 +a(g822 +g978 +tp2828 +a(g408 +g982 +tp2829 +a(g822 +g978 +tp2830 +a(g822 +Vbodytext +p2831 +tp2832 +a(g822 +V\u000a +p2833 +tp2834 +a(g822 +VaddAttributes +p2835 +tp2836 +a(g701 +g998 +tp2837 +a(g822 +g2797 +tp2838 +a(g701 +g998 +tp2839 +a(g822 +Vclass +p2840 +tp2841 +a(g701 +g998 +tp2842 +a(g822 +VsetOnly +p2843 +tp2844 +a(g408 +g982 +tp2845 +a(g822 +Vblank +p2846 +tp2847 +a(g822 +V\u000a +p2848 +tp2849 +a(g112 +g1097 +tp2850 +a(g822 +V\u000a +p2851 +tp2852 +a(g822 +VnonTypoTagStdWrap +p2853 +tp2854 +a(g701 +g998 +tp2855 +a(g569 +VHTMLparser +p2856 +tp2857 +a(g822 +g978 +tp2858 +a(g408 +g982 +tp2859 +a(g822 +g978 +tp2860 +a(g32 +g1024 +tp2861 +a(g822 +V\u000a +p2862 +tp2863 +a(g822 +VnonTypoTagStdWrap +p2864 +tp2865 +a(g701 +g998 +tp2866 +a(g569 +VHTMLparser +p2867 +tp2868 +a(g822 +g978 +tp2869 +a(g112 +g1015 +tp2870 +a(g822 +V\u000a +p2871 +tp2872 +a(g822 +VkeepNonMatchedTags +p2873 +tp2874 +a(g822 +g978 +tp2875 +a(g408 +g982 +tp2876 +a(g822 +g978 +tp2877 +a(g32 +g1024 +tp2878 +a(g822 +V\u000a +p2879 +tp2880 +a(g822 +VhtmlSpecialChars +p2881 +tp2882 +a(g822 +g978 +tp2883 +a(g408 +g982 +tp2884 +a(g822 +g978 +tp2885 +a(g32 +g1847 +tp2886 +a(g822 +V\u000a +p2887 +tp2888 +a(g112 +g1097 +tp2889 +a(g822 +V\u000a +p2890 +tp2891 +a(g112 +g1097 +tp2892 +a(g822 +V\u000a\u000a\u000a +p2893 +tp2894 +a(g7 +V# Content header: +p2895 +tp2896 +a(g822 +V\u000a +p2897 +tp2898 +a(g677 +Vlib +p2899 +tp2900 +a(g701 +g998 +tp2901 +a(g822 +Vstdheader +p2902 +tp2903 +a(g822 +g978 +tp2904 +a(g408 +g982 +tp2905 +a(g822 +g978 +tp2906 +a(g624 +VCOA +p2907 +tp2908 +a(g822 +V\u000a +p2909 +tp2910 +a(g677 +Vlib +p2911 +tp2912 +a(g701 +g998 +tp2913 +a(g822 +Vstdheader +p2914 +tp2915 +a(g822 +g978 +tp2916 +a(g112 +g1015 +tp2917 +a(g822 +V\u000a\u000a +p2918 +tp2919 +a(g7 +V# Create align style-attribute for tags +p2920 +tp2921 +a(g822 +V\u000a +p2922 +tp2923 +a(g32 +g1847 +tp2924 +a(g822 +g978 +tp2925 +a(g408 +g982 +tp2926 +a(g822 +g978 +tp2927 +a(g624 +VLOAD_REGISTER +p2928 +tp2929 +a(g822 +V\u000a +p2930 +tp2931 +a(g32 +g1847 +tp2932 +a(g701 +g998 +tp2933 +a(g822 +VheaderStyle +p2934 +tp2935 +a(g701 +g998 +tp2936 +a(g822 +Vfield +p2937 +tp2938 +a(g822 +g978 +tp2939 +a(g408 +g982 +tp2940 +a(g822 +g978 +tp2941 +a(g822 +Vheader_position +p2942 +tp2943 +a(g822 +V\u000a +p2944 +tp2945 +a(g32 +g1847 +tp2946 +a(g701 +g998 +tp2947 +a(g822 +VheaderStyle +p2948 +tp2949 +a(g701 +g998 +tp2950 +a(g822 +Vrequired +p2951 +tp2952 +a(g822 +g978 +tp2953 +a(g408 +g982 +tp2954 +a(g822 +g978 +tp2955 +a(g32 +g1024 +tp2956 +a(g822 +V\u000a +p2957 +tp2958 +a(g32 +g1847 +tp2959 +a(g701 +g998 +tp2960 +a(g822 +VheaderStyle +p2961 +tp2962 +a(g701 +g998 +tp2963 +a(g822 +VnoTrimWrap +p2964 +tp2965 +a(g822 +g978 +tp2966 +a(g408 +g982 +tp2967 +a(g822 +g978 +tp2968 +a(g408 +g1370 +tp2969 +a(g822 +g978 +tp2970 +a(g822 +Vstyle +p2971 +tp2972 +a(g408 +g982 +tp2973 +a(g822 +V"text-align +p2974 +tp2975 +a(g408 +g976 +tp2976 +a(g408 +g1370 +tp2977 +a(g822 +V;" +p2978 +tp2979 +a(g408 +g1370 +tp2980 +a(g822 +V\u000a\u000a +p2981 +tp2982 +a(g7 +V# Create class="csc-firstHeader" attribute for tags +p2983 +tp2984 +a(g822 +V\u000a +p2985 +tp2986 +a(g32 +g1896 +tp2987 +a(g822 +g978 +tp2988 +a(g408 +g982 +tp2989 +a(g822 +g978 +tp2990 +a(g624 +VLOAD_REGISTER +p2991 +tp2992 +a(g822 +V\u000a +p2993 +tp2994 +a(g32 +g1896 +tp2995 +a(g701 +g998 +tp2996 +a(g822 +VheaderClass +p2997 +tp2998 +a(g822 +g978 +tp2999 +a(g408 +g982 +tp3000 +a(g822 +g978 +tp3001 +a(g822 +Vcsc-firstHeader +p3002 +tp3003 +a(g822 +V\u000a +p3004 +tp3005 +a(g32 +g1896 +tp3006 +a(g701 +g998 +tp3007 +a(g822 +VheaderClass +p3008 +tp3009 +a(g701 +g998 +tp3010 +a(g569 +Vif +p3011 +tp3012 +a(g701 +g998 +tp3013 +a(g822 +Vvalue +p3014 +tp3015 +a(g408 +g982 +tp3016 +a(g32 +g1024 +tp3017 +a(g822 +V\u000a +p3018 +tp3019 +a(g32 +g1896 +tp3020 +a(g701 +g998 +tp3021 +a(g822 +VheaderClass +p3022 +tp3023 +a(g701 +g998 +tp3024 +a(g569 +Vif +p3025 +tp3026 +a(g701 +g998 +tp3027 +a(g822 +Vequals +p3028 +tp3029 +a(g701 +g998 +tp3030 +a(g822 +Vdata +p3031 +tp3032 +a(g822 +g978 +tp3033 +a(g408 +V= +p3034 +tp3035 +a(g677 +VcObj +p3036 +tp3037 +a(g408 +g976 +tp3038 +a(g822 +VparentRecordNumber +p3039 +tp3040 +a(g822 +V\u000a +p3041 +tp3042 +a(g32 +g1896 +tp3043 +a(g701 +g998 +tp3044 +a(g822 +VheaderClass +p3045 +tp3046 +a(g701 +g998 +tp3047 +a(g822 +VnoTrimWrap +p3048 +tp3049 +a(g822 +g978 +tp3050 +a(g408 +g982 +tp3051 +a(g822 +g978 +tp3052 +a(g408 +g1370 +tp3053 +a(g822 +g978 +tp3054 +a(g822 +Vclass +p3055 +tp3056 +a(g408 +g982 +tp3057 +a(g822 +g990 +tp3058 +a(g408 +g1370 +tp3059 +a(g822 +g990 +tp3060 +a(g408 +g1370 +tp3061 +a(g822 +V\u000a\u000a +p3062 +tp3063 +a(g7 +V# Date format: +p3064 +tp3065 +a(g822 +V\u000a +p3066 +tp3067 +a(g32 +V5 +p3068 +tp3069 +a(g822 +g978 +tp3070 +a(g408 +g982 +tp3071 +a(g822 +g978 +tp3072 +a(g624 +VTEXT +p3073 +tp3074 +a(g822 +V\u000a +p3075 +tp3076 +a(g32 +g3068 +tp3077 +a(g701 +g998 +tp3078 +a(g822 +Vfield +p3079 +tp3080 +a(g822 +g978 +tp3081 +a(g408 +g982 +tp3082 +a(g822 +g978 +tp3083 +a(g822 +Vdate +p3084 +tp3085 +a(g822 +V\u000a +p3086 +tp3087 +a(g32 +g3068 +tp3088 +a(g701 +g998 +tp3089 +a(g569 +Vif +p3090 +tp3091 +a(g701 +g998 +tp3092 +a(g822 +VisTrue +p3093 +tp3094 +a(g701 +g998 +tp3095 +a(g822 +Vfield +p3096 +tp3097 +a(g822 +g978 +tp3098 +a(g408 +g982 +tp3099 +a(g822 +g978 +tp3100 +a(g822 +Vdate +p3101 +tp3102 +a(g822 +V\u000a +p3103 +tp3104 +a(g32 +g3068 +tp3105 +a(g701 +g998 +tp3106 +a(g822 +Vstrftime +p3107 +tp3108 +a(g822 +g978 +tp3109 +a(g408 +g982 +tp3110 +a(g822 +g978 +tp3111 +a(g408 +g2040 +tp3112 +a(g822 +Vx +p3113 +tp3114 +a(g822 +V\u000a +p3115 +tp3116 +a(g32 +g3068 +tp3117 +a(g701 +g998 +tp3118 +a(g822 +Vwrap +p3119 +tp3120 +a(g822 +g978 +tp3121 +a(g408 +g982 +tp3122 +a(g822 +g978 +tp3123 +a(g84 +g972 +tp3124 +a(g84 +g2758 +tp3125 +a(g822 +g978 +tp3126 +a(g84 +Vclass +p3127 +tp3128 +a(g84 +g982 +tp3129 +a(g84 +V"csc-header-date" +p3130 +tp3131 +a(g84 +g992 +tp3132 +a(g408 +g1370 +tp3133 +a(g84 +g972 +tp3134 +a(g84 +V/p +p3135 +tp3136 +a(g84 +g992 +tp3137 +a(g822 +V\u000a +p3138 +tp3139 +a(g32 +g3068 +tp3140 +a(g701 +g998 +tp3141 +a(g822 +VprefixComment +p3142 +tp3143 +a(g822 +g978 +tp3144 +a(g408 +g982 +tp3145 +a(g822 +g978 +tp3146 +a(g32 +g1847 +tp3147 +a(g822 +g978 +tp3148 +a(g408 +g1370 +tp3149 +a(g822 +g978 +tp3150 +a(g822 +VHeader +p3151 +tp3152 +a(g822 +g978 +tp3153 +a(g822 +Vdate +p3154 +tp3155 +a(g408 +g976 +tp3156 +a(g822 +V\u000a\u000a +p3157 +tp3158 +a(g7 +V# This CASE cObject renders the header content: +p3159 +tp3160 +a(g822 +V\u000a +p3161 +tp3162 +a(g7 +V# currentValue is set to the header data, possibly wrapped in link-tags. +p3163 +tp3164 +a(g822 +V\u000a +p3165 +tp3166 +a(g32 +V10 +p3167 +tp3168 +a(g822 +g978 +tp3169 +a(g408 +g982 +tp3170 +a(g822 +g978 +tp3171 +a(g624 +VCASE +p3172 +tp3173 +a(g822 +V\u000a +p3174 +tp3175 +a(g32 +V10 +p3176 +tp3177 +a(g701 +g998 +tp3178 +a(g822 +VsetCurrent +p3179 +tp3180 +a(g822 +g978 +tp3181 +a(g112 +g1015 +tp3182 +a(g822 +V\u000a +p3183 +tp3184 +a(g822 +Vfield +p3185 +tp3186 +a(g822 +g978 +tp3187 +a(g408 +g982 +tp3188 +a(g822 +g978 +tp3189 +a(g822 +Vheader +p3190 +tp3191 +a(g822 +V\u000a +p3192 +tp3193 +a(g822 +VhtmlSpecialChars +p3194 +tp3195 +a(g822 +g978 +tp3196 +a(g408 +g982 +tp3197 +a(g822 +g978 +tp3198 +a(g32 +g1024 +tp3199 +a(g822 +V\u000a +p3200 +tp3201 +a(g569 +Vtypolink +p3202 +tp3203 +a(g701 +g998 +tp3204 +a(g822 +Vparameter +p3205 +tp3206 +a(g701 +g998 +tp3207 +a(g822 +Vfield +p3208 +tp3209 +a(g822 +g978 +tp3210 +a(g408 +g982 +tp3211 +a(g822 +g978 +tp3212 +a(g822 +Vheader_link +p3213 +tp3214 +a(g822 +V\u000a +p3215 +tp3216 +a(g112 +g1097 +tp3217 +a(g822 +V\u000a +p3218 +tp3219 +a(g32 +V10 +p3220 +tp3221 +a(g701 +g998 +tp3222 +a(g822 +Vkey +p3223 +tp3224 +a(g701 +g998 +tp3225 +a(g822 +Vfield +p3226 +tp3227 +a(g822 +g978 +tp3228 +a(g408 +g982 +tp3229 +a(g822 +g978 +tp3230 +a(g822 +Vheader_layout +p3231 +tp3232 +a(g822 +V\u000a +p3233 +tp3234 +a(g32 +V10 +p3235 +tp3236 +a(g701 +g998 +tp3237 +a(g822 +Vkey +p3238 +tp3239 +a(g701 +g998 +tp3240 +a(g822 +VifEmpty +p3241 +tp3242 +a(g822 +g978 +tp3243 +a(g408 +g982 +tp3244 +a(g822 +g978 +tp3245 +a(g112 +g1015 +tp3246 +a(g408 +g1391 +tp3247 +a(g561 +Vcontent. +p3248 +tp3249 +a(g561 +VdefaultHeaderType +p3250 +tp3251 +a(g112 +g1097 +tp3252 +a(g822 +V\u000a +p3253 +tp3254 +a(g32 +V10 +p3255 +tp3256 +a(g701 +g998 +tp3257 +a(g822 +Vkey +p3258 +tp3259 +a(g701 +g998 +tp3260 +a(g822 +VifEmpty +p3261 +tp3262 +a(g701 +g998 +tp3263 +a(g822 +Voverride +p3264 +tp3265 +a(g701 +g998 +tp3266 +a(g822 +Vdata +p3267 +tp3268 +a(g822 +g978 +tp3269 +a(g408 +V= +p3270 +tp3271 +a(g677 +Vregister +p3272 +tp3273 +a(g408 +g976 +tp3274 +a(g822 +g978 +tp3275 +a(g822 +VdefaultHeaderType +p3276 +tp3277 +a(g822 +V\u000a\u000a +p3278 +tp3279 +a(g32 +V10 +p3280 +tp3281 +a(g701 +g998 +tp3282 +a(g32 +g1024 +tp3283 +a(g822 +g978 +tp3284 +a(g408 +g982 +tp3285 +a(g822 +g978 +tp3286 +a(g624 +VTEXT +p3287 +tp3288 +a(g822 +V\u000a +p3289 +tp3290 +a(g32 +V10 +p3291 +tp3292 +a(g701 +g998 +tp3293 +a(g32 +g1024 +tp3294 +a(g701 +g998 +tp3295 +a(g822 +Vcurrent +p3296 +tp3297 +a(g822 +g978 +tp3298 +a(g408 +g982 +tp3299 +a(g822 +g978 +tp3300 +a(g32 +g1024 +tp3301 +a(g822 +V\u000a +p3302 +tp3303 +a(g32 +V10 +p3304 +tp3305 +a(g701 +g998 +tp3306 +a(g32 +g1024 +tp3307 +a(g701 +g998 +tp3308 +a(g822 +VdataWrap +p3309 +tp3310 +a(g822 +g978 +tp3311 +a(g408 +g982 +tp3312 +a(g822 +g978 +tp3313 +a(g84 +V +p3663 +tp3664 +a(g408 +g1370 +tp3665 +a(g84 +g972 +tp3666 +a(g84 +V/div +p3667 +tp3668 +a(g84 +g992 +tp3669 +a(g822 +V\u000a +p3670 +tp3671 +a(g569 +VstdWrap +p3672 +tp3673 +a(g701 +g998 +tp3674 +a(g822 +VprefixComment +p3675 +tp3676 +a(g822 +g978 +tp3677 +a(g408 +g982 +tp3678 +a(g822 +g978 +tp3679 +a(g32 +g1847 +tp3680 +a(g822 +g978 +tp3681 +a(g408 +g1370 +tp3682 +a(g822 +g978 +tp3683 +a(g822 +VHeader +p3684 +tp3685 +a(g408 +g976 +tp3686 +a(g822 +V\u000a +p3687 +tp3688 +a(g112 +g1097 +tp3689 +a(g822 +V\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a +p3690 +tp3691 +a(g7 +V#****************************************************** +p3692 +tp3693 +a(g822 +V\u000a +p3694 +tp3695 +a(g7 +V# Including library for processing of some elements: +p3696 +tp3697 +a(g822 +V\u000a +p3698 +tp3699 +a(g7 +V#****************************************************** +p3700 +tp3701 +a(g822 +V\u000a +p3702 +tp3703 +a(g677 +VincludeLibs +p3704 +tp3705 +a(g701 +g998 +tp3706 +a(g822 +Vtx_cssstyledcontent_pi1 +p3707 +tp3708 +a(g822 +g978 +tp3709 +a(g408 +g982 +tp3710 +a(g822 +g978 +tp3711 +a(g84 +VEXT:css_styled_content/pi1/class.tx_cssstyledcontent_pi1.php +p3712 +tp3713 +a(g822 +V\u000a\u000a\u000a +p3714 +tp3715 +a(g7 +V#********************************** +p3716 +tp3717 +a(g822 +V\u000a +p3718 +tp3719 +a(g7 +V# tt_content is started +p3720 +tp3721 +a(g822 +V\u000a +p3722 +tp3723 +a(g7 +V#********************************** +p3724 +tp3725 +a(g822 +V\u000a +p3726 +tp3727 +a(g677 +Vtt_content +p3728 +tp3729 +a(g822 +g978 +tp3730 +a(g408 +g992 +tp3731 +a(g822 +V\u000a +p3732 +tp3733 +a(g677 +Vtt_content +p3734 +tp3735 +a(g822 +g978 +tp3736 +a(g408 +g982 +tp3737 +a(g822 +g978 +tp3738 +a(g624 +VCASE +p3739 +tp3740 +a(g822 +V\u000a +p3741 +tp3742 +a(g677 +Vtt_content +p3743 +tp3744 +a(g701 +g998 +tp3745 +a(g822 +Vkey +p3746 +tp3747 +a(g701 +g998 +tp3748 +a(g822 +Vfield +p3749 +tp3750 +a(g822 +g978 +tp3751 +a(g408 +g982 +tp3752 +a(g822 +g978 +tp3753 +a(g822 +VCType +p3754 +tp3755 +a(g822 +V\u000a +p3756 +tp3757 +a(g677 +Vtt_content +p3758 +tp3759 +a(g701 +g998 +tp3760 +a(g569 +VstdWrap +p3761 +tp3762 +a(g822 +g978 +tp3763 +a(g112 +g1015 +tp3764 +a(g822 +V\u000a +p3765 +tp3766 +a(g822 +VinnerWrap +p3767 +tp3768 +a(g701 +g998 +tp3769 +a(g822 +VcObject +p3770 +tp3771 +a(g822 +g978 +tp3772 +a(g408 +g982 +tp3773 +a(g822 +g978 +tp3774 +a(g624 +VCASE +p3775 +tp3776 +a(g822 +V\u000a +p3777 +tp3778 +a(g822 +VinnerWrap +p3779 +tp3780 +a(g701 +g998 +tp3781 +a(g822 +VcObject +p3782 +tp3783 +a(g822 +g978 +tp3784 +a(g112 +g1015 +tp3785 +a(g822 +V\u000a +p3786 +tp3787 +a(g822 +Vkey +p3788 +tp3789 +a(g701 +g998 +tp3790 +a(g822 +Vfield +p3791 +tp3792 +a(g822 +g978 +tp3793 +a(g408 +g982 +tp3794 +a(g822 +g978 +tp3795 +a(g822 +Vsection_frame +p3796 +tp3797 +a(g822 +V\u000a\u000a +p3798 +tp3799 +a(g822 +Vdefault +p3800 +tp3801 +a(g822 +g978 +tp3802 +a(g408 +g982 +tp3803 +a(g822 +g978 +tp3804 +a(g624 +VCOA +p3805 +tp3806 +a(g822 +V\u000a +p3807 +tp3808 +a(g822 +Vdefault +p3809 +tp3810 +a(g822 +g978 +tp3811 +a(g112 +g1015 +tp3812 +a(g822 +V\u000a +p3813 +tp3814 +a(g32 +V10 +p3815 +tp3816 +a(g822 +g978 +tp3817 +a(g408 +g982 +tp3818 +a(g822 +g978 +tp3819 +a(g624 +VTEXT +p3820 +tp3821 +a(g822 +V\u000a +p3822 +tp3823 +a(g32 +V10 +p3824 +tp3825 +a(g822 +g978 +tp3826 +a(g112 +g1015 +tp3827 +a(g822 +V\u000a +p3828 +tp3829 +a(g822 +Vvalue +p3830 +tp3831 +a(g822 +g978 +tp3832 +a(g408 +g982 +tp3833 +a(g822 +g978 +tp3834 +a(g408 +g972 +tp3835 +a(g822 +Vdiv +p3836 +tp3837 +a(g822 +g978 +tp3838 +a(g822 +Vid +p3839 +tp3840 +a(g408 +g982 +tp3841 +a(g822 +V"c +p3842 +tp3843 +a(g112 +g1015 +tp3844 +a(g561 +Vfield +p3845 +tp3846 +a(g408 +g976 +tp3847 +a(g561 +Vuid +p3848 +tp3849 +a(g112 +g1097 +tp3850 +a(g822 +g990 +tp3851 +a(g822 +V\u000a +p3852 +tp3853 +a(g822 +Voverride +p3854 +tp3855 +a(g701 +g998 +tp3856 +a(g822 +VcObject +p3857 +tp3858 +a(g822 +g978 +tp3859 +a(g408 +g982 +tp3860 +a(g822 +g978 +tp3861 +a(g624 +VTEXT +p3862 +tp3863 +a(g822 +V\u000a +p3864 +tp3865 +a(g822 +Voverride +p3866 +tp3867 +a(g701 +g998 +tp3868 +a(g822 +VcObject +p3869 +tp3870 +a(g822 +g978 +tp3871 +a(g112 +g1015 +tp3872 +a(g822 +V\u000a +p3873 +tp3874 +a(g822 +Vvalue +p3875 +tp3876 +a(g822 +g978 +tp3877 +a(g408 +g982 +tp3878 +a(g822 +g978 +tp3879 +a(g408 +g972 +tp3880 +a(g822 +Vdiv +p3881 +tp3882 +a(g822 +V\u000a +p3883 +tp3884 +a(g569 +Vif +p3885 +tp3886 +a(g701 +g998 +tp3887 +a(g822 +Vvalue +p3888 +tp3889 +a(g822 +g978 +tp3890 +a(g408 +g982 +tp3891 +a(g822 +g978 +tp3892 +a(g822 +Vdiv +p3893 +tp3894 +a(g822 +V\u000a +p3895 +tp3896 +a(g569 +Vif +p3897 +tp3898 +a(g701 +g998 +tp3899 +a(g822 +Vequals +p3900 +tp3901 +a(g701 +g998 +tp3902 +a(g822 +Vfield +p3903 +tp3904 +a(g822 +g978 +tp3905 +a(g408 +g982 +tp3906 +a(g822 +g978 +tp3907 +a(g822 +VCType +p3908 +tp3909 +a(g822 +V\u000a +p3910 +tp3911 +a(g112 +g1097 +tp3912 +a(g822 +V\u000a +p3913 +tp3914 +a(g822 +VinsertData +p3915 +tp3916 +a(g822 +g978 +tp3917 +a(g408 +g982 +tp3918 +a(g822 +g978 +tp3919 +a(g32 +g1024 +tp3920 +a(g822 +V\u000a +p3921 +tp3922 +a(g112 +g1097 +tp3923 +a(g822 +V\u000a\u000a +p3924 +tp3925 +a(g32 +V15 +p3926 +tp3927 +a(g822 +g978 +tp3928 +a(g408 +g982 +tp3929 +a(g822 +g978 +tp3930 +a(g624 +VTEXT +p3931 +tp3932 +a(g822 +V\u000a +p3933 +tp3934 +a(g32 +V15 +p3935 +tp3936 +a(g822 +g978 +tp3937 +a(g112 +g1015 +tp3938 +a(g822 +V\u000a +p3939 +tp3940 +a(g822 +Vvalue +p3941 +tp3942 +a(g822 +g978 +tp3943 +a(g408 +g982 +tp3944 +a(g822 +g978 +tp3945 +a(g822 +Vcsc-default +p3946 +tp3947 +a(g822 +V\u000a +p3948 +tp3949 +a(g822 +VnoTrimWrap +p3950 +tp3951 +a(g822 +g978 +tp3952 +a(g408 +g982 +tp3953 +a(g822 +g978 +tp3954 +a(g408 +g1370 +tp3955 +a(g822 +g978 +tp3956 +a(g822 +Vclass +p3957 +tp3958 +a(g408 +g982 +tp3959 +a(g822 +g990 +tp3960 +a(g408 +g1370 +tp3961 +a(g822 +g990 +tp3962 +a(g822 +g978 +tp3963 +a(g408 +g1370 +tp3964 +a(g822 +V\u000a +p3965 +tp3966 +a(g822 +Vrequired +p3967 +tp3968 +a(g822 +g978 +tp3969 +a(g408 +g982 +tp3970 +a(g822 +g978 +tp3971 +a(g32 +g1024 +tp3972 +a(g822 +V\u000a +p3973 +tp3974 +a(g112 +g1097 +tp3975 +a(g822 +V\u000a\u000a +p3976 +tp3977 +a(g32 +V20 +p3978 +tp3979 +a(g822 +g978 +tp3980 +a(g408 +g982 +tp3981 +a(g822 +g978 +tp3982 +a(g624 +VCOA +p3983 +tp3984 +a(g822 +V\u000a +p3985 +tp3986 +a(g32 +V20 +p3987 +tp3988 +a(g822 +g978 +tp3989 +a(g112 +g1015 +tp3990 +a(g822 +V\u000a +p3991 +tp3992 +a(g32 +V10 +p3993 +tp3994 +a(g822 +g978 +tp3995 +a(g408 +g982 +tp3996 +a(g822 +g978 +tp3997 +a(g624 +VCOA +p3998 +tp3999 +a(g822 +V\u000a +p4000 +tp4001 +a(g32 +V10 +p4002 +tp4003 +a(g822 +g978 +tp4004 +a(g112 +g1015 +tp4005 +a(g822 +V\u000a +p4006 +tp4007 +a(g32 +V10 +p4008 +tp4009 +a(g822 +g978 +tp4010 +a(g408 +g982 +tp4011 +a(g822 +g978 +tp4012 +a(g624 +VTEXT +p4013 +tp4014 +a(g822 +V\u000a +p4015 +tp4016 +a(g32 +V10 +p4017 +tp4018 +a(g822 +g978 +tp4019 +a(g112 +g1015 +tp4020 +a(g822 +V\u000a +p4021 +tp4022 +a(g822 +Vvalue +p4023 +tp4024 +a(g822 +g978 +tp4025 +a(g408 +g982 +tp4026 +a(g822 +g978 +tp4027 +a(g112 +g1015 +tp4028 +a(g408 +g1391 +tp4029 +a(g561 +Vcontent. +p4030 +tp4031 +a(g561 +VspaceBefore +p4032 +tp4033 +a(g112 +g1097 +tp4034 +a(g822 +V\u000a +p4035 +tp4036 +a(g822 +Vwrap +p4037 +tp4038 +a(g822 +g978 +tp4039 +a(g408 +g982 +tp4040 +a(g822 +g978 +tp4041 +a(g408 +g1370 +tp4042 +a(g408 +V+ +p4043 +tp4044 +a(g822 +V\u000a +p4045 +tp4046 +a(g569 +Vif +p4047 +tp4048 +a(g701 +g998 +tp4049 +a(g822 +VisTrue +p4050 +tp4051 +a(g822 +g978 +tp4052 +a(g408 +g982 +tp4053 +a(g822 +g978 +tp4054 +a(g112 +g1015 +tp4055 +a(g408 +g1391 +tp4056 +a(g561 +Vcontent. +p4057 +tp4058 +a(g561 +VspaceBefore +p4059 +tp4060 +a(g112 +g1097 +tp4061 +a(g822 +V\u000a +p4062 +tp4063 +a(g112 +g1097 +tp4064 +a(g822 +V\u000a\u000a +p4065 +tp4066 +a(g32 +V20 +p4067 +tp4068 +a(g822 +g978 +tp4069 +a(g408 +g982 +tp4070 +a(g822 +g978 +tp4071 +a(g624 +VTEXT +p4072 +tp4073 +a(g822 +V\u000a +p4074 +tp4075 +a(g32 +V20 +p4076 +tp4077 +a(g822 +g978 +tp4078 +a(g112 +g1015 +tp4079 +a(g822 +V\u000a +p4080 +tp4081 +a(g822 +Vfield +p4082 +tp4083 +a(g822 +g978 +tp4084 +a(g408 +g982 +tp4085 +a(g822 +g978 +tp4086 +a(g822 +VspaceBefore +p4087 +tp4088 +a(g822 +V\u000a +p4089 +tp4090 +a(g112 +g1097 +tp4091 +a(g822 +V\u000a\u000a +p4092 +tp4093 +a(g569 +VstdWrap +p4094 +tp4095 +a(g822 +g978 +tp4096 +a(g112 +g1015 +tp4097 +a(g822 +V\u000a +p4098 +tp4099 +a(g822 +VprioriCalc +p4100 +tp4101 +a(g822 +g978 +tp4102 +a(g408 +g982 +tp4103 +a(g822 +g978 +tp4104 +a(g822 +Vintval +p4105 +tp4106 +a(g822 +V\u000a +p4107 +tp4108 +a(g822 +Vwrap +p4109 +tp4110 +a(g822 +g978 +tp4111 +a(g408 +g982 +tp4112 +a(g822 +g978 +tp4113 +a(g822 +Vmargin-top +p4114 +tp4115 +a(g408 +g976 +tp4116 +a(g408 +g1370 +tp4117 +a(g822 +Vpx; +p4118 +tp4119 +a(g822 +V\u000a +p4120 +tp4121 +a(g822 +Vrequired +p4122 +tp4123 +a(g822 +g978 +tp4124 +a(g408 +g982 +tp4125 +a(g822 +g978 +tp4126 +a(g32 +g1024 +tp4127 +a(g822 +V\u000a +p4128 +tp4129 +a(g822 +VifEmpty +p4130 +tp4131 +a(g701 +g998 +tp4132 +a(g822 +Vvalue +p4133 +tp4134 +a(g822 +g978 +tp4135 +a(g408 +g982 +tp4136 +a(g822 +V\u000a +p4137 +tp4138 +a(g112 +g1097 +tp4139 +a(g822 +V\u000a +p4140 +tp4141 +a(g112 +g1097 +tp4142 +a(g822 +V\u000a\u000a +p4143 +tp4144 +a(g32 +V20 +p4145 +tp4146 +a(g822 +g978 +tp4147 +a(g408 +g982 +tp4148 +a(g822 +g978 +tp4149 +a(g624 +VCOA +p4150 +tp4151 +a(g822 +V\u000a +p4152 +tp4153 +a(g32 +V20 +p4154 +tp4155 +a(g822 +g978 +tp4156 +a(g112 +g1015 +tp4157 +a(g822 +V\u000a +p4158 +tp4159 +a(g32 +V10 +p4160 +tp4161 +a(g822 +g978 +tp4162 +a(g408 +g982 +tp4163 +a(g822 +g978 +tp4164 +a(g624 +VTEXT +p4165 +tp4166 +a(g822 +V\u000a +p4167 +tp4168 +a(g32 +V10 +p4169 +tp4170 +a(g822 +g978 +tp4171 +a(g112 +g1015 +tp4172 +a(g822 +V\u000a +p4173 +tp4174 +a(g822 +Vvalue +p4175 +tp4176 +a(g822 +g978 +tp4177 +a(g408 +g982 +tp4178 +a(g822 +g978 +tp4179 +a(g112 +g1015 +tp4180 +a(g408 +g1391 +tp4181 +a(g561 +Vcontent. +p4182 +tp4183 +a(g561 +VspaceAfter +p4184 +tp4185 +a(g112 +g1097 +tp4186 +a(g822 +V\u000a +p4187 +tp4188 +a(g822 +Vwrap +p4189 +tp4190 +a(g822 +g978 +tp4191 +a(g408 +g982 +tp4192 +a(g822 +g978 +tp4193 +a(g408 +g1370 +tp4194 +a(g408 +g4043 +tp4195 +a(g822 +V\u000a +p4196 +tp4197 +a(g569 +Vif +p4198 +tp4199 +a(g701 +g998 +tp4200 +a(g822 +VisTrue +p4201 +tp4202 +a(g822 +g978 +tp4203 +a(g408 +g982 +tp4204 +a(g822 +g978 +tp4205 +a(g112 +g1015 +tp4206 +a(g408 +g1391 +tp4207 +a(g561 +Vcontent. +p4208 +tp4209 +a(g561 +VspaceAfter +p4210 +tp4211 +a(g112 +g1097 +tp4212 +a(g822 +V\u000a +p4213 +tp4214 +a(g112 +g1097 +tp4215 +a(g822 +V\u000a\u000a +p4216 +tp4217 +a(g32 +V20 +p4218 +tp4219 +a(g822 +g978 +tp4220 +a(g408 +g982 +tp4221 +a(g822 +g978 +tp4222 +a(g624 +VTEXT +p4223 +tp4224 +a(g822 +V\u000a +p4225 +tp4226 +a(g32 +V20 +p4227 +tp4228 +a(g822 +g978 +tp4229 +a(g112 +g1015 +tp4230 +a(g822 +V\u000a +p4231 +tp4232 +a(g822 +Vfield +p4233 +tp4234 +a(g822 +g978 +tp4235 +a(g408 +g982 +tp4236 +a(g822 +g978 +tp4237 +a(g822 +VspaceAfter +p4238 +tp4239 +a(g822 +V\u000a +p4240 +tp4241 +a(g112 +g1097 +tp4242 +a(g822 +V\u000a\u000a +p4243 +tp4244 +a(g569 +VstdWrap +p4245 +tp4246 +a(g822 +g978 +tp4247 +a(g112 +g1015 +tp4248 +a(g822 +V\u000a +p4249 +tp4250 +a(g822 +VprioriCalc +p4251 +tp4252 +a(g822 +g978 +tp4253 +a(g408 +g982 +tp4254 +a(g822 +g978 +tp4255 +a(g822 +Vintval +p4256 +tp4257 +a(g822 +V\u000a +p4258 +tp4259 +a(g822 +Vwrap +p4260 +tp4261 +a(g822 +g978 +tp4262 +a(g408 +g982 +tp4263 +a(g822 +g978 +tp4264 +a(g822 +Vmargin-bottom +p4265 +tp4266 +a(g408 +g976 +tp4267 +a(g408 +g1370 +tp4268 +a(g822 +Vpx; +p4269 +tp4270 +a(g822 +V\u000a +p4271 +tp4272 +a(g822 +Vrequired +p4273 +tp4274 +a(g822 +g978 +tp4275 +a(g408 +g982 +tp4276 +a(g822 +g978 +tp4277 +a(g32 +g1024 +tp4278 +a(g822 +V\u000a +p4279 +tp4280 +a(g822 +VifEmpty +p4281 +tp4282 +a(g701 +g998 +tp4283 +a(g822 +Vvalue +p4284 +tp4285 +a(g822 +g978 +tp4286 +a(g408 +g982 +tp4287 +a(g822 +V\u000a +p4288 +tp4289 +a(g112 +g1097 +tp4290 +a(g822 +V\u000a +p4291 +tp4292 +a(g112 +g1097 +tp4293 +a(g822 +V\u000a\u000a +p4294 +tp4295 +a(g569 +VstdWrap +p4296 +tp4297 +a(g701 +g998 +tp4298 +a(g822 +VnoTrimWrap +p4299 +tp4300 +a(g822 +g978 +tp4301 +a(g408 +g982 +tp4302 +a(g822 +g978 +tp4303 +a(g408 +g1370 +tp4304 +a(g822 +g978 +tp4305 +a(g822 +Vstyle +p4306 +tp4307 +a(g408 +g982 +tp4308 +a(g822 +g990 +tp4309 +a(g408 +g1370 +tp4310 +a(g822 +g990 +tp4311 +a(g822 +g978 +tp4312 +a(g408 +g1370 +tp4313 +a(g822 +V\u000a +p4314 +tp4315 +a(g569 +VstdWrap +p4316 +tp4317 +a(g701 +g998 +tp4318 +a(g822 +Vrequired +p4319 +tp4320 +a(g822 +g978 +tp4321 +a(g408 +g982 +tp4322 +a(g822 +g978 +tp4323 +a(g32 +g1024 +tp4324 +a(g822 +V\u000a +p4325 +tp4326 +a(g112 +g1097 +tp4327 +a(g822 +V\u000a +p4328 +tp4329 +a(g32 +V30 +p4330 +tp4331 +a(g822 +g978 +tp4332 +a(g408 +g982 +tp4333 +a(g822 +g978 +tp4334 +a(g624 +VTEXT +p4335 +tp4336 +a(g822 +V\u000a +p4337 +tp4338 +a(g32 +V30 +p4339 +tp4340 +a(g701 +g998 +tp4341 +a(g822 +Vvalue +p4342 +tp4343 +a(g822 +g978 +tp4344 +a(g408 +g982 +tp4345 +a(g822 +g978 +tp4346 +a(g408 +g992 +tp4347 +a(g408 +g1370 +tp4348 +a(g84 +g972 +tp4349 +a(g84 +V/div +p4350 +tp4351 +a(g84 +g992 +tp4352 +a(g822 +V\u000a +p4353 +tp4354 +a(g112 +g1097 +tp4355 +a(g822 +V\u000a\u000a +p4356 +tp4357 +a(g32 +g1024 +tp4358 +a(g822 +g978 +tp4359 +a(g408 +V=< +p4360 +tp4361 +a(g677 +Vtt_content +p4362 +tp4363 +a(g701 +g998 +tp4364 +a(g569 +VstdWrap +p4365 +tp4366 +a(g701 +g998 +tp4367 +a(g822 +VinnerWrap +p4368 +tp4369 +a(g701 +g998 +tp4370 +a(g822 +VcObject +p4371 +tp4372 +a(g701 +g998 +tp4373 +a(g822 +Vdefault +p4374 +tp4375 +a(g822 +V\u000a +p4376 +tp4377 +a(g32 +g1024 +tp4378 +a(g701 +g998 +tp4379 +a(g32 +V15 +p4380 +tp4381 +a(g701 +g998 +tp4382 +a(g822 +Vvalue +p4383 +tp4384 +a(g822 +g978 +tp4385 +a(g408 +g982 +tp4386 +a(g822 +g978 +tp4387 +a(g822 +Vcsc-frame +p4388 +tp4389 +a(g822 +g978 +tp4390 +a(g822 +Vcsc-frame-invisible +p4391 +tp4392 +a(g822 +V\u000a\u000a +p4393 +tp4394 +a(g32 +g3068 +tp4395 +a(g822 +g978 +tp4396 +a(g408 +V=< +p4397 +tp4398 +a(g677 +Vtt_content +p4399 +tp4400 +a(g701 +g998 +tp4401 +a(g569 +VstdWrap +p4402 +tp4403 +a(g701 +g998 +tp4404 +a(g822 +VinnerWrap +p4405 +tp4406 +a(g701 +g998 +tp4407 +a(g822 +VcObject +p4408 +tp4409 +a(g701 +g998 +tp4410 +a(g822 +Vdefault +p4411 +tp4412 +a(g822 +V\u000a +p4413 +tp4414 +a(g32 +g3068 +tp4415 +a(g701 +g998 +tp4416 +a(g32 +V15 +p4417 +tp4418 +a(g701 +g998 +tp4419 +a(g822 +Vvalue +p4420 +tp4421 +a(g822 +g978 +tp4422 +a(g408 +g982 +tp4423 +a(g822 +g978 +tp4424 +a(g822 +Vcsc-frame +p4425 +tp4426 +a(g822 +g978 +tp4427 +a(g822 +Vcsc-frame-rulerBefore +p4428 +tp4429 +a(g822 +V\u000a\u000a +p4430 +tp4431 +a(g32 +V6 +p4432 +tp4433 +a(g822 +g978 +tp4434 +a(g408 +V=< +p4435 +tp4436 +a(g677 +Vtt_content +p4437 +tp4438 +a(g701 +g998 +tp4439 +a(g569 +VstdWrap +p4440 +tp4441 +a(g701 +g998 +tp4442 +a(g822 +VinnerWrap +p4443 +tp4444 +a(g701 +g998 +tp4445 +a(g822 +VcObject +p4446 +tp4447 +a(g701 +g998 +tp4448 +a(g822 +Vdefault +p4449 +tp4450 +a(g822 +V\u000a +p4451 +tp4452 +a(g32 +g4432 +tp4453 +a(g701 +g998 +tp4454 +a(g32 +V15 +p4455 +tp4456 +a(g701 +g998 +tp4457 +a(g822 +Vvalue +p4458 +tp4459 +a(g822 +g978 +tp4460 +a(g408 +g982 +tp4461 +a(g822 +g978 +tp4462 +a(g822 +Vcsc-frame +p4463 +tp4464 +a(g822 +g978 +tp4465 +a(g822 +Vcsc-frame-rulerAfter +p4466 +tp4467 +a(g822 +V\u000a\u000a +p4468 +tp4469 +a(g32 +V10 +p4470 +tp4471 +a(g822 +g978 +tp4472 +a(g408 +V=< +p4473 +tp4474 +a(g677 +Vtt_content +p4475 +tp4476 +a(g701 +g998 +tp4477 +a(g569 +VstdWrap +p4478 +tp4479 +a(g701 +g998 +tp4480 +a(g822 +VinnerWrap +p4481 +tp4482 +a(g701 +g998 +tp4483 +a(g822 +VcObject +p4484 +tp4485 +a(g701 +g998 +tp4486 +a(g822 +Vdefault +p4487 +tp4488 +a(g822 +V\u000a +p4489 +tp4490 +a(g32 +V10 +p4491 +tp4492 +a(g701 +g998 +tp4493 +a(g32 +V15 +p4494 +tp4495 +a(g701 +g998 +tp4496 +a(g822 +Vvalue +p4497 +tp4498 +a(g822 +g978 +tp4499 +a(g408 +g982 +tp4500 +a(g822 +g978 +tp4501 +a(g822 +Vcsc-frame +p4502 +tp4503 +a(g822 +g978 +tp4504 +a(g822 +Vcsc-frame-indent +p4505 +tp4506 +a(g822 +V\u000a\u000a +p4507 +tp4508 +a(g32 +V11 +p4509 +tp4510 +a(g822 +g978 +tp4511 +a(g408 +V=< +p4512 +tp4513 +a(g677 +Vtt_content +p4514 +tp4515 +a(g701 +g998 +tp4516 +a(g569 +VstdWrap +p4517 +tp4518 +a(g701 +g998 +tp4519 +a(g822 +VinnerWrap +p4520 +tp4521 +a(g701 +g998 +tp4522 +a(g822 +VcObject +p4523 +tp4524 +a(g701 +g998 +tp4525 +a(g822 +Vdefault +p4526 +tp4527 +a(g822 +V\u000a +p4528 +tp4529 +a(g32 +V11 +p4530 +tp4531 +a(g701 +g998 +tp4532 +a(g32 +V15 +p4533 +tp4534 +a(g701 +g998 +tp4535 +a(g822 +Vvalue +p4536 +tp4537 +a(g822 +g978 +tp4538 +a(g408 +g982 +tp4539 +a(g822 +g978 +tp4540 +a(g822 +Vcsc-frame +p4541 +tp4542 +a(g822 +g978 +tp4543 +a(g822 +Vcsc-frame-indent3366 +p4544 +tp4545 +a(g822 +V\u000a\u000a +p4546 +tp4547 +a(g32 +V12 +p4548 +tp4549 +a(g822 +g978 +tp4550 +a(g408 +V=< +p4551 +tp4552 +a(g677 +Vtt_content +p4553 +tp4554 +a(g701 +g998 +tp4555 +a(g569 +VstdWrap +p4556 +tp4557 +a(g701 +g998 +tp4558 +a(g822 +VinnerWrap +p4559 +tp4560 +a(g701 +g998 +tp4561 +a(g822 +VcObject +p4562 +tp4563 +a(g701 +g998 +tp4564 +a(g822 +Vdefault +p4565 +tp4566 +a(g822 +V\u000a +p4567 +tp4568 +a(g32 +V12 +p4569 +tp4570 +a(g701 +g998 +tp4571 +a(g32 +V15 +p4572 +tp4573 +a(g701 +g998 +tp4574 +a(g822 +Vvalue +p4575 +tp4576 +a(g822 +g978 +tp4577 +a(g408 +g982 +tp4578 +a(g822 +g978 +tp4579 +a(g822 +Vcsc-frame +p4580 +tp4581 +a(g822 +g978 +tp4582 +a(g822 +Vcsc-frame-indent6633 +p4583 +tp4584 +a(g822 +V\u000a\u000a +p4585 +tp4586 +a(g32 +V20 +p4587 +tp4588 +a(g822 +g978 +tp4589 +a(g408 +V=< +p4590 +tp4591 +a(g677 +Vtt_content +p4592 +tp4593 +a(g701 +g998 +tp4594 +a(g569 +VstdWrap +p4595 +tp4596 +a(g701 +g998 +tp4597 +a(g822 +VinnerWrap +p4598 +tp4599 +a(g701 +g998 +tp4600 +a(g822 +VcObject +p4601 +tp4602 +a(g701 +g998 +tp4603 +a(g822 +Vdefault +p4604 +tp4605 +a(g822 +V\u000a +p4606 +tp4607 +a(g32 +V20 +p4608 +tp4609 +a(g701 +g998 +tp4610 +a(g32 +V15 +p4611 +tp4612 +a(g701 +g998 +tp4613 +a(g822 +Vvalue +p4614 +tp4615 +a(g822 +g978 +tp4616 +a(g408 +g982 +tp4617 +a(g822 +g978 +tp4618 +a(g822 +Vcsc-frame +p4619 +tp4620 +a(g822 +g978 +tp4621 +a(g822 +Vcsc-frame-frame1 +p4622 +tp4623 +a(g822 +V\u000a\u000a +p4624 +tp4625 +a(g32 +V21 +p4626 +tp4627 +a(g822 +g978 +tp4628 +a(g408 +V=< +p4629 +tp4630 +a(g677 +Vtt_content +p4631 +tp4632 +a(g701 +g998 +tp4633 +a(g569 +VstdWrap +p4634 +tp4635 +a(g701 +g998 +tp4636 +a(g822 +VinnerWrap +p4637 +tp4638 +a(g701 +g998 +tp4639 +a(g822 +VcObject +p4640 +tp4641 +a(g701 +g998 +tp4642 +a(g822 +Vdefault +p4643 +tp4644 +a(g822 +V\u000a +p4645 +tp4646 +a(g32 +V21 +p4647 +tp4648 +a(g701 +g998 +tp4649 +a(g32 +V15 +p4650 +tp4651 +a(g701 +g998 +tp4652 +a(g822 +Vvalue +p4653 +tp4654 +a(g822 +g978 +tp4655 +a(g408 +g982 +tp4656 +a(g822 +g978 +tp4657 +a(g822 +Vcsc-frame +p4658 +tp4659 +a(g822 +g978 +tp4660 +a(g822 +Vcsc-frame-frame2 +p4661 +tp4662 +a(g822 +V\u000a\u000a +p4663 +tp4664 +a(g32 +V66 +p4665 +tp4666 +a(g822 +g978 +tp4667 +a(g408 +g982 +tp4668 +a(g822 +g978 +tp4669 +a(g624 +VCOA +p4670 +tp4671 +a(g822 +V\u000a +p4672 +tp4673 +a(g32 +V66 +p4674 +tp4675 +a(g822 +g978 +tp4676 +a(g112 +g1015 +tp4677 +a(g822 +V\u000a +p4678 +tp4679 +a(g32 +V10 +p4680 +tp4681 +a(g822 +g978 +tp4682 +a(g408 +g982 +tp4683 +a(g822 +g978 +tp4684 +a(g624 +VTEXT +p4685 +tp4686 +a(g822 +V\u000a +p4687 +tp4688 +a(g32 +V10 +p4689 +tp4690 +a(g822 +g978 +tp4691 +a(g112 +g1015 +tp4692 +a(g822 +V\u000a +p4693 +tp4694 +a(g822 +Vvalue +p4695 +tp4696 +a(g822 +g978 +tp4697 +a(g408 +g982 +tp4698 +a(g822 +g978 +tp4699 +a(g84 +V +p4709 +tp4710 +a(g84 +g972 +tp4711 +a(g84 +V/a +p4712 +tp4713 +a(g84 +g992 +tp4714 +a(g822 +V\u000a +p4715 +tp4716 +a(g822 +VinsertData +p4717 +tp4718 +a(g822 +g978 +tp4719 +a(g408 +g982 +tp4720 +a(g822 +g978 +tp4721 +a(g32 +g1024 +tp4722 +a(g822 +V\u000a +p4723 +tp4724 +a(g112 +g1097 +tp4725 +a(g822 +V\u000a\u000a +p4726 +tp4727 +a(g32 +V20 +p4728 +tp4729 +a(g822 +g978 +tp4730 +a(g408 +g982 +tp4731 +a(g822 +g978 +tp4732 +a(g624 +VCOA +p4733 +tp4734 +a(g822 +V\u000a +p4735 +tp4736 +a(g32 +V20 +p4737 +tp4738 +a(g822 +g978 +tp4739 +a(g112 +g1015 +tp4740 +a(g822 +V\u000a +p4741 +tp4742 +a(g32 +V10 +p4743 +tp4744 +a(g822 +g978 +tp4745 +a(g408 +g982 +tp4746 +a(g822 +g978 +tp4747 +a(g624 +VTEXT +p4748 +tp4749 +a(g822 +V\u000a +p4750 +tp4751 +a(g32 +V10 +p4752 +tp4753 +a(g822 +g978 +tp4754 +a(g112 +g1015 +tp4755 +a(g822 +V\u000a +p4756 +tp4757 +a(g822 +Vvalue +p4758 +tp4759 +a(g822 +g978 +tp4760 +a(g408 +g982 +tp4761 +a(g822 +g978 +tp4762 +a(g112 +g1015 +tp4763 +a(g408 +g1391 +tp4764 +a(g561 +Vcontent. +p4765 +tp4766 +a(g561 +VspaceBefore +p4767 +tp4768 +a(g112 +g1097 +tp4769 +a(g822 +V\u000a +p4770 +tp4771 +a(g822 +Vwrap +p4772 +tp4773 +a(g822 +g978 +tp4774 +a(g408 +g982 +tp4775 +a(g822 +g978 +tp4776 +a(g408 +g1370 +tp4777 +a(g408 +g4043 +tp4778 +a(g822 +V\u000a +p4779 +tp4780 +a(g569 +Vif +p4781 +tp4782 +a(g701 +g998 +tp4783 +a(g822 +VisTrue +p4784 +tp4785 +a(g822 +g978 +tp4786 +a(g408 +g982 +tp4787 +a(g822 +g978 +tp4788 +a(g112 +g1015 +tp4789 +a(g408 +g1391 +tp4790 +a(g561 +Vcontent. +p4791 +tp4792 +a(g561 +VspaceBefore +p4793 +tp4794 +a(g112 +g1097 +tp4795 +a(g822 +V\u000a +p4796 +tp4797 +a(g112 +g1097 +tp4798 +a(g822 +V\u000a\u000a +p4799 +tp4800 +a(g32 +V20 +p4801 +tp4802 +a(g822 +g978 +tp4803 +a(g408 +g982 +tp4804 +a(g822 +g978 +tp4805 +a(g624 +VTEXT +p4806 +tp4807 +a(g822 +V\u000a +p4808 +tp4809 +a(g32 +V20 +p4810 +tp4811 +a(g822 +g978 +tp4812 +a(g112 +g1015 +tp4813 +a(g822 +V\u000a +p4814 +tp4815 +a(g822 +Vfield +p4816 +tp4817 +a(g822 +g978 +tp4818 +a(g408 +g982 +tp4819 +a(g822 +g978 +tp4820 +a(g822 +VspaceBefore +p4821 +tp4822 +a(g822 +V\u000a +p4823 +tp4824 +a(g112 +g1097 +tp4825 +a(g822 +V\u000a\u000a +p4826 +tp4827 +a(g569 +VstdWrap +p4828 +tp4829 +a(g822 +g978 +tp4830 +a(g112 +g1015 +tp4831 +a(g822 +V\u000a +p4832 +tp4833 +a(g822 +VprioriCalc +p4834 +tp4835 +a(g822 +g978 +tp4836 +a(g408 +g982 +tp4837 +a(g822 +g978 +tp4838 +a(g822 +Vintval +p4839 +tp4840 +a(g822 +V\u000a +p4841 +tp4842 +a(g822 +Vwrap +p4843 +tp4844 +a(g822 +g978 +tp4845 +a(g408 +g982 +tp4846 +a(g822 +g978 +tp4847 +a(g822 +Vmargin-top +p4848 +tp4849 +a(g408 +g976 +tp4850 +a(g408 +g1370 +tp4851 +a(g822 +Vpx; +p4852 +tp4853 +a(g822 +V\u000a +p4854 +tp4855 +a(g822 +Vrequired +p4856 +tp4857 +a(g822 +g978 +tp4858 +a(g408 +g982 +tp4859 +a(g822 +g978 +tp4860 +a(g32 +g1024 +tp4861 +a(g822 +V\u000a +p4862 +tp4863 +a(g822 +VifEmpty +p4864 +tp4865 +a(g701 +g998 +tp4866 +a(g822 +Vvalue +p4867 +tp4868 +a(g822 +g978 +tp4869 +a(g408 +g982 +tp4870 +a(g822 +V\u000a +p4871 +tp4872 +a(g822 +Vwrap2 +p4873 +tp4874 +a(g822 +g978 +tp4875 +a(g408 +g982 +tp4876 +a(g822 +g978 +tp4877 +a(g84 +g972 +tp4878 +a(g84 +Vdiv +p4879 +tp4880 +a(g822 +g978 +tp4881 +a(g84 +Vstyle +p4882 +tp4883 +a(g84 +g982 +tp4884 +a(g84 +g990 +tp4885 +a(g84 +g1370 +tp4886 +a(g84 +g990 +tp4887 +a(g84 +g992 +tp4888 +a(g84 +g972 +tp4889 +a(g84 +V/div +p4890 +tp4891 +a(g84 +g992 +tp4892 +a(g822 +V\u000a +p4893 +tp4894 +a(g112 +g1097 +tp4895 +a(g822 +V\u000a +p4896 +tp4897 +a(g112 +g1097 +tp4898 +a(g822 +V\u000a\u000a +p4899 +tp4900 +a(g32 +V30 +p4901 +tp4902 +a(g822 +g978 +tp4903 +a(g408 +g982 +tp4904 +a(g822 +g978 +tp4905 +a(g624 +VTEXT +p4906 +tp4907 +a(g822 +V\u000a +p4908 +tp4909 +a(g32 +V30 +p4910 +tp4911 +a(g822 +g978 +tp4912 +a(g112 +g1015 +tp4913 +a(g822 +V\u000a +p4914 +tp4915 +a(g822 +Vvalue +p4916 +tp4917 +a(g822 +g978 +tp4918 +a(g408 +g982 +tp4919 +a(g822 +g978 +tp4920 +a(g408 +g1370 +tp4921 +a(g822 +V\u000a +p4922 +tp4923 +a(g112 +g1097 +tp4924 +a(g822 +V\u000a\u000a +p4925 +tp4926 +a(g32 +V40 +p4927 +tp4928 +a(g822 +g978 +tp4929 +a(g408 +g972 +tp4930 +a(g822 +g978 +tp4931 +a(g701 +g998 +tp4932 +a(g32 +V20 +p4933 +tp4934 +a(g822 +V\u000a +p4935 +tp4936 +a(g32 +V40 +p4937 +tp4938 +a(g822 +g978 +tp4939 +a(g112 +g1015 +tp4940 +a(g822 +V\u000a +p4941 +tp4942 +a(g32 +V10 +p4943 +tp4944 +a(g822 +g978 +tp4945 +a(g112 +g1015 +tp4946 +a(g822 +V\u000a +p4947 +tp4948 +a(g822 +Vvalue +p4949 +tp4950 +a(g822 +g978 +tp4951 +a(g408 +g982 +tp4952 +a(g822 +g978 +tp4953 +a(g112 +g1015 +tp4954 +a(g408 +g1391 +tp4955 +a(g561 +Vcontent. +p4956 +tp4957 +a(g561 +VspaceAfter +p4958 +tp4959 +a(g112 +g1097 +tp4960 +a(g822 +V\u000a +p4961 +tp4962 +a(g569 +Vif +p4963 +tp4964 +a(g701 +g998 +tp4965 +a(g822 +VisTrue +p4966 +tp4967 +a(g822 +g978 +tp4968 +a(g408 +g982 +tp4969 +a(g822 +g978 +tp4970 +a(g112 +g1015 +tp4971 +a(g408 +g1391 +tp4972 +a(g561 +Vcontent. +p4973 +tp4974 +a(g561 +VspaceAfter +p4975 +tp4976 +a(g112 +g1097 +tp4977 +a(g822 +V\u000a +p4978 +tp4979 +a(g112 +g1097 +tp4980 +a(g822 +V\u000a +p4981 +tp4982 +a(g32 +V20 +p4983 +tp4984 +a(g701 +g998 +tp4985 +a(g822 +Vfield +p4986 +tp4987 +a(g822 +g978 +tp4988 +a(g408 +g982 +tp4989 +a(g822 +g978 +tp4990 +a(g822 +VspaceAfter +p4991 +tp4992 +a(g822 +V\u000a +p4993 +tp4994 +a(g569 +VstdWrap +p4995 +tp4996 +a(g701 +g998 +tp4997 +a(g822 +Vwrap +p4998 +tp4999 +a(g822 +g978 +tp5000 +a(g408 +g982 +tp5001 +a(g822 +g978 +tp5002 +a(g822 +Vmargin-bottom +p5003 +tp5004 +a(g408 +g976 +tp5005 +a(g408 +g1370 +tp5006 +a(g822 +Vpx; +p5007 +tp5008 +a(g822 +V\u000a +p5009 +tp5010 +a(g112 +g1097 +tp5011 +a(g822 +V\u000a +p5012 +tp5013 +a(g112 +g1097 +tp5014 +a(g822 +V\u000a\u000a +p5015 +tp5016 +a(g112 +g1097 +tp5017 +a(g822 +V\u000a\u000a +p5018 +tp5019 +a(g822 +VinnerWrap2 +p5020 +tp5021 +a(g822 +g978 +tp5022 +a(g408 +g982 +tp5023 +a(g822 +g978 +tp5024 +a(g408 +g1370 +tp5025 +a(g822 +g978 +tp5026 +a(g84 +g972 +tp5027 +a(g84 +g2758 +tp5028 +a(g822 +g978 +tp5029 +a(g84 +Vclass +p5030 +tp5031 +a(g84 +g982 +tp5032 +a(g84 +V"csc-linkToTop" +p5033 +tp5034 +a(g84 +g992 +tp5035 +a(g84 +g972 +tp5036 +a(g84 +Va +p5037 +tp5038 +a(g822 +g978 +tp5039 +a(g84 +Vhref +p5040 +tp5041 +a(g84 +g982 +tp5042 +a(g84 +V"#" +p5043 +tp5044 +a(g84 +g992 +tp5045 +a(g112 +g1015 +tp5046 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:label.toTop +p5047 +tp5048 +a(g112 +g1097 +tp5049 +a(g84 +g972 +tp5050 +a(g84 +V/a +p5051 +tp5052 +a(g84 +g992 +tp5053 +a(g84 +g972 +tp5054 +a(g84 +V/p +p5055 +tp5056 +a(g84 +g992 +tp5057 +a(g822 +V\u000a +p5058 +tp5059 +a(g822 +VinnerWrap2 +p5060 +tp5061 +a(g701 +g998 +tp5062 +a(g822 +VinsertData +p5063 +tp5064 +a(g822 +g978 +tp5065 +a(g408 +g982 +tp5066 +a(g822 +g978 +tp5067 +a(g32 +g1024 +tp5068 +a(g822 +V\u000a +p5069 +tp5070 +a(g822 +VinnerWrap2 +p5071 +tp5072 +a(g701 +g998 +tp5073 +a(g822 +VfieldRequired +p5074 +tp5075 +a(g822 +g978 +tp5076 +a(g408 +g982 +tp5077 +a(g822 +g978 +tp5078 +a(g822 +VlinkToTop +p5079 +tp5080 +a(g822 +V\u000a\u000a +p5081 +tp5082 +a(g822 +Vprepend +p5083 +tp5084 +a(g822 +g978 +tp5085 +a(g408 +g982 +tp5086 +a(g822 +g978 +tp5087 +a(g624 +VTEXT +p5088 +tp5089 +a(g822 +V\u000a +p5090 +tp5091 +a(g822 +Vprepend +p5092 +tp5093 +a(g701 +g998 +tp5094 +a(g822 +VdataWrap +p5095 +tp5096 +a(g822 +g978 +tp5097 +a(g408 +g982 +tp5098 +a(g822 +g978 +tp5099 +a(g84 +V +p5109 +tp5110 +a(g84 +g972 +tp5111 +a(g84 +V/a +p5112 +tp5113 +a(g84 +g992 +tp5114 +a(g822 +V\u000a +p5115 +tp5116 +a(g822 +Vprepend +p5117 +tp5118 +a(g701 +g998 +tp5119 +a(g569 +Vif +p5120 +tp5121 +a(g701 +g998 +tp5122 +a(g822 +VisTrue +p5123 +tp5124 +a(g701 +g998 +tp5125 +a(g822 +Vfield +p5126 +tp5127 +a(g822 +g978 +tp5128 +a(g408 +g982 +tp5129 +a(g822 +g978 +tp5130 +a(g822 +V_LOCALIZED_UID +p5131 +tp5132 +a(g822 +V\u000a\u000a +p5133 +tp5134 +a(g822 +VeditPanel +p5135 +tp5136 +a(g822 +g978 +tp5137 +a(g408 +g982 +tp5138 +a(g822 +g978 +tp5139 +a(g32 +g1024 +tp5140 +a(g822 +V\u000a +p5141 +tp5142 +a(g822 +VeditPanel +p5143 +tp5144 +a(g822 +g978 +tp5145 +a(g112 +g1015 +tp5146 +a(g822 +V\u000a +p5147 +tp5148 +a(g822 +Vallow +p5149 +tp5150 +a(g822 +g978 +tp5151 +a(g408 +g982 +tp5152 +a(g822 +g978 +tp5153 +a(g822 +Vmove +p5154 +tp5155 +a(g701 +g2004 +tp5156 +a(g822 +Vnew +p5157 +tp5158 +a(g701 +g2004 +tp5159 +a(g822 +Vedit +p5160 +tp5161 +a(g701 +g2004 +tp5162 +a(g822 +Vhide +p5163 +tp5164 +a(g701 +g2004 +tp5165 +a(g822 +Vdelete +p5166 +tp5167 +a(g822 +V\u000a +p5168 +tp5169 +a(g822 +Vline +p5170 +tp5171 +a(g822 +g978 +tp5172 +a(g408 +g982 +tp5173 +a(g822 +g978 +tp5174 +a(g32 +g3068 +tp5175 +a(g822 +V\u000a +p5176 +tp5177 +a(g822 +Vlabel +p5178 +tp5179 +a(g822 +g978 +tp5180 +a(g408 +g982 +tp5181 +a(g822 +g978 +tp5182 +a(g408 +g2040 +tp5183 +a(g822 +g2042 +tp5184 +a(g822 +V\u000a +p5185 +tp5186 +a(g822 +VonlyCurrentPid +p5187 +tp5188 +a(g822 +g978 +tp5189 +a(g408 +g982 +tp5190 +a(g822 +g978 +tp5191 +a(g32 +g1024 +tp5192 +a(g822 +V\u000a +p5193 +tp5194 +a(g822 +VpreviewBorder +p5195 +tp5196 +a(g822 +g978 +tp5197 +a(g408 +g982 +tp5198 +a(g822 +g978 +tp5199 +a(g32 +g3416 +tp5200 +a(g822 +V\u000a +p5201 +tp5202 +a(g822 +Vedit +p5203 +tp5204 +a(g701 +g998 +tp5205 +a(g822 +VdisplayRecord +p5206 +tp5207 +a(g822 +g978 +tp5208 +a(g408 +g982 +tp5209 +a(g822 +g978 +tp5210 +a(g32 +g1024 +tp5211 +a(g822 +V\u000a +p5212 +tp5213 +a(g112 +g1097 +tp5214 +a(g822 +V\u000a\u000a +p5215 +tp5216 +a(g822 +VprefixComment +p5217 +tp5218 +a(g822 +g978 +tp5219 +a(g408 +g982 +tp5220 +a(g822 +g978 +tp5221 +a(g32 +g1024 +tp5222 +a(g822 +g978 +tp5223 +a(g408 +g1370 +tp5224 +a(g822 +g978 +tp5225 +a(g624 +VCONTENT +p5226 +tp5227 +a(g822 +g978 +tp5228 +a(g822 +VELEMENT +p5229 +tp5230 +a(g701 +g2004 +tp5231 +a(g822 +g978 +tp5232 +a(g822 +Vuid +p5233 +tp5234 +a(g408 +g976 +tp5235 +a(g112 +g1015 +tp5236 +a(g561 +Vfield +p5237 +tp5238 +a(g408 +g976 +tp5239 +a(g561 +Vuid +p5240 +tp5241 +a(g112 +g1097 +tp5242 +a(g822 +V/ +p5243 +tp5244 +a(g112 +g1015 +tp5245 +a(g561 +Vfield +p5246 +tp5247 +a(g408 +g976 +tp5248 +a(g561 +VCType +p5249 +tp5250 +a(g112 +g1097 +tp5251 +a(g822 +V\u000a +p5252 +tp5253 +a(g112 +g1097 +tp5254 +a(g822 +V\u000a\u000a\u000a\u000a +p5255 +tp5256 +a(g7 +V# ***************** +p5257 +tp5258 +a(g822 +V\u000a +p5259 +tp5260 +a(g7 +V# CType: header +p5261 +tp5262 +a(g822 +V\u000a +p5263 +tp5264 +a(g7 +V# ***************** +p5265 +tp5266 +a(g822 +V\u000a +p5267 +tp5268 +a(g7 +V# See Object path "lib.stdheader" +p5269 +tp5270 +a(g822 +V\u000a +p5271 +tp5272 +a(g677 +Vtt_content +p5273 +tp5274 +a(g701 +g998 +tp5275 +a(g822 +Vheader +p5276 +tp5277 +a(g822 +g978 +tp5278 +a(g408 +g982 +tp5279 +a(g822 +g978 +tp5280 +a(g624 +VCOA +p5281 +tp5282 +a(g822 +V\u000a +p5283 +tp5284 +a(g677 +Vtt_content +p5285 +tp5286 +a(g701 +g998 +tp5287 +a(g822 +Vheader +p5288 +tp5289 +a(g822 +g978 +tp5290 +a(g112 +g1015 +tp5291 +a(g822 +V\u000a +p5292 +tp5293 +a(g32 +V10 +p5294 +tp5295 +a(g822 +g978 +tp5296 +a(g408 +V= < +p5297 +tp5298 +a(g677 +Vlib +p5299 +tp5300 +a(g701 +g998 +tp5301 +a(g822 +Vstdheader +p5302 +tp5303 +a(g822 +V\u000a\u000a +p5304 +tp5305 +a(g32 +V20 +p5306 +tp5307 +a(g822 +g978 +tp5308 +a(g408 +g982 +tp5309 +a(g822 +g978 +tp5310 +a(g624 +VTEXT +p5311 +tp5312 +a(g822 +V\u000a +p5313 +tp5314 +a(g32 +V20 +p5315 +tp5316 +a(g822 +g978 +tp5317 +a(g112 +g1015 +tp5318 +a(g822 +V\u000a +p5319 +tp5320 +a(g822 +Vfield +p5321 +tp5322 +a(g822 +g978 +tp5323 +a(g408 +g982 +tp5324 +a(g822 +g978 +tp5325 +a(g822 +Vsubheader +p5326 +tp5327 +a(g822 +V\u000a +p5328 +tp5329 +a(g822 +Vrequired +p5330 +tp5331 +a(g822 +g978 +tp5332 +a(g408 +g982 +tp5333 +a(g822 +g978 +tp5334 +a(g32 +g1024 +tp5335 +a(g822 +V\u000a\u000a +p5336 +tp5337 +a(g822 +VdataWrap +p5338 +tp5339 +a(g822 +g978 +tp5340 +a(g408 +g982 +tp5341 +a(g822 +g978 +tp5342 +a(g84 +V

    +p5352 +tp5353 +a(g408 +g1370 +tp5354 +a(g84 +g972 +tp5355 +a(g84 +V/p +p5356 +tp5357 +a(g84 +g992 +tp5358 +a(g822 +V\u000a +p5359 +tp5360 +a(g822 +VhtmlSpecialChars +p5361 +tp5362 +a(g822 +g978 +tp5363 +a(g408 +g982 +tp5364 +a(g822 +g978 +tp5365 +a(g32 +g1024 +tp5366 +a(g822 +V\u000a\u000a +p5367 +tp5368 +a(g822 +VeditIcons +p5369 +tp5370 +a(g822 +g978 +tp5371 +a(g408 +V= +p5372 +tp5373 +a(g677 +Vtt_content +p5374 +tp5375 +a(g408 +g976 +tp5376 +a(g822 +Vsubheader +p5377 +tp5378 +a(g701 +g2004 +tp5379 +a(g822 +Vlayout +p5380 +tp5381 +a(g822 +V\u000a +p5382 +tp5383 +a(g822 +VeditIcons +p5384 +tp5385 +a(g701 +g998 +tp5386 +a(g822 +VbeforeLastTag +p5387 +tp5388 +a(g822 +g978 +tp5389 +a(g408 +g982 +tp5390 +a(g822 +g978 +tp5391 +a(g32 +g1024 +tp5392 +a(g822 +V\u000a +p5393 +tp5394 +a(g822 +VeditIcons +p5395 +tp5396 +a(g701 +g998 +tp5397 +a(g822 +ViconTitle +p5398 +tp5399 +a(g701 +g998 +tp5400 +a(g822 +Vdata +p5401 +tp5402 +a(g822 +g978 +tp5403 +a(g408 +g982 +tp5404 +a(g822 +g978 +tp5405 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.subheader +p5406 +tp5407 +a(g822 +V\u000a\u000a +p5408 +tp5409 +a(g822 +VprefixComment +p5410 +tp5411 +a(g822 +g978 +tp5412 +a(g408 +g982 +tp5413 +a(g822 +g978 +tp5414 +a(g32 +g1847 +tp5415 +a(g822 +g978 +tp5416 +a(g408 +g1370 +tp5417 +a(g822 +g978 +tp5418 +a(g822 +VSubheader +p5419 +tp5420 +a(g408 +g976 +tp5421 +a(g822 +V\u000a +p5422 +tp5423 +a(g112 +g1097 +tp5424 +a(g822 +V\u000a +p5425 +tp5426 +a(g112 +g1097 +tp5427 +a(g822 +V\u000a\u000a\u000a\u000a +p5428 +tp5429 +a(g7 +V# ***************** +p5430 +tp5431 +a(g822 +V\u000a +p5432 +tp5433 +a(g7 +V# CType: text +p5434 +tp5435 +a(g822 +V\u000a +p5436 +tp5437 +a(g7 +V# ***************** +p5438 +tp5439 +a(g822 +V\u000a +p5440 +tp5441 +a(g677 +Vtt_content +p5442 +tp5443 +a(g701 +g998 +tp5444 +a(g822 +Vtext +p5445 +tp5446 +a(g822 +g978 +tp5447 +a(g408 +g982 +tp5448 +a(g822 +g978 +tp5449 +a(g624 +VCOA +p5450 +tp5451 +a(g822 +V\u000a +p5452 +tp5453 +a(g677 +Vtt_content +p5454 +tp5455 +a(g701 +g998 +tp5456 +a(g822 +Vtext +p5457 +tp5458 +a(g822 +g978 +tp5459 +a(g112 +g1015 +tp5460 +a(g822 +V\u000a +p5461 +tp5462 +a(g32 +V10 +p5463 +tp5464 +a(g822 +g978 +tp5465 +a(g408 +V= < +p5466 +tp5467 +a(g677 +Vlib +p5468 +tp5469 +a(g701 +g998 +tp5470 +a(g822 +Vstdheader +p5471 +tp5472 +a(g822 +V\u000a\u000a +p5473 +tp5474 +a(g32 +V20 +p5475 +tp5476 +a(g822 +g978 +tp5477 +a(g408 +g982 +tp5478 +a(g822 +g978 +tp5479 +a(g624 +VTEXT +p5480 +tp5481 +a(g822 +V\u000a +p5482 +tp5483 +a(g32 +V20 +p5484 +tp5485 +a(g822 +g978 +tp5486 +a(g112 +g1015 +tp5487 +a(g822 +V\u000a +p5488 +tp5489 +a(g822 +Vfield +p5490 +tp5491 +a(g822 +g978 +tp5492 +a(g408 +g982 +tp5493 +a(g822 +g978 +tp5494 +a(g822 +Vbodytext +p5495 +tp5496 +a(g822 +V\u000a +p5497 +tp5498 +a(g822 +Vrequired +p5499 +tp5500 +a(g822 +g978 +tp5501 +a(g408 +g982 +tp5502 +a(g822 +g978 +tp5503 +a(g32 +g1024 +tp5504 +a(g822 +V\u000a\u000a +p5505 +tp5506 +a(g569 +VparseFunc +p5507 +tp5508 +a(g822 +g978 +tp5509 +a(g408 +V= < +p5510 +tp5511 +a(g677 +Vlib +p5512 +tp5513 +a(g701 +g998 +tp5514 +a(g822 +VparseFunc_RTE +p5515 +tp5516 +a(g822 +V\u000a\u000a +p5517 +tp5518 +a(g822 +VeditIcons +p5519 +tp5520 +a(g822 +g978 +tp5521 +a(g408 +V= +p5522 +tp5523 +a(g677 +Vtt_content +p5524 +tp5525 +a(g408 +g976 +tp5526 +a(g822 +Vbodytext +p5527 +tp5528 +a(g701 +g2004 +tp5529 +a(g822 +g978 +tp5530 +a(g822 +Vrte_enabled +p5531 +tp5532 +a(g822 +V\u000a +p5533 +tp5534 +a(g822 +VeditIcons +p5535 +tp5536 +a(g701 +g998 +tp5537 +a(g822 +VbeforeLastTag +p5538 +tp5539 +a(g822 +g978 +tp5540 +a(g408 +g982 +tp5541 +a(g822 +g978 +tp5542 +a(g32 +g1024 +tp5543 +a(g822 +V\u000a +p5544 +tp5545 +a(g822 +VeditIcons +p5546 +tp5547 +a(g701 +g998 +tp5548 +a(g822 +ViconTitle +p5549 +tp5550 +a(g701 +g998 +tp5551 +a(g822 +Vdata +p5552 +tp5553 +a(g822 +g978 +tp5554 +a(g408 +g982 +tp5555 +a(g822 +g978 +tp5556 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.bodytext +p5557 +tp5558 +a(g822 +V\u000a\u000a +p5559 +tp5560 +a(g822 +VprefixComment +p5561 +tp5562 +a(g822 +g978 +tp5563 +a(g408 +g982 +tp5564 +a(g822 +g978 +tp5565 +a(g32 +g1847 +tp5566 +a(g822 +g978 +tp5567 +a(g408 +g1370 +tp5568 +a(g822 +g978 +tp5569 +a(g822 +VText +p5570 +tp5571 +a(g408 +g976 +tp5572 +a(g822 +V\u000a +p5573 +tp5574 +a(g112 +g1097 +tp5575 +a(g822 +V\u000a +p5576 +tp5577 +a(g112 +g1097 +tp5578 +a(g822 +V\u000a\u000a\u000a\u000a +p5579 +tp5580 +a(g7 +V# ***************** +p5581 +tp5582 +a(g822 +V\u000a +p5583 +tp5584 +a(g7 +V# CType: image +p5585 +tp5586 +a(g822 +V\u000a +p5587 +tp5588 +a(g7 +V# ***************** +p5589 +tp5590 +a(g822 +V\u000a +p5591 +tp5592 +a(g7 +V# (also used for rendering 'textpic' type): +p5593 +tp5594 +a(g822 +V\u000a +p5595 +tp5596 +a(g677 +Vtt_content +p5597 +tp5598 +a(g701 +g998 +tp5599 +a(g822 +Vimage +p5600 +tp5601 +a(g822 +g978 +tp5602 +a(g408 +g982 +tp5603 +a(g822 +g978 +tp5604 +a(g624 +VCOA +p5605 +tp5606 +a(g822 +V\u000a +p5607 +tp5608 +a(g677 +Vtt_content +p5609 +tp5610 +a(g701 +g998 +tp5611 +a(g822 +Vimage +p5612 +tp5613 +a(g701 +g998 +tp5614 +a(g32 +V10 +p5615 +tp5616 +a(g822 +g978 +tp5617 +a(g408 +V= < +p5618 +tp5619 +a(g677 +Vlib +p5620 +tp5621 +a(g701 +g998 +tp5622 +a(g822 +Vstdheader +p5623 +tp5624 +a(g822 +V\u000a +p5625 +tp5626 +a(g677 +Vtt_content +p5627 +tp5628 +a(g701 +g998 +tp5629 +a(g822 +Vimage +p5630 +tp5631 +a(g701 +g998 +tp5632 +a(g32 +V20 +p5633 +tp5634 +a(g822 +g978 +tp5635 +a(g408 +g982 +tp5636 +a(g822 +g978 +tp5637 +a(g624 +VUSER +p5638 +tp5639 +a(g822 +V\u000a +p5640 +tp5641 +a(g677 +Vtt_content +p5642 +tp5643 +a(g701 +g998 +tp5644 +a(g822 +Vimage +p5645 +tp5646 +a(g701 +g998 +tp5647 +a(g32 +V20 +p5648 +tp5649 +a(g822 +g978 +tp5650 +a(g112 +g1015 +tp5651 +a(g822 +V\u000a +p5652 +tp5653 +a(g569 +VuserFunc +p5654 +tp5655 +a(g822 +g978 +tp5656 +a(g408 +g982 +tp5657 +a(g822 +g978 +tp5658 +a(g822 +Vtx_cssstyledcontent_pi1- +p5659 +tp5660 +a(g408 +g992 +tp5661 +a(g822 +Vrender_textpic +p5662 +tp5663 +a(g822 +V\u000a\u000a +p5664 +tp5665 +a(g7 +V# Image source +p5666 +tp5667 +a(g822 +V\u000a +p5668 +tp5669 +a(g822 +VimgList +p5670 +tp5671 +a(g701 +g998 +tp5672 +a(g822 +Vfield +p5673 +tp5674 +a(g822 +g978 +tp5675 +a(g408 +g982 +tp5676 +a(g822 +g978 +tp5677 +a(g822 +Vimage +p5678 +tp5679 +a(g822 +V\u000a +p5680 +tp5681 +a(g822 +VimgPath +p5682 +tp5683 +a(g822 +g978 +tp5684 +a(g408 +g982 +tp5685 +a(g822 +g978 +tp5686 +a(g84 +Vuploads/pics/ +p5687 +tp5688 +a(g84 +V\u000a +p5689 +tp5690 +a(g822 +V\u000a +p5691 +tp5692 +a(g7 +V# Single image rendering +p5693 +tp5694 +a(g822 +V\u000a +p5695 +tp5696 +a(g822 +VimgObjNum +p5697 +tp5698 +a(g822 +g978 +tp5699 +a(g408 +g982 +tp5700 +a(g822 +g978 +tp5701 +a(g32 +g1024 +tp5702 +a(g822 +V\u000a +p5703 +tp5704 +a(g32 +g1024 +tp5705 +a(g822 +g978 +tp5706 +a(g112 +g1015 +tp5707 +a(g822 +V\u000a +p5708 +tp5709 +a(g822 +Vfile +p5710 +tp5711 +a(g701 +g998 +tp5712 +a(g822 +Vimport +p5713 +tp5714 +a(g701 +g998 +tp5715 +a(g822 +Vcurrent +p5716 +tp5717 +a(g822 +g978 +tp5718 +a(g408 +g982 +tp5719 +a(g822 +g978 +tp5720 +a(g32 +g1024 +tp5721 +a(g822 +V\u000a +p5722 +tp5723 +a(g822 +Vfile +p5724 +tp5725 +a(g701 +g998 +tp5726 +a(g822 +Vwidth +p5727 +tp5728 +a(g701 +g998 +tp5729 +a(g822 +Vfield +p5730 +tp5731 +a(g822 +g978 +tp5732 +a(g408 +g982 +tp5733 +a(g822 +g978 +tp5734 +a(g822 +Vimagewidth +p5735 +tp5736 +a(g822 +V\u000a +p5737 +tp5738 +a(g569 +VimageLinkWrap +p5739 +tp5740 +a(g822 +g978 +tp5741 +a(g408 +g982 +tp5742 +a(g822 +g978 +tp5743 +a(g32 +g1024 +tp5744 +a(g822 +V\u000a +p5745 +tp5746 +a(g569 +VimageLinkWrap +p5747 +tp5748 +a(g822 +g978 +tp5749 +a(g112 +g1015 +tp5750 +a(g822 +V\u000a +p5751 +tp5752 +a(g822 +VbodyTag +p5753 +tp5754 +a(g822 +g978 +tp5755 +a(g408 +g982 +tp5756 +a(g822 +g978 +tp5757 +a(g84 +g972 +tp5758 +a(g84 +Vbody +p5759 +tp5760 +a(g822 +g978 +tp5761 +a(g84 +Vstyle +p5762 +tp5763 +a(g84 +g982 +tp5764 +a(g84 +V"margin +p5765 +tp5766 +a(g84 +g976 +tp5767 +a(g84 +V0; +p5768 +tp5769 +a(g822 +g978 +tp5770 +a(g84 +Vbackground +p5771 +tp5772 +a(g84 +g976 +tp5773 +a(g84 +V#fff;" +p5774 +tp5775 +a(g84 +g992 +tp5776 +a(g822 +V\u000a +p5777 +tp5778 +a(g822 +Vwrap +p5779 +tp5780 +a(g822 +g978 +tp5781 +a(g408 +g982 +tp5782 +a(g822 +g978 +tp5783 +a(g84 +g972 +tp5784 +a(g84 +g5037 +tp5785 +a(g822 +g978 +tp5786 +a(g84 +Vhref +p5787 +tp5788 +a(g84 +g982 +tp5789 +a(g84 +V"javascript +p5790 +tp5791 +a(g84 +g976 +tp5792 +a(g84 +Vclose();" +p5793 +tp5794 +a(g84 +g992 +tp5795 +a(g822 +g978 +tp5796 +a(g408 +g1370 +tp5797 +a(g822 +g978 +tp5798 +a(g84 +g972 +tp5799 +a(g84 +V/a +p5800 +tp5801 +a(g84 +g992 +tp5802 +a(g822 +V\u000a +p5803 +tp5804 +a(g822 +Vwidth +p5805 +tp5806 +a(g822 +g978 +tp5807 +a(g408 +g982 +tp5808 +a(g822 +g978 +tp5809 +a(g112 +g1015 +tp5810 +a(g408 +g1391 +tp5811 +a(g561 +Vstyles.content.imgtext.linkWrap. +p5812 +tp5813 +a(g561 +Vwidth +p5814 +tp5815 +a(g112 +g1097 +tp5816 +a(g822 +V\u000a +p5817 +tp5818 +a(g822 +Vheight +p5819 +tp5820 +a(g822 +g978 +tp5821 +a(g408 +g982 +tp5822 +a(g822 +g978 +tp5823 +a(g112 +g1015 +tp5824 +a(g408 +g1391 +tp5825 +a(g561 +Vstyles.content.imgtext.linkWrap. +p5826 +tp5827 +a(g561 +Vheight +p5828 +tp5829 +a(g112 +g1097 +tp5830 +a(g822 +V\u000a +p5831 +tp5832 +a(g822 +Veffects +p5833 +tp5834 +a(g822 +g978 +tp5835 +a(g408 +g982 +tp5836 +a(g822 +g978 +tp5837 +a(g112 +g1015 +tp5838 +a(g408 +g1391 +tp5839 +a(g561 +Vstyles.content.imgtext.linkWrap. +p5840 +tp5841 +a(g561 +Veffects +p5842 +tp5843 +a(g112 +g1097 +tp5844 +a(g822 +V\u000a\u000a +p5845 +tp5846 +a(g822 +VJSwindow +p5847 +tp5848 +a(g822 +g978 +tp5849 +a(g408 +g982 +tp5850 +a(g822 +g978 +tp5851 +a(g32 +g1024 +tp5852 +a(g822 +V\u000a +p5853 +tp5854 +a(g822 +VJSwindow +p5855 +tp5856 +a(g701 +g998 +tp5857 +a(g822 +VnewWindow +p5858 +tp5859 +a(g822 +g978 +tp5860 +a(g408 +g982 +tp5861 +a(g822 +g978 +tp5862 +a(g112 +g1015 +tp5863 +a(g408 +g1391 +tp5864 +a(g561 +Vstyles.content.imgtext.linkWrap. +p5865 +tp5866 +a(g561 +VnewWindow +p5867 +tp5868 +a(g112 +g1097 +tp5869 +a(g822 +V\u000a +p5870 +tp5871 +a(g822 +VJSwindow +p5872 +tp5873 +a(g701 +g998 +tp5874 +a(g569 +Vif +p5875 +tp5876 +a(g701 +g998 +tp5877 +a(g822 +VisFalse +p5878 +tp5879 +a(g822 +g978 +tp5880 +a(g408 +g982 +tp5881 +a(g822 +g978 +tp5882 +a(g112 +g1015 +tp5883 +a(g408 +g1391 +tp5884 +a(g561 +Vstyles.content.imgtext.linkWrap. +p5885 +tp5886 +a(g561 +VlightboxEnabled +p5887 +tp5888 +a(g112 +g1097 +tp5889 +a(g822 +V\u000a\u000a +p5890 +tp5891 +a(g822 +VdirectImageLink +p5892 +tp5893 +a(g822 +g978 +tp5894 +a(g408 +g982 +tp5895 +a(g822 +g978 +tp5896 +a(g112 +g1015 +tp5897 +a(g408 +g1391 +tp5898 +a(g561 +Vstyles.content.imgtext.linkWrap. +p5899 +tp5900 +a(g561 +VlightboxEnabled +p5901 +tp5902 +a(g112 +g1097 +tp5903 +a(g822 +V\u000a\u000a +p5904 +tp5905 +a(g822 +Venable +p5906 +tp5907 +a(g701 +g998 +tp5908 +a(g822 +Vfield +p5909 +tp5910 +a(g822 +g978 +tp5911 +a(g408 +g982 +tp5912 +a(g822 +g978 +tp5913 +a(g822 +Vimage_zoom +p5914 +tp5915 +a(g822 +V\u000a +p5916 +tp5917 +a(g822 +Venable +p5918 +tp5919 +a(g701 +g998 +tp5920 +a(g822 +VifEmpty +p5921 +tp5922 +a(g701 +g998 +tp5923 +a(g569 +Vtypolink +p5924 +tp5925 +a(g701 +g998 +tp5926 +a(g822 +Vparameter +p5927 +tp5928 +a(g701 +g998 +tp5929 +a(g822 +Vfield +p5930 +tp5931 +a(g822 +g978 +tp5932 +a(g408 +g982 +tp5933 +a(g822 +g978 +tp5934 +a(g822 +Vimage_link +p5935 +tp5936 +a(g822 +V\u000a +p5937 +tp5938 +a(g822 +Venable +p5939 +tp5940 +a(g701 +g998 +tp5941 +a(g822 +VifEmpty +p5942 +tp5943 +a(g701 +g998 +tp5944 +a(g569 +Vtypolink +p5945 +tp5946 +a(g701 +g998 +tp5947 +a(g822 +Vparameter +p5948 +tp5949 +a(g701 +g998 +tp5950 +a(g822 +VlistNum +p5951 +tp5952 +a(g701 +g998 +tp5953 +a(g822 +VsplitChar +p5954 +tp5955 +a(g822 +g978 +tp5956 +a(g408 +g982 +tp5957 +a(g822 +g978 +tp5958 +a(g32 +V10 +p5959 +tp5960 +a(g822 +V\u000a +p5961 +tp5962 +a(g822 +Venable +p5963 +tp5964 +a(g701 +g998 +tp5965 +a(g822 +VifEmpty +p5966 +tp5967 +a(g701 +g998 +tp5968 +a(g569 +Vtypolink +p5969 +tp5970 +a(g701 +g998 +tp5971 +a(g822 +Vparameter +p5972 +tp5973 +a(g701 +g998 +tp5974 +a(g822 +VlistNum +p5975 +tp5976 +a(g701 +g998 +tp5977 +a(g569 +VstdWrap +p5978 +tp5979 +a(g701 +g998 +tp5980 +a(g822 +Vdata +p5981 +tp5982 +a(g822 +g978 +tp5983 +a(g408 +V= +p5984 +tp5985 +a(g677 +Vregister +p5986 +tp5987 +a(g822 +g978 +tp5988 +a(g408 +g976 +tp5989 +a(g822 +g978 +tp5990 +a(g822 +VIMAGE_NUM_CURRENT +p5991 +tp5992 +a(g822 +V\u000a +p5993 +tp5994 +a(g822 +Venable +p5995 +tp5996 +a(g701 +g998 +tp5997 +a(g822 +VifEmpty +p5998 +tp5999 +a(g701 +g998 +tp6000 +a(g569 +Vtypolink +p6001 +tp6002 +a(g701 +g998 +tp6003 +a(g822 +VreturnLast +p6004 +tp6005 +a(g822 +g978 +tp6006 +a(g408 +g982 +tp6007 +a(g822 +g978 +tp6008 +a(g822 +Vurl +p6009 +tp6010 +a(g822 +V\u000a\u000a +p6011 +tp6012 +a(g569 +Vtypolink +p6013 +tp6014 +a(g701 +g998 +tp6015 +a(g822 +Vparameter +p6016 +tp6017 +a(g701 +g998 +tp6018 +a(g822 +Vfield +p6019 +tp6020 +a(g822 +g978 +tp6021 +a(g408 +g982 +tp6022 +a(g822 +g978 +tp6023 +a(g822 +Vimage_link +p6024 +tp6025 +a(g822 +V\u000a +p6026 +tp6027 +a(g569 +Vtypolink +p6028 +tp6029 +a(g701 +g998 +tp6030 +a(g822 +Vparameter +p6031 +tp6032 +a(g701 +g998 +tp6033 +a(g822 +VlistNum +p6034 +tp6035 +a(g701 +g998 +tp6036 +a(g822 +VsplitChar +p6037 +tp6038 +a(g822 +g978 +tp6039 +a(g408 +g982 +tp6040 +a(g822 +g978 +tp6041 +a(g32 +V10 +p6042 +tp6043 +a(g822 +V\u000a +p6044 +tp6045 +a(g569 +Vtypolink +p6046 +tp6047 +a(g701 +g998 +tp6048 +a(g822 +Vparameter +p6049 +tp6050 +a(g701 +g998 +tp6051 +a(g822 +VlistNum +p6052 +tp6053 +a(g701 +g998 +tp6054 +a(g569 +VstdWrap +p6055 +tp6056 +a(g701 +g998 +tp6057 +a(g822 +Vdata +p6058 +tp6059 +a(g822 +g978 +tp6060 +a(g408 +V= +p6061 +tp6062 +a(g677 +Vregister +p6063 +tp6064 +a(g822 +g978 +tp6065 +a(g408 +g976 +tp6066 +a(g822 +g978 +tp6067 +a(g822 +VIMAGE_NUM_CURRENT +p6068 +tp6069 +a(g822 +V\u000a +p6070 +tp6071 +a(g569 +Vtypolink +p6072 +tp6073 +a(g701 +g998 +tp6074 +a(g822 +Vtarget +p6075 +tp6076 +a(g822 +g978 +tp6077 +a(g408 +g982 +tp6078 +a(g822 +g978 +tp6079 +a(g112 +g1015 +tp6080 +a(g408 +g1391 +tp6081 +a(g561 +Vstyles.content.links. +p6082 +tp6083 +a(g561 +Vtarget +p6084 +tp6085 +a(g112 +g1097 +tp6086 +a(g822 +V\u000a +p6087 +tp6088 +a(g569 +Vtypolink +p6089 +tp6090 +a(g701 +g998 +tp6091 +a(g822 +VextTarget +p6092 +tp6093 +a(g822 +g978 +tp6094 +a(g408 +g982 +tp6095 +a(g822 +g978 +tp6096 +a(g112 +g1015 +tp6097 +a(g408 +g1391 +tp6098 +a(g561 +Vstyles.content.links. +p6099 +tp6100 +a(g561 +VextTarget +p6101 +tp6102 +a(g112 +g1097 +tp6103 +a(g822 +V\u000a\u000a +p6104 +tp6105 +a(g822 +VlinkParams +p6106 +tp6107 +a(g701 +g998 +tp6108 +a(g822 +VATagParams +p6109 +tp6110 +a(g701 +g998 +tp6111 +a(g822 +VdataWrap +p6112 +tp6113 +a(g822 +g978 +tp6114 +a(g408 +g982 +tp6115 +a(g822 +V +p6116 +tp6117 +a(g822 +Vclass +p6118 +tp6119 +a(g408 +g982 +tp6120 +a(g822 +g990 +tp6121 +a(g112 +g1015 +tp6122 +a(g408 +g1391 +tp6123 +a(g561 +Vstyles.content.imgtext.linkWrap. +p6124 +tp6125 +a(g561 +VlightboxCssClass +p6126 +tp6127 +a(g112 +g1097 +tp6128 +a(g822 +g990 +tp6129 +a(g822 +g978 +tp6130 +a(g822 +Vrel +p6131 +tp6132 +a(g408 +g982 +tp6133 +a(g822 +g990 +tp6134 +a(g112 +g1015 +tp6135 +a(g408 +g1391 +tp6136 +a(g561 +Vstyles.content.imgtext.linkWrap. +p6137 +tp6138 +a(g561 +VlightboxRelAttribute +p6139 +tp6140 +a(g112 +g1097 +tp6141 +a(g822 +g990 +tp6142 +a(g822 +V\u000a +p6143 +tp6144 +a(g112 +g1097 +tp6145 +a(g822 +V\u000a\u000a +p6146 +tp6147 +a(g822 +ValtText +p6148 +tp6149 +a(g822 +g978 +tp6150 +a(g408 +g982 +tp6151 +a(g822 +g978 +tp6152 +a(g624 +VTEXT +p6153 +tp6154 +a(g822 +V\u000a +p6155 +tp6156 +a(g822 +ValtText +p6157 +tp6158 +a(g822 +g978 +tp6159 +a(g112 +g1015 +tp6160 +a(g822 +V\u000a +p6161 +tp6162 +a(g822 +Vfield +p6163 +tp6164 +a(g822 +g978 +tp6165 +a(g408 +g982 +tp6166 +a(g822 +g978 +tp6167 +a(g822 +ValtText +p6168 +tp6169 +a(g822 +V\u000a +p6170 +tp6171 +a(g822 +VstripHtml +p6172 +tp6173 +a(g822 +g978 +tp6174 +a(g408 +g982 +tp6175 +a(g822 +g978 +tp6176 +a(g32 +g1024 +tp6177 +a(g822 +V\u000a +p6178 +tp6179 +a(g569 +Vsplit +p6180 +tp6181 +a(g701 +g998 +tp6182 +a(g822 +Vtoken +p6183 +tp6184 +a(g701 +g998 +tp6185 +a(g822 +Vchar +p6186 +tp6187 +a(g822 +g978 +tp6188 +a(g408 +g982 +tp6189 +a(g822 +g978 +tp6190 +a(g32 +V10 +p6191 +tp6192 +a(g822 +V\u000a +p6193 +tp6194 +a(g569 +Vsplit +p6195 +tp6196 +a(g701 +g998 +tp6197 +a(g822 +Vtoken +p6198 +tp6199 +a(g701 +g998 +tp6200 +a(g569 +Vif +p6201 +tp6202 +a(g701 +g998 +tp6203 +a(g822 +VisTrue +p6204 +tp6205 +a(g822 +g978 +tp6206 +a(g408 +g982 +tp6207 +a(g822 +g978 +tp6208 +a(g112 +g1015 +tp6209 +a(g408 +g1391 +tp6210 +a(g561 +Vstyles.content.imgtext. +p6211 +tp6212 +a(g561 +VimageTextSplit +p6213 +tp6214 +a(g112 +g1097 +tp6215 +a(g822 +V\u000a +p6216 +tp6217 +a(g569 +Vsplit +p6218 +tp6219 +a(g701 +g998 +tp6220 +a(g822 +VreturnKey +p6221 +tp6222 +a(g701 +g998 +tp6223 +a(g822 +Vdata +p6224 +tp6225 +a(g822 +g978 +tp6226 +a(g408 +V= +p6227 +tp6228 +a(g677 +Vregister +p6229 +tp6230 +a(g822 +g978 +tp6231 +a(g408 +g976 +tp6232 +a(g822 +g978 +tp6233 +a(g822 +VIMAGE_NUM_CURRENT +p6234 +tp6235 +a(g822 +V\u000a +p6236 +tp6237 +a(g112 +g1097 +tp6238 +a(g822 +V\u000a\u000a +p6239 +tp6240 +a(g822 +VtitleText +p6241 +tp6242 +a(g822 +g978 +tp6243 +a(g408 +g972 +tp6244 +a(g822 +g978 +tp6245 +a(g701 +g998 +tp6246 +a(g822 +ValtText +p6247 +tp6248 +a(g822 +V\u000a +p6249 +tp6250 +a(g822 +VtitleText +p6251 +tp6252 +a(g701 +g998 +tp6253 +a(g822 +Vfield +p6254 +tp6255 +a(g822 +g978 +tp6256 +a(g408 +g982 +tp6257 +a(g822 +g978 +tp6258 +a(g822 +VtitleText +p6259 +tp6260 +a(g822 +V\u000a\u000a +p6261 +tp6262 +a(g822 +VlongdescURL +p6263 +tp6264 +a(g822 +g978 +tp6265 +a(g408 +g972 +tp6266 +a(g822 +g978 +tp6267 +a(g701 +g998 +tp6268 +a(g822 +ValtText +p6269 +tp6270 +a(g822 +V\u000a +p6271 +tp6272 +a(g822 +VlongdescURL +p6273 +tp6274 +a(g701 +g998 +tp6275 +a(g822 +Vfield +p6276 +tp6277 +a(g822 +g978 +tp6278 +a(g408 +g982 +tp6279 +a(g822 +g978 +tp6280 +a(g822 +VlongdescURL +p6281 +tp6282 +a(g822 +V\u000a\u000a +p6283 +tp6284 +a(g822 +VemptyTitleHandling +p6285 +tp6286 +a(g822 +g978 +tp6287 +a(g408 +g982 +tp6288 +a(g822 +g978 +tp6289 +a(g112 +g1015 +tp6290 +a(g408 +g1391 +tp6291 +a(g561 +Vstyles.content.imgtext. +p6292 +tp6293 +a(g561 +VemptyTitleHandling +p6294 +tp6295 +a(g112 +g1097 +tp6296 +a(g822 +V\u000a +p6297 +tp6298 +a(g822 +VtitleInLink +p6299 +tp6300 +a(g822 +g978 +tp6301 +a(g408 +g982 +tp6302 +a(g822 +g978 +tp6303 +a(g112 +g1015 +tp6304 +a(g408 +g1391 +tp6305 +a(g561 +Vstyles.content.imgtext. +p6306 +tp6307 +a(g561 +VtitleInLink +p6308 +tp6309 +a(g112 +g1097 +tp6310 +a(g822 +V\u000a +p6311 +tp6312 +a(g822 +VtitleInLinkAndImg +p6313 +tp6314 +a(g822 +g978 +tp6315 +a(g408 +g982 +tp6316 +a(g822 +g978 +tp6317 +a(g112 +g1015 +tp6318 +a(g408 +g1391 +tp6319 +a(g561 +Vstyles.content.imgtext. +p6320 +tp6321 +a(g561 +VtitleInLinkAndImg +p6322 +tp6323 +a(g112 +g1097 +tp6324 +a(g822 +V\u000a +p6325 +tp6326 +a(g112 +g1097 +tp6327 +a(g822 +V\u000a\u000a +p6328 +tp6329 +a(g822 +VtextPos +p6330 +tp6331 +a(g701 +g998 +tp6332 +a(g822 +Vfield +p6333 +tp6334 +a(g822 +g978 +tp6335 +a(g408 +g982 +tp6336 +a(g822 +g978 +tp6337 +a(g822 +Vimageorient +p6338 +tp6339 +a(g822 +V\u000a +p6340 +tp6341 +a(g822 +VmaxW +p6342 +tp6343 +a(g822 +g978 +tp6344 +a(g408 +g982 +tp6345 +a(g822 +g978 +tp6346 +a(g112 +g1015 +tp6347 +a(g408 +g1391 +tp6348 +a(g561 +Vstyles.content.imgtext. +p6349 +tp6350 +a(g561 +VmaxW +p6351 +tp6352 +a(g112 +g1097 +tp6353 +a(g822 +V\u000a +p6354 +tp6355 +a(g822 +VmaxW +p6356 +tp6357 +a(g701 +g998 +tp6358 +a(g822 +Voverride +p6359 +tp6360 +a(g701 +g998 +tp6361 +a(g822 +Vdata +p6362 +tp6363 +a(g822 +g978 +tp6364 +a(g408 +V= +p6365 +tp6366 +a(g677 +Vregister +p6367 +tp6368 +a(g408 +g976 +tp6369 +a(g822 +VmaxImageWidth +p6370 +tp6371 +a(g822 +V\u000a +p6372 +tp6373 +a(g822 +VmaxWInText +p6374 +tp6375 +a(g822 +g978 +tp6376 +a(g408 +g982 +tp6377 +a(g822 +g978 +tp6378 +a(g112 +g1015 +tp6379 +a(g408 +g1391 +tp6380 +a(g561 +Vstyles.content.imgtext. +p6381 +tp6382 +a(g561 +VmaxWInText +p6383 +tp6384 +a(g112 +g1097 +tp6385 +a(g822 +V\u000a +p6386 +tp6387 +a(g822 +VmaxWInText +p6388 +tp6389 +a(g701 +g998 +tp6390 +a(g822 +Voverride +p6391 +tp6392 +a(g701 +g998 +tp6393 +a(g822 +Vdata +p6394 +tp6395 +a(g822 +g978 +tp6396 +a(g408 +V= +p6397 +tp6398 +a(g677 +Vregister +p6399 +tp6400 +a(g408 +g976 +tp6401 +a(g822 +VmaxImageWidthInText +p6402 +tp6403 +a(g822 +V\u000a\u000a +p6404 +tp6405 +a(g822 +VequalH +p6406 +tp6407 +a(g701 +g998 +tp6408 +a(g822 +Vfield +p6409 +tp6410 +a(g822 +g978 +tp6411 +a(g408 +g982 +tp6412 +a(g822 +g978 +tp6413 +a(g822 +Vimageheight +p6414 +tp6415 +a(g822 +V\u000a\u000a +p6416 +tp6417 +a(g822 +Vimage_compression +p6418 +tp6419 +a(g701 +g998 +tp6420 +a(g822 +Vfield +p6421 +tp6422 +a(g822 +g978 +tp6423 +a(g408 +g982 +tp6424 +a(g822 +g978 +tp6425 +a(g822 +Vimage_compression +p6426 +tp6427 +a(g822 +V\u000a +p6428 +tp6429 +a(g822 +Vimage_effects +p6430 +tp6431 +a(g701 +g998 +tp6432 +a(g822 +Vfield +p6433 +tp6434 +a(g822 +g978 +tp6435 +a(g408 +g982 +tp6436 +a(g822 +g978 +tp6437 +a(g822 +Vimage_effects +p6438 +tp6439 +a(g822 +V\u000a\u000a +p6440 +tp6441 +a(g822 +VnoRows +p6442 +tp6443 +a(g701 +g998 +tp6444 +a(g822 +Vfield +p6445 +tp6446 +a(g822 +g978 +tp6447 +a(g408 +g982 +tp6448 +a(g822 +g978 +tp6449 +a(g822 +Vimage_noRows +p6450 +tp6451 +a(g822 +V\u000a\u000a +p6452 +tp6453 +a(g822 +Vcols +p6454 +tp6455 +a(g701 +g998 +tp6456 +a(g822 +Vfield +p6457 +tp6458 +a(g822 +g978 +tp6459 +a(g408 +g982 +tp6460 +a(g822 +g978 +tp6461 +a(g822 +Vimagecols +p6462 +tp6463 +a(g822 +V\u000a +p6464 +tp6465 +a(g822 +Vborder +p6466 +tp6467 +a(g701 +g998 +tp6468 +a(g822 +Vfield +p6469 +tp6470 +a(g822 +g978 +tp6471 +a(g408 +g982 +tp6472 +a(g822 +g978 +tp6473 +a(g822 +Vimageborder +p6474 +tp6475 +a(g822 +V\u000a\u000a +p6476 +tp6477 +a(g822 +Vcaption +p6478 +tp6479 +a(g822 +g978 +tp6480 +a(g112 +g1015 +tp6481 +a(g822 +V\u000a +p6482 +tp6483 +a(g32 +g1024 +tp6484 +a(g822 +g978 +tp6485 +a(g408 +g982 +tp6486 +a(g822 +g978 +tp6487 +a(g624 +VTEXT +p6488 +tp6489 +a(g822 +V\u000a +p6490 +tp6491 +a(g32 +g1024 +tp6492 +a(g822 +g978 +tp6493 +a(g112 +g1015 +tp6494 +a(g822 +V\u000a +p6495 +tp6496 +a(g822 +Vfield +p6497 +tp6498 +a(g822 +g978 +tp6499 +a(g408 +g982 +tp6500 +a(g822 +g978 +tp6501 +a(g822 +Vimagecaption +p6502 +tp6503 +a(g822 +V\u000a +p6504 +tp6505 +a(g822 +Vrequired +p6506 +tp6507 +a(g822 +g978 +tp6508 +a(g408 +g982 +tp6509 +a(g822 +g978 +tp6510 +a(g32 +g1024 +tp6511 +a(g822 +V\u000a +p6512 +tp6513 +a(g569 +VparseFunc +p6514 +tp6515 +a(g822 +g978 +tp6516 +a(g408 +V=< +p6517 +tp6518 +a(g677 +Vlib +p6519 +tp6520 +a(g701 +g998 +tp6521 +a(g569 +VparseFunc +p6522 +tp6523 +a(g822 +V\u000a +p6524 +tp6525 +a(g822 +Vbr +p6526 +tp6527 +a(g822 +g978 +tp6528 +a(g408 +g982 +tp6529 +a(g822 +g978 +tp6530 +a(g32 +g1024 +tp6531 +a(g822 +V\u000a +p6532 +tp6533 +a(g569 +Vsplit +p6534 +tp6535 +a(g701 +g998 +tp6536 +a(g822 +Vtoken +p6537 +tp6538 +a(g701 +g998 +tp6539 +a(g822 +Vchar +p6540 +tp6541 +a(g822 +g978 +tp6542 +a(g408 +g982 +tp6543 +a(g822 +g978 +tp6544 +a(g32 +V10 +p6545 +tp6546 +a(g822 +V\u000a +p6547 +tp6548 +a(g569 +Vsplit +p6549 +tp6550 +a(g701 +g998 +tp6551 +a(g822 +Vtoken +p6552 +tp6553 +a(g701 +g998 +tp6554 +a(g569 +Vif +p6555 +tp6556 +a(g701 +g998 +tp6557 +a(g822 +VisPositive +p6558 +tp6559 +a(g822 +g978 +tp6560 +a(g408 +g982 +tp6561 +a(g822 +g978 +tp6562 +a(g112 +g1015 +tp6563 +a(g408 +g1391 +tp6564 +a(g561 +Vstyles.content.imgtext. +p6565 +tp6566 +a(g561 +VimageTextSplit +p6567 +tp6568 +a(g112 +g1097 +tp6569 +a(g822 +g978 +tp6570 +a(g408 +g4043 +tp6571 +a(g822 +g978 +tp6572 +a(g112 +g1015 +tp6573 +a(g408 +g1391 +tp6574 +a(g561 +Vstyles.content.imgtext. +p6575 +tp6576 +a(g561 +VcaptionSplit +p6577 +tp6578 +a(g112 +g1097 +tp6579 +a(g822 +V\u000a +p6580 +tp6581 +a(g569 +Vsplit +p6582 +tp6583 +a(g701 +g998 +tp6584 +a(g822 +VreturnKey +p6585 +tp6586 +a(g701 +g998 +tp6587 +a(g822 +Vdata +p6588 +tp6589 +a(g822 +g978 +tp6590 +a(g408 +V= +p6591 +tp6592 +a(g677 +Vregister +p6593 +tp6594 +a(g822 +g978 +tp6595 +a(g408 +g976 +tp6596 +a(g822 +g978 +tp6597 +a(g822 +VIMAGE_NUM_CURRENT +p6598 +tp6599 +a(g822 +V\u000a +p6600 +tp6601 +a(g112 +g1097 +tp6602 +a(g822 +V\u000a +p6603 +tp6604 +a(g112 +g1097 +tp6605 +a(g822 +V\u000a +p6606 +tp6607 +a(g7 +V# captionSplit is deprecated, use imageTextSplit instead +p6608 +tp6609 +a(g822 +V\u000a +p6610 +tp6611 +a(g822 +VcaptionSplit +p6612 +tp6613 +a(g822 +g978 +tp6614 +a(g408 +g982 +tp6615 +a(g822 +g978 +tp6616 +a(g112 +g1015 +tp6617 +a(g408 +g1391 +tp6618 +a(g561 +Vstyles.content.imgtext. +p6619 +tp6620 +a(g561 +VcaptionSplit +p6621 +tp6622 +a(g112 +g1097 +tp6623 +a(g822 +V\u000a +p6624 +tp6625 +a(g822 +VcaptionAlign +p6626 +tp6627 +a(g701 +g998 +tp6628 +a(g822 +Vfield +p6629 +tp6630 +a(g822 +g978 +tp6631 +a(g408 +g982 +tp6632 +a(g822 +g978 +tp6633 +a(g822 +Vimagecaption_position +p6634 +tp6635 +a(g822 +V\u000a +p6636 +tp6637 +a(g7 +V# caption/alttext/title/longdescURL splitting +p6638 +tp6639 +a(g822 +V\u000a +p6640 +tp6641 +a(g822 +VimageTextSplit +p6642 +tp6643 +a(g822 +g978 +tp6644 +a(g408 +g982 +tp6645 +a(g822 +g978 +tp6646 +a(g112 +g1015 +tp6647 +a(g408 +g1391 +tp6648 +a(g561 +Vstyles.content.imgtext. +p6649 +tp6650 +a(g561 +VimageTextSplit +p6651 +tp6652 +a(g112 +g1097 +tp6653 +a(g822 +V\u000a\u000a +p6654 +tp6655 +a(g822 +VborderCol +p6656 +tp6657 +a(g822 +g978 +tp6658 +a(g408 +g982 +tp6659 +a(g822 +g978 +tp6660 +a(g112 +g1015 +tp6661 +a(g408 +g1391 +tp6662 +a(g561 +Vstyles.content.imgtext. +p6663 +tp6664 +a(g561 +VborderColor +p6665 +tp6666 +a(g112 +g1097 +tp6667 +a(g822 +V\u000a +p6668 +tp6669 +a(g822 +VborderThick +p6670 +tp6671 +a(g822 +g978 +tp6672 +a(g408 +g982 +tp6673 +a(g822 +g978 +tp6674 +a(g112 +g1015 +tp6675 +a(g408 +g1391 +tp6676 +a(g561 +Vstyles.content.imgtext. +p6677 +tp6678 +a(g561 +VborderThick +p6679 +tp6680 +a(g112 +g1097 +tp6681 +a(g822 +V\u000a +p6682 +tp6683 +a(g822 +VborderClass +p6684 +tp6685 +a(g822 +g978 +tp6686 +a(g408 +g982 +tp6687 +a(g822 +g978 +tp6688 +a(g112 +g1015 +tp6689 +a(g408 +g1391 +tp6690 +a(g561 +Vstyles.content.imgtext. +p6691 +tp6692 +a(g561 +VborderClass +p6693 +tp6694 +a(g112 +g1097 +tp6695 +a(g822 +V\u000a +p6696 +tp6697 +a(g822 +VcolSpace +p6698 +tp6699 +a(g822 +g978 +tp6700 +a(g408 +g982 +tp6701 +a(g822 +g978 +tp6702 +a(g112 +g1015 +tp6703 +a(g408 +g1391 +tp6704 +a(g561 +Vstyles.content.imgtext. +p6705 +tp6706 +a(g561 +VcolSpace +p6707 +tp6708 +a(g112 +g1097 +tp6709 +a(g822 +V\u000a +p6710 +tp6711 +a(g822 +VrowSpace +p6712 +tp6713 +a(g822 +g978 +tp6714 +a(g408 +g982 +tp6715 +a(g822 +g978 +tp6716 +a(g112 +g1015 +tp6717 +a(g408 +g1391 +tp6718 +a(g561 +Vstyles.content.imgtext. +p6719 +tp6720 +a(g561 +VrowSpace +p6721 +tp6722 +a(g112 +g1097 +tp6723 +a(g822 +V\u000a +p6724 +tp6725 +a(g822 +VtextMargin +p6726 +tp6727 +a(g822 +g978 +tp6728 +a(g408 +g982 +tp6729 +a(g822 +g978 +tp6730 +a(g112 +g1015 +tp6731 +a(g408 +g1391 +tp6732 +a(g561 +Vstyles.content.imgtext. +p6733 +tp6734 +a(g561 +VtextMargin +p6735 +tp6736 +a(g112 +g1097 +tp6737 +a(g822 +V\u000a\u000a +p6738 +tp6739 +a(g822 +VborderSpace +p6740 +tp6741 +a(g822 +g978 +tp6742 +a(g408 +g982 +tp6743 +a(g822 +g978 +tp6744 +a(g112 +g1015 +tp6745 +a(g408 +g1391 +tp6746 +a(g561 +Vstyles.content.imgtext. +p6747 +tp6748 +a(g561 +VborderSpace +p6749 +tp6750 +a(g112 +g1097 +tp6751 +a(g822 +V\u000a +p6752 +tp6753 +a(g822 +VseparateRows +p6754 +tp6755 +a(g822 +g978 +tp6756 +a(g408 +g982 +tp6757 +a(g822 +g978 +tp6758 +a(g112 +g1015 +tp6759 +a(g408 +g1391 +tp6760 +a(g561 +Vstyles.content.imgtext. +p6761 +tp6762 +a(g561 +VseparateRows +p6763 +tp6764 +a(g112 +g1097 +tp6765 +a(g822 +V\u000a +p6766 +tp6767 +a(g822 +VaddClasses +p6768 +tp6769 +a(g822 +g978 +tp6770 +a(g408 +g982 +tp6771 +a(g822 +V\u000a +p6772 +tp6773 +a(g822 +VaddClassesImage +p6774 +tp6775 +a(g822 +g978 +tp6776 +a(g408 +g982 +tp6777 +a(g822 +V\u000a +p6778 +tp6779 +a(g822 +VaddClassesImage +p6780 +tp6781 +a(g701 +g998 +tp6782 +a(g822 +VifEmpty +p6783 +tp6784 +a(g822 +g978 +tp6785 +a(g408 +g982 +tp6786 +a(g822 +g978 +tp6787 +a(g822 +Vcsc-textpic-firstcol +p6788 +tp6789 +a(g822 +g978 +tp6790 +a(g822 +Vcsc-textpic-lastcol +p6791 +tp6792 +a(g822 +V\u000a +p6793 +tp6794 +a(g822 +VaddClassesImage +p6795 +tp6796 +a(g701 +g998 +tp6797 +a(g822 +Voverride +p6798 +tp6799 +a(g822 +g978 +tp6800 +a(g408 +g982 +tp6801 +a(g822 +g978 +tp6802 +a(g822 +Vcsc-textpic-firstcol +p6803 +tp6804 +a(g822 +g978 +tp6805 +a(g408 +g1370 +tp6806 +a(g408 +g2262 +tp6807 +a(g408 +g1370 +tp6808 +a(g822 +g978 +tp6809 +a(g408 +g1370 +tp6810 +a(g408 +g2262 +tp6811 +a(g408 +g1370 +tp6812 +a(g822 +g978 +tp6813 +a(g822 +Vcsc-textpic-lastcol +p6814 +tp6815 +a(g822 +V\u000a +p6816 +tp6817 +a(g822 +VaddClassesImage +p6818 +tp6819 +a(g701 +g998 +tp6820 +a(g822 +Voverride +p6821 +tp6822 +a(g701 +g998 +tp6823 +a(g569 +Vif +p6824 +tp6825 +a(g822 +g978 +tp6826 +a(g112 +g1015 +tp6827 +a(g822 +V\u000a +p6828 +tp6829 +a(g822 +VisGreaterThan +p6830 +tp6831 +a(g701 +g998 +tp6832 +a(g822 +Vfield +p6833 +tp6834 +a(g822 +g978 +tp6835 +a(g408 +g982 +tp6836 +a(g822 +g978 +tp6837 +a(g822 +Vimagecols +p6838 +tp6839 +a(g822 +V\u000a +p6840 +tp6841 +a(g822 +Vvalue +p6842 +tp6843 +a(g822 +g978 +tp6844 +a(g408 +g982 +tp6845 +a(g822 +g978 +tp6846 +a(g32 +g1024 +tp6847 +a(g822 +V\u000a +p6848 +tp6849 +a(g112 +g1097 +tp6850 +a(g7 +V\u000a\u000a #\u000a +p6851 +tp6852 +a(g822 +V +p6853 +tp6854 +a(g822 +VimageStdWrap +p6855 +tp6856 +a(g701 +g998 +tp6857 +a(g822 +VdataWrap +p6858 +tp6859 +a(g822 +g978 +tp6860 +a(g408 +g982 +tp6861 +a(g822 +g978 +tp6862 +a(g84 +V

    +p6872 +tp6873 +a(g822 +g978 +tp6874 +a(g408 +g1370 +tp6875 +a(g822 +g978 +tp6876 +a(g84 +g972 +tp6877 +a(g84 +V/div +p6878 +tp6879 +a(g84 +g992 +tp6880 +a(g822 +V\u000a +p6881 +tp6882 +a(g822 +VimageStdWrapNoWidth +p6883 +tp6884 +a(g701 +g998 +tp6885 +a(g822 +Vwrap +p6886 +tp6887 +a(g822 +g978 +tp6888 +a(g408 +g982 +tp6889 +a(g822 +g978 +tp6890 +a(g84 +g972 +tp6891 +a(g84 +Vdiv +p6892 +tp6893 +a(g822 +g978 +tp6894 +a(g84 +Vclass +p6895 +tp6896 +a(g84 +g982 +tp6897 +a(g84 +V"csc-textpic-imagewrap" +p6898 +tp6899 +a(g84 +g992 +tp6900 +a(g822 +g978 +tp6901 +a(g408 +g1370 +tp6902 +a(g822 +g978 +tp6903 +a(g84 +g972 +tp6904 +a(g84 +V/div +p6905 +tp6906 +a(g84 +g992 +tp6907 +a(g822 +V\u000a\u000a +p6908 +tp6909 +a(g7 +V# if noRows is set, wrap around each column: +p6910 +tp6911 +a(g822 +V\u000a +p6912 +tp6913 +a(g822 +VimageColumnStdWrap +p6914 +tp6915 +a(g701 +g998 +tp6916 +a(g822 +VdataWrap +p6917 +tp6918 +a(g822 +g978 +tp6919 +a(g408 +g982 +tp6920 +a(g822 +g978 +tp6921 +a(g84 +V
    +p6931 +tp6932 +a(g822 +g978 +tp6933 +a(g408 +g1370 +tp6934 +a(g822 +g978 +tp6935 +a(g84 +g972 +tp6936 +a(g84 +V/div +p6937 +tp6938 +a(g84 +g992 +tp6939 +a(g822 +V\u000a\u000a +p6940 +tp6941 +a(g822 +Vlayout +p6942 +tp6943 +a(g822 +g978 +tp6944 +a(g408 +g982 +tp6945 +a(g822 +g978 +tp6946 +a(g624 +VCASE +p6947 +tp6948 +a(g822 +V\u000a +p6949 +tp6950 +a(g822 +Vlayout +p6951 +tp6952 +a(g822 +g978 +tp6953 +a(g112 +g1015 +tp6954 +a(g822 +V\u000a +p6955 +tp6956 +a(g822 +Vkey +p6957 +tp6958 +a(g701 +g998 +tp6959 +a(g822 +Vfield +p6960 +tp6961 +a(g822 +g978 +tp6962 +a(g408 +g982 +tp6963 +a(g822 +g978 +tp6964 +a(g822 +Vimageorient +p6965 +tp6966 +a(g822 +V\u000a +p6967 +tp6968 +a(g7 +V# above-center +p6969 +tp6970 +a(g822 +V\u000a +p6971 +tp6972 +a(g822 +Vdefault +p6973 +tp6974 +a(g822 +g978 +tp6975 +a(g408 +g982 +tp6976 +a(g822 +g978 +tp6977 +a(g624 +VTEXT +p6978 +tp6979 +a(g822 +V\u000a +p6980 +tp6981 +a(g822 +Vdefault +p6982 +tp6983 +a(g701 +g998 +tp6984 +a(g822 +Vvalue +p6985 +tp6986 +a(g822 +g978 +tp6987 +a(g408 +g982 +tp6988 +a(g822 +g978 +tp6989 +a(g84 +V
    +p6994 +tp6995 +a(g561 +V###IMAGES### +p6996 +tp6997 +a(g561 +V###TEXT### +p6998 +tp6999 +a(g84 +g972 +tp7000 +a(g84 +V/div +p7001 +tp7002 +a(g84 +g992 +tp7003 +a(g84 +g972 +tp7004 +a(g84 +Vdiv +p7005 +tp7006 +a(g822 +g978 +tp7007 +a(g84 +Vclass +p7008 +tp7009 +a(g84 +g982 +tp7010 +a(g84 +V"csc-textpic-clear" +p7011 +tp7012 +a(g84 +g992 +tp7013 +a(g84 +g972 +tp7014 +a(g84 +V!-- +p7015 +tp7016 +a(g822 +g978 +tp7017 +a(g84 +V-- +p7018 +tp7019 +a(g84 +g992 +tp7020 +a(g84 +g972 +tp7021 +a(g84 +V/div +p7022 +tp7023 +a(g84 +g992 +tp7024 +a(g822 +V\u000a +p7025 +tp7026 +a(g7 +V# above-right +p7027 +tp7028 +a(g822 +V\u000a +p7029 +tp7030 +a(g32 +g1024 +tp7031 +a(g822 +g978 +tp7032 +a(g408 +g982 +tp7033 +a(g822 +g978 +tp7034 +a(g624 +VTEXT +p7035 +tp7036 +a(g822 +V\u000a +p7037 +tp7038 +a(g32 +g1024 +tp7039 +a(g701 +g998 +tp7040 +a(g822 +Vvalue +p7041 +tp7042 +a(g822 +g978 +tp7043 +a(g408 +g982 +tp7044 +a(g822 +g978 +tp7045 +a(g84 +V
    +p7050 +tp7051 +a(g561 +V###IMAGES### +p7052 +tp7053 +a(g561 +V###TEXT### +p7054 +tp7055 +a(g84 +g972 +tp7056 +a(g84 +V/div +p7057 +tp7058 +a(g84 +g992 +tp7059 +a(g84 +g972 +tp7060 +a(g84 +Vdiv +p7061 +tp7062 +a(g822 +g978 +tp7063 +a(g84 +Vclass +p7064 +tp7065 +a(g84 +g982 +tp7066 +a(g84 +V"csc-textpic-clear" +p7067 +tp7068 +a(g84 +g992 +tp7069 +a(g84 +g972 +tp7070 +a(g84 +V!-- +p7071 +tp7072 +a(g822 +g978 +tp7073 +a(g84 +V-- +p7074 +tp7075 +a(g84 +g992 +tp7076 +a(g84 +g972 +tp7077 +a(g84 +V/div +p7078 +tp7079 +a(g84 +g992 +tp7080 +a(g822 +V\u000a +p7081 +tp7082 +a(g7 +V# above-left +p7083 +tp7084 +a(g822 +V\u000a +p7085 +tp7086 +a(g32 +g1847 +tp7087 +a(g822 +g978 +tp7088 +a(g408 +g982 +tp7089 +a(g822 +g978 +tp7090 +a(g624 +VTEXT +p7091 +tp7092 +a(g822 +V\u000a +p7093 +tp7094 +a(g32 +g1847 +tp7095 +a(g701 +g998 +tp7096 +a(g822 +Vvalue +p7097 +tp7098 +a(g822 +g978 +tp7099 +a(g408 +g982 +tp7100 +a(g822 +g978 +tp7101 +a(g84 +V
    +p7106 +tp7107 +a(g561 +V###IMAGES### +p7108 +tp7109 +a(g561 +V###TEXT### +p7110 +tp7111 +a(g84 +g972 +tp7112 +a(g84 +V/div +p7113 +tp7114 +a(g84 +g992 +tp7115 +a(g84 +g972 +tp7116 +a(g84 +Vdiv +p7117 +tp7118 +a(g822 +g978 +tp7119 +a(g84 +Vclass +p7120 +tp7121 +a(g84 +g982 +tp7122 +a(g84 +V"csc-textpic-clear" +p7123 +tp7124 +a(g84 +g992 +tp7125 +a(g84 +g972 +tp7126 +a(g84 +V!-- +p7127 +tp7128 +a(g822 +g978 +tp7129 +a(g84 +V-- +p7130 +tp7131 +a(g84 +g992 +tp7132 +a(g84 +g972 +tp7133 +a(g84 +V/div +p7134 +tp7135 +a(g84 +g992 +tp7136 +a(g822 +V\u000a +p7137 +tp7138 +a(g7 +V# below-center +p7139 +tp7140 +a(g822 +V\u000a +p7141 +tp7142 +a(g32 +V8 +p7143 +tp7144 +a(g822 +g978 +tp7145 +a(g408 +g982 +tp7146 +a(g822 +g978 +tp7147 +a(g624 +VTEXT +p7148 +tp7149 +a(g822 +V\u000a +p7150 +tp7151 +a(g32 +g7143 +tp7152 +a(g701 +g998 +tp7153 +a(g822 +Vvalue +p7154 +tp7155 +a(g822 +g978 +tp7156 +a(g408 +g982 +tp7157 +a(g822 +g978 +tp7158 +a(g84 +V
    +p7163 +tp7164 +a(g561 +V###TEXT### +p7165 +tp7166 +a(g561 +V###IMAGES### +p7167 +tp7168 +a(g84 +g972 +tp7169 +a(g84 +V/div +p7170 +tp7171 +a(g84 +g992 +tp7172 +a(g84 +g972 +tp7173 +a(g84 +Vdiv +p7174 +tp7175 +a(g822 +g978 +tp7176 +a(g84 +Vclass +p7177 +tp7178 +a(g84 +g982 +tp7179 +a(g84 +V"csc-textpic-clear" +p7180 +tp7181 +a(g84 +g992 +tp7182 +a(g84 +g972 +tp7183 +a(g84 +V!-- +p7184 +tp7185 +a(g822 +g978 +tp7186 +a(g84 +V-- +p7187 +tp7188 +a(g84 +g992 +tp7189 +a(g84 +g972 +tp7190 +a(g84 +V/div +p7191 +tp7192 +a(g84 +g992 +tp7193 +a(g822 +V\u000a +p7194 +tp7195 +a(g7 +V# below-right +p7196 +tp7197 +a(g822 +V\u000a +p7198 +tp7199 +a(g32 +V9 +p7200 +tp7201 +a(g822 +g978 +tp7202 +a(g408 +g982 +tp7203 +a(g822 +g978 +tp7204 +a(g624 +VTEXT +p7205 +tp7206 +a(g822 +V\u000a +p7207 +tp7208 +a(g32 +g7200 +tp7209 +a(g701 +g998 +tp7210 +a(g822 +Vvalue +p7211 +tp7212 +a(g822 +g978 +tp7213 +a(g408 +g982 +tp7214 +a(g822 +g978 +tp7215 +a(g84 +V
    +p7220 +tp7221 +a(g561 +V###TEXT### +p7222 +tp7223 +a(g561 +V###IMAGES### +p7224 +tp7225 +a(g84 +g972 +tp7226 +a(g84 +V/div +p7227 +tp7228 +a(g84 +g992 +tp7229 +a(g84 +g972 +tp7230 +a(g84 +Vdiv +p7231 +tp7232 +a(g822 +g978 +tp7233 +a(g84 +Vclass +p7234 +tp7235 +a(g84 +g982 +tp7236 +a(g84 +V"csc-textpic-clear" +p7237 +tp7238 +a(g84 +g992 +tp7239 +a(g84 +g972 +tp7240 +a(g84 +V!-- +p7241 +tp7242 +a(g822 +g978 +tp7243 +a(g84 +V-- +p7244 +tp7245 +a(g84 +g992 +tp7246 +a(g84 +g972 +tp7247 +a(g84 +V/div +p7248 +tp7249 +a(g84 +g992 +tp7250 +a(g822 +V\u000a +p7251 +tp7252 +a(g7 +V# below-left +p7253 +tp7254 +a(g822 +V\u000a +p7255 +tp7256 +a(g32 +V10 +p7257 +tp7258 +a(g822 +g978 +tp7259 +a(g408 +g982 +tp7260 +a(g822 +g978 +tp7261 +a(g624 +VTEXT +p7262 +tp7263 +a(g822 +V\u000a +p7264 +tp7265 +a(g32 +V10 +p7266 +tp7267 +a(g701 +g998 +tp7268 +a(g822 +Vvalue +p7269 +tp7270 +a(g822 +g978 +tp7271 +a(g408 +g982 +tp7272 +a(g822 +g978 +tp7273 +a(g84 +V
    +p7278 +tp7279 +a(g561 +V###TEXT### +p7280 +tp7281 +a(g561 +V###IMAGES### +p7282 +tp7283 +a(g84 +g972 +tp7284 +a(g84 +V/div +p7285 +tp7286 +a(g84 +g992 +tp7287 +a(g84 +g972 +tp7288 +a(g84 +Vdiv +p7289 +tp7290 +a(g822 +g978 +tp7291 +a(g84 +Vclass +p7292 +tp7293 +a(g84 +g982 +tp7294 +a(g84 +V"csc-textpic-clear" +p7295 +tp7296 +a(g84 +g992 +tp7297 +a(g84 +g972 +tp7298 +a(g84 +V!-- +p7299 +tp7300 +a(g822 +g978 +tp7301 +a(g84 +V-- +p7302 +tp7303 +a(g84 +g992 +tp7304 +a(g84 +g972 +tp7305 +a(g84 +V/div +p7306 +tp7307 +a(g84 +g992 +tp7308 +a(g822 +V\u000a +p7309 +tp7310 +a(g7 +V# intext-right +p7311 +tp7312 +a(g822 +V\u000a +p7313 +tp7314 +a(g32 +V17 +p7315 +tp7316 +a(g822 +g978 +tp7317 +a(g408 +g982 +tp7318 +a(g822 +g978 +tp7319 +a(g624 +VTEXT +p7320 +tp7321 +a(g822 +V\u000a +p7322 +tp7323 +a(g32 +V17 +p7324 +tp7325 +a(g701 +g998 +tp7326 +a(g822 +Vvalue +p7327 +tp7328 +a(g822 +g978 +tp7329 +a(g408 +g982 +tp7330 +a(g822 +g978 +tp7331 +a(g84 +V
    +p7336 +tp7337 +a(g561 +V###IMAGES### +p7338 +tp7339 +a(g561 +V###TEXT### +p7340 +tp7341 +a(g84 +g972 +tp7342 +a(g84 +V/div +p7343 +tp7344 +a(g84 +g992 +tp7345 +a(g822 +V\u000a +p7346 +tp7347 +a(g32 +V17 +p7348 +tp7349 +a(g701 +g998 +tp7350 +a(g822 +Voverride +p7351 +tp7352 +a(g822 +g978 +tp7353 +a(g408 +g982 +tp7354 +a(g822 +g978 +tp7355 +a(g84 +V
    +p7360 +tp7361 +a(g561 +V###IMAGES### +p7362 +tp7363 +a(g561 +V###TEXT### +p7364 +tp7365 +a(g84 +g972 +tp7366 +a(g84 +V/div +p7367 +tp7368 +a(g84 +g992 +tp7369 +a(g84 +g972 +tp7370 +a(g84 +Vdiv +p7371 +tp7372 +a(g822 +g978 +tp7373 +a(g84 +Vclass +p7374 +tp7375 +a(g84 +g982 +tp7376 +a(g84 +V"csc-textpic-clear" +p7377 +tp7378 +a(g84 +g992 +tp7379 +a(g84 +g972 +tp7380 +a(g84 +V!-- +p7381 +tp7382 +a(g822 +g978 +tp7383 +a(g84 +V-- +p7384 +tp7385 +a(g84 +g992 +tp7386 +a(g84 +g972 +tp7387 +a(g84 +V/div +p7388 +tp7389 +a(g84 +g992 +tp7390 +a(g822 +V\u000a +p7391 +tp7392 +a(g32 +V17 +p7393 +tp7394 +a(g701 +g998 +tp7395 +a(g822 +Voverride +p7396 +tp7397 +a(g701 +g998 +tp7398 +a(g569 +Vif +p7399 +tp7400 +a(g701 +g998 +tp7401 +a(g822 +VisTrue +p7402 +tp7403 +a(g822 +g978 +tp7404 +a(g408 +g982 +tp7405 +a(g822 +g978 +tp7406 +a(g112 +g1015 +tp7407 +a(g408 +g1391 +tp7408 +a(g561 +Vstyles.content.imgtext. +p7409 +tp7410 +a(g561 +VaddIntextClearer +p7411 +tp7412 +a(g112 +g1097 +tp7413 +a(g822 +V\u000a +p7414 +tp7415 +a(g7 +V# intext-left +p7416 +tp7417 +a(g822 +V\u000a +p7418 +tp7419 +a(g32 +V18 +p7420 +tp7421 +a(g822 +g978 +tp7422 +a(g408 +g982 +tp7423 +a(g822 +g978 +tp7424 +a(g624 +VTEXT +p7425 +tp7426 +a(g822 +V\u000a +p7427 +tp7428 +a(g32 +V18 +p7429 +tp7430 +a(g701 +g998 +tp7431 +a(g822 +Vvalue +p7432 +tp7433 +a(g822 +g978 +tp7434 +a(g408 +g982 +tp7435 +a(g822 +g978 +tp7436 +a(g84 +V
    +p7441 +tp7442 +a(g561 +V###IMAGES### +p7443 +tp7444 +a(g561 +V###TEXT### +p7445 +tp7446 +a(g84 +g972 +tp7447 +a(g84 +V/div +p7448 +tp7449 +a(g84 +g992 +tp7450 +a(g822 +V\u000a +p7451 +tp7452 +a(g32 +V18 +p7453 +tp7454 +a(g701 +g998 +tp7455 +a(g822 +Voverride +p7456 +tp7457 +a(g822 +g978 +tp7458 +a(g408 +g982 +tp7459 +a(g822 +g978 +tp7460 +a(g84 +V
    +p7465 +tp7466 +a(g561 +V###IMAGES### +p7467 +tp7468 +a(g561 +V###TEXT### +p7469 +tp7470 +a(g84 +g972 +tp7471 +a(g84 +V/div +p7472 +tp7473 +a(g84 +g992 +tp7474 +a(g84 +g972 +tp7475 +a(g84 +Vdiv +p7476 +tp7477 +a(g822 +g978 +tp7478 +a(g84 +Vclass +p7479 +tp7480 +a(g84 +g982 +tp7481 +a(g84 +V"csc-textpic-clear" +p7482 +tp7483 +a(g84 +g992 +tp7484 +a(g84 +g972 +tp7485 +a(g84 +V!-- +p7486 +tp7487 +a(g822 +g978 +tp7488 +a(g84 +V-- +p7489 +tp7490 +a(g84 +g992 +tp7491 +a(g84 +g972 +tp7492 +a(g84 +V/div +p7493 +tp7494 +a(g84 +g992 +tp7495 +a(g822 +V\u000a +p7496 +tp7497 +a(g32 +V18 +p7498 +tp7499 +a(g701 +g998 +tp7500 +a(g822 +Voverride +p7501 +tp7502 +a(g701 +g998 +tp7503 +a(g569 +Vif +p7504 +tp7505 +a(g701 +g998 +tp7506 +a(g822 +VisTrue +p7507 +tp7508 +a(g822 +g978 +tp7509 +a(g408 +g982 +tp7510 +a(g822 +g978 +tp7511 +a(g112 +g1015 +tp7512 +a(g408 +g1391 +tp7513 +a(g561 +Vstyles.content.imgtext. +p7514 +tp7515 +a(g561 +VaddIntextClearer +p7516 +tp7517 +a(g112 +g1097 +tp7518 +a(g822 +V\u000a +p7519 +tp7520 +a(g7 +V# intext-right-nowrap +p7521 +tp7522 +a(g822 +V\u000a +p7523 +tp7524 +a(g32 +V25 +p7525 +tp7526 +a(g822 +g978 +tp7527 +a(g408 +g982 +tp7528 +a(g822 +g978 +tp7529 +a(g624 +VTEXT +p7530 +tp7531 +a(g822 +V\u000a +p7532 +tp7533 +a(g32 +V25 +p7534 +tp7535 +a(g701 +g998 +tp7536 +a(g822 +Vvalue +p7537 +tp7538 +a(g822 +g978 +tp7539 +a(g408 +g982 +tp7540 +a(g822 +g978 +tp7541 +a(g84 +V
    +p7546 +tp7547 +a(g561 +V###IMAGES### +p7548 +tp7549 +a(g84 +V
    +p7559 +tp7560 +a(g561 +V###TEXT### +p7561 +tp7562 +a(g84 +g972 +tp7563 +a(g84 +V/div +p7564 +tp7565 +a(g84 +g992 +tp7566 +a(g84 +g972 +tp7567 +a(g84 +V/div +p7568 +tp7569 +a(g84 +g992 +tp7570 +a(g84 +g972 +tp7571 +a(g84 +Vdiv +p7572 +tp7573 +a(g822 +g978 +tp7574 +a(g84 +Vclass +p7575 +tp7576 +a(g84 +g982 +tp7577 +a(g84 +V"csc-textpic-clear" +p7578 +tp7579 +a(g84 +g992 +tp7580 +a(g84 +g972 +tp7581 +a(g84 +V!-- +p7582 +tp7583 +a(g822 +g978 +tp7584 +a(g84 +V-- +p7585 +tp7586 +a(g84 +g992 +tp7587 +a(g84 +g972 +tp7588 +a(g84 +V/div +p7589 +tp7590 +a(g84 +g992 +tp7591 +a(g822 +V\u000a +p7592 +tp7593 +a(g32 +V25 +p7594 +tp7595 +a(g701 +g998 +tp7596 +a(g822 +VinsertData +p7597 +tp7598 +a(g822 +g978 +tp7599 +a(g408 +g982 +tp7600 +a(g822 +g978 +tp7601 +a(g32 +g1024 +tp7602 +a(g822 +V\u000a +p7603 +tp7604 +a(g7 +V# intext-left-nowrap +p7605 +tp7606 +a(g822 +V\u000a +p7607 +tp7608 +a(g32 +V26 +p7609 +tp7610 +a(g822 +g978 +tp7611 +a(g408 +g982 +tp7612 +a(g822 +g978 +tp7613 +a(g624 +VTEXT +p7614 +tp7615 +a(g822 +V\u000a +p7616 +tp7617 +a(g32 +V26 +p7618 +tp7619 +a(g701 +g998 +tp7620 +a(g822 +Vvalue +p7621 +tp7622 +a(g822 +g978 +tp7623 +a(g408 +g982 +tp7624 +a(g822 +g978 +tp7625 +a(g84 +V
    +p7630 +tp7631 +a(g561 +V###IMAGES### +p7632 +tp7633 +a(g84 +V
    +p7643 +tp7644 +a(g561 +V###TEXT### +p7645 +tp7646 +a(g84 +g972 +tp7647 +a(g84 +V/div +p7648 +tp7649 +a(g84 +g992 +tp7650 +a(g84 +g972 +tp7651 +a(g84 +V/div +p7652 +tp7653 +a(g84 +g992 +tp7654 +a(g84 +g972 +tp7655 +a(g84 +Vdiv +p7656 +tp7657 +a(g822 +g978 +tp7658 +a(g84 +Vclass +p7659 +tp7660 +a(g84 +g982 +tp7661 +a(g84 +V"csc-textpic-clear" +p7662 +tp7663 +a(g84 +g992 +tp7664 +a(g84 +g972 +tp7665 +a(g84 +V!-- +p7666 +tp7667 +a(g822 +g978 +tp7668 +a(g84 +V-- +p7669 +tp7670 +a(g84 +g992 +tp7671 +a(g84 +g972 +tp7672 +a(g84 +V/div +p7673 +tp7674 +a(g84 +g992 +tp7675 +a(g822 +V\u000a +p7676 +tp7677 +a(g32 +V26 +p7678 +tp7679 +a(g701 +g998 +tp7680 +a(g822 +VinsertData +p7681 +tp7682 +a(g822 +g978 +tp7683 +a(g408 +g982 +tp7684 +a(g822 +g978 +tp7685 +a(g32 +g1024 +tp7686 +a(g822 +V\u000a +p7687 +tp7688 +a(g112 +g1097 +tp7689 +a(g822 +V\u000a\u000a +p7690 +tp7691 +a(g822 +Vrendering +p7692 +tp7693 +a(g822 +g978 +tp7694 +a(g112 +g1015 +tp7695 +a(g822 +V\u000a +p7696 +tp7697 +a(g822 +Vdl +p7698 +tp7699 +a(g822 +g978 +tp7700 +a(g112 +g1015 +tp7701 +a(g822 +V\u000a +p7702 +tp7703 +a(g7 +V# Choose another rendering for special edge cases +p7704 +tp7705 +a(g822 +V\u000a +p7706 +tp7707 +a(g822 +VfallbackRendering +p7708 +tp7709 +a(g822 +g978 +tp7710 +a(g408 +g982 +tp7711 +a(g822 +g978 +tp7712 +a(g624 +VCOA +p7713 +tp7714 +a(g822 +V\u000a +p7715 +tp7716 +a(g822 +VfallbackRendering +p7717 +tp7718 +a(g822 +g978 +tp7719 +a(g112 +g1015 +tp7720 +a(g822 +V\u000a +p7721 +tp7722 +a(g7 +V# Just one image without a caption => don't need the dl-overhead, use the "simple" rendering +p7723 +tp7724 +a(g822 +V\u000a +p7725 +tp7726 +a(g32 +V10 +p7727 +tp7728 +a(g822 +g978 +tp7729 +a(g408 +g982 +tp7730 +a(g822 +g978 +tp7731 +a(g624 +VTEXT +p7732 +tp7733 +a(g822 +V\u000a +p7734 +tp7735 +a(g32 +V10 +p7736 +tp7737 +a(g822 +g978 +tp7738 +a(g112 +g1015 +tp7739 +a(g822 +V\u000a +p7740 +tp7741 +a(g569 +Vif +p7742 +tp7743 +a(g822 +g978 +tp7744 +a(g112 +g1015 +tp7745 +a(g822 +V\u000a +p7746 +tp7747 +a(g822 +VisFalse +p7748 +tp7749 +a(g701 +g998 +tp7750 +a(g822 +Vfield +p7751 +tp7752 +a(g822 +g978 +tp7753 +a(g408 +g982 +tp7754 +a(g822 +g978 +tp7755 +a(g822 +Vimagecaption +p7756 +tp7757 +a(g822 +V\u000a +p7758 +tp7759 +a(g822 +Vvalue +p7760 +tp7761 +a(g822 +g978 +tp7762 +a(g408 +g982 +tp7763 +a(g822 +g978 +tp7764 +a(g32 +g1024 +tp7765 +a(g822 +V\u000a +p7766 +tp7767 +a(g822 +Vequals +p7768 +tp7769 +a(g701 +g998 +tp7770 +a(g822 +Vdata +p7771 +tp7772 +a(g822 +g978 +tp7773 +a(g408 +V= +p7774 +tp7775 +a(g677 +Vregister +p7776 +tp7777 +a(g408 +g976 +tp7778 +a(g822 +VimageCount +p7779 +tp7780 +a(g822 +V\u000a +p7781 +tp7782 +a(g112 +g1097 +tp7783 +a(g822 +V\u000a +p7784 +tp7785 +a(g822 +Vvalue +p7786 +tp7787 +a(g822 +g978 +tp7788 +a(g408 +g982 +tp7789 +a(g822 +g978 +tp7790 +a(g822 +Vsimple +p7791 +tp7792 +a(g822 +V\u000a +p7793 +tp7794 +a(g112 +g1097 +tp7795 +a(g822 +V\u000a\u000a +p7796 +tp7797 +a(g7 +V# Multiple images and one global caption => "ul" +p7798 +tp7799 +a(g822 +V\u000a +p7800 +tp7801 +a(g32 +V20 +p7802 +tp7803 +a(g822 +g978 +tp7804 +a(g408 +g982 +tp7805 +a(g822 +g978 +tp7806 +a(g624 +VTEXT +p7807 +tp7808 +a(g822 +V\u000a +p7809 +tp7810 +a(g32 +V20 +p7811 +tp7812 +a(g822 +g978 +tp7813 +a(g112 +g1015 +tp7814 +a(g822 +V\u000a +p7815 +tp7816 +a(g569 +Vif +p7817 +tp7818 +a(g822 +g978 +tp7819 +a(g112 +g1015 +tp7820 +a(g822 +V\u000a +p7821 +tp7822 +a(g822 +Vvalue +p7823 +tp7824 +a(g822 +g978 +tp7825 +a(g408 +g982 +tp7826 +a(g822 +g978 +tp7827 +a(g32 +g1024 +tp7828 +a(g822 +V\u000a +p7829 +tp7830 +a(g822 +VisGreaterThan +p7831 +tp7832 +a(g701 +g998 +tp7833 +a(g822 +Vdata +p7834 +tp7835 +a(g822 +g978 +tp7836 +a(g408 +V= +p7837 +tp7838 +a(g677 +Vregister +p7839 +tp7840 +a(g408 +g976 +tp7841 +a(g822 +VimageCount +p7842 +tp7843 +a(g822 +V\u000a +p7844 +tp7845 +a(g822 +VisTrue +p7846 +tp7847 +a(g701 +g998 +tp7848 +a(g569 +Vif +p7849 +tp7850 +a(g701 +g998 +tp7851 +a(g822 +VisTrue +p7852 +tp7853 +a(g701 +g998 +tp7854 +a(g822 +Vdata +p7855 +tp7856 +a(g822 +g978 +tp7857 +a(g408 +V= +p7858 +tp7859 +a(g677 +Vregister +p7860 +tp7861 +a(g408 +g976 +tp7862 +a(g822 +VrenderGlobalCaption +p7863 +tp7864 +a(g822 +V\u000a +p7865 +tp7866 +a(g822 +VisTrue +p7867 +tp7868 +a(g701 +g998 +tp7869 +a(g822 +Vfield +p7870 +tp7871 +a(g822 +g978 +tp7872 +a(g408 +g982 +tp7873 +a(g822 +g978 +tp7874 +a(g822 +Vimagecaption +p7875 +tp7876 +a(g822 +V\u000a +p7877 +tp7878 +a(g112 +g1097 +tp7879 +a(g822 +V\u000a +p7880 +tp7881 +a(g822 +Vvalue +p7882 +tp7883 +a(g822 +g978 +tp7884 +a(g408 +g982 +tp7885 +a(g822 +g978 +tp7886 +a(g822 +Vul +p7887 +tp7888 +a(g822 +V\u000a +p7889 +tp7890 +a(g112 +g1097 +tp7891 +a(g822 +V\u000a\u000a +p7892 +tp7893 +a(g7 +V# Multiple images and no caption at all => "ul" +p7894 +tp7895 +a(g822 +V\u000a +p7896 +tp7897 +a(g32 +V30 +p7898 +tp7899 +a(g822 +g978 +tp7900 +a(g408 +g982 +tp7901 +a(g822 +g978 +tp7902 +a(g624 +VTEXT +p7903 +tp7904 +a(g822 +V\u000a +p7905 +tp7906 +a(g32 +V30 +p7907 +tp7908 +a(g822 +g978 +tp7909 +a(g112 +g1015 +tp7910 +a(g822 +V\u000a +p7911 +tp7912 +a(g569 +Vif +p7913 +tp7914 +a(g822 +g978 +tp7915 +a(g112 +g1015 +tp7916 +a(g822 +V\u000a +p7917 +tp7918 +a(g822 +Vvalue +p7919 +tp7920 +a(g822 +g978 +tp7921 +a(g408 +g982 +tp7922 +a(g822 +g978 +tp7923 +a(g32 +g1024 +tp7924 +a(g822 +V\u000a +p7925 +tp7926 +a(g822 +VisGreaterThan +p7927 +tp7928 +a(g701 +g998 +tp7929 +a(g822 +Vdata +p7930 +tp7931 +a(g822 +g978 +tp7932 +a(g408 +V= +p7933 +tp7934 +a(g677 +Vregister +p7935 +tp7936 +a(g408 +g976 +tp7937 +a(g822 +VimageCount +p7938 +tp7939 +a(g822 +V\u000a +p7940 +tp7941 +a(g822 +VisFalse +p7942 +tp7943 +a(g701 +g998 +tp7944 +a(g822 +Vfield +p7945 +tp7946 +a(g822 +g978 +tp7947 +a(g408 +g982 +tp7948 +a(g822 +g978 +tp7949 +a(g822 +Vimagecaption +p7950 +tp7951 +a(g822 +V\u000a +p7952 +tp7953 +a(g112 +g1097 +tp7954 +a(g822 +V\u000a +p7955 +tp7956 +a(g822 +Vvalue +p7957 +tp7958 +a(g822 +g978 +tp7959 +a(g408 +g982 +tp7960 +a(g822 +g978 +tp7961 +a(g822 +Vul +p7962 +tp7963 +a(g822 +V\u000a +p7964 +tp7965 +a(g112 +g1097 +tp7966 +a(g822 +V\u000a +p7967 +tp7968 +a(g112 +g1097 +tp7969 +a(g822 +V\u000a +p7970 +tp7971 +a(g822 +VimageRowStdWrap +p7972 +tp7973 +a(g701 +g998 +tp7974 +a(g822 +VdataWrap +p7975 +tp7976 +a(g822 +g978 +tp7977 +a(g408 +g982 +tp7978 +a(g822 +g978 +tp7979 +a(g84 +V
    +p7989 +tp7990 +a(g822 +g978 +tp7991 +a(g408 +g1370 +tp7992 +a(g822 +g978 +tp7993 +a(g84 +g972 +tp7994 +a(g84 +V/div +p7995 +tp7996 +a(g84 +g992 +tp7997 +a(g822 +V\u000a +p7998 +tp7999 +a(g822 +VimageLastRowStdWrap +p8000 +tp8001 +a(g701 +g998 +tp8002 +a(g822 +VdataWrap +p8003 +tp8004 +a(g822 +g978 +tp8005 +a(g408 +g982 +tp8006 +a(g822 +g978 +tp8007 +a(g84 +V
    +p8017 +tp8018 +a(g822 +g978 +tp8019 +a(g408 +g1370 +tp8020 +a(g822 +g978 +tp8021 +a(g84 +g972 +tp8022 +a(g84 +V/div +p8023 +tp8024 +a(g84 +g992 +tp8025 +a(g822 +V\u000a +p8026 +tp8027 +a(g822 +VnoRowsStdWrap +p8028 +tp8029 +a(g701 +g998 +tp8030 +a(g822 +Vwrap +p8031 +tp8032 +a(g822 +g978 +tp8033 +a(g408 +g982 +tp8034 +a(g822 +V\u000a +p8035 +tp8036 +a(g822 +VoneImageStdWrap +p8037 +tp8038 +a(g701 +g998 +tp8039 +a(g822 +VdataWrap +p8040 +tp8041 +a(g822 +g978 +tp8042 +a(g408 +g982 +tp8043 +a(g822 +g978 +tp8044 +a(g84 +V
    +p8049 +tp8050 +a(g822 +g978 +tp8051 +a(g408 +g1370 +tp8052 +a(g822 +g978 +tp8053 +a(g84 +g972 +tp8054 +a(g84 +V/dl +p8055 +tp8056 +a(g84 +g992 +tp8057 +a(g822 +V\u000a +p8058 +tp8059 +a(g822 +VimgTagStdWrap +p8060 +tp8061 +a(g701 +g998 +tp8062 +a(g822 +Vwrap +p8063 +tp8064 +a(g822 +g978 +tp8065 +a(g408 +g982 +tp8066 +a(g822 +g978 +tp8067 +a(g84 +g972 +tp8068 +a(g84 +Vdt +p8069 +tp8070 +a(g84 +g992 +tp8071 +a(g822 +g978 +tp8072 +a(g408 +g1370 +tp8073 +a(g822 +g978 +tp8074 +a(g84 +g972 +tp8075 +a(g84 +V/dt +p8076 +tp8077 +a(g84 +g992 +tp8078 +a(g822 +V\u000a +p8079 +tp8080 +a(g822 +VeditIconsStdWrap +p8081 +tp8082 +a(g701 +g998 +tp8083 +a(g822 +Vwrap +p8084 +tp8085 +a(g822 +g978 +tp8086 +a(g408 +g982 +tp8087 +a(g822 +g978 +tp8088 +a(g84 +g972 +tp8089 +a(g84 +Vdd +p8090 +tp8091 +a(g84 +g992 +tp8092 +a(g822 +g978 +tp8093 +a(g408 +g1370 +tp8094 +a(g822 +g978 +tp8095 +a(g84 +g972 +tp8096 +a(g84 +V/dd +p8097 +tp8098 +a(g84 +g992 +tp8099 +a(g822 +V\u000a +p8100 +tp8101 +a(g822 +Vcaption +p8102 +tp8103 +a(g822 +g978 +tp8104 +a(g112 +g1015 +tp8105 +a(g822 +V\u000a +p8106 +tp8107 +a(g822 +Vrequired +p8108 +tp8109 +a(g822 +g978 +tp8110 +a(g408 +g982 +tp8111 +a(g822 +g978 +tp8112 +a(g32 +g1024 +tp8113 +a(g822 +V\u000a +p8114 +tp8115 +a(g822 +Vwrap +p8116 +tp8117 +a(g822 +g978 +tp8118 +a(g408 +g982 +tp8119 +a(g822 +g978 +tp8120 +a(g84 +g972 +tp8121 +a(g84 +Vdd +p8122 +tp8123 +a(g822 +g978 +tp8124 +a(g84 +Vclass +p8125 +tp8126 +a(g84 +g982 +tp8127 +a(g84 +V"csc-textpic-caption" +p8128 +tp8129 +a(g84 +g992 +tp8130 +a(g822 +g978 +tp8131 +a(g408 +g1370 +tp8132 +a(g822 +g978 +tp8133 +a(g84 +g972 +tp8134 +a(g84 +V/dd +p8135 +tp8136 +a(g84 +g992 +tp8137 +a(g822 +V\u000a +p8138 +tp8139 +a(g112 +g1097 +tp8140 +a(g822 +V\u000a +p8141 +tp8142 +a(g112 +g1097 +tp8143 +a(g822 +V\u000a +p8144 +tp8145 +a(g822 +Vul +p8146 +tp8147 +a(g822 +g978 +tp8148 +a(g112 +g1015 +tp8149 +a(g822 +V\u000a +p8150 +tp8151 +a(g7 +V# Just one image without a caption => don't need the ul-overhead, use the "simple" rendering +p8152 +tp8153 +a(g822 +V\u000a +p8154 +tp8155 +a(g822 +VfallbackRendering +p8156 +tp8157 +a(g822 +g978 +tp8158 +a(g408 +V< +p8159 +tp8160 +a(g677 +Vtt_content +p8161 +tp8162 +a(g701 +g998 +tp8163 +a(g822 +Vimage +p8164 +tp8165 +a(g701 +g998 +tp8166 +a(g32 +V20 +p8167 +tp8168 +a(g701 +g998 +tp8169 +a(g822 +Vrendering +p8170 +tp8171 +a(g701 +g998 +tp8172 +a(g822 +Vdl +p8173 +tp8174 +a(g701 +g998 +tp8175 +a(g822 +VfallbackRendering +p8176 +tp8177 +a(g701 +g998 +tp8178 +a(g32 +V10 +p8179 +tp8180 +a(g822 +V\u000a +p8181 +tp8182 +a(g822 +VimageRowStdWrap +p8183 +tp8184 +a(g701 +g998 +tp8185 +a(g822 +VdataWrap +p8186 +tp8187 +a(g822 +g978 +tp8188 +a(g408 +g982 +tp8189 +a(g822 +g978 +tp8190 +a(g84 +V
    +p8200 +tp8201 +a(g84 +g972 +tp8202 +a(g84 +Vul +p8203 +tp8204 +a(g84 +g992 +tp8205 +a(g822 +g978 +tp8206 +a(g408 +g1370 +tp8207 +a(g822 +g978 +tp8208 +a(g84 +g972 +tp8209 +a(g84 +V/ul +p8210 +tp8211 +a(g84 +g992 +tp8212 +a(g84 +g972 +tp8213 +a(g84 +V/div +p8214 +tp8215 +a(g84 +g992 +tp8216 +a(g822 +V\u000a +p8217 +tp8218 +a(g822 +VimageLastRowStdWrap +p8219 +tp8220 +a(g701 +g998 +tp8221 +a(g822 +VdataWrap +p8222 +tp8223 +a(g822 +g978 +tp8224 +a(g408 +g982 +tp8225 +a(g822 +g978 +tp8226 +a(g84 +V
    +p8236 +tp8237 +a(g84 +g972 +tp8238 +a(g84 +Vul +p8239 +tp8240 +a(g84 +g992 +tp8241 +a(g822 +g978 +tp8242 +a(g408 +g1370 +tp8243 +a(g822 +g978 +tp8244 +a(g84 +g972 +tp8245 +a(g84 +V/ul +p8246 +tp8247 +a(g84 +g992 +tp8248 +a(g84 +g972 +tp8249 +a(g84 +V/div +p8250 +tp8251 +a(g84 +g992 +tp8252 +a(g822 +V\u000a +p8253 +tp8254 +a(g822 +VnoRowsStdWrap +p8255 +tp8256 +a(g701 +g998 +tp8257 +a(g822 +Vwrap +p8258 +tp8259 +a(g822 +g978 +tp8260 +a(g408 +g982 +tp8261 +a(g822 +g978 +tp8262 +a(g84 +g972 +tp8263 +a(g84 +Vul +p8264 +tp8265 +a(g84 +g992 +tp8266 +a(g822 +g978 +tp8267 +a(g408 +g1370 +tp8268 +a(g822 +g978 +tp8269 +a(g84 +g972 +tp8270 +a(g84 +V/ul +p8271 +tp8272 +a(g84 +g992 +tp8273 +a(g822 +V\u000a +p8274 +tp8275 +a(g822 +VoneImageStdWrap +p8276 +tp8277 +a(g701 +g998 +tp8278 +a(g822 +VdataWrap +p8279 +tp8280 +a(g822 +g978 +tp8281 +a(g408 +g982 +tp8282 +a(g822 +g978 +tp8283 +a(g84 +V
  6. +p8288 +tp8289 +a(g822 +g978 +tp8290 +a(g408 +g1370 +tp8291 +a(g822 +g978 +tp8292 +a(g84 +g972 +tp8293 +a(g84 +V/li +p8294 +tp8295 +a(g84 +g992 +tp8296 +a(g822 +V\u000a +p8297 +tp8298 +a(g822 +VimgTagStdWrap +p8299 +tp8300 +a(g701 +g998 +tp8301 +a(g822 +Vwrap +p8302 +tp8303 +a(g822 +g978 +tp8304 +a(g408 +g982 +tp8305 +a(g822 +V\u000a +p8306 +tp8307 +a(g822 +VeditIconsStdWrap +p8308 +tp8309 +a(g701 +g998 +tp8310 +a(g822 +Vwrap +p8311 +tp8312 +a(g822 +g978 +tp8313 +a(g408 +g982 +tp8314 +a(g822 +g978 +tp8315 +a(g84 +g972 +tp8316 +a(g84 +Vdiv +p8317 +tp8318 +a(g84 +g992 +tp8319 +a(g822 +g978 +tp8320 +a(g408 +g1370 +tp8321 +a(g822 +g978 +tp8322 +a(g84 +g972 +tp8323 +a(g84 +V/div +p8324 +tp8325 +a(g84 +g992 +tp8326 +a(g822 +V\u000a +p8327 +tp8328 +a(g822 +Vcaption +p8329 +tp8330 +a(g701 +g998 +tp8331 +a(g822 +Vwrap +p8332 +tp8333 +a(g822 +g978 +tp8334 +a(g408 +g982 +tp8335 +a(g822 +g978 +tp8336 +a(g84 +g972 +tp8337 +a(g84 +Vdiv +p8338 +tp8339 +a(g822 +g978 +tp8340 +a(g84 +Vclass +p8341 +tp8342 +a(g84 +g982 +tp8343 +a(g84 +V"csc-textpic-caption" +p8344 +tp8345 +a(g84 +g992 +tp8346 +a(g822 +g978 +tp8347 +a(g408 +g1370 +tp8348 +a(g822 +g978 +tp8349 +a(g84 +g972 +tp8350 +a(g84 +V/div +p8351 +tp8352 +a(g84 +g992 +tp8353 +a(g822 +V\u000a +p8354 +tp8355 +a(g112 +g1097 +tp8356 +a(g822 +V\u000a +p8357 +tp8358 +a(g822 +Vdiv +p8359 +tp8360 +a(g822 +g978 +tp8361 +a(g112 +g1015 +tp8362 +a(g822 +V\u000a +p8363 +tp8364 +a(g7 +V# Just one image without a caption => don't need the div-overhead, use the "simple" rendering +p8365 +tp8366 +a(g822 +V\u000a +p8367 +tp8368 +a(g822 +VfallbackRendering +p8369 +tp8370 +a(g822 +g978 +tp8371 +a(g408 +V< +p8372 +tp8373 +a(g677 +Vtt_content +p8374 +tp8375 +a(g701 +g998 +tp8376 +a(g822 +Vimage +p8377 +tp8378 +a(g701 +g998 +tp8379 +a(g32 +V20 +p8380 +tp8381 +a(g701 +g998 +tp8382 +a(g822 +Vrendering +p8383 +tp8384 +a(g701 +g998 +tp8385 +a(g822 +Vdl +p8386 +tp8387 +a(g701 +g998 +tp8388 +a(g822 +VfallbackRendering +p8389 +tp8390 +a(g701 +g998 +tp8391 +a(g32 +V10 +p8392 +tp8393 +a(g822 +V\u000a +p8394 +tp8395 +a(g822 +VimageRowStdWrap +p8396 +tp8397 +a(g701 +g998 +tp8398 +a(g822 +VdataWrap +p8399 +tp8400 +a(g822 +g978 +tp8401 +a(g408 +g982 +tp8402 +a(g822 +g978 +tp8403 +a(g84 +V
    +p8413 +tp8414 +a(g822 +g978 +tp8415 +a(g408 +g1370 +tp8416 +a(g822 +g978 +tp8417 +a(g84 +g972 +tp8418 +a(g84 +V/div +p8419 +tp8420 +a(g84 +g992 +tp8421 +a(g822 +V\u000a +p8422 +tp8423 +a(g822 +VimageLastRowStdWrap +p8424 +tp8425 +a(g701 +g998 +tp8426 +a(g822 +VdataWrap +p8427 +tp8428 +a(g822 +g978 +tp8429 +a(g408 +g982 +tp8430 +a(g822 +g978 +tp8431 +a(g84 +V
    +p8441 +tp8442 +a(g822 +g978 +tp8443 +a(g408 +g1370 +tp8444 +a(g822 +g978 +tp8445 +a(g84 +g972 +tp8446 +a(g84 +V/div +p8447 +tp8448 +a(g84 +g992 +tp8449 +a(g822 +V\u000a +p8450 +tp8451 +a(g822 +VnoRowsStdWrap +p8452 +tp8453 +a(g701 +g998 +tp8454 +a(g822 +Vwrap +p8455 +tp8456 +a(g822 +g978 +tp8457 +a(g408 +g982 +tp8458 +a(g822 +V\u000a +p8459 +tp8460 +a(g822 +VoneImageStdWrap +p8461 +tp8462 +a(g701 +g998 +tp8463 +a(g822 +VdataWrap +p8464 +tp8465 +a(g822 +g978 +tp8466 +a(g408 +g982 +tp8467 +a(g822 +g978 +tp8468 +a(g84 +V
    +p8473 +tp8474 +a(g822 +g978 +tp8475 +a(g408 +g1370 +tp8476 +a(g822 +g978 +tp8477 +a(g84 +g972 +tp8478 +a(g84 +V/div +p8479 +tp8480 +a(g84 +g992 +tp8481 +a(g822 +V\u000a +p8482 +tp8483 +a(g822 +VimgTagStdWrap +p8484 +tp8485 +a(g701 +g998 +tp8486 +a(g822 +Vwrap +p8487 +tp8488 +a(g822 +g978 +tp8489 +a(g408 +g982 +tp8490 +a(g822 +g978 +tp8491 +a(g84 +g972 +tp8492 +a(g84 +Vdiv +p8493 +tp8494 +a(g84 +g992 +tp8495 +a(g822 +g978 +tp8496 +a(g408 +g1370 +tp8497 +a(g822 +g978 +tp8498 +a(g84 +g972 +tp8499 +a(g84 +V/div +p8500 +tp8501 +a(g84 +g992 +tp8502 +a(g822 +V\u000a +p8503 +tp8504 +a(g822 +VeditIconsStdWrap +p8505 +tp8506 +a(g701 +g998 +tp8507 +a(g822 +Vwrap +p8508 +tp8509 +a(g822 +g978 +tp8510 +a(g408 +g982 +tp8511 +a(g822 +g978 +tp8512 +a(g84 +g972 +tp8513 +a(g84 +Vdiv +p8514 +tp8515 +a(g84 +g992 +tp8516 +a(g822 +g978 +tp8517 +a(g408 +g1370 +tp8518 +a(g822 +g978 +tp8519 +a(g84 +g972 +tp8520 +a(g84 +V/div +p8521 +tp8522 +a(g84 +g992 +tp8523 +a(g822 +V\u000a +p8524 +tp8525 +a(g822 +Vcaption +p8526 +tp8527 +a(g701 +g998 +tp8528 +a(g822 +Vwrap +p8529 +tp8530 +a(g822 +g978 +tp8531 +a(g408 +g982 +tp8532 +a(g822 +g978 +tp8533 +a(g84 +g972 +tp8534 +a(g84 +Vdiv +p8535 +tp8536 +a(g822 +g978 +tp8537 +a(g84 +Vclass +p8538 +tp8539 +a(g84 +g982 +tp8540 +a(g84 +V"csc-textpic-caption" +p8541 +tp8542 +a(g84 +g992 +tp8543 +a(g822 +g978 +tp8544 +a(g408 +g1370 +tp8545 +a(g822 +g978 +tp8546 +a(g84 +g972 +tp8547 +a(g84 +V/div +p8548 +tp8549 +a(g84 +g992 +tp8550 +a(g822 +V\u000a +p8551 +tp8552 +a(g112 +g1097 +tp8553 +a(g822 +V\u000a +p8554 +tp8555 +a(g822 +Vsimple +p8556 +tp8557 +a(g822 +g978 +tp8558 +a(g112 +g1015 +tp8559 +a(g822 +V\u000a +p8560 +tp8561 +a(g822 +VimageRowStdWrap +p8562 +tp8563 +a(g701 +g998 +tp8564 +a(g822 +VdataWrap +p8565 +tp8566 +a(g822 +g978 +tp8567 +a(g408 +g982 +tp8568 +a(g822 +g978 +tp8569 +a(g408 +g1370 +tp8570 +a(g822 +V\u000a +p8571 +tp8572 +a(g822 +VimageLastRowStdWrap +p8573 +tp8574 +a(g701 +g998 +tp8575 +a(g822 +VdataWrap +p8576 +tp8577 +a(g822 +g978 +tp8578 +a(g408 +g982 +tp8579 +a(g822 +g978 +tp8580 +a(g408 +g1370 +tp8581 +a(g822 +V\u000a +p8582 +tp8583 +a(g822 +VnoRowsStdWrap +p8584 +tp8585 +a(g701 +g998 +tp8586 +a(g822 +Vwrap +p8587 +tp8588 +a(g822 +g978 +tp8589 +a(g408 +g982 +tp8590 +a(g822 +V\u000a +p8591 +tp8592 +a(g822 +VoneImageStdWrap +p8593 +tp8594 +a(g701 +g998 +tp8595 +a(g822 +VdataWrap +p8596 +tp8597 +a(g822 +g978 +tp8598 +a(g408 +g982 +tp8599 +a(g822 +g978 +tp8600 +a(g408 +g1370 +tp8601 +a(g822 +V\u000a +p8602 +tp8603 +a(g822 +VimgTagStdWrap +p8604 +tp8605 +a(g701 +g998 +tp8606 +a(g822 +Vwrap +p8607 +tp8608 +a(g822 +g978 +tp8609 +a(g408 +g982 +tp8610 +a(g822 +g978 +tp8611 +a(g408 +g1370 +tp8612 +a(g822 +V\u000a +p8613 +tp8614 +a(g822 +VeditIconsStdWrap +p8615 +tp8616 +a(g701 +g998 +tp8617 +a(g822 +Vwrap +p8618 +tp8619 +a(g822 +g978 +tp8620 +a(g408 +g982 +tp8621 +a(g822 +g978 +tp8622 +a(g408 +g1370 +tp8623 +a(g822 +V\u000a +p8624 +tp8625 +a(g822 +Vcaption +p8626 +tp8627 +a(g701 +g998 +tp8628 +a(g822 +Vwrap +p8629 +tp8630 +a(g822 +g978 +tp8631 +a(g408 +g982 +tp8632 +a(g822 +g978 +tp8633 +a(g84 +g972 +tp8634 +a(g84 +Vdiv +p8635 +tp8636 +a(g822 +g978 +tp8637 +a(g84 +Vclass +p8638 +tp8639 +a(g84 +g982 +tp8640 +a(g84 +V"csc-textpic-caption" +p8641 +tp8642 +a(g84 +g992 +tp8643 +a(g822 +g978 +tp8644 +a(g408 +g1370 +tp8645 +a(g822 +g978 +tp8646 +a(g84 +g972 +tp8647 +a(g84 +V/div +p8648 +tp8649 +a(g84 +g992 +tp8650 +a(g822 +V\u000a +p8651 +tp8652 +a(g822 +VimageStdWrap +p8653 +tp8654 +a(g701 +g998 +tp8655 +a(g822 +VdataWrap +p8656 +tp8657 +a(g822 +g978 +tp8658 +a(g408 +g982 +tp8659 +a(g822 +g978 +tp8660 +a(g84 +V
    +p8670 +tp8671 +a(g822 +g978 +tp8672 +a(g408 +g1370 +tp8673 +a(g822 +g978 +tp8674 +a(g84 +g972 +tp8675 +a(g84 +V/div +p8676 +tp8677 +a(g84 +g992 +tp8678 +a(g822 +V\u000a +p8679 +tp8680 +a(g822 +VimageStdWrapNoWidth +p8681 +tp8682 +a(g701 +g998 +tp8683 +a(g822 +Vwrap +p8684 +tp8685 +a(g822 +g978 +tp8686 +a(g408 +g982 +tp8687 +a(g822 +g978 +tp8688 +a(g84 +g972 +tp8689 +a(g84 +Vdiv +p8690 +tp8691 +a(g822 +g978 +tp8692 +a(g84 +Vclass +p8693 +tp8694 +a(g84 +g982 +tp8695 +a(g84 +V"csc-textpic-imagewrap +p8696 +tp8697 +a(g822 +g978 +tp8698 +a(g84 +Vcsc-textpic-single-image" +p8699 +tp8700 +a(g84 +g992 +tp8701 +a(g822 +g978 +tp8702 +a(g408 +g1370 +tp8703 +a(g822 +g978 +tp8704 +a(g84 +g972 +tp8705 +a(g84 +V/div +p8706 +tp8707 +a(g84 +g992 +tp8708 +a(g822 +V\u000a +p8709 +tp8710 +a(g112 +g1097 +tp8711 +a(g822 +V\u000a +p8712 +tp8713 +a(g112 +g1097 +tp8714 +a(g822 +V\u000a +p8715 +tp8716 +a(g822 +VrenderMethod +p8717 +tp8718 +a(g822 +g978 +tp8719 +a(g408 +g982 +tp8720 +a(g822 +g978 +tp8721 +a(g822 +Vdl +p8722 +tp8723 +a(g822 +V\u000a\u000a +p8724 +tp8725 +a(g822 +VeditIcons +p8726 +tp8727 +a(g822 +g978 +tp8728 +a(g408 +V= +p8729 +tp8730 +a(g677 +Vtt_content +p8731 +tp8732 +a(g822 +g978 +tp8733 +a(g408 +g976 +tp8734 +a(g822 +g978 +tp8735 +a(g822 +Vimage +p8736 +tp8737 +a(g822 +g978 +tp8738 +a(g112 +g3592 +tp8739 +a(g822 +Vimageorient +p8740 +tp8741 +a(g408 +g1370 +tp8742 +a(g822 +Vimagewidth +p8743 +tp8744 +a(g408 +g1370 +tp8745 +a(g822 +Vimageheight +p8746 +tp8747 +a(g112 +g3601 +tp8748 +a(g701 +g2004 +tp8749 +a(g822 +g978 +tp8750 +a(g112 +g3592 +tp8751 +a(g822 +Vimagecols +p8752 +tp8753 +a(g408 +g1370 +tp8754 +a(g822 +Vimage_noRows +p8755 +tp8756 +a(g408 +g1370 +tp8757 +a(g822 +Vimageborder +p8758 +tp8759 +a(g112 +g3601 +tp8760 +a(g701 +g2004 +tp8761 +a(g112 +g3592 +tp8762 +a(g822 +Vimage_link +p8763 +tp8764 +a(g408 +g1370 +tp8765 +a(g822 +Vimage_zoom +p8766 +tp8767 +a(g112 +g3601 +tp8768 +a(g701 +g2004 +tp8769 +a(g112 +g3592 +tp8770 +a(g822 +Vimage_compression +p8771 +tp8772 +a(g408 +g1370 +tp8773 +a(g822 +Vimage_effects +p8774 +tp8775 +a(g408 +g1370 +tp8776 +a(g822 +Vimage_frames +p8777 +tp8778 +a(g112 +g3601 +tp8779 +a(g701 +g2004 +tp8780 +a(g822 +Vimagecaption +p8781 +tp8782 +a(g112 +g3592 +tp8783 +a(g822 +Vimagecaption_position +p8784 +tp8785 +a(g112 +g3601 +tp8786 +a(g822 +V\u000a +p8787 +tp8788 +a(g822 +VeditIcons +p8789 +tp8790 +a(g701 +g998 +tp8791 +a(g822 +ViconTitle +p8792 +tp8793 +a(g701 +g998 +tp8794 +a(g822 +Vdata +p8795 +tp8796 +a(g822 +g978 +tp8797 +a(g408 +g982 +tp8798 +a(g822 +g978 +tp8799 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.images +p8800 +tp8801 +a(g822 +V\u000a\u000a +p8802 +tp8803 +a(g822 +Vcaption +p8804 +tp8805 +a(g701 +g998 +tp8806 +a(g822 +VeditIcons +p8807 +tp8808 +a(g822 +g978 +tp8809 +a(g408 +V= +p8810 +tp8811 +a(g677 +Vtt_content +p8812 +tp8813 +a(g822 +g978 +tp8814 +a(g408 +g976 +tp8815 +a(g822 +g978 +tp8816 +a(g822 +Vimagecaption +p8817 +tp8818 +a(g112 +g3592 +tp8819 +a(g822 +Vimagecaption_position +p8820 +tp8821 +a(g112 +g3601 +tp8822 +a(g822 +V\u000a +p8823 +tp8824 +a(g822 +Vcaption +p8825 +tp8826 +a(g701 +g998 +tp8827 +a(g822 +VeditIcons +p8828 +tp8829 +a(g701 +g998 +tp8830 +a(g822 +VbeforeLastTag +p8831 +tp8832 +a(g408 +g982 +tp8833 +a(g32 +g1024 +tp8834 +a(g822 +V\u000a +p8835 +tp8836 +a(g822 +Vcaption +p8837 +tp8838 +a(g701 +g998 +tp8839 +a(g822 +VeditIcons +p8840 +tp8841 +a(g701 +g998 +tp8842 +a(g822 +ViconTitle +p8843 +tp8844 +a(g701 +g998 +tp8845 +a(g822 +Vdata +p8846 +tp8847 +a(g822 +g978 +tp8848 +a(g408 +g982 +tp8849 +a(g822 +g978 +tp8850 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.caption +p8851 +tp8852 +a(g822 +V\u000a\u000a +p8853 +tp8854 +a(g569 +VstdWrap +p8855 +tp8856 +a(g701 +g998 +tp8857 +a(g822 +VprefixComment +p8858 +tp8859 +a(g822 +g978 +tp8860 +a(g408 +g982 +tp8861 +a(g822 +g978 +tp8862 +a(g32 +g1847 +tp8863 +a(g822 +g978 +tp8864 +a(g408 +g1370 +tp8865 +a(g822 +g978 +tp8866 +a(g822 +VImage +p8867 +tp8868 +a(g822 +g978 +tp8869 +a(g822 +Vblock +p8870 +tp8871 +a(g408 +g976 +tp8872 +a(g822 +V\u000a +p8873 +tp8874 +a(g112 +g1097 +tp8875 +a(g822 +V\u000a\u000a +p8876 +tp8877 +a(g7 +V# ***************** +p8878 +tp8879 +a(g822 +V\u000a +p8880 +tp8881 +a(g7 +V# CType: textpic +p8882 +tp8883 +a(g822 +V\u000a +p8884 +tp8885 +a(g7 +V# ***************** +p8886 +tp8887 +a(g822 +V\u000a +p8888 +tp8889 +a(g677 +Vtt_content +p8890 +tp8891 +a(g701 +g998 +tp8892 +a(g822 +Vtextpic +p8893 +tp8894 +a(g822 +g978 +tp8895 +a(g408 +g982 +tp8896 +a(g822 +g978 +tp8897 +a(g624 +VCOA +p8898 +tp8899 +a(g822 +V\u000a +p8900 +tp8901 +a(g677 +Vtt_content +p8902 +tp8903 +a(g701 +g998 +tp8904 +a(g822 +Vtextpic +p8905 +tp8906 +a(g822 +g978 +tp8907 +a(g112 +g1015 +tp8908 +a(g822 +V\u000a +p8909 +tp8910 +a(g32 +V10 +p8911 +tp8912 +a(g822 +g978 +tp8913 +a(g408 +g982 +tp8914 +a(g822 +g978 +tp8915 +a(g624 +VCOA +p8916 +tp8917 +a(g822 +V\u000a +p8918 +tp8919 +a(g32 +V10 +p8920 +tp8921 +a(g701 +g998 +tp8922 +a(g569 +Vif +p8923 +tp8924 +a(g701 +g998 +tp8925 +a(g822 +Vvalue +p8926 +tp8927 +a(g822 +g978 +tp8928 +a(g408 +g982 +tp8929 +a(g822 +g978 +tp8930 +a(g32 +V25 +p8931 +tp8932 +a(g822 +V\u000a +p8933 +tp8934 +a(g32 +V10 +p8935 +tp8936 +a(g701 +g998 +tp8937 +a(g569 +Vif +p8938 +tp8939 +a(g701 +g998 +tp8940 +a(g822 +VisLessThan +p8941 +tp8942 +a(g701 +g998 +tp8943 +a(g822 +Vfield +p8944 +tp8945 +a(g822 +g978 +tp8946 +a(g408 +g982 +tp8947 +a(g822 +g978 +tp8948 +a(g822 +Vimageorient +p8949 +tp8950 +a(g822 +V\u000a +p8951 +tp8952 +a(g32 +V10 +p8953 +tp8954 +a(g701 +g998 +tp8955 +a(g32 +V10 +p8956 +tp8957 +a(g822 +g978 +tp8958 +a(g408 +V= < +p8959 +tp8960 +a(g677 +Vlib +p8961 +tp8962 +a(g701 +g998 +tp8963 +a(g822 +Vstdheader +p8964 +tp8965 +a(g822 +V\u000a\u000a +p8966 +tp8967 +a(g32 +V20 +p8968 +tp8969 +a(g822 +V +p8970 +tp8971 +a(g408 +V= < +p8972 +tp8973 +a(g677 +Vtt_content +p8974 +tp8975 +a(g701 +g998 +tp8976 +a(g822 +Vimage +p8977 +tp8978 +a(g701 +g998 +tp8979 +a(g32 +V20 +p8980 +tp8981 +a(g822 +V\u000a +p8982 +tp8983 +a(g32 +V20 +p8984 +tp8985 +a(g822 +g978 +tp8986 +a(g112 +g1015 +tp8987 +a(g822 +V\u000a +p8988 +tp8989 +a(g822 +Vtext +p8990 +tp8991 +a(g701 +g998 +tp8992 +a(g32 +V10 +p8993 +tp8994 +a(g822 +g978 +tp8995 +a(g408 +g982 +tp8996 +a(g822 +g978 +tp8997 +a(g624 +VCOA +p8998 +tp8999 +a(g822 +V\u000a +p9000 +tp9001 +a(g822 +Vtext +p9002 +tp9003 +a(g701 +g998 +tp9004 +a(g32 +V10 +p9005 +tp9006 +a(g822 +g978 +tp9007 +a(g112 +g1015 +tp9008 +a(g822 +V\u000a +p9009 +tp9010 +a(g569 +Vif +p9011 +tp9012 +a(g701 +g998 +tp9013 +a(g822 +Vvalue +p9014 +tp9015 +a(g822 +g978 +tp9016 +a(g408 +g982 +tp9017 +a(g822 +g978 +tp9018 +a(g32 +V24 +p9019 +tp9020 +a(g822 +V\u000a +p9021 +tp9022 +a(g569 +Vif +p9023 +tp9024 +a(g701 +g998 +tp9025 +a(g822 +VisGreaterThan +p9026 +tp9027 +a(g701 +g998 +tp9028 +a(g822 +Vfield +p9029 +tp9030 +a(g822 +g978 +tp9031 +a(g408 +g982 +tp9032 +a(g822 +g978 +tp9033 +a(g822 +Vimageorient +p9034 +tp9035 +a(g822 +V\u000a +p9036 +tp9037 +a(g32 +V10 +p9038 +tp9039 +a(g822 +g978 +tp9040 +a(g408 +V= < +p9041 +tp9042 +a(g677 +Vlib +p9043 +tp9044 +a(g701 +g998 +tp9045 +a(g822 +Vstdheader +p9046 +tp9047 +a(g822 +V\u000a +p9048 +tp9049 +a(g32 +V10 +p9050 +tp9051 +a(g701 +g998 +tp9052 +a(g569 +VstdWrap +p9053 +tp9054 +a(g701 +g998 +tp9055 +a(g822 +VdataWrap +p9056 +tp9057 +a(g822 +g978 +tp9058 +a(g408 +g982 +tp9059 +a(g822 +g978 +tp9060 +a(g84 +V
    +p9070 +tp9071 +a(g408 +g1370 +tp9072 +a(g84 +g972 +tp9073 +a(g84 +V/div +p9074 +tp9075 +a(g84 +g992 +tp9076 +a(g822 +V\u000a +p9077 +tp9078 +a(g112 +g1097 +tp9079 +a(g822 +V\u000a +p9080 +tp9081 +a(g822 +Vtext +p9082 +tp9083 +a(g701 +g998 +tp9084 +a(g32 +V20 +p9085 +tp9086 +a(g822 +g978 +tp9087 +a(g408 +V= < +p9088 +tp9089 +a(g677 +Vtt_content +p9090 +tp9091 +a(g701 +g998 +tp9092 +a(g822 +Vtext +p9093 +tp9094 +a(g701 +g998 +tp9095 +a(g32 +V20 +p9096 +tp9097 +a(g822 +V\u000a +p9098 +tp9099 +a(g822 +Vtext +p9100 +tp9101 +a(g701 +g998 +tp9102 +a(g822 +Vwrap +p9103 +tp9104 +a(g822 +g978 +tp9105 +a(g408 +g982 +tp9106 +a(g822 +g978 +tp9107 +a(g84 +g972 +tp9108 +a(g84 +Vdiv +p9109 +tp9110 +a(g822 +g978 +tp9111 +a(g84 +Vclass +p9112 +tp9113 +a(g84 +g982 +tp9114 +a(g84 +V"csc-textpic-text" +p9115 +tp9116 +a(g84 +g992 +tp9117 +a(g822 +g978 +tp9118 +a(g408 +g1370 +tp9119 +a(g822 +g978 +tp9120 +a(g84 +g972 +tp9121 +a(g84 +V/div +p9122 +tp9123 +a(g84 +g992 +tp9124 +a(g822 +V\u000a +p9125 +tp9126 +a(g112 +g1097 +tp9127 +a(g822 +V\u000a +p9128 +tp9129 +a(g112 +g1097 +tp9130 +a(g822 +V\u000a\u000a\u000a\u000a +p9131 +tp9132 +a(g7 +V# ***************** +p9133 +tp9134 +a(g822 +V\u000a +p9135 +tp9136 +a(g7 +V# CType: bullet +p9137 +tp9138 +a(g822 +V\u000a +p9139 +tp9140 +a(g7 +V# ***************** +p9141 +tp9142 +a(g822 +V\u000a +p9143 +tp9144 +a(g677 +Vtt_content +p9145 +tp9146 +a(g701 +g998 +tp9147 +a(g822 +Vbullets +p9148 +tp9149 +a(g822 +g978 +tp9150 +a(g408 +g982 +tp9151 +a(g822 +g978 +tp9152 +a(g624 +VCOA +p9153 +tp9154 +a(g822 +V\u000a +p9155 +tp9156 +a(g677 +Vtt_content +p9157 +tp9158 +a(g701 +g998 +tp9159 +a(g822 +Vbullets +p9160 +tp9161 +a(g822 +g978 +tp9162 +a(g112 +g1015 +tp9163 +a(g822 +V\u000a +p9164 +tp9165 +a(g32 +V10 +p9166 +tp9167 +a(g822 +g978 +tp9168 +a(g408 +V= < +p9169 +tp9170 +a(g677 +Vlib +p9171 +tp9172 +a(g701 +g998 +tp9173 +a(g822 +Vstdheader +p9174 +tp9175 +a(g822 +V\u000a\u000a +p9176 +tp9177 +a(g32 +V20 +p9178 +tp9179 +a(g822 +g978 +tp9180 +a(g408 +g982 +tp9181 +a(g822 +g978 +tp9182 +a(g624 +VTEXT +p9183 +tp9184 +a(g822 +V\u000a +p9185 +tp9186 +a(g32 +V20 +p9187 +tp9188 +a(g822 +g978 +tp9189 +a(g112 +g1015 +tp9190 +a(g822 +V\u000a +p9191 +tp9192 +a(g822 +Vfield +p9193 +tp9194 +a(g822 +g978 +tp9195 +a(g408 +g982 +tp9196 +a(g822 +g978 +tp9197 +a(g822 +Vbodytext +p9198 +tp9199 +a(g822 +V\u000a +p9200 +tp9201 +a(g822 +Vtrim +p9202 +tp9203 +a(g822 +g978 +tp9204 +a(g408 +g982 +tp9205 +a(g822 +g978 +tp9206 +a(g32 +g1024 +tp9207 +a(g822 +V\u000a +p9208 +tp9209 +a(g569 +Vsplit +p9210 +tp9211 +a(g112 +g1015 +tp9212 +a(g822 +V\u000a +p9213 +tp9214 +a(g822 +Vtoken +p9215 +tp9216 +a(g701 +g998 +tp9217 +a(g822 +Vchar +p9218 +tp9219 +a(g822 +g978 +tp9220 +a(g408 +g982 +tp9221 +a(g822 +g978 +tp9222 +a(g32 +V10 +p9223 +tp9224 +a(g822 +V\u000a +p9225 +tp9226 +a(g822 +VcObjNum +p9227 +tp9228 +a(g822 +g978 +tp9229 +a(g408 +g982 +tp9230 +a(g822 +g978 +tp9231 +a(g408 +g1370 +tp9232 +a(g408 +g2262 +tp9233 +a(g408 +g1370 +tp9234 +a(g32 +g1024 +tp9235 +a(g408 +g1370 +tp9236 +a(g408 +g1370 +tp9237 +a(g822 +g978 +tp9238 +a(g32 +g1847 +tp9239 +a(g408 +g1370 +tp9240 +a(g408 +g2262 +tp9241 +a(g408 +g1370 +tp9242 +a(g822 +V\u000a +p9243 +tp9244 +a(g32 +g1024 +tp9245 +a(g701 +g998 +tp9246 +a(g822 +Vcurrent +p9247 +tp9248 +a(g822 +g978 +tp9249 +a(g408 +g982 +tp9250 +a(g822 +g978 +tp9251 +a(g32 +g1024 +tp9252 +a(g822 +V\u000a +p9253 +tp9254 +a(g32 +g1024 +tp9255 +a(g701 +g998 +tp9256 +a(g569 +VparseFunc +p9257 +tp9258 +a(g822 +g978 +tp9259 +a(g408 +V=< +p9260 +tp9261 +a(g677 +Vlib +p9262 +tp9263 +a(g701 +g998 +tp9264 +a(g569 +VparseFunc +p9265 +tp9266 +a(g822 +V\u000a +p9267 +tp9268 +a(g32 +g1024 +tp9269 +a(g701 +g998 +tp9270 +a(g822 +Vwrap +p9271 +tp9272 +a(g822 +g978 +tp9273 +a(g408 +g982 +tp9274 +a(g822 +g978 +tp9275 +a(g84 +g972 +tp9276 +a(g84 +Vli +p9277 +tp9278 +a(g822 +g978 +tp9279 +a(g84 +Vclass +p9280 +tp9281 +a(g84 +g982 +tp9282 +a(g84 +V"odd" +p9283 +tp9284 +a(g84 +g992 +tp9285 +a(g408 +g1370 +tp9286 +a(g84 +g972 +tp9287 +a(g84 +V/li +p9288 +tp9289 +a(g84 +g992 +tp9290 +a(g822 +V\u000a\u000a +p9291 +tp9292 +a(g32 +g1847 +tp9293 +a(g701 +g998 +tp9294 +a(g822 +Vcurrent +p9295 +tp9296 +a(g822 +g978 +tp9297 +a(g408 +g982 +tp9298 +a(g822 +g978 +tp9299 +a(g32 +g1024 +tp9300 +a(g822 +V\u000a +p9301 +tp9302 +a(g32 +g1847 +tp9303 +a(g701 +g998 +tp9304 +a(g569 +VparseFunc +p9305 +tp9306 +a(g822 +g978 +tp9307 +a(g408 +V=< +p9308 +tp9309 +a(g677 +Vlib +p9310 +tp9311 +a(g701 +g998 +tp9312 +a(g569 +VparseFunc +p9313 +tp9314 +a(g822 +V\u000a +p9315 +tp9316 +a(g32 +g1847 +tp9317 +a(g701 +g998 +tp9318 +a(g822 +Vwrap +p9319 +tp9320 +a(g822 +g978 +tp9321 +a(g408 +g982 +tp9322 +a(g822 +g978 +tp9323 +a(g84 +g972 +tp9324 +a(g84 +Vli +p9325 +tp9326 +a(g822 +g978 +tp9327 +a(g84 +Vclass +p9328 +tp9329 +a(g84 +g982 +tp9330 +a(g84 +V"even" +p9331 +tp9332 +a(g84 +g992 +tp9333 +a(g408 +g1370 +tp9334 +a(g84 +g972 +tp9335 +a(g84 +V/li +p9336 +tp9337 +a(g84 +g992 +tp9338 +a(g822 +V\u000a +p9339 +tp9340 +a(g112 +g1097 +tp9341 +a(g822 +V\u000a +p9342 +tp9343 +a(g822 +VdataWrap +p9344 +tp9345 +a(g822 +g978 +tp9346 +a(g408 +g982 +tp9347 +a(g822 +g978 +tp9348 +a(g84 +V
      +p9358 +tp9359 +a(g408 +g1370 +tp9360 +a(g84 +g972 +tp9361 +a(g84 +V/ul +p9362 +tp9363 +a(g84 +g992 +tp9364 +a(g822 +V\u000a +p9365 +tp9366 +a(g822 +VeditIcons +p9367 +tp9368 +a(g822 +g978 +tp9369 +a(g408 +V= +p9370 +tp9371 +a(g677 +Vtt_content +p9372 +tp9373 +a(g408 +g976 +tp9374 +a(g822 +g978 +tp9375 +a(g822 +Vbodytext +p9376 +tp9377 +a(g701 +g2004 +tp9378 +a(g822 +g978 +tp9379 +a(g112 +g3592 +tp9380 +a(g822 +Vlayout +p9381 +tp9382 +a(g112 +g3601 +tp9383 +a(g822 +V\u000a +p9384 +tp9385 +a(g822 +VeditIcons +p9386 +tp9387 +a(g701 +g998 +tp9388 +a(g822 +VbeforeLastTag +p9389 +tp9390 +a(g822 +g978 +tp9391 +a(g408 +g982 +tp9392 +a(g822 +g978 +tp9393 +a(g32 +g1024 +tp9394 +a(g822 +V\u000a +p9395 +tp9396 +a(g822 +VeditIcons +p9397 +tp9398 +a(g701 +g998 +tp9399 +a(g822 +ViconTitle +p9400 +tp9401 +a(g701 +g998 +tp9402 +a(g822 +Vdata +p9403 +tp9404 +a(g822 +g978 +tp9405 +a(g408 +g982 +tp9406 +a(g822 +g978 +tp9407 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.php:eIcon.bullets +p9408 +tp9409 +a(g822 +V\u000a\u000a +p9410 +tp9411 +a(g822 +VprefixComment +p9412 +tp9413 +a(g822 +g978 +tp9414 +a(g408 +g982 +tp9415 +a(g822 +g978 +tp9416 +a(g32 +g1847 +tp9417 +a(g822 +g978 +tp9418 +a(g408 +g1370 +tp9419 +a(g822 +g978 +tp9420 +a(g822 +VBullet +p9421 +tp9422 +a(g822 +g978 +tp9423 +a(g822 +Vlist +p9424 +tp9425 +a(g408 +g976 +tp9426 +a(g822 +V\u000a +p9427 +tp9428 +a(g112 +g1097 +tp9429 +a(g822 +V\u000a +p9430 +tp9431 +a(g112 +g1097 +tp9432 +a(g822 +V\u000a\u000a\u000a\u000a +p9433 +tp9434 +a(g7 +V# ***************** +p9435 +tp9436 +a(g822 +V\u000a +p9437 +tp9438 +a(g7 +V# CType: table +p9439 +tp9440 +a(g822 +V\u000a +p9441 +tp9442 +a(g7 +V# ***************** +p9443 +tp9444 +a(g822 +V\u000a +p9445 +tp9446 +a(g7 +V# Rendered by a PHP function specifically written to handle CE tables. See css_styled_content/pi1/class.tx_cssstyledcontent_pi1.php +p9447 +tp9448 +a(g822 +V\u000a +p9449 +tp9450 +a(g677 +Vtt_content +p9451 +tp9452 +a(g701 +g998 +tp9453 +a(g822 +Vtable +p9454 +tp9455 +a(g822 +g978 +tp9456 +a(g408 +g982 +tp9457 +a(g822 +g978 +tp9458 +a(g624 +VCOA +p9459 +tp9460 +a(g822 +V\u000a +p9461 +tp9462 +a(g677 +Vtt_content +p9463 +tp9464 +a(g701 +g998 +tp9465 +a(g822 +Vtable +p9466 +tp9467 +a(g822 +g978 +tp9468 +a(g112 +g1015 +tp9469 +a(g822 +V\u000a +p9470 +tp9471 +a(g32 +V10 +p9472 +tp9473 +a(g822 +g978 +tp9474 +a(g408 +V= < +p9475 +tp9476 +a(g677 +Vlib +p9477 +tp9478 +a(g701 +g998 +tp9479 +a(g822 +Vstdheader +p9480 +tp9481 +a(g822 +V\u000a\u000a +p9482 +tp9483 +a(g32 +V20 +p9484 +tp9485 +a(g822 +g978 +tp9486 +a(g408 +g982 +tp9487 +a(g822 +g978 +tp9488 +a(g624 +VUSER +p9489 +tp9490 +a(g822 +V\u000a +p9491 +tp9492 +a(g32 +V20 +p9493 +tp9494 +a(g701 +g998 +tp9495 +a(g569 +VuserFunc +p9496 +tp9497 +a(g822 +g978 +tp9498 +a(g408 +g982 +tp9499 +a(g822 +g978 +tp9500 +a(g822 +Vtx_cssstyledcontent_pi1- +p9501 +tp9502 +a(g408 +g992 +tp9503 +a(g822 +Vrender_table +p9504 +tp9505 +a(g822 +V\u000a +p9506 +tp9507 +a(g32 +V20 +p9508 +tp9509 +a(g701 +g998 +tp9510 +a(g822 +Vfield +p9511 +tp9512 +a(g822 +g978 +tp9513 +a(g408 +g982 +tp9514 +a(g822 +g978 +tp9515 +a(g822 +Vbodytext +p9516 +tp9517 +a(g822 +V\u000a\u000a +p9518 +tp9519 +a(g32 +V20 +p9520 +tp9521 +a(g701 +g998 +tp9522 +a(g822 +Vcolor +p9523 +tp9524 +a(g822 +g978 +tp9525 +a(g112 +g1015 +tp9526 +a(g822 +V\u000a +p9527 +tp9528 +a(g822 +Vdefault +p9529 +tp9530 +a(g822 +g978 +tp9531 +a(g408 +g982 +tp9532 +a(g822 +V\u000a +p9533 +tp9534 +a(g32 +g1024 +tp9535 +a(g822 +g978 +tp9536 +a(g408 +g982 +tp9537 +a(g822 +g978 +tp9538 +a(g88 +V#EDEBF1 +p9539 +tp9540 +a(g822 +V\u000a +p9541 +tp9542 +a(g32 +g1847 +tp9543 +a(g822 +g978 +tp9544 +a(g408 +g982 +tp9545 +a(g822 +g978 +tp9546 +a(g88 +V#F5FFAA +p9547 +tp9548 +a(g822 +V\u000a +p9549 +tp9550 +a(g112 +g1097 +tp9551 +a(g822 +V\u000a +p9552 +tp9553 +a(g32 +V20 +p9554 +tp9555 +a(g701 +g998 +tp9556 +a(g822 +VtableParams_0 +p9557 +tp9558 +a(g822 +g978 +tp9559 +a(g112 +g1015 +tp9560 +a(g822 +V\u000a +p9561 +tp9562 +a(g822 +Vborder +p9563 +tp9564 +a(g822 +g978 +tp9565 +a(g408 +g982 +tp9566 +a(g822 +V\u000a +p9567 +tp9568 +a(g822 +Vcellpadding +p9569 +tp9570 +a(g822 +g978 +tp9571 +a(g408 +g982 +tp9572 +a(g822 +V\u000a +p9573 +tp9574 +a(g822 +Vcellspacing +p9575 +tp9576 +a(g822 +g978 +tp9577 +a(g408 +g982 +tp9578 +a(g822 +V\u000a +p9579 +tp9580 +a(g112 +g1097 +tp9581 +a(g822 +V\u000a +p9582 +tp9583 +a(g32 +V20 +p9584 +tp9585 +a(g701 +g998 +tp9586 +a(g822 +VtableParams_1 +p9587 +tp9588 +a(g822 +g978 +tp9589 +a(g112 +g1015 +tp9590 +a(g822 +V\u000a +p9591 +tp9592 +a(g822 +Vborder +p9593 +tp9594 +a(g822 +g978 +tp9595 +a(g408 +g982 +tp9596 +a(g822 +V\u000a +p9597 +tp9598 +a(g822 +Vcellpadding +p9599 +tp9600 +a(g822 +g978 +tp9601 +a(g408 +g982 +tp9602 +a(g822 +V\u000a +p9603 +tp9604 +a(g822 +Vcellspacing +p9605 +tp9606 +a(g822 +g978 +tp9607 +a(g408 +g982 +tp9608 +a(g822 +V\u000a +p9609 +tp9610 +a(g112 +g1097 +tp9611 +a(g822 +V\u000a +p9612 +tp9613 +a(g32 +V20 +p9614 +tp9615 +a(g701 +g998 +tp9616 +a(g822 +VtableParams_2 +p9617 +tp9618 +a(g822 +g978 +tp9619 +a(g112 +g1015 +tp9620 +a(g822 +V\u000a +p9621 +tp9622 +a(g822 +Vborder +p9623 +tp9624 +a(g822 +g978 +tp9625 +a(g408 +g982 +tp9626 +a(g822 +V\u000a +p9627 +tp9628 +a(g822 +Vcellpadding +p9629 +tp9630 +a(g822 +g978 +tp9631 +a(g408 +g982 +tp9632 +a(g822 +V\u000a +p9633 +tp9634 +a(g822 +Vcellspacing +p9635 +tp9636 +a(g822 +g978 +tp9637 +a(g408 +g982 +tp9638 +a(g822 +V\u000a +p9639 +tp9640 +a(g112 +g1097 +tp9641 +a(g822 +V\u000a +p9642 +tp9643 +a(g32 +V20 +p9644 +tp9645 +a(g701 +g998 +tp9646 +a(g822 +VtableParams_3 +p9647 +tp9648 +a(g822 +g978 +tp9649 +a(g112 +g1015 +tp9650 +a(g822 +V\u000a +p9651 +tp9652 +a(g822 +Vborder +p9653 +tp9654 +a(g822 +g978 +tp9655 +a(g408 +g982 +tp9656 +a(g822 +V\u000a +p9657 +tp9658 +a(g822 +Vcellpadding +p9659 +tp9660 +a(g822 +g978 +tp9661 +a(g408 +g982 +tp9662 +a(g822 +V\u000a +p9663 +tp9664 +a(g822 +Vcellspacing +p9665 +tp9666 +a(g822 +g978 +tp9667 +a(g408 +g982 +tp9668 +a(g822 +V\u000a +p9669 +tp9670 +a(g112 +g1097 +tp9671 +a(g822 +V\u000a +p9672 +tp9673 +a(g32 +V20 +p9674 +tp9675 +a(g701 +g998 +tp9676 +a(g822 +VinnerStdWrap +p9677 +tp9678 +a(g701 +g998 +tp9679 +a(g822 +Vwrap +p9680 +tp9681 +a(g822 +g978 +tp9682 +a(g408 +g982 +tp9683 +a(g822 +g978 +tp9684 +a(g408 +g1370 +tp9685 +a(g822 +V\u000a +p9686 +tp9687 +a(g32 +V20 +p9688 +tp9689 +a(g701 +g998 +tp9690 +a(g822 +VinnerStdWrap +p9691 +tp9692 +a(g701 +g998 +tp9693 +a(g569 +VparseFunc +p9694 +tp9695 +a(g822 +g978 +tp9696 +a(g408 +V= < +p9697 +tp9698 +a(g677 +Vlib +p9699 +tp9700 +a(g701 +g998 +tp9701 +a(g569 +VparseFunc +p9702 +tp9703 +a(g822 +V\u000a\u000a +p9704 +tp9705 +a(g32 +V20 +p9706 +tp9707 +a(g701 +g998 +tp9708 +a(g569 +VstdWrap +p9709 +tp9710 +a(g822 +g978 +tp9711 +a(g112 +g1015 +tp9712 +a(g822 +V\u000a +p9713 +tp9714 +a(g822 +VeditIcons +p9715 +tp9716 +a(g822 +g978 +tp9717 +a(g408 +V= +p9718 +tp9719 +a(g677 +Vtt_content +p9720 +tp9721 +a(g408 +g976 +tp9722 +a(g822 +g978 +tp9723 +a(g822 +Vcols +p9724 +tp9725 +a(g701 +g2004 +tp9726 +a(g822 +g978 +tp9727 +a(g822 +Vbodytext +p9728 +tp9729 +a(g701 +g2004 +tp9730 +a(g822 +g978 +tp9731 +a(g112 +g3592 +tp9732 +a(g822 +Vlayout +p9733 +tp9734 +a(g112 +g3601 +tp9735 +a(g701 +g2004 +tp9736 +a(g822 +g978 +tp9737 +a(g112 +g3592 +tp9738 +a(g822 +Vtable_bgColor +p9739 +tp9740 +a(g408 +g1370 +tp9741 +a(g822 +Vtable_border +p9742 +tp9743 +a(g408 +g1370 +tp9744 +a(g822 +Vtable_cellspacing +p9745 +tp9746 +a(g408 +g1370 +tp9747 +a(g822 +Vtable_cellpadding +p9748 +tp9749 +a(g112 +g3601 +tp9750 +a(g822 +V\u000a +p9751 +tp9752 +a(g822 +VeditIcons +p9753 +tp9754 +a(g701 +g998 +tp9755 +a(g822 +VbeforeLastTag +p9756 +tp9757 +a(g822 +g978 +tp9758 +a(g408 +g982 +tp9759 +a(g822 +g978 +tp9760 +a(g32 +g1024 +tp9761 +a(g822 +V\u000a +p9762 +tp9763 +a(g822 +VeditIcons +p9764 +tp9765 +a(g701 +g998 +tp9766 +a(g822 +ViconTitle +p9767 +tp9768 +a(g701 +g998 +tp9769 +a(g822 +Vdata +p9770 +tp9771 +a(g822 +g978 +tp9772 +a(g408 +g982 +tp9773 +a(g822 +g978 +tp9774 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.table +p9775 +tp9776 +a(g822 +V\u000a\u000a +p9777 +tp9778 +a(g822 +VprefixComment +p9779 +tp9780 +a(g822 +g978 +tp9781 +a(g408 +g982 +tp9782 +a(g822 +g978 +tp9783 +a(g32 +g1847 +tp9784 +a(g822 +g978 +tp9785 +a(g408 +g1370 +tp9786 +a(g822 +g978 +tp9787 +a(g822 +VTable +p9788 +tp9789 +a(g408 +g976 +tp9790 +a(g822 +V\u000a +p9791 +tp9792 +a(g112 +g1097 +tp9793 +a(g822 +V\u000a +p9794 +tp9795 +a(g112 +g1097 +tp9796 +a(g822 +V\u000a\u000a\u000a +p9797 +tp9798 +a(g7 +V# ***************** +p9799 +tp9800 +a(g822 +V\u000a +p9801 +tp9802 +a(g7 +V# CType: uploads +p9803 +tp9804 +a(g822 +V\u000a +p9805 +tp9806 +a(g7 +V# ***************** +p9807 +tp9808 +a(g822 +V\u000a +p9809 +tp9810 +a(g7 +V# Rendered by a PHP function specifically written to handle CE filelists. See css_styled_content/pi1/class.tx_cssstyledcontent_pi1.php +p9811 +tp9812 +a(g822 +V\u000a +p9813 +tp9814 +a(g677 +Vtt_content +p9815 +tp9816 +a(g701 +g998 +tp9817 +a(g822 +Vuploads +p9818 +tp9819 +a(g822 +g978 +tp9820 +a(g408 +g982 +tp9821 +a(g822 +g978 +tp9822 +a(g624 +VCOA +p9823 +tp9824 +a(g822 +V\u000a +p9825 +tp9826 +a(g677 +Vtt_content +p9827 +tp9828 +a(g701 +g998 +tp9829 +a(g822 +Vuploads +p9830 +tp9831 +a(g822 +g978 +tp9832 +a(g112 +g1015 +tp9833 +a(g822 +V\u000a +p9834 +tp9835 +a(g32 +V10 +p9836 +tp9837 +a(g822 +g978 +tp9838 +a(g408 +V= < +p9839 +tp9840 +a(g677 +Vlib +p9841 +tp9842 +a(g701 +g998 +tp9843 +a(g822 +Vstdheader +p9844 +tp9845 +a(g822 +V\u000a\u000a +p9846 +tp9847 +a(g32 +V20 +p9848 +tp9849 +a(g822 +g978 +tp9850 +a(g408 +g982 +tp9851 +a(g822 +g978 +tp9852 +a(g624 +VUSER +p9853 +tp9854 +a(g822 +V\u000a +p9855 +tp9856 +a(g32 +V20 +p9857 +tp9858 +a(g701 +g998 +tp9859 +a(g569 +VuserFunc +p9860 +tp9861 +a(g822 +g978 +tp9862 +a(g408 +g982 +tp9863 +a(g822 +g978 +tp9864 +a(g822 +Vtx_cssstyledcontent_pi1- +p9865 +tp9866 +a(g408 +g992 +tp9867 +a(g822 +Vrender_uploads +p9868 +tp9869 +a(g822 +V\u000a +p9870 +tp9871 +a(g32 +V20 +p9872 +tp9873 +a(g701 +g998 +tp9874 +a(g822 +Vfield +p9875 +tp9876 +a(g822 +g978 +tp9877 +a(g408 +g982 +tp9878 +a(g822 +g978 +tp9879 +a(g822 +Vmedia +p9880 +tp9881 +a(g822 +V\u000a +p9882 +tp9883 +a(g32 +V20 +p9884 +tp9885 +a(g701 +g998 +tp9886 +a(g822 +VfilePath +p9887 +tp9888 +a(g701 +g998 +tp9889 +a(g822 +Vfield +p9890 +tp9891 +a(g822 +g978 +tp9892 +a(g408 +g982 +tp9893 +a(g822 +g978 +tp9894 +a(g822 +Vselect_key +p9895 +tp9896 +a(g822 +V\u000a\u000a +p9897 +tp9898 +a(g32 +V20 +p9899 +tp9900 +a(g822 +g978 +tp9901 +a(g112 +g1015 +tp9902 +a(g822 +V\u000a +p9903 +tp9904 +a(g7 +V# Rendering for each file (e.g. rows of the table) as a cObject +p9905 +tp9906 +a(g822 +V\u000a +p9907 +tp9908 +a(g822 +VitemRendering +p9909 +tp9910 +a(g822 +g978 +tp9911 +a(g408 +g982 +tp9912 +a(g822 +g978 +tp9913 +a(g624 +VCOA +p9914 +tp9915 +a(g822 +V\u000a +p9916 +tp9917 +a(g822 +VitemRendering +p9918 +tp9919 +a(g822 +g978 +tp9920 +a(g112 +g1015 +tp9921 +a(g822 +V\u000a +p9922 +tp9923 +a(g822 +Vwrap +p9924 +tp9925 +a(g822 +g978 +tp9926 +a(g408 +g982 +tp9927 +a(g822 +g978 +tp9928 +a(g84 +g972 +tp9929 +a(g84 +Vtr +p9930 +tp9931 +a(g822 +g978 +tp9932 +a(g84 +Vclass +p9933 +tp9934 +a(g84 +g982 +tp9935 +a(g84 +V"tr-odd +p9936 +tp9937 +a(g822 +g978 +tp9938 +a(g84 +Vtr-first" +p9939 +tp9940 +a(g84 +g992 +tp9941 +a(g408 +g1370 +tp9942 +a(g84 +g972 +tp9943 +a(g84 +V/tr +p9944 +tp9945 +a(g84 +g992 +tp9946 +a(g822 +g978 +tp9947 +a(g408 +g1370 +tp9948 +a(g408 +g2262 +tp9949 +a(g408 +g1370 +tp9950 +a(g822 +g978 +tp9951 +a(g84 +g972 +tp9952 +a(g84 +Vtr +p9953 +tp9954 +a(g822 +g978 +tp9955 +a(g84 +Vclass +p9956 +tp9957 +a(g84 +g982 +tp9958 +a(g84 +V"tr-even" +p9959 +tp9960 +a(g84 +g992 +tp9961 +a(g408 +g1370 +tp9962 +a(g84 +g972 +tp9963 +a(g84 +V/tr +p9964 +tp9965 +a(g84 +g992 +tp9966 +a(g822 +g978 +tp9967 +a(g408 +g1370 +tp9968 +a(g408 +g1370 +tp9969 +a(g822 +g978 +tp9970 +a(g84 +g972 +tp9971 +a(g84 +Vtr +p9972 +tp9973 +a(g822 +g978 +tp9974 +a(g84 +Vclass +p9975 +tp9976 +a(g84 +g982 +tp9977 +a(g84 +V"tr-odd" +p9978 +tp9979 +a(g84 +g992 +tp9980 +a(g408 +g1370 +tp9981 +a(g84 +g972 +tp9982 +a(g84 +V/tr +p9983 +tp9984 +a(g84 +g992 +tp9985 +a(g822 +g978 +tp9986 +a(g408 +g1370 +tp9987 +a(g408 +g2262 +tp9988 +a(g408 +g1370 +tp9989 +a(g822 +V\u000a\u000a +p9990 +tp9991 +a(g32 +V10 +p9992 +tp9993 +a(g822 +g978 +tp9994 +a(g408 +g982 +tp9995 +a(g822 +g978 +tp9996 +a(g624 +VTEXT +p9997 +tp9998 +a(g822 +V\u000a +p9999 +tp10000 +a(g32 +V10 +p10001 +tp10002 +a(g701 +g998 +tp10003 +a(g822 +Vdata +p10004 +tp10005 +a(g822 +g978 +tp10006 +a(g408 +V= +p10007 +tp10008 +a(g677 +Vregister +p10009 +tp10010 +a(g408 +g976 +tp10011 +a(g822 +VlinkedIcon +p10012 +tp10013 +a(g822 +V\u000a +p10014 +tp10015 +a(g32 +V10 +p10016 +tp10017 +a(g701 +g998 +tp10018 +a(g822 +Vwrap +p10019 +tp10020 +a(g822 +g978 +tp10021 +a(g408 +g982 +tp10022 +a(g822 +g978 +tp10023 +a(g84 +g972 +tp10024 +a(g84 +Vtd +p10025 +tp10026 +a(g822 +g978 +tp10027 +a(g84 +Vclass +p10028 +tp10029 +a(g84 +g982 +tp10030 +a(g84 +V"csc-uploads-icon" +p10031 +tp10032 +a(g84 +g992 +tp10033 +a(g408 +g1370 +tp10034 +a(g84 +g972 +tp10035 +a(g84 +V/td +p10036 +tp10037 +a(g84 +g992 +tp10038 +a(g822 +V\u000a +p10039 +tp10040 +a(g32 +V10 +p10041 +tp10042 +a(g701 +g998 +tp10043 +a(g569 +Vif +p10044 +tp10045 +a(g701 +g998 +tp10046 +a(g822 +VisPositive +p10047 +tp10048 +a(g701 +g998 +tp10049 +a(g822 +Vfield +p10050 +tp10051 +a(g822 +g978 +tp10052 +a(g408 +g982 +tp10053 +a(g822 +g978 +tp10054 +a(g822 +Vlayout +p10055 +tp10056 +a(g822 +V\u000a\u000a +p10057 +tp10058 +a(g32 +V20 +p10059 +tp10060 +a(g822 +g978 +tp10061 +a(g408 +g982 +tp10062 +a(g822 +g978 +tp10063 +a(g624 +VCOA +p10064 +tp10065 +a(g822 +V\u000a +p10066 +tp10067 +a(g32 +V20 +p10068 +tp10069 +a(g701 +g998 +tp10070 +a(g822 +Vwrap +p10071 +tp10072 +a(g822 +g978 +tp10073 +a(g408 +g982 +tp10074 +a(g822 +g978 +tp10075 +a(g84 +g972 +tp10076 +a(g84 +Vtd +p10077 +tp10078 +a(g822 +g978 +tp10079 +a(g84 +Vclass +p10080 +tp10081 +a(g84 +g982 +tp10082 +a(g84 +V"csc-uploads-fileName" +p10083 +tp10084 +a(g84 +g992 +tp10085 +a(g408 +g1370 +tp10086 +a(g84 +g972 +tp10087 +a(g84 +V/td +p10088 +tp10089 +a(g84 +g992 +tp10090 +a(g822 +V\u000a +p10091 +tp10092 +a(g32 +V20 +p10093 +tp10094 +a(g701 +g998 +tp10095 +a(g32 +g1024 +tp10096 +a(g822 +g978 +tp10097 +a(g408 +g982 +tp10098 +a(g822 +g978 +tp10099 +a(g624 +VTEXT +p10100 +tp10101 +a(g822 +V\u000a +p10102 +tp10103 +a(g32 +V20 +p10104 +tp10105 +a(g701 +g998 +tp10106 +a(g32 +g1024 +tp10107 +a(g822 +g978 +tp10108 +a(g112 +g1015 +tp10109 +a(g822 +V\u000a +p10110 +tp10111 +a(g822 +Vdata +p10112 +tp10113 +a(g822 +g978 +tp10114 +a(g408 +V= +p10115 +tp10116 +a(g677 +Vregister +p10117 +tp10118 +a(g408 +g976 +tp10119 +a(g822 +VlinkedLabel +p10120 +tp10121 +a(g822 +V\u000a +p10122 +tp10123 +a(g822 +Vwrap +p10124 +tp10125 +a(g822 +g978 +tp10126 +a(g408 +g982 +tp10127 +a(g822 +g978 +tp10128 +a(g84 +g972 +tp10129 +a(g84 +g2758 +tp10130 +a(g84 +g992 +tp10131 +a(g408 +g1370 +tp10132 +a(g84 +g972 +tp10133 +a(g84 +V/p +p10134 +tp10135 +a(g84 +g992 +tp10136 +a(g822 +V\u000a +p10137 +tp10138 +a(g112 +g1097 +tp10139 +a(g822 +V\u000a +p10140 +tp10141 +a(g32 +V20 +p10142 +tp10143 +a(g701 +g998 +tp10144 +a(g32 +g1847 +tp10145 +a(g822 +g978 +tp10146 +a(g408 +g982 +tp10147 +a(g822 +g978 +tp10148 +a(g624 +VTEXT +p10149 +tp10150 +a(g822 +V\u000a +p10151 +tp10152 +a(g32 +V20 +p10153 +tp10154 +a(g701 +g998 +tp10155 +a(g32 +g1847 +tp10156 +a(g822 +g978 +tp10157 +a(g112 +g1015 +tp10158 +a(g822 +V\u000a +p10159 +tp10160 +a(g822 +Vdata +p10161 +tp10162 +a(g822 +g978 +tp10163 +a(g408 +V= +p10164 +tp10165 +a(g677 +Vregister +p10166 +tp10167 +a(g408 +g976 +tp10168 +a(g822 +Vdescription +p10169 +tp10170 +a(g822 +V\u000a +p10171 +tp10172 +a(g822 +Vwrap +p10173 +tp10174 +a(g822 +g978 +tp10175 +a(g408 +g982 +tp10176 +a(g822 +g978 +tp10177 +a(g84 +g972 +tp10178 +a(g84 +g2758 +tp10179 +a(g822 +g978 +tp10180 +a(g84 +Vclass +p10181 +tp10182 +a(g84 +g982 +tp10183 +a(g84 +V"csc-uploads-description" +p10184 +tp10185 +a(g84 +g992 +tp10186 +a(g408 +g1370 +tp10187 +a(g84 +g972 +tp10188 +a(g84 +V/p +p10189 +tp10190 +a(g84 +g992 +tp10191 +a(g822 +V\u000a +p10192 +tp10193 +a(g822 +Vrequired +p10194 +tp10195 +a(g822 +g978 +tp10196 +a(g408 +g982 +tp10197 +a(g822 +g978 +tp10198 +a(g32 +g1024 +tp10199 +a(g822 +V\u000a +p10200 +tp10201 +a(g822 +VhtmlSpecialChars +p10202 +tp10203 +a(g822 +g978 +tp10204 +a(g408 +g982 +tp10205 +a(g822 +g978 +tp10206 +a(g32 +g1024 +tp10207 +a(g822 +V\u000a +p10208 +tp10209 +a(g112 +g1097 +tp10210 +a(g822 +V\u000a\u000a +p10211 +tp10212 +a(g32 +V30 +p10213 +tp10214 +a(g822 +g978 +tp10215 +a(g408 +g982 +tp10216 +a(g822 +g978 +tp10217 +a(g624 +VTEXT +p10218 +tp10219 +a(g822 +V\u000a +p10220 +tp10221 +a(g32 +V30 +p10222 +tp10223 +a(g701 +g998 +tp10224 +a(g569 +Vif +p10225 +tp10226 +a(g701 +g998 +tp10227 +a(g822 +VisTrue +p10228 +tp10229 +a(g701 +g998 +tp10230 +a(g822 +Vfield +p10231 +tp10232 +a(g822 +g978 +tp10233 +a(g408 +g982 +tp10234 +a(g822 +g978 +tp10235 +a(g822 +Vfilelink_size +p10236 +tp10237 +a(g822 +V\u000a +p10238 +tp10239 +a(g32 +V30 +p10240 +tp10241 +a(g701 +g998 +tp10242 +a(g822 +Vdata +p10243 +tp10244 +a(g822 +g978 +tp10245 +a(g408 +V= +p10246 +tp10247 +a(g677 +Vregister +p10248 +tp10249 +a(g408 +g976 +tp10250 +a(g822 +VfileSize +p10251 +tp10252 +a(g822 +V\u000a +p10253 +tp10254 +a(g32 +V30 +p10255 +tp10256 +a(g701 +g998 +tp10257 +a(g822 +Vwrap +p10258 +tp10259 +a(g822 +g978 +tp10260 +a(g408 +g982 +tp10261 +a(g822 +g978 +tp10262 +a(g84 +g972 +tp10263 +a(g84 +Vtd +p10264 +tp10265 +a(g822 +g978 +tp10266 +a(g84 +Vclass +p10267 +tp10268 +a(g84 +g982 +tp10269 +a(g84 +V"csc-uploads-fileSize" +p10270 +tp10271 +a(g84 +g992 +tp10272 +a(g408 +g1370 +tp10273 +a(g84 +g972 +tp10274 +a(g84 +V/td +p10275 +tp10276 +a(g84 +g992 +tp10277 +a(g822 +V\u000a +p10278 +tp10279 +a(g32 +V30 +p10280 +tp10281 +a(g701 +g998 +tp10282 +a(g822 +Vbytes +p10283 +tp10284 +a(g822 +g978 +tp10285 +a(g408 +g982 +tp10286 +a(g822 +g978 +tp10287 +a(g32 +g1024 +tp10288 +a(g822 +V\u000a +p10289 +tp10290 +a(g32 +V30 +p10291 +tp10292 +a(g701 +g998 +tp10293 +a(g822 +Vbytes +p10294 +tp10295 +a(g701 +g998 +tp10296 +a(g822 +Vlabels +p10297 +tp10298 +a(g822 +g978 +tp10299 +a(g408 +g982 +tp10300 +a(g822 +g978 +tp10301 +a(g112 +g1015 +tp10302 +a(g408 +g1391 +tp10303 +a(g561 +Vstyles.content.uploads. +p10304 +tp10305 +a(g561 +VfilesizeBytesLabels +p10306 +tp10307 +a(g112 +g1097 +tp10308 +a(g822 +V\u000a +p10309 +tp10310 +a(g112 +g1097 +tp10311 +a(g822 +V\u000a +p10312 +tp10313 +a(g822 +VuseSpacesInLinkText +p10314 +tp10315 +a(g822 +g978 +tp10316 +a(g408 +g982 +tp10317 +a(g822 +g978 +tp10318 +a(g32 +g1190 +tp10319 +a(g822 +V\u000a +p10320 +tp10321 +a(g822 +VstripFileExtensionFromLinkText +p10322 +tp10323 +a(g822 +g978 +tp10324 +a(g408 +g982 +tp10325 +a(g822 +g978 +tp10326 +a(g32 +g1190 +tp10327 +a(g822 +V\u000a +p10328 +tp10329 +a(g112 +g1097 +tp10330 +a(g822 +V\u000a\u000a +p10331 +tp10332 +a(g32 +V20 +p10333 +tp10334 +a(g701 +g998 +tp10335 +a(g822 +Vcolor +p10336 +tp10337 +a(g822 +g978 +tp10338 +a(g112 +g1015 +tp10339 +a(g822 +V\u000a +p10340 +tp10341 +a(g822 +Vdefault +p10342 +tp10343 +a(g822 +g978 +tp10344 +a(g408 +g982 +tp10345 +a(g822 +V\u000a +p10346 +tp10347 +a(g32 +g1024 +tp10348 +a(g822 +g978 +tp10349 +a(g408 +g982 +tp10350 +a(g822 +g978 +tp10351 +a(g88 +V#EDEBF1 +p10352 +tp10353 +a(g822 +V\u000a +p10354 +tp10355 +a(g32 +g1847 +tp10356 +a(g822 +g978 +tp10357 +a(g408 +g982 +tp10358 +a(g822 +g978 +tp10359 +a(g88 +V#F5FFAA +p10360 +tp10361 +a(g822 +V\u000a +p10362 +tp10363 +a(g112 +g1097 +tp10364 +a(g822 +V\u000a +p10365 +tp10366 +a(g32 +V20 +p10367 +tp10368 +a(g701 +g998 +tp10369 +a(g822 +VtableParams_0 +p10370 +tp10371 +a(g822 +g978 +tp10372 +a(g112 +g1015 +tp10373 +a(g822 +V\u000a +p10374 +tp10375 +a(g822 +Vborder +p10376 +tp10377 +a(g822 +g978 +tp10378 +a(g408 +g982 +tp10379 +a(g822 +V\u000a +p10380 +tp10381 +a(g822 +Vcellpadding +p10382 +tp10383 +a(g822 +g978 +tp10384 +a(g408 +g982 +tp10385 +a(g822 +V\u000a +p10386 +tp10387 +a(g822 +Vcellspacing +p10388 +tp10389 +a(g822 +g978 +tp10390 +a(g408 +g982 +tp10391 +a(g822 +V\u000a +p10392 +tp10393 +a(g112 +g1097 +tp10394 +a(g822 +V\u000a +p10395 +tp10396 +a(g32 +V20 +p10397 +tp10398 +a(g701 +g998 +tp10399 +a(g822 +VtableParams_1 +p10400 +tp10401 +a(g822 +g978 +tp10402 +a(g112 +g1015 +tp10403 +a(g822 +V\u000a +p10404 +tp10405 +a(g822 +Vborder +p10406 +tp10407 +a(g822 +g978 +tp10408 +a(g408 +g982 +tp10409 +a(g822 +V\u000a +p10410 +tp10411 +a(g822 +Vcellpadding +p10412 +tp10413 +a(g822 +g978 +tp10414 +a(g408 +g982 +tp10415 +a(g822 +V\u000a +p10416 +tp10417 +a(g822 +Vcellspacing +p10418 +tp10419 +a(g822 +g978 +tp10420 +a(g408 +g982 +tp10421 +a(g822 +V\u000a +p10422 +tp10423 +a(g112 +g1097 +tp10424 +a(g822 +V\u000a +p10425 +tp10426 +a(g32 +V20 +p10427 +tp10428 +a(g701 +g998 +tp10429 +a(g822 +VtableParams_2 +p10430 +tp10431 +a(g822 +g978 +tp10432 +a(g112 +g1015 +tp10433 +a(g822 +V\u000a +p10434 +tp10435 +a(g822 +Vborder +p10436 +tp10437 +a(g822 +g978 +tp10438 +a(g408 +g982 +tp10439 +a(g822 +V\u000a +p10440 +tp10441 +a(g822 +Vcellpadding +p10442 +tp10443 +a(g822 +g978 +tp10444 +a(g408 +g982 +tp10445 +a(g822 +V\u000a +p10446 +tp10447 +a(g822 +Vcellspacing +p10448 +tp10449 +a(g822 +g978 +tp10450 +a(g408 +g982 +tp10451 +a(g822 +V\u000a +p10452 +tp10453 +a(g112 +g1097 +tp10454 +a(g822 +V\u000a +p10455 +tp10456 +a(g32 +V20 +p10457 +tp10458 +a(g701 +g998 +tp10459 +a(g822 +VtableParams_3 +p10460 +tp10461 +a(g822 +g978 +tp10462 +a(g112 +g1015 +tp10463 +a(g822 +V\u000a +p10464 +tp10465 +a(g822 +Vborder +p10466 +tp10467 +a(g822 +g978 +tp10468 +a(g408 +g982 +tp10469 +a(g822 +V\u000a +p10470 +tp10471 +a(g822 +Vcellpadding +p10472 +tp10473 +a(g822 +g978 +tp10474 +a(g408 +g982 +tp10475 +a(g822 +V\u000a +p10476 +tp10477 +a(g822 +Vcellspacing +p10478 +tp10479 +a(g822 +g978 +tp10480 +a(g408 +g982 +tp10481 +a(g822 +V\u000a +p10482 +tp10483 +a(g112 +g1097 +tp10484 +a(g822 +V\u000a\u000a +p10485 +tp10486 +a(g32 +V20 +p10487 +tp10488 +a(g701 +g998 +tp10489 +a(g822 +VlinkProc +p10490 +tp10491 +a(g822 +g978 +tp10492 +a(g112 +g1015 +tp10493 +a(g822 +V\u000a +p10494 +tp10495 +a(g822 +Vtarget +p10496 +tp10497 +a(g822 +g978 +tp10498 +a(g408 +g982 +tp10499 +a(g822 +g978 +tp10500 +a(g822 +V_blank +p10501 +tp10502 +a(g822 +V\u000a +p10503 +tp10504 +a(g822 +Vjumpurl +p10505 +tp10506 +a(g822 +g978 +tp10507 +a(g408 +g982 +tp10508 +a(g822 +g978 +tp10509 +a(g112 +g1015 +tp10510 +a(g408 +g1391 +tp10511 +a(g561 +Vstyles.content.uploads. +p10512 +tp10513 +a(g561 +Vjumpurl +p10514 +tp10515 +a(g112 +g1097 +tp10516 +a(g822 +V\u000a +p10517 +tp10518 +a(g822 +Vjumpurl +p10519 +tp10520 +a(g701 +g998 +tp10521 +a(g822 +Vsecure +p10522 +tp10523 +a(g822 +g978 +tp10524 +a(g408 +g982 +tp10525 +a(g822 +g978 +tp10526 +a(g112 +g1015 +tp10527 +a(g408 +g1391 +tp10528 +a(g561 +Vstyles.content.uploads. +p10529 +tp10530 +a(g561 +Vjumpurl_secure +p10531 +tp10532 +a(g112 +g1097 +tp10533 +a(g822 +V\u000a +p10534 +tp10535 +a(g822 +Vjumpurl +p10536 +tp10537 +a(g701 +g998 +tp10538 +a(g822 +Vsecure +p10539 +tp10540 +a(g701 +g998 +tp10541 +a(g822 +VmimeTypes +p10542 +tp10543 +a(g822 +g978 +tp10544 +a(g408 +g982 +tp10545 +a(g822 +g978 +tp10546 +a(g112 +g1015 +tp10547 +a(g408 +g1391 +tp10548 +a(g561 +Vstyles.content.uploads. +p10549 +tp10550 +a(g561 +Vjumpurl_secure_mimeTypes +p10551 +tp10552 +a(g112 +g1097 +tp10553 +a(g822 +V\u000a +p10554 +tp10555 +a(g822 +VremovePrependedNumbers +p10556 +tp10557 +a(g822 +g978 +tp10558 +a(g408 +g982 +tp10559 +a(g822 +g978 +tp10560 +a(g32 +g1024 +tp10561 +a(g822 +V\u000a\u000a +p10562 +tp10563 +a(g822 +ViconCObject +p10564 +tp10565 +a(g822 +g978 +tp10566 +a(g408 +g982 +tp10567 +a(g822 +g978 +tp10568 +a(g624 +VIMAGE +p10569 +tp10570 +a(g822 +V\u000a +p10571 +tp10572 +a(g822 +ViconCObject +p10573 +tp10574 +a(g701 +g998 +tp10575 +a(g822 +Vfile +p10576 +tp10577 +a(g701 +g998 +tp10578 +a(g822 +Vimport +p10579 +tp10580 +a(g701 +g998 +tp10581 +a(g822 +Vdata +p10582 +tp10583 +a(g822 +g978 +tp10584 +a(g408 +V= +p10585 +tp10586 +a(g677 +Vregister +p10587 +tp10588 +a(g822 +g978 +tp10589 +a(g408 +g976 +tp10590 +a(g822 +g978 +tp10591 +a(g822 +VICON_REL_PATH +p10592 +tp10593 +a(g822 +V\u000a +p10594 +tp10595 +a(g822 +ViconCObject +p10596 +tp10597 +a(g701 +g998 +tp10598 +a(g822 +Vfile +p10599 +tp10600 +a(g701 +g998 +tp10601 +a(g822 +Vwidth +p10602 +tp10603 +a(g822 +g978 +tp10604 +a(g408 +g982 +tp10605 +a(g822 +g978 +tp10606 +a(g32 +V150 +p10607 +tp10608 +a(g822 +V\u000a +p10609 +tp10610 +a(g112 +g1097 +tp10611 +a(g822 +V\u000a\u000a +p10612 +tp10613 +a(g32 +V20 +p10614 +tp10615 +a(g701 +g998 +tp10616 +a(g822 +Vfilesize +p10617 +tp10618 +a(g822 +g978 +tp10619 +a(g112 +g1015 +tp10620 +a(g822 +V\u000a +p10621 +tp10622 +a(g822 +Vbytes +p10623 +tp10624 +a(g822 +g978 +tp10625 +a(g408 +g982 +tp10626 +a(g822 +g978 +tp10627 +a(g32 +g1024 +tp10628 +a(g822 +V\u000a +p10629 +tp10630 +a(g822 +Vbytes +p10631 +tp10632 +a(g701 +g998 +tp10633 +a(g822 +Vlabels +p10634 +tp10635 +a(g822 +g978 +tp10636 +a(g408 +g982 +tp10637 +a(g822 +g978 +tp10638 +a(g112 +g1015 +tp10639 +a(g408 +g1391 +tp10640 +a(g561 +Vstyles.content.uploads. +p10641 +tp10642 +a(g561 +VfilesizeBytesLabels +p10643 +tp10644 +a(g112 +g1097 +tp10645 +a(g822 +V\u000a +p10646 +tp10647 +a(g112 +g1097 +tp10648 +a(g822 +V\u000a\u000a +p10649 +tp10650 +a(g32 +V20 +p10651 +tp10652 +a(g701 +g998 +tp10653 +a(g569 +VstdWrap +p10654 +tp10655 +a(g822 +g978 +tp10656 +a(g112 +g1015 +tp10657 +a(g822 +V\u000a +p10658 +tp10659 +a(g822 +VeditIcons +p10660 +tp10661 +a(g822 +g978 +tp10662 +a(g408 +V= +p10663 +tp10664 +a(g677 +Vtt_content +p10665 +tp10666 +a(g408 +g976 +tp10667 +a(g822 +g978 +tp10668 +a(g822 +Vmedia +p10669 +tp10670 +a(g701 +g2004 +tp10671 +a(g822 +g978 +tp10672 +a(g822 +Vlayout +p10673 +tp10674 +a(g822 +g978 +tp10675 +a(g112 +g3592 +tp10676 +a(g822 +Vtable_bgColor +p10677 +tp10678 +a(g408 +g1370 +tp10679 +a(g822 +Vtable_border +p10680 +tp10681 +a(g408 +g1370 +tp10682 +a(g822 +Vtable_cellspacing +p10683 +tp10684 +a(g408 +g1370 +tp10685 +a(g822 +Vtable_cellpadding +p10686 +tp10687 +a(g112 +g3601 +tp10688 +a(g701 +g2004 +tp10689 +a(g822 +g978 +tp10690 +a(g822 +Vfilelink_size +p10691 +tp10692 +a(g701 +g2004 +tp10693 +a(g822 +g978 +tp10694 +a(g822 +Vimagecaption +p10695 +tp10696 +a(g822 +V\u000a +p10697 +tp10698 +a(g822 +VeditIcons +p10699 +tp10700 +a(g701 +g998 +tp10701 +a(g822 +ViconTitle +p10702 +tp10703 +a(g701 +g998 +tp10704 +a(g822 +Vdata +p10705 +tp10706 +a(g822 +g978 +tp10707 +a(g408 +g982 +tp10708 +a(g822 +g978 +tp10709 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.filelist +p10710 +tp10711 +a(g822 +V\u000a\u000a +p10712 +tp10713 +a(g822 +VprefixComment +p10714 +tp10715 +a(g822 +g978 +tp10716 +a(g408 +g982 +tp10717 +a(g822 +g978 +tp10718 +a(g32 +g1847 +tp10719 +a(g822 +g978 +tp10720 +a(g408 +g1370 +tp10721 +a(g822 +g978 +tp10722 +a(g822 +VFile +p10723 +tp10724 +a(g822 +g978 +tp10725 +a(g822 +Vlist +p10726 +tp10727 +a(g408 +g976 +tp10728 +a(g822 +V\u000a +p10729 +tp10730 +a(g112 +g1097 +tp10731 +a(g822 +V\u000a +p10732 +tp10733 +a(g112 +g1097 +tp10734 +a(g822 +V\u000a\u000a\u000a +p10735 +tp10736 +a(g7 +V# ****************** +p10737 +tp10738 +a(g822 +V\u000a +p10739 +tp10740 +a(g7 +V# CType: multimedia +p10741 +tp10742 +a(g822 +V\u000a +p10743 +tp10744 +a(g7 +V# ****************** +p10745 +tp10746 +a(g822 +V\u000a +p10747 +tp10748 +a(g677 +Vtt_content +p10749 +tp10750 +a(g701 +g998 +tp10751 +a(g822 +Vmultimedia +p10752 +tp10753 +a(g822 +g978 +tp10754 +a(g408 +g982 +tp10755 +a(g822 +g978 +tp10756 +a(g624 +VCOA +p10757 +tp10758 +a(g822 +V\u000a +p10759 +tp10760 +a(g677 +Vtt_content +p10761 +tp10762 +a(g701 +g998 +tp10763 +a(g822 +Vmultimedia +p10764 +tp10765 +a(g822 +g978 +tp10766 +a(g112 +g1015 +tp10767 +a(g822 +V\u000a +p10768 +tp10769 +a(g32 +V10 +p10770 +tp10771 +a(g822 +g978 +tp10772 +a(g408 +V= < +p10773 +tp10774 +a(g677 +Vlib +p10775 +tp10776 +a(g701 +g998 +tp10777 +a(g822 +Vstdheader +p10778 +tp10779 +a(g822 +V\u000a\u000a +p10780 +tp10781 +a(g32 +V20 +p10782 +tp10783 +a(g822 +g978 +tp10784 +a(g408 +g982 +tp10785 +a(g822 +g978 +tp10786 +a(g624 +VMULTIMEDIA +p10787 +tp10788 +a(g822 +V\u000a +p10789 +tp10790 +a(g32 +V20 +p10791 +tp10792 +a(g701 +g998 +tp10793 +a(g822 +Vfile +p10794 +tp10795 +a(g701 +g998 +tp10796 +a(g822 +Vfield +p10797 +tp10798 +a(g822 +g978 +tp10799 +a(g408 +g982 +tp10800 +a(g822 +g978 +tp10801 +a(g822 +Vmultimedia +p10802 +tp10803 +a(g822 +V\u000a +p10804 +tp10805 +a(g32 +V20 +p10806 +tp10807 +a(g701 +g998 +tp10808 +a(g822 +Vfile +p10809 +tp10810 +a(g701 +g998 +tp10811 +a(g822 +Vwrap +p10812 +tp10813 +a(g822 +g978 +tp10814 +a(g408 +g982 +tp10815 +a(g822 +g978 +tp10816 +a(g84 +Vuploads/media/ +p10817 +tp10818 +a(g84 +V\u000a +p10819 +tp10820 +a(g822 +g6853 +tp10821 +a(g32 +V20 +p10822 +tp10823 +a(g701 +g998 +tp10824 +a(g822 +Vfile +p10825 +tp10826 +a(g701 +g998 +tp10827 +a(g822 +VlistNum +p10828 +tp10829 +a(g822 +g978 +tp10830 +a(g408 +g982 +tp10831 +a(g822 +g978 +tp10832 +a(g32 +g1190 +tp10833 +a(g822 +V\u000a +p10834 +tp10835 +a(g32 +V20 +p10836 +tp10837 +a(g701 +g998 +tp10838 +a(g822 +Vparams +p10839 +tp10840 +a(g701 +g998 +tp10841 +a(g822 +Vfield +p10842 +tp10843 +a(g822 +g978 +tp10844 +a(g408 +g982 +tp10845 +a(g822 +g978 +tp10846 +a(g822 +Vbodytext +p10847 +tp10848 +a(g822 +V\u000a\u000a +p10849 +tp10850 +a(g32 +V20 +p10851 +tp10852 +a(g701 +g998 +tp10853 +a(g569 +VstdWrap +p10854 +tp10855 +a(g822 +g978 +tp10856 +a(g112 +g1015 +tp10857 +a(g822 +V\u000a +p10858 +tp10859 +a(g822 +VeditIcons +p10860 +tp10861 +a(g822 +g978 +tp10862 +a(g408 +V= +p10863 +tp10864 +a(g677 +Vtt_content +p10865 +tp10866 +a(g408 +g976 +tp10867 +a(g822 +g978 +tp10868 +a(g822 +Vmultimedia +p10869 +tp10870 +a(g701 +g2004 +tp10871 +a(g822 +g978 +tp10872 +a(g822 +Vbodytext +p10873 +tp10874 +a(g822 +V\u000a +p10875 +tp10876 +a(g822 +VeditIcons +p10877 +tp10878 +a(g701 +g998 +tp10879 +a(g822 +ViconTitle +p10880 +tp10881 +a(g701 +g998 +tp10882 +a(g822 +Vdata +p10883 +tp10884 +a(g822 +g978 +tp10885 +a(g408 +g982 +tp10886 +a(g822 +g978 +tp10887 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.multimedia +p10888 +tp10889 +a(g822 +V\u000a\u000a +p10890 +tp10891 +a(g822 +VprefixComment +p10892 +tp10893 +a(g822 +g978 +tp10894 +a(g408 +g982 +tp10895 +a(g822 +g978 +tp10896 +a(g32 +g1847 +tp10897 +a(g822 +g978 +tp10898 +a(g408 +g1370 +tp10899 +a(g822 +g978 +tp10900 +a(g822 +VMultimedia +p10901 +tp10902 +a(g822 +g978 +tp10903 +a(g822 +Velement +p10904 +tp10905 +a(g408 +g976 +tp10906 +a(g822 +V\u000a +p10907 +tp10908 +a(g112 +g1097 +tp10909 +a(g822 +V\u000a +p10910 +tp10911 +a(g112 +g1097 +tp10912 +a(g822 +V\u000a\u000a +p10913 +tp10914 +a(g7 +V# ***************** +p10915 +tp10916 +a(g822 +V\u000a +p10917 +tp10918 +a(g7 +V# CType: swfobject +p10919 +tp10920 +a(g822 +V\u000a +p10921 +tp10922 +a(g7 +V# ***************** +p10923 +tp10924 +a(g822 +V\u000a +p10925 +tp10926 +a(g677 +Vtt_content +p10927 +tp10928 +a(g701 +g998 +tp10929 +a(g822 +Vswfobject +p10930 +tp10931 +a(g822 +g978 +tp10932 +a(g408 +g982 +tp10933 +a(g822 +g978 +tp10934 +a(g624 +VCOA +p10935 +tp10936 +a(g822 +V\u000a +p10937 +tp10938 +a(g677 +Vtt_content +p10939 +tp10940 +a(g701 +g998 +tp10941 +a(g822 +Vswfobject +p10942 +tp10943 +a(g822 +g978 +tp10944 +a(g112 +g1015 +tp10945 +a(g822 +V\u000a +p10946 +tp10947 +a(g32 +V10 +p10948 +tp10949 +a(g822 +g978 +tp10950 +a(g408 +V= < +p10951 +tp10952 +a(g677 +Vlib +p10953 +tp10954 +a(g701 +g998 +tp10955 +a(g822 +Vstdheader +p10956 +tp10957 +a(g822 +V\u000a\u000a +p10958 +tp10959 +a(g32 +V20 +p10960 +tp10961 +a(g822 +g978 +tp10962 +a(g408 +g982 +tp10963 +a(g822 +g978 +tp10964 +a(g624 +VSWFOBJECT +p10965 +tp10966 +a(g822 +V\u000a +p10967 +tp10968 +a(g32 +V20 +p10969 +tp10970 +a(g822 +g978 +tp10971 +a(g112 +g1015 +tp10972 +a(g822 +V\u000a +p10973 +tp10974 +a(g822 +Vfile +p10975 +tp10976 +a(g822 +g978 +tp10977 +a(g408 +g982 +tp10978 +a(g822 +V\u000a +p10979 +tp10980 +a(g822 +Vwidth +p10981 +tp10982 +a(g822 +g978 +tp10983 +a(g408 +g982 +tp10984 +a(g822 +V\u000a +p10985 +tp10986 +a(g822 +Vheight +p10987 +tp10988 +a(g822 +g978 +tp10989 +a(g408 +g982 +tp10990 +a(g822 +V\u000a\u000a +p10991 +tp10992 +a(g822 +VflexParams +p10993 +tp10994 +a(g701 +g998 +tp10995 +a(g822 +Vfield +p10996 +tp10997 +a(g822 +g978 +tp10998 +a(g408 +g982 +tp10999 +a(g822 +g978 +tp11000 +a(g822 +Vpi_flexform +p11001 +tp11002 +a(g822 +V\u000a\u000a +p11003 +tp11004 +a(g822 +ValternativeContent +p11005 +tp11006 +a(g701 +g998 +tp11007 +a(g822 +Vfield +p11008 +tp11009 +a(g822 +g978 +tp11010 +a(g408 +g982 +tp11011 +a(g822 +g978 +tp11012 +a(g822 +Vbodytext +p11013 +tp11014 +a(g822 +V\u000a\u000a +p11015 +tp11016 +a(g822 +Vlayout +p11017 +tp11018 +a(g822 +g978 +tp11019 +a(g408 +g982 +tp11020 +a(g822 +g978 +tp11021 +a(g561 +V###SWFOBJECT### +p11022 +tp11023 +a(g822 +V\u000a\u000a +p11024 +tp11025 +a(g822 +Vvideo +p11026 +tp11027 +a(g822 +g978 +tp11028 +a(g112 +g1015 +tp11029 +a(g822 +V\u000a +p11030 +tp11031 +a(g822 +Vplayer +p11032 +tp11033 +a(g822 +g978 +tp11034 +a(g408 +g982 +tp11035 +a(g822 +g978 +tp11036 +a(g112 +g1015 +tp11037 +a(g408 +g1391 +tp11038 +a(g561 +Vstyles.content.media. +p11039 +tp11040 +a(g561 +VvideoPlayer +p11041 +tp11042 +a(g112 +g1097 +tp11043 +a(g822 +V\u000a\u000a +p11044 +tp11045 +a(g822 +VdefaultWidth +p11046 +tp11047 +a(g822 +V +p11048 +tp11049 +a(g408 +g982 +tp11050 +a(g822 +g978 +tp11051 +a(g112 +g1015 +tp11052 +a(g408 +g1391 +tp11053 +a(g561 +Vstyles.content.media. +p11054 +tp11055 +a(g561 +VdefaultVideoWidth +p11056 +tp11057 +a(g112 +g1097 +tp11058 +a(g822 +V\u000a +p11059 +tp11060 +a(g822 +VdefaultHeight +p11061 +tp11062 +a(g822 +V +p11063 +tp11064 +a(g408 +g982 +tp11065 +a(g822 +g978 +tp11066 +a(g112 +g1015 +tp11067 +a(g408 +g1391 +tp11068 +a(g561 +Vstyles.content.media. +p11069 +tp11070 +a(g561 +VdefaultVideoHeight +p11071 +tp11072 +a(g112 +g1097 +tp11073 +a(g822 +V\u000a\u000a +p11074 +tp11075 +a(g822 +Vdefault +p11076 +tp11077 +a(g822 +g978 +tp11078 +a(g112 +g1015 +tp11079 +a(g822 +V\u000a +p11080 +tp11081 +a(g822 +Vparams +p11082 +tp11083 +a(g701 +g998 +tp11084 +a(g822 +Vquality +p11085 +tp11086 +a(g822 +g978 +tp11087 +a(g408 +g982 +tp11088 +a(g822 +g978 +tp11089 +a(g822 +Vhigh +p11090 +tp11091 +a(g822 +V\u000a +p11092 +tp11093 +a(g822 +Vparams +p11094 +tp11095 +a(g701 +g998 +tp11096 +a(g822 +Vmenu +p11097 +tp11098 +a(g822 +g978 +tp11099 +a(g408 +g982 +tp11100 +a(g822 +g978 +tp11101 +a(g822 +Vfalse +p11102 +tp11103 +a(g822 +V\u000a +p11104 +tp11105 +a(g822 +Vparams +p11106 +tp11107 +a(g701 +g998 +tp11108 +a(g822 +VallowScriptAccess +p11109 +tp11110 +a(g822 +g978 +tp11111 +a(g408 +g982 +tp11112 +a(g822 +g978 +tp11113 +a(g822 +VsameDomain +p11114 +tp11115 +a(g822 +V\u000a +p11116 +tp11117 +a(g822 +Vparams +p11118 +tp11119 +a(g701 +g998 +tp11120 +a(g822 +VallowFullScreen +p11121 +tp11122 +a(g822 +g978 +tp11123 +a(g408 +g982 +tp11124 +a(g822 +g978 +tp11125 +a(g822 +Vtrue +p11126 +tp11127 +a(g822 +V\u000a +p11128 +tp11129 +a(g112 +g1097 +tp11130 +a(g822 +V\u000a +p11131 +tp11132 +a(g822 +Vmapping +p11133 +tp11134 +a(g822 +g978 +tp11135 +a(g112 +g1015 +tp11136 +a(g822 +V\u000a\u000a +p11137 +tp11138 +a(g112 +g1097 +tp11139 +a(g822 +V\u000a +p11140 +tp11141 +a(g112 +g1097 +tp11142 +a(g822 +V\u000a\u000a +p11143 +tp11144 +a(g822 +Vaudio +p11145 +tp11146 +a(g822 +g978 +tp11147 +a(g112 +g1015 +tp11148 +a(g822 +V\u000a +p11149 +tp11150 +a(g822 +Vplayer +p11151 +tp11152 +a(g822 +g978 +tp11153 +a(g408 +g982 +tp11154 +a(g822 +g978 +tp11155 +a(g112 +g1015 +tp11156 +a(g408 +g1391 +tp11157 +a(g561 +Vstyles.content.media. +p11158 +tp11159 +a(g561 +VaudioPlayer +p11160 +tp11161 +a(g112 +g1097 +tp11162 +a(g822 +V\u000a\u000a +p11163 +tp11164 +a(g822 +VdefaultWidth +p11165 +tp11166 +a(g822 +g978 +tp11167 +a(g408 +g982 +tp11168 +a(g822 +g978 +tp11169 +a(g112 +g1015 +tp11170 +a(g408 +g1391 +tp11171 +a(g561 +Vstyles.content.media. +p11172 +tp11173 +a(g561 +VdefaultAudioWidth +p11174 +tp11175 +a(g112 +g1097 +tp11176 +a(g822 +V\u000a +p11177 +tp11178 +a(g822 +VdefaultHeight +p11179 +tp11180 +a(g822 +g978 +tp11181 +a(g408 +g982 +tp11182 +a(g822 +g978 +tp11183 +a(g112 +g1015 +tp11184 +a(g408 +g1391 +tp11185 +a(g561 +Vstyles.content.media. +p11186 +tp11187 +a(g561 +VdefaultAudioHeight +p11188 +tp11189 +a(g112 +g1097 +tp11190 +a(g822 +V\u000a\u000a +p11191 +tp11192 +a(g822 +Vdefault +p11193 +tp11194 +a(g822 +g978 +tp11195 +a(g112 +g1015 +tp11196 +a(g822 +V\u000a +p11197 +tp11198 +a(g822 +Vparams +p11199 +tp11200 +a(g701 +g998 +tp11201 +a(g822 +Vquality +p11202 +tp11203 +a(g822 +g978 +tp11204 +a(g408 +g982 +tp11205 +a(g822 +g978 +tp11206 +a(g822 +Vhigh +p11207 +tp11208 +a(g822 +V\u000a +p11209 +tp11210 +a(g822 +Vparams +p11211 +tp11212 +a(g701 +g998 +tp11213 +a(g822 +VallowScriptAccess +p11214 +tp11215 +a(g822 +g978 +tp11216 +a(g408 +g982 +tp11217 +a(g822 +g978 +tp11218 +a(g822 +VsameDomain +p11219 +tp11220 +a(g822 +V\u000a +p11221 +tp11222 +a(g822 +Vparams +p11223 +tp11224 +a(g701 +g998 +tp11225 +a(g822 +Vmenu +p11226 +tp11227 +a(g822 +g978 +tp11228 +a(g408 +g982 +tp11229 +a(g822 +g978 +tp11230 +a(g822 +Vfalse +p11231 +tp11232 +a(g822 +V\u000a +p11233 +tp11234 +a(g112 +g1097 +tp11235 +a(g822 +V\u000a +p11236 +tp11237 +a(g822 +Vmapping +p11238 +tp11239 +a(g822 +g978 +tp11240 +a(g112 +g1015 +tp11241 +a(g822 +V\u000a +p11242 +tp11243 +a(g822 +Vflashvars +p11244 +tp11245 +a(g701 +g998 +tp11246 +a(g822 +Vfile +p11247 +tp11248 +a(g822 +g978 +tp11249 +a(g408 +g982 +tp11250 +a(g822 +g978 +tp11251 +a(g822 +VsoundFile +p11252 +tp11253 +a(g822 +V\u000a +p11254 +tp11255 +a(g112 +g1097 +tp11256 +a(g822 +V\u000a +p11257 +tp11258 +a(g112 +g1097 +tp11259 +a(g822 +V\u000a\u000a +p11260 +tp11261 +a(g112 +g1097 +tp11262 +a(g822 +V\u000a +p11263 +tp11264 +a(g32 +V20 +p11265 +tp11266 +a(g701 +g998 +tp11267 +a(g569 +VstdWrap +p11268 +tp11269 +a(g822 +g978 +tp11270 +a(g112 +g1015 +tp11271 +a(g822 +V\u000a +p11272 +tp11273 +a(g822 +VeditIcons +p11274 +tp11275 +a(g822 +g978 +tp11276 +a(g408 +V= +p11277 +tp11278 +a(g677 +Vtt_content +p11279 +tp11280 +a(g408 +g976 +tp11281 +a(g822 +g978 +tp11282 +a(g822 +Vmultimedia +p11283 +tp11284 +a(g701 +g2004 +tp11285 +a(g822 +g978 +tp11286 +a(g822 +Vimagewidth +p11287 +tp11288 +a(g701 +g2004 +tp11289 +a(g822 +g978 +tp11290 +a(g822 +Vimageheight +p11291 +tp11292 +a(g701 +g2004 +tp11293 +a(g822 +g978 +tp11294 +a(g822 +Vpi_flexform +p11295 +tp11296 +a(g701 +g2004 +tp11297 +a(g822 +g978 +tp11298 +a(g822 +Vbodytext +p11299 +tp11300 +a(g822 +V\u000a +p11301 +tp11302 +a(g822 +VeditIcons +p11303 +tp11304 +a(g701 +g998 +tp11305 +a(g822 +ViconTitle +p11306 +tp11307 +a(g701 +g998 +tp11308 +a(g822 +Vdata +p11309 +tp11310 +a(g822 +g978 +tp11311 +a(g408 +g982 +tp11312 +a(g822 +g978 +tp11313 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.multimedia +p11314 +tp11315 +a(g822 +V\u000a\u000a +p11316 +tp11317 +a(g822 +VprefixComment +p11318 +tp11319 +a(g822 +g978 +tp11320 +a(g408 +g982 +tp11321 +a(g822 +g978 +tp11322 +a(g32 +g1847 +tp11323 +a(g822 +g978 +tp11324 +a(g408 +g1370 +tp11325 +a(g822 +g978 +tp11326 +a(g822 +VSWFobject +p11327 +tp11328 +a(g822 +g978 +tp11329 +a(g822 +Velement +p11330 +tp11331 +a(g408 +g976 +tp11332 +a(g822 +V\u000a +p11333 +tp11334 +a(g112 +g1097 +tp11335 +a(g822 +V\u000a +p11336 +tp11337 +a(g112 +g1097 +tp11338 +a(g822 +V\u000a\u000a +p11339 +tp11340 +a(g7 +V# ***************** +p11341 +tp11342 +a(g822 +V\u000a +p11343 +tp11344 +a(g7 +V# CType: qtobject +p11345 +tp11346 +a(g822 +V\u000a +p11347 +tp11348 +a(g7 +V# ***************** +p11349 +tp11350 +a(g822 +V\u000a +p11351 +tp11352 +a(g677 +Vtt_content +p11353 +tp11354 +a(g701 +g998 +tp11355 +a(g822 +Vqtobject +p11356 +tp11357 +a(g822 +g978 +tp11358 +a(g408 +g982 +tp11359 +a(g822 +g978 +tp11360 +a(g624 +VCOA +p11361 +tp11362 +a(g822 +V\u000a +p11363 +tp11364 +a(g677 +Vtt_content +p11365 +tp11366 +a(g701 +g998 +tp11367 +a(g822 +Vqtobject +p11368 +tp11369 +a(g822 +g978 +tp11370 +a(g112 +g1015 +tp11371 +a(g822 +V\u000a +p11372 +tp11373 +a(g32 +V10 +p11374 +tp11375 +a(g822 +g978 +tp11376 +a(g408 +V= < +p11377 +tp11378 +a(g677 +Vlib +p11379 +tp11380 +a(g701 +g998 +tp11381 +a(g822 +Vstdheader +p11382 +tp11383 +a(g822 +V\u000a\u000a +p11384 +tp11385 +a(g32 +V20 +p11386 +tp11387 +a(g822 +g978 +tp11388 +a(g408 +g982 +tp11389 +a(g822 +g978 +tp11390 +a(g624 +VQTOBJECT +p11391 +tp11392 +a(g822 +V\u000a +p11393 +tp11394 +a(g32 +V20 +p11395 +tp11396 +a(g822 +g978 +tp11397 +a(g112 +g1015 +tp11398 +a(g822 +V\u000a +p11399 +tp11400 +a(g822 +Vfile +p11401 +tp11402 +a(g822 +g978 +tp11403 +a(g408 +g982 +tp11404 +a(g822 +V\u000a +p11405 +tp11406 +a(g822 +Vwidth +p11407 +tp11408 +a(g822 +g978 +tp11409 +a(g408 +g982 +tp11410 +a(g822 +V\u000a +p11411 +tp11412 +a(g822 +Vheight +p11413 +tp11414 +a(g822 +g978 +tp11415 +a(g408 +g982 +tp11416 +a(g822 +V\u000a\u000a +p11417 +tp11418 +a(g822 +VflexParams +p11419 +tp11420 +a(g701 +g998 +tp11421 +a(g822 +Vfield +p11422 +tp11423 +a(g822 +g978 +tp11424 +a(g408 +g982 +tp11425 +a(g822 +g978 +tp11426 +a(g822 +Vpi_flexform +p11427 +tp11428 +a(g822 +V\u000a\u000a +p11429 +tp11430 +a(g822 +ValternativeContent +p11431 +tp11432 +a(g701 +g998 +tp11433 +a(g822 +Vfield +p11434 +tp11435 +a(g822 +g978 +tp11436 +a(g408 +g982 +tp11437 +a(g822 +g978 +tp11438 +a(g822 +Vbodytext +p11439 +tp11440 +a(g822 +V\u000a\u000a +p11441 +tp11442 +a(g822 +Vlayout +p11443 +tp11444 +a(g822 +g978 +tp11445 +a(g408 +g982 +tp11446 +a(g822 +g978 +tp11447 +a(g561 +V###QTOBJECT### +p11448 +tp11449 +a(g822 +V\u000a\u000a +p11450 +tp11451 +a(g822 +Vvideo +p11452 +tp11453 +a(g822 +g978 +tp11454 +a(g112 +g1015 +tp11455 +a(g822 +V\u000a +p11456 +tp11457 +a(g822 +Vplayer +p11458 +tp11459 +a(g822 +g978 +tp11460 +a(g408 +g982 +tp11461 +a(g822 +g978 +tp11462 +a(g112 +g1015 +tp11463 +a(g408 +g1391 +tp11464 +a(g561 +Vstyles.content.media. +p11465 +tp11466 +a(g561 +VvideoPlayer +p11467 +tp11468 +a(g112 +g1097 +tp11469 +a(g822 +V\u000a\u000a +p11470 +tp11471 +a(g822 +VdefaultWidth +p11472 +tp11473 +a(g822 +V +p11474 +tp11475 +a(g408 +g982 +tp11476 +a(g822 +g978 +tp11477 +a(g112 +g1015 +tp11478 +a(g408 +g1391 +tp11479 +a(g561 +Vstyles.content.media. +p11480 +tp11481 +a(g561 +VdefaultVideoWidth +p11482 +tp11483 +a(g112 +g1097 +tp11484 +a(g822 +V\u000a +p11485 +tp11486 +a(g822 +VdefaultHeight +p11487 +tp11488 +a(g822 +V +p11489 +tp11490 +a(g408 +g982 +tp11491 +a(g822 +g978 +tp11492 +a(g112 +g1015 +tp11493 +a(g408 +g1391 +tp11494 +a(g561 +Vstyles.content.media. +p11495 +tp11496 +a(g561 +VdefaultVideoHeight +p11497 +tp11498 +a(g112 +g1097 +tp11499 +a(g822 +V\u000a\u000a +p11500 +tp11501 +a(g822 +Vdefault +p11502 +tp11503 +a(g822 +g978 +tp11504 +a(g112 +g1015 +tp11505 +a(g822 +V\u000a +p11506 +tp11507 +a(g822 +Vparams +p11508 +tp11509 +a(g701 +g998 +tp11510 +a(g822 +Vquality +p11511 +tp11512 +a(g822 +g978 +tp11513 +a(g408 +g982 +tp11514 +a(g822 +g978 +tp11515 +a(g822 +Vhigh +p11516 +tp11517 +a(g822 +V\u000a +p11518 +tp11519 +a(g822 +Vparams +p11520 +tp11521 +a(g701 +g998 +tp11522 +a(g822 +Vmenu +p11523 +tp11524 +a(g822 +g978 +tp11525 +a(g408 +g982 +tp11526 +a(g822 +g978 +tp11527 +a(g822 +Vfalse +p11528 +tp11529 +a(g822 +V\u000a +p11530 +tp11531 +a(g822 +Vparams +p11532 +tp11533 +a(g701 +g998 +tp11534 +a(g822 +VallowScriptAccess +p11535 +tp11536 +a(g822 +g978 +tp11537 +a(g408 +g982 +tp11538 +a(g822 +g978 +tp11539 +a(g822 +VsameDomain +p11540 +tp11541 +a(g822 +V\u000a +p11542 +tp11543 +a(g822 +Vparams +p11544 +tp11545 +a(g701 +g998 +tp11546 +a(g822 +VallowFullScreen +p11547 +tp11548 +a(g822 +g978 +tp11549 +a(g408 +g982 +tp11550 +a(g822 +g978 +tp11551 +a(g822 +Vtrue +p11552 +tp11553 +a(g822 +V\u000a +p11554 +tp11555 +a(g112 +g1097 +tp11556 +a(g822 +V\u000a +p11557 +tp11558 +a(g822 +Vmapping +p11559 +tp11560 +a(g822 +g978 +tp11561 +a(g112 +g1015 +tp11562 +a(g822 +V\u000a\u000a +p11563 +tp11564 +a(g112 +g1097 +tp11565 +a(g822 +V\u000a +p11566 +tp11567 +a(g112 +g1097 +tp11568 +a(g822 +V\u000a\u000a +p11569 +tp11570 +a(g822 +Vaudio +p11571 +tp11572 +a(g822 +g978 +tp11573 +a(g112 +g1015 +tp11574 +a(g822 +V\u000a +p11575 +tp11576 +a(g822 +Vplayer +p11577 +tp11578 +a(g822 +g978 +tp11579 +a(g408 +g982 +tp11580 +a(g822 +g978 +tp11581 +a(g112 +g1015 +tp11582 +a(g408 +g1391 +tp11583 +a(g561 +Vstyles.content.media. +p11584 +tp11585 +a(g561 +VaudioPlayer +p11586 +tp11587 +a(g112 +g1097 +tp11588 +a(g822 +V\u000a\u000a +p11589 +tp11590 +a(g822 +VdefaultWidth +p11591 +tp11592 +a(g822 +g978 +tp11593 +a(g408 +g982 +tp11594 +a(g822 +g978 +tp11595 +a(g112 +g1015 +tp11596 +a(g408 +g1391 +tp11597 +a(g561 +Vstyles.content.media. +p11598 +tp11599 +a(g561 +VdefaultAudioWidth +p11600 +tp11601 +a(g112 +g1097 +tp11602 +a(g822 +V\u000a +p11603 +tp11604 +a(g822 +VdefaultHeight +p11605 +tp11606 +a(g822 +g978 +tp11607 +a(g408 +g982 +tp11608 +a(g822 +g978 +tp11609 +a(g112 +g1015 +tp11610 +a(g408 +g1391 +tp11611 +a(g561 +Vstyles.content.media. +p11612 +tp11613 +a(g561 +VdefaultAudioHeight +p11614 +tp11615 +a(g112 +g1097 +tp11616 +a(g822 +V\u000a\u000a +p11617 +tp11618 +a(g822 +Vdefault +p11619 +tp11620 +a(g822 +g978 +tp11621 +a(g112 +g1015 +tp11622 +a(g822 +V\u000a +p11623 +tp11624 +a(g822 +Vparams +p11625 +tp11626 +a(g701 +g998 +tp11627 +a(g822 +Vquality +p11628 +tp11629 +a(g822 +g978 +tp11630 +a(g408 +g982 +tp11631 +a(g822 +g978 +tp11632 +a(g822 +Vhigh +p11633 +tp11634 +a(g822 +V\u000a +p11635 +tp11636 +a(g822 +Vparams +p11637 +tp11638 +a(g701 +g998 +tp11639 +a(g822 +VallowScriptAccess +p11640 +tp11641 +a(g822 +g978 +tp11642 +a(g408 +g982 +tp11643 +a(g822 +g978 +tp11644 +a(g822 +VsameDomain +p11645 +tp11646 +a(g822 +V\u000a +p11647 +tp11648 +a(g822 +Vparams +p11649 +tp11650 +a(g701 +g998 +tp11651 +a(g822 +Vmenu +p11652 +tp11653 +a(g822 +g978 +tp11654 +a(g408 +g982 +tp11655 +a(g822 +g978 +tp11656 +a(g822 +Vfalse +p11657 +tp11658 +a(g822 +V\u000a +p11659 +tp11660 +a(g112 +g1097 +tp11661 +a(g822 +V\u000a +p11662 +tp11663 +a(g822 +Vmapping +p11664 +tp11665 +a(g822 +g978 +tp11666 +a(g112 +g1015 +tp11667 +a(g822 +V\u000a +p11668 +tp11669 +a(g822 +Vflashvars +p11670 +tp11671 +a(g701 +g998 +tp11672 +a(g822 +Vfile +p11673 +tp11674 +a(g822 +g978 +tp11675 +a(g408 +g982 +tp11676 +a(g822 +g978 +tp11677 +a(g822 +VsoundFile +p11678 +tp11679 +a(g822 +V\u000a +p11680 +tp11681 +a(g112 +g1097 +tp11682 +a(g822 +V\u000a +p11683 +tp11684 +a(g112 +g1097 +tp11685 +a(g822 +V\u000a +p11686 +tp11687 +a(g112 +g1097 +tp11688 +a(g822 +V\u000a +p11689 +tp11690 +a(g32 +V20 +p11691 +tp11692 +a(g701 +g998 +tp11693 +a(g569 +VstdWrap +p11694 +tp11695 +a(g822 +g978 +tp11696 +a(g112 +g1015 +tp11697 +a(g822 +V\u000a +p11698 +tp11699 +a(g822 +VeditIcons +p11700 +tp11701 +a(g822 +g978 +tp11702 +a(g408 +V= +p11703 +tp11704 +a(g677 +Vtt_content +p11705 +tp11706 +a(g408 +g976 +tp11707 +a(g822 +g978 +tp11708 +a(g822 +Vmultimedia +p11709 +tp11710 +a(g701 +g2004 +tp11711 +a(g822 +g978 +tp11712 +a(g822 +Vimagewidth +p11713 +tp11714 +a(g701 +g2004 +tp11715 +a(g822 +g978 +tp11716 +a(g822 +Vimageheight +p11717 +tp11718 +a(g701 +g2004 +tp11719 +a(g822 +g978 +tp11720 +a(g822 +Vpi_flexform +p11721 +tp11722 +a(g701 +g2004 +tp11723 +a(g822 +g978 +tp11724 +a(g822 +Vbodytext +p11725 +tp11726 +a(g822 +V\u000a +p11727 +tp11728 +a(g822 +VeditIcons +p11729 +tp11730 +a(g701 +g998 +tp11731 +a(g822 +ViconTitle +p11732 +tp11733 +a(g701 +g998 +tp11734 +a(g822 +Vdata +p11735 +tp11736 +a(g822 +g978 +tp11737 +a(g408 +g982 +tp11738 +a(g822 +g978 +tp11739 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.multimedia +p11740 +tp11741 +a(g822 +V\u000a\u000a +p11742 +tp11743 +a(g822 +VprefixComment +p11744 +tp11745 +a(g822 +g978 +tp11746 +a(g408 +g982 +tp11747 +a(g822 +g978 +tp11748 +a(g32 +g1847 +tp11749 +a(g822 +g978 +tp11750 +a(g408 +g1370 +tp11751 +a(g822 +g978 +tp11752 +a(g822 +VQTobject +p11753 +tp11754 +a(g822 +g978 +tp11755 +a(g822 +Velement +p11756 +tp11757 +a(g408 +g976 +tp11758 +a(g822 +V\u000a +p11759 +tp11760 +a(g112 +g1097 +tp11761 +a(g822 +V\u000a +p11762 +tp11763 +a(g112 +g1097 +tp11764 +a(g822 +V\u000a\u000a +p11765 +tp11766 +a(g7 +V# ***************** +p11767 +tp11768 +a(g822 +V\u000a +p11769 +tp11770 +a(g7 +V# CType: media +p11771 +tp11772 +a(g822 +V\u000a +p11773 +tp11774 +a(g7 +V# ***************** +p11775 +tp11776 +a(g822 +V\u000a +p11777 +tp11778 +a(g677 +Vtt_content +p11779 +tp11780 +a(g701 +g998 +tp11781 +a(g822 +Vmedia +p11782 +tp11783 +a(g822 +g978 +tp11784 +a(g408 +g982 +tp11785 +a(g822 +g978 +tp11786 +a(g624 +VCOA +p11787 +tp11788 +a(g822 +V\u000a +p11789 +tp11790 +a(g677 +Vtt_content +p11791 +tp11792 +a(g701 +g998 +tp11793 +a(g822 +Vmedia +p11794 +tp11795 +a(g822 +g978 +tp11796 +a(g112 +g1015 +tp11797 +a(g822 +V\u000a +p11798 +tp11799 +a(g32 +V10 +p11800 +tp11801 +a(g822 +g978 +tp11802 +a(g408 +V= < +p11803 +tp11804 +a(g677 +Vlib +p11805 +tp11806 +a(g701 +g998 +tp11807 +a(g822 +Vstdheader +p11808 +tp11809 +a(g822 +V\u000a\u000a +p11810 +tp11811 +a(g32 +V20 +p11812 +tp11813 +a(g822 +g978 +tp11814 +a(g408 +g982 +tp11815 +a(g822 +g978 +tp11816 +a(g624 +VMEDIA +p11817 +tp11818 +a(g822 +V\u000a +p11819 +tp11820 +a(g32 +V20 +p11821 +tp11822 +a(g822 +g978 +tp11823 +a(g112 +g1015 +tp11824 +a(g822 +V\u000a\u000a +p11825 +tp11826 +a(g822 +VflexParams +p11827 +tp11828 +a(g701 +g998 +tp11829 +a(g822 +Vfield +p11830 +tp11831 +a(g822 +g978 +tp11832 +a(g408 +g982 +tp11833 +a(g822 +g978 +tp11834 +a(g822 +Vpi_flexform +p11835 +tp11836 +a(g822 +V\u000a +p11837 +tp11838 +a(g822 +ValternativeContent +p11839 +tp11840 +a(g822 +g978 +tp11841 +a(g408 +V< +p11842 +tp11843 +a(g677 +Vtt_content +p11844 +tp11845 +a(g701 +g998 +tp11846 +a(g822 +Vtext +p11847 +tp11848 +a(g701 +g998 +tp11849 +a(g32 +V20 +p11850 +tp11851 +a(g822 +V\u000a +p11852 +tp11853 +a(g822 +ValternativeContent +p11854 +tp11855 +a(g701 +g998 +tp11856 +a(g822 +Vfield +p11857 +tp11858 +a(g822 +g978 +tp11859 +a(g408 +g982 +tp11860 +a(g822 +g978 +tp11861 +a(g822 +Vbodytext +p11862 +tp11863 +a(g822 +V\u000a\u000a +p11864 +tp11865 +a(g822 +Vtype +p11866 +tp11867 +a(g822 +g978 +tp11868 +a(g408 +g982 +tp11869 +a(g822 +g978 +tp11870 +a(g822 +Vvideo +p11871 +tp11872 +a(g822 +V\u000a +p11873 +tp11874 +a(g822 +VrenderType +p11875 +tp11876 +a(g822 +g978 +tp11877 +a(g408 +g982 +tp11878 +a(g822 +g978 +tp11879 +a(g822 +Vauto +p11880 +tp11881 +a(g822 +V\u000a +p11882 +tp11883 +a(g822 +VallowEmptyUrl +p11884 +tp11885 +a(g822 +g978 +tp11886 +a(g408 +g982 +tp11887 +a(g822 +g978 +tp11888 +a(g32 +g1190 +tp11889 +a(g822 +V\u000a +p11890 +tp11891 +a(g822 +VforcePlayer +p11892 +tp11893 +a(g822 +g978 +tp11894 +a(g408 +g982 +tp11895 +a(g822 +g978 +tp11896 +a(g32 +g1024 +tp11897 +a(g822 +V\u000a\u000a +p11898 +tp11899 +a(g822 +VfileExtHandler +p11900 +tp11901 +a(g822 +g978 +tp11902 +a(g112 +g1015 +tp11903 +a(g822 +V\u000a +p11904 +tp11905 +a(g822 +Vdefault +p11906 +tp11907 +a(g822 +g978 +tp11908 +a(g408 +g982 +tp11909 +a(g822 +g978 +tp11910 +a(g624 +VMEDIA +p11911 +tp11912 +a(g822 +V\u000a +p11913 +tp11914 +a(g822 +Vavi +p11915 +tp11916 +a(g822 +g978 +tp11917 +a(g408 +g982 +tp11918 +a(g822 +g978 +tp11919 +a(g624 +VMEDIA +p11920 +tp11921 +a(g822 +V\u000a +p11922 +tp11923 +a(g822 +Vasf +p11924 +tp11925 +a(g822 +g978 +tp11926 +a(g408 +g982 +tp11927 +a(g822 +g978 +tp11928 +a(g624 +VMEDIA +p11929 +tp11930 +a(g822 +V\u000a +p11931 +tp11932 +a(g822 +Vclass +p11933 +tp11934 +a(g822 +g978 +tp11935 +a(g408 +g982 +tp11936 +a(g822 +g978 +tp11937 +a(g624 +VMEDIA +p11938 +tp11939 +a(g822 +V\u000a +p11940 +tp11941 +a(g822 +Vwmv +p11942 +tp11943 +a(g822 +g978 +tp11944 +a(g408 +g982 +tp11945 +a(g822 +g978 +tp11946 +a(g624 +VMEDIA +p11947 +tp11948 +a(g822 +V\u000a +p11949 +tp11950 +a(g822 +Vmp3 +p11951 +tp11952 +a(g822 +g978 +tp11953 +a(g408 +g982 +tp11954 +a(g822 +g978 +tp11955 +a(g822 +VSWF +p11956 +tp11957 +a(g822 +V\u000a +p11958 +tp11959 +a(g822 +Vmp4 +p11960 +tp11961 +a(g822 +g978 +tp11962 +a(g408 +g982 +tp11963 +a(g822 +g978 +tp11964 +a(g822 +VSWF +p11965 +tp11966 +a(g822 +V\u000a +p11967 +tp11968 +a(g822 +Vm4v +p11969 +tp11970 +a(g822 +g978 +tp11971 +a(g408 +g982 +tp11972 +a(g822 +g978 +tp11973 +a(g822 +VSWF +p11974 +tp11975 +a(g822 +V\u000a +p11976 +tp11977 +a(g822 +Vswa +p11978 +tp11979 +a(g822 +g978 +tp11980 +a(g408 +g982 +tp11981 +a(g822 +g978 +tp11982 +a(g822 +VSWF +p11983 +tp11984 +a(g822 +V\u000a +p11985 +tp11986 +a(g822 +Vflv +p11987 +tp11988 +a(g822 +g978 +tp11989 +a(g408 +g982 +tp11990 +a(g822 +g978 +tp11991 +a(g822 +VSWF +p11992 +tp11993 +a(g822 +V\u000a +p11994 +tp11995 +a(g822 +Vswf +p11996 +tp11997 +a(g822 +g978 +tp11998 +a(g408 +g982 +tp11999 +a(g822 +g978 +tp12000 +a(g822 +VSWF +p12001 +tp12002 +a(g822 +V\u000a +p12003 +tp12004 +a(g822 +Vmov +p12005 +tp12006 +a(g822 +g978 +tp12007 +a(g408 +g982 +tp12008 +a(g822 +g978 +tp12009 +a(g822 +VQT +p12010 +tp12011 +a(g822 +V\u000a +p12012 +tp12013 +a(g822 +Vm4v +p12014 +tp12015 +a(g822 +g978 +tp12016 +a(g408 +g982 +tp12017 +a(g822 +g978 +tp12018 +a(g822 +VQT +p12019 +tp12020 +a(g822 +V\u000a +p12021 +tp12022 +a(g822 +Vm4a +p12023 +tp12024 +a(g822 +g978 +tp12025 +a(g408 +g982 +tp12026 +a(g822 +g978 +tp12027 +a(g822 +VQT +p12028 +tp12029 +a(g822 +V\u000a +p12030 +tp12031 +a(g112 +g1097 +tp12032 +a(g822 +V\u000a\u000a +p12033 +tp12034 +a(g822 +VmimeConf +p12035 +tp12036 +a(g701 +g998 +tp12037 +a(g822 +Vswfobject +p12038 +tp12039 +a(g822 +g978 +tp12040 +a(g408 +V< +p12041 +tp12042 +a(g677 +Vtt_content +p12043 +tp12044 +a(g701 +g998 +tp12045 +a(g822 +Vswfobject +p12046 +tp12047 +a(g701 +g998 +tp12048 +a(g32 +V20 +p12049 +tp12050 +a(g822 +V\u000a +p12051 +tp12052 +a(g822 +VmimeConf +p12053 +tp12054 +a(g701 +g998 +tp12055 +a(g822 +Vqtobject +p12056 +tp12057 +a(g822 +g978 +tp12058 +a(g408 +V< +p12059 +tp12060 +a(g677 +Vtt_content +p12061 +tp12062 +a(g701 +g998 +tp12063 +a(g822 +Vqtobject +p12064 +tp12065 +a(g701 +g998 +tp12066 +a(g32 +V20 +p12067 +tp12068 +a(g822 +V\u000a\u000a +p12069 +tp12070 +a(g112 +g1097 +tp12071 +a(g822 +V\u000a +p12072 +tp12073 +a(g32 +V20 +p12074 +tp12075 +a(g701 +g998 +tp12076 +a(g569 +VstdWrap +p12077 +tp12078 +a(g822 +g978 +tp12079 +a(g112 +g1015 +tp12080 +a(g822 +V\u000a +p12081 +tp12082 +a(g822 +VeditIcons +p12083 +tp12084 +a(g822 +g978 +tp12085 +a(g408 +V= +p12086 +tp12087 +a(g677 +Vtt_content +p12088 +tp12089 +a(g408 +g976 +tp12090 +a(g822 +g978 +tp12091 +a(g822 +Vpi_flexform +p12092 +tp12093 +a(g701 +g2004 +tp12094 +a(g822 +g978 +tp12095 +a(g822 +Vbodytext +p12096 +tp12097 +a(g822 +V\u000a +p12098 +tp12099 +a(g822 +VeditIcons +p12100 +tp12101 +a(g701 +g998 +tp12102 +a(g822 +ViconTitle +p12103 +tp12104 +a(g701 +g998 +tp12105 +a(g822 +Vdata +p12106 +tp12107 +a(g822 +g978 +tp12108 +a(g408 +g982 +tp12109 +a(g822 +g978 +tp12110 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.multimedia +p12111 +tp12112 +a(g822 +V\u000a\u000a +p12113 +tp12114 +a(g822 +VprefixComment +p12115 +tp12116 +a(g822 +g978 +tp12117 +a(g408 +g982 +tp12118 +a(g822 +g978 +tp12119 +a(g32 +g1847 +tp12120 +a(g822 +g978 +tp12121 +a(g408 +g1370 +tp12122 +a(g822 +g978 +tp12123 +a(g822 +VMedia +p12124 +tp12125 +a(g822 +g978 +tp12126 +a(g822 +Velement +p12127 +tp12128 +a(g408 +g976 +tp12129 +a(g822 +V\u000a +p12130 +tp12131 +a(g112 +g1097 +tp12132 +a(g822 +V\u000a +p12133 +tp12134 +a(g112 +g1097 +tp12135 +a(g822 +V\u000a\u000a +p12136 +tp12137 +a(g7 +V# ****************** +p12138 +tp12139 +a(g822 +V\u000a +p12140 +tp12141 +a(g7 +V# CType: mailform +p12142 +tp12143 +a(g822 +V\u000a +p12144 +tp12145 +a(g7 +V# ****************** +p12146 +tp12147 +a(g822 +V\u000a +p12148 +tp12149 +a(g677 +Vtt_content +p12150 +tp12151 +a(g701 +g998 +tp12152 +a(g822 +Vmailform +p12153 +tp12154 +a(g822 +g978 +tp12155 +a(g408 +g982 +tp12156 +a(g822 +g978 +tp12157 +a(g624 +VCOA +p12158 +tp12159 +a(g822 +V\u000a +p12160 +tp12161 +a(g677 +Vtt_content +p12162 +tp12163 +a(g701 +g998 +tp12164 +a(g822 +Vmailform +p12165 +tp12166 +a(g701 +g998 +tp12167 +a(g32 +V10 +p12168 +tp12169 +a(g822 +g978 +tp12170 +a(g408 +V= < +p12171 +tp12172 +a(g677 +Vlib +p12173 +tp12174 +a(g701 +g998 +tp12175 +a(g822 +Vstdheader +p12176 +tp12177 +a(g822 +V\u000a +p12178 +tp12179 +a(g677 +Vtt_content +p12180 +tp12181 +a(g701 +g998 +tp12182 +a(g822 +Vmailform +p12183 +tp12184 +a(g701 +g998 +tp12185 +a(g32 +V20 +p12186 +tp12187 +a(g822 +g978 +tp12188 +a(g408 +g982 +tp12189 +a(g822 +g978 +tp12190 +a(g624 +VFORM +p12191 +tp12192 +a(g822 +V\u000a +p12193 +tp12194 +a(g677 +Vtt_content +p12195 +tp12196 +a(g701 +g998 +tp12197 +a(g822 +Vmailform +p12198 +tp12199 +a(g701 +g998 +tp12200 +a(g32 +V20 +p12201 +tp12202 +a(g822 +g978 +tp12203 +a(g112 +g1015 +tp12204 +a(g822 +V\u000a +p12205 +tp12206 +a(g822 +Vaccessibility +p12207 +tp12208 +a(g822 +g978 +tp12209 +a(g408 +g982 +tp12210 +a(g822 +g978 +tp12211 +a(g32 +g1024 +tp12212 +a(g822 +V\u000a +p12213 +tp12214 +a(g822 +VnoWrapAttr +p12215 +tp12216 +a(g408 +g982 +tp12217 +a(g32 +g1024 +tp12218 +a(g822 +V\u000a +p12219 +tp12220 +a(g822 +VformName +p12221 +tp12222 +a(g822 +g978 +tp12223 +a(g408 +g982 +tp12224 +a(g822 +g978 +tp12225 +a(g822 +Vmailform +p12226 +tp12227 +a(g822 +V\u000a +p12228 +tp12229 +a(g822 +VdontMd5FieldNames +p12230 +tp12231 +a(g822 +g978 +tp12232 +a(g408 +g982 +tp12233 +a(g822 +g978 +tp12234 +a(g32 +g1024 +tp12235 +a(g822 +V\u000a +p12236 +tp12237 +a(g822 +Vlayout +p12238 +tp12239 +a(g822 +g978 +tp12240 +a(g408 +g982 +tp12241 +a(g822 +g978 +tp12242 +a(g84 +g972 +tp12243 +a(g84 +Vdiv +p12244 +tp12245 +a(g822 +g978 +tp12246 +a(g84 +Vclass +p12247 +tp12248 +a(g84 +g982 +tp12249 +a(g84 +V"csc-mailform-field" +p12250 +tp12251 +a(g84 +g992 +tp12252 +a(g561 +V###LABEL### +p12253 +tp12254 +a(g822 +g978 +tp12255 +a(g561 +V###FIELD### +p12256 +tp12257 +a(g84 +g972 +tp12258 +a(g84 +V/div +p12259 +tp12260 +a(g84 +g992 +tp12261 +a(g822 +V\u000a +p12262 +tp12263 +a(g822 +VlabelWrap +p12264 +tp12265 +a(g701 +g998 +tp12266 +a(g822 +Vwrap +p12267 +tp12268 +a(g822 +g978 +tp12269 +a(g408 +g982 +tp12270 +a(g822 +g978 +tp12271 +a(g408 +g1370 +tp12272 +a(g822 +V\u000a +p12273 +tp12274 +a(g822 +VcommentWrap +p12275 +tp12276 +a(g701 +g998 +tp12277 +a(g822 +Vwrap +p12278 +tp12279 +a(g822 +g978 +tp12280 +a(g408 +g982 +tp12281 +a(g822 +g978 +tp12282 +a(g408 +g1370 +tp12283 +a(g822 +V\u000a +p12284 +tp12285 +a(g822 +VradioWrap +p12286 +tp12287 +a(g701 +g998 +tp12288 +a(g822 +Vwrap +p12289 +tp12290 +a(g822 +g978 +tp12291 +a(g408 +g982 +tp12292 +a(g822 +g978 +tp12293 +a(g408 +g1370 +tp12294 +a(g84 +g972 +tp12295 +a(g84 +Vbr +p12296 +tp12297 +a(g822 +g978 +tp12298 +a(g84 +g5243 +tp12299 +a(g84 +g992 +tp12300 +a(g822 +V\u000a +p12301 +tp12302 +a(g822 +VradioWrap +p12303 +tp12304 +a(g701 +g998 +tp12305 +a(g822 +VaccessibilityWrap +p12306 +tp12307 +a(g822 +g978 +tp12308 +a(g408 +g982 +tp12309 +a(g822 +g978 +tp12310 +a(g84 +V
      +p14355 +tp14356 +a(g822 +V\u000a +p14357 +tp14358 +a(g32 +V30 +p14359 +tp14360 +a(g701 +g998 +tp14361 +a(g822 +Vtype +p14362 +tp14363 +a(g822 +g978 +tp14364 +a(g408 +g982 +tp14365 +a(g822 +g978 +tp14366 +a(g822 +Vlogintype +p14367 +tp14368 +a(g408 +g982 +tp14369 +a(g822 +Vhidden +p14370 +tp14371 +a(g822 +V\u000a +p14372 +tp14373 +a(g32 +V30 +p14374 +tp14375 +a(g701 +g998 +tp14376 +a(g822 +Vvalue +p14377 +tp14378 +a(g822 +g978 +tp14379 +a(g408 +g982 +tp14380 +a(g822 +g978 +tp14381 +a(g822 +Vlogout +p14382 +tp14383 +a(g822 +V\u000a +p14384 +tp14385 +a(g32 +V40 +p14386 +tp14387 +a(g701 +g998 +tp14388 +a(g822 +Vtype +p14389 +tp14390 +a(g822 +g978 +tp14391 +a(g408 +g982 +tp14392 +a(g822 +g978 +tp14393 +a(g822 +Vsubmit +p14394 +tp14395 +a(g408 +g982 +tp14396 +a(g822 +Vsubmit +p14397 +tp14398 +a(g822 +V\u000a +p14399 +tp14400 +a(g32 +V40 +p14401 +tp14402 +a(g701 +g998 +tp14403 +a(g822 +Vvalue +p14404 +tp14405 +a(g701 +g998 +tp14406 +a(g822 +Vdata +p14407 +tp14408 +a(g822 +g978 +tp14409 +a(g408 +g982 +tp14410 +a(g822 +g978 +tp14411 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:login.logout +p14412 +tp14413 +a(g822 +V\u000a +p14414 +tp14415 +a(g112 +g1097 +tp14416 +a(g822 +V\u000a +p14417 +tp14418 +a(g112 +g1097 +tp14419 +a(g822 +V\u000a +p14420 +tp14421 +a(g112 +g3592 +tp14422 +a(g561 +Vglobal +p14423 +tp14424 +a(g112 +g3601 +tp14425 +a(g822 +V\u000a\u000a\u000a +p14426 +tp14427 +a(g7 +V# ****************** +p14428 +tp14429 +a(g822 +V\u000a +p14430 +tp14431 +a(g7 +V# CType: splash +p14432 +tp14433 +a(g822 +V\u000a +p14434 +tp14435 +a(g7 +V# ****************** +p14436 +tp14437 +a(g822 +V\u000a +p14438 +tp14439 +a(g7 +V# Deprecated element. +p14440 +tp14441 +a(g822 +V\u000a +p14442 +tp14443 +a(g7 +V# Still here for backwards compliance with plugins using the "text box" type. +p14444 +tp14445 +a(g822 +V\u000a +p14446 +tp14447 +a(g677 +Vtt_content +p14448 +tp14449 +a(g701 +g998 +tp14450 +a(g822 +Vsplash +p14451 +tp14452 +a(g822 +g978 +tp14453 +a(g408 +g982 +tp14454 +a(g822 +g978 +tp14455 +a(g624 +VCASE +p14456 +tp14457 +a(g822 +V\u000a +p14458 +tp14459 +a(g677 +Vtt_content +p14460 +tp14461 +a(g701 +g998 +tp14462 +a(g822 +Vsplash +p14463 +tp14464 +a(g701 +g998 +tp14465 +a(g822 +Vkey +p14466 +tp14467 +a(g701 +g998 +tp14468 +a(g822 +Vfield +p14469 +tp14470 +a(g822 +g978 +tp14471 +a(g408 +g982 +tp14472 +a(g822 +g978 +tp14473 +a(g822 +Vsplash_layout +p14474 +tp14475 +a(g822 +V\u000a +p14476 +tp14477 +a(g677 +Vtt_content +p14478 +tp14479 +a(g701 +g998 +tp14480 +a(g822 +Vsplash +p14481 +tp14482 +a(g701 +g998 +tp14483 +a(g569 +VstdWrap +p14484 +tp14485 +a(g822 +g978 +tp14486 +a(g112 +g1015 +tp14487 +a(g822 +V\u000a +p14488 +tp14489 +a(g822 +VprefixComment +p14490 +tp14491 +a(g822 +g978 +tp14492 +a(g408 +g982 +tp14493 +a(g822 +g978 +tp14494 +a(g32 +g1847 +tp14495 +a(g822 +g978 +tp14496 +a(g408 +g1370 +tp14497 +a(g822 +g978 +tp14498 +a(g822 +VTextbox +p14499 +tp14500 +a(g822 +g978 +tp14501 +a(g822 +Vinserted +p14502 +tp14503 +a(g822 +g978 +tp14504 +a(g112 +V( +p14505 +tp14506 +a(g822 +VDeprecated +p14507 +tp14508 +a(g112 +V) +p14509 +tp14510 +a(g822 +V\u000a +p14511 +tp14512 +a(g112 +g1097 +tp14513 +a(g822 +V\u000a +p14514 +tp14515 +a(g677 +Vtt_content +p14516 +tp14517 +a(g701 +g998 +tp14518 +a(g822 +Vsplash +p14519 +tp14520 +a(g701 +g998 +tp14521 +a(g822 +Vdefault +p14522 +tp14523 +a(g822 +g978 +tp14524 +a(g408 +g982 +tp14525 +a(g822 +g978 +tp14526 +a(g624 +VCOA +p14527 +tp14528 +a(g822 +V\u000a +p14529 +tp14530 +a(g677 +Vtt_content +p14531 +tp14532 +a(g701 +g998 +tp14533 +a(g822 +Vsplash +p14534 +tp14535 +a(g701 +g998 +tp14536 +a(g822 +Vdefault +p14537 +tp14538 +a(g822 +g978 +tp14539 +a(g112 +g1015 +tp14540 +a(g822 +V\u000a +p14541 +tp14542 +a(g32 +V20 +p14543 +tp14544 +a(g822 +g978 +tp14545 +a(g408 +g982 +tp14546 +a(g822 +g978 +tp14547 +a(g624 +VCTABLE +p14548 +tp14549 +a(g822 +V\u000a +p14550 +tp14551 +a(g32 +V20 +p14552 +tp14553 +a(g822 +g978 +tp14554 +a(g112 +g1015 +tp14555 +a(g822 +V\u000a +p14556 +tp14557 +a(g822 +Vc +p14558 +tp14559 +a(g701 +g998 +tp14560 +a(g32 +g1024 +tp14561 +a(g822 +g978 +tp14562 +a(g408 +V= < +p14563 +tp14564 +a(g677 +Vtt_content +p14565 +tp14566 +a(g701 +g998 +tp14567 +a(g822 +Vtext +p14568 +tp14569 +a(g822 +V\u000a +p14570 +tp14571 +a(g822 +Vlm +p14572 +tp14573 +a(g701 +g998 +tp14574 +a(g32 +g1024 +tp14575 +a(g822 +g978 +tp14576 +a(g408 +g982 +tp14577 +a(g822 +g978 +tp14578 +a(g624 +VIMAGE +p14579 +tp14580 +a(g822 +V\u000a +p14581 +tp14582 +a(g822 +Vlm +p14583 +tp14584 +a(g701 +g998 +tp14585 +a(g32 +g1024 +tp14586 +a(g701 +g998 +tp14587 +a(g822 +Vfile +p14588 +tp14589 +a(g822 +g978 +tp14590 +a(g112 +g1015 +tp14591 +a(g822 +V\u000a +p14592 +tp14593 +a(g822 +Vimport +p14594 +tp14595 +a(g822 +g978 +tp14596 +a(g408 +g982 +tp14597 +a(g822 +g978 +tp14598 +a(g84 +Vuploads/pics/ +p14599 +tp14600 +a(g84 +V\u000a +p14601 +tp14602 +a(g822 +V +p14603 +tp14604 +a(g822 +Vimport +p14605 +tp14606 +a(g701 +g998 +tp14607 +a(g822 +Vfield +p14608 +tp14609 +a(g822 +g978 +tp14610 +a(g408 +g982 +tp14611 +a(g822 +g978 +tp14612 +a(g822 +Vimage +p14613 +tp14614 +a(g822 +V\u000a +p14615 +tp14616 +a(g822 +Vimport +p14617 +tp14618 +a(g701 +g998 +tp14619 +a(g822 +VlistNum +p14620 +tp14621 +a(g822 +g978 +tp14622 +a(g408 +g982 +tp14623 +a(g822 +g978 +tp14624 +a(g32 +g1190 +tp14625 +a(g822 +V\u000a +p14626 +tp14627 +a(g822 +VmaxW +p14628 +tp14629 +a(g701 +g998 +tp14630 +a(g822 +Vfield +p14631 +tp14632 +a(g822 +g978 +tp14633 +a(g408 +g982 +tp14634 +a(g822 +g978 +tp14635 +a(g822 +Vimagewidth +p14636 +tp14637 +a(g822 +V\u000a +p14638 +tp14639 +a(g822 +VmaxW +p14640 +tp14641 +a(g701 +g998 +tp14642 +a(g822 +VifEmpty +p14643 +tp14644 +a(g822 +g978 +tp14645 +a(g408 +g982 +tp14646 +a(g822 +g978 +tp14647 +a(g32 +V200 +p14648 +tp14649 +a(g822 +V\u000a +p14650 +tp14651 +a(g112 +g1097 +tp14652 +a(g822 +V\u000a +p14653 +tp14654 +a(g822 +VcMargins +p14655 +tp14656 +a(g822 +g978 +tp14657 +a(g408 +g982 +tp14658 +a(g822 +g978 +tp14659 +a(g32 +V30 +p14660 +tp14661 +a(g701 +g2004 +tp14662 +a(g32 +g1190 +tp14663 +a(g701 +g2004 +tp14664 +a(g32 +g1190 +tp14665 +a(g701 +g2004 +tp14666 +a(g32 +g1190 +tp14667 +a(g822 +V\u000a +p14668 +tp14669 +a(g112 +g1097 +tp14670 +a(g822 +V\u000a +p14671 +tp14672 +a(g112 +g1097 +tp14673 +a(g822 +V\u000a +p14674 +tp14675 +a(g677 +Vtt_content +p14676 +tp14677 +a(g701 +g998 +tp14678 +a(g822 +Vsplash +p14679 +tp14680 +a(g701 +g998 +tp14681 +a(g32 +g1024 +tp14682 +a(g822 +g978 +tp14683 +a(g408 +V< +p14684 +tp14685 +a(g677 +Vtt_content +p14686 +tp14687 +a(g701 +g998 +tp14688 +a(g822 +Vsplash +p14689 +tp14690 +a(g701 +g998 +tp14691 +a(g822 +Vdefault +p14692 +tp14693 +a(g822 +V\u000a +p14694 +tp14695 +a(g677 +Vtt_content +p14696 +tp14697 +a(g701 +g998 +tp14698 +a(g822 +Vsplash +p14699 +tp14700 +a(g701 +g998 +tp14701 +a(g32 +g1024 +tp14702 +a(g701 +g998 +tp14703 +a(g32 +V20 +p14704 +tp14705 +a(g701 +g998 +tp14706 +a(g822 +Vlm +p14707 +tp14708 +a(g701 +g998 +tp14709 +a(g32 +g1024 +tp14710 +a(g701 +g998 +tp14711 +a(g822 +Vfile +p14712 +tp14713 +a(g822 +g978 +tp14714 +a(g408 +g992 +tp14715 +a(g822 +V\u000a +p14716 +tp14717 +a(g677 +Vtt_content +p14718 +tp14719 +a(g701 +g998 +tp14720 +a(g822 +Vsplash +p14721 +tp14722 +a(g701 +g998 +tp14723 +a(g32 +g1024 +tp14724 +a(g701 +g998 +tp14725 +a(g32 +V20 +p14726 +tp14727 +a(g701 +g998 +tp14728 +a(g822 +Vlm +p14729 +tp14730 +a(g701 +g998 +tp14731 +a(g32 +g1024 +tp14732 +a(g701 +g998 +tp14733 +a(g822 +Vfile +p14734 +tp14735 +a(g822 +g978 +tp14736 +a(g408 +g982 +tp14737 +a(g822 +g978 +tp14738 +a(g822 +VGIFBUILDER +p14739 +tp14740 +a(g822 +V\u000a +p14741 +tp14742 +a(g677 +Vtt_content +p14743 +tp14744 +a(g701 +g998 +tp14745 +a(g822 +Vsplash +p14746 +tp14747 +a(g701 +g998 +tp14748 +a(g32 +g1024 +tp14749 +a(g701 +g998 +tp14750 +a(g32 +V20 +p14751 +tp14752 +a(g701 +g998 +tp14753 +a(g822 +Vlm +p14754 +tp14755 +a(g701 +g998 +tp14756 +a(g32 +g1024 +tp14757 +a(g701 +g998 +tp14758 +a(g822 +Vfile +p14759 +tp14760 +a(g822 +g978 +tp14761 +a(g112 +g1015 +tp14762 +a(g822 +V\u000a +p14763 +tp14764 +a(g822 +VXY +p14765 +tp14766 +a(g822 +g978 +tp14767 +a(g408 +g982 +tp14768 +a(g822 +g978 +tp14769 +a(g112 +g3592 +tp14770 +a(g32 +V10 +p14771 +tp14772 +a(g701 +g998 +tp14773 +a(g822 +Vw +p14774 +tp14775 +a(g112 +g3601 +tp14776 +a(g408 +g4043 +tp14777 +a(g32 +V10 +p14778 +tp14779 +a(g701 +g2004 +tp14780 +a(g112 +g3592 +tp14781 +a(g32 +V10 +p14782 +tp14783 +a(g701 +g998 +tp14784 +a(g822 +Vh +p14785 +tp14786 +a(g112 +g3601 +tp14787 +a(g408 +g4043 +tp14788 +a(g32 +V10 +p14789 +tp14790 +a(g822 +V\u000a +p14791 +tp14792 +a(g822 +VbackColor +p14793 +tp14794 +a(g822 +g978 +tp14795 +a(g408 +g982 +tp14796 +a(g822 +g978 +tp14797 +a(g112 +g1015 +tp14798 +a(g408 +g1391 +tp14799 +a(g561 +Vcontent.splash. +p14800 +tp14801 +a(g561 +VbgCol +p14802 +tp14803 +a(g112 +g1097 +tp14804 +a(g822 +V\u000a +p14805 +tp14806 +a(g822 +VbackColor +p14807 +tp14808 +a(g701 +g998 +tp14809 +a(g822 +Voverride +p14810 +tp14811 +a(g701 +g998 +tp14812 +a(g822 +Vdata +p14813 +tp14814 +a(g822 +g978 +tp14815 +a(g408 +V= +p14816 +tp14817 +a(g677 +Vregister +p14818 +tp14819 +a(g408 +g976 +tp14820 +a(g822 +VpageColor +p14821 +tp14822 +a(g822 +V\u000a +p14823 +tp14824 +a(g822 +Vformat +p14825 +tp14826 +a(g822 +g978 +tp14827 +a(g408 +g982 +tp14828 +a(g822 +g978 +tp14829 +a(g822 +Vjpg +p14830 +tp14831 +a(g822 +V\u000a +p14832 +tp14833 +a(g32 +g3068 +tp14834 +a(g822 +g978 +tp14835 +a(g408 +g982 +tp14836 +a(g822 +g978 +tp14837 +a(g822 +VBOX +p14838 +tp14839 +a(g822 +V\u000a +p14840 +tp14841 +a(g32 +g3068 +tp14842 +a(g701 +g998 +tp14843 +a(g822 +Vdimensions +p14844 +tp14845 +a(g822 +g978 +tp14846 +a(g408 +g982 +tp14847 +a(g822 +g978 +tp14848 +a(g32 +g1896 +tp14849 +a(g701 +g2004 +tp14850 +a(g32 +g1896 +tp14851 +a(g701 +g2004 +tp14852 +a(g112 +g3592 +tp14853 +a(g32 +V10 +p14854 +tp14855 +a(g701 +g998 +tp14856 +a(g822 +g14774 +tp14857 +a(g112 +g3601 +tp14858 +a(g701 +g2004 +tp14859 +a(g112 +g3592 +tp14860 +a(g32 +V10 +p14861 +tp14862 +a(g701 +g998 +tp14863 +a(g822 +g14785 +tp14864 +a(g112 +g3601 +tp14865 +a(g822 +V\u000a +p14866 +tp14867 +a(g32 +g3068 +tp14868 +a(g701 +g998 +tp14869 +a(g822 +Vcolor +p14870 +tp14871 +a(g822 +g978 +tp14872 +a(g408 +g982 +tp14873 +a(g822 +g978 +tp14874 +a(g88 +V#333333 +p14875 +tp14876 +a(g822 +V\u000a +p14877 +tp14878 +a(g32 +V7 +p14879 +tp14880 +a(g822 +g978 +tp14881 +a(g408 +g982 +tp14882 +a(g822 +g978 +tp14883 +a(g822 +VEFFECT +p14884 +tp14885 +a(g822 +V\u000a +p14886 +tp14887 +a(g32 +g14879 +tp14888 +a(g701 +g998 +tp14889 +a(g822 +Vvalue +p14890 +tp14891 +a(g822 +g978 +tp14892 +a(g408 +g982 +tp14893 +a(g822 +g978 +tp14894 +a(g822 +Vblur +p14895 +tp14896 +a(g408 +g982 +tp14897 +a(g32 +V99 +p14898 +tp14899 +a(g408 +g1370 +tp14900 +a(g822 +Vblur +p14901 +tp14902 +a(g408 +g982 +tp14903 +a(g32 +V99 +p14904 +tp14905 +a(g408 +g1370 +tp14906 +a(g822 +Vblur +p14907 +tp14908 +a(g408 +g982 +tp14909 +a(g32 +V99 +p14910 +tp14911 +a(g408 +g1370 +tp14912 +a(g822 +Vblur +p14913 +tp14914 +a(g408 +g982 +tp14915 +a(g32 +V99 +p14916 +tp14917 +a(g408 +g1370 +tp14918 +a(g822 +Vblur +p14919 +tp14920 +a(g408 +g982 +tp14921 +a(g32 +V99 +p14922 +tp14923 +a(g408 +g1370 +tp14924 +a(g822 +Vblur +p14925 +tp14926 +a(g408 +g982 +tp14927 +a(g32 +V99 +p14928 +tp14929 +a(g408 +g1370 +tp14930 +a(g822 +Vblur +p14931 +tp14932 +a(g408 +g982 +tp14933 +a(g32 +V99 +p14934 +tp14935 +a(g822 +V\u000a +p14936 +tp14937 +a(g32 +V10 +p14938 +tp14939 +a(g822 +g978 +tp14940 +a(g408 +g982 +tp14941 +a(g822 +g978 +tp14942 +a(g624 +VIMAGE +p14943 +tp14944 +a(g822 +V\u000a +p14945 +tp14946 +a(g32 +V10 +p14947 +tp14948 +a(g701 +g998 +tp14949 +a(g822 +Vfile +p14950 +tp14951 +a(g822 +g978 +tp14952 +a(g112 +g1015 +tp14953 +a(g822 +V\u000a +p14954 +tp14955 +a(g822 +Vimport +p14956 +tp14957 +a(g822 +g978 +tp14958 +a(g408 +g982 +tp14959 +a(g822 +g978 +tp14960 +a(g84 +Vuploads/pics/ +p14961 +tp14962 +a(g84 +V\u000a +p14963 +tp14964 +a(g822 +V +p14965 +tp14966 +a(g822 +Vimport +p14967 +tp14968 +a(g701 +g998 +tp14969 +a(g822 +Vfield +p14970 +tp14971 +a(g822 +g978 +tp14972 +a(g408 +g982 +tp14973 +a(g822 +g978 +tp14974 +a(g822 +Vimage +p14975 +tp14976 +a(g822 +V\u000a +p14977 +tp14978 +a(g822 +Vimport +p14979 +tp14980 +a(g701 +g998 +tp14981 +a(g822 +VlistNum +p14982 +tp14983 +a(g822 +g978 +tp14984 +a(g408 +g982 +tp14985 +a(g822 +g978 +tp14986 +a(g32 +g1190 +tp14987 +a(g822 +V\u000a +p14988 +tp14989 +a(g822 +VmaxW +p14990 +tp14991 +a(g701 +g998 +tp14992 +a(g822 +Vfield +p14993 +tp14994 +a(g822 +g978 +tp14995 +a(g408 +g982 +tp14996 +a(g822 +g978 +tp14997 +a(g822 +Vimagewidth +p14998 +tp14999 +a(g822 +V\u000a +p15000 +tp15001 +a(g822 +VmaxW +p15002 +tp15003 +a(g701 +g998 +tp15004 +a(g822 +VifEmpty +p15005 +tp15006 +a(g822 +g978 +tp15007 +a(g408 +g982 +tp15008 +a(g822 +g978 +tp15009 +a(g32 +V200 +p15010 +tp15011 +a(g822 +V\u000a +p15012 +tp15013 +a(g112 +g1097 +tp15014 +a(g822 +V\u000a +p15015 +tp15016 +a(g112 +g1097 +tp15017 +a(g822 +V\u000a +p15018 +tp15019 +a(g7 +V// The image frames are not available unless TypoScript code from styles.content.imgFrames.x is provided manually: +p15020 +tp15021 +a(g822 +V\u000a +p15022 +tp15023 +a(g677 +Vtt_content +p15024 +tp15025 +a(g701 +g998 +tp15026 +a(g822 +Vsplash +p15027 +tp15028 +a(g701 +g998 +tp15029 +a(g32 +g1847 +tp15030 +a(g822 +g978 +tp15031 +a(g408 +V< +p15032 +tp15033 +a(g677 +Vtt_content +p15034 +tp15035 +a(g701 +g998 +tp15036 +a(g822 +Vsplash +p15037 +tp15038 +a(g701 +g998 +tp15039 +a(g822 +Vdefault +p15040 +tp15041 +a(g822 +V\u000a +p15042 +tp15043 +a(g7 +V#tt_content.splash.2.20.lm.1.file.m < styles.content.imgFrames.1 +p15044 +tp15045 +a(g822 +V\u000a +p15046 +tp15047 +a(g677 +Vtt_content +p15048 +tp15049 +a(g701 +g998 +tp15050 +a(g822 +Vsplash +p15051 +tp15052 +a(g701 +g998 +tp15053 +a(g32 +g1896 +tp15054 +a(g822 +g978 +tp15055 +a(g408 +V< +p15056 +tp15057 +a(g677 +Vtt_content +p15058 +tp15059 +a(g701 +g998 +tp15060 +a(g822 +Vsplash +p15061 +tp15062 +a(g701 +g998 +tp15063 +a(g822 +Vdefault +p15064 +tp15065 +a(g822 +V\u000a +p15066 +tp15067 +a(g7 +V#tt_content.splash.3.20.lm.1.file.m < styles.content.imgFrames.2 +p15068 +tp15069 +a(g822 +V\u000a\u000a +p15070 +tp15071 +a(g7 +V// From plugin.postit1, if included: +p15072 +tp15073 +a(g822 +V\u000a +p15074 +tp15075 +a(g677 +Vtt_content +p15076 +tp15077 +a(g701 +g998 +tp15078 +a(g822 +Vsplash +p15079 +tp15080 +a(g701 +g998 +tp15081 +a(g32 +V20 +p15082 +tp15083 +a(g822 +g978 +tp15084 +a(g408 +V= < +p15085 +tp15086 +a(g677 +Vplugin +p15087 +tp15088 +a(g701 +g998 +tp15089 +a(g822 +Vpostit1 +p15090 +tp15091 +a(g822 +V\u000a\u000a\u000a\u000a +p15092 +tp15093 +a(g7 +V# **************** +p15094 +tp15095 +a(g822 +V\u000a +p15096 +tp15097 +a(g7 +V# CType: menu +p15098 +tp15099 +a(g822 +V\u000a +p15100 +tp15101 +a(g7 +V# **************** +p15102 +tp15103 +a(g822 +V\u000a +p15104 +tp15105 +a(g677 +Vtt_content +p15106 +tp15107 +a(g701 +g998 +tp15108 +a(g822 +Vmenu +p15109 +tp15110 +a(g822 +g978 +tp15111 +a(g408 +g982 +tp15112 +a(g822 +g978 +tp15113 +a(g624 +VCOA +p15114 +tp15115 +a(g822 +V\u000a +p15116 +tp15117 +a(g677 +Vtt_content +p15118 +tp15119 +a(g701 +g998 +tp15120 +a(g822 +Vmenu +p15121 +tp15122 +a(g822 +g978 +tp15123 +a(g112 +g1015 +tp15124 +a(g822 +V\u000a +p15125 +tp15126 +a(g32 +V10 +p15127 +tp15128 +a(g822 +g978 +tp15129 +a(g408 +V= < +p15130 +tp15131 +a(g677 +Vlib +p15132 +tp15133 +a(g701 +g998 +tp15134 +a(g822 +Vstdheader +p15135 +tp15136 +a(g822 +V\u000a\u000a +p15137 +tp15138 +a(g32 +V20 +p15139 +tp15140 +a(g822 +g978 +tp15141 +a(g408 +g982 +tp15142 +a(g822 +g978 +tp15143 +a(g624 +VCASE +p15144 +tp15145 +a(g822 +V\u000a +p15146 +tp15147 +a(g32 +V20 +p15148 +tp15149 +a(g822 +g978 +tp15150 +a(g112 +g1015 +tp15151 +a(g822 +V\u000a +p15152 +tp15153 +a(g822 +Vkey +p15154 +tp15155 +a(g701 +g998 +tp15156 +a(g822 +Vfield +p15157 +tp15158 +a(g822 +g978 +tp15159 +a(g408 +g982 +tp15160 +a(g822 +g978 +tp15161 +a(g822 +Vmenu_type +p15162 +tp15163 +a(g822 +V\u000a\u000a +p15164 +tp15165 +a(g7 +V# "Menu of these pages" +p15166 +tp15167 +a(g822 +V\u000a +p15168 +tp15169 +a(g822 +Vdefault +p15170 +tp15171 +a(g822 +g978 +tp15172 +a(g408 +g982 +tp15173 +a(g822 +g978 +tp15174 +a(g624 +VHMENU +p15175 +tp15176 +a(g822 +V\u000a +p15177 +tp15178 +a(g822 +Vdefault +p15179 +tp15180 +a(g822 +g978 +tp15181 +a(g112 +g1015 +tp15182 +a(g822 +V\u000a +p15183 +tp15184 +a(g822 +Vspecial +p15185 +tp15186 +a(g822 +g978 +tp15187 +a(g408 +g982 +tp15188 +a(g822 +g978 +tp15189 +a(g822 +Vlist +p15190 +tp15191 +a(g822 +V\u000a +p15192 +tp15193 +a(g822 +Vspecial +p15194 +tp15195 +a(g701 +g998 +tp15196 +a(g822 +Vvalue +p15197 +tp15198 +a(g701 +g998 +tp15199 +a(g822 +Vfield +p15200 +tp15201 +a(g822 +g978 +tp15202 +a(g408 +g982 +tp15203 +a(g822 +g978 +tp15204 +a(g822 +Vpages +p15205 +tp15206 +a(g822 +V\u000a +p15207 +tp15208 +a(g822 +Vwrap +p15209 +tp15210 +a(g822 +g978 +tp15211 +a(g408 +g982 +tp15212 +a(g822 +g978 +tp15213 +a(g84 +g972 +tp15214 +a(g84 +Vul +p15215 +tp15216 +a(g822 +g978 +tp15217 +a(g84 +Vclass +p15218 +tp15219 +a(g84 +g982 +tp15220 +a(g84 +V"csc-menu +p15221 +tp15222 +a(g822 +g978 +tp15223 +a(g84 +Vcsc-menu-def" +p15224 +tp15225 +a(g84 +g992 +tp15226 +a(g408 +g1370 +tp15227 +a(g84 +g972 +tp15228 +a(g84 +V/ul +p15229 +tp15230 +a(g84 +g992 +tp15231 +a(g822 +V\u000a +p15232 +tp15233 +a(g32 +g1024 +tp15234 +a(g822 +g978 +tp15235 +a(g408 +g982 +tp15236 +a(g822 +g978 +tp15237 +a(g624 +VTMENU +p15238 +tp15239 +a(g822 +V\u000a +p15240 +tp15241 +a(g32 +g1024 +tp15242 +a(g822 +g978 +tp15243 +a(g112 +g1015 +tp15244 +a(g822 +V\u000a +p15245 +tp15246 +a(g822 +Vtarget +p15247 +tp15248 +a(g822 +g978 +tp15249 +a(g408 +g982 +tp15250 +a(g822 +g978 +tp15251 +a(g112 +g1015 +tp15252 +a(g408 +g1391 +tp15253 +a(g561 +VPAGE_TARGET +p15254 +tp15255 +a(g112 +g1097 +tp15256 +a(g822 +V\u000a +p15257 +tp15258 +a(g624 +VNO +p15259 +tp15260 +a(g822 +g978 +tp15261 +a(g112 +g1015 +tp15262 +a(g822 +V\u000a +p15263 +tp15264 +a(g569 +VstdWrap +p15265 +tp15266 +a(g701 +g998 +tp15267 +a(g822 +VhtmlSpecialChars +p15268 +tp15269 +a(g822 +g978 +tp15270 +a(g408 +g982 +tp15271 +a(g822 +g978 +tp15272 +a(g32 +g1024 +tp15273 +a(g822 +V\u000a +p15274 +tp15275 +a(g822 +VwrapItemAndSub +p15276 +tp15277 +a(g822 +g978 +tp15278 +a(g408 +g982 +tp15279 +a(g822 +g978 +tp15280 +a(g84 +g972 +tp15281 +a(g84 +Vli +p15282 +tp15283 +a(g84 +g992 +tp15284 +a(g408 +g1370 +tp15285 +a(g84 +g972 +tp15286 +a(g84 +V/li +p15287 +tp15288 +a(g84 +g992 +tp15289 +a(g822 +V\u000a +p15290 +tp15291 +a(g822 +VATagTitle +p15292 +tp15293 +a(g701 +g998 +tp15294 +a(g822 +Vfield +p15295 +tp15296 +a(g822 +g978 +tp15297 +a(g408 +g982 +tp15298 +a(g822 +g978 +tp15299 +a(g822 +Vdescription +p15300 +tp15301 +a(g822 +g978 +tp15302 +a(g7 +V// title +p15303 +tp15304 +a(g822 +V\u000a +p15305 +tp15306 +a(g112 +g1097 +tp15307 +a(g822 +V\u000a +p15308 +tp15309 +a(g822 +VnoBlur +p15310 +tp15311 +a(g822 +g978 +tp15312 +a(g408 +g982 +tp15313 +a(g822 +g978 +tp15314 +a(g32 +g1024 +tp15315 +a(g822 +V\u000a +p15316 +tp15317 +a(g112 +g1097 +tp15318 +a(g822 +V\u000a +p15319 +tp15320 +a(g112 +g1097 +tp15321 +a(g822 +V\u000a\u000a +p15322 +tp15323 +a(g7 +V# "Menu of subpages to these pages" +p15324 +tp15325 +a(g822 +V\u000a +p15326 +tp15327 +a(g32 +g1024 +tp15328 +a(g822 +g978 +tp15329 +a(g408 +g972 +tp15330 +a(g822 +g978 +tp15331 +a(g701 +g998 +tp15332 +a(g822 +Vdefault +p15333 +tp15334 +a(g822 +V\u000a +p15335 +tp15336 +a(g32 +g1024 +tp15337 +a(g822 +g978 +tp15338 +a(g112 +g1015 +tp15339 +a(g822 +V\u000a +p15340 +tp15341 +a(g822 +Vspecial +p15342 +tp15343 +a(g822 +g978 +tp15344 +a(g408 +g982 +tp15345 +a(g822 +g978 +tp15346 +a(g822 +Vdirectory +p15347 +tp15348 +a(g822 +V\u000a +p15349 +tp15350 +a(g822 +Vwrap +p15351 +tp15352 +a(g822 +g978 +tp15353 +a(g408 +g982 +tp15354 +a(g822 +g978 +tp15355 +a(g84 +g972 +tp15356 +a(g84 +Vul +p15357 +tp15358 +a(g822 +g978 +tp15359 +a(g84 +Vclass +p15360 +tp15361 +a(g84 +g982 +tp15362 +a(g84 +V"csc-menu +p15363 +tp15364 +a(g822 +g978 +tp15365 +a(g84 +Vcsc-menu-1" +p15366 +tp15367 +a(g84 +g992 +tp15368 +a(g408 +g1370 +tp15369 +a(g84 +g972 +tp15370 +a(g84 +V/ul +p15371 +tp15372 +a(g84 +g992 +tp15373 +a(g822 +V\u000a +p15374 +tp15375 +a(g112 +g1097 +tp15376 +a(g822 +V\u000a\u000a +p15377 +tp15378 +a(g7 +V# "Sitemap - liststyle" +p15379 +tp15380 +a(g822 +V\u000a +p15381 +tp15382 +a(g32 +g1847 +tp15383 +a(g822 +g978 +tp15384 +a(g408 +g982 +tp15385 +a(g822 +g978 +tp15386 +a(g624 +VHMENU +p15387 +tp15388 +a(g822 +V\u000a +p15389 +tp15390 +a(g32 +g1847 +tp15391 +a(g822 +g978 +tp15392 +a(g112 +g1015 +tp15393 +a(g822 +V\u000a +p15394 +tp15395 +a(g822 +Vwrap +p15396 +tp15397 +a(g822 +g978 +tp15398 +a(g408 +g982 +tp15399 +a(g822 +g978 +tp15400 +a(g84 +g972 +tp15401 +a(g84 +Vdiv +p15402 +tp15403 +a(g822 +g978 +tp15404 +a(g84 +Vclass +p15405 +tp15406 +a(g84 +g982 +tp15407 +a(g84 +V"csc-sitemap" +p15408 +tp15409 +a(g84 +g992 +tp15410 +a(g408 +g1370 +tp15411 +a(g84 +g972 +tp15412 +a(g84 +V/div +p15413 +tp15414 +a(g84 +g992 +tp15415 +a(g822 +V\u000a +p15416 +tp15417 +a(g32 +g1024 +tp15418 +a(g822 +g978 +tp15419 +a(g408 +g982 +tp15420 +a(g822 +g978 +tp15421 +a(g624 +VTMENU +p15422 +tp15423 +a(g822 +V\u000a +p15424 +tp15425 +a(g32 +g1024 +tp15426 +a(g822 +g978 +tp15427 +a(g112 +g1015 +tp15428 +a(g822 +V\u000a +p15429 +tp15430 +a(g822 +Vtarget +p15431 +tp15432 +a(g822 +g978 +tp15433 +a(g408 +g982 +tp15434 +a(g822 +g978 +tp15435 +a(g112 +g1015 +tp15436 +a(g408 +g1391 +tp15437 +a(g561 +VPAGE_TARGET +p15438 +tp15439 +a(g112 +g1097 +tp15440 +a(g822 +V\u000a +p15441 +tp15442 +a(g822 +VnoBlur +p15443 +tp15444 +a(g822 +g978 +tp15445 +a(g408 +g982 +tp15446 +a(g822 +g978 +tp15447 +a(g32 +g1024 +tp15448 +a(g822 +V\u000a +p15449 +tp15450 +a(g822 +VexpAll +p15451 +tp15452 +a(g822 +g978 +tp15453 +a(g408 +g982 +tp15454 +a(g822 +g978 +tp15455 +a(g32 +g1024 +tp15456 +a(g822 +V\u000a +p15457 +tp15458 +a(g822 +Vwrap +p15459 +tp15460 +a(g822 +g978 +tp15461 +a(g408 +g982 +tp15462 +a(g822 +g978 +tp15463 +a(g84 +g972 +tp15464 +a(g84 +Vul +p15465 +tp15466 +a(g84 +g992 +tp15467 +a(g408 +g1370 +tp15468 +a(g84 +g972 +tp15469 +a(g84 +V/ul +p15470 +tp15471 +a(g84 +g992 +tp15472 +a(g822 +V\u000a +p15473 +tp15474 +a(g624 +VNO +p15475 +tp15476 +a(g822 +g978 +tp15477 +a(g112 +g1015 +tp15478 +a(g822 +V\u000a +p15479 +tp15480 +a(g569 +VstdWrap +p15481 +tp15482 +a(g701 +g998 +tp15483 +a(g822 +VhtmlSpecialChars +p15484 +tp15485 +a(g822 +g978 +tp15486 +a(g408 +g982 +tp15487 +a(g822 +g978 +tp15488 +a(g32 +g1024 +tp15489 +a(g822 +V\u000a +p15490 +tp15491 +a(g822 +VwrapItemAndSub +p15492 +tp15493 +a(g822 +g978 +tp15494 +a(g408 +g982 +tp15495 +a(g822 +g978 +tp15496 +a(g84 +g972 +tp15497 +a(g84 +Vli +p15498 +tp15499 +a(g84 +g992 +tp15500 +a(g408 +g1370 +tp15501 +a(g84 +g972 +tp15502 +a(g84 +V/li +p15503 +tp15504 +a(g84 +g992 +tp15505 +a(g822 +V\u000a +p15506 +tp15507 +a(g822 +VATagTitle +p15508 +tp15509 +a(g701 +g998 +tp15510 +a(g822 +Vfield +p15511 +tp15512 +a(g822 +g978 +tp15513 +a(g408 +g982 +tp15514 +a(g822 +g978 +tp15515 +a(g822 +Vdescription +p15516 +tp15517 +a(g822 +g978 +tp15518 +a(g7 +V// title +p15519 +tp15520 +a(g822 +V\u000a +p15521 +tp15522 +a(g112 +g1097 +tp15523 +a(g822 +V\u000a +p15524 +tp15525 +a(g112 +g1097 +tp15526 +a(g822 +V\u000a +p15527 +tp15528 +a(g32 +g1847 +tp15529 +a(g822 +g978 +tp15530 +a(g408 +g972 +tp15531 +a(g822 +g978 +tp15532 +a(g701 +g998 +tp15533 +a(g32 +g1024 +tp15534 +a(g822 +V\u000a +p15535 +tp15536 +a(g32 +g1896 +tp15537 +a(g822 +g978 +tp15538 +a(g408 +g972 +tp15539 +a(g822 +g978 +tp15540 +a(g701 +g998 +tp15541 +a(g32 +g1024 +tp15542 +a(g822 +V\u000a +p15543 +tp15544 +a(g32 +g3416 +tp15545 +a(g822 +g978 +tp15546 +a(g408 +g972 +tp15547 +a(g822 +g978 +tp15548 +a(g701 +g998 +tp15549 +a(g32 +g1024 +tp15550 +a(g822 +V\u000a +p15551 +tp15552 +a(g32 +g3068 +tp15553 +a(g822 +g978 +tp15554 +a(g408 +g972 +tp15555 +a(g822 +g978 +tp15556 +a(g701 +g998 +tp15557 +a(g32 +g1024 +tp15558 +a(g822 +V\u000a +p15559 +tp15560 +a(g32 +g4432 +tp15561 +a(g822 +g978 +tp15562 +a(g408 +g972 +tp15563 +a(g822 +g978 +tp15564 +a(g701 +g998 +tp15565 +a(g32 +g1024 +tp15566 +a(g822 +V\u000a +p15567 +tp15568 +a(g32 +g14879 +tp15569 +a(g822 +g978 +tp15570 +a(g408 +g972 +tp15571 +a(g822 +g978 +tp15572 +a(g701 +g998 +tp15573 +a(g32 +g1024 +tp15574 +a(g822 +V\u000a +p15575 +tp15576 +a(g112 +g1097 +tp15577 +a(g822 +V\u000a\u000a +p15578 +tp15579 +a(g7 +V# "Section index (pagecontent w/Index checked - liststyle)" +p15580 +tp15581 +a(g822 +V\u000a +p15582 +tp15583 +a(g32 +g1896 +tp15584 +a(g822 +g978 +tp15585 +a(g408 +V< +p15586 +tp15587 +a(g677 +Vstyles +p15588 +tp15589 +a(g701 +g998 +tp15590 +a(g822 +Vcontent +p15591 +tp15592 +a(g701 +g998 +tp15593 +a(g822 +Vget +p15594 +tp15595 +a(g822 +V\u000a +p15596 +tp15597 +a(g32 +g1896 +tp15598 +a(g822 +g978 +tp15599 +a(g112 +g1015 +tp15600 +a(g822 +V\u000a +p15601 +tp15602 +a(g822 +Vwrap +p15603 +tp15604 +a(g822 +g978 +tp15605 +a(g408 +g982 +tp15606 +a(g822 +g978 +tp15607 +a(g84 +g972 +tp15608 +a(g84 +Vul +p15609 +tp15610 +a(g822 +g978 +tp15611 +a(g84 +Vclass +p15612 +tp15613 +a(g84 +g982 +tp15614 +a(g84 +V"csc-menu +p15615 +tp15616 +a(g822 +g978 +tp15617 +a(g84 +Vcsc-menu-3" +p15618 +tp15619 +a(g84 +g992 +tp15620 +a(g408 +g1370 +tp15621 +a(g84 +g972 +tp15622 +a(g84 +V/ul +p15623 +tp15624 +a(g84 +g992 +tp15625 +a(g822 +V\u000a +p15626 +tp15627 +a(g569 +Vselect +p15628 +tp15629 +a(g701 +g998 +tp15630 +a(g822 +VandWhere +p15631 +tp15632 +a(g822 +g978 +tp15633 +a(g408 +g982 +tp15634 +a(g822 +g978 +tp15635 +a(g822 +VsectionIndex! +p15636 +tp15637 +a(g408 +g982 +tp15638 +a(g32 +g1190 +tp15639 +a(g822 +V\u000a +p15640 +tp15641 +a(g569 +Vselect +p15642 +tp15643 +a(g701 +g998 +tp15644 +a(g822 +VpidInList +p15645 +tp15646 +a(g701 +g998 +tp15647 +a(g822 +Voverride +p15648 +tp15649 +a(g701 +g998 +tp15650 +a(g822 +Vfield +p15651 +tp15652 +a(g822 +g978 +tp15653 +a(g408 +g982 +tp15654 +a(g822 +g978 +tp15655 +a(g822 +Vpages +p15656 +tp15657 +a(g822 +V\u000a +p15658 +tp15659 +a(g822 +VrenderObj +p15660 +tp15661 +a(g822 +g978 +tp15662 +a(g408 +g982 +tp15663 +a(g822 +g978 +tp15664 +a(g624 +VTEXT +p15665 +tp15666 +a(g822 +V\u000a +p15667 +tp15668 +a(g822 +VrenderObj +p15669 +tp15670 +a(g822 +g978 +tp15671 +a(g112 +g1015 +tp15672 +a(g822 +V\u000a +p15673 +tp15674 +a(g822 +VfieldRequired +p15675 +tp15676 +a(g822 +g978 +tp15677 +a(g408 +g982 +tp15678 +a(g822 +g978 +tp15679 +a(g822 +Vheader +p15680 +tp15681 +a(g822 +V\u000a +p15682 +tp15683 +a(g822 +Vtrim +p15684 +tp15685 +a(g822 +g978 +tp15686 +a(g408 +g982 +tp15687 +a(g822 +g978 +tp15688 +a(g32 +g1024 +tp15689 +a(g822 +V\u000a +p15690 +tp15691 +a(g822 +Vfield +p15692 +tp15693 +a(g822 +g978 +tp15694 +a(g408 +g982 +tp15695 +a(g822 +g978 +tp15696 +a(g822 +Vheader +p15697 +tp15698 +a(g822 +V\u000a +p15699 +tp15700 +a(g822 +VhtmlSpecialChars +p15701 +tp15702 +a(g822 +g978 +tp15703 +a(g408 +g982 +tp15704 +a(g822 +g978 +tp15705 +a(g32 +g1024 +tp15706 +a(g822 +V\u000a +p15707 +tp15708 +a(g822 +VnoBlur +p15709 +tp15710 +a(g822 +g978 +tp15711 +a(g408 +g982 +tp15712 +a(g822 +g978 +tp15713 +a(g32 +g1024 +tp15714 +a(g822 +V\u000a +p15715 +tp15716 +a(g822 +Vwrap +p15717 +tp15718 +a(g822 +g978 +tp15719 +a(g408 +g982 +tp15720 +a(g822 +g978 +tp15721 +a(g84 +g972 +tp15722 +a(g84 +Vli +p15723 +tp15724 +a(g822 +g978 +tp15725 +a(g84 +Vclass +p15726 +tp15727 +a(g84 +g982 +tp15728 +a(g84 +V"csc-section" +p15729 +tp15730 +a(g84 +g992 +tp15731 +a(g408 +g1370 +tp15732 +a(g84 +g972 +tp15733 +a(g84 +V/li +p15734 +tp15735 +a(g84 +g992 +tp15736 +a(g822 +V\u000a +p15737 +tp15738 +a(g569 +Vtypolink +p15739 +tp15740 +a(g701 +g998 +tp15741 +a(g822 +Vparameter +p15742 +tp15743 +a(g701 +g998 +tp15744 +a(g822 +Vfield +p15745 +tp15746 +a(g822 +g978 +tp15747 +a(g408 +g982 +tp15748 +a(g822 +g978 +tp15749 +a(g822 +Vpid +p15750 +tp15751 +a(g822 +V\u000a +p15752 +tp15753 +a(g569 +Vtypolink +p15754 +tp15755 +a(g701 +g998 +tp15756 +a(g822 +Vsection +p15757 +tp15758 +a(g701 +g998 +tp15759 +a(g822 +Vfield +p15760 +tp15761 +a(g822 +g978 +tp15762 +a(g408 +g982 +tp15763 +a(g822 +g978 +tp15764 +a(g822 +Vuid +p15765 +tp15766 +a(g822 +V\u000a +p15767 +tp15768 +a(g112 +g1097 +tp15769 +a(g822 +V\u000a +p15770 +tp15771 +a(g112 +g1097 +tp15772 +a(g822 +V\u000a\u000a +p15773 +tp15774 +a(g7 +V# "Menu of subpages to these pages (with abstract)" +p15775 +tp15776 +a(g822 +V\u000a +p15777 +tp15778 +a(g32 +g3416 +tp15779 +a(g822 +g978 +tp15780 +a(g408 +g972 +tp15781 +a(g822 +g978 +tp15782 +a(g701 +g998 +tp15783 +a(g32 +g1024 +tp15784 +a(g822 +V\u000a +p15785 +tp15786 +a(g32 +g3416 +tp15787 +a(g822 +g978 +tp15788 +a(g112 +g1015 +tp15789 +a(g822 +V\u000a +p15790 +tp15791 +a(g822 +Vwrap +p15792 +tp15793 +a(g822 +g978 +tp15794 +a(g408 +g982 +tp15795 +a(g822 +g978 +tp15796 +a(g84 +g972 +tp15797 +a(g84 +Vdl +p15798 +tp15799 +a(g822 +g978 +tp15800 +a(g84 +Vclass +p15801 +tp15802 +a(g84 +g982 +tp15803 +a(g84 +V"csc-menu +p15804 +tp15805 +a(g822 +g978 +tp15806 +a(g84 +Vcsc-menu-4" +p15807 +tp15808 +a(g84 +g992 +tp15809 +a(g408 +g1370 +tp15810 +a(g84 +g972 +tp15811 +a(g84 +V/dl +p15812 +tp15813 +a(g84 +g992 +tp15814 +a(g822 +V\u000a +p15815 +tp15816 +a(g32 +g1024 +tp15817 +a(g701 +g998 +tp15818 +a(g624 +VNO +p15819 +tp15820 +a(g822 +g978 +tp15821 +a(g112 +g1015 +tp15822 +a(g822 +V\u000a +p15823 +tp15824 +a(g822 +VwrapItemAndSub +p15825 +tp15826 +a(g822 +g978 +tp15827 +a(g408 +g992 +tp15828 +a(g822 +V\u000a +p15829 +tp15830 +a(g822 +VlinkWrap +p15831 +tp15832 +a(g822 +g978 +tp15833 +a(g408 +g982 +tp15834 +a(g822 +g978 +tp15835 +a(g84 +g972 +tp15836 +a(g84 +Vdt +p15837 +tp15838 +a(g84 +g992 +tp15839 +a(g408 +g1370 +tp15840 +a(g84 +g972 +tp15841 +a(g84 +V/dt +p15842 +tp15843 +a(g84 +g992 +tp15844 +a(g822 +V\u000a +p15845 +tp15846 +a(g822 +Vafter +p15847 +tp15848 +a(g822 +g978 +tp15849 +a(g112 +g1015 +tp15850 +a(g822 +V\u000a +p15851 +tp15852 +a(g822 +Vdata +p15853 +tp15854 +a(g822 +g978 +tp15855 +a(g408 +V= +p15856 +tp15857 +a(g677 +Vfield +p15858 +tp15859 +a(g822 +g978 +tp15860 +a(g408 +g976 +tp15861 +a(g822 +g978 +tp15862 +a(g822 +Vabstract +p15863 +tp15864 +a(g822 +g978 +tp15865 +a(g7 +V// field : description // field : subtitle +p15866 +tp15867 +a(g822 +V\u000a +p15868 +tp15869 +a(g822 +Vrequired +p15870 +tp15871 +a(g822 +g978 +tp15872 +a(g408 +g982 +tp15873 +a(g822 +g978 +tp15874 +a(g32 +g1024 +tp15875 +a(g822 +V\u000a +p15876 +tp15877 +a(g822 +VhtmlSpecialChars +p15878 +tp15879 +a(g822 +g978 +tp15880 +a(g408 +g982 +tp15881 +a(g822 +g978 +tp15882 +a(g32 +g1024 +tp15883 +a(g822 +V\u000a +p15884 +tp15885 +a(g822 +Vwrap +p15886 +tp15887 +a(g822 +g978 +tp15888 +a(g408 +g982 +tp15889 +a(g822 +g978 +tp15890 +a(g84 +g972 +tp15891 +a(g84 +Vdd +p15892 +tp15893 +a(g84 +g992 +tp15894 +a(g408 +g1370 +tp15895 +a(g84 +g972 +tp15896 +a(g84 +V/dd +p15897 +tp15898 +a(g84 +g992 +tp15899 +a(g822 +V\u000a +p15900 +tp15901 +a(g112 +g1097 +tp15902 +a(g822 +V\u000a +p15903 +tp15904 +a(g822 +VATagTitle +p15905 +tp15906 +a(g701 +g998 +tp15907 +a(g822 +Vfield +p15908 +tp15909 +a(g822 +g978 +tp15910 +a(g408 +g982 +tp15911 +a(g822 +g978 +tp15912 +a(g822 +Vdescription +p15913 +tp15914 +a(g822 +g978 +tp15915 +a(g7 +V// title +p15916 +tp15917 +a(g822 +V\u000a +p15918 +tp15919 +a(g112 +g1097 +tp15920 +a(g822 +V\u000a +p15921 +tp15922 +a(g112 +g1097 +tp15923 +a(g822 +V\u000a\u000a +p15924 +tp15925 +a(g7 +V# "Recently updated pages" +p15926 +tp15927 +a(g822 +V\u000a +p15928 +tp15929 +a(g32 +g3068 +tp15930 +a(g822 +g978 +tp15931 +a(g408 +g972 +tp15932 +a(g822 +g978 +tp15933 +a(g701 +g998 +tp15934 +a(g822 +Vdefault +p15935 +tp15936 +a(g822 +V\u000a +p15937 +tp15938 +a(g32 +g3068 +tp15939 +a(g822 +g978 +tp15940 +a(g112 +g1015 +tp15941 +a(g822 +V\u000a +p15942 +tp15943 +a(g822 +Vwrap +p15944 +tp15945 +a(g822 +g978 +tp15946 +a(g408 +g982 +tp15947 +a(g822 +g978 +tp15948 +a(g84 +g972 +tp15949 +a(g84 +Vul +p15950 +tp15951 +a(g822 +g978 +tp15952 +a(g84 +Vclass +p15953 +tp15954 +a(g84 +g982 +tp15955 +a(g84 +V"csc-menu +p15956 +tp15957 +a(g822 +g978 +tp15958 +a(g84 +Vcsc-menu-5" +p15959 +tp15960 +a(g84 +g992 +tp15961 +a(g408 +g1370 +tp15962 +a(g84 +g972 +tp15963 +a(g84 +V/ul +p15964 +tp15965 +a(g84 +g992 +tp15966 +a(g822 +V\u000a +p15967 +tp15968 +a(g822 +Vspecial +p15969 +tp15970 +a(g822 +g978 +tp15971 +a(g408 +g982 +tp15972 +a(g822 +g978 +tp15973 +a(g822 +Vupdated +p15974 +tp15975 +a(g822 +V\u000a +p15976 +tp15977 +a(g822 +Vspecial +p15978 +tp15979 +a(g822 +g978 +tp15980 +a(g112 +g1015 +tp15981 +a(g822 +V\u000a +p15982 +tp15983 +a(g822 +VmaxAge +p15984 +tp15985 +a(g822 +g978 +tp15986 +a(g408 +g982 +tp15987 +a(g822 +g978 +tp15988 +a(g32 +V3600 +p15989 +tp15990 +a(g408 +g2262 +tp15991 +a(g32 +V24 +p15992 +tp15993 +a(g408 +g2262 +tp15994 +a(g32 +g14879 +tp15995 +a(g822 +V\u000a +p15996 +tp15997 +a(g822 +VexcludeNoSearchPages +p15998 +tp15999 +a(g822 +g978 +tp16000 +a(g408 +g982 +tp16001 +a(g822 +g978 +tp16002 +a(g32 +g1024 +tp16003 +a(g822 +V\u000a +p16004 +tp16005 +a(g112 +g1097 +tp16006 +a(g822 +V\u000a +p16007 +tp16008 +a(g112 +g1097 +tp16009 +a(g822 +V\u000a\u000a +p16010 +tp16011 +a(g7 +V# "Related pages (based on keywords)" +p16012 +tp16013 +a(g822 +V\u000a +p16014 +tp16015 +a(g32 +g4432 +tp16016 +a(g822 +g978 +tp16017 +a(g408 +g972 +tp16018 +a(g822 +g978 +tp16019 +a(g701 +g998 +tp16020 +a(g822 +Vdefault +p16021 +tp16022 +a(g822 +V\u000a +p16023 +tp16024 +a(g32 +g4432 +tp16025 +a(g822 +g978 +tp16026 +a(g112 +g1015 +tp16027 +a(g822 +V\u000a +p16028 +tp16029 +a(g822 +Vwrap +p16030 +tp16031 +a(g822 +g978 +tp16032 +a(g408 +g982 +tp16033 +a(g822 +g978 +tp16034 +a(g84 +g972 +tp16035 +a(g84 +Vul +p16036 +tp16037 +a(g822 +g978 +tp16038 +a(g84 +Vclass +p16039 +tp16040 +a(g84 +g982 +tp16041 +a(g84 +V"csc-menu +p16042 +tp16043 +a(g822 +g978 +tp16044 +a(g84 +Vcsc-menu-6" +p16045 +tp16046 +a(g84 +g992 +tp16047 +a(g408 +g1370 +tp16048 +a(g84 +g972 +tp16049 +a(g84 +V/ul +p16050 +tp16051 +a(g84 +g992 +tp16052 +a(g822 +V\u000a +p16053 +tp16054 +a(g822 +Vspecial +p16055 +tp16056 +a(g822 +g978 +tp16057 +a(g408 +g982 +tp16058 +a(g822 +g978 +tp16059 +a(g822 +Vkeywords +p16060 +tp16061 +a(g822 +V\u000a +p16062 +tp16063 +a(g822 +Vspecial +p16064 +tp16065 +a(g822 +g978 +tp16066 +a(g112 +g1015 +tp16067 +a(g822 +V\u000a +p16068 +tp16069 +a(g822 +VexcludeNoSearchPages +p16070 +tp16071 +a(g822 +g978 +tp16072 +a(g408 +g982 +tp16073 +a(g822 +g978 +tp16074 +a(g32 +g1024 +tp16075 +a(g822 +V\u000a +p16076 +tp16077 +a(g112 +g1097 +tp16078 +a(g822 +V\u000a +p16079 +tp16080 +a(g112 +g1097 +tp16081 +a(g822 +V\u000a\u000a +p16082 +tp16083 +a(g7 +V# "Menu of subpages to these pages + sections - liststyle" +p16084 +tp16085 +a(g822 +V\u000a +p16086 +tp16087 +a(g32 +g14879 +tp16088 +a(g822 +g978 +tp16089 +a(g408 +g972 +tp16090 +a(g822 +g978 +tp16091 +a(g701 +g998 +tp16092 +a(g32 +g1024 +tp16093 +a(g822 +V\u000a +p16094 +tp16095 +a(g32 +g14879 +tp16096 +a(g822 +g978 +tp16097 +a(g112 +g1015 +tp16098 +a(g822 +V\u000a +p16099 +tp16100 +a(g822 +Vwrap +p16101 +tp16102 +a(g822 +g978 +tp16103 +a(g408 +g982 +tp16104 +a(g822 +g978 +tp16105 +a(g84 +g972 +tp16106 +a(g84 +Vul +p16107 +tp16108 +a(g822 +g978 +tp16109 +a(g84 +Vclass +p16110 +tp16111 +a(g84 +g982 +tp16112 +a(g84 +V"csc-menu +p16113 +tp16114 +a(g822 +g978 +tp16115 +a(g84 +Vcsc-menu-7" +p16116 +tp16117 +a(g84 +g992 +tp16118 +a(g408 +g1370 +tp16119 +a(g84 +g972 +tp16120 +a(g84 +V/ul +p16121 +tp16122 +a(g84 +g992 +tp16123 +a(g822 +V\u000a +p16124 +tp16125 +a(g32 +g1024 +tp16126 +a(g701 +g998 +tp16127 +a(g822 +VexpAll +p16128 +tp16129 +a(g822 +g978 +tp16130 +a(g408 +g982 +tp16131 +a(g822 +g978 +tp16132 +a(g32 +g1024 +tp16133 +a(g822 +V\u000a +p16134 +tp16135 +a(g32 +g1847 +tp16136 +a(g822 +g978 +tp16137 +a(g408 +g972 +tp16138 +a(g822 +g978 +tp16139 +a(g701 +g998 +tp16140 +a(g32 +g1024 +tp16141 +a(g822 +V\u000a +p16142 +tp16143 +a(g32 +g1847 +tp16144 +a(g822 +g978 +tp16145 +a(g112 +g1015 +tp16146 +a(g822 +V\u000a +p16147 +tp16148 +a(g822 +VsectionIndex +p16149 +tp16150 +a(g822 +g978 +tp16151 +a(g408 +g982 +tp16152 +a(g822 +g978 +tp16153 +a(g32 +g1024 +tp16154 +a(g822 +V\u000a +p16155 +tp16156 +a(g822 +VsectionIndex +p16157 +tp16158 +a(g701 +g998 +tp16159 +a(g822 +Vtype +p16160 +tp16161 +a(g822 +g978 +tp16162 +a(g408 +g982 +tp16163 +a(g822 +g978 +tp16164 +a(g822 +Vheader +p16165 +tp16166 +a(g822 +V\u000a +p16167 +tp16168 +a(g822 +Vwrap +p16169 +tp16170 +a(g822 +g978 +tp16171 +a(g408 +g982 +tp16172 +a(g822 +g978 +tp16173 +a(g84 +g972 +tp16174 +a(g84 +Vul +p16175 +tp16176 +a(g84 +g992 +tp16177 +a(g408 +g1370 +tp16178 +a(g84 +g972 +tp16179 +a(g84 +V/ul +p16180 +tp16181 +a(g84 +g992 +tp16182 +a(g822 +V\u000a +p16183 +tp16184 +a(g624 +VNO +p16185 +tp16186 +a(g701 +g998 +tp16187 +a(g822 +VwrapItemAndSub +p16188 +tp16189 +a(g822 +g978 +tp16190 +a(g408 +g982 +tp16191 +a(g822 +g978 +tp16192 +a(g84 +g972 +tp16193 +a(g84 +Vli +p16194 +tp16195 +a(g822 +g978 +tp16196 +a(g84 +Vclass +p16197 +tp16198 +a(g84 +g982 +tp16199 +a(g84 +V"csc-section" +p16200 +tp16201 +a(g84 +g992 +tp16202 +a(g408 +g1370 +tp16203 +a(g84 +g972 +tp16204 +a(g84 +V/li +p16205 +tp16206 +a(g84 +g992 +tp16207 +a(g822 +V\u000a +p16208 +tp16209 +a(g112 +g1097 +tp16210 +a(g822 +V\u000a +p16211 +tp16212 +a(g112 +g1097 +tp16213 +a(g822 +V\u000a +p16214 +tp16215 +a(g112 +g1097 +tp16216 +a(g822 +V\u000a\u000a +p16217 +tp16218 +a(g32 +V20 +p16219 +tp16220 +a(g701 +g998 +tp16221 +a(g569 +VstdWrap +p16222 +tp16223 +a(g822 +g978 +tp16224 +a(g112 +g1015 +tp16225 +a(g822 +V\u000a +p16226 +tp16227 +a(g822 +VeditIcons +p16228 +tp16229 +a(g822 +g978 +tp16230 +a(g408 +V= +p16231 +tp16232 +a(g677 +Vtt_content +p16233 +tp16234 +a(g408 +g976 +tp16235 +a(g822 +g978 +tp16236 +a(g822 +Vmenu_type +p16237 +tp16238 +a(g701 +g2004 +tp16239 +a(g822 +g978 +tp16240 +a(g822 +Vpages +p16241 +tp16242 +a(g822 +V\u000a +p16243 +tp16244 +a(g822 +VeditIcons +p16245 +tp16246 +a(g701 +g998 +tp16247 +a(g822 +ViconTitle +p16248 +tp16249 +a(g701 +g998 +tp16250 +a(g822 +Vdata +p16251 +tp16252 +a(g822 +g978 +tp16253 +a(g408 +g982 +tp16254 +a(g822 +g978 +tp16255 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.menuSitemap +p16256 +tp16257 +a(g822 +V\u000a\u000a +p16258 +tp16259 +a(g822 +VprefixComment +p16260 +tp16261 +a(g822 +g978 +tp16262 +a(g408 +g982 +tp16263 +a(g822 +g978 +tp16264 +a(g32 +g1847 +tp16265 +a(g822 +g978 +tp16266 +a(g408 +g1370 +tp16267 +a(g822 +g978 +tp16268 +a(g822 +VMenu/Sitemap +p16269 +tp16270 +a(g822 +g978 +tp16271 +a(g822 +Velement +p16272 +tp16273 +a(g408 +g976 +tp16274 +a(g822 +V\u000a +p16275 +tp16276 +a(g112 +g1097 +tp16277 +a(g822 +V\u000a +p16278 +tp16279 +a(g112 +g1097 +tp16280 +a(g822 +V\u000a\u000a\u000a\u000a +p16281 +tp16282 +a(g7 +V# **************** +p16283 +tp16284 +a(g822 +V\u000a +p16285 +tp16286 +a(g7 +V# CType: shortcut +p16287 +tp16288 +a(g822 +V\u000a +p16289 +tp16290 +a(g7 +V# **************** +p16291 +tp16292 +a(g822 +V\u000a +p16293 +tp16294 +a(g7 +V# Should be a complete copy from the old static template "content (default)" +p16295 +tp16296 +a(g822 +V\u000a +p16297 +tp16298 +a(g677 +Vtt_content +p16299 +tp16300 +a(g701 +g998 +tp16301 +a(g822 +Vshortcut +p16302 +tp16303 +a(g822 +g978 +tp16304 +a(g408 +g982 +tp16305 +a(g822 +g978 +tp16306 +a(g624 +VCOA +p16307 +tp16308 +a(g822 +V\u000a +p16309 +tp16310 +a(g677 +Vtt_content +p16311 +tp16312 +a(g701 +g998 +tp16313 +a(g822 +Vshortcut +p16314 +tp16315 +a(g822 +g978 +tp16316 +a(g112 +g1015 +tp16317 +a(g822 +V\u000a +p16318 +tp16319 +a(g32 +V20 +p16320 +tp16321 +a(g822 +g978 +tp16322 +a(g408 +g982 +tp16323 +a(g822 +g978 +tp16324 +a(g624 +VCASE +p16325 +tp16326 +a(g822 +V\u000a +p16327 +tp16328 +a(g32 +V20 +p16329 +tp16330 +a(g701 +g998 +tp16331 +a(g822 +Vkey +p16332 +tp16333 +a(g701 +g998 +tp16334 +a(g822 +Vfield +p16335 +tp16336 +a(g822 +g978 +tp16337 +a(g408 +g982 +tp16338 +a(g822 +g978 +tp16339 +a(g822 +Vlayout +p16340 +tp16341 +a(g822 +V\u000a +p16342 +tp16343 +a(g32 +V20 +p16344 +tp16345 +a(g701 +g998 +tp16346 +a(g32 +g1190 +tp16347 +a(g408 +g982 +tp16348 +a(g822 +g978 +tp16349 +a(g624 +VRECORDS +p16350 +tp16351 +a(g822 +V\u000a +p16352 +tp16353 +a(g32 +V20 +p16354 +tp16355 +a(g701 +g998 +tp16356 +a(g32 +g1190 +tp16357 +a(g822 +g978 +tp16358 +a(g112 +g1015 +tp16359 +a(g822 +V\u000a +p16360 +tp16361 +a(g822 +Vsource +p16362 +tp16363 +a(g701 +g998 +tp16364 +a(g822 +Vfield +p16365 +tp16366 +a(g822 +g978 +tp16367 +a(g408 +g982 +tp16368 +a(g822 +g978 +tp16369 +a(g822 +Vrecords +p16370 +tp16371 +a(g822 +V\u000a +p16372 +tp16373 +a(g822 +Vtables +p16374 +tp16375 +a(g822 +g978 +tp16376 +a(g408 +g982 +tp16377 +a(g822 +g978 +tp16378 +a(g112 +g1015 +tp16379 +a(g408 +g1391 +tp16380 +a(g561 +Vcontent.shortcut. +p16381 +tp16382 +a(g561 +Vtables +p16383 +tp16384 +a(g112 +g1097 +tp16385 +a(g822 +V\u000a +p16386 +tp16387 +a(g7 +V# THESE are OLD plugins. Modern plugins registers themselves automatically! +p16388 +tp16389 +a(g822 +V\u000a +p16390 +tp16391 +a(g822 +Vconf +p16392 +tp16393 +a(g701 +g998 +tp16394 +a(g822 +Vtt_content +p16395 +tp16396 +a(g822 +g978 +tp16397 +a(g408 +V= < +p16398 +tp16399 +a(g677 +Vtt_content +p16400 +tp16401 +a(g822 +V\u000a +p16402 +tp16403 +a(g822 +Vconf +p16404 +tp16405 +a(g701 +g998 +tp16406 +a(g822 +Vtt_address +p16407 +tp16408 +a(g822 +g978 +tp16409 +a(g408 +V= < +p16410 +tp16411 +a(g677 +Vtt_address +p16412 +tp16413 +a(g822 +V\u000a +p16414 +tp16415 +a(g822 +Vconf +p16416 +tp16417 +a(g701 +g998 +tp16418 +a(g822 +Vtt_links +p16419 +tp16420 +a(g822 +g978 +tp16421 +a(g408 +V= < +p16422 +tp16423 +a(g677 +Vtt_links +p16424 +tp16425 +a(g822 +V\u000a +p16426 +tp16427 +a(g822 +Vconf +p16428 +tp16429 +a(g701 +g998 +tp16430 +a(g822 +Vtt_guest +p16431 +tp16432 +a(g822 +g978 +tp16433 +a(g408 +V= < +p16434 +tp16435 +a(g677 +Vtt_guest +p16436 +tp16437 +a(g822 +V\u000a +p16438 +tp16439 +a(g822 +Vconf +p16440 +tp16441 +a(g701 +g998 +tp16442 +a(g822 +Vtt_board +p16443 +tp16444 +a(g822 +g978 +tp16445 +a(g408 +V= < +p16446 +tp16447 +a(g677 +Vtt_board +p16448 +tp16449 +a(g822 +V\u000a +p16450 +tp16451 +a(g822 +Vconf +p16452 +tp16453 +a(g701 +g998 +tp16454 +a(g822 +Vtt_calender +p16455 +tp16456 +a(g822 +g978 +tp16457 +a(g408 +V= < +p16458 +tp16459 +a(g677 +Vtt_calender +p16460 +tp16461 +a(g822 +V\u000a +p16462 +tp16463 +a(g822 +Vconf +p16464 +tp16465 +a(g701 +g998 +tp16466 +a(g822 +Vtt_rating +p16467 +tp16468 +a(g822 +g978 +tp16469 +a(g408 +V< +p16470 +tp16471 +a(g677 +Vtt_rating +p16472 +tp16473 +a(g822 +V\u000a +p16474 +tp16475 +a(g822 +Vconf +p16476 +tp16477 +a(g701 +g998 +tp16478 +a(g822 +Vtt_products +p16479 +tp16480 +a(g822 +g978 +tp16481 +a(g408 +V= < +p16482 +tp16483 +a(g677 +Vtt_products +p16484 +tp16485 +a(g822 +V\u000a +p16486 +tp16487 +a(g822 +Vconf +p16488 +tp16489 +a(g701 +g998 +tp16490 +a(g822 +Vtt_news +p16491 +tp16492 +a(g822 +g978 +tp16493 +a(g408 +V= < +p16494 +tp16495 +a(g677 +Vtt_news +p16496 +tp16497 +a(g822 +V\u000a +p16498 +tp16499 +a(g822 +Vconf +p16500 +tp16501 +a(g701 +g998 +tp16502 +a(g822 +Vtt_poll +p16503 +tp16504 +a(g822 +g978 +tp16505 +a(g408 +V= < +p16506 +tp16507 +a(g677 +Vplugin +p16508 +tp16509 +a(g701 +g998 +tp16510 +a(g822 +Vtt_poll +p16511 +tp16512 +a(g822 +V\u000a +p16513 +tp16514 +a(g112 +g1097 +tp16515 +a(g822 +V\u000a +p16516 +tp16517 +a(g32 +V20 +p16518 +tp16519 +a(g701 +g998 +tp16520 +a(g32 +g1024 +tp16521 +a(g408 +g982 +tp16522 +a(g822 +g978 +tp16523 +a(g624 +VRECORDS +p16524 +tp16525 +a(g822 +V\u000a +p16526 +tp16527 +a(g32 +V20 +p16528 +tp16529 +a(g701 +g998 +tp16530 +a(g32 +g1024 +tp16531 +a(g822 +g978 +tp16532 +a(g112 +g1015 +tp16533 +a(g822 +V\u000a +p16534 +tp16535 +a(g822 +Vsource +p16536 +tp16537 +a(g701 +g998 +tp16538 +a(g822 +Vfield +p16539 +tp16540 +a(g822 +g978 +tp16541 +a(g408 +g982 +tp16542 +a(g822 +g978 +tp16543 +a(g822 +Vrecords +p16544 +tp16545 +a(g822 +V\u000a +p16546 +tp16547 +a(g822 +Vtables +p16548 +tp16549 +a(g822 +g978 +tp16550 +a(g408 +g982 +tp16551 +a(g822 +g978 +tp16552 +a(g112 +g1015 +tp16553 +a(g408 +g1391 +tp16554 +a(g561 +Vcontent.shortcut. +p16555 +tp16556 +a(g561 +Vtables +p16557 +tp16558 +a(g112 +g1097 +tp16559 +a(g822 +V\u000a +p16560 +tp16561 +a(g822 +Vconf +p16562 +tp16563 +a(g701 +g998 +tp16564 +a(g822 +Vtt_poll +p16565 +tp16566 +a(g822 +g978 +tp16567 +a(g408 +V= < +p16568 +tp16569 +a(g677 +Vplugin +p16570 +tp16571 +a(g701 +g998 +tp16572 +a(g822 +Vtt_poll +p16573 +tp16574 +a(g822 +V\u000a +p16575 +tp16576 +a(g822 +Vconf +p16577 +tp16578 +a(g701 +g998 +tp16579 +a(g822 +Vtt_poll +p16580 +tp16581 +a(g701 +g998 +tp16582 +a(g822 +Vcode +p16583 +tp16584 +a(g822 +g978 +tp16585 +a(g408 +g982 +tp16586 +a(g822 +g978 +tp16587 +a(g822 +VRESULT +p16588 +tp16589 +a(g701 +g2004 +tp16590 +a(g822 +VSUBMITTEDVOTE +p16591 +tp16592 +a(g822 +V\u000a +p16593 +tp16594 +a(g112 +g1097 +tp16595 +a(g822 +V\u000a\u000a +p16596 +tp16597 +a(g32 +V20 +p16598 +tp16599 +a(g701 +g998 +tp16600 +a(g569 +VstdWrap +p16601 +tp16602 +a(g822 +g978 +tp16603 +a(g112 +g1015 +tp16604 +a(g822 +V\u000a +p16605 +tp16606 +a(g822 +VeditIcons +p16607 +tp16608 +a(g822 +g978 +tp16609 +a(g408 +V= +p16610 +tp16611 +a(g677 +Vtt_content +p16612 +tp16613 +a(g408 +g976 +tp16614 +a(g822 +g978 +tp16615 +a(g822 +Vrecords +p16616 +tp16617 +a(g822 +V\u000a +p16618 +tp16619 +a(g822 +VeditIcons +p16620 +tp16621 +a(g701 +g998 +tp16622 +a(g822 +ViconTitle +p16623 +tp16624 +a(g701 +g998 +tp16625 +a(g822 +Vdata +p16626 +tp16627 +a(g822 +g978 +tp16628 +a(g408 +g982 +tp16629 +a(g822 +g978 +tp16630 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.recordList +p16631 +tp16632 +a(g822 +V\u000a\u000a +p16633 +tp16634 +a(g822 +VprefixComment +p16635 +tp16636 +a(g822 +g978 +tp16637 +a(g408 +g982 +tp16638 +a(g822 +g978 +tp16639 +a(g32 +g1847 +tp16640 +a(g822 +g978 +tp16641 +a(g408 +g1370 +tp16642 +a(g822 +g978 +tp16643 +a(g822 +VInclusion +p16644 +tp16645 +a(g822 +g978 +tp16646 +a(g822 +Vof +p16647 +tp16648 +a(g822 +g978 +tp16649 +a(g822 +Vother +p16650 +tp16651 +a(g822 +g978 +tp16652 +a(g822 +Vrecords +p16653 +tp16654 +a(g822 +g978 +tp16655 +a(g112 +g14505 +tp16656 +a(g822 +Vby +p16657 +tp16658 +a(g822 +g978 +tp16659 +a(g822 +Vreference +p16660 +tp16661 +a(g112 +g14509 +tp16662 +a(g408 +g976 +tp16663 +a(g822 +V\u000a +p16664 +tp16665 +a(g112 +g1097 +tp16666 +a(g822 +V\u000a +p16667 +tp16668 +a(g112 +g1097 +tp16669 +a(g822 +V\u000a\u000a\u000a +p16670 +tp16671 +a(g7 +V# **************** +p16672 +tp16673 +a(g822 +V\u000a +p16674 +tp16675 +a(g7 +V# CType: list +p16676 +tp16677 +a(g822 +V\u000a +p16678 +tp16679 +a(g7 +V# **************** +p16680 +tp16681 +a(g822 +V\u000a +p16682 +tp16683 +a(g7 +V# Should be a complete copy from the old static template "content (default)" (except "lib.stdheader") +p16684 +tp16685 +a(g822 +V\u000a +p16686 +tp16687 +a(g677 +Vtt_content +p16688 +tp16689 +a(g701 +g998 +tp16690 +a(g822 +Vlist +p16691 +tp16692 +a(g822 +g978 +tp16693 +a(g408 +g982 +tp16694 +a(g822 +g978 +tp16695 +a(g624 +VCOA +p16696 +tp16697 +a(g822 +V\u000a +p16698 +tp16699 +a(g677 +Vtt_content +p16700 +tp16701 +a(g701 +g998 +tp16702 +a(g822 +Vlist +p16703 +tp16704 +a(g822 +g978 +tp16705 +a(g112 +g1015 +tp16706 +a(g822 +V\u000a +p16707 +tp16708 +a(g32 +V10 +p16709 +tp16710 +a(g822 +g978 +tp16711 +a(g408 +V= < +p16712 +tp16713 +a(g677 +Vlib +p16714 +tp16715 +a(g701 +g998 +tp16716 +a(g822 +Vstdheader +p16717 +tp16718 +a(g822 +V\u000a\u000a +p16719 +tp16720 +a(g32 +V20 +p16721 +tp16722 +a(g822 +g978 +tp16723 +a(g408 +g982 +tp16724 +a(g822 +g978 +tp16725 +a(g624 +VCASE +p16726 +tp16727 +a(g822 +V\u000a +p16728 +tp16729 +a(g32 +V20 +p16730 +tp16731 +a(g701 +g998 +tp16732 +a(g822 +Vkey +p16733 +tp16734 +a(g701 +g998 +tp16735 +a(g822 +Vfield +p16736 +tp16737 +a(g822 +g978 +tp16738 +a(g408 +g982 +tp16739 +a(g822 +g978 +tp16740 +a(g822 +Vlist_type +p16741 +tp16742 +a(g822 +V\u000a +p16743 +tp16744 +a(g32 +V20 +p16745 +tp16746 +a(g822 +g978 +tp16747 +a(g112 +g1015 +tp16748 +a(g822 +V\u000a +p16749 +tp16750 +a(g7 +V# LIST element references (NOT copy of objects!) +p16751 +tp16752 +a(g822 +V\u000a +p16753 +tp16754 +a(g7 +V# THESE are OLD plugins. Modern plugins registers themselves automatically! +p16755 +tp16756 +a(g822 +V\u000a +p16757 +tp16758 +a(g32 +g1896 +tp16759 +a(g822 +g978 +tp16760 +a(g408 +g982 +tp16761 +a(g822 +g978 +tp16762 +a(g624 +VCASE +p16763 +tp16764 +a(g822 +V\u000a +p16765 +tp16766 +a(g32 +g1896 +tp16767 +a(g701 +g998 +tp16768 +a(g822 +Vkey +p16769 +tp16770 +a(g701 +g998 +tp16771 +a(g822 +Vfield +p16772 +tp16773 +a(g822 +g978 +tp16774 +a(g408 +g982 +tp16775 +a(g822 +g978 +tp16776 +a(g822 +Vlayout +p16777 +tp16778 +a(g822 +V\u000a +p16779 +tp16780 +a(g32 +g1896 +tp16781 +a(g701 +g998 +tp16782 +a(g32 +g1190 +tp16783 +a(g822 +g978 +tp16784 +a(g408 +V= < +p16785 +tp16786 +a(g677 +Vplugin +p16787 +tp16788 +a(g701 +g998 +tp16789 +a(g822 +Vtt_guest +p16790 +tp16791 +a(g822 +V\u000a\u000a +p16792 +tp16793 +a(g32 +g3416 +tp16794 +a(g822 +g978 +tp16795 +a(g408 +g982 +tp16796 +a(g822 +g978 +tp16797 +a(g624 +VCASE +p16798 +tp16799 +a(g822 +V\u000a +p16800 +tp16801 +a(g32 +g3416 +tp16802 +a(g701 +g998 +tp16803 +a(g822 +Vkey +p16804 +tp16805 +a(g701 +g998 +tp16806 +a(g822 +Vfield +p16807 +tp16808 +a(g822 +g978 +tp16809 +a(g408 +g982 +tp16810 +a(g822 +g978 +tp16811 +a(g822 +Vlayout +p16812 +tp16813 +a(g822 +V\u000a +p16814 +tp16815 +a(g32 +g3416 +tp16816 +a(g701 +g998 +tp16817 +a(g32 +g1190 +tp16818 +a(g822 +g978 +tp16819 +a(g408 +V= < +p16820 +tp16821 +a(g677 +Vplugin +p16822 +tp16823 +a(g701 +g998 +tp16824 +a(g822 +Vtt_board_list +p16825 +tp16826 +a(g822 +V\u000a +p16827 +tp16828 +a(g32 +g3416 +tp16829 +a(g701 +g998 +tp16830 +a(g32 +g1024 +tp16831 +a(g822 +g978 +tp16832 +a(g408 +V= < +p16833 +tp16834 +a(g677 +Vplugin +p16835 +tp16836 +a(g701 +g998 +tp16837 +a(g822 +Vtt_board_tree +p16838 +tp16839 +a(g822 +V\u000a\u000a +p16840 +tp16841 +a(g32 +g1847 +tp16842 +a(g822 +g978 +tp16843 +a(g408 +g982 +tp16844 +a(g822 +g978 +tp16845 +a(g624 +VCASE +p16846 +tp16847 +a(g822 +V\u000a +p16848 +tp16849 +a(g32 +g1847 +tp16850 +a(g701 +g998 +tp16851 +a(g822 +Vkey +p16852 +tp16853 +a(g701 +g998 +tp16854 +a(g822 +Vfield +p16855 +tp16856 +a(g822 +g978 +tp16857 +a(g408 +g982 +tp16858 +a(g822 +g978 +tp16859 +a(g822 +Vlayout +p16860 +tp16861 +a(g822 +V\u000a +p16862 +tp16863 +a(g32 +g1847 +tp16864 +a(g701 +g998 +tp16865 +a(g32 +g1190 +tp16866 +a(g822 +g978 +tp16867 +a(g408 +V= < +p16868 +tp16869 +a(g677 +Vplugin +p16870 +tp16871 +a(g701 +g998 +tp16872 +a(g822 +Vtt_board_tree +p16873 +tp16874 +a(g822 +V\u000a\u000a +p16875 +tp16876 +a(g32 +g3068 +tp16877 +a(g822 +g978 +tp16878 +a(g408 +g982 +tp16879 +a(g822 +g978 +tp16880 +a(g624 +VCASE +p16881 +tp16882 +a(g822 +V\u000a +p16883 +tp16884 +a(g32 +g3068 +tp16885 +a(g701 +g998 +tp16886 +a(g822 +Vkey +p16887 +tp16888 +a(g701 +g998 +tp16889 +a(g822 +Vfield +p16890 +tp16891 +a(g822 +g978 +tp16892 +a(g408 +g982 +tp16893 +a(g822 +g978 +tp16894 +a(g822 +Vlayout +p16895 +tp16896 +a(g822 +V\u000a +p16897 +tp16898 +a(g32 +g3068 +tp16899 +a(g701 +g998 +tp16900 +a(g32 +g1190 +tp16901 +a(g822 +g978 +tp16902 +a(g408 +V= < +p16903 +tp16904 +a(g677 +Vplugin +p16905 +tp16906 +a(g701 +g998 +tp16907 +a(g822 +Vtt_products +p16908 +tp16909 +a(g822 +V\u000a\u000a +p16910 +tp16911 +a(g32 +g14879 +tp16912 +a(g822 +g978 +tp16913 +a(g408 +g982 +tp16914 +a(g822 +g978 +tp16915 +a(g624 +VCASE +p16916 +tp16917 +a(g822 +V\u000a +p16918 +tp16919 +a(g32 +g14879 +tp16920 +a(g701 +g998 +tp16921 +a(g822 +Vkey +p16922 +tp16923 +a(g701 +g998 +tp16924 +a(g822 +Vfield +p16925 +tp16926 +a(g822 +g978 +tp16927 +a(g408 +g982 +tp16928 +a(g822 +g978 +tp16929 +a(g822 +Vlayout +p16930 +tp16931 +a(g822 +V\u000a +p16932 +tp16933 +a(g32 +g14879 +tp16934 +a(g701 +g998 +tp16935 +a(g32 +g1190 +tp16936 +a(g822 +g978 +tp16937 +a(g408 +V= < +p16938 +tp16939 +a(g677 +Vplugin +p16940 +tp16941 +a(g701 +g998 +tp16942 +a(g822 +Vtt_calender +p16943 +tp16944 +a(g822 +V\u000a\u000a +p16945 +tp16946 +a(g32 +g7143 +tp16947 +a(g822 +g978 +tp16948 +a(g408 +g982 +tp16949 +a(g822 +g978 +tp16950 +a(g624 +VCASE +p16951 +tp16952 +a(g822 +V\u000a +p16953 +tp16954 +a(g32 +g7143 +tp16955 +a(g701 +g998 +tp16956 +a(g822 +Vkey +p16957 +tp16958 +a(g701 +g998 +tp16959 +a(g822 +Vfield +p16960 +tp16961 +a(g822 +g978 +tp16962 +a(g408 +g982 +tp16963 +a(g822 +g978 +tp16964 +a(g822 +Vlayout +p16965 +tp16966 +a(g822 +V\u000a +p16967 +tp16968 +a(g32 +g7143 +tp16969 +a(g701 +g998 +tp16970 +a(g32 +g1190 +tp16971 +a(g822 +g978 +tp16972 +a(g408 +V= < +p16973 +tp16974 +a(g677 +Vplugin +p16975 +tp16976 +a(g701 +g998 +tp16977 +a(g822 +Vtt_rating +p16978 +tp16979 +a(g822 +V\u000a\u000a +p16980 +tp16981 +a(g32 +g7200 +tp16982 +a(g822 +g978 +tp16983 +a(g408 +g982 +tp16984 +a(g822 +g978 +tp16985 +a(g624 +VCASE +p16986 +tp16987 +a(g822 +V\u000a +p16988 +tp16989 +a(g32 +g7200 +tp16990 +a(g701 +g998 +tp16991 +a(g822 +Vkey +p16992 +tp16993 +a(g701 +g998 +tp16994 +a(g822 +Vfield +p16995 +tp16996 +a(g822 +g978 +tp16997 +a(g408 +g982 +tp16998 +a(g822 +g978 +tp16999 +a(g822 +Vlayout +p17000 +tp17001 +a(g822 +V\u000a +p17002 +tp17003 +a(g32 +g7200 +tp17004 +a(g701 +g998 +tp17005 +a(g32 +g1190 +tp17006 +a(g822 +g978 +tp17007 +a(g408 +V= < +p17008 +tp17009 +a(g677 +Vplugin +p17010 +tp17011 +a(g701 +g998 +tp17012 +a(g822 +Vtt_news +p17013 +tp17014 +a(g822 +V\u000a\u000a +p17015 +tp17016 +a(g32 +V11 +p17017 +tp17018 +a(g822 +g978 +tp17019 +a(g408 +g982 +tp17020 +a(g822 +g978 +tp17021 +a(g624 +VCASE +p17022 +tp17023 +a(g822 +V\u000a +p17024 +tp17025 +a(g32 +V11 +p17026 +tp17027 +a(g701 +g998 +tp17028 +a(g822 +Vkey +p17029 +tp17030 +a(g701 +g998 +tp17031 +a(g822 +Vfield +p17032 +tp17033 +a(g822 +g978 +tp17034 +a(g408 +g982 +tp17035 +a(g822 +g978 +tp17036 +a(g822 +Vlayout +p17037 +tp17038 +a(g822 +V\u000a +p17039 +tp17040 +a(g32 +V11 +p17041 +tp17042 +a(g701 +g998 +tp17043 +a(g32 +g1190 +tp17044 +a(g822 +g978 +tp17045 +a(g408 +V= < +p17046 +tp17047 +a(g677 +Vplugin +p17048 +tp17049 +a(g701 +g998 +tp17050 +a(g822 +Vtipafriend +p17051 +tp17052 +a(g822 +V\u000a\u000a +p17053 +tp17054 +a(g32 +V20 +p17055 +tp17056 +a(g822 +g978 +tp17057 +a(g408 +g982 +tp17058 +a(g822 +g978 +tp17059 +a(g624 +VCASE +p17060 +tp17061 +a(g822 +V\u000a +p17062 +tp17063 +a(g32 +V20 +p17064 +tp17065 +a(g701 +g998 +tp17066 +a(g822 +Vkey +p17067 +tp17068 +a(g701 +g998 +tp17069 +a(g822 +Vfield +p17070 +tp17071 +a(g822 +g978 +tp17072 +a(g408 +g982 +tp17073 +a(g822 +g978 +tp17074 +a(g822 +Vlayout +p17075 +tp17076 +a(g822 +V\u000a +p17077 +tp17078 +a(g32 +V20 +p17079 +tp17080 +a(g701 +g998 +tp17081 +a(g32 +g1190 +tp17082 +a(g822 +g978 +tp17083 +a(g408 +V= < +p17084 +tp17085 +a(g677 +Vplugin +p17086 +tp17087 +a(g701 +g998 +tp17088 +a(g822 +Vfeadmin +p17089 +tp17090 +a(g701 +g998 +tp17091 +a(g822 +Vfe_users +p17092 +tp17093 +a(g822 +V\u000a\u000a +p17094 +tp17095 +a(g32 +V21 +p17096 +tp17097 +a(g822 +g978 +tp17098 +a(g408 +g982 +tp17099 +a(g822 +g978 +tp17100 +a(g624 +VCASE +p17101 +tp17102 +a(g822 +V\u000a +p17103 +tp17104 +a(g32 +V21 +p17105 +tp17106 +a(g701 +g998 +tp17107 +a(g822 +Vkey +p17108 +tp17109 +a(g701 +g998 +tp17110 +a(g822 +Vfield +p17111 +tp17112 +a(g822 +g978 +tp17113 +a(g408 +g982 +tp17114 +a(g822 +g978 +tp17115 +a(g822 +Vlayout +p17116 +tp17117 +a(g822 +V\u000a +p17118 +tp17119 +a(g32 +V21 +p17120 +tp17121 +a(g701 +g998 +tp17122 +a(g32 +g1190 +tp17123 +a(g822 +g978 +tp17124 +a(g408 +V= < +p17125 +tp17126 +a(g677 +Vplugin +p17127 +tp17128 +a(g701 +g998 +tp17129 +a(g822 +Vfeadmin +p17130 +tp17131 +a(g701 +g998 +tp17132 +a(g822 +Vdmailsubscription +p17133 +tp17134 +a(g822 +V\u000a +p17135 +tp17136 +a(g112 +g1097 +tp17137 +a(g822 +V\u000a\u000a +p17138 +tp17139 +a(g32 +V20 +p17140 +tp17141 +a(g701 +g998 +tp17142 +a(g569 +VstdWrap +p17143 +tp17144 +a(g822 +g978 +tp17145 +a(g112 +g1015 +tp17146 +a(g822 +V\u000a +p17147 +tp17148 +a(g822 +VeditIcons +p17149 +tp17150 +a(g822 +g978 +tp17151 +a(g408 +V= +p17152 +tp17153 +a(g677 +Vtt_content +p17154 +tp17155 +a(g408 +g976 +tp17156 +a(g822 +g978 +tp17157 +a(g822 +Vlist_type +p17158 +tp17159 +a(g701 +g2004 +tp17160 +a(g822 +g978 +tp17161 +a(g822 +Vlayout +p17162 +tp17163 +a(g701 +g2004 +tp17164 +a(g822 +g978 +tp17165 +a(g822 +Vselect_key +p17166 +tp17167 +a(g701 +g2004 +tp17168 +a(g822 +g978 +tp17169 +a(g822 +Vpages +p17170 +tp17171 +a(g822 +g978 +tp17172 +a(g112 +g3592 +tp17173 +a(g822 +Vrecursive +p17174 +tp17175 +a(g112 +g3601 +tp17176 +a(g822 +V\u000a +p17177 +tp17178 +a(g822 +VeditIcons +p17179 +tp17180 +a(g701 +g998 +tp17181 +a(g822 +ViconTitle +p17182 +tp17183 +a(g701 +g998 +tp17184 +a(g822 +Vdata +p17185 +tp17186 +a(g822 +g978 +tp17187 +a(g408 +g982 +tp17188 +a(g822 +g978 +tp17189 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.plugin +p17190 +tp17191 +a(g822 +V\u000a\u000a +p17192 +tp17193 +a(g822 +VprefixComment +p17194 +tp17195 +a(g822 +g978 +tp17196 +a(g408 +g982 +tp17197 +a(g822 +g978 +tp17198 +a(g32 +g1847 +tp17199 +a(g822 +g978 +tp17200 +a(g408 +g1370 +tp17201 +a(g822 +g978 +tp17202 +a(g822 +VPlugin +p17203 +tp17204 +a(g822 +g978 +tp17205 +a(g822 +Vinserted +p17206 +tp17207 +a(g408 +g976 +tp17208 +a(g822 +V\u000a +p17209 +tp17210 +a(g112 +g1097 +tp17211 +a(g822 +V\u000a +p17212 +tp17213 +a(g112 +g1097 +tp17214 +a(g822 +V\u000a\u000a\u000a +p17215 +tp17216 +a(g7 +V# **************** +p17217 +tp17218 +a(g822 +V\u000a +p17219 +tp17220 +a(g7 +V# CType: script +p17221 +tp17222 +a(g822 +V\u000a +p17223 +tp17224 +a(g7 +V# **************** +p17225 +tp17226 +a(g822 +V\u000a +p17227 +tp17228 +a(g7 +V# OBSOLETE! Please make extensions instead. The "script" content element was meant for these custom purposes in the past. Today extensions will do the job better. +p17229 +tp17230 +a(g822 +V\u000a +p17231 +tp17232 +a(g677 +Vtt_content +p17233 +tp17234 +a(g701 +g998 +tp17235 +a(g822 +Vscript +p17236 +tp17237 +a(g822 +g978 +tp17238 +a(g408 +g982 +tp17239 +a(g822 +g978 +tp17240 +a(g624 +VTEXT +p17241 +tp17242 +a(g822 +V\u000a +p17243 +tp17244 +a(g677 +Vtt_content +p17245 +tp17246 +a(g701 +g998 +tp17247 +a(g822 +Vscript +p17248 +tp17249 +a(g822 +g978 +tp17250 +a(g112 +g1015 +tp17251 +a(g822 +V\u000a +p17252 +tp17253 +a(g822 +Vvalue +p17254 +tp17255 +a(g822 +g978 +tp17256 +a(g408 +g982 +tp17257 +a(g822 +V\u000a\u000a +p17258 +tp17259 +a(g822 +VprefixComment +p17260 +tp17261 +a(g822 +g978 +tp17262 +a(g408 +g982 +tp17263 +a(g822 +g978 +tp17264 +a(g32 +g1847 +tp17265 +a(g822 +g978 +tp17266 +a(g408 +g1370 +tp17267 +a(g822 +g978 +tp17268 +a(g822 +VScript +p17269 +tp17270 +a(g822 +g978 +tp17271 +a(g822 +Velement +p17272 +tp17273 +a(g822 +g978 +tp17274 +a(g112 +g14505 +tp17275 +a(g822 +VDeprecated +p17276 +tp17277 +a(g112 +g14509 +tp17278 +a(g822 +V\u000a +p17279 +tp17280 +a(g112 +g1097 +tp17281 +a(g822 +V\u000a\u000a\u000a +p17282 +tp17283 +a(g7 +V# **************** +p17284 +tp17285 +a(g822 +V\u000a +p17286 +tp17287 +a(g7 +V# CType: div +p17288 +tp17289 +a(g822 +V\u000a +p17290 +tp17291 +a(g7 +V# **************** +p17292 +tp17293 +a(g822 +V\u000a +p17294 +tp17295 +a(g677 +Vtt_content +p17296 +tp17297 +a(g701 +g998 +tp17298 +a(g822 +Vdiv +p17299 +tp17300 +a(g822 +g978 +tp17301 +a(g408 +g982 +tp17302 +a(g822 +g978 +tp17303 +a(g624 +VTEXT +p17304 +tp17305 +a(g822 +V\u000a +p17306 +tp17307 +a(g677 +Vtt_content +p17308 +tp17309 +a(g701 +g998 +tp17310 +a(g822 +Vdiv +p17311 +tp17312 +a(g822 +g978 +tp17313 +a(g112 +g1015 +tp17314 +a(g822 +V\u000a +p17315 +tp17316 +a(g822 +Vvalue +p17317 +tp17318 +a(g822 +g978 +tp17319 +a(g408 +g982 +tp17320 +a(g822 +g978 +tp17321 +a(g84 +g972 +tp17322 +a(g84 +Vhr +p17323 +tp17324 +a(g822 +g978 +tp17325 +a(g84 +g5243 +tp17326 +a(g84 +g992 +tp17327 +a(g822 +V\u000a +p17328 +tp17329 +a(g822 +Vwrap +p17330 +tp17331 +a(g822 +g978 +tp17332 +a(g408 +g982 +tp17333 +a(g822 +g978 +tp17334 +a(g84 +g972 +tp17335 +a(g84 +Vdiv +p17336 +tp17337 +a(g822 +g978 +tp17338 +a(g84 +Vclass +p17339 +tp17340 +a(g84 +g982 +tp17341 +a(g84 +V"divider" +p17342 +tp17343 +a(g84 +g992 +tp17344 +a(g408 +g1370 +tp17345 +a(g84 +g972 +tp17346 +a(g84 +V/div +p17347 +tp17348 +a(g84 +g992 +tp17349 +a(g822 +V\u000a +p17350 +tp17351 +a(g822 +VprefixComment +p17352 +tp17353 +a(g822 +g978 +tp17354 +a(g408 +g982 +tp17355 +a(g822 +g978 +tp17356 +a(g32 +g1847 +tp17357 +a(g822 +g978 +tp17358 +a(g408 +g1370 +tp17359 +a(g822 +g978 +tp17360 +a(g822 +VDiv +p17361 +tp17362 +a(g822 +g978 +tp17363 +a(g822 +Velement +p17364 +tp17365 +a(g822 +V\u000a +p17366 +tp17367 +a(g112 +g1097 +tp17368 +a(g822 +V\u000a\u000a\u000a +p17369 +tp17370 +a(g7 +V# **************** +p17371 +tp17372 +a(g822 +V\u000a +p17373 +tp17374 +a(g7 +V# CType: html +p17375 +tp17376 +a(g822 +V\u000a +p17377 +tp17378 +a(g7 +V# **************** +p17379 +tp17380 +a(g822 +V\u000a +p17381 +tp17382 +a(g7 +V# This truely IS a content object, launched from inside the PHP class of course. +p17383 +tp17384 +a(g822 +V\u000a +p17385 +tp17386 +a(g7 +V# Should be a complete copy from the old static template "content (default)" +p17387 +tp17388 +a(g822 +V\u000a +p17389 +tp17390 +a(g677 +Vtt_content +p17391 +tp17392 +a(g701 +g998 +tp17393 +a(g822 +Vhtml +p17394 +tp17395 +a(g822 +g978 +tp17396 +a(g408 +g982 +tp17397 +a(g822 +g978 +tp17398 +a(g624 +VTEXT +p17399 +tp17400 +a(g822 +V\u000a +p17401 +tp17402 +a(g677 +Vtt_content +p17403 +tp17404 +a(g701 +g998 +tp17405 +a(g822 +Vhtml +p17406 +tp17407 +a(g822 +g978 +tp17408 +a(g112 +g1015 +tp17409 +a(g822 +V\u000a +p17410 +tp17411 +a(g822 +Vfield +p17412 +tp17413 +a(g822 +g978 +tp17414 +a(g408 +g982 +tp17415 +a(g822 +g978 +tp17416 +a(g822 +Vbodytext +p17417 +tp17418 +a(g822 +V\u000a\u000a +p17419 +tp17420 +a(g822 +VeditIcons +p17421 +tp17422 +a(g822 +g978 +tp17423 +a(g408 +V= +p17424 +tp17425 +a(g677 +Vtt_content +p17426 +tp17427 +a(g408 +g976 +tp17428 +a(g822 +g978 +tp17429 +a(g822 +Vpages +p17430 +tp17431 +a(g822 +V\u000a +p17432 +tp17433 +a(g822 +VeditIcons +p17434 +tp17435 +a(g701 +g998 +tp17436 +a(g822 +ViconTitle +p17437 +tp17438 +a(g701 +g998 +tp17439 +a(g822 +Vdata +p17440 +tp17441 +a(g822 +g978 +tp17442 +a(g408 +g982 +tp17443 +a(g822 +g978 +tp17444 +a(g84 +VLLL:EXT:css_styled_content/pi1/locallang.xml:eIcon.html +p17445 +tp17446 +a(g822 +V\u000a\u000a +p17447 +tp17448 +a(g822 +VprefixComment +p17449 +tp17450 +a(g822 +g978 +tp17451 +a(g408 +g982 +tp17452 +a(g822 +g978 +tp17453 +a(g32 +g1847 +tp17454 +a(g822 +g978 +tp17455 +a(g408 +g1370 +tp17456 +a(g822 +g978 +tp17457 +a(g822 +VRaw +p17458 +tp17459 +a(g822 +g978 +tp17460 +a(g624 +VHTML +p17461 +tp17462 +a(g822 +g978 +tp17463 +a(g822 +Vcontent +p17464 +tp17465 +a(g408 +g976 +tp17466 +a(g822 +V\u000a +p17467 +tp17468 +a(g112 +g1097 +tp17469 +a(g822 +V\u000a\u000a\u000a +p17470 +tp17471 +a(g7 +V# **************** +p17472 +tp17473 +a(g822 +V\u000a +p17474 +tp17475 +a(g7 +V# Default error msg: +p17476 +tp17477 +a(g822 +V\u000a +p17478 +tp17479 +a(g7 +V# **************** +p17480 +tp17481 +a(g822 +V\u000a +p17482 +tp17483 +a(g677 +Vtt_content +p17484 +tp17485 +a(g701 +g998 +tp17486 +a(g822 +Vdefault +p17487 +tp17488 +a(g822 +g978 +tp17489 +a(g408 +g982 +tp17490 +a(g822 +g978 +tp17491 +a(g624 +VTEXT +p17492 +tp17493 +a(g822 +V\u000a +p17494 +tp17495 +a(g677 +Vtt_content +p17496 +tp17497 +a(g701 +g998 +tp17498 +a(g822 +Vdefault +p17499 +tp17500 +a(g822 +g978 +tp17501 +a(g112 +g1015 +tp17502 +a(g822 +V\u000a +p17503 +tp17504 +a(g822 +Vfield +p17505 +tp17506 +a(g822 +g978 +tp17507 +a(g408 +g982 +tp17508 +a(g822 +g978 +tp17509 +a(g822 +VCType +p17510 +tp17511 +a(g822 +V\u000a +p17512 +tp17513 +a(g822 +Vwrap +p17514 +tp17515 +a(g822 +g978 +tp17516 +a(g408 +g982 +tp17517 +a(g822 +g978 +tp17518 +a(g84 +g972 +tp17519 +a(g84 +g2758 +tp17520 +a(g822 +g978 +tp17521 +a(g84 +Vstyle +p17522 +tp17523 +a(g84 +g982 +tp17524 +a(g84 +V"background-color +p17525 +tp17526 +a(g84 +g976 +tp17527 +a(g822 +g978 +tp17528 +a(g84 +Vyellow;" +p17529 +tp17530 +a(g84 +g992 +tp17531 +a(g84 +g972 +tp17532 +a(g84 +g2037 +tp17533 +a(g84 +g992 +tp17534 +a(g822 +VERROR +p17535 +tp17536 +a(g408 +g976 +tp17537 +a(g84 +g972 +tp17538 +a(g84 +V/b +p17539 +tp17540 +a(g84 +g992 +tp17541 +a(g822 +g978 +tp17542 +a(g822 +VContent +p17543 +tp17544 +a(g822 +g978 +tp17545 +a(g822 +VElement +p17546 +tp17547 +a(g822 +g978 +tp17548 +a(g822 +Vtype +p17549 +tp17550 +a(g822 +g978 +tp17551 +a(g822 +g990 +tp17552 +a(g408 +g1370 +tp17553 +a(g822 +g990 +tp17554 +a(g822 +g978 +tp17555 +a(g822 +Vhas +p17556 +tp17557 +a(g822 +g978 +tp17558 +a(g822 +Vno +p17559 +tp17560 +a(g822 +g978 +tp17561 +a(g822 +Vrendering +p17562 +tp17563 +a(g822 +g978 +tp17564 +a(g822 +Vdefinition! +p17565 +tp17566 +a(g84 +g972 +tp17567 +a(g84 +V/p +p17568 +tp17569 +a(g84 +g992 +tp17570 +a(g822 +V\u000a\u000a +p17571 +tp17572 +a(g822 +VprefixComment +p17573 +tp17574 +a(g822 +g978 +tp17575 +a(g408 +g982 +tp17576 +a(g822 +g978 +tp17577 +a(g32 +g1847 +tp17578 +a(g822 +g978 +tp17579 +a(g408 +g1370 +tp17580 +a(g822 +g978 +tp17581 +a(g822 +VUnknown +p17582 +tp17583 +a(g822 +g978 +tp17584 +a(g822 +Velement +p17585 +tp17586 +a(g822 +g978 +tp17587 +a(g822 +Vmessage +p17588 +tp17589 +a(g408 +g976 +tp17590 +a(g822 +V\u000a +p17591 +tp17592 +a(g112 +g1097 +tp17593 +a(g822 +V\u000a\u000a +p17594 +tp17595 +a(g7 +V# ********************************************************************* +p17596 +tp17597 +a(g822 +V\u000a +p17598 +tp17599 +a(g7 +V# ACCESSIBILTY MODE +p17600 +tp17601 +a(g822 +V\u000a +p17602 +tp17603 +a(g7 +V# ********************************************************************* +p17604 +tp17605 +a(g822 +V\u000a\u000a\u000a\u000a\u000a\u000a\u000a\u000a +p17606 +tp17607 +a(g677 +Vplugin +p17608 +tp17609 +a(g701 +g998 +tp17610 +a(g822 +Vtx_cssstyledcontent +p17611 +tp17612 +a(g701 +g998 +tp17613 +a(g624 +V_CSS_DEFAULT_STYLE +p17614 +tp17615 +a(g822 +g978 +tp17616 +a(g112 +g14505 +tp17617 +a(g822 +V\u000a +p17618 +tp17619 +a(g7 +V/* Captions */ +p17620 +tp17621 +a(g822 +V\u000a +p17622 +tp17623 +a(g84 +VDIV +p17624 +tp17625 +a(g84 +g998 +tp17626 +a(g84 +Vcsc-textpic-caption-c +p17627 +tp17628 +a(g822 +g978 +tp17629 +a(g84 +g998 +tp17630 +a(g84 +Vcsc-textpic-caption +p17631 +tp17632 +a(g822 +g978 +tp17633 +a(g84 +g1015 +tp17634 +a(g822 +g978 +tp17635 +a(g84 +Vtext-align +p17636 +tp17637 +a(g84 +g976 +tp17638 +a(g822 +g978 +tp17639 +a(g84 +Vcenter; +p17640 +tp17641 +a(g822 +g978 +tp17642 +a(g84 +g1097 +tp17643 +a(g822 +V\u000a +p17644 +tp17645 +a(g84 +VDIV +p17646 +tp17647 +a(g84 +g998 +tp17648 +a(g84 +Vcsc-textpic-caption-r +p17649 +tp17650 +a(g822 +g978 +tp17651 +a(g84 +g998 +tp17652 +a(g84 +Vcsc-textpic-caption +p17653 +tp17654 +a(g822 +g978 +tp17655 +a(g84 +g1015 +tp17656 +a(g822 +g978 +tp17657 +a(g84 +Vtext-align +p17658 +tp17659 +a(g84 +g976 +tp17660 +a(g822 +g978 +tp17661 +a(g84 +Vright; +p17662 +tp17663 +a(g822 +g978 +tp17664 +a(g84 +g1097 +tp17665 +a(g822 +V\u000a +p17666 +tp17667 +a(g84 +VDIV +p17668 +tp17669 +a(g84 +g998 +tp17670 +a(g84 +Vcsc-textpic-caption-l +p17671 +tp17672 +a(g822 +g978 +tp17673 +a(g84 +g998 +tp17674 +a(g84 +Vcsc-textpic-caption +p17675 +tp17676 +a(g822 +g978 +tp17677 +a(g84 +g1015 +tp17678 +a(g822 +g978 +tp17679 +a(g84 +Vtext-align +p17680 +tp17681 +a(g84 +g976 +tp17682 +a(g822 +g978 +tp17683 +a(g84 +Vleft; +p17684 +tp17685 +a(g822 +g978 +tp17686 +a(g84 +g1097 +tp17687 +a(g822 +V\u000a\u000a +p17688 +tp17689 +a(g7 +V/* Needed for noRows setting */ +p17690 +tp17691 +a(g822 +V\u000a +p17692 +tp17693 +a(g84 +VDIV +p17694 +tp17695 +a(g84 +g998 +tp17696 +a(g84 +Vcsc-textpic +p17697 +tp17698 +a(g822 +g978 +tp17699 +a(g84 +VDIV +p17700 +tp17701 +a(g84 +g998 +tp17702 +a(g84 +Vcsc-textpic-imagecolumn +p17703 +tp17704 +a(g822 +g978 +tp17705 +a(g84 +g1015 +tp17706 +a(g822 +g978 +tp17707 +a(g84 +Vfloat +p17708 +tp17709 +a(g84 +g976 +tp17710 +a(g822 +g978 +tp17711 +a(g84 +Vleft; +p17712 +tp17713 +a(g822 +g978 +tp17714 +a(g84 +Vdisplay +p17715 +tp17716 +a(g84 +g976 +tp17717 +a(g822 +g978 +tp17718 +a(g84 +Vinline; +p17719 +tp17720 +a(g822 +g978 +tp17721 +a(g84 +g1097 +tp17722 +a(g822 +V\u000a\u000a +p17723 +tp17724 +a(g7 +V/* Border just around the image */ +p17725 +tp17726 +a(g822 +V\u000a +p17727 +tp17728 +a(g112 +g1015 +tp17729 +a(g408 +g1391 +tp17730 +a(g561 +Vstyles.content.imgtext. +p17731 +tp17732 +a(g561 +VborderSelector +p17733 +tp17734 +a(g112 +g1097 +tp17735 +a(g822 +g978 +tp17736 +a(g84 +g1015 +tp17737 +a(g822 +V\u000a +p17738 +tp17739 +a(g84 +Vborder +p17740 +tp17741 +a(g84 +g976 +tp17742 +a(g822 +g978 +tp17743 +a(g112 +g1015 +tp17744 +a(g408 +g1391 +tp17745 +a(g561 +Vstyles.content.imgtext. +p17746 +tp17747 +a(g561 +VborderThick +p17748 +tp17749 +a(g112 +g1097 +tp17750 +a(g84 +Vpx +p17751 +tp17752 +a(g822 +g978 +tp17753 +a(g84 +Vsolid +p17754 +tp17755 +a(g822 +g978 +tp17756 +a(g112 +g1015 +tp17757 +a(g408 +g1391 +tp17758 +a(g561 +Vstyles.content.imgtext. +p17759 +tp17760 +a(g561 +VborderColor +p17761 +tp17762 +a(g112 +g1097 +tp17763 +a(g84 +V; +p17764 +tp17765 +a(g822 +V\u000a +p17766 +tp17767 +a(g84 +Vpadding +p17768 +tp17769 +a(g84 +g976 +tp17770 +a(g822 +g978 +tp17771 +a(g112 +g1015 +tp17772 +a(g408 +g1391 +tp17773 +a(g561 +Vstyles.content.imgtext. +p17774 +tp17775 +a(g561 +VborderSpace +p17776 +tp17777 +a(g112 +g1097 +tp17778 +a(g84 +Vpx +p17779 +tp17780 +a(g822 +g978 +tp17781 +a(g112 +g1015 +tp17782 +a(g408 +g1391 +tp17783 +a(g561 +Vstyles.content.imgtext. +p17784 +tp17785 +a(g561 +VborderSpace +p17786 +tp17787 +a(g112 +g1097 +tp17788 +a(g84 +Vpx; +p17789 +tp17790 +a(g822 +V\u000a +p17791 +tp17792 +a(g84 +g1097 +tp17793 +a(g822 +V\u000a\u000a +p17794 +tp17795 +a(g84 +VDIV +p17796 +tp17797 +a(g84 +g998 +tp17798 +a(g84 +Vcsc-textpic-imagewrap +p17799 +tp17800 +a(g822 +g978 +tp17801 +a(g84 +g1015 +tp17802 +a(g822 +g978 +tp17803 +a(g84 +Vpadding +p17804 +tp17805 +a(g84 +g976 +tp17806 +a(g822 +g978 +tp17807 +a(g84 +V0; +p17808 +tp17809 +a(g822 +g978 +tp17810 +a(g84 +g1097 +tp17811 +a(g822 +V\u000a\u000a +p17812 +tp17813 +a(g84 +VDIV +p17814 +tp17815 +a(g84 +g998 +tp17816 +a(g84 +Vcsc-textpic +p17817 +tp17818 +a(g822 +g978 +tp17819 +a(g84 +VIMG +p17820 +tp17821 +a(g822 +g978 +tp17822 +a(g84 +g1015 +tp17823 +a(g822 +g978 +tp17824 +a(g84 +Vborder +p17825 +tp17826 +a(g84 +g976 +tp17827 +a(g822 +g978 +tp17828 +a(g84 +Vnone; +p17829 +tp17830 +a(g822 +g978 +tp17831 +a(g84 +g1097 +tp17832 +a(g822 +V\u000a\u000a +p17833 +tp17834 +a(g7 +V/* DIV: This will place the images side by side */ +p17835 +tp17836 +a(g822 +V\u000a +p17837 +tp17838 +a(g84 +VDIV +p17839 +tp17840 +a(g84 +g998 +tp17841 +a(g84 +Vcsc-textpic +p17842 +tp17843 +a(g822 +g978 +tp17844 +a(g84 +VDIV +p17845 +tp17846 +a(g84 +g998 +tp17847 +a(g84 +Vcsc-textpic-imagewrap +p17848 +tp17849 +a(g822 +g978 +tp17850 +a(g84 +VDIV +p17851 +tp17852 +a(g84 +g998 +tp17853 +a(g84 +Vcsc-textpic-image +p17854 +tp17855 +a(g822 +g978 +tp17856 +a(g84 +g1015 +tp17857 +a(g822 +g978 +tp17858 +a(g84 +Vfloat +p17859 +tp17860 +a(g84 +g976 +tp17861 +a(g822 +g978 +tp17862 +a(g84 +Vleft; +p17863 +tp17864 +a(g822 +g978 +tp17865 +a(g84 +g1097 +tp17866 +a(g822 +V\u000a\u000a +p17867 +tp17868 +a(g7 +V/* UL: This will place the images side by side */ +p17869 +tp17870 +a(g822 +V\u000a +p17871 +tp17872 +a(g84 +VDIV +p17873 +tp17874 +a(g84 +g998 +tp17875 +a(g84 +Vcsc-textpic +p17876 +tp17877 +a(g822 +g978 +tp17878 +a(g84 +VDIV +p17879 +tp17880 +a(g84 +g998 +tp17881 +a(g84 +Vcsc-textpic-imagewrap +p17882 +tp17883 +a(g822 +g978 +tp17884 +a(g84 +VUL +p17885 +tp17886 +a(g822 +g978 +tp17887 +a(g84 +g1015 +tp17888 +a(g822 +g978 +tp17889 +a(g84 +Vlist-style +p17890 +tp17891 +a(g84 +g976 +tp17892 +a(g822 +g978 +tp17893 +a(g84 +Vnone; +p17894 +tp17895 +a(g822 +g978 +tp17896 +a(g84 +Vmargin +p17897 +tp17898 +a(g84 +g976 +tp17899 +a(g822 +g978 +tp17900 +a(g84 +V0; +p17901 +tp17902 +a(g822 +g978 +tp17903 +a(g84 +Vpadding +p17904 +tp17905 +a(g84 +g976 +tp17906 +a(g822 +g978 +tp17907 +a(g84 +V0; +p17908 +tp17909 +a(g822 +g978 +tp17910 +a(g84 +g1097 +tp17911 +a(g822 +V\u000a +p17912 +tp17913 +a(g84 +VDIV +p17914 +tp17915 +a(g84 +g998 +tp17916 +a(g84 +Vcsc-textpic +p17917 +tp17918 +a(g822 +g978 +tp17919 +a(g84 +VDIV +p17920 +tp17921 +a(g84 +g998 +tp17922 +a(g84 +Vcsc-textpic-imagewrap +p17923 +tp17924 +a(g822 +g978 +tp17925 +a(g84 +VUL +p17926 +tp17927 +a(g822 +g978 +tp17928 +a(g84 +VLI +p17929 +tp17930 +a(g822 +g978 +tp17931 +a(g84 +g1015 +tp17932 +a(g822 +g978 +tp17933 +a(g84 +Vfloat +p17934 +tp17935 +a(g84 +g976 +tp17936 +a(g822 +g978 +tp17937 +a(g84 +Vleft; +p17938 +tp17939 +a(g822 +g978 +tp17940 +a(g84 +Vmargin +p17941 +tp17942 +a(g84 +g976 +tp17943 +a(g822 +g978 +tp17944 +a(g84 +V0; +p17945 +tp17946 +a(g822 +g978 +tp17947 +a(g84 +Vpadding +p17948 +tp17949 +a(g84 +g976 +tp17950 +a(g822 +g978 +tp17951 +a(g84 +V0; +p17952 +tp17953 +a(g822 +g978 +tp17954 +a(g84 +g1097 +tp17955 +a(g822 +V\u000a\u000a +p17956 +tp17957 +a(g7 +V/* DL: This will place the images side by side */ +p17958 +tp17959 +a(g822 +V\u000a +p17960 +tp17961 +a(g84 +VDIV +p17962 +tp17963 +a(g84 +g998 +tp17964 +a(g84 +Vcsc-textpic +p17965 +tp17966 +a(g822 +g978 +tp17967 +a(g84 +VDIV +p17968 +tp17969 +a(g84 +g998 +tp17970 +a(g84 +Vcsc-textpic-imagewrap +p17971 +tp17972 +a(g822 +g978 +tp17973 +a(g84 +VDL +p17974 +tp17975 +a(g84 +g998 +tp17976 +a(g84 +Vcsc-textpic-image +p17977 +tp17978 +a(g822 +g978 +tp17979 +a(g84 +g1015 +tp17980 +a(g822 +g978 +tp17981 +a(g84 +Vfloat +p17982 +tp17983 +a(g84 +g976 +tp17984 +a(g822 +g978 +tp17985 +a(g84 +Vleft; +p17986 +tp17987 +a(g822 +g978 +tp17988 +a(g84 +g1097 +tp17989 +a(g822 +V\u000a +p17990 +tp17991 +a(g84 +VDIV +p17992 +tp17993 +a(g84 +g998 +tp17994 +a(g84 +Vcsc-textpic +p17995 +tp17996 +a(g822 +g978 +tp17997 +a(g84 +VDIV +p17998 +tp17999 +a(g84 +g998 +tp18000 +a(g84 +Vcsc-textpic-imagewrap +p18001 +tp18002 +a(g822 +g978 +tp18003 +a(g84 +VDL +p18004 +tp18005 +a(g84 +g998 +tp18006 +a(g84 +Vcsc-textpic-image +p18007 +tp18008 +a(g822 +g978 +tp18009 +a(g84 +VDT +p18010 +tp18011 +a(g822 +g978 +tp18012 +a(g84 +g1015 +tp18013 +a(g822 +g978 +tp18014 +a(g84 +Vfloat +p18015 +tp18016 +a(g84 +g976 +tp18017 +a(g822 +g978 +tp18018 +a(g84 +Vnone; +p18019 +tp18020 +a(g822 +g978 +tp18021 +a(g84 +g1097 +tp18022 +a(g822 +V\u000a +p18023 +tp18024 +a(g84 +VDIV +p18025 +tp18026 +a(g84 +g998 +tp18027 +a(g84 +Vcsc-textpic +p18028 +tp18029 +a(g822 +g978 +tp18030 +a(g84 +VDIV +p18031 +tp18032 +a(g84 +g998 +tp18033 +a(g84 +Vcsc-textpic-imagewrap +p18034 +tp18035 +a(g822 +g978 +tp18036 +a(g84 +VDL +p18037 +tp18038 +a(g84 +g998 +tp18039 +a(g84 +Vcsc-textpic-image +p18040 +tp18041 +a(g822 +g978 +tp18042 +a(g84 +VDD +p18043 +tp18044 +a(g822 +g978 +tp18045 +a(g84 +g1015 +tp18046 +a(g822 +g978 +tp18047 +a(g84 +Vfloat +p18048 +tp18049 +a(g84 +g976 +tp18050 +a(g822 +g978 +tp18051 +a(g84 +Vnone; +p18052 +tp18053 +a(g822 +g978 +tp18054 +a(g84 +g1097 +tp18055 +a(g822 +V\u000a +p18056 +tp18057 +a(g84 +VDIV +p18058 +tp18059 +a(g84 +g998 +tp18060 +a(g84 +Vcsc-textpic +p18061 +tp18062 +a(g822 +g978 +tp18063 +a(g84 +VDIV +p18064 +tp18065 +a(g84 +g998 +tp18066 +a(g84 +Vcsc-textpic-imagewrap +p18067 +tp18068 +a(g822 +g978 +tp18069 +a(g84 +VDL +p18070 +tp18071 +a(g84 +g998 +tp18072 +a(g84 +Vcsc-textpic-image +p18073 +tp18074 +a(g822 +g978 +tp18075 +a(g84 +VDD +p18076 +tp18077 +a(g822 +g978 +tp18078 +a(g84 +VIMG +p18079 +tp18080 +a(g822 +g978 +tp18081 +a(g84 +g1015 +tp18082 +a(g822 +g978 +tp18083 +a(g84 +Vborder +p18084 +tp18085 +a(g84 +g976 +tp18086 +a(g822 +g978 +tp18087 +a(g84 +Vnone; +p18088 +tp18089 +a(g822 +g978 +tp18090 +a(g84 +g1097 +tp18091 +a(g822 +g978 +tp18092 +a(g7 +V/* FE-Editing Icons */ +p18093 +tp18094 +a(g822 +V\u000a +p18095 +tp18096 +a(g84 +VDL +p18097 +tp18098 +a(g84 +g998 +tp18099 +a(g84 +Vcsc-textpic-image +p18100 +tp18101 +a(g822 +g978 +tp18102 +a(g84 +g1015 +tp18103 +a(g822 +g978 +tp18104 +a(g84 +Vmargin +p18105 +tp18106 +a(g84 +g976 +tp18107 +a(g822 +g978 +tp18108 +a(g84 +V0; +p18109 +tp18110 +a(g822 +g978 +tp18111 +a(g84 +g1097 +tp18112 +a(g822 +V\u000a +p18113 +tp18114 +a(g84 +VDL +p18115 +tp18116 +a(g84 +g998 +tp18117 +a(g84 +Vcsc-textpic-image +p18118 +tp18119 +a(g822 +g978 +tp18120 +a(g84 +VDT +p18121 +tp18122 +a(g822 +g978 +tp18123 +a(g84 +g1015 +tp18124 +a(g822 +g978 +tp18125 +a(g84 +Vmargin +p18126 +tp18127 +a(g84 +g976 +tp18128 +a(g822 +g978 +tp18129 +a(g84 +V0; +p18130 +tp18131 +a(g822 +g978 +tp18132 +a(g84 +Vdisplay +p18133 +tp18134 +a(g84 +g976 +tp18135 +a(g822 +g978 +tp18136 +a(g84 +Vinline; +p18137 +tp18138 +a(g822 +g978 +tp18139 +a(g84 +g1097 +tp18140 +a(g822 +V\u000a +p18141 +tp18142 +a(g84 +VDL +p18143 +tp18144 +a(g84 +g998 +tp18145 +a(g84 +Vcsc-textpic-image +p18146 +tp18147 +a(g822 +g978 +tp18148 +a(g84 +VDD +p18149 +tp18150 +a(g822 +g978 +tp18151 +a(g84 +g1015 +tp18152 +a(g822 +g978 +tp18153 +a(g84 +Vmargin +p18154 +tp18155 +a(g84 +g976 +tp18156 +a(g822 +g978 +tp18157 +a(g84 +V0; +p18158 +tp18159 +a(g822 +g978 +tp18160 +a(g84 +g1097 +tp18161 +a(g822 +V\u000a\u000a +p18162 +tp18163 +a(g7 +V/* Clearer */ +p18164 +tp18165 +a(g822 +V\u000a +p18166 +tp18167 +a(g84 +VDIV +p18168 +tp18169 +a(g84 +g998 +tp18170 +a(g84 +Vcsc-textpic-clear +p18171 +tp18172 +a(g822 +g978 +tp18173 +a(g84 +g1015 +tp18174 +a(g822 +g978 +tp18175 +a(g84 +Vclear +p18176 +tp18177 +a(g84 +g976 +tp18178 +a(g822 +g978 +tp18179 +a(g84 +Vboth; +p18180 +tp18181 +a(g822 +g978 +tp18182 +a(g84 +g1097 +tp18183 +a(g822 +V\u000a\u000a +p18184 +tp18185 +a(g7 +V/* Margins around images: */ +p18186 +tp18187 +a(g822 +V\u000a\u000a +p18188 +tp18189 +a(g7 +V/* Pictures on left, add margin on right */ +p18190 +tp18191 +a(g822 +V\u000a +p18192 +tp18193 +a(g84 +VDIV +p18194 +tp18195 +a(g84 +g998 +tp18196 +a(g84 +Vcsc-textpic-left +p18197 +tp18198 +a(g822 +g978 +tp18199 +a(g84 +VDIV +p18200 +tp18201 +a(g84 +g998 +tp18202 +a(g84 +Vcsc-textpic-imagewrap +p18203 +tp18204 +a(g822 +g978 +tp18205 +a(g84 +g998 +tp18206 +a(g84 +Vcsc-textpic-image +p18207 +tp18208 +a(g84 +g2004 +tp18209 +a(g822 +V\u000a +p18210 +tp18211 +a(g84 +VDIV +p18212 +tp18213 +a(g84 +g998 +tp18214 +a(g84 +Vcsc-textpic-intext-left-nowrap +p18215 +tp18216 +a(g822 +g978 +tp18217 +a(g84 +VDIV +p18218 +tp18219 +a(g84 +g998 +tp18220 +a(g84 +Vcsc-textpic-imagewrap +p18221 +tp18222 +a(g822 +g978 +tp18223 +a(g84 +g998 +tp18224 +a(g84 +Vcsc-textpic-image +p18225 +tp18226 +a(g84 +g2004 +tp18227 +a(g822 +V\u000a +p18228 +tp18229 +a(g84 +VDIV +p18230 +tp18231 +a(g84 +g998 +tp18232 +a(g84 +Vcsc-textpic-intext-left +p18233 +tp18234 +a(g822 +g978 +tp18235 +a(g84 +VDIV +p18236 +tp18237 +a(g84 +g998 +tp18238 +a(g84 +Vcsc-textpic-imagewrap +p18239 +tp18240 +a(g822 +g978 +tp18241 +a(g84 +g998 +tp18242 +a(g84 +Vcsc-textpic-image +p18243 +tp18244 +a(g822 +g978 +tp18245 +a(g84 +g1015 +tp18246 +a(g822 +V\u000a +p18247 +tp18248 +a(g84 +Vdisplay +p18249 +tp18250 +a(g84 +g976 +tp18251 +a(g822 +g978 +tp18252 +a(g84 +Vinline; +p18253 +tp18254 +a(g822 +g978 +tp18255 +a(g7 +V/* IE fix for double-margin bug */ +p18256 +tp18257 +a(g822 +V\u000a +p18258 +tp18259 +a(g84 +Vmargin-right +p18260 +tp18261 +a(g84 +g976 +tp18262 +a(g822 +g978 +tp18263 +a(g112 +g1015 +tp18264 +a(g408 +g1391 +tp18265 +a(g561 +Vstyles.content.imgtext. +p18266 +tp18267 +a(g561 +VcolSpace +p18268 +tp18269 +a(g112 +g1097 +tp18270 +a(g84 +Vpx; +p18271 +tp18272 +a(g822 +V\u000a +p18273 +tp18274 +a(g84 +g1097 +tp18275 +a(g822 +V\u000a\u000a +p18276 +tp18277 +a(g7 +V/* Pictures on right, add margin on left */ +p18278 +tp18279 +a(g822 +V\u000a +p18280 +tp18281 +a(g84 +VDIV +p18282 +tp18283 +a(g84 +g998 +tp18284 +a(g84 +Vcsc-textpic-right +p18285 +tp18286 +a(g822 +g978 +tp18287 +a(g84 +VDIV +p18288 +tp18289 +a(g84 +g998 +tp18290 +a(g84 +Vcsc-textpic-imagewrap +p18291 +tp18292 +a(g822 +g978 +tp18293 +a(g84 +g998 +tp18294 +a(g84 +Vcsc-textpic-image +p18295 +tp18296 +a(g84 +g2004 +tp18297 +a(g822 +V\u000a +p18298 +tp18299 +a(g84 +VDIV +p18300 +tp18301 +a(g84 +g998 +tp18302 +a(g84 +Vcsc-textpic-intext-right-nowrap +p18303 +tp18304 +a(g822 +g978 +tp18305 +a(g84 +VDIV +p18306 +tp18307 +a(g84 +g998 +tp18308 +a(g84 +Vcsc-textpic-imagewrap +p18309 +tp18310 +a(g822 +g978 +tp18311 +a(g84 +g998 +tp18312 +a(g84 +Vcsc-textpic-image +p18313 +tp18314 +a(g84 +g2004 +tp18315 +a(g822 +V\u000a +p18316 +tp18317 +a(g84 +VDIV +p18318 +tp18319 +a(g84 +g998 +tp18320 +a(g84 +Vcsc-textpic-intext-right +p18321 +tp18322 +a(g822 +g978 +tp18323 +a(g84 +VDIV +p18324 +tp18325 +a(g84 +g998 +tp18326 +a(g84 +Vcsc-textpic-imagewrap +p18327 +tp18328 +a(g822 +g978 +tp18329 +a(g84 +g998 +tp18330 +a(g84 +Vcsc-textpic-image +p18331 +tp18332 +a(g822 +g978 +tp18333 +a(g84 +g1015 +tp18334 +a(g822 +V\u000a +p18335 +tp18336 +a(g84 +Vdisplay +p18337 +tp18338 +a(g84 +g976 +tp18339 +a(g822 +g978 +tp18340 +a(g84 +Vinline; +p18341 +tp18342 +a(g822 +g978 +tp18343 +a(g7 +V/* IE fix for double-margin bug */ +p18344 +tp18345 +a(g822 +V\u000a +p18346 +tp18347 +a(g84 +Vmargin-left +p18348 +tp18349 +a(g84 +g976 +tp18350 +a(g822 +g978 +tp18351 +a(g112 +g1015 +tp18352 +a(g408 +g1391 +tp18353 +a(g561 +Vstyles.content.imgtext. +p18354 +tp18355 +a(g561 +VcolSpace +p18356 +tp18357 +a(g112 +g1097 +tp18358 +a(g84 +Vpx; +p18359 +tp18360 +a(g822 +V\u000a +p18361 +tp18362 +a(g84 +g1097 +tp18363 +a(g822 +V\u000a\u000a +p18364 +tp18365 +a(g7 +V/* Pictures centered, add margin on left */ +p18366 +tp18367 +a(g822 +V\u000a +p18368 +tp18369 +a(g84 +VDIV +p18370 +tp18371 +a(g84 +g998 +tp18372 +a(g84 +Vcsc-textpic-center +p18373 +tp18374 +a(g822 +g978 +tp18375 +a(g84 +VDIV +p18376 +tp18377 +a(g84 +g998 +tp18378 +a(g84 +Vcsc-textpic-imagewrap +p18379 +tp18380 +a(g822 +g978 +tp18381 +a(g84 +g998 +tp18382 +a(g84 +Vcsc-textpic-image +p18383 +tp18384 +a(g822 +g978 +tp18385 +a(g84 +g1015 +tp18386 +a(g822 +V\u000a +p18387 +tp18388 +a(g84 +Vdisplay +p18389 +tp18390 +a(g84 +g976 +tp18391 +a(g822 +g978 +tp18392 +a(g84 +Vinline; +p18393 +tp18394 +a(g822 +g978 +tp18395 +a(g7 +V/* IE fix for double-margin bug */ +p18396 +tp18397 +a(g822 +V\u000a +p18398 +tp18399 +a(g84 +Vmargin-left +p18400 +tp18401 +a(g84 +g976 +tp18402 +a(g822 +g978 +tp18403 +a(g112 +g1015 +tp18404 +a(g408 +g1391 +tp18405 +a(g561 +Vstyles.content.imgtext. +p18406 +tp18407 +a(g561 +VcolSpace +p18408 +tp18409 +a(g112 +g1097 +tp18410 +a(g84 +Vpx; +p18411 +tp18412 +a(g822 +V\u000a +p18413 +tp18414 +a(g84 +g1097 +tp18415 +a(g822 +V\u000a +p18416 +tp18417 +a(g84 +VDIV +p18418 +tp18419 +a(g84 +g998 +tp18420 +a(g84 +Vcsc-textpic +p18421 +tp18422 +a(g822 +g978 +tp18423 +a(g84 +VDIV +p18424 +tp18425 +a(g84 +g998 +tp18426 +a(g84 +Vcsc-textpic-imagewrap +p18427 +tp18428 +a(g822 +g978 +tp18429 +a(g84 +g998 +tp18430 +a(g84 +Vcsc-textpic-image +p18431 +tp18432 +a(g822 +g978 +tp18433 +a(g84 +g998 +tp18434 +a(g84 +Vcsc-textpic-caption +p18435 +tp18436 +a(g822 +g978 +tp18437 +a(g84 +g1015 +tp18438 +a(g822 +g978 +tp18439 +a(g84 +Vmargin +p18440 +tp18441 +a(g84 +g976 +tp18442 +a(g822 +g978 +tp18443 +a(g84 +V0; +p18444 +tp18445 +a(g822 +g978 +tp18446 +a(g84 +g1097 +tp18447 +a(g822 +V\u000a +p18448 +tp18449 +a(g84 +VDIV +p18450 +tp18451 +a(g84 +g998 +tp18452 +a(g84 +Vcsc-textpic +p18453 +tp18454 +a(g822 +g978 +tp18455 +a(g84 +VDIV +p18456 +tp18457 +a(g84 +g998 +tp18458 +a(g84 +Vcsc-textpic-imagewrap +p18459 +tp18460 +a(g822 +g978 +tp18461 +a(g84 +g998 +tp18462 +a(g84 +Vcsc-textpic-image +p18463 +tp18464 +a(g822 +g978 +tp18465 +a(g84 +VIMG +p18466 +tp18467 +a(g822 +g978 +tp18468 +a(g84 +g1015 +tp18469 +a(g822 +g978 +tp18470 +a(g84 +Vmargin +p18471 +tp18472 +a(g84 +g976 +tp18473 +a(g822 +g978 +tp18474 +a(g84 +V0; +p18475 +tp18476 +a(g822 +g978 +tp18477 +a(g84 +Vvertical-align +p18478 +tp18479 +a(g84 +g976 +tp18480 +a(g84 +Vbottom; +p18481 +tp18482 +a(g822 +g978 +tp18483 +a(g84 +g1097 +tp18484 +a(g822 +V\u000a\u000a +p18485 +tp18486 +a(g7 +V/* Space below each image (also in-between rows) */ +p18487 +tp18488 +a(g822 +V\u000a +p18489 +tp18490 +a(g84 +VDIV +p18491 +tp18492 +a(g84 +g998 +tp18493 +a(g84 +Vcsc-textpic +p18494 +tp18495 +a(g822 +g978 +tp18496 +a(g84 +VDIV +p18497 +tp18498 +a(g84 +g998 +tp18499 +a(g84 +Vcsc-textpic-imagewrap +p18500 +tp18501 +a(g822 +g978 +tp18502 +a(g84 +g998 +tp18503 +a(g84 +Vcsc-textpic-image +p18504 +tp18505 +a(g822 +g978 +tp18506 +a(g84 +g1015 +tp18507 +a(g822 +g978 +tp18508 +a(g84 +Vmargin-bottom +p18509 +tp18510 +a(g84 +g976 +tp18511 +a(g822 +g978 +tp18512 +a(g112 +g1015 +tp18513 +a(g408 +g1391 +tp18514 +a(g561 +Vstyles.content.imgtext. +p18515 +tp18516 +a(g561 +VrowSpace +p18517 +tp18518 +a(g112 +g1097 +tp18519 +a(g84 +Vpx; +p18520 +tp18521 +a(g822 +g978 +tp18522 +a(g84 +g1097 +tp18523 +a(g822 +V\u000a +p18524 +tp18525 +a(g84 +VDIV +p18526 +tp18527 +a(g84 +g998 +tp18528 +a(g84 +Vcsc-textpic-equalheight +p18529 +tp18530 +a(g822 +g978 +tp18531 +a(g84 +VDIV +p18532 +tp18533 +a(g84 +g998 +tp18534 +a(g84 +Vcsc-textpic-imagerow +p18535 +tp18536 +a(g822 +g978 +tp18537 +a(g84 +g1015 +tp18538 +a(g822 +g978 +tp18539 +a(g84 +Vmargin-bottom +p18540 +tp18541 +a(g84 +g976 +tp18542 +a(g822 +g978 +tp18543 +a(g112 +g1015 +tp18544 +a(g408 +g1391 +tp18545 +a(g561 +Vstyles.content.imgtext. +p18546 +tp18547 +a(g561 +VrowSpace +p18548 +tp18549 +a(g112 +g1097 +tp18550 +a(g84 +Vpx; +p18551 +tp18552 +a(g822 +g978 +tp18553 +a(g84 +Vdisplay +p18554 +tp18555 +a(g84 +g976 +tp18556 +a(g822 +g978 +tp18557 +a(g84 +Vblock; +p18558 +tp18559 +a(g822 +g978 +tp18560 +a(g84 +g1097 +tp18561 +a(g822 +V\u000a +p18562 +tp18563 +a(g84 +VDIV +p18564 +tp18565 +a(g84 +g998 +tp18566 +a(g84 +Vcsc-textpic +p18567 +tp18568 +a(g822 +g978 +tp18569 +a(g84 +VDIV +p18570 +tp18571 +a(g84 +g998 +tp18572 +a(g84 +Vcsc-textpic-imagerow +p18573 +tp18574 +a(g822 +g978 +tp18575 +a(g84 +g1015 +tp18576 +a(g822 +g978 +tp18577 +a(g84 +Vclear +p18578 +tp18579 +a(g84 +g976 +tp18580 +a(g822 +g978 +tp18581 +a(g84 +Vboth; +p18582 +tp18583 +a(g822 +g978 +tp18584 +a(g84 +g1097 +tp18585 +a(g822 +V\u000a +p18586 +tp18587 +a(g84 +VDIV +p18588 +tp18589 +a(g84 +g998 +tp18590 +a(g84 +Vcsc-textpic +p18591 +tp18592 +a(g822 +g978 +tp18593 +a(g84 +VDIV +p18594 +tp18595 +a(g84 +g998 +tp18596 +a(g84 +Vcsc-textpic-single-image +p18597 +tp18598 +a(g822 +g978 +tp18599 +a(g84 +VIMG +p18600 +tp18601 +a(g822 +g978 +tp18602 +a(g84 +g1015 +tp18603 +a(g822 +g978 +tp18604 +a(g84 +Vmargin-bottom +p18605 +tp18606 +a(g84 +g976 +tp18607 +a(g822 +g978 +tp18608 +a(g112 +g1015 +tp18609 +a(g408 +g1391 +tp18610 +a(g561 +Vstyles.content.imgtext. +p18611 +tp18612 +a(g561 +VrowSpace +p18613 +tp18614 +a(g112 +g1097 +tp18615 +a(g84 +Vpx; +p18616 +tp18617 +a(g822 +g978 +tp18618 +a(g84 +g1097 +tp18619 +a(g822 +V\u000a\u000a +p18620 +tp18621 +a(g7 +V/* IE7 hack for margin between image rows */ +p18622 +tp18623 +a(g822 +V\u000a +p18624 +tp18625 +a(g84 +g2262 +tp18626 +a(g84 +g4043 +tp18627 +a(g84 +Vhtml +p18628 +tp18629 +a(g822 +g978 +tp18630 +a(g84 +VDIV +p18631 +tp18632 +a(g84 +g998 +tp18633 +a(g84 +Vcsc-textpic +p18634 +tp18635 +a(g822 +g978 +tp18636 +a(g84 +VDIV +p18637 +tp18638 +a(g84 +g998 +tp18639 +a(g84 +Vcsc-textpic-imagerow +p18640 +tp18641 +a(g822 +g978 +tp18642 +a(g84 +g998 +tp18643 +a(g84 +Vcsc-textpic-image +p18644 +tp18645 +a(g822 +g978 +tp18646 +a(g84 +g1015 +tp18647 +a(g822 +g978 +tp18648 +a(g84 +Vmargin-bottom +p18649 +tp18650 +a(g84 +g976 +tp18651 +a(g822 +g978 +tp18652 +a(g84 +V0; +p18653 +tp18654 +a(g822 +g978 +tp18655 +a(g84 +g1097 +tp18656 +a(g822 +V\u000a +p18657 +tp18658 +a(g84 +g2262 +tp18659 +a(g84 +g4043 +tp18660 +a(g84 +Vhtml +p18661 +tp18662 +a(g822 +g978 +tp18663 +a(g84 +VDIV +p18664 +tp18665 +a(g84 +g998 +tp18666 +a(g84 +Vcsc-textpic +p18667 +tp18668 +a(g822 +g978 +tp18669 +a(g84 +VDIV +p18670 +tp18671 +a(g84 +g998 +tp18672 +a(g84 +Vcsc-textpic-imagerow +p18673 +tp18674 +a(g822 +g978 +tp18675 +a(g84 +g1015 +tp18676 +a(g822 +g978 +tp18677 +a(g84 +Vmargin-bottom +p18678 +tp18679 +a(g84 +g976 +tp18680 +a(g822 +g978 +tp18681 +a(g112 +g1015 +tp18682 +a(g408 +g1391 +tp18683 +a(g561 +Vstyles.content.imgtext. +p18684 +tp18685 +a(g561 +VrowSpace +p18686 +tp18687 +a(g112 +g1097 +tp18688 +a(g84 +Vpx; +p18689 +tp18690 +a(g822 +g978 +tp18691 +a(g84 +g1097 +tp18692 +a(g822 +V\u000a\u000a +p18693 +tp18694 +a(g7 +V/* No margins around the whole image-block */ +p18695 +tp18696 +a(g822 +V\u000a +p18697 +tp18698 +a(g84 +VDIV +p18699 +tp18700 +a(g84 +g998 +tp18701 +a(g84 +Vcsc-textpic +p18702 +tp18703 +a(g822 +g978 +tp18704 +a(g84 +VDIV +p18705 +tp18706 +a(g84 +g998 +tp18707 +a(g84 +Vcsc-textpic-imagewrap +p18708 +tp18709 +a(g822 +g978 +tp18710 +a(g84 +g998 +tp18711 +a(g84 +Vcsc-textpic-firstcol +p18712 +tp18713 +a(g822 +g978 +tp18714 +a(g84 +g1015 +tp18715 +a(g822 +g978 +tp18716 +a(g84 +Vmargin-left +p18717 +tp18718 +a(g84 +g976 +tp18719 +a(g822 +g978 +tp18720 +a(g84 +V0px +p18721 +tp18722 +a(g822 +g978 +tp18723 +a(g84 +V!important; +p18724 +tp18725 +a(g822 +g978 +tp18726 +a(g84 +g1097 +tp18727 +a(g822 +V\u000a +p18728 +tp18729 +a(g84 +VDIV +p18730 +tp18731 +a(g84 +g998 +tp18732 +a(g84 +Vcsc-textpic +p18733 +tp18734 +a(g822 +g978 +tp18735 +a(g84 +VDIV +p18736 +tp18737 +a(g84 +g998 +tp18738 +a(g84 +Vcsc-textpic-imagewrap +p18739 +tp18740 +a(g822 +g978 +tp18741 +a(g84 +g998 +tp18742 +a(g84 +Vcsc-textpic-lastcol +p18743 +tp18744 +a(g822 +g978 +tp18745 +a(g84 +g1015 +tp18746 +a(g822 +g978 +tp18747 +a(g84 +Vmargin-right +p18748 +tp18749 +a(g84 +g976 +tp18750 +a(g822 +g978 +tp18751 +a(g84 +V0px +p18752 +tp18753 +a(g822 +g978 +tp18754 +a(g84 +V!important; +p18755 +tp18756 +a(g822 +g978 +tp18757 +a(g84 +g1097 +tp18758 +a(g822 +V\u000a\u000a +p18759 +tp18760 +a(g7 +V/* Add margin from image-block to text (in case of "Text w/ images") */ +p18761 +tp18762 +a(g822 +V\u000a +p18763 +tp18764 +a(g84 +VDIV +p18765 +tp18766 +a(g84 +g998 +tp18767 +a(g84 +Vcsc-textpic-intext-left +p18768 +tp18769 +a(g822 +g978 +tp18770 +a(g84 +VDIV +p18771 +tp18772 +a(g84 +g998 +tp18773 +a(g84 +Vcsc-textpic-imagewrap +p18774 +tp18775 +a(g84 +g2004 +tp18776 +a(g822 +V\u000a +p18777 +tp18778 +a(g84 +VDIV +p18779 +tp18780 +a(g84 +g998 +tp18781 +a(g84 +Vcsc-textpic-intext-left-nowrap +p18782 +tp18783 +a(g822 +g978 +tp18784 +a(g84 +VDIV +p18785 +tp18786 +a(g84 +g998 +tp18787 +a(g84 +Vcsc-textpic-imagewrap +p18788 +tp18789 +a(g822 +g978 +tp18790 +a(g84 +g1015 +tp18791 +a(g822 +V\u000a +p18792 +tp18793 +a(g84 +Vmargin-right +p18794 +tp18795 +a(g84 +g976 +tp18796 +a(g822 +g978 +tp18797 +a(g112 +g1015 +tp18798 +a(g408 +g1391 +tp18799 +a(g561 +Vstyles.content.imgtext. +p18800 +tp18801 +a(g561 +VtextMargin +p18802 +tp18803 +a(g112 +g1097 +tp18804 +a(g84 +Vpx +p18805 +tp18806 +a(g822 +g978 +tp18807 +a(g84 +V!important; +p18808 +tp18809 +a(g822 +V\u000a +p18810 +tp18811 +a(g84 +g1097 +tp18812 +a(g822 +V\u000a +p18813 +tp18814 +a(g84 +VDIV +p18815 +tp18816 +a(g84 +g998 +tp18817 +a(g84 +Vcsc-textpic-intext-right +p18818 +tp18819 +a(g822 +g978 +tp18820 +a(g84 +VDIV +p18821 +tp18822 +a(g84 +g998 +tp18823 +a(g84 +Vcsc-textpic-imagewrap +p18824 +tp18825 +a(g84 +g2004 +tp18826 +a(g822 +V\u000a +p18827 +tp18828 +a(g84 +VDIV +p18829 +tp18830 +a(g84 +g998 +tp18831 +a(g84 +Vcsc-textpic-intext-right-nowrap +p18832 +tp18833 +a(g822 +g978 +tp18834 +a(g84 +VDIV +p18835 +tp18836 +a(g84 +g998 +tp18837 +a(g84 +Vcsc-textpic-imagewrap +p18838 +tp18839 +a(g822 +g978 +tp18840 +a(g84 +g1015 +tp18841 +a(g822 +V\u000a +p18842 +tp18843 +a(g84 +Vmargin-left +p18844 +tp18845 +a(g84 +g976 +tp18846 +a(g822 +g978 +tp18847 +a(g112 +g1015 +tp18848 +a(g408 +g1391 +tp18849 +a(g561 +Vstyles.content.imgtext. +p18850 +tp18851 +a(g561 +VtextMargin +p18852 +tp18853 +a(g112 +g1097 +tp18854 +a(g84 +Vpx +p18855 +tp18856 +a(g822 +g978 +tp18857 +a(g84 +V!important; +p18858 +tp18859 +a(g822 +V\u000a +p18860 +tp18861 +a(g84 +g1097 +tp18862 +a(g822 +V\u000a\u000a +p18863 +tp18864 +a(g7 +V/* Positioning of images: */ +p18865 +tp18866 +a(g822 +V\u000a\u000a +p18867 +tp18868 +a(g7 +V/* Above */ +p18869 +tp18870 +a(g822 +V\u000a +p18871 +tp18872 +a(g84 +VDIV +p18873 +tp18874 +a(g84 +g998 +tp18875 +a(g84 +Vcsc-textpic-above +p18876 +tp18877 +a(g822 +g978 +tp18878 +a(g84 +VDIV +p18879 +tp18880 +a(g84 +g998 +tp18881 +a(g84 +Vcsc-textpic-text +p18882 +tp18883 +a(g822 +g978 +tp18884 +a(g84 +g1015 +tp18885 +a(g822 +g978 +tp18886 +a(g84 +Vclear +p18887 +tp18888 +a(g84 +g976 +tp18889 +a(g822 +g978 +tp18890 +a(g84 +Vboth; +p18891 +tp18892 +a(g822 +g978 +tp18893 +a(g84 +g1097 +tp18894 +a(g822 +V\u000a\u000a +p18895 +tp18896 +a(g7 +V/* Center (above or below) */ +p18897 +tp18898 +a(g822 +V\u000a +p18899 +tp18900 +a(g84 +VDIV +p18901 +tp18902 +a(g84 +g998 +tp18903 +a(g84 +Vcsc-textpic-center +p18904 +tp18905 +a(g822 +g978 +tp18906 +a(g84 +g1015 +tp18907 +a(g822 +g978 +tp18908 +a(g84 +Vtext-align +p18909 +tp18910 +a(g84 +g976 +tp18911 +a(g822 +g978 +tp18912 +a(g84 +Vcenter; +p18913 +tp18914 +a(g822 +g978 +tp18915 +a(g7 +V/* IE-hack */ +p18916 +tp18917 +a(g822 +g978 +tp18918 +a(g84 +g1097 +tp18919 +a(g822 +V\u000a +p18920 +tp18921 +a(g84 +VDIV +p18922 +tp18923 +a(g84 +g998 +tp18924 +a(g84 +Vcsc-textpic-center +p18925 +tp18926 +a(g822 +g978 +tp18927 +a(g84 +VDIV +p18928 +tp18929 +a(g84 +g998 +tp18930 +a(g84 +Vcsc-textpic-imagewrap +p18931 +tp18932 +a(g822 +g978 +tp18933 +a(g84 +g1015 +tp18934 +a(g822 +g978 +tp18935 +a(g84 +Vmargin +p18936 +tp18937 +a(g84 +g976 +tp18938 +a(g822 +g978 +tp18939 +a(g84 +g1190 +tp18940 +a(g822 +g978 +tp18941 +a(g84 +Vauto; +p18942 +tp18943 +a(g822 +g978 +tp18944 +a(g84 +g1097 +tp18945 +a(g822 +V\u000a +p18946 +tp18947 +a(g84 +VDIV +p18948 +tp18949 +a(g84 +g998 +tp18950 +a(g84 +Vcsc-textpic-center +p18951 +tp18952 +a(g822 +g978 +tp18953 +a(g84 +VDIV +p18954 +tp18955 +a(g84 +g998 +tp18956 +a(g84 +Vcsc-textpic-imagewrap +p18957 +tp18958 +a(g822 +g978 +tp18959 +a(g84 +g998 +tp18960 +a(g84 +Vcsc-textpic-image +p18961 +tp18962 +a(g822 +g978 +tp18963 +a(g84 +g1015 +tp18964 +a(g822 +g978 +tp18965 +a(g84 +Vtext-align +p18966 +tp18967 +a(g84 +g976 +tp18968 +a(g822 +g978 +tp18969 +a(g84 +Vleft; +p18970 +tp18971 +a(g822 +g978 +tp18972 +a(g7 +V/* Remove IE-hack */ +p18973 +tp18974 +a(g822 +g978 +tp18975 +a(g84 +g1097 +tp18976 +a(g822 +V\u000a +p18977 +tp18978 +a(g84 +VDIV +p18979 +tp18980 +a(g84 +g998 +tp18981 +a(g84 +Vcsc-textpic-center +p18982 +tp18983 +a(g822 +g978 +tp18984 +a(g84 +VDIV +p18985 +tp18986 +a(g84 +g998 +tp18987 +a(g84 +Vcsc-textpic-text +p18988 +tp18989 +a(g822 +g978 +tp18990 +a(g84 +g1015 +tp18991 +a(g822 +g978 +tp18992 +a(g84 +Vtext-align +p18993 +tp18994 +a(g84 +g976 +tp18995 +a(g822 +g978 +tp18996 +a(g84 +Vleft; +p18997 +tp18998 +a(g822 +g978 +tp18999 +a(g7 +V/* Remove IE-hack */ +p19000 +tp19001 +a(g822 +g978 +tp19002 +a(g84 +g1097 +tp19003 +a(g822 +V\u000a\u000a +p19004 +tp19005 +a(g7 +V/* Right (above or below) */ +p19006 +tp19007 +a(g822 +V\u000a +p19008 +tp19009 +a(g84 +VDIV +p19010 +tp19011 +a(g84 +g998 +tp19012 +a(g84 +Vcsc-textpic-right +p19013 +tp19014 +a(g822 +g978 +tp19015 +a(g84 +VDIV +p19016 +tp19017 +a(g84 +g998 +tp19018 +a(g84 +Vcsc-textpic-imagewrap +p19019 +tp19020 +a(g822 +g978 +tp19021 +a(g84 +g1015 +tp19022 +a(g822 +g978 +tp19023 +a(g84 +Vfloat +p19024 +tp19025 +a(g84 +g976 +tp19026 +a(g822 +g978 +tp19027 +a(g84 +Vright; +p19028 +tp19029 +a(g822 +g978 +tp19030 +a(g84 +g1097 +tp19031 +a(g822 +V\u000a +p19032 +tp19033 +a(g84 +VDIV +p19034 +tp19035 +a(g84 +g998 +tp19036 +a(g84 +Vcsc-textpic-right +p19037 +tp19038 +a(g822 +g978 +tp19039 +a(g84 +VDIV +p19040 +tp19041 +a(g84 +g998 +tp19042 +a(g84 +Vcsc-textpic-text +p19043 +tp19044 +a(g822 +g978 +tp19045 +a(g84 +g1015 +tp19046 +a(g822 +g978 +tp19047 +a(g84 +Vclear +p19048 +tp19049 +a(g84 +g976 +tp19050 +a(g822 +g978 +tp19051 +a(g84 +Vright; +p19052 +tp19053 +a(g822 +g978 +tp19054 +a(g84 +g1097 +tp19055 +a(g822 +V\u000a\u000a +p19056 +tp19057 +a(g7 +V/* Left (above or below) */ +p19058 +tp19059 +a(g822 +V\u000a +p19060 +tp19061 +a(g84 +VDIV +p19062 +tp19063 +a(g84 +g998 +tp19064 +a(g84 +Vcsc-textpic-left +p19065 +tp19066 +a(g822 +g978 +tp19067 +a(g84 +VDIV +p19068 +tp19069 +a(g84 +g998 +tp19070 +a(g84 +Vcsc-textpic-imagewrap +p19071 +tp19072 +a(g822 +g978 +tp19073 +a(g84 +g1015 +tp19074 +a(g822 +g978 +tp19075 +a(g84 +Vfloat +p19076 +tp19077 +a(g84 +g976 +tp19078 +a(g822 +g978 +tp19079 +a(g84 +Vleft; +p19080 +tp19081 +a(g822 +g978 +tp19082 +a(g84 +g1097 +tp19083 +a(g822 +V\u000a +p19084 +tp19085 +a(g84 +VDIV +p19086 +tp19087 +a(g84 +g998 +tp19088 +a(g84 +Vcsc-textpic-left +p19089 +tp19090 +a(g822 +g978 +tp19091 +a(g84 +VDIV +p19092 +tp19093 +a(g84 +g998 +tp19094 +a(g84 +Vcsc-textpic-text +p19095 +tp19096 +a(g822 +g978 +tp19097 +a(g84 +g1015 +tp19098 +a(g822 +g978 +tp19099 +a(g84 +Vclear +p19100 +tp19101 +a(g84 +g976 +tp19102 +a(g822 +g978 +tp19103 +a(g84 +Vleft; +p19104 +tp19105 +a(g822 +g978 +tp19106 +a(g84 +g1097 +tp19107 +a(g822 +V\u000a\u000a +p19108 +tp19109 +a(g7 +V/* Left (in text) */ +p19110 +tp19111 +a(g822 +V\u000a +p19112 +tp19113 +a(g84 +VDIV +p19114 +tp19115 +a(g84 +g998 +tp19116 +a(g84 +Vcsc-textpic-intext-left +p19117 +tp19118 +a(g822 +g978 +tp19119 +a(g84 +VDIV +p19120 +tp19121 +a(g84 +g998 +tp19122 +a(g84 +Vcsc-textpic-imagewrap +p19123 +tp19124 +a(g822 +g978 +tp19125 +a(g84 +g1015 +tp19126 +a(g822 +g978 +tp19127 +a(g84 +Vfloat +p19128 +tp19129 +a(g84 +g976 +tp19130 +a(g822 +g978 +tp19131 +a(g84 +Vleft; +p19132 +tp19133 +a(g822 +g978 +tp19134 +a(g84 +g1097 +tp19135 +a(g822 +V\u000a\u000a +p19136 +tp19137 +a(g7 +V/* Right (in text) */ +p19138 +tp19139 +a(g822 +V\u000a +p19140 +tp19141 +a(g84 +VDIV +p19142 +tp19143 +a(g84 +g998 +tp19144 +a(g84 +Vcsc-textpic-intext-right +p19145 +tp19146 +a(g822 +g978 +tp19147 +a(g84 +VDIV +p19148 +tp19149 +a(g84 +g998 +tp19150 +a(g84 +Vcsc-textpic-imagewrap +p19151 +tp19152 +a(g822 +g978 +tp19153 +a(g84 +g1015 +tp19154 +a(g822 +g978 +tp19155 +a(g84 +Vfloat +p19156 +tp19157 +a(g84 +g976 +tp19158 +a(g822 +g978 +tp19159 +a(g84 +Vright; +p19160 +tp19161 +a(g822 +g978 +tp19162 +a(g84 +g1097 +tp19163 +a(g822 +V\u000a\u000a +p19164 +tp19165 +a(g7 +V/* Right (in text, no wrap around) */ +p19166 +tp19167 +a(g822 +V\u000a +p19168 +tp19169 +a(g84 +VDIV +p19170 +tp19171 +a(g84 +g998 +tp19172 +a(g84 +Vcsc-textpic-intext-right-nowrap +p19173 +tp19174 +a(g822 +g978 +tp19175 +a(g84 +VDIV +p19176 +tp19177 +a(g84 +g998 +tp19178 +a(g84 +Vcsc-textpic-imagewrap +p19179 +tp19180 +a(g822 +g978 +tp19181 +a(g84 +g1015 +tp19182 +a(g822 +g978 +tp19183 +a(g84 +Vfloat +p19184 +tp19185 +a(g84 +g976 +tp19186 +a(g822 +g978 +tp19187 +a(g84 +Vright; +p19188 +tp19189 +a(g822 +g978 +tp19190 +a(g84 +Vclear +p19191 +tp19192 +a(g84 +g976 +tp19193 +a(g822 +g978 +tp19194 +a(g84 +Vboth; +p19195 +tp19196 +a(g822 +g978 +tp19197 +a(g84 +g1097 +tp19198 +a(g822 +V\u000a +p19199 +tp19200 +a(g7 +V/* Hide from IE5-mac. Only IE-win sees this. \u005c*/ +p19201 +tp19202 +a(g822 +V\u000a +p19203 +tp19204 +a(g84 +g2262 +tp19205 +a(g822 +g978 +tp19206 +a(g84 +Vhtml +p19207 +tp19208 +a(g822 +g978 +tp19209 +a(g84 +VDIV +p19210 +tp19211 +a(g84 +g998 +tp19212 +a(g84 +Vcsc-textpic-intext-right-nowrap +p19213 +tp19214 +a(g822 +g978 +tp19215 +a(g84 +g998 +tp19216 +a(g84 +Vcsc-textpic-text +p19217 +tp19218 +a(g822 +g978 +tp19219 +a(g84 +g1015 +tp19220 +a(g822 +g978 +tp19221 +a(g84 +Vheight +p19222 +tp19223 +a(g84 +g976 +tp19224 +a(g822 +g978 +tp19225 +a(g84 +g1024 +tp19226 +a(g84 +g2040 +tp19227 +a(g84 +g17764 +tp19228 +a(g822 +g978 +tp19229 +a(g84 +g1097 +tp19230 +a(g822 +V\u000a +p19231 +tp19232 +a(g7 +V/* End hide from IE5/mac */ +p19233 +tp19234 +a(g822 +V\u000a\u000a +p19235 +tp19236 +a(g7 +V/* Left (in text, no wrap around) */ +p19237 +tp19238 +a(g822 +V\u000a +p19239 +tp19240 +a(g84 +VDIV +p19241 +tp19242 +a(g84 +g998 +tp19243 +a(g84 +Vcsc-textpic-intext-left-nowrap +p19244 +tp19245 +a(g822 +g978 +tp19246 +a(g84 +VDIV +p19247 +tp19248 +a(g84 +g998 +tp19249 +a(g84 +Vcsc-textpic-imagewrap +p19250 +tp19251 +a(g822 +g978 +tp19252 +a(g84 +g1015 +tp19253 +a(g822 +g978 +tp19254 +a(g84 +Vfloat +p19255 +tp19256 +a(g84 +g976 +tp19257 +a(g822 +g978 +tp19258 +a(g84 +Vleft; +p19259 +tp19260 +a(g822 +g978 +tp19261 +a(g84 +Vclear +p19262 +tp19263 +a(g84 +g976 +tp19264 +a(g822 +g978 +tp19265 +a(g84 +Vboth; +p19266 +tp19267 +a(g822 +g978 +tp19268 +a(g84 +g1097 +tp19269 +a(g822 +V\u000a +p19270 +tp19271 +a(g7 +V/* Hide from IE5-mac. Only IE-win sees this. \u005c*/ +p19272 +tp19273 +a(g822 +V\u000a +p19274 +tp19275 +a(g84 +g2262 +tp19276 +a(g822 +g978 +tp19277 +a(g84 +Vhtml +p19278 +tp19279 +a(g822 +g978 +tp19280 +a(g84 +VDIV +p19281 +tp19282 +a(g84 +g998 +tp19283 +a(g84 +Vcsc-textpic-intext-left-nowrap +p19284 +tp19285 +a(g822 +g978 +tp19286 +a(g84 +g998 +tp19287 +a(g84 +Vcsc-textpic-text +p19288 +tp19289 +a(g84 +g2004 +tp19290 +a(g822 +V\u000a +p19291 +tp19292 +a(g84 +g2262 +tp19293 +a(g822 +g978 +tp19294 +a(g84 +Vhtml +p19295 +tp19296 +a(g822 +g978 +tp19297 +a(g84 +g998 +tp19298 +a(g84 +Vcsc-textpic-intext-left +p19299 +tp19300 +a(g822 +g978 +tp19301 +a(g84 +Vol +p19302 +tp19303 +a(g84 +g2004 +tp19304 +a(g822 +V\u000a +p19305 +tp19306 +a(g84 +g2262 +tp19307 +a(g822 +g978 +tp19308 +a(g84 +Vhtml +p19309 +tp19310 +a(g822 +g978 +tp19311 +a(g84 +g998 +tp19312 +a(g84 +Vcsc-textpic-intext-left +p19313 +tp19314 +a(g822 +g978 +tp19315 +a(g84 +Vul +p19316 +tp19317 +a(g822 +g978 +tp19318 +a(g84 +g1015 +tp19319 +a(g822 +g978 +tp19320 +a(g84 +Vheight +p19321 +tp19322 +a(g84 +g976 +tp19323 +a(g822 +g978 +tp19324 +a(g84 +g1024 +tp19325 +a(g84 +g2040 +tp19326 +a(g84 +g17764 +tp19327 +a(g822 +g978 +tp19328 +a(g84 +g1097 +tp19329 +a(g822 +V\u000a +p19330 +tp19331 +a(g7 +V/* End hide from IE5/mac */ +p19332 +tp19333 +a(g822 +V\u000a\u000a +p19334 +tp19335 +a(g84 +VDIV +p19336 +tp19337 +a(g84 +g998 +tp19338 +a(g84 +Vcsc-textpic +p19339 +tp19340 +a(g822 +g978 +tp19341 +a(g84 +VDIV +p19342 +tp19343 +a(g84 +g998 +tp19344 +a(g84 +Vcsc-textpic-imagerow-last +p19345 +tp19346 +a(g822 +g978 +tp19347 +a(g84 +g1015 +tp19348 +a(g822 +g978 +tp19349 +a(g84 +Vmargin-bottom +p19350 +tp19351 +a(g84 +g976 +tp19352 +a(g822 +g978 +tp19353 +a(g84 +V0; +p19354 +tp19355 +a(g822 +g978 +tp19356 +a(g84 +g1097 +tp19357 +a(g822 +V\u000a\u000a +p19358 +tp19359 +a(g7 +V/* Browser fixes: */ +p19360 +tp19361 +a(g822 +V\u000a\u000a +p19362 +tp19363 +a(g7 +V/* Fix for unordered and ordered list with image "In text, left" */ +p19364 +tp19365 +a(g822 +V\u000a +p19366 +tp19367 +a(g84 +g998 +tp19368 +a(g84 +Vcsc-textpic-intext-left +p19369 +tp19370 +a(g822 +g978 +tp19371 +a(g84 +Vol +p19372 +tp19373 +a(g84 +g2004 +tp19374 +a(g822 +g978 +tp19375 +a(g84 +g998 +tp19376 +a(g84 +Vcsc-textpic-intext-left +p19377 +tp19378 +a(g822 +g978 +tp19379 +a(g84 +Vul +p19380 +tp19381 +a(g822 +g978 +tp19382 +a(g84 +V{padding-left +p19383 +tp19384 +a(g84 +g976 +tp19385 +a(g822 +g978 +tp19386 +a(g84 +V40px; +p19387 +tp19388 +a(g822 +g978 +tp19389 +a(g84 +Voverflow +p19390 +tp19391 +a(g84 +g976 +tp19392 +a(g822 +g978 +tp19393 +a(g84 +Vauto; +p19394 +tp19395 +a(g822 +g978 +tp19396 +a(g84 +g1097 +tp19397 +a(g822 +V\u000a +p19398 +tp19399 +a(g112 +g14509 +tp19400 +a(g822 +V\u000a\u000a +p19401 +tp19402 +a(g7 +V# TYPO3 SVN ID: $Id$ +p19403 +tp19404 +a(g822 +V\u000a +p19405 +tp19406 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/underscore.coffee b/tests/examplefiles/output/underscore.coffee new file mode 100644 index 0000000..f65166b --- /dev/null +++ b/tests/examplefiles/output/underscore.coffee @@ -0,0 +1,15822 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV +p956 +tp957 +a(g761 +V# Underscore.coffee\u000a +p958 +tp959 +a(g7 +V +p960 +tp961 +a(g761 +V# (c) 2010 Jeremy Ashkenas, DocumentCloud Inc.\u000a +p962 +tp963 +a(g7 +V +p964 +tp965 +a(g761 +V# Underscore is freely distributable under the terms of the MIT license.\u000a +p966 +tp967 +a(g7 +V +p968 +tp969 +a(g761 +V# Portions of Underscore are inspired by or borrowed from Prototype.js,\u000a +p970 +tp971 +a(g7 +V +p972 +tp973 +a(g761 +V# Oliver Steele's Functional, and John Resig's Micro-Templating.\u000a +p974 +tp975 +a(g7 +V +p976 +tp977 +a(g761 +V# For all details and documentation:\u000a +p978 +tp979 +a(g7 +V +p980 +tp981 +a(g761 +V# http://documentcloud.github.com/underscore/\u000a +p982 +tp983 +a(g7 +V\u000a\u000a +p984 +tp985 +a(g761 +V# ------------------------- Baseline setup ---------------------------------\u000a +p986 +tp987 +a(g7 +V\u000a +p988 +tp989 +a(g761 +V# Establish the root object, "window" in the browser, or "global" on the server.\u000a +p990 +tp991 +a(g7 +V +p992 +tp993 +a(g444 +Vroot: +p994 +tp995 +a(g842 +Vthis +p996 +tp997 +a(g7 +V\u000a\u000a\u000a +p998 +tp999 +a(g761 +V# Save the previous value of the "_" variable.\u000a +p1000 +tp1001 +a(g7 +V +p1002 +tp1003 +a(g444 +VpreviousUnderscore: +p1004 +tp1005 +a(g654 +Vroot +p1006 +tp1007 +a(g709 +V. +p1008 +tp1009 +a(g654 +V_ +p1010 +tp1011 +a(g7 +V\u000a\u000a\u000a +p1012 +tp1013 +a(g761 +V# If Underscore is called as a function, it returns a wrapped object that\u000a +p1014 +tp1015 +a(g7 +V +p1016 +tp1017 +a(g761 +V# can be used OO-style. This wrapper holds altered versions of all the\u000a +p1018 +tp1019 +a(g7 +V +p1020 +tp1021 +a(g761 +V# underscore functions. Wrapped objects may be chained.\u000a +p1022 +tp1023 +a(g7 +V +p1024 +tp1025 +a(g444 +Vwrapper: +p1026 +tp1027 +a(g577 +V(obj) -> +p1028 +tp1029 +a(g7 +V\u000a +p1030 +tp1031 +a(g842 +Vthis +p1032 +tp1033 +a(g709 +g1008 +tp1034 +a(g444 +V_wrapped: +p1035 +tp1036 +a(g654 +Vobj +p1037 +tp1038 +a(g7 +V\u000a +p1039 +tp1040 +a(g842 +Vthis +p1041 +tp1042 +a(g7 +V\u000a\u000a\u000a +p1043 +tp1044 +a(g761 +V# Establish the object that gets thrown to break out of a loop iteration.\u000a +p1045 +tp1046 +a(g7 +V +p1047 +tp1048 +a(g444 +Vbreaker: +p1049 +tp1050 +a(g842 +Vif +p1051 +tp1052 +a(g7 +V +p1053 +tp1054 +a(g842 +Vtypeof +p1055 +tp1056 +a(g709 +V( +p1057 +tp1058 +a(g654 +VStopIteration +p1059 +tp1060 +a(g709 +V) +p1061 +tp1062 +a(g7 +g1053 +tp1063 +a(g416 +Vis +p1064 +tp1065 +a(g7 +g1053 +tp1066 +a(g92 +V' +p1067 +tp1068 +a(g92 +Vundefined +p1069 +tp1070 +a(g92 +g1067 +tp1071 +a(g7 +g1053 +tp1072 +a(g842 +Vthen +p1073 +tp1074 +a(g7 +g1053 +tp1075 +a(g92 +g1067 +tp1076 +a(g92 +V__break__ +p1077 +tp1078 +a(g92 +g1067 +tp1079 +a(g7 +g1053 +tp1080 +a(g842 +Velse +p1081 +tp1082 +a(g7 +g1053 +tp1083 +a(g654 +VStopIteration +p1084 +tp1085 +a(g7 +V\u000a\u000a\u000a +p1086 +tp1087 +a(g761 +V# Create a safe reference to the Underscore object forreference below.\u000a +p1088 +tp1089 +a(g7 +V +p1090 +tp1091 +a(g444 +V_: +p1092 +tp1093 +a(g444 +Vroot._: +p1094 +tp1095 +a(g577 +V(obj) -> +p1096 +tp1097 +a(g7 +g1053 +tp1098 +a(g842 +Vnew +p1099 +tp1100 +a(g7 +g1053 +tp1101 +a(g654 +Vwrapper +p1102 +tp1103 +a(g709 +g1057 +tp1104 +a(g654 +Vobj +p1105 +tp1106 +a(g709 +g1061 +tp1107 +a(g7 +V\u000a\u000a\u000a +p1108 +tp1109 +a(g761 +V# Export the Underscore object for CommonJS.\u000a +p1110 +tp1111 +a(g7 +V +p1112 +tp1113 +a(g842 +Vif +p1114 +tp1115 +a(g7 +g1053 +tp1116 +a(g842 +Vtypeof +p1117 +tp1118 +a(g709 +g1057 +tp1119 +a(g654 +Vexports +p1120 +tp1121 +a(g709 +g1061 +tp1122 +a(g7 +g1053 +tp1123 +a(g416 +V!= +p1124 +tp1125 +a(g7 +g1053 +tp1126 +a(g92 +g1067 +tp1127 +a(g92 +Vundefined +p1128 +tp1129 +a(g92 +g1067 +tp1130 +a(g7 +g1053 +tp1131 +a(g842 +Vthen +p1132 +tp1133 +a(g7 +g1053 +tp1134 +a(g444 +Vexports._: +p1135 +tp1136 +a(g654 +g1010 +tp1137 +a(g7 +V\u000a\u000a\u000a +p1138 +tp1139 +a(g761 +V# Create quick reference variables for speed access to core prototypes.\u000a +p1140 +tp1141 +a(g7 +V +p1142 +tp1143 +a(g444 +Vslice: +p1144 +tp1145 +a(g7 +V +p1146 +tp1147 +a(g685 +VArray +p1148 +tp1149 +a(g416 +V: +p1150 +tp1151 +a(g416 +g1150 +tp1152 +a(g654 +Vslice +p1153 +tp1154 +a(g7 +V\u000a +p1155 +tp1156 +a(g444 +Vunshift: +p1157 +tp1158 +a(g7 +V +p1159 +tp1160 +a(g685 +VArray +p1161 +tp1162 +a(g416 +g1150 +tp1163 +a(g416 +g1150 +tp1164 +a(g654 +Vunshift +p1165 +tp1166 +a(g7 +V\u000a +p1167 +tp1168 +a(g444 +VtoString: +p1169 +tp1170 +a(g7 +V +p1171 +tp1172 +a(g685 +VObject +p1173 +tp1174 +a(g416 +g1150 +tp1175 +a(g416 +g1150 +tp1176 +a(g654 +VtoString +p1177 +tp1178 +a(g7 +V\u000a +p1179 +tp1180 +a(g444 +VhasOwnProperty: +p1181 +tp1182 +a(g7 +V +p1183 +tp1184 +a(g685 +VObject +p1185 +tp1186 +a(g416 +g1150 +tp1187 +a(g416 +g1150 +tp1188 +a(g654 +VhasOwnProperty +p1189 +tp1190 +a(g7 +V\u000a +p1191 +tp1192 +a(g444 +VpropertyIsEnumerable: +p1193 +tp1194 +a(g685 +VObject +p1195 +tp1196 +a(g416 +g1150 +tp1197 +a(g416 +g1150 +tp1198 +a(g654 +VpropertyIsEnumerable +p1199 +tp1200 +a(g7 +V\u000a\u000a\u000a +p1201 +tp1202 +a(g761 +V# Current version.\u000a +p1203 +tp1204 +a(g7 +V +p1205 +tp1206 +a(g444 +V_.VERSION: +p1207 +tp1208 +a(g92 +g1067 +tp1209 +a(g92 +V0.5.7 +p1210 +tp1211 +a(g92 +g1067 +tp1212 +a(g7 +V\u000a\u000a\u000a +p1213 +tp1214 +a(g761 +V# ------------------------ Collection Functions: ---------------------------\u000a +p1215 +tp1216 +a(g7 +V\u000a +p1217 +tp1218 +a(g761 +V# The cornerstone, an each implementation.\u000a +p1219 +tp1220 +a(g7 +V +p1221 +tp1222 +a(g761 +V# Handles objects implementing forEach, arrays, and raw objects.\u000a +p1223 +tp1224 +a(g7 +V +p1225 +tp1226 +a(g444 +V_.each: +p1227 +tp1228 +a(g577 +V(obj, iterator, context) -> +p1229 +tp1230 +a(g7 +V\u000a +p1231 +tp1232 +a(g444 +Vindex: +p1233 +tp1234 +a(g40 +V0 +p1235 +tp1236 +a(g7 +V\u000a +p1237 +tp1238 +a(g842 +Vtry +p1239 +tp1240 +a(g7 +V\u000a +p1241 +tp1242 +a(g842 +Vreturn +p1243 +tp1244 +a(g7 +g1053 +tp1245 +a(g654 +Vobj +p1246 +tp1247 +a(g709 +g1008 +tp1248 +a(g654 +VforEach +p1249 +tp1250 +a(g709 +g1057 +tp1251 +a(g654 +Viterator +p1252 +tp1253 +a(g709 +V, +p1254 +tp1255 +a(g7 +g1053 +tp1256 +a(g654 +Vcontext +p1257 +tp1258 +a(g709 +g1061 +tp1259 +a(g7 +g1053 +tp1260 +a(g842 +Vif +p1261 +tp1262 +a(g7 +g1053 +tp1263 +a(g654 +Vobj +p1264 +tp1265 +a(g709 +g1008 +tp1266 +a(g654 +VforEach +p1267 +tp1268 +a(g7 +V\u000a +p1269 +tp1270 +a(g842 +Vif +p1271 +tp1272 +a(g7 +g1053 +tp1273 +a(g654 +g1010 +tp1274 +a(g709 +g1008 +tp1275 +a(g654 +VisArray +p1276 +tp1277 +a(g709 +g1057 +tp1278 +a(g654 +Vobj +p1279 +tp1280 +a(g709 +g1061 +tp1281 +a(g7 +g1053 +tp1282 +a(g416 +Vor +p1283 +tp1284 +a(g7 +g1053 +tp1285 +a(g654 +g1010 +tp1286 +a(g709 +g1008 +tp1287 +a(g654 +VisArguments +p1288 +tp1289 +a(g709 +g1057 +tp1290 +a(g654 +Vobj +p1291 +tp1292 +a(g709 +g1061 +tp1293 +a(g7 +V\u000a +p1294 +tp1295 +a(g842 +Vreturn +p1296 +tp1297 +a(g7 +g1053 +tp1298 +a(g654 +Viterator +p1299 +tp1300 +a(g709 +g1008 +tp1301 +a(g654 +Vcall +p1302 +tp1303 +a(g709 +g1057 +tp1304 +a(g654 +Vcontext +p1305 +tp1306 +a(g709 +g1254 +tp1307 +a(g7 +g1053 +tp1308 +a(g654 +Vobj +p1309 +tp1310 +a(g709 +V[ +p1311 +tp1312 +a(g654 +Vi +p1313 +tp1314 +a(g709 +V] +p1315 +tp1316 +a(g709 +g1254 +tp1317 +a(g7 +g1053 +tp1318 +a(g654 +g1313 +tp1319 +a(g709 +g1254 +tp1320 +a(g7 +g1053 +tp1321 +a(g654 +Vobj +p1322 +tp1323 +a(g709 +g1061 +tp1324 +a(g7 +g1053 +tp1325 +a(g842 +Vfor +p1326 +tp1327 +a(g7 +g1053 +tp1328 +a(g654 +g1313 +tp1329 +a(g7 +g1053 +tp1330 +a(g842 +Vin +p1331 +tp1332 +a(g7 +g1053 +tp1333 +a(g709 +g1311 +tp1334 +a(g40 +g1235 +tp1335 +a(g709 +g1008 +tp1336 +a(g709 +g1008 +tp1337 +a(g709 +g1008 +tp1338 +a(g654 +Vobj +p1339 +tp1340 +a(g709 +g1008 +tp1341 +a(g654 +Vlength +p1342 +tp1343 +a(g709 +g1315 +tp1344 +a(g7 +V\u000a +p1345 +tp1346 +a(g654 +Viterator +p1347 +tp1348 +a(g709 +g1008 +tp1349 +a(g654 +Vcall +p1350 +tp1351 +a(g709 +g1057 +tp1352 +a(g654 +Vcontext +p1353 +tp1354 +a(g709 +g1254 +tp1355 +a(g7 +g1053 +tp1356 +a(g654 +Vval +p1357 +tp1358 +a(g709 +g1254 +tp1359 +a(g7 +g1053 +tp1360 +a(g654 +Vkey +p1361 +tp1362 +a(g709 +g1254 +tp1363 +a(g7 +g1053 +tp1364 +a(g654 +Vobj +p1365 +tp1366 +a(g709 +g1061 +tp1367 +a(g7 +g1053 +tp1368 +a(g842 +Vfor +p1369 +tp1370 +a(g7 +g1053 +tp1371 +a(g654 +Vkey +p1372 +tp1373 +a(g709 +g1254 +tp1374 +a(g7 +g1053 +tp1375 +a(g654 +Vval +p1376 +tp1377 +a(g7 +g1053 +tp1378 +a(g842 +Vof +p1379 +tp1380 +a(g7 +g1053 +tp1381 +a(g654 +Vobj +p1382 +tp1383 +a(g7 +V\u000a +p1384 +tp1385 +a(g842 +Vcatch +p1386 +tp1387 +a(g7 +g1053 +tp1388 +a(g654 +Ve +p1389 +tp1390 +a(g7 +V\u000a +p1391 +tp1392 +a(g842 +Vthrow +p1393 +tp1394 +a(g7 +g1053 +tp1395 +a(g654 +g1389 +tp1396 +a(g7 +g1053 +tp1397 +a(g842 +Vif +p1398 +tp1399 +a(g7 +g1053 +tp1400 +a(g654 +g1389 +tp1401 +a(g7 +g1053 +tp1402 +a(g416 +Visnt +p1403 +tp1404 +a(g7 +g1053 +tp1405 +a(g654 +Vbreaker +p1406 +tp1407 +a(g7 +V\u000a +p1408 +tp1409 +a(g654 +Vobj +p1410 +tp1411 +a(g7 +V\u000a\u000a\u000a +p1412 +tp1413 +a(g761 +V# Return the results of applying the iterator to each element. Use JavaScript\u000a +p1414 +tp1415 +a(g7 +V +p1416 +tp1417 +a(g761 +V# 1.6's version of map, if possible.\u000a +p1418 +tp1419 +a(g7 +V +p1420 +tp1421 +a(g444 +V_.map: +p1422 +tp1423 +a(g577 +V(obj, iterator, context) -> +p1424 +tp1425 +a(g7 +V\u000a +p1426 +tp1427 +a(g842 +Vreturn +p1428 +tp1429 +a(g7 +g1053 +tp1430 +a(g654 +Vobj +p1431 +tp1432 +a(g709 +g1008 +tp1433 +a(g654 +Vmap +p1434 +tp1435 +a(g709 +g1057 +tp1436 +a(g654 +Viterator +p1437 +tp1438 +a(g709 +g1254 +tp1439 +a(g7 +g1053 +tp1440 +a(g654 +Vcontext +p1441 +tp1442 +a(g709 +g1061 +tp1443 +a(g7 +g1053 +tp1444 +a(g842 +Vif +p1445 +tp1446 +a(g7 +g1053 +tp1447 +a(g709 +g1057 +tp1448 +a(g654 +Vobj +p1449 +tp1450 +a(g7 +g1053 +tp1451 +a(g416 +Vand +p1452 +tp1453 +a(g7 +g1053 +tp1454 +a(g654 +g1010 +tp1455 +a(g709 +g1008 +tp1456 +a(g654 +VisFunction +p1457 +tp1458 +a(g709 +g1057 +tp1459 +a(g654 +Vobj +p1460 +tp1461 +a(g709 +g1008 +tp1462 +a(g654 +Vmap +p1463 +tp1464 +a(g709 +g1061 +tp1465 +a(g709 +g1061 +tp1466 +a(g7 +V\u000a +p1467 +tp1468 +a(g444 +Vresults: +p1469 +tp1470 +a(g709 +g1311 +tp1471 +a(g709 +g1315 +tp1472 +a(g7 +V\u000a +p1473 +tp1474 +a(g654 +g1010 +tp1475 +a(g709 +g1008 +tp1476 +a(g654 +Veach +p1477 +tp1478 +a(g7 +g1053 +tp1479 +a(g654 +Vobj +p1480 +tp1481 +a(g709 +g1254 +tp1482 +a(g7 +g1053 +tp1483 +a(g577 +V(value, index, list) -> +p1484 +tp1485 +a(g7 +V\u000a +p1486 +tp1487 +a(g654 +Vresults +p1488 +tp1489 +a(g709 +g1008 +tp1490 +a(g654 +Vpush +p1491 +tp1492 +a(g709 +g1057 +tp1493 +a(g654 +Viterator +p1494 +tp1495 +a(g709 +g1008 +tp1496 +a(g654 +Vcall +p1497 +tp1498 +a(g709 +g1057 +tp1499 +a(g654 +Vcontext +p1500 +tp1501 +a(g709 +g1254 +tp1502 +a(g7 +g1053 +tp1503 +a(g654 +Vvalue +p1504 +tp1505 +a(g709 +g1254 +tp1506 +a(g7 +g1053 +tp1507 +a(g654 +Vindex +p1508 +tp1509 +a(g709 +g1254 +tp1510 +a(g7 +g1053 +tp1511 +a(g654 +Vlist +p1512 +tp1513 +a(g709 +g1061 +tp1514 +a(g709 +g1061 +tp1515 +a(g7 +V\u000a +p1516 +tp1517 +a(g654 +Vresults +p1518 +tp1519 +a(g7 +V\u000a\u000a\u000a +p1520 +tp1521 +a(g761 +V# Reduce builds up a single result from a list of values. Also known as\u000a +p1522 +tp1523 +a(g7 +V +p1524 +tp1525 +a(g761 +V# inject, or foldl. Uses JavaScript 1.8's version of reduce, if possible.\u000a +p1526 +tp1527 +a(g7 +V +p1528 +tp1529 +a(g444 +V_.reduce: +p1530 +tp1531 +a(g577 +V(obj, memo, iterator, context) -> +p1532 +tp1533 +a(g7 +V\u000a +p1534 +tp1535 +a(g842 +Vreturn +p1536 +tp1537 +a(g7 +g1053 +tp1538 +a(g654 +Vobj +p1539 +tp1540 +a(g709 +g1008 +tp1541 +a(g654 +Vreduce +p1542 +tp1543 +a(g709 +g1057 +tp1544 +a(g654 +g1010 +tp1545 +a(g709 +g1008 +tp1546 +a(g654 +Vbind +p1547 +tp1548 +a(g709 +g1057 +tp1549 +a(g654 +Viterator +p1550 +tp1551 +a(g709 +g1254 +tp1552 +a(g7 +g1053 +tp1553 +a(g654 +Vcontext +p1554 +tp1555 +a(g709 +g1061 +tp1556 +a(g709 +g1254 +tp1557 +a(g7 +g1053 +tp1558 +a(g654 +Vmemo +p1559 +tp1560 +a(g709 +g1061 +tp1561 +a(g7 +g1053 +tp1562 +a(g842 +Vif +p1563 +tp1564 +a(g7 +g1053 +tp1565 +a(g709 +g1057 +tp1566 +a(g654 +Vobj +p1567 +tp1568 +a(g7 +g1053 +tp1569 +a(g416 +Vand +p1570 +tp1571 +a(g7 +g1053 +tp1572 +a(g654 +g1010 +tp1573 +a(g709 +g1008 +tp1574 +a(g654 +VisFunction +p1575 +tp1576 +a(g709 +g1057 +tp1577 +a(g654 +Vobj +p1578 +tp1579 +a(g709 +g1008 +tp1580 +a(g654 +Vreduce +p1581 +tp1582 +a(g709 +g1061 +tp1583 +a(g709 +g1061 +tp1584 +a(g7 +V\u000a +p1585 +tp1586 +a(g654 +g1010 +tp1587 +a(g709 +g1008 +tp1588 +a(g654 +Veach +p1589 +tp1590 +a(g7 +g1053 +tp1591 +a(g654 +Vobj +p1592 +tp1593 +a(g709 +g1254 +tp1594 +a(g7 +g1053 +tp1595 +a(g577 +V(value, index, list) -> +p1596 +tp1597 +a(g7 +V\u000a +p1598 +tp1599 +a(g444 +Vmemo: +p1600 +tp1601 +a(g654 +Viterator +p1602 +tp1603 +a(g709 +g1008 +tp1604 +a(g654 +Vcall +p1605 +tp1606 +a(g709 +g1057 +tp1607 +a(g654 +Vcontext +p1608 +tp1609 +a(g709 +g1254 +tp1610 +a(g7 +g1053 +tp1611 +a(g654 +Vmemo +p1612 +tp1613 +a(g709 +g1254 +tp1614 +a(g7 +g1053 +tp1615 +a(g654 +Vvalue +p1616 +tp1617 +a(g709 +g1254 +tp1618 +a(g7 +g1053 +tp1619 +a(g654 +Vindex +p1620 +tp1621 +a(g709 +g1254 +tp1622 +a(g7 +g1053 +tp1623 +a(g654 +Vlist +p1624 +tp1625 +a(g709 +g1061 +tp1626 +a(g7 +V\u000a +p1627 +tp1628 +a(g654 +Vmemo +p1629 +tp1630 +a(g7 +V\u000a\u000a\u000a +p1631 +tp1632 +a(g761 +V# The right-associative version of reduce, also known as foldr. Uses\u000a +p1633 +tp1634 +a(g7 +V +p1635 +tp1636 +a(g761 +V# JavaScript 1.8's version of reduceRight, if available.\u000a +p1637 +tp1638 +a(g7 +V +p1639 +tp1640 +a(g444 +V_.reduceRight: +p1641 +tp1642 +a(g577 +V(obj, memo, iterator, context) -> +p1643 +tp1644 +a(g7 +V\u000a +p1645 +tp1646 +a(g842 +Vreturn +p1647 +tp1648 +a(g7 +g1053 +tp1649 +a(g654 +Vobj +p1650 +tp1651 +a(g709 +g1008 +tp1652 +a(g654 +VreduceRight +p1653 +tp1654 +a(g709 +g1057 +tp1655 +a(g654 +g1010 +tp1656 +a(g709 +g1008 +tp1657 +a(g654 +Vbind +p1658 +tp1659 +a(g709 +g1057 +tp1660 +a(g654 +Viterator +p1661 +tp1662 +a(g709 +g1254 +tp1663 +a(g7 +g1053 +tp1664 +a(g654 +Vcontext +p1665 +tp1666 +a(g709 +g1061 +tp1667 +a(g709 +g1254 +tp1668 +a(g7 +g1053 +tp1669 +a(g654 +Vmemo +p1670 +tp1671 +a(g709 +g1061 +tp1672 +a(g7 +g1053 +tp1673 +a(g842 +Vif +p1674 +tp1675 +a(g7 +g1053 +tp1676 +a(g709 +g1057 +tp1677 +a(g654 +Vobj +p1678 +tp1679 +a(g7 +g1053 +tp1680 +a(g416 +Vand +p1681 +tp1682 +a(g7 +g1053 +tp1683 +a(g654 +g1010 +tp1684 +a(g709 +g1008 +tp1685 +a(g654 +VisFunction +p1686 +tp1687 +a(g709 +g1057 +tp1688 +a(g654 +Vobj +p1689 +tp1690 +a(g709 +g1008 +tp1691 +a(g654 +VreduceRight +p1692 +tp1693 +a(g709 +g1061 +tp1694 +a(g709 +g1061 +tp1695 +a(g7 +V\u000a +p1696 +tp1697 +a(g654 +g1010 +tp1698 +a(g709 +g1008 +tp1699 +a(g654 +Veach +p1700 +tp1701 +a(g7 +g1053 +tp1702 +a(g654 +g1010 +tp1703 +a(g709 +g1008 +tp1704 +a(g654 +Vclone +p1705 +tp1706 +a(g709 +g1057 +tp1707 +a(g654 +g1010 +tp1708 +a(g709 +g1008 +tp1709 +a(g654 +VtoArray +p1710 +tp1711 +a(g709 +g1057 +tp1712 +a(g654 +Vobj +p1713 +tp1714 +a(g709 +g1061 +tp1715 +a(g709 +g1061 +tp1716 +a(g709 +g1008 +tp1717 +a(g654 +Vreverse +p1718 +tp1719 +a(g709 +g1057 +tp1720 +a(g709 +g1061 +tp1721 +a(g709 +g1254 +tp1722 +a(g7 +g1053 +tp1723 +a(g577 +V(value, index) -> +p1724 +tp1725 +a(g7 +V\u000a +p1726 +tp1727 +a(g444 +Vmemo: +p1728 +tp1729 +a(g654 +Viterator +p1730 +tp1731 +a(g709 +g1008 +tp1732 +a(g654 +Vcall +p1733 +tp1734 +a(g709 +g1057 +tp1735 +a(g654 +Vcontext +p1736 +tp1737 +a(g709 +g1254 +tp1738 +a(g7 +g1053 +tp1739 +a(g654 +Vmemo +p1740 +tp1741 +a(g709 +g1254 +tp1742 +a(g7 +g1053 +tp1743 +a(g654 +Vvalue +p1744 +tp1745 +a(g709 +g1254 +tp1746 +a(g7 +g1053 +tp1747 +a(g654 +Vindex +p1748 +tp1749 +a(g709 +g1254 +tp1750 +a(g7 +g1053 +tp1751 +a(g654 +Vobj +p1752 +tp1753 +a(g709 +g1061 +tp1754 +a(g7 +V\u000a +p1755 +tp1756 +a(g654 +Vmemo +p1757 +tp1758 +a(g7 +V\u000a\u000a\u000a +p1759 +tp1760 +a(g761 +V# Return the first value which passes a truth test.\u000a +p1761 +tp1762 +a(g7 +V +p1763 +tp1764 +a(g444 +V_.detect: +p1765 +tp1766 +a(g577 +V(obj, iterator, context) -> +p1767 +tp1768 +a(g7 +V\u000a +p1769 +tp1770 +a(g444 +Vresult: +p1771 +tp1772 +a(g861 +Vnull +p1773 +tp1774 +a(g7 +V\u000a +p1775 +tp1776 +a(g654 +g1010 +tp1777 +a(g709 +g1008 +tp1778 +a(g654 +Veach +p1779 +tp1780 +a(g7 +g1053 +tp1781 +a(g654 +Vobj +p1782 +tp1783 +a(g709 +g1254 +tp1784 +a(g7 +g1053 +tp1785 +a(g577 +V(value, index, list) -> +p1786 +tp1787 +a(g7 +V\u000a +p1788 +tp1789 +a(g842 +Vif +p1790 +tp1791 +a(g7 +g1053 +tp1792 +a(g654 +Viterator +p1793 +tp1794 +a(g709 +g1008 +tp1795 +a(g654 +Vcall +p1796 +tp1797 +a(g709 +g1057 +tp1798 +a(g654 +Vcontext +p1799 +tp1800 +a(g709 +g1254 +tp1801 +a(g7 +g1053 +tp1802 +a(g654 +Vvalue +p1803 +tp1804 +a(g709 +g1254 +tp1805 +a(g7 +g1053 +tp1806 +a(g654 +Vindex +p1807 +tp1808 +a(g709 +g1254 +tp1809 +a(g7 +g1053 +tp1810 +a(g654 +Vlist +p1811 +tp1812 +a(g709 +g1061 +tp1813 +a(g7 +V\u000a +p1814 +tp1815 +a(g444 +Vresult: +p1816 +tp1817 +a(g654 +Vvalue +p1818 +tp1819 +a(g7 +V\u000a +p1820 +tp1821 +a(g654 +g1010 +tp1822 +a(g709 +g1008 +tp1823 +a(g654 +VbreakLoop +p1824 +tp1825 +a(g709 +g1057 +tp1826 +a(g709 +g1061 +tp1827 +a(g7 +V\u000a +p1828 +tp1829 +a(g654 +Vresult +p1830 +tp1831 +a(g7 +V\u000a\u000a\u000a +p1832 +tp1833 +a(g761 +V# Return all the elements that pass a truth test. Use JavaScript 1.6's\u000a +p1834 +tp1835 +a(g7 +V +p1836 +tp1837 +a(g761 +V# filter(), if it exists.\u000a +p1838 +tp1839 +a(g7 +V +p1840 +tp1841 +a(g444 +V_.select: +p1842 +tp1843 +a(g577 +V(obj, iterator, context) -> +p1844 +tp1845 +a(g7 +V\u000a +p1846 +tp1847 +a(g842 +Vif +p1848 +tp1849 +a(g7 +g1053 +tp1850 +a(g654 +Vobj +p1851 +tp1852 +a(g7 +g1053 +tp1853 +a(g416 +Vand +p1854 +tp1855 +a(g7 +g1053 +tp1856 +a(g654 +g1010 +tp1857 +a(g709 +g1008 +tp1858 +a(g654 +VisFunction +p1859 +tp1860 +a(g709 +g1057 +tp1861 +a(g654 +Vobj +p1862 +tp1863 +a(g709 +g1008 +tp1864 +a(g654 +Vfilter +p1865 +tp1866 +a(g709 +g1061 +tp1867 +a(g7 +g1053 +tp1868 +a(g842 +Vthen +p1869 +tp1870 +a(g7 +g1053 +tp1871 +a(g842 +Vreturn +p1872 +tp1873 +a(g7 +g1053 +tp1874 +a(g654 +Vobj +p1875 +tp1876 +a(g709 +g1008 +tp1877 +a(g654 +Vfilter +p1878 +tp1879 +a(g709 +g1057 +tp1880 +a(g654 +Viterator +p1881 +tp1882 +a(g709 +g1254 +tp1883 +a(g7 +g1053 +tp1884 +a(g654 +Vcontext +p1885 +tp1886 +a(g709 +g1061 +tp1887 +a(g7 +V\u000a +p1888 +tp1889 +a(g444 +Vresults: +p1890 +tp1891 +a(g709 +g1311 +tp1892 +a(g709 +g1315 +tp1893 +a(g7 +V\u000a +p1894 +tp1895 +a(g654 +g1010 +tp1896 +a(g709 +g1008 +tp1897 +a(g654 +Veach +p1898 +tp1899 +a(g7 +g1053 +tp1900 +a(g654 +Vobj +p1901 +tp1902 +a(g709 +g1254 +tp1903 +a(g7 +g1053 +tp1904 +a(g577 +V(value, index, list) -> +p1905 +tp1906 +a(g7 +V\u000a +p1907 +tp1908 +a(g654 +Vresults +p1909 +tp1910 +a(g709 +g1008 +tp1911 +a(g654 +Vpush +p1912 +tp1913 +a(g709 +g1057 +tp1914 +a(g654 +Vvalue +p1915 +tp1916 +a(g709 +g1061 +tp1917 +a(g7 +g1053 +tp1918 +a(g842 +Vif +p1919 +tp1920 +a(g7 +g1053 +tp1921 +a(g654 +Viterator +p1922 +tp1923 +a(g709 +g1008 +tp1924 +a(g654 +Vcall +p1925 +tp1926 +a(g709 +g1057 +tp1927 +a(g654 +Vcontext +p1928 +tp1929 +a(g709 +g1254 +tp1930 +a(g7 +g1053 +tp1931 +a(g654 +Vvalue +p1932 +tp1933 +a(g709 +g1254 +tp1934 +a(g7 +g1053 +tp1935 +a(g654 +Vindex +p1936 +tp1937 +a(g709 +g1254 +tp1938 +a(g7 +g1053 +tp1939 +a(g654 +Vlist +p1940 +tp1941 +a(g709 +g1061 +tp1942 +a(g7 +V\u000a +p1943 +tp1944 +a(g654 +Vresults +p1945 +tp1946 +a(g7 +V\u000a\u000a\u000a +p1947 +tp1948 +a(g761 +V# Return all the elements for which a truth test fails.\u000a +p1949 +tp1950 +a(g7 +V +p1951 +tp1952 +a(g444 +V_.reject: +p1953 +tp1954 +a(g577 +V(obj, iterator, context) -> +p1955 +tp1956 +a(g7 +V\u000a +p1957 +tp1958 +a(g444 +Vresults: +p1959 +tp1960 +a(g709 +g1311 +tp1961 +a(g709 +g1315 +tp1962 +a(g7 +V\u000a +p1963 +tp1964 +a(g654 +g1010 +tp1965 +a(g709 +g1008 +tp1966 +a(g654 +Veach +p1967 +tp1968 +a(g7 +g1053 +tp1969 +a(g654 +Vobj +p1970 +tp1971 +a(g709 +g1254 +tp1972 +a(g7 +g1053 +tp1973 +a(g577 +V(value, index, list) -> +p1974 +tp1975 +a(g7 +V\u000a +p1976 +tp1977 +a(g654 +Vresults +p1978 +tp1979 +a(g709 +g1008 +tp1980 +a(g654 +Vpush +p1981 +tp1982 +a(g709 +g1057 +tp1983 +a(g654 +Vvalue +p1984 +tp1985 +a(g709 +g1061 +tp1986 +a(g7 +g1053 +tp1987 +a(g842 +Vif +p1988 +tp1989 +a(g7 +g1053 +tp1990 +a(g416 +Vnot +p1991 +tp1992 +a(g7 +g1053 +tp1993 +a(g654 +Viterator +p1994 +tp1995 +a(g709 +g1008 +tp1996 +a(g654 +Vcall +p1997 +tp1998 +a(g709 +g1057 +tp1999 +a(g654 +Vcontext +p2000 +tp2001 +a(g709 +g1254 +tp2002 +a(g7 +g1053 +tp2003 +a(g654 +Vvalue +p2004 +tp2005 +a(g709 +g1254 +tp2006 +a(g7 +g1053 +tp2007 +a(g654 +Vindex +p2008 +tp2009 +a(g709 +g1254 +tp2010 +a(g7 +g1053 +tp2011 +a(g654 +Vlist +p2012 +tp2013 +a(g709 +g1061 +tp2014 +a(g7 +V\u000a +p2015 +tp2016 +a(g654 +Vresults +p2017 +tp2018 +a(g7 +V\u000a\u000a\u000a +p2019 +tp2020 +a(g761 +V# Determine whether all of the elements match a truth test. Delegate to\u000a +p2021 +tp2022 +a(g7 +V +p2023 +tp2024 +a(g761 +V# JavaScript 1.6's every(), if it is present.\u000a +p2025 +tp2026 +a(g7 +V +p2027 +tp2028 +a(g444 +V_.all: +p2029 +tp2030 +a(g577 +V(obj, iterator, context) -> +p2031 +tp2032 +a(g7 +V\u000a +p2033 +tp2034 +a(g654 +Viterator +p2035 +tp2036 +a(g7 +g1053 +tp2037 +a(g416 +V|| +p2038 +tp2039 +a(g416 +V= +p2040 +tp2041 +a(g7 +g1053 +tp2042 +a(g654 +g1010 +tp2043 +a(g709 +g1008 +tp2044 +a(g654 +Videntity +p2045 +tp2046 +a(g7 +V\u000a +p2047 +tp2048 +a(g842 +Vreturn +p2049 +tp2050 +a(g7 +g1053 +tp2051 +a(g654 +Vobj +p2052 +tp2053 +a(g709 +g1008 +tp2054 +a(g654 +Vevery +p2055 +tp2056 +a(g709 +g1057 +tp2057 +a(g654 +Viterator +p2058 +tp2059 +a(g709 +g1254 +tp2060 +a(g7 +g1053 +tp2061 +a(g654 +Vcontext +p2062 +tp2063 +a(g709 +g1061 +tp2064 +a(g7 +g1053 +tp2065 +a(g842 +Vif +p2066 +tp2067 +a(g7 +g1053 +tp2068 +a(g654 +Vobj +p2069 +tp2070 +a(g7 +g1053 +tp2071 +a(g416 +Vand +p2072 +tp2073 +a(g7 +g1053 +tp2074 +a(g654 +g1010 +tp2075 +a(g709 +g1008 +tp2076 +a(g654 +VisFunction +p2077 +tp2078 +a(g709 +g1057 +tp2079 +a(g654 +Vobj +p2080 +tp2081 +a(g709 +g1008 +tp2082 +a(g654 +Vevery +p2083 +tp2084 +a(g709 +g1061 +tp2085 +a(g7 +V\u000a +p2086 +tp2087 +a(g444 +Vresult: +p2088 +tp2089 +a(g861 +Vtrue +p2090 +tp2091 +a(g7 +V\u000a +p2092 +tp2093 +a(g654 +g1010 +tp2094 +a(g709 +g1008 +tp2095 +a(g654 +Veach +p2096 +tp2097 +a(g7 +g1053 +tp2098 +a(g654 +Vobj +p2099 +tp2100 +a(g709 +g1254 +tp2101 +a(g7 +g1053 +tp2102 +a(g577 +V(value, index, list) -> +p2103 +tp2104 +a(g7 +V\u000a +p2105 +tp2106 +a(g654 +g1010 +tp2107 +a(g709 +g1008 +tp2108 +a(g654 +VbreakLoop +p2109 +tp2110 +a(g709 +g1057 +tp2111 +a(g709 +g1061 +tp2112 +a(g7 +g1053 +tp2113 +a(g842 +Vunless +p2114 +tp2115 +a(g7 +g1053 +tp2116 +a(g709 +g1057 +tp2117 +a(g444 +Vresult: +p2118 +tp2119 +a(g654 +Vresult +p2120 +tp2121 +a(g7 +g1053 +tp2122 +a(g416 +Vand +p2123 +tp2124 +a(g7 +g1053 +tp2125 +a(g654 +Viterator +p2126 +tp2127 +a(g709 +g1008 +tp2128 +a(g654 +Vcall +p2129 +tp2130 +a(g709 +g1057 +tp2131 +a(g654 +Vcontext +p2132 +tp2133 +a(g709 +g1254 +tp2134 +a(g7 +g1053 +tp2135 +a(g654 +Vvalue +p2136 +tp2137 +a(g709 +g1254 +tp2138 +a(g7 +g1053 +tp2139 +a(g654 +Vindex +p2140 +tp2141 +a(g709 +g1254 +tp2142 +a(g7 +g1053 +tp2143 +a(g654 +Vlist +p2144 +tp2145 +a(g709 +g1061 +tp2146 +a(g709 +g1061 +tp2147 +a(g7 +V\u000a +p2148 +tp2149 +a(g654 +Vresult +p2150 +tp2151 +a(g7 +V\u000a\u000a\u000a +p2152 +tp2153 +a(g761 +V# Determine if at least one element in the object matches a truth test. Use\u000a +p2154 +tp2155 +a(g7 +V +p2156 +tp2157 +a(g761 +V# JavaScript 1.6's some(), if it exists.\u000a +p2158 +tp2159 +a(g7 +V +p2160 +tp2161 +a(g444 +V_.any: +p2162 +tp2163 +a(g577 +V(obj, iterator, context) -> +p2164 +tp2165 +a(g7 +V\u000a +p2166 +tp2167 +a(g654 +Viterator +p2168 +tp2169 +a(g7 +g1053 +tp2170 +a(g416 +V|| +p2171 +tp2172 +a(g416 +g2040 +tp2173 +a(g7 +g1053 +tp2174 +a(g654 +g1010 +tp2175 +a(g709 +g1008 +tp2176 +a(g654 +Videntity +p2177 +tp2178 +a(g7 +V\u000a +p2179 +tp2180 +a(g842 +Vreturn +p2181 +tp2182 +a(g7 +g1053 +tp2183 +a(g654 +Vobj +p2184 +tp2185 +a(g709 +g1008 +tp2186 +a(g654 +Vsome +p2187 +tp2188 +a(g709 +g1057 +tp2189 +a(g654 +Viterator +p2190 +tp2191 +a(g709 +g1254 +tp2192 +a(g7 +g1053 +tp2193 +a(g654 +Vcontext +p2194 +tp2195 +a(g709 +g1061 +tp2196 +a(g7 +g1053 +tp2197 +a(g842 +Vif +p2198 +tp2199 +a(g7 +g1053 +tp2200 +a(g654 +Vobj +p2201 +tp2202 +a(g7 +g1053 +tp2203 +a(g416 +Vand +p2204 +tp2205 +a(g7 +g1053 +tp2206 +a(g654 +g1010 +tp2207 +a(g709 +g1008 +tp2208 +a(g654 +VisFunction +p2209 +tp2210 +a(g709 +g1057 +tp2211 +a(g654 +Vobj +p2212 +tp2213 +a(g709 +g1008 +tp2214 +a(g654 +Vsome +p2215 +tp2216 +a(g709 +g1061 +tp2217 +a(g7 +V\u000a +p2218 +tp2219 +a(g444 +Vresult: +p2220 +tp2221 +a(g861 +Vfalse +p2222 +tp2223 +a(g7 +V\u000a +p2224 +tp2225 +a(g654 +g1010 +tp2226 +a(g709 +g1008 +tp2227 +a(g654 +Veach +p2228 +tp2229 +a(g7 +g1053 +tp2230 +a(g654 +Vobj +p2231 +tp2232 +a(g709 +g1254 +tp2233 +a(g7 +g1053 +tp2234 +a(g577 +V(value, index, list) -> +p2235 +tp2236 +a(g7 +V\u000a +p2237 +tp2238 +a(g654 +g1010 +tp2239 +a(g709 +g1008 +tp2240 +a(g654 +VbreakLoop +p2241 +tp2242 +a(g709 +g1057 +tp2243 +a(g709 +g1061 +tp2244 +a(g7 +g1053 +tp2245 +a(g842 +Vif +p2246 +tp2247 +a(g7 +g1053 +tp2248 +a(g709 +g1057 +tp2249 +a(g444 +Vresult: +p2250 +tp2251 +a(g654 +Viterator +p2252 +tp2253 +a(g709 +g1008 +tp2254 +a(g654 +Vcall +p2255 +tp2256 +a(g709 +g1057 +tp2257 +a(g654 +Vcontext +p2258 +tp2259 +a(g709 +g1254 +tp2260 +a(g7 +g1053 +tp2261 +a(g654 +Vvalue +p2262 +tp2263 +a(g709 +g1254 +tp2264 +a(g7 +g1053 +tp2265 +a(g654 +Vindex +p2266 +tp2267 +a(g709 +g1254 +tp2268 +a(g7 +g1053 +tp2269 +a(g654 +Vlist +p2270 +tp2271 +a(g709 +g1061 +tp2272 +a(g709 +g1061 +tp2273 +a(g7 +V\u000a +p2274 +tp2275 +a(g654 +Vresult +p2276 +tp2277 +a(g7 +V\u000a\u000a\u000a +p2278 +tp2279 +a(g761 +V# Determine if a given value is included in the array or object,\u000a +p2280 +tp2281 +a(g7 +V +p2282 +tp2283 +a(g761 +V# based on '==='.\u000a +p2284 +tp2285 +a(g7 +V +p2286 +tp2287 +a(g444 +V_.include: +p2288 +tp2289 +a(g577 +V(obj, target) -> +p2290 +tp2291 +a(g7 +V\u000a +p2292 +tp2293 +a(g842 +Vreturn +p2294 +tp2295 +a(g7 +g1053 +tp2296 +a(g654 +g1010 +tp2297 +a(g709 +g1008 +tp2298 +a(g654 +VindexOf +p2299 +tp2300 +a(g709 +g1057 +tp2301 +a(g654 +Vobj +p2302 +tp2303 +a(g709 +g1254 +tp2304 +a(g7 +g1053 +tp2305 +a(g654 +Vtarget +p2306 +tp2307 +a(g709 +g1061 +tp2308 +a(g7 +g1053 +tp2309 +a(g416 +Visnt +p2310 +tp2311 +a(g7 +g1053 +tp2312 +a(g416 +V- +p2313 +tp2314 +a(g40 +V1 +p2315 +tp2316 +a(g7 +g1053 +tp2317 +a(g842 +Vif +p2318 +tp2319 +a(g7 +g1053 +tp2320 +a(g654 +g1010 +tp2321 +a(g709 +g1008 +tp2322 +a(g654 +VisArray +p2323 +tp2324 +a(g709 +g1057 +tp2325 +a(g654 +Vobj +p2326 +tp2327 +a(g709 +g1061 +tp2328 +a(g7 +V\u000a +p2329 +tp2330 +a(g842 +Vfor +p2331 +tp2332 +a(g7 +g1053 +tp2333 +a(g654 +Vkey +p2334 +tp2335 +a(g709 +g1254 +tp2336 +a(g7 +g1053 +tp2337 +a(g654 +Vval +p2338 +tp2339 +a(g7 +g1053 +tp2340 +a(g842 +Vof +p2341 +tp2342 +a(g7 +g1053 +tp2343 +a(g654 +Vobj +p2344 +tp2345 +a(g7 +V\u000a +p2346 +tp2347 +a(g842 +Vreturn +p2348 +tp2349 +a(g7 +g1053 +tp2350 +a(g861 +Vtrue +p2351 +tp2352 +a(g7 +g1053 +tp2353 +a(g842 +Vif +p2354 +tp2355 +a(g7 +g1053 +tp2356 +a(g654 +Vval +p2357 +tp2358 +a(g7 +g1053 +tp2359 +a(g416 +Vis +p2360 +tp2361 +a(g7 +g1053 +tp2362 +a(g654 +Vtarget +p2363 +tp2364 +a(g7 +V\u000a +p2365 +tp2366 +a(g861 +Vfalse +p2367 +tp2368 +a(g7 +V\u000a\u000a\u000a +p2369 +tp2370 +a(g761 +V# Invoke a method with arguments on every item in a collection.\u000a +p2371 +tp2372 +a(g7 +V +p2373 +tp2374 +a(g444 +V_.invoke: +p2375 +tp2376 +a(g577 +V(obj, method) -> +p2377 +tp2378 +a(g7 +V\u000a +p2379 +tp2380 +a(g444 +Vargs: +p2381 +tp2382 +a(g654 +g1010 +tp2383 +a(g709 +g1008 +tp2384 +a(g654 +Vrest +p2385 +tp2386 +a(g709 +g1057 +tp2387 +a(g654 +Varguments +p2388 +tp2389 +a(g709 +g1254 +tp2390 +a(g7 +g1053 +tp2391 +a(g40 +V2 +p2392 +tp2393 +a(g709 +g1061 +tp2394 +a(g7 +V\u000a +p2395 +tp2396 +a(g709 +g1057 +tp2397 +a(g842 +Vif +p2398 +tp2399 +a(g7 +g1053 +tp2400 +a(g654 +Vmethod +p2401 +tp2402 +a(g7 +g1053 +tp2403 +a(g842 +Vthen +p2404 +tp2405 +a(g7 +g1053 +tp2406 +a(g654 +Vval +p2407 +tp2408 +a(g709 +g1311 +tp2409 +a(g654 +Vmethod +p2410 +tp2411 +a(g709 +g1315 +tp2412 +a(g7 +g1053 +tp2413 +a(g842 +Velse +p2414 +tp2415 +a(g7 +g1053 +tp2416 +a(g654 +Vval +p2417 +tp2418 +a(g709 +g1061 +tp2419 +a(g709 +g1008 +tp2420 +a(g654 +Vapply +p2421 +tp2422 +a(g709 +g1057 +tp2423 +a(g654 +Vval +p2424 +tp2425 +a(g709 +g1254 +tp2426 +a(g7 +g1053 +tp2427 +a(g654 +Vargs +p2428 +tp2429 +a(g709 +g1061 +tp2430 +a(g7 +g1053 +tp2431 +a(g842 +Vfor +p2432 +tp2433 +a(g7 +g1053 +tp2434 +a(g654 +Vval +p2435 +tp2436 +a(g7 +g1053 +tp2437 +a(g842 +Vin +p2438 +tp2439 +a(g7 +g1053 +tp2440 +a(g654 +Vobj +p2441 +tp2442 +a(g7 +V\u000a\u000a\u000a +p2443 +tp2444 +a(g761 +V# Convenience version of a common use case of map: fetching a property.\u000a +p2445 +tp2446 +a(g7 +V +p2447 +tp2448 +a(g444 +V_.pluck: +p2449 +tp2450 +a(g577 +V(obj, key) -> +p2451 +tp2452 +a(g7 +V\u000a +p2453 +tp2454 +a(g654 +g1010 +tp2455 +a(g709 +g1008 +tp2456 +a(g654 +Vmap +p2457 +tp2458 +a(g709 +g1057 +tp2459 +a(g654 +Vobj +p2460 +tp2461 +a(g709 +g1254 +tp2462 +a(g7 +g1053 +tp2463 +a(g709 +g1057 +tp2464 +a(g577 +V(val) -> +p2465 +tp2466 +a(g7 +g1053 +tp2467 +a(g654 +Vval +p2468 +tp2469 +a(g709 +g1311 +tp2470 +a(g654 +Vkey +p2471 +tp2472 +a(g709 +g1315 +tp2473 +a(g709 +g1061 +tp2474 +a(g709 +g1061 +tp2475 +a(g7 +V\u000a\u000a\u000a +p2476 +tp2477 +a(g761 +V# Return the maximum item or (item-based computation).\u000a +p2478 +tp2479 +a(g7 +V +p2480 +tp2481 +a(g444 +V_.max: +p2482 +tp2483 +a(g577 +V(obj, iterator, context) -> +p2484 +tp2485 +a(g7 +V\u000a +p2486 +tp2487 +a(g842 +Vreturn +p2488 +tp2489 +a(g7 +g1053 +tp2490 +a(g685 +VMath +p2491 +tp2492 +a(g709 +g1008 +tp2493 +a(g654 +Vmax +p2494 +tp2495 +a(g709 +g1008 +tp2496 +a(g654 +Vapply +p2497 +tp2498 +a(g709 +g1057 +tp2499 +a(g685 +VMath +p2500 +tp2501 +a(g709 +g1254 +tp2502 +a(g7 +g1053 +tp2503 +a(g654 +Vobj +p2504 +tp2505 +a(g709 +g1061 +tp2506 +a(g7 +g1053 +tp2507 +a(g842 +Vif +p2508 +tp2509 +a(g7 +g1053 +tp2510 +a(g416 +Vnot +p2511 +tp2512 +a(g7 +g1053 +tp2513 +a(g654 +Viterator +p2514 +tp2515 +a(g7 +g1053 +tp2516 +a(g416 +Vand +p2517 +tp2518 +a(g7 +g1053 +tp2519 +a(g654 +g1010 +tp2520 +a(g709 +g1008 +tp2521 +a(g654 +VisArray +p2522 +tp2523 +a(g709 +g1057 +tp2524 +a(g654 +Vobj +p2525 +tp2526 +a(g709 +g1061 +tp2527 +a(g7 +V\u000a +p2528 +tp2529 +a(g444 +Vresult: +p2530 +tp2531 +a(g709 +V{ +p2532 +tp2533 +a(g444 +Vcomputed: +p2534 +tp2535 +a(g416 +g2313 +tp2536 +a(g861 +VInfinity +p2537 +tp2538 +a(g709 +V} +p2539 +tp2540 +a(g7 +V\u000a +p2541 +tp2542 +a(g654 +g1010 +tp2543 +a(g709 +g1008 +tp2544 +a(g654 +Veach +p2545 +tp2546 +a(g7 +g1053 +tp2547 +a(g654 +Vobj +p2548 +tp2549 +a(g709 +g1254 +tp2550 +a(g7 +g1053 +tp2551 +a(g577 +V(value, index, list) -> +p2552 +tp2553 +a(g7 +V\u000a +p2554 +tp2555 +a(g444 +Vcomputed: +p2556 +tp2557 +a(g842 +Vif +p2558 +tp2559 +a(g7 +g1053 +tp2560 +a(g654 +Viterator +p2561 +tp2562 +a(g7 +g1053 +tp2563 +a(g842 +Vthen +p2564 +tp2565 +a(g7 +g1053 +tp2566 +a(g654 +Viterator +p2567 +tp2568 +a(g709 +g1008 +tp2569 +a(g654 +Vcall +p2570 +tp2571 +a(g709 +g1057 +tp2572 +a(g654 +Vcontext +p2573 +tp2574 +a(g709 +g1254 +tp2575 +a(g7 +g1053 +tp2576 +a(g654 +Vvalue +p2577 +tp2578 +a(g709 +g1254 +tp2579 +a(g7 +g1053 +tp2580 +a(g654 +Vindex +p2581 +tp2582 +a(g709 +g1254 +tp2583 +a(g7 +g1053 +tp2584 +a(g654 +Vlist +p2585 +tp2586 +a(g709 +g1061 +tp2587 +a(g7 +g1053 +tp2588 +a(g842 +Velse +p2589 +tp2590 +a(g7 +g1053 +tp2591 +a(g654 +Vvalue +p2592 +tp2593 +a(g7 +V\u000a +p2594 +tp2595 +a(g654 +Vcomputed +p2596 +tp2597 +a(g7 +g1053 +tp2598 +a(g416 +V>= +p2599 +tp2600 +a(g7 +g1053 +tp2601 +a(g654 +Vresult +p2602 +tp2603 +a(g709 +g1008 +tp2604 +a(g654 +Vcomputed +p2605 +tp2606 +a(g7 +g1053 +tp2607 +a(g416 +Vand +p2608 +tp2609 +a(g7 +g1053 +tp2610 +a(g709 +g1057 +tp2611 +a(g444 +Vresult: +p2612 +tp2613 +a(g709 +g2532 +tp2614 +a(g444 +Vvalue: +p2615 +tp2616 +a(g654 +Vvalue +p2617 +tp2618 +a(g709 +g1254 +tp2619 +a(g7 +g1053 +tp2620 +a(g444 +Vcomputed: +p2621 +tp2622 +a(g654 +Vcomputed +p2623 +tp2624 +a(g709 +g2539 +tp2625 +a(g709 +g1061 +tp2626 +a(g7 +V\u000a +p2627 +tp2628 +a(g654 +Vresult +p2629 +tp2630 +a(g709 +g1008 +tp2631 +a(g654 +Vvalue +p2632 +tp2633 +a(g7 +V\u000a\u000a\u000a +p2634 +tp2635 +a(g761 +V# Return the minimum element (or element-based computation).\u000a +p2636 +tp2637 +a(g7 +V +p2638 +tp2639 +a(g444 +V_.min: +p2640 +tp2641 +a(g577 +V(obj, iterator, context) -> +p2642 +tp2643 +a(g7 +V\u000a +p2644 +tp2645 +a(g842 +Vreturn +p2646 +tp2647 +a(g7 +g1053 +tp2648 +a(g685 +VMath +p2649 +tp2650 +a(g709 +g1008 +tp2651 +a(g654 +Vmin +p2652 +tp2653 +a(g709 +g1008 +tp2654 +a(g654 +Vapply +p2655 +tp2656 +a(g709 +g1057 +tp2657 +a(g685 +VMath +p2658 +tp2659 +a(g709 +g1254 +tp2660 +a(g7 +g1053 +tp2661 +a(g654 +Vobj +p2662 +tp2663 +a(g709 +g1061 +tp2664 +a(g7 +g1053 +tp2665 +a(g842 +Vif +p2666 +tp2667 +a(g7 +g1053 +tp2668 +a(g416 +Vnot +p2669 +tp2670 +a(g7 +g1053 +tp2671 +a(g654 +Viterator +p2672 +tp2673 +a(g7 +g1053 +tp2674 +a(g416 +Vand +p2675 +tp2676 +a(g7 +g1053 +tp2677 +a(g654 +g1010 +tp2678 +a(g709 +g1008 +tp2679 +a(g654 +VisArray +p2680 +tp2681 +a(g709 +g1057 +tp2682 +a(g654 +Vobj +p2683 +tp2684 +a(g709 +g1061 +tp2685 +a(g7 +V\u000a +p2686 +tp2687 +a(g444 +Vresult: +p2688 +tp2689 +a(g709 +g2532 +tp2690 +a(g444 +Vcomputed: +p2691 +tp2692 +a(g861 +VInfinity +p2693 +tp2694 +a(g709 +g2539 +tp2695 +a(g7 +V\u000a +p2696 +tp2697 +a(g654 +g1010 +tp2698 +a(g709 +g1008 +tp2699 +a(g654 +Veach +p2700 +tp2701 +a(g7 +g1053 +tp2702 +a(g654 +Vobj +p2703 +tp2704 +a(g709 +g1254 +tp2705 +a(g7 +g1053 +tp2706 +a(g577 +V(value, index, list) -> +p2707 +tp2708 +a(g7 +V\u000a +p2709 +tp2710 +a(g444 +Vcomputed: +p2711 +tp2712 +a(g842 +Vif +p2713 +tp2714 +a(g7 +g1053 +tp2715 +a(g654 +Viterator +p2716 +tp2717 +a(g7 +g1053 +tp2718 +a(g842 +Vthen +p2719 +tp2720 +a(g7 +g1053 +tp2721 +a(g654 +Viterator +p2722 +tp2723 +a(g709 +g1008 +tp2724 +a(g654 +Vcall +p2725 +tp2726 +a(g709 +g1057 +tp2727 +a(g654 +Vcontext +p2728 +tp2729 +a(g709 +g1254 +tp2730 +a(g7 +g1053 +tp2731 +a(g654 +Vvalue +p2732 +tp2733 +a(g709 +g1254 +tp2734 +a(g7 +g1053 +tp2735 +a(g654 +Vindex +p2736 +tp2737 +a(g709 +g1254 +tp2738 +a(g7 +g1053 +tp2739 +a(g654 +Vlist +p2740 +tp2741 +a(g709 +g1061 +tp2742 +a(g7 +g1053 +tp2743 +a(g842 +Velse +p2744 +tp2745 +a(g7 +g1053 +tp2746 +a(g654 +Vvalue +p2747 +tp2748 +a(g7 +V\u000a +p2749 +tp2750 +a(g654 +Vcomputed +p2751 +tp2752 +a(g7 +g1053 +tp2753 +a(g416 +V< +p2754 +tp2755 +a(g7 +g1053 +tp2756 +a(g654 +Vresult +p2757 +tp2758 +a(g709 +g1008 +tp2759 +a(g654 +Vcomputed +p2760 +tp2761 +a(g7 +g1053 +tp2762 +a(g416 +Vand +p2763 +tp2764 +a(g7 +g1053 +tp2765 +a(g709 +g1057 +tp2766 +a(g444 +Vresult: +p2767 +tp2768 +a(g709 +g2532 +tp2769 +a(g444 +Vvalue: +p2770 +tp2771 +a(g654 +Vvalue +p2772 +tp2773 +a(g709 +g1254 +tp2774 +a(g7 +g1053 +tp2775 +a(g444 +Vcomputed: +p2776 +tp2777 +a(g654 +Vcomputed +p2778 +tp2779 +a(g709 +g2539 +tp2780 +a(g709 +g1061 +tp2781 +a(g7 +V\u000a +p2782 +tp2783 +a(g654 +Vresult +p2784 +tp2785 +a(g709 +g1008 +tp2786 +a(g654 +Vvalue +p2787 +tp2788 +a(g7 +V\u000a\u000a\u000a +p2789 +tp2790 +a(g761 +V# Sort the object's values by a criteria produced by an iterator.\u000a +p2791 +tp2792 +a(g7 +V +p2793 +tp2794 +a(g444 +V_.sortBy: +p2795 +tp2796 +a(g577 +V(obj, iterator, context) -> +p2797 +tp2798 +a(g7 +V\u000a +p2799 +tp2800 +a(g654 +g1010 +tp2801 +a(g709 +g1008 +tp2802 +a(g654 +Vpluck +p2803 +tp2804 +a(g709 +g1057 +tp2805 +a(g709 +g1057 +tp2806 +a(g709 +g1057 +tp2807 +a(g654 +g1010 +tp2808 +a(g709 +g1008 +tp2809 +a(g654 +Vmap +p2810 +tp2811 +a(g7 +g1053 +tp2812 +a(g654 +Vobj +p2813 +tp2814 +a(g709 +g1254 +tp2815 +a(g7 +g1053 +tp2816 +a(g577 +V(value, index, list) -> +p2817 +tp2818 +a(g7 +V\u000a +p2819 +tp2820 +a(g709 +g2532 +tp2821 +a(g444 +Vvalue: +p2822 +tp2823 +a(g654 +Vvalue +p2824 +tp2825 +a(g709 +g1254 +tp2826 +a(g7 +g1053 +tp2827 +a(g444 +Vcriteria: +p2828 +tp2829 +a(g654 +Viterator +p2830 +tp2831 +a(g709 +g1008 +tp2832 +a(g654 +Vcall +p2833 +tp2834 +a(g709 +g1057 +tp2835 +a(g654 +Vcontext +p2836 +tp2837 +a(g709 +g1254 +tp2838 +a(g7 +g1053 +tp2839 +a(g654 +Vvalue +p2840 +tp2841 +a(g709 +g1254 +tp2842 +a(g7 +g1053 +tp2843 +a(g654 +Vindex +p2844 +tp2845 +a(g709 +g1254 +tp2846 +a(g7 +g1053 +tp2847 +a(g654 +Vlist +p2848 +tp2849 +a(g709 +g1061 +tp2850 +a(g709 +g2539 +tp2851 +a(g7 +V\u000a +p2852 +tp2853 +a(g709 +g1061 +tp2854 +a(g709 +g1008 +tp2855 +a(g654 +Vsort +p2856 +tp2857 +a(g709 +g1057 +tp2858 +a(g577 +V(left, right) -> +p2859 +tp2860 +a(g7 +V\u000a +p2861 +tp2862 +a(g444 +Va: +p2863 +tp2864 +a(g654 +Vleft +p2865 +tp2866 +a(g709 +g1008 +tp2867 +a(g654 +Vcriteria +p2868 +tp2869 +a(g709 +V; +p2870 +tp2871 +a(g7 +g1053 +tp2872 +a(g444 +Vb: +p2873 +tp2874 +a(g654 +Vright +p2875 +tp2876 +a(g709 +g1008 +tp2877 +a(g654 +Vcriteria +p2878 +tp2879 +a(g7 +V\u000a +p2880 +tp2881 +a(g842 +Vif +p2882 +tp2883 +a(g7 +g1053 +tp2884 +a(g654 +Va +p2885 +tp2886 +a(g7 +g1053 +tp2887 +a(g416 +g2754 +tp2888 +a(g7 +g1053 +tp2889 +a(g654 +Vb +p2890 +tp2891 +a(g7 +g1053 +tp2892 +a(g842 +Vthen +p2893 +tp2894 +a(g7 +g1053 +tp2895 +a(g416 +g2313 +tp2896 +a(g40 +g2315 +tp2897 +a(g7 +g1053 +tp2898 +a(g842 +Velse +p2899 +tp2900 +a(g7 +g1053 +tp2901 +a(g842 +Vif +p2902 +tp2903 +a(g7 +g1053 +tp2904 +a(g654 +g2885 +tp2905 +a(g7 +g1053 +tp2906 +a(g416 +V> +p2907 +tp2908 +a(g7 +g1053 +tp2909 +a(g654 +g2890 +tp2910 +a(g7 +g1053 +tp2911 +a(g842 +Vthen +p2912 +tp2913 +a(g7 +g1053 +tp2914 +a(g40 +g2315 +tp2915 +a(g7 +g1053 +tp2916 +a(g842 +Velse +p2917 +tp2918 +a(g7 +g1053 +tp2919 +a(g40 +g1235 +tp2920 +a(g7 +V\u000a +p2921 +tp2922 +a(g709 +g1061 +tp2923 +a(g709 +g1061 +tp2924 +a(g709 +g1254 +tp2925 +a(g7 +g1053 +tp2926 +a(g92 +g1067 +tp2927 +a(g92 +Vvalue +p2928 +tp2929 +a(g92 +g1067 +tp2930 +a(g709 +g1061 +tp2931 +a(g7 +V\u000a\u000a\u000a +p2932 +tp2933 +a(g761 +V# Use a comparator function to figure out at what index an object should\u000a +p2934 +tp2935 +a(g7 +V +p2936 +tp2937 +a(g761 +V# be inserted so as to maintain order. Uses binary search.\u000a +p2938 +tp2939 +a(g7 +V +p2940 +tp2941 +a(g444 +V_.sortedIndex: +p2942 +tp2943 +a(g577 +V(array, obj, iterator) -> +p2944 +tp2945 +a(g7 +V\u000a +p2946 +tp2947 +a(g654 +Viterator +p2948 +tp2949 +a(g7 +g1053 +tp2950 +a(g416 +V|| +p2951 +tp2952 +a(g416 +g2040 +tp2953 +a(g7 +g1053 +tp2954 +a(g654 +g1010 +tp2955 +a(g709 +g1008 +tp2956 +a(g654 +Videntity +p2957 +tp2958 +a(g7 +V\u000a +p2959 +tp2960 +a(g444 +Vlow: +p2961 +tp2962 +a(g40 +g1235 +tp2963 +a(g709 +g2870 +tp2964 +a(g7 +g1053 +tp2965 +a(g444 +Vhigh: +p2966 +tp2967 +a(g654 +Varray +p2968 +tp2969 +a(g709 +g1008 +tp2970 +a(g654 +Vlength +p2971 +tp2972 +a(g7 +V\u000a +p2973 +tp2974 +a(g842 +Vwhile +p2975 +tp2976 +a(g7 +g1053 +tp2977 +a(g654 +Vlow +p2978 +tp2979 +a(g7 +g1053 +tp2980 +a(g416 +g2754 +tp2981 +a(g7 +g1053 +tp2982 +a(g654 +Vhigh +p2983 +tp2984 +a(g7 +V\u000a +p2985 +tp2986 +a(g444 +Vmid: +p2987 +tp2988 +a(g709 +g1057 +tp2989 +a(g654 +Vlow +p2990 +tp2991 +a(g7 +g1053 +tp2992 +a(g416 +V+ +p2993 +tp2994 +a(g7 +g1053 +tp2995 +a(g654 +Vhigh +p2996 +tp2997 +a(g709 +g1061 +tp2998 +a(g7 +g1053 +tp2999 +a(g416 +V>> +p3000 +tp3001 +a(g7 +g1053 +tp3002 +a(g40 +g2315 +tp3003 +a(g7 +V\u000a +p3004 +tp3005 +a(g842 +Vif +p3006 +tp3007 +a(g7 +g1053 +tp3008 +a(g654 +Viterator +p3009 +tp3010 +a(g709 +g1057 +tp3011 +a(g654 +Varray +p3012 +tp3013 +a(g709 +g1311 +tp3014 +a(g654 +Vmid +p3015 +tp3016 +a(g709 +g1315 +tp3017 +a(g709 +g1061 +tp3018 +a(g7 +g1053 +tp3019 +a(g416 +g2754 +tp3020 +a(g7 +g1053 +tp3021 +a(g654 +Viterator +p3022 +tp3023 +a(g709 +g1057 +tp3024 +a(g654 +Vobj +p3025 +tp3026 +a(g709 +g1061 +tp3027 +a(g7 +g1053 +tp3028 +a(g842 +Vthen +p3029 +tp3030 +a(g7 +g1053 +tp3031 +a(g444 +Vlow: +p3032 +tp3033 +a(g654 +Vmid +p3034 +tp3035 +a(g7 +g1053 +tp3036 +a(g416 +g2993 +tp3037 +a(g7 +g1053 +tp3038 +a(g40 +g2315 +tp3039 +a(g7 +g1053 +tp3040 +a(g842 +Velse +p3041 +tp3042 +a(g7 +g1053 +tp3043 +a(g444 +Vhigh: +p3044 +tp3045 +a(g654 +Vmid +p3046 +tp3047 +a(g7 +V\u000a +p3048 +tp3049 +a(g654 +Vlow +p3050 +tp3051 +a(g7 +V\u000a\u000a\u000a +p3052 +tp3053 +a(g761 +V# Convert anything iterable into a real, live array.\u000a +p3054 +tp3055 +a(g7 +V +p3056 +tp3057 +a(g444 +V_.toArray: +p3058 +tp3059 +a(g577 +V(iterable) -> +p3060 +tp3061 +a(g7 +V\u000a +p3062 +tp3063 +a(g842 +Vreturn +p3064 +tp3065 +a(g7 +g1053 +tp3066 +a(g709 +g1311 +tp3067 +a(g709 +g1315 +tp3068 +a(g7 +V +p3069 +tp3070 +a(g842 +Vif +p3071 +tp3072 +a(g7 +g1053 +tp3073 +a(g709 +g1057 +tp3074 +a(g416 +V! +p3075 +tp3076 +a(g654 +Viterable +p3077 +tp3078 +a(g709 +g1061 +tp3079 +a(g7 +V\u000a +p3080 +tp3081 +a(g842 +Vreturn +p3082 +tp3083 +a(g7 +g1053 +tp3084 +a(g654 +Viterable +p3085 +tp3086 +a(g709 +g1008 +tp3087 +a(g654 +VtoArray +p3088 +tp3089 +a(g709 +g1057 +tp3090 +a(g709 +g1061 +tp3091 +a(g7 +V +p3092 +tp3093 +a(g842 +Vif +p3094 +tp3095 +a(g7 +g1053 +tp3096 +a(g709 +g1057 +tp3097 +a(g654 +Viterable +p3098 +tp3099 +a(g709 +g1008 +tp3100 +a(g654 +VtoArray +p3101 +tp3102 +a(g709 +g1061 +tp3103 +a(g7 +V\u000a +p3104 +tp3105 +a(g842 +Vreturn +p3106 +tp3107 +a(g7 +g1053 +tp3108 +a(g654 +Viterable +p3109 +tp3110 +a(g7 +V +p3111 +tp3112 +a(g842 +Vif +p3113 +tp3114 +a(g7 +g1053 +tp3115 +a(g709 +g1057 +tp3116 +a(g654 +g1010 +tp3117 +a(g709 +g1008 +tp3118 +a(g654 +VisArray +p3119 +tp3120 +a(g709 +g1057 +tp3121 +a(g654 +Viterable +p3122 +tp3123 +a(g709 +g1061 +tp3124 +a(g709 +g1061 +tp3125 +a(g7 +V\u000a +p3126 +tp3127 +a(g842 +Vreturn +p3128 +tp3129 +a(g7 +g1053 +tp3130 +a(g654 +Vslice +p3131 +tp3132 +a(g709 +g1008 +tp3133 +a(g654 +Vcall +p3134 +tp3135 +a(g709 +g1057 +tp3136 +a(g654 +Viterable +p3137 +tp3138 +a(g709 +g1061 +tp3139 +a(g7 +g1053 +tp3140 +a(g842 +Vif +p3141 +tp3142 +a(g7 +g1053 +tp3143 +a(g709 +g1057 +tp3144 +a(g654 +g1010 +tp3145 +a(g709 +g1008 +tp3146 +a(g654 +VisArguments +p3147 +tp3148 +a(g709 +g1057 +tp3149 +a(g654 +Viterable +p3150 +tp3151 +a(g709 +g1061 +tp3152 +a(g709 +g1061 +tp3153 +a(g7 +V\u000a +p3154 +tp3155 +a(g654 +g1010 +tp3156 +a(g709 +g1008 +tp3157 +a(g654 +Vvalues +p3158 +tp3159 +a(g709 +g1057 +tp3160 +a(g654 +Viterable +p3161 +tp3162 +a(g709 +g1061 +tp3163 +a(g7 +V\u000a\u000a\u000a +p3164 +tp3165 +a(g761 +V# Return the number of elements in an object.\u000a +p3166 +tp3167 +a(g7 +V +p3168 +tp3169 +a(g444 +V_.size: +p3170 +tp3171 +a(g577 +V(obj) -> +p3172 +tp3173 +a(g7 +g1053 +tp3174 +a(g654 +g1010 +tp3175 +a(g709 +g1008 +tp3176 +a(g654 +VtoArray +p3177 +tp3178 +a(g709 +g1057 +tp3179 +a(g654 +Vobj +p3180 +tp3181 +a(g709 +g1061 +tp3182 +a(g709 +g1008 +tp3183 +a(g654 +Vlength +p3184 +tp3185 +a(g7 +V\u000a\u000a\u000a +p3186 +tp3187 +a(g761 +V# -------------------------- Array Functions: ------------------------------\u000a +p3188 +tp3189 +a(g7 +V\u000a +p3190 +tp3191 +a(g761 +V# Get the first element of an array. Passing "n" will return the first N\u000a +p3192 +tp3193 +a(g7 +V +p3194 +tp3195 +a(g761 +V# values in the array. Aliased as "head". The "guard" check allows it to work\u000a +p3196 +tp3197 +a(g7 +V +p3198 +tp3199 +a(g761 +V# with _.map.\u000a +p3200 +tp3201 +a(g7 +V +p3202 +tp3203 +a(g444 +V_.first: +p3204 +tp3205 +a(g577 +V(array, n, guard) -> +p3206 +tp3207 +a(g7 +V\u000a +p3208 +tp3209 +a(g842 +Vif +p3210 +tp3211 +a(g7 +g1053 +tp3212 +a(g654 +Vn +p3213 +tp3214 +a(g7 +g1053 +tp3215 +a(g416 +Vand +p3216 +tp3217 +a(g7 +g1053 +tp3218 +a(g416 +Vnot +p3219 +tp3220 +a(g7 +g1053 +tp3221 +a(g654 +Vguard +p3222 +tp3223 +a(g7 +g1053 +tp3224 +a(g842 +Vthen +p3225 +tp3226 +a(g7 +g1053 +tp3227 +a(g654 +Vslice +p3228 +tp3229 +a(g709 +g1008 +tp3230 +a(g654 +Vcall +p3231 +tp3232 +a(g709 +g1057 +tp3233 +a(g654 +Varray +p3234 +tp3235 +a(g709 +g1254 +tp3236 +a(g7 +g1053 +tp3237 +a(g40 +g1235 +tp3238 +a(g709 +g1254 +tp3239 +a(g7 +g1053 +tp3240 +a(g654 +g3213 +tp3241 +a(g709 +g1061 +tp3242 +a(g7 +g1053 +tp3243 +a(g842 +Velse +p3244 +tp3245 +a(g7 +g1053 +tp3246 +a(g654 +Varray +p3247 +tp3248 +a(g709 +g1311 +tp3249 +a(g40 +g1235 +tp3250 +a(g709 +g1315 +tp3251 +a(g7 +V\u000a\u000a\u000a +p3252 +tp3253 +a(g761 +V# Returns everything but the first entry of the array. Aliased as "tail".\u000a +p3254 +tp3255 +a(g7 +V +p3256 +tp3257 +a(g761 +V# Especially useful on the arguments object. Passing an "index" will return\u000a +p3258 +tp3259 +a(g7 +V +p3260 +tp3261 +a(g761 +V# the rest of the values in the array from that index onward. The "guard"\u000a +p3262 +tp3263 +a(g7 +V +p3264 +tp3265 +a(g761 +V# check allows it to work with _.map.\u000a +p3266 +tp3267 +a(g7 +V +p3268 +tp3269 +a(g444 +V_.rest: +p3270 +tp3271 +a(g577 +V(array, index, guard) -> +p3272 +tp3273 +a(g7 +V\u000a +p3274 +tp3275 +a(g654 +Vslice +p3276 +tp3277 +a(g709 +g1008 +tp3278 +a(g654 +Vcall +p3279 +tp3280 +a(g709 +g1057 +tp3281 +a(g654 +Varray +p3282 +tp3283 +a(g709 +g1254 +tp3284 +a(g7 +g1053 +tp3285 +a(g842 +Vif +p3286 +tp3287 +a(g7 +g1053 +tp3288 +a(g654 +g1010 +tp3289 +a(g709 +g1008 +tp3290 +a(g654 +VisUndefined +p3291 +tp3292 +a(g709 +g1057 +tp3293 +a(g654 +Vindex +p3294 +tp3295 +a(g709 +g1061 +tp3296 +a(g7 +g1053 +tp3297 +a(g416 +Vor +p3298 +tp3299 +a(g7 +g1053 +tp3300 +a(g654 +Vguard +p3301 +tp3302 +a(g7 +g1053 +tp3303 +a(g842 +Vthen +p3304 +tp3305 +a(g7 +g1053 +tp3306 +a(g40 +g2315 +tp3307 +a(g7 +g1053 +tp3308 +a(g842 +Velse +p3309 +tp3310 +a(g7 +g1053 +tp3311 +a(g654 +Vindex +p3312 +tp3313 +a(g709 +g1061 +tp3314 +a(g7 +V\u000a\u000a\u000a +p3315 +tp3316 +a(g761 +V# Get the last element of an array.\u000a +p3317 +tp3318 +a(g7 +V +p3319 +tp3320 +a(g444 +V_.last: +p3321 +tp3322 +a(g577 +V(array) -> +p3323 +tp3324 +a(g7 +g1053 +tp3325 +a(g654 +Varray +p3326 +tp3327 +a(g709 +g1311 +tp3328 +a(g654 +Varray +p3329 +tp3330 +a(g709 +g1008 +tp3331 +a(g654 +Vlength +p3332 +tp3333 +a(g7 +g1053 +tp3334 +a(g416 +g2313 +tp3335 +a(g7 +g1053 +tp3336 +a(g40 +g2315 +tp3337 +a(g709 +g1315 +tp3338 +a(g7 +V\u000a\u000a\u000a +p3339 +tp3340 +a(g761 +V# Trim out all falsy values from an array.\u000a +p3341 +tp3342 +a(g7 +V +p3343 +tp3344 +a(g444 +V_.compact: +p3345 +tp3346 +a(g577 +V(array) -> +p3347 +tp3348 +a(g7 +g1053 +tp3349 +a(g654 +Varray +p3350 +tp3351 +a(g709 +g1311 +tp3352 +a(g654 +g1313 +tp3353 +a(g709 +g1315 +tp3354 +a(g7 +g1053 +tp3355 +a(g842 +Vfor +p3356 +tp3357 +a(g7 +g1053 +tp3358 +a(g654 +g1313 +tp3359 +a(g7 +g1053 +tp3360 +a(g842 +Vin +p3361 +tp3362 +a(g7 +g1053 +tp3363 +a(g709 +g1311 +tp3364 +a(g40 +g1235 +tp3365 +a(g709 +g1008 +tp3366 +a(g709 +g1008 +tp3367 +a(g709 +g1008 +tp3368 +a(g654 +Varray +p3369 +tp3370 +a(g709 +g1008 +tp3371 +a(g654 +Vlength +p3372 +tp3373 +a(g709 +g1315 +tp3374 +a(g7 +g1053 +tp3375 +a(g842 +Vwhen +p3376 +tp3377 +a(g7 +g1053 +tp3378 +a(g654 +Varray +p3379 +tp3380 +a(g709 +g1311 +tp3381 +a(g654 +g1313 +tp3382 +a(g709 +g1315 +tp3383 +a(g7 +V\u000a\u000a\u000a +p3384 +tp3385 +a(g761 +V# Return a completely flattened version of an array.\u000a +p3386 +tp3387 +a(g7 +V +p3388 +tp3389 +a(g444 +V_.flatten: +p3390 +tp3391 +a(g577 +V(array) -> +p3392 +tp3393 +a(g7 +V\u000a +p3394 +tp3395 +a(g654 +g1010 +tp3396 +a(g709 +g1008 +tp3397 +a(g654 +Vreduce +p3398 +tp3399 +a(g7 +g1053 +tp3400 +a(g654 +Varray +p3401 +tp3402 +a(g709 +g1254 +tp3403 +a(g7 +g1053 +tp3404 +a(g709 +g1311 +tp3405 +a(g709 +g1315 +tp3406 +a(g709 +g1254 +tp3407 +a(g7 +g1053 +tp3408 +a(g577 +V(memo, value) -> +p3409 +tp3410 +a(g7 +V\u000a +p3411 +tp3412 +a(g842 +Vreturn +p3413 +tp3414 +a(g7 +g1053 +tp3415 +a(g654 +Vmemo +p3416 +tp3417 +a(g709 +g1008 +tp3418 +a(g654 +Vconcat +p3419 +tp3420 +a(g709 +g1057 +tp3421 +a(g654 +g1010 +tp3422 +a(g709 +g1008 +tp3423 +a(g654 +Vflatten +p3424 +tp3425 +a(g709 +g1057 +tp3426 +a(g654 +Vvalue +p3427 +tp3428 +a(g709 +g1061 +tp3429 +a(g709 +g1061 +tp3430 +a(g7 +g1053 +tp3431 +a(g842 +Vif +p3432 +tp3433 +a(g7 +g1053 +tp3434 +a(g654 +g1010 +tp3435 +a(g709 +g1008 +tp3436 +a(g654 +VisArray +p3437 +tp3438 +a(g709 +g1057 +tp3439 +a(g654 +Vvalue +p3440 +tp3441 +a(g709 +g1061 +tp3442 +a(g7 +V\u000a +p3443 +tp3444 +a(g654 +Vmemo +p3445 +tp3446 +a(g709 +g1008 +tp3447 +a(g654 +Vpush +p3448 +tp3449 +a(g709 +g1057 +tp3450 +a(g654 +Vvalue +p3451 +tp3452 +a(g709 +g1061 +tp3453 +a(g7 +V\u000a +p3454 +tp3455 +a(g654 +Vmemo +p3456 +tp3457 +a(g7 +V\u000a\u000a\u000a +p3458 +tp3459 +a(g761 +V# Return a version of the array that does not contain the specified value(s).\u000a +p3460 +tp3461 +a(g7 +V +p3462 +tp3463 +a(g444 +V_.without: +p3464 +tp3465 +a(g577 +V(array) -> +p3466 +tp3467 +a(g7 +V\u000a +p3468 +tp3469 +a(g444 +Vvalues: +p3470 +tp3471 +a(g654 +g1010 +tp3472 +a(g709 +g1008 +tp3473 +a(g654 +Vrest +p3474 +tp3475 +a(g709 +g1057 +tp3476 +a(g654 +Varguments +p3477 +tp3478 +a(g709 +g1061 +tp3479 +a(g7 +V\u000a +p3480 +tp3481 +a(g654 +Vval +p3482 +tp3483 +a(g7 +g1053 +tp3484 +a(g842 +Vfor +p3485 +tp3486 +a(g7 +g1053 +tp3487 +a(g654 +Vval +p3488 +tp3489 +a(g7 +g1053 +tp3490 +a(g842 +Vin +p3491 +tp3492 +a(g7 +g1053 +tp3493 +a(g654 +g1010 +tp3494 +a(g709 +g1008 +tp3495 +a(g654 +VtoArray +p3496 +tp3497 +a(g709 +g1057 +tp3498 +a(g654 +Varray +p3499 +tp3500 +a(g709 +g1061 +tp3501 +a(g7 +g1053 +tp3502 +a(g842 +Vwhen +p3503 +tp3504 +a(g7 +g1053 +tp3505 +a(g416 +Vnot +p3506 +tp3507 +a(g7 +g1053 +tp3508 +a(g654 +g1010 +tp3509 +a(g709 +g1008 +tp3510 +a(g654 +Vinclude +p3511 +tp3512 +a(g709 +g1057 +tp3513 +a(g654 +Vvalues +p3514 +tp3515 +a(g709 +g1254 +tp3516 +a(g7 +g1053 +tp3517 +a(g654 +Vval +p3518 +tp3519 +a(g709 +g1061 +tp3520 +a(g7 +V\u000a\u000a\u000a +p3521 +tp3522 +a(g761 +V# Produce a duplicate-free version of the array. If the array has already\u000a +p3523 +tp3524 +a(g7 +V +p3525 +tp3526 +a(g761 +V# been sorted, you have the option of using a faster algorithm.\u000a +p3527 +tp3528 +a(g7 +V +p3529 +tp3530 +a(g444 +V_.uniq: +p3531 +tp3532 +a(g577 +V(array, isSorted) -> +p3533 +tp3534 +a(g7 +V\u000a +p3535 +tp3536 +a(g444 +Vmemo: +p3537 +tp3538 +a(g709 +g1311 +tp3539 +a(g709 +g1315 +tp3540 +a(g7 +V\u000a +p3541 +tp3542 +a(g842 +Vfor +p3543 +tp3544 +a(g7 +g1053 +tp3545 +a(g654 +Vel +p3546 +tp3547 +a(g709 +g1254 +tp3548 +a(g7 +g1053 +tp3549 +a(g654 +g1313 +tp3550 +a(g7 +g1053 +tp3551 +a(g842 +Vin +p3552 +tp3553 +a(g7 +g1053 +tp3554 +a(g654 +g1010 +tp3555 +a(g709 +g1008 +tp3556 +a(g654 +VtoArray +p3557 +tp3558 +a(g709 +g1057 +tp3559 +a(g654 +Varray +p3560 +tp3561 +a(g709 +g1061 +tp3562 +a(g7 +V\u000a +p3563 +tp3564 +a(g654 +Vmemo +p3565 +tp3566 +a(g709 +g1008 +tp3567 +a(g654 +Vpush +p3568 +tp3569 +a(g709 +g1057 +tp3570 +a(g654 +Vel +p3571 +tp3572 +a(g709 +g1061 +tp3573 +a(g7 +g1053 +tp3574 +a(g842 +Vif +p3575 +tp3576 +a(g7 +g1053 +tp3577 +a(g654 +g1313 +tp3578 +a(g7 +g1053 +tp3579 +a(g416 +Vis +p3580 +tp3581 +a(g7 +g1053 +tp3582 +a(g40 +g1235 +tp3583 +a(g7 +g1053 +tp3584 +a(g416 +V|| +p3585 +tp3586 +a(g7 +g1053 +tp3587 +a(g709 +g1057 +tp3588 +a(g842 +Vif +p3589 +tp3590 +a(g7 +g1053 +tp3591 +a(g654 +VisSorted +p3592 +tp3593 +a(g7 +g1053 +tp3594 +a(g416 +Vis +p3595 +tp3596 +a(g7 +g1053 +tp3597 +a(g861 +Vtrue +p3598 +tp3599 +a(g7 +g1053 +tp3600 +a(g842 +Vthen +p3601 +tp3602 +a(g7 +g1053 +tp3603 +a(g654 +g1010 +tp3604 +a(g709 +g1008 +tp3605 +a(g654 +Vlast +p3606 +tp3607 +a(g709 +g1057 +tp3608 +a(g654 +Vmemo +p3609 +tp3610 +a(g709 +g1061 +tp3611 +a(g7 +g1053 +tp3612 +a(g416 +Visnt +p3613 +tp3614 +a(g7 +g1053 +tp3615 +a(g654 +Vel +p3616 +tp3617 +a(g7 +g1053 +tp3618 +a(g842 +Velse +p3619 +tp3620 +a(g7 +g1053 +tp3621 +a(g416 +Vnot +p3622 +tp3623 +a(g7 +g1053 +tp3624 +a(g654 +g1010 +tp3625 +a(g709 +g1008 +tp3626 +a(g654 +Vinclude +p3627 +tp3628 +a(g709 +g1057 +tp3629 +a(g654 +Vmemo +p3630 +tp3631 +a(g709 +g1254 +tp3632 +a(g7 +g1053 +tp3633 +a(g654 +Vel +p3634 +tp3635 +a(g709 +g1061 +tp3636 +a(g709 +g1061 +tp3637 +a(g7 +V\u000a +p3638 +tp3639 +a(g654 +Vmemo +p3640 +tp3641 +a(g7 +V\u000a\u000a\u000a +p3642 +tp3643 +a(g761 +V# Produce an array that contains every item shared between all the\u000a +p3644 +tp3645 +a(g7 +V +p3646 +tp3647 +a(g761 +V# passed-in arrays.\u000a +p3648 +tp3649 +a(g7 +V +p3650 +tp3651 +a(g444 +V_.intersect: +p3652 +tp3653 +a(g577 +V(array) -> +p3654 +tp3655 +a(g7 +V\u000a +p3656 +tp3657 +a(g444 +Vrest: +p3658 +tp3659 +a(g654 +g1010 +tp3660 +a(g709 +g1008 +tp3661 +a(g654 +Vrest +p3662 +tp3663 +a(g709 +g1057 +tp3664 +a(g654 +Varguments +p3665 +tp3666 +a(g709 +g1061 +tp3667 +a(g7 +V\u000a +p3668 +tp3669 +a(g654 +g1010 +tp3670 +a(g709 +g1008 +tp3671 +a(g654 +Vselect +p3672 +tp3673 +a(g7 +g1053 +tp3674 +a(g654 +g1010 +tp3675 +a(g709 +g1008 +tp3676 +a(g654 +Vuniq +p3677 +tp3678 +a(g709 +g1057 +tp3679 +a(g654 +Varray +p3680 +tp3681 +a(g709 +g1061 +tp3682 +a(g709 +g1254 +tp3683 +a(g7 +g1053 +tp3684 +a(g577 +V(item) -> +p3685 +tp3686 +a(g7 +V\u000a +p3687 +tp3688 +a(g654 +g1010 +tp3689 +a(g709 +g1008 +tp3690 +a(g654 +Vall +p3691 +tp3692 +a(g7 +g1053 +tp3693 +a(g654 +Vrest +p3694 +tp3695 +a(g709 +g1254 +tp3696 +a(g7 +g1053 +tp3697 +a(g577 +V(other) -> +p3698 +tp3699 +a(g7 +V\u000a +p3700 +tp3701 +a(g654 +g1010 +tp3702 +a(g709 +g1008 +tp3703 +a(g654 +VindexOf +p3704 +tp3705 +a(g709 +g1057 +tp3706 +a(g654 +Vother +p3707 +tp3708 +a(g709 +g1254 +tp3709 +a(g7 +g1053 +tp3710 +a(g654 +Vitem +p3711 +tp3712 +a(g709 +g1061 +tp3713 +a(g7 +g1053 +tp3714 +a(g416 +V>= +p3715 +tp3716 +a(g7 +g1053 +tp3717 +a(g40 +g1235 +tp3718 +a(g7 +V\u000a\u000a\u000a +p3719 +tp3720 +a(g761 +V# Zip together multiple lists into a single array -- elements that share\u000a +p3721 +tp3722 +a(g7 +V +p3723 +tp3724 +a(g761 +V# an index go together.\u000a +p3725 +tp3726 +a(g7 +V +p3727 +tp3728 +a(g444 +V_.zip: +p3729 +tp3730 +a(g577 +V-> +p3731 +tp3732 +a(g7 +V\u000a +p3733 +tp3734 +a(g444 +Vlength: +p3735 +tp3736 +a(g7 +V +p3737 +tp3738 +a(g654 +g1010 +tp3739 +a(g709 +g1008 +tp3740 +a(g654 +Vmax +p3741 +tp3742 +a(g709 +g1057 +tp3743 +a(g654 +g1010 +tp3744 +a(g709 +g1008 +tp3745 +a(g654 +Vpluck +p3746 +tp3747 +a(g709 +g1057 +tp3748 +a(g654 +Varguments +p3749 +tp3750 +a(g709 +g1254 +tp3751 +a(g7 +g1053 +tp3752 +a(g92 +g1067 +tp3753 +a(g92 +Vlength +p3754 +tp3755 +a(g92 +g1067 +tp3756 +a(g709 +g1061 +tp3757 +a(g709 +g1061 +tp3758 +a(g7 +V\u000a +p3759 +tp3760 +a(g444 +Vresults: +p3761 +tp3762 +a(g7 +V +p3763 +tp3764 +a(g842 +Vnew +p3765 +tp3766 +a(g7 +g1053 +tp3767 +a(g685 +VArray +p3768 +tp3769 +a(g709 +g1057 +tp3770 +a(g654 +Vlength +p3771 +tp3772 +a(g709 +g1061 +tp3773 +a(g7 +V\u000a +p3774 +tp3775 +a(g842 +Vfor +p3776 +tp3777 +a(g7 +g1053 +tp3778 +a(g654 +g1313 +tp3779 +a(g7 +g1053 +tp3780 +a(g842 +Vin +p3781 +tp3782 +a(g7 +g1053 +tp3783 +a(g709 +g1311 +tp3784 +a(g40 +g1235 +tp3785 +a(g709 +g1008 +tp3786 +a(g709 +g1008 +tp3787 +a(g709 +g1008 +tp3788 +a(g654 +Vlength +p3789 +tp3790 +a(g709 +g1315 +tp3791 +a(g7 +V\u000a +p3792 +tp3793 +a(g654 +Vresults +p3794 +tp3795 +a(g709 +g1311 +tp3796 +a(g654 +g1313 +tp3797 +a(g709 +g1315 +tp3798 +a(g416 +g1150 +tp3799 +a(g7 +g1053 +tp3800 +a(g654 +g1010 +tp3801 +a(g709 +g1008 +tp3802 +a(g654 +Vpluck +p3803 +tp3804 +a(g709 +g1057 +tp3805 +a(g654 +Varguments +p3806 +tp3807 +a(g709 +g1254 +tp3808 +a(g7 +g1053 +tp3809 +a(g685 +VString +p3810 +tp3811 +a(g709 +g1057 +tp3812 +a(g654 +g1313 +tp3813 +a(g709 +g1061 +tp3814 +a(g709 +g1061 +tp3815 +a(g7 +V\u000a +p3816 +tp3817 +a(g654 +Vresults +p3818 +tp3819 +a(g7 +V\u000a\u000a\u000a +p3820 +tp3821 +a(g761 +V# If the browser doesn't supply us with indexOf (I'm looking at you, MSIE),\u000a +p3822 +tp3823 +a(g7 +V +p3824 +tp3825 +a(g761 +V# we need this function. Return the position of the first occurence of an\u000a +p3826 +tp3827 +a(g7 +V +p3828 +tp3829 +a(g761 +V# item in an array, or -1 if the item is not included in the array.\u000a +p3830 +tp3831 +a(g7 +V +p3832 +tp3833 +a(g444 +V_.indexOf: +p3834 +tp3835 +a(g577 +V(array, item) -> +p3836 +tp3837 +a(g7 +V\u000a +p3838 +tp3839 +a(g842 +Vreturn +p3840 +tp3841 +a(g7 +g1053 +tp3842 +a(g654 +Varray +p3843 +tp3844 +a(g709 +g1008 +tp3845 +a(g654 +VindexOf +p3846 +tp3847 +a(g709 +g1057 +tp3848 +a(g654 +Vitem +p3849 +tp3850 +a(g709 +g1061 +tp3851 +a(g7 +g1053 +tp3852 +a(g842 +Vif +p3853 +tp3854 +a(g7 +g1053 +tp3855 +a(g654 +Varray +p3856 +tp3857 +a(g709 +g1008 +tp3858 +a(g654 +VindexOf +p3859 +tp3860 +a(g7 +V\u000a +p3861 +tp3862 +a(g444 +Vi: +p3863 +tp3864 +a(g40 +g1235 +tp3865 +a(g709 +g2870 +tp3866 +a(g7 +g1053 +tp3867 +a(g444 +Vl: +p3868 +tp3869 +a(g654 +Varray +p3870 +tp3871 +a(g709 +g1008 +tp3872 +a(g654 +Vlength +p3873 +tp3874 +a(g7 +V\u000a +p3875 +tp3876 +a(g842 +Vwhile +p3877 +tp3878 +a(g7 +g1053 +tp3879 +a(g654 +Vl +p3880 +tp3881 +a(g7 +g1053 +tp3882 +a(g416 +g2313 +tp3883 +a(g7 +g1053 +tp3884 +a(g654 +g1313 +tp3885 +a(g7 +V\u000a +p3886 +tp3887 +a(g842 +Vif +p3888 +tp3889 +a(g7 +g1053 +tp3890 +a(g654 +Varray +p3891 +tp3892 +a(g709 +g1311 +tp3893 +a(g654 +g1313 +tp3894 +a(g709 +g1315 +tp3895 +a(g7 +g1053 +tp3896 +a(g416 +Vis +p3897 +tp3898 +a(g7 +g1053 +tp3899 +a(g654 +Vitem +p3900 +tp3901 +a(g7 +g1053 +tp3902 +a(g842 +Vthen +p3903 +tp3904 +a(g7 +g1053 +tp3905 +a(g842 +Vreturn +p3906 +tp3907 +a(g7 +g1053 +tp3908 +a(g654 +g1313 +tp3909 +a(g7 +g1053 +tp3910 +a(g842 +Velse +p3911 +tp3912 +a(g7 +g1053 +tp3913 +a(g654 +g1313 +tp3914 +a(g416 +V++ +p3915 +tp3916 +a(g7 +V\u000a +p3917 +tp3918 +a(g416 +g2313 +tp3919 +a(g40 +g2315 +tp3920 +a(g7 +V\u000a\u000a\u000a +p3921 +tp3922 +a(g761 +V# Provide JavaScript 1.6's lastIndexOf, delegating to the native function,\u000a +p3923 +tp3924 +a(g7 +V +p3925 +tp3926 +a(g761 +V# if possible.\u000a +p3927 +tp3928 +a(g7 +V +p3929 +tp3930 +a(g444 +V_.lastIndexOf: +p3931 +tp3932 +a(g577 +V(array, item) -> +p3933 +tp3934 +a(g7 +V\u000a +p3935 +tp3936 +a(g842 +Vreturn +p3937 +tp3938 +a(g7 +g1053 +tp3939 +a(g654 +Varray +p3940 +tp3941 +a(g709 +g1008 +tp3942 +a(g654 +VlastIndexOf +p3943 +tp3944 +a(g709 +g1057 +tp3945 +a(g654 +Vitem +p3946 +tp3947 +a(g709 +g1061 +tp3948 +a(g7 +g1053 +tp3949 +a(g842 +Vif +p3950 +tp3951 +a(g7 +g1053 +tp3952 +a(g654 +Varray +p3953 +tp3954 +a(g709 +g1008 +tp3955 +a(g654 +VlastIndexOf +p3956 +tp3957 +a(g7 +V\u000a +p3958 +tp3959 +a(g444 +Vi: +p3960 +tp3961 +a(g654 +Varray +p3962 +tp3963 +a(g709 +g1008 +tp3964 +a(g654 +Vlength +p3965 +tp3966 +a(g7 +V\u000a +p3967 +tp3968 +a(g842 +Vwhile +p3969 +tp3970 +a(g7 +g1053 +tp3971 +a(g654 +g1313 +tp3972 +a(g7 +V\u000a +p3973 +tp3974 +a(g842 +Vif +p3975 +tp3976 +a(g7 +g1053 +tp3977 +a(g654 +Varray +p3978 +tp3979 +a(g709 +g1311 +tp3980 +a(g654 +g1313 +tp3981 +a(g709 +g1315 +tp3982 +a(g7 +g1053 +tp3983 +a(g416 +Vis +p3984 +tp3985 +a(g7 +g1053 +tp3986 +a(g654 +Vitem +p3987 +tp3988 +a(g7 +g1053 +tp3989 +a(g842 +Vthen +p3990 +tp3991 +a(g7 +g1053 +tp3992 +a(g842 +Vreturn +p3993 +tp3994 +a(g7 +g1053 +tp3995 +a(g654 +g1313 +tp3996 +a(g7 +g1053 +tp3997 +a(g842 +Velse +p3998 +tp3999 +a(g7 +g1053 +tp4000 +a(g654 +g1313 +tp4001 +a(g416 +g2313 +tp4002 +a(g416 +g2313 +tp4003 +a(g7 +V\u000a +p4004 +tp4005 +a(g416 +g2313 +tp4006 +a(g40 +g2315 +tp4007 +a(g7 +V\u000a\u000a\u000a +p4008 +tp4009 +a(g761 +V# Generate an integer Array containing an arithmetic progression. A port of\u000a +p4010 +tp4011 +a(g7 +V +p4012 +tp4013 +a(g761 +V# the native Python range() function. See:\u000a +p4014 +tp4015 +a(g7 +V +p4016 +tp4017 +a(g761 +V# http://docs.python.org/library/functions.html#range\u000a +p4018 +tp4019 +a(g7 +V +p4020 +tp4021 +a(g444 +V_.range: +p4022 +tp4023 +a(g577 +V(start, stop, step) -> +p4024 +tp4025 +a(g7 +V\u000a +p4026 +tp4027 +a(g444 +Va: +p4028 +tp4029 +a(g7 +V +p4030 +tp4031 +a(g654 +Varguments +p4032 +tp4033 +a(g7 +V\u000a +p4034 +tp4035 +a(g444 +Vsolo: +p4036 +tp4037 +a(g7 +V +p4038 +tp4039 +a(g654 +g2885 +tp4040 +a(g709 +g1008 +tp4041 +a(g654 +Vlength +p4042 +tp4043 +a(g7 +g1053 +tp4044 +a(g416 +V<= +p4045 +tp4046 +a(g7 +g1053 +tp4047 +a(g40 +g2315 +tp4048 +a(g7 +V\u000a +p4049 +tp4050 +a(g444 +Vi: +p4051 +tp4052 +a(g444 +Vstart: +p4053 +tp4054 +a(g842 +Vif +p4055 +tp4056 +a(g7 +g1053 +tp4057 +a(g654 +Vsolo +p4058 +tp4059 +a(g7 +g1053 +tp4060 +a(g842 +Vthen +p4061 +tp4062 +a(g7 +g1053 +tp4063 +a(g40 +g1235 +tp4064 +a(g7 +g1053 +tp4065 +a(g842 +Velse +p4066 +tp4067 +a(g7 +g1053 +tp4068 +a(g654 +g2885 +tp4069 +a(g709 +g1311 +tp4070 +a(g40 +g1235 +tp4071 +a(g709 +g1315 +tp4072 +a(g709 +g2870 +tp4073 +a(g7 +V\u000a +p4074 +tp4075 +a(g444 +Vstop: +p4076 +tp4077 +a(g7 +V +p4078 +tp4079 +a(g842 +Vif +p4080 +tp4081 +a(g7 +g1053 +tp4082 +a(g654 +Vsolo +p4083 +tp4084 +a(g7 +g1053 +tp4085 +a(g842 +Vthen +p4086 +tp4087 +a(g7 +g1053 +tp4088 +a(g654 +g2885 +tp4089 +a(g709 +g1311 +tp4090 +a(g40 +g1235 +tp4091 +a(g709 +g1315 +tp4092 +a(g7 +g1053 +tp4093 +a(g842 +Velse +p4094 +tp4095 +a(g7 +g1053 +tp4096 +a(g654 +g2885 +tp4097 +a(g709 +g1311 +tp4098 +a(g40 +g2315 +tp4099 +a(g709 +g1315 +tp4100 +a(g709 +g2870 +tp4101 +a(g7 +V\u000a +p4102 +tp4103 +a(g444 +Vstep: +p4104 +tp4105 +a(g7 +V +p4106 +tp4107 +a(g654 +g2885 +tp4108 +a(g709 +g1311 +tp4109 +a(g40 +g2392 +tp4110 +a(g709 +g1315 +tp4111 +a(g7 +g1053 +tp4112 +a(g416 +Vor +p4113 +tp4114 +a(g7 +g1053 +tp4115 +a(g40 +g2315 +tp4116 +a(g7 +V\u000a +p4117 +tp4118 +a(g444 +Vlen: +p4119 +tp4120 +a(g7 +V +p4121 +tp4122 +a(g685 +VMath +p4123 +tp4124 +a(g709 +g1008 +tp4125 +a(g654 +Vceil +p4126 +tp4127 +a(g709 +g1057 +tp4128 +a(g709 +g1057 +tp4129 +a(g654 +Vstop +p4130 +tp4131 +a(g7 +g1053 +tp4132 +a(g416 +g2313 +tp4133 +a(g7 +g1053 +tp4134 +a(g654 +Vstart +p4135 +tp4136 +a(g709 +g1061 +tp4137 +a(g7 +g1053 +tp4138 +a(g416 +V/ +p4139 +tp4140 +a(g7 +g1053 +tp4141 +a(g654 +Vstep +p4142 +tp4143 +a(g709 +g1061 +tp4144 +a(g7 +V\u000a +p4145 +tp4146 +a(g842 +Vreturn +p4147 +tp4148 +a(g7 +g1053 +tp4149 +a(g709 +g1311 +tp4150 +a(g709 +g1315 +tp4151 +a(g7 +g1053 +tp4152 +a(g842 +Vif +p4153 +tp4154 +a(g7 +g1053 +tp4155 +a(g654 +Vlen +p4156 +tp4157 +a(g7 +g1053 +tp4158 +a(g416 +V<= +p4159 +tp4160 +a(g7 +g1053 +tp4161 +a(g40 +g1235 +tp4162 +a(g7 +V\u000a +p4163 +tp4164 +a(g444 +Vrange: +p4165 +tp4166 +a(g7 +V +p4167 +tp4168 +a(g842 +Vnew +p4169 +tp4170 +a(g7 +g1053 +tp4171 +a(g685 +VArray +p4172 +tp4173 +a(g709 +g1057 +tp4174 +a(g654 +Vlen +p4175 +tp4176 +a(g709 +g1061 +tp4177 +a(g7 +V\u000a +p4178 +tp4179 +a(g444 +Vidx: +p4180 +tp4181 +a(g7 +V +p4182 +tp4183 +a(g40 +g1235 +tp4184 +a(g7 +V\u000a +p4185 +tp4186 +a(g842 +Vwhile +p4187 +tp4188 +a(g7 +g1053 +tp4189 +a(g861 +Vtrue +p4190 +tp4191 +a(g7 +V\u000a +p4192 +tp4193 +a(g842 +Vreturn +p4194 +tp4195 +a(g7 +g1053 +tp4196 +a(g654 +Vrange +p4197 +tp4198 +a(g7 +g1053 +tp4199 +a(g842 +Vif +p4200 +tp4201 +a(g7 +g1053 +tp4202 +a(g709 +g1057 +tp4203 +a(g842 +Vif +p4204 +tp4205 +a(g7 +g1053 +tp4206 +a(g654 +Vstep +p4207 +tp4208 +a(g7 +g1053 +tp4209 +a(g416 +g2907 +tp4210 +a(g7 +g1053 +tp4211 +a(g40 +g1235 +tp4212 +a(g7 +g1053 +tp4213 +a(g842 +Vthen +p4214 +tp4215 +a(g7 +g1053 +tp4216 +a(g654 +g1313 +tp4217 +a(g7 +g1053 +tp4218 +a(g416 +g2313 +tp4219 +a(g7 +g1053 +tp4220 +a(g654 +Vstop +p4221 +tp4222 +a(g7 +g1053 +tp4223 +a(g842 +Velse +p4224 +tp4225 +a(g7 +g1053 +tp4226 +a(g654 +Vstop +p4227 +tp4228 +a(g7 +g1053 +tp4229 +a(g416 +g2313 +tp4230 +a(g7 +g1053 +tp4231 +a(g654 +g1313 +tp4232 +a(g709 +g1061 +tp4233 +a(g7 +g1053 +tp4234 +a(g416 +V>= +p4235 +tp4236 +a(g7 +g1053 +tp4237 +a(g40 +g1235 +tp4238 +a(g7 +V\u000a +p4239 +tp4240 +a(g654 +Vrange +p4241 +tp4242 +a(g709 +g1311 +tp4243 +a(g654 +Vidx +p4244 +tp4245 +a(g709 +g1315 +tp4246 +a(g416 +g1150 +tp4247 +a(g7 +g1053 +tp4248 +a(g654 +g1313 +tp4249 +a(g7 +V\u000a +p4250 +tp4251 +a(g654 +Vidx +p4252 +tp4253 +a(g416 +V++ +p4254 +tp4255 +a(g7 +V\u000a +p4256 +tp4257 +a(g654 +g1313 +tp4258 +a(g416 +V+= +p4259 +tp4260 +a(g7 +g1053 +tp4261 +a(g654 +Vstep +p4262 +tp4263 +a(g7 +V\u000a\u000a\u000a +p4264 +tp4265 +a(g761 +V# ----------------------- Function Functions: -----------------------------\u000a +p4266 +tp4267 +a(g7 +V\u000a +p4268 +tp4269 +a(g761 +V# Create a function bound to a given object (assigning 'this', and arguments,\u000a +p4270 +tp4271 +a(g7 +V +p4272 +tp4273 +a(g761 +V# optionally). Binding with arguments is also known as 'curry'.\u000a +p4274 +tp4275 +a(g7 +V +p4276 +tp4277 +a(g444 +V_.bind: +p4278 +tp4279 +a(g577 +V(func, obj) -> +p4280 +tp4281 +a(g7 +V\u000a +p4282 +tp4283 +a(g444 +Vargs: +p4284 +tp4285 +a(g654 +g1010 +tp4286 +a(g709 +g1008 +tp4287 +a(g654 +Vrest +p4288 +tp4289 +a(g577 +V(arguments, 2)\u000a -> +p4290 +tp4291 +a(g7 +g1053 +tp4292 +a(g654 +Vfunc +p4293 +tp4294 +a(g709 +g1008 +tp4295 +a(g654 +Vapply +p4296 +tp4297 +a(g709 +g1057 +tp4298 +a(g654 +Vobj +p4299 +tp4300 +a(g7 +g1053 +tp4301 +a(g416 +Vor +p4302 +tp4303 +a(g7 +g1053 +tp4304 +a(g654 +Vroot +p4305 +tp4306 +a(g709 +g1254 +tp4307 +a(g7 +g1053 +tp4308 +a(g654 +Vargs +p4309 +tp4310 +a(g709 +g1008 +tp4311 +a(g654 +Vconcat +p4312 +tp4313 +a(g709 +g1057 +tp4314 +a(g654 +Varguments +p4315 +tp4316 +a(g709 +g1061 +tp4317 +a(g709 +g1061 +tp4318 +a(g7 +V\u000a\u000a\u000a +p4319 +tp4320 +a(g761 +V# Bind all of an object's methods to that object. Useful for ensuring that\u000a +p4321 +tp4322 +a(g7 +V +p4323 +tp4324 +a(g761 +V# all callbacks defined on an object belong to it.\u000a +p4325 +tp4326 +a(g7 +V +p4327 +tp4328 +a(g444 +V_.bindAll: +p4329 +tp4330 +a(g577 +V(obj) -> +p4331 +tp4332 +a(g7 +V\u000a +p4333 +tp4334 +a(g444 +Vfuncs: +p4335 +tp4336 +a(g842 +Vif +p4337 +tp4338 +a(g7 +g1053 +tp4339 +a(g654 +Varguments +p4340 +tp4341 +a(g709 +g1008 +tp4342 +a(g654 +Vlength +p4343 +tp4344 +a(g7 +g1053 +tp4345 +a(g416 +g2907 +tp4346 +a(g7 +g1053 +tp4347 +a(g40 +g2315 +tp4348 +a(g7 +g1053 +tp4349 +a(g842 +Vthen +p4350 +tp4351 +a(g7 +g1053 +tp4352 +a(g654 +g1010 +tp4353 +a(g709 +g1008 +tp4354 +a(g654 +Vrest +p4355 +tp4356 +a(g709 +g1057 +tp4357 +a(g654 +Varguments +p4358 +tp4359 +a(g709 +g1061 +tp4360 +a(g7 +g1053 +tp4361 +a(g842 +Velse +p4362 +tp4363 +a(g7 +g1053 +tp4364 +a(g654 +g1010 +tp4365 +a(g709 +g1008 +tp4366 +a(g654 +Vfunctions +p4367 +tp4368 +a(g709 +g1057 +tp4369 +a(g654 +Vobj +p4370 +tp4371 +a(g709 +g1061 +tp4372 +a(g7 +V\u000a +p4373 +tp4374 +a(g654 +g1010 +tp4375 +a(g709 +g1008 +tp4376 +a(g654 +Veach +p4377 +tp4378 +a(g709 +g1057 +tp4379 +a(g654 +Vfuncs +p4380 +tp4381 +a(g709 +g1254 +tp4382 +a(g7 +g1053 +tp4383 +a(g577 +V(f) -> +p4384 +tp4385 +a(g7 +g1053 +tp4386 +a(g654 +Vobj +p4387 +tp4388 +a(g709 +g1311 +tp4389 +a(g654 +Vf +p4390 +tp4391 +a(g709 +g1315 +tp4392 +a(g416 +g1150 +tp4393 +a(g7 +g1053 +tp4394 +a(g654 +g1010 +tp4395 +a(g709 +g1008 +tp4396 +a(g654 +Vbind +p4397 +tp4398 +a(g709 +g1057 +tp4399 +a(g654 +Vobj +p4400 +tp4401 +a(g709 +g1311 +tp4402 +a(g654 +g4390 +tp4403 +a(g709 +g1315 +tp4404 +a(g709 +g1254 +tp4405 +a(g7 +g1053 +tp4406 +a(g654 +Vobj +p4407 +tp4408 +a(g709 +g1061 +tp4409 +a(g709 +g1061 +tp4410 +a(g7 +V\u000a +p4411 +tp4412 +a(g654 +Vobj +p4413 +tp4414 +a(g7 +V\u000a\u000a\u000a +p4415 +tp4416 +a(g761 +V# Delays a function for the given number of milliseconds, and then calls\u000a +p4417 +tp4418 +a(g7 +V +p4419 +tp4420 +a(g761 +V# it with the arguments supplied.\u000a +p4421 +tp4422 +a(g7 +V +p4423 +tp4424 +a(g444 +V_.delay: +p4425 +tp4426 +a(g577 +V(func, wait) -> +p4427 +tp4428 +a(g7 +V\u000a +p4429 +tp4430 +a(g444 +Vargs: +p4431 +tp4432 +a(g654 +g1010 +tp4433 +a(g709 +g1008 +tp4434 +a(g654 +Vrest +p4435 +tp4436 +a(g709 +g1057 +tp4437 +a(g654 +Varguments +p4438 +tp4439 +a(g709 +g1254 +tp4440 +a(g7 +g1053 +tp4441 +a(g40 +g2392 +tp4442 +a(g709 +g1061 +tp4443 +a(g7 +V\u000a +p4444 +tp4445 +a(g654 +VsetTimeout +p4446 +tp4447 +a(g709 +g1057 +tp4448 +a(g709 +g1057 +tp4449 +a(g577 +V-> +p4450 +tp4451 +a(g7 +g1053 +tp4452 +a(g654 +Vfunc +p4453 +tp4454 +a(g709 +g1008 +tp4455 +a(g654 +Vapply +p4456 +tp4457 +a(g709 +g1057 +tp4458 +a(g654 +Vfunc +p4459 +tp4460 +a(g709 +g1254 +tp4461 +a(g7 +g1053 +tp4462 +a(g654 +Vargs +p4463 +tp4464 +a(g709 +g1061 +tp4465 +a(g709 +g1061 +tp4466 +a(g709 +g1254 +tp4467 +a(g7 +g1053 +tp4468 +a(g654 +Vwait +p4469 +tp4470 +a(g709 +g1061 +tp4471 +a(g7 +V\u000a\u000a\u000a +p4472 +tp4473 +a(g761 +V# Defers a function, scheduling it to run after the current call stack has\u000a +p4474 +tp4475 +a(g7 +V +p4476 +tp4477 +a(g761 +V# cleared.\u000a +p4478 +tp4479 +a(g7 +V +p4480 +tp4481 +a(g444 +V_.defer: +p4482 +tp4483 +a(g577 +V(func) -> +p4484 +tp4485 +a(g7 +V\u000a +p4486 +tp4487 +a(g654 +g1010 +tp4488 +a(g709 +g1008 +tp4489 +a(g654 +Vdelay +p4490 +tp4491 +a(g709 +g1008 +tp4492 +a(g654 +Vapply +p4493 +tp4494 +a(g709 +g1057 +tp4495 +a(g654 +g1010 +tp4496 +a(g709 +g1254 +tp4497 +a(g7 +g1053 +tp4498 +a(g709 +g1311 +tp4499 +a(g654 +Vfunc +p4500 +tp4501 +a(g709 +g1254 +tp4502 +a(g7 +g1053 +tp4503 +a(g40 +g2315 +tp4504 +a(g709 +g1315 +tp4505 +a(g709 +g1008 +tp4506 +a(g654 +Vconcat +p4507 +tp4508 +a(g709 +g1057 +tp4509 +a(g654 +g1010 +tp4510 +a(g709 +g1008 +tp4511 +a(g654 +Vrest +p4512 +tp4513 +a(g709 +g1057 +tp4514 +a(g654 +Varguments +p4515 +tp4516 +a(g709 +g1061 +tp4517 +a(g709 +g1061 +tp4518 +a(g709 +g1061 +tp4519 +a(g7 +V\u000a\u000a\u000a +p4520 +tp4521 +a(g761 +V# Returns the first function passed as an argument to the second,\u000a +p4522 +tp4523 +a(g7 +V +p4524 +tp4525 +a(g761 +V# allowing you to adjust arguments, run code before and after, and\u000a +p4526 +tp4527 +a(g7 +V +p4528 +tp4529 +a(g761 +V# conditionally execute the original function.\u000a +p4530 +tp4531 +a(g7 +V +p4532 +tp4533 +a(g444 +V_.wrap: +p4534 +tp4535 +a(g577 +V(func, wrapper) -> +p4536 +tp4537 +a(g7 +V\u000a +p4538 +tp4539 +a(g577 +V-> +p4540 +tp4541 +a(g7 +g1053 +tp4542 +a(g654 +Vwrapper +p4543 +tp4544 +a(g709 +g1008 +tp4545 +a(g654 +Vapply +p4546 +tp4547 +a(g709 +g1057 +tp4548 +a(g654 +Vwrapper +p4549 +tp4550 +a(g709 +g1254 +tp4551 +a(g7 +g1053 +tp4552 +a(g709 +g1311 +tp4553 +a(g654 +Vfunc +p4554 +tp4555 +a(g709 +g1315 +tp4556 +a(g709 +g1008 +tp4557 +a(g654 +Vconcat +p4558 +tp4559 +a(g709 +g1057 +tp4560 +a(g654 +Varguments +p4561 +tp4562 +a(g709 +g1061 +tp4563 +a(g709 +g1061 +tp4564 +a(g7 +V\u000a\u000a\u000a +p4565 +tp4566 +a(g761 +V# Returns a function that is the composition of a list of functions, each\u000a +p4567 +tp4568 +a(g7 +V +p4569 +tp4570 +a(g761 +V# consuming the return value of the function that follows.\u000a +p4571 +tp4572 +a(g7 +V +p4573 +tp4574 +a(g444 +V_.compose: +p4575 +tp4576 +a(g577 +V-> +p4577 +tp4578 +a(g7 +V\u000a +p4579 +tp4580 +a(g444 +Vfuncs: +p4581 +tp4582 +a(g654 +Varguments +p4583 +tp4584 +a(g7 +V\u000a +p4585 +tp4586 +a(g577 +V-> +p4587 +tp4588 +a(g7 +V\u000a +p4589 +tp4590 +a(g444 +Vargs: +p4591 +tp4592 +a(g654 +Varguments +p4593 +tp4594 +a(g7 +V\u000a +p4595 +tp4596 +a(g842 +Vfor +p4597 +tp4598 +a(g7 +g1053 +tp4599 +a(g654 +g1313 +tp4600 +a(g7 +g1053 +tp4601 +a(g842 +Vin +p4602 +tp4603 +a(g7 +g1053 +tp4604 +a(g709 +g1311 +tp4605 +a(g709 +g1057 +tp4606 +a(g654 +Vfuncs +p4607 +tp4608 +a(g709 +g1008 +tp4609 +a(g654 +Vlength +p4610 +tp4611 +a(g7 +g1053 +tp4612 +a(g416 +g2313 +tp4613 +a(g7 +g1053 +tp4614 +a(g40 +g2315 +tp4615 +a(g709 +g1061 +tp4616 +a(g709 +g1008 +tp4617 +a(g709 +g1008 +tp4618 +a(g40 +g1235 +tp4619 +a(g709 +g1315 +tp4620 +a(g7 +V\u000a +p4621 +tp4622 +a(g444 +Vargs: +p4623 +tp4624 +a(g709 +g1311 +tp4625 +a(g654 +Vfuncs +p4626 +tp4627 +a(g709 +g1311 +tp4628 +a(g654 +g1313 +tp4629 +a(g709 +g1315 +tp4630 +a(g709 +g1008 +tp4631 +a(g654 +Vapply +p4632 +tp4633 +a(g709 +g1057 +tp4634 +a(g842 +Vthis +p4635 +tp4636 +a(g709 +g1254 +tp4637 +a(g7 +g1053 +tp4638 +a(g654 +Vargs +p4639 +tp4640 +a(g709 +g1061 +tp4641 +a(g709 +g1315 +tp4642 +a(g7 +V\u000a +p4643 +tp4644 +a(g654 +Vargs +p4645 +tp4646 +a(g709 +g1311 +tp4647 +a(g40 +g1235 +tp4648 +a(g709 +g1315 +tp4649 +a(g7 +V\u000a\u000a\u000a +p4650 +tp4651 +a(g761 +V# ------------------------- Object Functions: ----------------------------\u000a +p4652 +tp4653 +a(g7 +V\u000a +p4654 +tp4655 +a(g761 +V# Retrieve the names of an object's properties.\u000a +p4656 +tp4657 +a(g7 +V +p4658 +tp4659 +a(g444 +V_.keys: +p4660 +tp4661 +a(g577 +V(obj) -> +p4662 +tp4663 +a(g7 +V\u000a +p4664 +tp4665 +a(g842 +Vreturn +p4666 +tp4667 +a(g7 +g1053 +tp4668 +a(g654 +g1010 +tp4669 +a(g709 +g1008 +tp4670 +a(g654 +Vrange +p4671 +tp4672 +a(g709 +g1057 +tp4673 +a(g40 +g1235 +tp4674 +a(g709 +g1254 +tp4675 +a(g7 +g1053 +tp4676 +a(g654 +Vobj +p4677 +tp4678 +a(g709 +g1008 +tp4679 +a(g654 +Vlength +p4680 +tp4681 +a(g709 +g1061 +tp4682 +a(g7 +g1053 +tp4683 +a(g842 +Vif +p4684 +tp4685 +a(g7 +g1053 +tp4686 +a(g654 +g1010 +tp4687 +a(g709 +g1008 +tp4688 +a(g654 +VisArray +p4689 +tp4690 +a(g709 +g1057 +tp4691 +a(g654 +Vobj +p4692 +tp4693 +a(g709 +g1061 +tp4694 +a(g7 +V\u000a +p4695 +tp4696 +a(g654 +Vkey +p4697 +tp4698 +a(g7 +g1053 +tp4699 +a(g842 +Vfor +p4700 +tp4701 +a(g7 +g1053 +tp4702 +a(g654 +Vkey +p4703 +tp4704 +a(g709 +g1254 +tp4705 +a(g7 +g1053 +tp4706 +a(g654 +Vval +p4707 +tp4708 +a(g7 +g1053 +tp4709 +a(g842 +Vof +p4710 +tp4711 +a(g7 +g1053 +tp4712 +a(g654 +Vobj +p4713 +tp4714 +a(g7 +V\u000a\u000a\u000a +p4715 +tp4716 +a(g761 +V# Retrieve the values of an object's properties.\u000a +p4717 +tp4718 +a(g7 +V +p4719 +tp4720 +a(g444 +V_.values: +p4721 +tp4722 +a(g577 +V(obj) -> +p4723 +tp4724 +a(g7 +V\u000a +p4725 +tp4726 +a(g654 +g1010 +tp4727 +a(g709 +g1008 +tp4728 +a(g654 +Vmap +p4729 +tp4730 +a(g709 +g1057 +tp4731 +a(g654 +Vobj +p4732 +tp4733 +a(g709 +g1254 +tp4734 +a(g7 +g1053 +tp4735 +a(g654 +g1010 +tp4736 +a(g709 +g1008 +tp4737 +a(g654 +Videntity +p4738 +tp4739 +a(g709 +g1061 +tp4740 +a(g7 +V\u000a\u000a\u000a +p4741 +tp4742 +a(g761 +V# Return a sorted list of the function names available in Underscore.\u000a +p4743 +tp4744 +a(g7 +V +p4745 +tp4746 +a(g444 +V_.functions: +p4747 +tp4748 +a(g577 +V(obj) -> +p4749 +tp4750 +a(g7 +V\u000a +p4751 +tp4752 +a(g654 +g1010 +tp4753 +a(g709 +g1008 +tp4754 +a(g654 +Vselect +p4755 +tp4756 +a(g709 +g1057 +tp4757 +a(g654 +g1010 +tp4758 +a(g709 +g1008 +tp4759 +a(g654 +Vkeys +p4760 +tp4761 +a(g709 +g1057 +tp4762 +a(g654 +Vobj +p4763 +tp4764 +a(g709 +g1061 +tp4765 +a(g709 +g1254 +tp4766 +a(g7 +g1053 +tp4767 +a(g577 +V(key) -> +p4768 +tp4769 +a(g7 +g1053 +tp4770 +a(g654 +g1010 +tp4771 +a(g709 +g1008 +tp4772 +a(g654 +VisFunction +p4773 +tp4774 +a(g709 +g1057 +tp4775 +a(g654 +Vobj +p4776 +tp4777 +a(g709 +g1311 +tp4778 +a(g654 +Vkey +p4779 +tp4780 +a(g709 +g1315 +tp4781 +a(g709 +g1061 +tp4782 +a(g709 +g1061 +tp4783 +a(g709 +g1008 +tp4784 +a(g654 +Vsort +p4785 +tp4786 +a(g709 +g1057 +tp4787 +a(g709 +g1061 +tp4788 +a(g7 +V\u000a\u000a\u000a +p4789 +tp4790 +a(g761 +V# Extend a given object with all of the properties in a source object.\u000a +p4791 +tp4792 +a(g7 +V +p4793 +tp4794 +a(g444 +V_.extend: +p4795 +tp4796 +a(g577 +V(destination, source) -> +p4797 +tp4798 +a(g7 +V\u000a +p4799 +tp4800 +a(g842 +Vfor +p4801 +tp4802 +a(g7 +g1053 +tp4803 +a(g654 +Vkey +p4804 +tp4805 +a(g709 +g1254 +tp4806 +a(g7 +g1053 +tp4807 +a(g654 +Vval +p4808 +tp4809 +a(g7 +g1053 +tp4810 +a(g842 +Vof +p4811 +tp4812 +a(g7 +g1053 +tp4813 +a(g654 +Vsource +p4814 +tp4815 +a(g7 +V\u000a +p4816 +tp4817 +a(g654 +Vdestination +p4818 +tp4819 +a(g709 +g1311 +tp4820 +a(g654 +Vkey +p4821 +tp4822 +a(g709 +g1315 +tp4823 +a(g416 +g1150 +tp4824 +a(g7 +g1053 +tp4825 +a(g654 +Vval +p4826 +tp4827 +a(g7 +V\u000a +p4828 +tp4829 +a(g654 +Vdestination +p4830 +tp4831 +a(g7 +V\u000a\u000a\u000a +p4832 +tp4833 +a(g761 +V# Create a (shallow-cloned) duplicate of an object.\u000a +p4834 +tp4835 +a(g7 +V +p4836 +tp4837 +a(g444 +V_.clone: +p4838 +tp4839 +a(g577 +V(obj) -> +p4840 +tp4841 +a(g7 +V\u000a +p4842 +tp4843 +a(g842 +Vreturn +p4844 +tp4845 +a(g7 +g1053 +tp4846 +a(g654 +Vobj +p4847 +tp4848 +a(g709 +g1008 +tp4849 +a(g654 +Vslice +p4850 +tp4851 +a(g709 +g1057 +tp4852 +a(g40 +g1235 +tp4853 +a(g709 +g1061 +tp4854 +a(g7 +g1053 +tp4855 +a(g842 +Vif +p4856 +tp4857 +a(g7 +g1053 +tp4858 +a(g654 +g1010 +tp4859 +a(g709 +g1008 +tp4860 +a(g654 +VisArray +p4861 +tp4862 +a(g709 +g1057 +tp4863 +a(g654 +Vobj +p4864 +tp4865 +a(g709 +g1061 +tp4866 +a(g7 +V\u000a +p4867 +tp4868 +a(g654 +g1010 +tp4869 +a(g709 +g1008 +tp4870 +a(g654 +Vextend +p4871 +tp4872 +a(g709 +g1057 +tp4873 +a(g709 +g2532 +tp4874 +a(g709 +g2539 +tp4875 +a(g709 +g1254 +tp4876 +a(g7 +g1053 +tp4877 +a(g654 +Vobj +p4878 +tp4879 +a(g709 +g1061 +tp4880 +a(g7 +V\u000a\u000a\u000a +p4881 +tp4882 +a(g761 +V# Invokes interceptor with the obj, and then returns obj.\u000a +p4883 +tp4884 +a(g7 +V +p4885 +tp4886 +a(g761 +V# The primary purpose of this method is to "tap into" a method chain, in order to perform operations on intermediate results within the chain.\u000a +p4887 +tp4888 +a(g7 +V +p4889 +tp4890 +a(g444 +V_.tap: +p4891 +tp4892 +a(g577 +V(obj, interceptor) -> +p4893 +tp4894 +a(g7 +V\u000a +p4895 +tp4896 +a(g654 +Vinterceptor +p4897 +tp4898 +a(g709 +g1057 +tp4899 +a(g654 +Vobj +p4900 +tp4901 +a(g709 +g1061 +tp4902 +a(g7 +V\u000a +p4903 +tp4904 +a(g654 +Vobj +p4905 +tp4906 +a(g7 +V\u000a\u000a\u000a +p4907 +tp4908 +a(g761 +V# Perform a deep comparison to check if two objects are equal.\u000a +p4909 +tp4910 +a(g7 +V +p4911 +tp4912 +a(g444 +V_.isEqual: +p4913 +tp4914 +a(g577 +V(a, b) -> +p4915 +tp4916 +a(g7 +V\u000a +p4917 +tp4918 +a(g761 +V# Check object identity.\u000a +p4919 +tp4920 +a(g7 +V +p4921 +tp4922 +a(g842 +Vreturn +p4923 +tp4924 +a(g7 +g1053 +tp4925 +a(g861 +Vtrue +p4926 +tp4927 +a(g7 +g1053 +tp4928 +a(g842 +Vif +p4929 +tp4930 +a(g7 +g1053 +tp4931 +a(g654 +g2885 +tp4932 +a(g7 +g1053 +tp4933 +a(g416 +Vis +p4934 +tp4935 +a(g7 +g1053 +tp4936 +a(g654 +g2890 +tp4937 +a(g7 +V\u000a +p4938 +tp4939 +a(g761 +V# Different types?\u000a +p4940 +tp4941 +a(g7 +V +p4942 +tp4943 +a(g444 +Vatype: +p4944 +tp4945 +a(g842 +Vtypeof +p4946 +tp4947 +a(g709 +g1057 +tp4948 +a(g654 +g2885 +tp4949 +a(g709 +g1061 +tp4950 +a(g709 +g2870 +tp4951 +a(g7 +g1053 +tp4952 +a(g444 +Vbtype: +p4953 +tp4954 +a(g842 +Vtypeof +p4955 +tp4956 +a(g709 +g1057 +tp4957 +a(g654 +g2890 +tp4958 +a(g709 +g1061 +tp4959 +a(g7 +V\u000a +p4960 +tp4961 +a(g842 +Vreturn +p4962 +tp4963 +a(g7 +g1053 +tp4964 +a(g861 +Vfalse +p4965 +tp4966 +a(g7 +g1053 +tp4967 +a(g842 +Vif +p4968 +tp4969 +a(g7 +g1053 +tp4970 +a(g654 +Vatype +p4971 +tp4972 +a(g7 +g1053 +tp4973 +a(g416 +Visnt +p4974 +tp4975 +a(g7 +g1053 +tp4976 +a(g654 +Vbtype +p4977 +tp4978 +a(g7 +V\u000a +p4979 +tp4980 +a(g761 +V# Basic equality test (watch out for coercions).\u000a +p4981 +tp4982 +a(g7 +V +p4983 +tp4984 +a(g842 +Vreturn +p4985 +tp4986 +a(g7 +g1053 +tp4987 +a(g861 +Vtrue +p4988 +tp4989 +a(g7 +g1053 +tp4990 +a(g842 +Vif +p4991 +tp4992 +a(g7 +g1053 +tp4993 +a(g416 +V` +p4994 +tp4995 +a(g654 +g2885 +tp4996 +a(g7 +g1053 +tp4997 +a(g416 +V== +p4998 +tp4999 +a(g7 +g1053 +tp5000 +a(g654 +g2890 +tp5001 +a(g416 +g4994 +tp5002 +a(g7 +V\u000a +p5003 +tp5004 +a(g761 +V# One is falsy and the other truthy.\u000a +p5005 +tp5006 +a(g7 +V +p5007 +tp5008 +a(g842 +Vreturn +p5009 +tp5010 +a(g7 +g1053 +tp5011 +a(g861 +Vfalse +p5012 +tp5013 +a(g7 +g1053 +tp5014 +a(g842 +Vif +p5015 +tp5016 +a(g7 +g1053 +tp5017 +a(g709 +g1057 +tp5018 +a(g416 +g3075 +tp5019 +a(g654 +g2885 +tp5020 +a(g7 +g1053 +tp5021 +a(g416 +Vand +p5022 +tp5023 +a(g7 +g1053 +tp5024 +a(g654 +g2890 +tp5025 +a(g709 +g1061 +tp5026 +a(g7 +g1053 +tp5027 +a(g416 +Vor +p5028 +tp5029 +a(g7 +g1053 +tp5030 +a(g709 +g1057 +tp5031 +a(g654 +g2885 +tp5032 +a(g7 +g1053 +tp5033 +a(g416 +Vand +p5034 +tp5035 +a(g7 +g1053 +tp5036 +a(g416 +g3075 +tp5037 +a(g654 +g2890 +tp5038 +a(g709 +g1061 +tp5039 +a(g7 +V\u000a +p5040 +tp5041 +a(g761 +V# One of them implements an isEqual()?\u000a +p5042 +tp5043 +a(g7 +V +p5044 +tp5045 +a(g842 +Vreturn +p5046 +tp5047 +a(g7 +g1053 +tp5048 +a(g654 +g2885 +tp5049 +a(g709 +g1008 +tp5050 +a(g654 +VisEqual +p5051 +tp5052 +a(g709 +g1057 +tp5053 +a(g654 +g2890 +tp5054 +a(g709 +g1061 +tp5055 +a(g7 +g1053 +tp5056 +a(g842 +Vif +p5057 +tp5058 +a(g7 +g1053 +tp5059 +a(g654 +g2885 +tp5060 +a(g709 +g1008 +tp5061 +a(g654 +VisEqual +p5062 +tp5063 +a(g7 +V\u000a +p5064 +tp5065 +a(g761 +V# Check dates' integer values.\u000a +p5066 +tp5067 +a(g7 +V +p5068 +tp5069 +a(g842 +Vreturn +p5070 +tp5071 +a(g7 +g1053 +tp5072 +a(g654 +g2885 +tp5073 +a(g709 +g1008 +tp5074 +a(g654 +VgetTime +p5075 +tp5076 +a(g709 +g1057 +tp5077 +a(g709 +g1061 +tp5078 +a(g7 +g1053 +tp5079 +a(g416 +Vis +p5080 +tp5081 +a(g7 +g1053 +tp5082 +a(g654 +g2890 +tp5083 +a(g709 +g1008 +tp5084 +a(g654 +VgetTime +p5085 +tp5086 +a(g709 +g1057 +tp5087 +a(g709 +g1061 +tp5088 +a(g7 +g1053 +tp5089 +a(g842 +Vif +p5090 +tp5091 +a(g7 +g1053 +tp5092 +a(g654 +g1010 +tp5093 +a(g709 +g1008 +tp5094 +a(g654 +VisDate +p5095 +tp5096 +a(g709 +g1057 +tp5097 +a(g654 +g2885 +tp5098 +a(g709 +g1061 +tp5099 +a(g7 +g1053 +tp5100 +a(g416 +Vand +p5101 +tp5102 +a(g7 +g1053 +tp5103 +a(g654 +g1010 +tp5104 +a(g709 +g1008 +tp5105 +a(g654 +VisDate +p5106 +tp5107 +a(g709 +g1057 +tp5108 +a(g654 +g2890 +tp5109 +a(g709 +g1061 +tp5110 +a(g7 +V\u000a +p5111 +tp5112 +a(g761 +V# Both are NaN?\u000a +p5113 +tp5114 +a(g7 +V +p5115 +tp5116 +a(g842 +Vreturn +p5117 +tp5118 +a(g7 +g1053 +tp5119 +a(g861 +Vtrue +p5120 +tp5121 +a(g7 +g1053 +tp5122 +a(g842 +Vif +p5123 +tp5124 +a(g7 +g1053 +tp5125 +a(g654 +g1010 +tp5126 +a(g709 +g1008 +tp5127 +a(g685 +VisNaN +p5128 +tp5129 +a(g709 +g1057 +tp5130 +a(g654 +g2885 +tp5131 +a(g709 +g1061 +tp5132 +a(g7 +g1053 +tp5133 +a(g416 +Vand +p5134 +tp5135 +a(g7 +g1053 +tp5136 +a(g654 +g1010 +tp5137 +a(g709 +g1008 +tp5138 +a(g685 +VisNaN +p5139 +tp5140 +a(g709 +g1057 +tp5141 +a(g654 +g2890 +tp5142 +a(g709 +g1061 +tp5143 +a(g7 +V\u000a +p5144 +tp5145 +a(g761 +V# Compare regular expressions.\u000a +p5146 +tp5147 +a(g7 +V +p5148 +tp5149 +a(g842 +Vif +p5150 +tp5151 +a(g7 +g1053 +tp5152 +a(g654 +g1010 +tp5153 +a(g709 +g1008 +tp5154 +a(g654 +VisRegExp +p5155 +tp5156 +a(g709 +g1057 +tp5157 +a(g654 +g2885 +tp5158 +a(g709 +g1061 +tp5159 +a(g7 +g1053 +tp5160 +a(g416 +Vand +p5161 +tp5162 +a(g7 +g1053 +tp5163 +a(g654 +g1010 +tp5164 +a(g709 +g1008 +tp5165 +a(g654 +VisRegExp +p5166 +tp5167 +a(g709 +g1057 +tp5168 +a(g654 +g2890 +tp5169 +a(g709 +g1061 +tp5170 +a(g7 +V\u000a +p5171 +tp5172 +a(g842 +Vreturn +p5173 +tp5174 +a(g7 +g1053 +tp5175 +a(g654 +g2885 +tp5176 +a(g709 +g1008 +tp5177 +a(g654 +Vsource +p5178 +tp5179 +a(g7 +V +p5180 +tp5181 +a(g416 +Vis +p5182 +tp5183 +a(g7 +g1053 +tp5184 +a(g654 +g2890 +tp5185 +a(g709 +g1008 +tp5186 +a(g654 +Vsource +p5187 +tp5188 +a(g7 +g1053 +tp5189 +a(g416 +Vand +p5190 +tp5191 +a(g7 +V\u000a +p5192 +tp5193 +a(g654 +g2885 +tp5194 +a(g709 +g1008 +tp5195 +a(g654 +Vglobal +p5196 +tp5197 +a(g7 +V +p5198 +tp5199 +a(g416 +Vis +p5200 +tp5201 +a(g7 +g1053 +tp5202 +a(g654 +g2890 +tp5203 +a(g709 +g1008 +tp5204 +a(g654 +Vglobal +p5205 +tp5206 +a(g7 +g1053 +tp5207 +a(g416 +Vand +p5208 +tp5209 +a(g7 +V\u000a +p5210 +tp5211 +a(g654 +g2885 +tp5212 +a(g709 +g1008 +tp5213 +a(g654 +VignoreCase +p5214 +tp5215 +a(g7 +g1053 +tp5216 +a(g416 +Vis +p5217 +tp5218 +a(g7 +g1053 +tp5219 +a(g654 +g2890 +tp5220 +a(g709 +g1008 +tp5221 +a(g654 +VignoreCase +p5222 +tp5223 +a(g7 +g1053 +tp5224 +a(g416 +Vand +p5225 +tp5226 +a(g7 +V\u000a +p5227 +tp5228 +a(g654 +g2885 +tp5229 +a(g709 +g1008 +tp5230 +a(g654 +Vmultiline +p5231 +tp5232 +a(g7 +V +p5233 +tp5234 +a(g416 +Vis +p5235 +tp5236 +a(g7 +g1053 +tp5237 +a(g654 +g2890 +tp5238 +a(g709 +g1008 +tp5239 +a(g654 +Vmultiline +p5240 +tp5241 +a(g7 +V\u000a +p5242 +tp5243 +a(g761 +V# If a is not an object by this point, we can't handle it.\u000a +p5244 +tp5245 +a(g7 +V +p5246 +tp5247 +a(g842 +Vreturn +p5248 +tp5249 +a(g7 +g1053 +tp5250 +a(g861 +Vfalse +p5251 +tp5252 +a(g7 +g1053 +tp5253 +a(g842 +Vif +p5254 +tp5255 +a(g7 +g1053 +tp5256 +a(g654 +Vatype +p5257 +tp5258 +a(g7 +g1053 +tp5259 +a(g416 +Visnt +p5260 +tp5261 +a(g7 +g1053 +tp5262 +a(g92 +g1067 +tp5263 +a(g92 +Vobject +p5264 +tp5265 +a(g92 +g1067 +tp5266 +a(g7 +V\u000a +p5267 +tp5268 +a(g761 +V# Check for different array lengths before comparing contents.\u000a +p5269 +tp5270 +a(g7 +V +p5271 +tp5272 +a(g842 +Vreturn +p5273 +tp5274 +a(g7 +g1053 +tp5275 +a(g861 +Vfalse +p5276 +tp5277 +a(g7 +g1053 +tp5278 +a(g842 +Vif +p5279 +tp5280 +a(g7 +g1053 +tp5281 +a(g654 +g2885 +tp5282 +a(g709 +g1008 +tp5283 +a(g654 +Vlength +p5284 +tp5285 +a(g7 +g1053 +tp5286 +a(g416 +Vand +p5287 +tp5288 +a(g7 +g1053 +tp5289 +a(g709 +g1057 +tp5290 +a(g654 +g2885 +tp5291 +a(g709 +g1008 +tp5292 +a(g654 +Vlength +p5293 +tp5294 +a(g7 +g1053 +tp5295 +a(g416 +Visnt +p5296 +tp5297 +a(g7 +g1053 +tp5298 +a(g654 +g2890 +tp5299 +a(g709 +g1008 +tp5300 +a(g654 +Vlength +p5301 +tp5302 +a(g709 +g1061 +tp5303 +a(g7 +V\u000a +p5304 +tp5305 +a(g761 +V# Nothing else worked, deep compare the contents.\u000a +p5306 +tp5307 +a(g7 +V +p5308 +tp5309 +a(g444 +VaKeys: +p5310 +tp5311 +a(g654 +g1010 +tp5312 +a(g709 +g1008 +tp5313 +a(g654 +Vkeys +p5314 +tp5315 +a(g709 +g1057 +tp5316 +a(g654 +g2885 +tp5317 +a(g709 +g1061 +tp5318 +a(g709 +g2870 +tp5319 +a(g7 +g1053 +tp5320 +a(g444 +VbKeys: +p5321 +tp5322 +a(g654 +g1010 +tp5323 +a(g709 +g1008 +tp5324 +a(g654 +Vkeys +p5325 +tp5326 +a(g709 +g1057 +tp5327 +a(g654 +g2890 +tp5328 +a(g709 +g1061 +tp5329 +a(g7 +V\u000a +p5330 +tp5331 +a(g761 +V# Different object sizes?\u000a +p5332 +tp5333 +a(g7 +V +p5334 +tp5335 +a(g842 +Vreturn +p5336 +tp5337 +a(g7 +g1053 +tp5338 +a(g861 +Vfalse +p5339 +tp5340 +a(g7 +g1053 +tp5341 +a(g842 +Vif +p5342 +tp5343 +a(g7 +g1053 +tp5344 +a(g654 +VaKeys +p5345 +tp5346 +a(g709 +g1008 +tp5347 +a(g654 +Vlength +p5348 +tp5349 +a(g7 +g1053 +tp5350 +a(g416 +Visnt +p5351 +tp5352 +a(g7 +g1053 +tp5353 +a(g654 +VbKeys +p5354 +tp5355 +a(g709 +g1008 +tp5356 +a(g654 +Vlength +p5357 +tp5358 +a(g7 +V\u000a +p5359 +tp5360 +a(g761 +V# Recursive comparison of contents.\u000a +p5361 +tp5362 +a(g7 +V +p5363 +tp5364 +a(g761 +V# for (var key in a) if (!_.isEqual(a[key], b[key])) return false;\u000a +p5365 +tp5366 +a(g7 +V +p5367 +tp5368 +a(g842 +Vreturn +p5369 +tp5370 +a(g7 +g1053 +tp5371 +a(g861 +Vtrue +p5372 +tp5373 +a(g7 +V\u000a\u000a\u000a +p5374 +tp5375 +a(g761 +V# Is a given array or object empty?\u000a +p5376 +tp5377 +a(g7 +V +p5378 +tp5379 +a(g444 +V_.isEmpty: +p5380 +tp5381 +a(g7 +V +p5382 +tp5383 +a(g577 +V(obj) -> +p5384 +tp5385 +a(g7 +g1053 +tp5386 +a(g654 +g1010 +tp5387 +a(g709 +g1008 +tp5388 +a(g654 +Vkeys +p5389 +tp5390 +a(g709 +g1057 +tp5391 +a(g654 +Vobj +p5392 +tp5393 +a(g709 +g1061 +tp5394 +a(g709 +g1008 +tp5395 +a(g654 +Vlength +p5396 +tp5397 +a(g7 +g1053 +tp5398 +a(g416 +Vis +p5399 +tp5400 +a(g7 +g1053 +tp5401 +a(g40 +g1235 +tp5402 +a(g7 +V\u000a\u000a\u000a +p5403 +tp5404 +a(g761 +V# Is a given value a DOM element?\u000a +p5405 +tp5406 +a(g7 +V +p5407 +tp5408 +a(g444 +V_.isElement: +p5409 +tp5410 +a(g7 +V +p5411 +tp5412 +a(g577 +V(obj) -> +p5413 +tp5414 +a(g7 +g1053 +tp5415 +a(g654 +Vobj +p5416 +tp5417 +a(g7 +g1053 +tp5418 +a(g416 +Vand +p5419 +tp5420 +a(g7 +g1053 +tp5421 +a(g654 +Vobj +p5422 +tp5423 +a(g709 +g1008 +tp5424 +a(g654 +VnodeType +p5425 +tp5426 +a(g7 +g1053 +tp5427 +a(g416 +Vis +p5428 +tp5429 +a(g7 +g1053 +tp5430 +a(g40 +g2315 +tp5431 +a(g7 +V\u000a\u000a\u000a +p5432 +tp5433 +a(g761 +V# Is a given value an array?\u000a +p5434 +tp5435 +a(g7 +V +p5436 +tp5437 +a(g444 +V_.isArray: +p5438 +tp5439 +a(g7 +V +p5440 +tp5441 +a(g577 +V(obj) -> +p5442 +tp5443 +a(g7 +g1053 +tp5444 +a(g416 +g3075 +tp5445 +a(g416 +g3075 +tp5446 +a(g709 +g1057 +tp5447 +a(g654 +Vobj +p5448 +tp5449 +a(g7 +g1053 +tp5450 +a(g416 +Vand +p5451 +tp5452 +a(g7 +g1053 +tp5453 +a(g654 +Vobj +p5454 +tp5455 +a(g709 +g1008 +tp5456 +a(g654 +Vconcat +p5457 +tp5458 +a(g7 +g1053 +tp5459 +a(g416 +Vand +p5460 +tp5461 +a(g7 +g1053 +tp5462 +a(g654 +Vobj +p5463 +tp5464 +a(g709 +g1008 +tp5465 +a(g654 +Vunshift +p5466 +tp5467 +a(g709 +g1061 +tp5468 +a(g7 +V\u000a\u000a\u000a +p5469 +tp5470 +a(g761 +V# Is a given variable an arguments object?\u000a +p5471 +tp5472 +a(g7 +V +p5473 +tp5474 +a(g444 +V_.isArguments: +p5475 +tp5476 +a(g7 +g1053 +tp5477 +a(g577 +V(obj) -> +p5478 +tp5479 +a(g7 +g1053 +tp5480 +a(g654 +Vobj +p5481 +tp5482 +a(g7 +g1053 +tp5483 +a(g416 +Vand +p5484 +tp5485 +a(g7 +g1053 +tp5486 +a(g654 +g1010 +tp5487 +a(g709 +g1008 +tp5488 +a(g654 +VisNumber +p5489 +tp5490 +a(g709 +g1057 +tp5491 +a(g654 +Vobj +p5492 +tp5493 +a(g709 +g1008 +tp5494 +a(g654 +Vlength +p5495 +tp5496 +a(g709 +g1061 +tp5497 +a(g7 +g1053 +tp5498 +a(g416 +Vand +p5499 +tp5500 +a(g7 +g1053 +tp5501 +a(g416 +Vnot +p5502 +tp5503 +a(g7 +g1053 +tp5504 +a(g654 +Vobj +p5505 +tp5506 +a(g709 +g1008 +tp5507 +a(g654 +Vconcat +p5508 +tp5509 +a(g7 +g1053 +tp5510 +a(g416 +Vand +p5511 +tp5512 +a(g7 +V\u000a +p5513 +tp5514 +a(g416 +Vnot +p5515 +tp5516 +a(g7 +g1053 +tp5517 +a(g654 +Vobj +p5518 +tp5519 +a(g709 +g1008 +tp5520 +a(g654 +Vsubstr +p5521 +tp5522 +a(g7 +g1053 +tp5523 +a(g416 +Vand +p5524 +tp5525 +a(g7 +g1053 +tp5526 +a(g416 +Vnot +p5527 +tp5528 +a(g7 +g1053 +tp5529 +a(g654 +Vobj +p5530 +tp5531 +a(g709 +g1008 +tp5532 +a(g654 +Vapply +p5533 +tp5534 +a(g7 +g1053 +tp5535 +a(g416 +Vand +p5536 +tp5537 +a(g7 +g1053 +tp5538 +a(g416 +Vnot +p5539 +tp5540 +a(g7 +g1053 +tp5541 +a(g654 +VpropertyIsEnumerable +p5542 +tp5543 +a(g709 +g1008 +tp5544 +a(g654 +Vcall +p5545 +tp5546 +a(g709 +g1057 +tp5547 +a(g654 +Vobj +p5548 +tp5549 +a(g709 +g1254 +tp5550 +a(g7 +g1053 +tp5551 +a(g92 +g1067 +tp5552 +a(g92 +Vlength +p5553 +tp5554 +a(g92 +g1067 +tp5555 +a(g709 +g1061 +tp5556 +a(g7 +V\u000a\u000a\u000a +p5557 +tp5558 +a(g761 +V# Is the given value a function?\u000a +p5559 +tp5560 +a(g7 +V +p5561 +tp5562 +a(g444 +V_.isFunction: +p5563 +tp5564 +a(g7 +V +p5565 +tp5566 +a(g577 +V(obj) -> +p5567 +tp5568 +a(g7 +g1053 +tp5569 +a(g416 +g3075 +tp5570 +a(g416 +g3075 +tp5571 +a(g709 +g1057 +tp5572 +a(g654 +Vobj +p5573 +tp5574 +a(g7 +g1053 +tp5575 +a(g416 +Vand +p5576 +tp5577 +a(g7 +g1053 +tp5578 +a(g654 +Vobj +p5579 +tp5580 +a(g709 +g1008 +tp5581 +a(g654 +Vconstructor +p5582 +tp5583 +a(g7 +g1053 +tp5584 +a(g416 +Vand +p5585 +tp5586 +a(g7 +g1053 +tp5587 +a(g654 +Vobj +p5588 +tp5589 +a(g709 +g1008 +tp5590 +a(g654 +Vcall +p5591 +tp5592 +a(g7 +g1053 +tp5593 +a(g416 +Vand +p5594 +tp5595 +a(g7 +g1053 +tp5596 +a(g654 +Vobj +p5597 +tp5598 +a(g709 +g1008 +tp5599 +a(g654 +Vapply +p5600 +tp5601 +a(g709 +g1061 +tp5602 +a(g7 +V\u000a\u000a\u000a +p5603 +tp5604 +a(g761 +V# Is the given value a string?\u000a +p5605 +tp5606 +a(g7 +V +p5607 +tp5608 +a(g444 +V_.isString: +p5609 +tp5610 +a(g7 +V +p5611 +tp5612 +a(g577 +V(obj) -> +p5613 +tp5614 +a(g7 +g1053 +tp5615 +a(g416 +g3075 +tp5616 +a(g416 +g3075 +tp5617 +a(g709 +g1057 +tp5618 +a(g654 +Vobj +p5619 +tp5620 +a(g7 +g1053 +tp5621 +a(g416 +Vis +p5622 +tp5623 +a(g7 +g1053 +tp5624 +a(g92 +g1067 +tp5625 +a(g92 +g1067 +tp5626 +a(g7 +g1053 +tp5627 +a(g416 +Vor +p5628 +tp5629 +a(g7 +g1053 +tp5630 +a(g709 +g1057 +tp5631 +a(g654 +Vobj +p5632 +tp5633 +a(g7 +g1053 +tp5634 +a(g416 +Vand +p5635 +tp5636 +a(g7 +g1053 +tp5637 +a(g654 +Vobj +p5638 +tp5639 +a(g709 +g1008 +tp5640 +a(g654 +VcharCodeAt +p5641 +tp5642 +a(g7 +g1053 +tp5643 +a(g416 +Vand +p5644 +tp5645 +a(g7 +g1053 +tp5646 +a(g654 +Vobj +p5647 +tp5648 +a(g709 +g1008 +tp5649 +a(g654 +Vsubstr +p5650 +tp5651 +a(g709 +g1061 +tp5652 +a(g709 +g1061 +tp5653 +a(g7 +V\u000a\u000a\u000a +p5654 +tp5655 +a(g761 +V# Is a given value a number?\u000a +p5656 +tp5657 +a(g7 +V +p5658 +tp5659 +a(g444 +V_.isNumber: +p5660 +tp5661 +a(g7 +V +p5662 +tp5663 +a(g577 +V(obj) -> +p5664 +tp5665 +a(g7 +g1053 +tp5666 +a(g709 +g1057 +tp5667 +a(g654 +Vobj +p5668 +tp5669 +a(g7 +g1053 +tp5670 +a(g416 +Vis +p5671 +tp5672 +a(g7 +g1053 +tp5673 +a(g416 +g2993 +tp5674 +a(g654 +Vobj +p5675 +tp5676 +a(g709 +g1061 +tp5677 +a(g7 +g1053 +tp5678 +a(g416 +Vor +p5679 +tp5680 +a(g7 +g1053 +tp5681 +a(g654 +VtoString +p5682 +tp5683 +a(g709 +g1008 +tp5684 +a(g654 +Vcall +p5685 +tp5686 +a(g709 +g1057 +tp5687 +a(g654 +Vobj +p5688 +tp5689 +a(g709 +g1061 +tp5690 +a(g7 +g1053 +tp5691 +a(g416 +Vis +p5692 +tp5693 +a(g7 +g1053 +tp5694 +a(g92 +g1067 +tp5695 +a(g92 +V[object Number] +p5696 +tp5697 +a(g92 +g1067 +tp5698 +a(g7 +V\u000a\u000a\u000a +p5699 +tp5700 +a(g761 +V# Is a given value a Date?\u000a +p5701 +tp5702 +a(g7 +V +p5703 +tp5704 +a(g444 +V_.isDate: +p5705 +tp5706 +a(g7 +V +p5707 +tp5708 +a(g577 +V(obj) -> +p5709 +tp5710 +a(g7 +g1053 +tp5711 +a(g416 +g3075 +tp5712 +a(g416 +g3075 +tp5713 +a(g709 +g1057 +tp5714 +a(g654 +Vobj +p5715 +tp5716 +a(g7 +g1053 +tp5717 +a(g416 +Vand +p5718 +tp5719 +a(g7 +g1053 +tp5720 +a(g654 +Vobj +p5721 +tp5722 +a(g709 +g1008 +tp5723 +a(g654 +VgetTimezoneOffset +p5724 +tp5725 +a(g7 +g1053 +tp5726 +a(g416 +Vand +p5727 +tp5728 +a(g7 +g1053 +tp5729 +a(g654 +Vobj +p5730 +tp5731 +a(g709 +g1008 +tp5732 +a(g654 +VsetUTCFullYear +p5733 +tp5734 +a(g709 +g1061 +tp5735 +a(g7 +V\u000a\u000a\u000a +p5736 +tp5737 +a(g761 +V# Is the given value a regular expression?\u000a +p5738 +tp5739 +a(g7 +V +p5740 +tp5741 +a(g444 +V_.isRegExp: +p5742 +tp5743 +a(g7 +V +p5744 +tp5745 +a(g577 +V(obj) -> +p5746 +tp5747 +a(g7 +g1053 +tp5748 +a(g416 +g3075 +tp5749 +a(g416 +g3075 +tp5750 +a(g709 +g1057 +tp5751 +a(g654 +Vobj +p5752 +tp5753 +a(g7 +g1053 +tp5754 +a(g416 +Vand +p5755 +tp5756 +a(g7 +g1053 +tp5757 +a(g654 +Vobj +p5758 +tp5759 +a(g709 +g1008 +tp5760 +a(g654 +Vexec +p5761 +tp5762 +a(g7 +g1053 +tp5763 +a(g416 +Vand +p5764 +tp5765 +a(g7 +g1053 +tp5766 +a(g709 +g1057 +tp5767 +a(g654 +Vobj +p5768 +tp5769 +a(g709 +g1008 +tp5770 +a(g654 +VignoreCase +p5771 +tp5772 +a(g7 +g1053 +tp5773 +a(g416 +Vor +p5774 +tp5775 +a(g7 +g1053 +tp5776 +a(g654 +Vobj +p5777 +tp5778 +a(g709 +g1008 +tp5779 +a(g654 +VignoreCase +p5780 +tp5781 +a(g7 +g1053 +tp5782 +a(g416 +Vis +p5783 +tp5784 +a(g7 +g1053 +tp5785 +a(g861 +Vfalse +p5786 +tp5787 +a(g709 +g1061 +tp5788 +a(g709 +g1061 +tp5789 +a(g7 +V\u000a\u000a\u000a +p5790 +tp5791 +a(g761 +V# Is the given value NaN -- this one is interesting. NaN != NaN, and\u000a +p5792 +tp5793 +a(g7 +V +p5794 +tp5795 +a(g761 +V# isNaN(undefined) == true, so we make sure it's a number first.\u000a +p5796 +tp5797 +a(g7 +V +p5798 +tp5799 +a(g444 +V_.isNaN: +p5800 +tp5801 +a(g7 +V +p5802 +tp5803 +a(g577 +V(obj) -> +p5804 +tp5805 +a(g7 +g1053 +tp5806 +a(g654 +g1010 +tp5807 +a(g709 +g1008 +tp5808 +a(g654 +VisNumber +p5809 +tp5810 +a(g709 +g1057 +tp5811 +a(g654 +Vobj +p5812 +tp5813 +a(g709 +g1061 +tp5814 +a(g7 +g1053 +tp5815 +a(g416 +Vand +p5816 +tp5817 +a(g7 +g1053 +tp5818 +a(g685 +Vwindow +p5819 +tp5820 +a(g709 +g1008 +tp5821 +a(g685 +VisNaN +p5822 +tp5823 +a(g709 +g1057 +tp5824 +a(g654 +Vobj +p5825 +tp5826 +a(g709 +g1061 +tp5827 +a(g7 +V\u000a\u000a\u000a +p5828 +tp5829 +a(g761 +V# Is a given value equal to null?\u000a +p5830 +tp5831 +a(g7 +V +p5832 +tp5833 +a(g444 +V_.isNull: +p5834 +tp5835 +a(g7 +V +p5836 +tp5837 +a(g577 +V(obj) -> +p5838 +tp5839 +a(g7 +g1053 +tp5840 +a(g654 +Vobj +p5841 +tp5842 +a(g7 +g1053 +tp5843 +a(g416 +Vis +p5844 +tp5845 +a(g7 +g1053 +tp5846 +a(g861 +Vnull +p5847 +tp5848 +a(g7 +V\u000a\u000a\u000a +p5849 +tp5850 +a(g761 +V# Is a given variable undefined?\u000a +p5851 +tp5852 +a(g7 +V +p5853 +tp5854 +a(g444 +V_.isUndefined: +p5855 +tp5856 +a(g7 +g1053 +tp5857 +a(g577 +V(obj) -> +p5858 +tp5859 +a(g7 +g1053 +tp5860 +a(g842 +Vtypeof +p5861 +tp5862 +a(g7 +g1053 +tp5863 +a(g654 +Vobj +p5864 +tp5865 +a(g7 +g1053 +tp5866 +a(g416 +Vis +p5867 +tp5868 +a(g7 +g1053 +tp5869 +a(g92 +g1067 +tp5870 +a(g92 +Vundefined +p5871 +tp5872 +a(g92 +g1067 +tp5873 +a(g7 +V\u000a\u000a\u000a +p5874 +tp5875 +a(g761 +V# -------------------------- Utility Functions: --------------------------\u000a +p5876 +tp5877 +a(g7 +V\u000a +p5878 +tp5879 +a(g761 +V# Run Underscore.js in noConflict mode, returning the '_' variable to its\u000a +p5880 +tp5881 +a(g7 +V +p5882 +tp5883 +a(g761 +V# previous owner. Returns a reference to the Underscore object.\u000a +p5884 +tp5885 +a(g7 +V +p5886 +tp5887 +a(g444 +V_.noConflict: +p5888 +tp5889 +a(g577 +V-> +p5890 +tp5891 +a(g7 +V\u000a +p5892 +tp5893 +a(g444 +Vroot._: +p5894 +tp5895 +a(g654 +VpreviousUnderscore +p5896 +tp5897 +a(g7 +V\u000a +p5898 +tp5899 +a(g842 +Vthis +p5900 +tp5901 +a(g7 +V\u000a\u000a\u000a +p5902 +tp5903 +a(g761 +V# Keep the identity function around for default iterators.\u000a +p5904 +tp5905 +a(g7 +V +p5906 +tp5907 +a(g444 +V_.identity: +p5908 +tp5909 +a(g577 +V(value) -> +p5910 +tp5911 +a(g7 +g1053 +tp5912 +a(g654 +Vvalue +p5913 +tp5914 +a(g7 +V\u000a\u000a\u000a +p5915 +tp5916 +a(g761 +V# Break out of the middle of an iteration.\u000a +p5917 +tp5918 +a(g7 +V +p5919 +tp5920 +a(g444 +V_.breakLoop: +p5921 +tp5922 +a(g577 +V-> +p5923 +tp5924 +a(g7 +g1053 +tp5925 +a(g842 +Vthrow +p5926 +tp5927 +a(g7 +g1053 +tp5928 +a(g654 +Vbreaker +p5929 +tp5930 +a(g7 +V\u000a\u000a\u000a +p5931 +tp5932 +a(g761 +V# Generate a unique integer id (unique within the entire client session).\u000a +p5933 +tp5934 +a(g7 +V +p5935 +tp5936 +a(g761 +V# Useful for temporary DOM ids.\u000a +p5937 +tp5938 +a(g7 +V +p5939 +tp5940 +a(g444 +VidCounter: +p5941 +tp5942 +a(g40 +g1235 +tp5943 +a(g7 +V\u000a +p5944 +tp5945 +a(g444 +V_.uniqueId: +p5946 +tp5947 +a(g577 +V(prefix) -> +p5948 +tp5949 +a(g7 +V\u000a +p5950 +tp5951 +a(g709 +g1057 +tp5952 +a(g654 +Vprefix +p5953 +tp5954 +a(g7 +g1053 +tp5955 +a(g416 +Vor +p5956 +tp5957 +a(g7 +g1053 +tp5958 +a(g92 +g1067 +tp5959 +a(g92 +g1067 +tp5960 +a(g709 +g1061 +tp5961 +a(g7 +g1053 +tp5962 +a(g416 +g2993 +tp5963 +a(g7 +g1053 +tp5964 +a(g654 +VidCounter +p5965 +tp5966 +a(g416 +V++ +p5967 +tp5968 +a(g7 +V\u000a\u000a\u000a +p5969 +tp5970 +a(g761 +V# By default, Underscore uses ERB-style template delimiters, change the\u000a +p5971 +tp5972 +a(g7 +V +p5973 +tp5974 +a(g761 +V# following template settings to use alternative delimiters.\u000a +p5975 +tp5976 +a(g7 +V +p5977 +tp5978 +a(g444 +V_.templateSettings: +p5979 +tp5980 +a(g709 +g2532 +tp5981 +a(g7 +V\u000a +p5982 +tp5983 +a(g444 +Vstart: +p5984 +tp5985 +a(g7 +V +p5986 +tp5987 +a(g92 +g1067 +tp5988 +a(g92 +V<% +p5989 +tp5990 +a(g92 +g1067 +tp5991 +a(g7 +V\u000a +p5992 +tp5993 +a(g444 +Vend: +p5994 +tp5995 +a(g7 +V +p5996 +tp5997 +a(g92 +g1067 +tp5998 +a(g92 +V%> +p5999 +tp6000 +a(g92 +g1067 +tp6001 +a(g7 +V\u000a +p6002 +tp6003 +a(g444 +Vinterpolate: +p6004 +tp6005 +a(g7 +g1053 +tp6006 +a(g200 +V/<%=(.+?)%>/g +p6007 +tp6008 +a(g7 +V\u000a +p6009 +tp6010 +a(g709 +g2539 +tp6011 +a(g7 +V\u000a\u000a\u000a +p6012 +tp6013 +a(g761 +V# JavaScript templating a-la ERB, pilfered from John Resig's\u000a +p6014 +tp6015 +a(g7 +V +p6016 +tp6017 +a(g761 +V# "Secrets of the JavaScript Ninja", page 83.\u000a +p6018 +tp6019 +a(g7 +V +p6020 +tp6021 +a(g761 +V# Single-quotea fix from Rick Strahl's version.\u000a +p6022 +tp6023 +a(g7 +V +p6024 +tp6025 +a(g444 +V_.template: +p6026 +tp6027 +a(g577 +V(str, data) -> +p6028 +tp6029 +a(g7 +V\u000a +p6030 +tp6031 +a(g444 +Vc: +p6032 +tp6033 +a(g654 +g1010 +tp6034 +a(g709 +g1008 +tp6035 +a(g654 +VtemplateSettings +p6036 +tp6037 +a(g7 +V\u000a +p6038 +tp6039 +a(g444 +Vfn: +p6040 +tp6041 +a(g842 +Vnew +p6042 +tp6043 +a(g7 +g1053 +tp6044 +a(g685 +VFunction +p6045 +tp6046 +a(g7 +g1053 +tp6047 +a(g92 +g1067 +tp6048 +a(g92 +Vobj +p6049 +tp6050 +a(g92 +g1067 +tp6051 +a(g709 +g1254 +tp6052 +a(g7 +V\u000a +p6053 +tp6054 +a(g92 +g1067 +tp6055 +a(g92 +Vvar p=[],print=function(){p.push.apply(p,arguments);}; +p6056 +tp6057 +a(g92 +g1067 +tp6058 +a(g7 +g1053 +tp6059 +a(g416 +g2993 +tp6060 +a(g7 +V\u000a +p6061 +tp6062 +a(g92 +g1067 +tp6063 +a(g92 +Vwith(obj){p.push( +p6064 +tp6065 +a(g92 +V\u005c' +p6066 +tp6067 +a(g92 +g1067 +tp6068 +a(g7 +g1053 +tp6069 +a(g416 +g2993 +tp6070 +a(g7 +V\u000a +p6071 +tp6072 +a(g654 +Vstr +p6073 +tp6074 +a(g709 +g1008 +tp6075 +a(g654 +Vreplace +p6076 +tp6077 +a(g709 +g1057 +tp6078 +a(g200 +V/[\u005cr\u005ct\u005cn]/g +p6079 +tp6080 +a(g709 +g1254 +tp6081 +a(g7 +g1053 +tp6082 +a(g92 +V" +p6083 +tp6084 +a(g92 +g1053 +tp6085 +a(g92 +g6083 +tp6086 +a(g709 +g1061 +tp6087 +a(g7 +V\u000a +p6088 +tp6089 +a(g709 +g1008 +tp6090 +a(g654 +Vreplace +p6091 +tp6092 +a(g709 +g1057 +tp6093 +a(g842 +Vnew +p6094 +tp6095 +a(g7 +g1053 +tp6096 +a(g685 +VRegExp +p6097 +tp6098 +a(g709 +g1057 +tp6099 +a(g92 +g6083 +tp6100 +a(g92 +g1067 +tp6101 +a(g92 +V(?=[^ +p6102 +tp6103 +a(g92 +g6083 +tp6104 +a(g416 +g2993 +tp6105 +a(g654 +Vc +p6106 +tp6107 +a(g709 +g1008 +tp6108 +a(g654 +Vend +p6109 +tp6110 +a(g709 +g1311 +tp6111 +a(g40 +g1235 +tp6112 +a(g709 +g1315 +tp6113 +a(g416 +g2993 +tp6114 +a(g92 +g6083 +tp6115 +a(g92 +V]* +p6116 +tp6117 +a(g92 +g6083 +tp6118 +a(g416 +g2993 +tp6119 +a(g654 +g6106 +tp6120 +a(g709 +g1008 +tp6121 +a(g654 +Vend +p6122 +tp6123 +a(g416 +g2993 +tp6124 +a(g92 +g6083 +tp6125 +a(g92 +g1061 +tp6126 +a(g92 +g6083 +tp6127 +a(g709 +g1254 +tp6128 +a(g92 +g6083 +tp6129 +a(g92 +Vg +p6130 +tp6131 +a(g92 +g6083 +tp6132 +a(g709 +g1061 +tp6133 +a(g709 +g1254 +tp6134 +a(g92 +g6083 +tp6135 +a(g92 +V\u005ct +p6136 +tp6137 +a(g92 +g6083 +tp6138 +a(g709 +g1061 +tp6139 +a(g7 +V\u000a +p6140 +tp6141 +a(g709 +g1008 +tp6142 +a(g654 +Vsplit +p6143 +tp6144 +a(g709 +g1057 +tp6145 +a(g92 +g6083 +tp6146 +a(g92 +g1067 +tp6147 +a(g92 +g6083 +tp6148 +a(g709 +g1061 +tp6149 +a(g709 +g1008 +tp6150 +a(g654 +Vjoin +p6151 +tp6152 +a(g709 +g1057 +tp6153 +a(g92 +g6083 +tp6154 +a(g92 +V\u005c\u005c +p6155 +tp6156 +a(g92 +g1067 +tp6157 +a(g92 +g6083 +tp6158 +a(g709 +g1061 +tp6159 +a(g7 +V\u000a +p6160 +tp6161 +a(g709 +g1008 +tp6162 +a(g654 +Vsplit +p6163 +tp6164 +a(g709 +g1057 +tp6165 +a(g92 +g6083 +tp6166 +a(g92 +V\u005ct +p6167 +tp6168 +a(g92 +g6083 +tp6169 +a(g709 +g1061 +tp6170 +a(g709 +g1008 +tp6171 +a(g654 +Vjoin +p6172 +tp6173 +a(g709 +g1057 +tp6174 +a(g92 +g6083 +tp6175 +a(g92 +g1067 +tp6176 +a(g92 +g6083 +tp6177 +a(g709 +g1061 +tp6178 +a(g7 +V\u000a +p6179 +tp6180 +a(g709 +g1008 +tp6181 +a(g654 +Vreplace +p6182 +tp6183 +a(g709 +g1057 +tp6184 +a(g654 +g6106 +tp6185 +a(g709 +g1008 +tp6186 +a(g654 +Vinterpolate +p6187 +tp6188 +a(g709 +g1254 +tp6189 +a(g7 +g1053 +tp6190 +a(g92 +g6083 +tp6191 +a(g92 +g1067 +tp6192 +a(g92 +V,$1, +p6193 +tp6194 +a(g92 +g1067 +tp6195 +a(g92 +g6083 +tp6196 +a(g709 +g1061 +tp6197 +a(g7 +V\u000a +p6198 +tp6199 +a(g709 +g1008 +tp6200 +a(g654 +Vsplit +p6201 +tp6202 +a(g709 +g1057 +tp6203 +a(g654 +g6106 +tp6204 +a(g709 +g1008 +tp6205 +a(g654 +Vstart +p6206 +tp6207 +a(g709 +g1061 +tp6208 +a(g709 +g1008 +tp6209 +a(g654 +Vjoin +p6210 +tp6211 +a(g709 +g1057 +tp6212 +a(g92 +g6083 +tp6213 +a(g92 +g1067 +tp6214 +a(g92 +V); +p6215 +tp6216 +a(g92 +g6083 +tp6217 +a(g709 +g1061 +tp6218 +a(g7 +V\u000a +p6219 +tp6220 +a(g709 +g1008 +tp6221 +a(g654 +Vsplit +p6222 +tp6223 +a(g709 +g1057 +tp6224 +a(g654 +g6106 +tp6225 +a(g709 +g1008 +tp6226 +a(g654 +Vend +p6227 +tp6228 +a(g709 +g1061 +tp6229 +a(g709 +g1008 +tp6230 +a(g654 +Vjoin +p6231 +tp6232 +a(g709 +g1057 +tp6233 +a(g92 +g6083 +tp6234 +a(g92 +Vp.push( +p6235 +tp6236 +a(g92 +g1067 +tp6237 +a(g92 +g6083 +tp6238 +a(g709 +g1061 +tp6239 +a(g7 +g1053 +tp6240 +a(g416 +g2993 +tp6241 +a(g7 +V\u000a +p6242 +tp6243 +a(g92 +g6083 +tp6244 +a(g92 +g1067 +tp6245 +a(g92 +V);}return p.join( +p6246 +tp6247 +a(g92 +g1067 +tp6248 +a(g92 +g1067 +tp6249 +a(g92 +V); +p6250 +tp6251 +a(g92 +g6083 +tp6252 +a(g7 +V\u000a +p6253 +tp6254 +a(g842 +Vif +p6255 +tp6256 +a(g7 +g1053 +tp6257 +a(g654 +Vdata +p6258 +tp6259 +a(g7 +g1053 +tp6260 +a(g842 +Vthen +p6261 +tp6262 +a(g7 +g1053 +tp6263 +a(g654 +Vfn +p6264 +tp6265 +a(g709 +g1057 +tp6266 +a(g654 +Vdata +p6267 +tp6268 +a(g709 +g1061 +tp6269 +a(g7 +g1053 +tp6270 +a(g842 +Velse +p6271 +tp6272 +a(g7 +g1053 +tp6273 +a(g654 +Vfn +p6274 +tp6275 +a(g7 +V\u000a\u000a\u000a +p6276 +tp6277 +a(g761 +V# ------------------------------- Aliases ----------------------------------\u000a +p6278 +tp6279 +a(g7 +V\u000a +p6280 +tp6281 +a(g444 +V_.forEach: +p6282 +tp6283 +a(g654 +g1010 +tp6284 +a(g709 +g1008 +tp6285 +a(g654 +Veach +p6286 +tp6287 +a(g7 +V\u000a +p6288 +tp6289 +a(g444 +V_.foldl: +p6290 +tp6291 +a(g7 +V +p6292 +tp6293 +a(g444 +V_.inject: +p6294 +tp6295 +a(g7 +V +p6296 +tp6297 +a(g654 +g1010 +tp6298 +a(g709 +g1008 +tp6299 +a(g654 +Vreduce +p6300 +tp6301 +a(g7 +V\u000a +p6302 +tp6303 +a(g444 +V_.foldr: +p6304 +tp6305 +a(g7 +V +p6306 +tp6307 +a(g654 +g1010 +tp6308 +a(g709 +g1008 +tp6309 +a(g654 +VreduceRight +p6310 +tp6311 +a(g7 +V\u000a +p6312 +tp6313 +a(g444 +V_.filter: +p6314 +tp6315 +a(g7 +g1053 +tp6316 +a(g654 +g1010 +tp6317 +a(g709 +g1008 +tp6318 +a(g654 +Vselect +p6319 +tp6320 +a(g7 +V\u000a +p6321 +tp6322 +a(g444 +V_.every: +p6323 +tp6324 +a(g7 +V +p6325 +tp6326 +a(g654 +g1010 +tp6327 +a(g709 +g1008 +tp6328 +a(g654 +Vall +p6329 +tp6330 +a(g7 +V\u000a +p6331 +tp6332 +a(g444 +V_.some: +p6333 +tp6334 +a(g7 +V +p6335 +tp6336 +a(g654 +g1010 +tp6337 +a(g709 +g1008 +tp6338 +a(g654 +Vany +p6339 +tp6340 +a(g7 +V\u000a +p6341 +tp6342 +a(g444 +V_.head: +p6343 +tp6344 +a(g7 +V +p6345 +tp6346 +a(g654 +g1010 +tp6347 +a(g709 +g1008 +tp6348 +a(g654 +Vfirst +p6349 +tp6350 +a(g7 +V\u000a +p6351 +tp6352 +a(g444 +V_.tail: +p6353 +tp6354 +a(g7 +V +p6355 +tp6356 +a(g654 +g1010 +tp6357 +a(g709 +g1008 +tp6358 +a(g654 +Vrest +p6359 +tp6360 +a(g7 +V\u000a +p6361 +tp6362 +a(g444 +V_.methods: +p6363 +tp6364 +a(g654 +g1010 +tp6365 +a(g709 +g1008 +tp6366 +a(g654 +Vfunctions +p6367 +tp6368 +a(g7 +V\u000a\u000a\u000a +p6369 +tp6370 +a(g761 +V# /*------------------------ Setup the OOP Wrapper: --------------------------*/\u000a +p6371 +tp6372 +a(g7 +V\u000a +p6373 +tp6374 +a(g761 +V# Helper function to continue chaining intermediate results.\u000a +p6375 +tp6376 +a(g7 +V +p6377 +tp6378 +a(g444 +Vresult: +p6379 +tp6380 +a(g577 +V(obj, chain) -> +p6381 +tp6382 +a(g7 +V\u000a +p6383 +tp6384 +a(g842 +Vif +p6385 +tp6386 +a(g7 +g1053 +tp6387 +a(g654 +Vchain +p6388 +tp6389 +a(g7 +g1053 +tp6390 +a(g842 +Vthen +p6391 +tp6392 +a(g7 +g1053 +tp6393 +a(g654 +g1010 +tp6394 +a(g709 +g1057 +tp6395 +a(g654 +Vobj +p6396 +tp6397 +a(g709 +g1061 +tp6398 +a(g709 +g1008 +tp6399 +a(g654 +Vchain +p6400 +tp6401 +a(g709 +g1057 +tp6402 +a(g709 +g1061 +tp6403 +a(g7 +g1053 +tp6404 +a(g842 +Velse +p6405 +tp6406 +a(g7 +g1053 +tp6407 +a(g654 +Vobj +p6408 +tp6409 +a(g7 +V\u000a\u000a\u000a +p6410 +tp6411 +a(g761 +V# Add all of the Underscore functions to the wrapper object.\u000a +p6412 +tp6413 +a(g7 +V +p6414 +tp6415 +a(g654 +g1010 +tp6416 +a(g709 +g1008 +tp6417 +a(g654 +Veach +p6418 +tp6419 +a(g7 +g1053 +tp6420 +a(g654 +g1010 +tp6421 +a(g709 +g1008 +tp6422 +a(g654 +Vfunctions +p6423 +tp6424 +a(g709 +g1057 +tp6425 +a(g654 +g1010 +tp6426 +a(g709 +g1061 +tp6427 +a(g709 +g1254 +tp6428 +a(g7 +g1053 +tp6429 +a(g577 +V(name) -> +p6430 +tp6431 +a(g7 +V\u000a +p6432 +tp6433 +a(g444 +Vmethod: +p6434 +tp6435 +a(g654 +g1010 +tp6436 +a(g709 +g1311 +tp6437 +a(g654 +Vname +p6438 +tp6439 +a(g709 +g1315 +tp6440 +a(g7 +V\u000a +p6441 +tp6442 +a(g654 +Vwrapper +p6443 +tp6444 +a(g709 +g1008 +tp6445 +a(g654 +Vprototype +p6446 +tp6447 +a(g709 +g1311 +tp6448 +a(g654 +Vname +p6449 +tp6450 +a(g709 +g1315 +tp6451 +a(g416 +g1150 +tp6452 +a(g7 +g1053 +tp6453 +a(g577 +V-> +p6454 +tp6455 +a(g7 +V\u000a +p6456 +tp6457 +a(g654 +Vunshift +p6458 +tp6459 +a(g709 +g1008 +tp6460 +a(g654 +Vcall +p6461 +tp6462 +a(g709 +g1057 +tp6463 +a(g654 +Varguments +p6464 +tp6465 +a(g709 +g1254 +tp6466 +a(g7 +g1053 +tp6467 +a(g842 +Vthis +p6468 +tp6469 +a(g709 +g1008 +tp6470 +a(g654 +V_wrapped +p6471 +tp6472 +a(g709 +g1061 +tp6473 +a(g7 +V\u000a +p6474 +tp6475 +a(g654 +Vresult +p6476 +tp6477 +a(g709 +g1057 +tp6478 +a(g654 +Vmethod +p6479 +tp6480 +a(g709 +g1008 +tp6481 +a(g654 +Vapply +p6482 +tp6483 +a(g709 +g1057 +tp6484 +a(g654 +g1010 +tp6485 +a(g709 +g1254 +tp6486 +a(g7 +g1053 +tp6487 +a(g654 +Varguments +p6488 +tp6489 +a(g709 +g1061 +tp6490 +a(g709 +g1254 +tp6491 +a(g7 +g1053 +tp6492 +a(g842 +Vthis +p6493 +tp6494 +a(g709 +g1008 +tp6495 +a(g654 +V_chain +p6496 +tp6497 +a(g709 +g1061 +tp6498 +a(g7 +V\u000a\u000a\u000a +p6499 +tp6500 +a(g761 +V# Add all mutator Array functions to the wrapper.\u000a +p6501 +tp6502 +a(g7 +V +p6503 +tp6504 +a(g654 +g1010 +tp6505 +a(g709 +g1008 +tp6506 +a(g654 +Veach +p6507 +tp6508 +a(g7 +g1053 +tp6509 +a(g709 +g1311 +tp6510 +a(g92 +g1067 +tp6511 +a(g92 +Vpop +p6512 +tp6513 +a(g92 +g1067 +tp6514 +a(g709 +g1254 +tp6515 +a(g7 +g1053 +tp6516 +a(g92 +g1067 +tp6517 +a(g92 +Vpush +p6518 +tp6519 +a(g92 +g1067 +tp6520 +a(g709 +g1254 +tp6521 +a(g7 +g1053 +tp6522 +a(g92 +g1067 +tp6523 +a(g92 +Vreverse +p6524 +tp6525 +a(g92 +g1067 +tp6526 +a(g709 +g1254 +tp6527 +a(g7 +g1053 +tp6528 +a(g92 +g1067 +tp6529 +a(g92 +Vshift +p6530 +tp6531 +a(g92 +g1067 +tp6532 +a(g709 +g1254 +tp6533 +a(g7 +g1053 +tp6534 +a(g92 +g1067 +tp6535 +a(g92 +Vsort +p6536 +tp6537 +a(g92 +g1067 +tp6538 +a(g709 +g1254 +tp6539 +a(g7 +g1053 +tp6540 +a(g92 +g1067 +tp6541 +a(g92 +Vsplice +p6542 +tp6543 +a(g92 +g1067 +tp6544 +a(g709 +g1254 +tp6545 +a(g7 +g1053 +tp6546 +a(g92 +g1067 +tp6547 +a(g92 +Vunshift +p6548 +tp6549 +a(g92 +g1067 +tp6550 +a(g709 +g1315 +tp6551 +a(g709 +g1254 +tp6552 +a(g7 +g1053 +tp6553 +a(g577 +V(name) -> +p6554 +tp6555 +a(g7 +V\u000a +p6556 +tp6557 +a(g444 +Vmethod: +p6558 +tp6559 +a(g685 +VArray +p6560 +tp6561 +a(g709 +g1008 +tp6562 +a(g654 +Vprototype +p6563 +tp6564 +a(g709 +g1311 +tp6565 +a(g654 +Vname +p6566 +tp6567 +a(g709 +g1315 +tp6568 +a(g7 +V\u000a +p6569 +tp6570 +a(g654 +Vwrapper +p6571 +tp6572 +a(g709 +g1008 +tp6573 +a(g654 +Vprototype +p6574 +tp6575 +a(g709 +g1311 +tp6576 +a(g654 +Vname +p6577 +tp6578 +a(g709 +g1315 +tp6579 +a(g416 +g1150 +tp6580 +a(g7 +g1053 +tp6581 +a(g577 +V-> +p6582 +tp6583 +a(g7 +V\u000a +p6584 +tp6585 +a(g654 +Vmethod +p6586 +tp6587 +a(g709 +g1008 +tp6588 +a(g654 +Vapply +p6589 +tp6590 +a(g709 +g1057 +tp6591 +a(g842 +Vthis +p6592 +tp6593 +a(g709 +g1008 +tp6594 +a(g654 +V_wrapped +p6595 +tp6596 +a(g709 +g1254 +tp6597 +a(g7 +g1053 +tp6598 +a(g654 +Varguments +p6599 +tp6600 +a(g709 +g1061 +tp6601 +a(g7 +V\u000a +p6602 +tp6603 +a(g654 +Vresult +p6604 +tp6605 +a(g709 +g1057 +tp6606 +a(g842 +Vthis +p6607 +tp6608 +a(g709 +g1008 +tp6609 +a(g654 +V_wrapped +p6610 +tp6611 +a(g709 +g1254 +tp6612 +a(g7 +g1053 +tp6613 +a(g842 +Vthis +p6614 +tp6615 +a(g709 +g1008 +tp6616 +a(g654 +V_chain +p6617 +tp6618 +a(g709 +g1061 +tp6619 +a(g7 +V\u000a\u000a\u000a +p6620 +tp6621 +a(g761 +V# Add all accessor Array functions to the wrapper.\u000a +p6622 +tp6623 +a(g7 +V +p6624 +tp6625 +a(g654 +g1010 +tp6626 +a(g709 +g1008 +tp6627 +a(g654 +Veach +p6628 +tp6629 +a(g7 +g1053 +tp6630 +a(g709 +g1311 +tp6631 +a(g92 +g1067 +tp6632 +a(g92 +Vconcat +p6633 +tp6634 +a(g92 +g1067 +tp6635 +a(g709 +g1254 +tp6636 +a(g7 +g1053 +tp6637 +a(g92 +g1067 +tp6638 +a(g92 +Vjoin +p6639 +tp6640 +a(g92 +g1067 +tp6641 +a(g709 +g1254 +tp6642 +a(g7 +g1053 +tp6643 +a(g92 +g1067 +tp6644 +a(g92 +Vslice +p6645 +tp6646 +a(g92 +g1067 +tp6647 +a(g709 +g1315 +tp6648 +a(g709 +g1254 +tp6649 +a(g7 +g1053 +tp6650 +a(g577 +V(name) -> +p6651 +tp6652 +a(g7 +V\u000a +p6653 +tp6654 +a(g444 +Vmethod: +p6655 +tp6656 +a(g685 +VArray +p6657 +tp6658 +a(g709 +g1008 +tp6659 +a(g654 +Vprototype +p6660 +tp6661 +a(g709 +g1311 +tp6662 +a(g654 +Vname +p6663 +tp6664 +a(g709 +g1315 +tp6665 +a(g7 +V\u000a +p6666 +tp6667 +a(g654 +Vwrapper +p6668 +tp6669 +a(g709 +g1008 +tp6670 +a(g654 +Vprototype +p6671 +tp6672 +a(g709 +g1311 +tp6673 +a(g654 +Vname +p6674 +tp6675 +a(g709 +g1315 +tp6676 +a(g416 +g1150 +tp6677 +a(g7 +g1053 +tp6678 +a(g577 +V-> +p6679 +tp6680 +a(g7 +V\u000a +p6681 +tp6682 +a(g654 +Vresult +p6683 +tp6684 +a(g709 +g1057 +tp6685 +a(g654 +Vmethod +p6686 +tp6687 +a(g709 +g1008 +tp6688 +a(g654 +Vapply +p6689 +tp6690 +a(g709 +g1057 +tp6691 +a(g842 +Vthis +p6692 +tp6693 +a(g709 +g1008 +tp6694 +a(g654 +V_wrapped +p6695 +tp6696 +a(g709 +g1254 +tp6697 +a(g7 +g1053 +tp6698 +a(g654 +Varguments +p6699 +tp6700 +a(g709 +g1061 +tp6701 +a(g709 +g1254 +tp6702 +a(g7 +g1053 +tp6703 +a(g842 +Vthis +p6704 +tp6705 +a(g709 +g1008 +tp6706 +a(g654 +V_chain +p6707 +tp6708 +a(g709 +g1061 +tp6709 +a(g7 +V\u000a\u000a\u000a +p6710 +tp6711 +a(g761 +V# Start chaining a wrapped Underscore object.\u000a +p6712 +tp6713 +a(g7 +V +p6714 +tp6715 +a(g444 +Vwrapper::chain: +p6716 +tp6717 +a(g577 +V-> +p6718 +tp6719 +a(g7 +V\u000a +p6720 +tp6721 +a(g842 +Vthis +p6722 +tp6723 +a(g709 +g1008 +tp6724 +a(g444 +V_chain: +p6725 +tp6726 +a(g861 +Vtrue +p6727 +tp6728 +a(g7 +V\u000a +p6729 +tp6730 +a(g842 +Vthis +p6731 +tp6732 +a(g7 +V\u000a\u000a\u000a +p6733 +tp6734 +a(g761 +V# Extracts the result from a wrapped and chained object.\u000a +p6735 +tp6736 +a(g7 +V +p6737 +tp6738 +a(g444 +Vwrapper::value: +p6739 +tp6740 +a(g577 +V-> +p6741 +tp6742 +a(g7 +g1053 +tp6743 +a(g842 +Vthis +p6744 +tp6745 +a(g709 +g1008 +tp6746 +a(g654 +V_wrapped +p6747 +tp6748 +a(g7 +V\u000a +p6749 +tp6750 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/unicode.applescript b/tests/examplefiles/output/unicode.applescript new file mode 100644 index 0000000..e560c8c --- /dev/null +++ b/tests/examplefiles/output/unicode.applescript @@ -0,0 +1,2436 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVset +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g428 +Vjp +p960 +tp961 +a(g826 +g958 +tp962 +a(g7 +Vto +p963 +tp964 +a(g826 +g958 +tp965 +a(g144 +V"\u65e5\u672c\u8a9e" +p966 +tp967 +a(g826 +V\u000a\u000a +p968 +tp969 +a(g7 +Vset +p970 +tp971 +a(g826 +g958 +tp972 +a(g428 +Vru +p973 +tp974 +a(g826 +g958 +tp975 +a(g7 +Vto +p976 +tp977 +a(g826 +g958 +tp978 +a(g144 +V"\u0420\u0443\u0441\u0441\u043a\u0438\u0439" +p979 +tp980 +a(g826 +V\u000a\u000a +p981 +tp982 +a(g428 +Vjp +p983 +tp984 +a(g826 +g958 +tp985 +a(g400 +V& +p986 +tp987 +a(g826 +g958 +tp988 +a(g144 +V" and " +p989 +tp990 +a(g826 +g958 +tp991 +a(g400 +g986 +tp992 +a(g826 +g958 +tp993 +a(g428 +Vru +p994 +tp995 +a(g826 +g958 +tp996 +a(g709 +V-- returns "\u65e5\u672c\u8a9e and \u0420\u0443\u0441\u0441\u043a\u0438\u0439" +p997 +tp998 +a(g826 +V\u000a +p999 +tp1000 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/unicode.go b/tests/examplefiles/output/unicode.go new file mode 100644 index 0000000..c1693d9 --- /dev/null +++ b/tests/examplefiles/output/unicode.go @@ -0,0 +1,2523 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Namespace' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsg5 +g1 +(g2 +g3 +(g178 +g5 +tp610 +tp611 +Rp612 +(dp613 +g10 +g428 +sg34 +g35 +((lp614 +tp615 +Rp616 +sbsg34 +g35 +((lp617 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp618 +tp619 +Rp620 +(dp621 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp622 +tp623 +Rp624 +(dp625 +g10 +g620 +sg34 +g35 +((lp626 +tp627 +Rp628 +sbsg34 +g35 +((lp629 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p630 +tp631 +tp632 +Rp633 +(dp634 +g10 +g620 +sg34 +g35 +((lp635 +tp636 +Rp637 +sbag624 +atp638 +Rp639 +sg630 +g633 +sbag1 +(g2 +g3 +(g178 +g62 +tp640 +tp641 +Rp642 +(dp643 +g10 +g428 +sS'Member' +p644 +g1 +(g2 +g3 +(g178 +g62 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g642 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsg34 +g35 +((lp652 +g647 +atp653 +Rp654 +sbag589 +ag480 +ag612 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p655 +tp656 +tp657 +Rp658 +(dp659 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g655 +g430 +tp660 +tp661 +Rp662 +(dp663 +g10 +g658 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsg34 +g35 +((lp667 +g662 +atp668 +Rp669 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p670 +tp671 +tp672 +Rp673 +(dp674 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g670 +g531 +tp675 +tp676 +Rp677 +(dp678 +g10 +g673 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsg34 +g35 +((lp682 +g1 +(g2 +g3 +(g178 +g670 +g523 +tp683 +tp684 +Rp685 +(dp686 +g10 +g673 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbag677 +atp690 +Rp691 +sg523 +g685 +sbag597 +ag534 +ag510 +atp692 +Rp693 +sg10 +g16 +sg435 +g620 +sg670 +g673 +sg655 +g658 +sg62 +g642 +sbsS'Punctuation' +p694 +g1 +(g2 +g3 +(g694 +tp695 +tp696 +Rp697 +(dp698 +g10 +g16 +sg34 +g35 +((lp699 +g1 +(g2 +g3 +(g694 +S'Indicator' +p700 +tp701 +tp702 +Rp703 +(dp704 +g10 +g697 +sg34 +g35 +((lp705 +tp706 +Rp707 +sbatp708 +Rp709 +sg700 +g703 +sbsS'Comment' +p710 +g1 +(g2 +g3 +(g710 +tp711 +tp712 +Rp713 +(dp714 +S'Multi' +p715 +g1 +(g2 +g3 +(g710 +g715 +tp716 +tp717 +Rp718 +(dp719 +g10 +g713 +sg34 +g35 +((lp720 +tp721 +Rp722 +sbsg10 +g16 +sS'Special' +p723 +g1 +(g2 +g3 +(g710 +g723 +tp724 +tp725 +Rp726 +(dp727 +g10 +g713 +sg34 +g35 +((lp728 +tp729 +Rp730 +sbsS'Hashbang' +p731 +g1 +(g2 +g3 +(g710 +g731 +tp732 +tp733 +Rp734 +(dp735 +g10 +g713 +sg34 +g35 +((lp736 +tp737 +Rp738 +sbsS'Preproc' +p739 +g1 +(g2 +g3 +(g710 +g739 +tp740 +tp741 +Rp742 +(dp743 +g10 +g713 +sg34 +g35 +((lp744 +tp745 +Rp746 +sbsg219 +g1 +(g2 +g3 +(g710 +g219 +tp747 +tp748 +Rp749 +(dp750 +g10 +g713 +sg34 +g35 +((lp751 +tp752 +Rp753 +sbsS'Directive' +p754 +g1 +(g2 +g3 +(g710 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g713 +sg34 +g35 +((lp759 +tp760 +Rp761 +sbsg211 +g1 +(g2 +g3 +(g710 +g211 +tp762 +tp763 +Rp764 +(dp765 +g10 +g713 +sg34 +g35 +((lp766 +tp767 +Rp768 +sbsS'Singleline' +p769 +g1 +(g2 +g3 +(g710 +g769 +tp770 +tp771 +Rp772 +(dp773 +g10 +g713 +sg34 +g35 +((lp774 +tp775 +Rp776 +sbsS'Multiline' +p777 +g1 +(g2 +g3 +(g710 +g777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g713 +sg34 +g35 +((lp782 +tp783 +Rp784 +sbsg34 +g35 +((lp785 +g764 +ag757 +ag734 +ag718 +ag772 +ag742 +ag780 +ag749 +ag1 +(g2 +g3 +(g710 +S'PreprocFile' +p786 +tp787 +tp788 +Rp789 +(dp790 +g10 +g713 +sg34 +g35 +((lp791 +tp792 +Rp793 +sbag1 +(g2 +g3 +(g710 +S'SingleLine' +p794 +tp795 +tp796 +Rp797 +(dp798 +g10 +g713 +sg34 +g35 +((lp799 +tp800 +Rp801 +sbag726 +atp802 +Rp803 +sg786 +g789 +sg794 +g797 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp804 +tp805 +Rp806 +(dp807 +g10 +g16 +sg34 +g35 +((lp808 +tp809 +Rp810 +sbsg375 +g1 +(g2 +g3 +(g375 +tp811 +tp812 +Rp813 +(dp814 +g10 +g16 +sg34 +g35 +((lp815 +tp816 +Rp817 +sbsS'Token' +p818 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp819 +tp820 +Rp821 +(dp822 +g10 +g16 +sg34 +g35 +((lp823 +tp824 +Rp825 +sbsg34 +g35 +((lp826 +g428 +ag806 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p827 +tp828 +tp829 +Rp830 +(dp831 +S'Beer' +p832 +g1 +(g2 +g3 +(g827 +g832 +tp833 +tp834 +Rp835 +(dp836 +g10 +g830 +sg34 +g35 +((lp837 +tp838 +Rp839 +sbsS'Whitespace' +p840 +g1 +(g2 +g3 +(g827 +g840 +tp841 +tp842 +Rp843 +(dp844 +g10 +g830 +sg34 +g35 +((lp845 +tp846 +Rp847 +sbsg10 +g16 +sS'Root' +p848 +g1 +(g2 +g3 +(g827 +g848 +tp849 +tp850 +Rp851 +(dp852 +g10 +g830 +sg34 +g35 +((lp853 +tp854 +Rp855 +sbsg106 +g1 +(g2 +g3 +(g827 +g106 +tp856 +tp857 +Rp858 +(dp859 +g10 +g830 +sg34 +g35 +((lp860 +tp861 +Rp862 +sbsg694 +g1 +(g2 +g3 +(g827 +g694 +tp863 +tp864 +Rp865 +(dp866 +g10 +g830 +sg34 +g35 +((lp867 +tp868 +Rp869 +sbsg34 +g35 +((lp870 +g851 +ag858 +ag865 +ag843 +ag835 +ag1 +(g2 +g3 +(g827 +S'Rag' +p871 +tp872 +tp873 +Rp874 +(dp875 +g10 +g830 +sg34 +g35 +((lp876 +tp877 +Rp878 +sbatp879 +Rp880 +sg871 +g874 +sbag405 +ag821 +ag697 +ag713 +ag813 +ag44 +atp881 +Rp882 +sg4 +g13 +sg827 +g830 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp883 +tp884 +Rp885 +(dp886 +g10 +g13 +sg34 +g35 +((lp887 +tp888 +Rp889 +sbsS'Control' +p890 +g1 +(g2 +g3 +(g4 +g890 +tp891 +tp892 +Rp893 +(dp894 +g10 +g13 +sg34 +g35 +((lp895 +tp896 +Rp897 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp898 +tp899 +Rp900 +(dp901 +g10 +g13 +sg34 +g35 +((lp902 +tp903 +Rp904 +sbsg5 +g8 +sS'PreProc' +p905 +g1 +(g2 +g3 +(g4 +g905 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp913 +tp914 +Rp915 +(dp916 +g10 +g13 +sg34 +g35 +((lp917 +tp918 +Rp919 +sbsS'Reserved' +p920 +g1 +(g2 +g3 +(g4 +g920 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsg34 +g35 +((lp928 +g8 +ag1 +(g2 +g3 +(g4 +g416 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbag893 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p936 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag915 +ag900 +ag885 +ag923 +ag908 +atp951 +Rp952 +sg4 +g946 +sg936 +g939 +sg416 +g931 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVpackage +p956 +tp957 +a(g830 +V +p958 +tp959 +a(g642 +Vmain +p960 +tp961 +a(g830 +V\u000a +p962 +tp963 +a(g830 +V\u000a +p964 +tp965 +a(g8 +Vimport +p966 +tp967 +a(g830 +g958 +tp968 +a(g81 +V"fmt" +p969 +tp970 +a(g830 +V\u000a +p971 +tp972 +a(g830 +V\u000a +p973 +tp974 +a(g939 +Vfunc +p975 +tp976 +a(g830 +g958 +tp977 +a(g642 +Vmain +p978 +tp979 +a(g697 +V( +p980 +tp981 +a(g697 +V) +p982 +tp983 +a(g830 +g958 +tp984 +a(g697 +V{ +p985 +tp986 +a(g830 +V\u000a +p987 +tp988 +a(g830 +V +p989 +tp990 +a(g642 +V\u4e16\u754c +p991 +tp992 +a(g830 +g958 +tp993 +a(g405 +V:= +p994 +tp995 +a(g830 +g958 +tp996 +a(g81 +V"Hello, world!" +p997 +tp998 +a(g830 +V\u000a +p999 +tp1000 +a(g830 +V +p1001 +tp1002 +a(g642 +V\u3055\u3088\u3046\u306a\u3089 +p1003 +tp1004 +a(g830 +g958 +tp1005 +a(g405 +V:= +p1006 +tp1007 +a(g830 +g958 +tp1008 +a(g81 +V"Goodbye, world!" +p1009 +tp1010 +a(g830 +V\u000a +p1011 +tp1012 +a(g830 +V +p1013 +tp1014 +a(g642 +Vfmt +p1015 +tp1016 +a(g697 +V. +p1017 +tp1018 +a(g642 +VPrintln +p1019 +tp1020 +a(g697 +g980 +tp1021 +a(g642 +V\u4e16\u754c +p1022 +tp1023 +a(g697 +g982 +tp1024 +a(g830 +V\u000a +p1025 +tp1026 +a(g830 +V +p1027 +tp1028 +a(g642 +Vfmt +p1029 +tp1030 +a(g697 +g1017 +tp1031 +a(g642 +VPrintln +p1032 +tp1033 +a(g697 +g980 +tp1034 +a(g642 +V\u3055\u3088\u3046\u306a\u3089 +p1035 +tp1036 +a(g697 +g982 +tp1037 +a(g830 +V\u000a +p1038 +tp1039 +a(g697 +V} +p1040 +tp1041 +a(g830 +V\u000a +p1042 +tp1043 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/unicode.js b/tests/examplefiles/output/unicode.js new file mode 100644 index 0000000..2e883e5 --- /dev/null +++ b/tests/examplefiles/output/unicode.js @@ -0,0 +1,2428 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +S'Declaration' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsS'Generic' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'Deleted' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsS'Subheading' +p327 +g1 +(g2 +g3 +(g314 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g317 +sg34 +g35 +((lp332 +tp333 +Rp334 +sbsS'Heading' +p335 +g1 +(g2 +g3 +(g314 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g317 +sg34 +g35 +((lp340 +tp341 +Rp342 +sbsS'Emph' +p343 +g1 +(g2 +g3 +(g314 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g317 +sg34 +g35 +((lp348 +tp349 +Rp350 +sbsS'Prompt' +p351 +g1 +(g2 +g3 +(g314 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g317 +sg34 +g35 +((lp356 +tp357 +Rp358 +sbsS'Inserted' +p359 +g1 +(g2 +g3 +(g314 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g317 +sg34 +g35 +((lp364 +tp365 +Rp366 +sbsS'Strong' +p367 +g1 +(g2 +g3 +(g314 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g317 +sg34 +g35 +((lp372 +tp373 +Rp374 +sbsS'Error' +p375 +g1 +(g2 +g3 +(g314 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g317 +sg34 +g35 +((lp380 +tp381 +Rp382 +sbsS'Traceback' +p383 +g1 +(g2 +g3 +(g314 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g317 +sg34 +g35 +((lp388 +tp389 +Rp390 +sbsg34 +g35 +((lp391 +g338 +ag330 +ag1 +(g2 +g3 +(g314 +S'Output' +p392 +tp393 +tp394 +Rp395 +(dp396 +g10 +g317 +sg34 +g35 +((lp397 +tp398 +Rp399 +sbag370 +ag346 +ag378 +ag386 +ag362 +ag354 +ag322 +atp400 +Rp401 +sg392 +g395 +sbsS'Operator' +p402 +g1 +(g2 +g3 +(g402 +tp403 +tp404 +Rp405 +(dp406 +g10 +g16 +sS'DBS' +p407 +g1 +(g2 +g3 +(g402 +g407 +tp408 +tp409 +Rp410 +(dp411 +g10 +g405 +sg34 +g35 +((lp412 +tp413 +Rp414 +sbsg34 +g35 +((lp415 +g410 +ag1 +(g2 +g3 +(g402 +S'Word' +p416 +tp417 +tp418 +Rp419 +(dp420 +g10 +g405 +sg34 +g35 +((lp421 +tp422 +Rp423 +sbatp424 +Rp425 +sg416 +g419 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp426 +tp427 +Rp428 +(dp429 +S'Variable' +p430 +g1 +(g2 +g3 +(g178 +g430 +tp431 +tp432 +Rp433 +(dp434 +g10 +g428 +sS'Class' +p435 +g1 +(g2 +g3 +(g178 +g430 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g433 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Anonymous' +p443 +g1 +(g2 +g3 +(g178 +g430 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g433 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Instance' +p451 +g1 +(g2 +g3 +(g178 +g430 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g433 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Global' +p459 +g1 +(g2 +g3 +(g178 +g430 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g433 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsg34 +g35 +((lp467 +g446 +ag454 +ag1 +(g2 +g3 +(g178 +g430 +S'Magic' +p468 +tp469 +tp470 +Rp471 +(dp472 +g10 +g433 +sg34 +g35 +((lp473 +tp474 +Rp475 +sbag462 +ag438 +atp476 +Rp477 +sg468 +g471 +sbsg402 +g1 +(g2 +g3 +(g178 +g402 +tp478 +tp479 +Rp480 +(dp481 +g10 +g428 +sg34 +g35 +((lp482 +tp483 +Rp484 +sbsS'Decorator' +p485 +g1 +(g2 +g3 +(g178 +g485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g428 +sg34 +g35 +((lp490 +tp491 +Rp492 +sbsS'Entity' +p493 +g1 +(g2 +g3 +(g178 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g493 +g407 +tp498 +tp499 +Rp500 +(dp501 +g10 +g496 +sg34 +g35 +((lp502 +tp503 +Rp504 +sbsg34 +g35 +((lp505 +g500 +atp506 +Rp507 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp508 +tp509 +Rp510 +(dp511 +g10 +g428 +sg34 +g35 +((lp512 +tp513 +Rp514 +sbsS'Property' +p515 +g1 +(g2 +g3 +(g178 +g515 +tp516 +tp517 +Rp518 +(dp519 +g10 +g428 +sg34 +g35 +((lp520 +tp521 +Rp522 +sbsS'Pseudo' +p523 +g1 +(g2 +g3 +(g178 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g428 +sg34 +g35 +((lp528 +tp529 +Rp530 +sbsS'Type' +p531 +g1 +(g2 +g3 +(g178 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g428 +sg34 +g35 +((lp536 +tp537 +Rp538 +sbsS'Classes' +p539 +g1 +(g2 +g3 +(g178 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g428 +sg34 +g35 +((lp544 +tp545 +Rp546 +sbsS'Tag' +p547 +g1 +(g2 +g3 +(g178 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g428 +sg34 +g35 +((lp552 +tp553 +Rp554 +sbsS'Constant' +p555 +g1 +(g2 +g3 +(g178 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g428 +sg34 +g35 +((lp560 +tp561 +Rp562 +sbsS'Function' +p563 +g1 +(g2 +g3 +(g178 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g428 +sg34 +g35 +((lp568 +g1 +(g2 +g3 +(g178 +g563 +g468 +tp569 +tp570 +Rp571 +(dp572 +g10 +g566 +sg34 +g35 +((lp573 +tp574 +Rp575 +sbatp576 +Rp577 +sg468 +g571 +sbsS'Blubb' +p578 +g1 +(g2 +g3 +(g178 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g428 +sg34 +g35 +((lp583 +tp584 +Rp585 +sbsS'Label' +p586 +g1 +(g2 +g3 +(g178 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g428 +sg34 +g35 +((lp591 +tp592 +Rp593 +sbsS'Field' +p594 +g1 +(g2 +g3 +(g178 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g428 +sg34 +g35 +((lp599 +tp600 +Rp601 +sbsS'Exception' +p602 +g1 +(g2 +g3 +(g178 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g428 +sg34 +g35 +((lp607 +tp608 +Rp609 +sbsS'Namespace' +p610 +g1 +(g2 +g3 +(g178 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g428 +sg34 +g35 +((lp615 +tp616 +Rp617 +sbsg34 +g35 +((lp618 +g488 +ag581 +ag526 +ag496 +ag433 +ag605 +ag518 +ag550 +ag566 +ag542 +ag1 +(g2 +g3 +(g178 +g435 +tp619 +tp620 +Rp621 +(dp622 +g10 +g428 +sg407 +g1 +(g2 +g3 +(g178 +g435 +g407 +tp623 +tp624 +Rp625 +(dp626 +g10 +g621 +sg34 +g35 +((lp627 +tp628 +Rp629 +sbsg34 +g35 +((lp630 +g1 +(g2 +g3 +(g178 +g435 +S'Start' +p631 +tp632 +tp633 +Rp634 +(dp635 +g10 +g621 +sg34 +g35 +((lp636 +tp637 +Rp638 +sbag625 +atp639 +Rp640 +sg631 +g634 +sbag1 +(g2 +g3 +(g178 +g62 +tp641 +tp642 +Rp643 +(dp644 +g10 +g428 +sS'Member' +p645 +g1 +(g2 +g3 +(g178 +g62 +g645 +tp646 +tp647 +Rp648 +(dp649 +g10 +g643 +sg34 +g35 +((lp650 +tp651 +Rp652 +sbsg34 +g35 +((lp653 +g648 +atp654 +Rp655 +sbag589 +ag480 +ag613 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p656 +tp657 +tp658 +Rp659 +(dp660 +g10 +g428 +sg430 +g1 +(g2 +g3 +(g178 +g656 +g430 +tp661 +tp662 +Rp663 +(dp664 +g10 +g659 +sg34 +g35 +((lp665 +tp666 +Rp667 +sbsg34 +g35 +((lp668 +g663 +atp669 +Rp670 +sbag558 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p671 +tp672 +tp673 +Rp674 +(dp675 +g10 +g428 +sg531 +g1 +(g2 +g3 +(g178 +g671 +g531 +tp676 +tp677 +Rp678 +(dp679 +g10 +g674 +sg34 +g35 +((lp680 +tp681 +Rp682 +sbsg34 +g35 +((lp683 +g1 +(g2 +g3 +(g178 +g671 +g523 +tp684 +tp685 +Rp686 +(dp687 +g10 +g674 +sg34 +g35 +((lp688 +tp689 +Rp690 +sbag678 +atp691 +Rp692 +sg523 +g686 +sbag597 +ag534 +ag510 +atp693 +Rp694 +sg10 +g16 +sg435 +g621 +sg671 +g674 +sg656 +g659 +sg62 +g643 +sbsS'Punctuation' +p695 +g1 +(g2 +g3 +(g695 +tp696 +tp697 +Rp698 +(dp699 +g10 +g16 +sg34 +g35 +((lp700 +g1 +(g2 +g3 +(g695 +S'Indicator' +p701 +tp702 +tp703 +Rp704 +(dp705 +g10 +g698 +sg34 +g35 +((lp706 +tp707 +Rp708 +sbatp709 +Rp710 +sg701 +g704 +sbsS'Comment' +p711 +g1 +(g2 +g3 +(g711 +tp712 +tp713 +Rp714 +(dp715 +S'Multi' +p716 +g1 +(g2 +g3 +(g711 +g716 +tp717 +tp718 +Rp719 +(dp720 +g10 +g714 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsg10 +g16 +sS'Special' +p724 +g1 +(g2 +g3 +(g711 +g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g714 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Hashbang' +p732 +g1 +(g2 +g3 +(g711 +g732 +tp733 +tp734 +Rp735 +(dp736 +g10 +g714 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsS'Preproc' +p740 +g1 +(g2 +g3 +(g711 +g740 +tp741 +tp742 +Rp743 +(dp744 +g10 +g714 +sg34 +g35 +((lp745 +tp746 +Rp747 +sbsg219 +g1 +(g2 +g3 +(g711 +g219 +tp748 +tp749 +Rp750 +(dp751 +g10 +g714 +sg34 +g35 +((lp752 +tp753 +Rp754 +sbsS'Directive' +p755 +g1 +(g2 +g3 +(g711 +g755 +tp756 +tp757 +Rp758 +(dp759 +g10 +g714 +sg34 +g35 +((lp760 +tp761 +Rp762 +sbsg211 +g1 +(g2 +g3 +(g711 +g211 +tp763 +tp764 +Rp765 +(dp766 +g10 +g714 +sg34 +g35 +((lp767 +tp768 +Rp769 +sbsS'Singleline' +p770 +g1 +(g2 +g3 +(g711 +g770 +tp771 +tp772 +Rp773 +(dp774 +g10 +g714 +sg34 +g35 +((lp775 +tp776 +Rp777 +sbsS'Multiline' +p778 +g1 +(g2 +g3 +(g711 +g778 +tp779 +tp780 +Rp781 +(dp782 +g10 +g714 +sg34 +g35 +((lp783 +tp784 +Rp785 +sbsg34 +g35 +((lp786 +g765 +ag758 +ag735 +ag719 +ag773 +ag743 +ag781 +ag750 +ag1 +(g2 +g3 +(g711 +S'PreprocFile' +p787 +tp788 +tp789 +Rp790 +(dp791 +g10 +g714 +sg34 +g35 +((lp792 +tp793 +Rp794 +sbag1 +(g2 +g3 +(g711 +S'SingleLine' +p795 +tp796 +tp797 +Rp798 +(dp799 +g10 +g714 +sg34 +g35 +((lp800 +tp801 +Rp802 +sbag727 +atp803 +Rp804 +sg787 +g790 +sg795 +g798 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp805 +tp806 +Rp807 +(dp808 +g10 +g16 +sg34 +g35 +((lp809 +tp810 +Rp811 +sbsg375 +g1 +(g2 +g3 +(g375 +tp812 +tp813 +Rp814 +(dp815 +g10 +g16 +sg34 +g35 +((lp816 +tp817 +Rp818 +sbsS'Token' +p819 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp820 +tp821 +Rp822 +(dp823 +g10 +g16 +sg34 +g35 +((lp824 +tp825 +Rp826 +sbsg34 +g35 +((lp827 +g428 +ag807 +ag13 +ag317 +ag1 +(g2 +g3 +(S'Text' +p828 +tp829 +tp830 +Rp831 +(dp832 +S'Beer' +p833 +g1 +(g2 +g3 +(g828 +g833 +tp834 +tp835 +Rp836 +(dp837 +g10 +g831 +sg34 +g35 +((lp838 +tp839 +Rp840 +sbsS'Whitespace' +p841 +g1 +(g2 +g3 +(g828 +g841 +tp842 +tp843 +Rp844 +(dp845 +g10 +g831 +sg34 +g35 +((lp846 +tp847 +Rp848 +sbsg10 +g16 +sS'Root' +p849 +g1 +(g2 +g3 +(g828 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g831 +sg34 +g35 +((lp854 +tp855 +Rp856 +sbsg106 +g1 +(g2 +g3 +(g828 +g106 +tp857 +tp858 +Rp859 +(dp860 +g10 +g831 +sg34 +g35 +((lp861 +tp862 +Rp863 +sbsg695 +g1 +(g2 +g3 +(g828 +g695 +tp864 +tp865 +Rp866 +(dp867 +g10 +g831 +sg34 +g35 +((lp868 +tp869 +Rp870 +sbsg34 +g35 +((lp871 +g852 +ag859 +ag866 +ag844 +ag836 +ag1 +(g2 +g3 +(g828 +S'Rag' +p872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g831 +sg34 +g35 +((lp877 +tp878 +Rp879 +sbatp880 +Rp881 +sg872 +g875 +sbag405 +ag822 +ag698 +ag714 +ag814 +ag44 +atp882 +Rp883 +sg4 +g13 +sg828 +g831 +sbsg531 +g1 +(g2 +g3 +(g4 +g531 +tp884 +tp885 +Rp886 +(dp887 +g10 +g13 +sg34 +g35 +((lp888 +tp889 +Rp890 +sbsS'Control' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g10 +g13 +sg34 +g35 +((lp896 +tp897 +Rp898 +sbsg555 +g1 +(g2 +g3 +(g4 +g555 +tp899 +tp900 +Rp901 +(dp902 +g10 +g13 +sg34 +g35 +((lp903 +tp904 +Rp905 +sbsg610 +g1 +(g2 +g3 +(g4 +g610 +tp906 +tp907 +Rp908 +(dp909 +g10 +g13 +sg34 +g35 +((lp910 +tp911 +Rp912 +sbsS'PreProc' +p913 +g1 +(g2 +g3 +(g4 +g913 +tp914 +tp915 +Rp916 +(dp917 +g10 +g13 +sg34 +g35 +((lp918 +tp919 +Rp920 +sbsg523 +g1 +(g2 +g3 +(g4 +g523 +tp921 +tp922 +Rp923 +(dp924 +g10 +g13 +sg34 +g35 +((lp925 +tp926 +Rp927 +sbsS'Reserved' +p928 +g1 +(g2 +g3 +(g4 +g928 +tp929 +tp930 +Rp931 +(dp932 +g10 +g13 +sg34 +g35 +((lp933 +tp934 +Rp935 +sbsg34 +g35 +((lp936 +g908 +ag1 +(g2 +g3 +(g4 +g416 +tp937 +tp938 +Rp939 +(dp940 +g10 +g13 +sg34 +g35 +((lp941 +tp942 +Rp943 +sbag894 +ag8 +ag1 +(g2 +g3 +(g4 +g4 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag923 +ag901 +ag886 +ag931 +ag916 +atp951 +Rp952 +sg4 +g946 +sg5 +g8 +sg416 +g939 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVvar +p956 +tp957 +a(g831 +V +p958 +tp959 +a(g643 +Vécole +p960 +tp961 +a(g698 +V; +p962 +tp963 +a(g831 +V\u000a +p964 +tp965 +a(g8 +Vvar +p966 +tp967 +a(g831 +g958 +tp968 +a(g643 +Vsin\u03b8 +p969 +tp970 +a(g698 +g962 +tp971 +a(g831 +V\u000a +p972 +tp973 +a(g8 +Vvar +p974 +tp975 +a(g831 +g958 +tp976 +a(g643 +V\u0e40\u0e21\u0e37\u0e2d\u0e07 +p977 +tp978 +a(g698 +g962 +tp979 +a(g831 +V\u000a +p980 +tp981 +a(g8 +Vvar +p982 +tp983 +a(g831 +g958 +tp984 +a(g643 +Va\u005cu1234b +p985 +tp986 +a(g698 +g962 +tp987 +a(g831 +V\u000a\u000a +p988 +tp989 +a(g8 +Vvar +p990 +tp991 +a(g831 +V\u2008 +p992 +tp993 +a(g643 +Vnbsp +p994 +tp995 +a(g698 +g962 +tp996 +a(g831 +V\u000a +p997 +tp998 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/unicodedoc.py b/tests/examplefiles/output/unicodedoc.py new file mode 100644 index 0000000..8553f56 --- /dev/null +++ b/tests/examplefiles/output/unicodedoc.py @@ -0,0 +1,2514 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVdef +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g561 +Vfoo +p960 +tp961 +a(g693 +V( +p962 +tp963 +a(g693 +V) +p964 +tp965 +a(g693 +V: +p966 +tp967 +a(g826 +V\u000a +p968 +tp969 +a(g826 +V +p970 +tp971 +a(g168 +Vur +p972 +tp973 +a(g209 +V"""unicode-raw""" +p974 +tp975 +a(g826 +V\u000a +p976 +tp977 +a(g826 +V\u000a +p978 +tp979 +a(g7 +Vdef +p980 +tp981 +a(g826 +g958 +tp982 +a(g561 +Vbar +p983 +tp984 +a(g693 +g962 +tp985 +a(g693 +g964 +tp986 +a(g693 +g966 +tp987 +a(g826 +V\u000a +p988 +tp989 +a(g826 +V +p990 +tp991 +a(g168 +Vu +p992 +tp993 +a(g209 +V"""unicode""" +p994 +tp995 +a(g826 +V\u000a +p996 +tp997 +a(g826 +V\u000a +p998 +tp999 +a(g7 +Vdef +p1000 +tp1001 +a(g826 +g958 +tp1002 +a(g561 +Vbaz +p1003 +tp1004 +a(g693 +g962 +tp1005 +a(g693 +g964 +tp1006 +a(g693 +g966 +tp1007 +a(g826 +V\u000a +p1008 +tp1009 +a(g826 +V +p1010 +tp1011 +a(g168 +Vr +p1012 +tp1013 +a(g217 +V' +p1014 +tp1015 +a(g217 +Vraw +p1016 +tp1017 +a(g217 +g1014 +tp1018 +a(g826 +V\u000a +p1019 +tp1020 +a(g826 +V\u000a +p1021 +tp1022 +a(g7 +Vdef +p1023 +tp1024 +a(g826 +g958 +tp1025 +a(g561 +Vzap +p1026 +tp1027 +a(g693 +g962 +tp1028 +a(g693 +g964 +tp1029 +a(g693 +g966 +tp1030 +a(g826 +V\u000a +p1031 +tp1032 +a(g826 +V +p1033 +tp1034 +a(g209 +V"""docstring""" +p1035 +tp1036 +a(g826 +V\u000a +p1037 +tp1038 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/unix-io.lid b/tests/examplefiles/output/unix-io.lid new file mode 100644 index 0000000..506c862 --- /dev/null +++ b/tests/examplefiles/output/unix-io.lid @@ -0,0 +1,2543 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Attribute' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsS'Tag' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Constant' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +tp151 +Rp152 +sbsS'Function' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg25 +g26 +((lp158 +g1 +(g2 +g3 +(g4 +g153 +g55 +tp159 +tp160 +Rp161 +(dp162 +g10 +g156 +sg25 +g26 +((lp163 +tp164 +Rp165 +sbatp166 +Rp167 +sg55 +g161 +sbsS'Blubb' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Label' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Field' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Exception' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsS'Namespace' +p200 +g1 +(g2 +g3 +(g4 +g200 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg25 +g26 +((lp205 +tp206 +Rp207 +sbsg25 +g26 +((lp208 +g76 +ag171 +ag116 +ag84 +ag18 +ag195 +ag108 +ag140 +ag156 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp209 +tp210 +Rp211 +(dp212 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp213 +tp214 +Rp215 +(dp216 +g10 +g211 +sg25 +g26 +((lp217 +tp218 +Rp219 +sbsg25 +g26 +((lp220 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p221 +tp222 +tp223 +Rp224 +(dp225 +g10 +g211 +sg25 +g26 +((lp226 +tp227 +Rp228 +sbag215 +atp229 +Rp230 +sg221 +g224 +sbag1 +(g2 +g3 +(g4 +S'Other' +p231 +tp232 +tp233 +Rp234 +(dp235 +g10 +g13 +sS'Member' +p236 +g1 +(g2 +g3 +(g4 +g231 +g236 +tp237 +tp238 +Rp239 +(dp240 +g10 +g234 +sg25 +g26 +((lp241 +tp242 +Rp243 +sbsg25 +g26 +((lp244 +g239 +atp245 +Rp246 +sbag179 +ag68 +ag203 +ag8 +ag148 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p247 +tp248 +tp249 +Rp250 +(dp251 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g247 +g121 +tp252 +tp253 +Rp254 +(dp255 +g10 +g250 +sg25 +g26 +((lp256 +tp257 +Rp258 +sbsg25 +g26 +((lp259 +g1 +(g2 +g3 +(g4 +g247 +g113 +tp260 +tp261 +Rp262 +(dp263 +g10 +g250 +sg25 +g26 +((lp264 +tp265 +Rp266 +sbag254 +atp267 +Rp268 +sg113 +g262 +sbag187 +ag124 +ag100 +atp269 +Rp270 +sg10 +g1 +(g2 +g3 +(ttp271 +Rp272 +(dp273 +S'Number' +p274 +g1 +(g2 +g3 +(S'Literal' +p275 +g274 +tp276 +tp277 +Rp278 +(dp279 +S'Integer' +p280 +g1 +(g2 +g3 +(g275 +g274 +g280 +tp281 +tp282 +Rp283 +(dp284 +g10 +g278 +sS'Long' +p285 +g1 +(g2 +g3 +(g275 +g274 +g280 +g285 +tp286 +tp287 +Rp288 +(dp289 +g10 +g283 +sg25 +g26 +((lp290 +tp291 +Rp292 +sbsg25 +g26 +((lp293 +g288 +atp294 +Rp295 +sbsg10 +g1 +(g2 +g3 +(g275 +tp296 +tp297 +Rp298 +(dp299 +S'Scalar' +p300 +g1 +(g2 +g3 +(g275 +g300 +tp301 +tp302 +Rp303 +(dp304 +g10 +g298 +sg25 +g26 +((lp305 +g1 +(g2 +g3 +(g275 +g300 +S'Plain' +p306 +tp307 +tp308 +Rp309 +(dp310 +g10 +g303 +sg25 +g26 +((lp311 +tp312 +Rp313 +sbatp314 +Rp315 +sg306 +g309 +sbsg274 +g278 +sg10 +g272 +sg231 +g1 +(g2 +g3 +(g275 +g231 +tp316 +tp317 +Rp318 +(dp319 +g10 +g298 +sg25 +g26 +((lp320 +tp321 +Rp322 +sbsS'Char' +p323 +g1 +(g2 +g3 +(g275 +g323 +tp324 +tp325 +Rp326 +(dp327 +g10 +g298 +sg25 +g26 +((lp328 +tp329 +Rp330 +sbsS'String' +p331 +g1 +(g2 +g3 +(g275 +g331 +tp332 +tp333 +Rp334 +(dp335 +g323 +g1 +(g2 +g3 +(g275 +g331 +g323 +tp336 +tp337 +Rp338 +(dp339 +g10 +g334 +sg25 +g26 +((lp340 +tp341 +Rp342 +sbsS'Backtick' +p343 +g1 +(g2 +g3 +(g275 +g331 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g334 +sg25 +g26 +((lp348 +tp349 +Rp350 +sbsS'Heredoc' +p351 +g1 +(g2 +g3 +(g275 +g331 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g334 +sg25 +g26 +((lp356 +tp357 +Rp358 +sbsg97 +g1 +(g2 +g3 +(g275 +g331 +g97 +tp359 +tp360 +Rp361 +(dp362 +g10 +g334 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsS'Interpol' +p366 +g1 +(g2 +g3 +(g275 +g331 +g366 +tp367 +tp368 +Rp369 +(dp370 +g10 +g334 +sg25 +g26 +((lp371 +tp372 +Rp373 +sbsS'Delimiter' +p374 +g1 +(g2 +g3 +(g275 +g331 +g374 +tp375 +tp376 +Rp377 +(dp378 +g10 +g334 +sg25 +g26 +((lp379 +tp380 +Rp381 +sbsS'Boolean' +p382 +g1 +(g2 +g3 +(g275 +g331 +g382 +tp383 +tp384 +Rp385 +(dp386 +g10 +g334 +sg25 +g26 +((lp387 +tp388 +Rp389 +sbsS'Character' +p390 +g1 +(g2 +g3 +(g275 +g331 +g390 +tp391 +tp392 +Rp393 +(dp394 +g10 +g334 +sg25 +g26 +((lp395 +tp396 +Rp397 +sbsS'Double' +p398 +g1 +(g2 +g3 +(g275 +g331 +g398 +tp399 +tp400 +Rp401 +(dp402 +g10 +g334 +sg25 +g26 +((lp403 +tp404 +Rp405 +sbsS'Delimeter' +p406 +g1 +(g2 +g3 +(g275 +g331 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g334 +sg25 +g26 +((lp411 +tp412 +Rp413 +sbsS'Atom' +p414 +g1 +(g2 +g3 +(g275 +g331 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g334 +sg25 +g26 +((lp419 +tp420 +Rp421 +sbsS'Affix' +p422 +g1 +(g2 +g3 +(g275 +g331 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g334 +sg25 +g26 +((lp427 +tp428 +Rp429 +sbsg4 +g1 +(g2 +g3 +(g275 +g331 +g4 +tp430 +tp431 +Rp432 +(dp433 +g10 +g334 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsS'Regex' +p437 +g1 +(g2 +g3 +(g275 +g331 +g437 +tp438 +tp439 +Rp440 +(dp441 +g10 +g334 +sg25 +g26 +((lp442 +tp443 +Rp444 +sbsS'Interp' +p445 +g1 +(g2 +g3 +(g275 +g331 +g445 +tp446 +tp447 +Rp448 +(dp449 +g10 +g334 +sg25 +g26 +((lp450 +tp451 +Rp452 +sbsS'Escape' +p453 +g1 +(g2 +g3 +(g275 +g331 +g453 +tp454 +tp455 +Rp456 +(dp457 +g10 +g334 +sg25 +g26 +((lp458 +tp459 +Rp460 +sbsg25 +g26 +((lp461 +g377 +ag361 +ag440 +ag1 +(g2 +g3 +(g275 +g331 +S'Doc' +p462 +tp463 +tp464 +Rp465 +(dp466 +g10 +g334 +sg25 +g26 +((lp467 +tp468 +Rp469 +sbag393 +ag385 +ag401 +ag369 +ag417 +ag409 +ag432 +ag456 +ag1 +(g2 +g3 +(g275 +g331 +S'Single' +p470 +tp471 +tp472 +Rp473 +(dp474 +g10 +g334 +sg25 +g26 +((lp475 +tp476 +Rp477 +sbag1 +(g2 +g3 +(g275 +g331 +g231 +tp478 +tp479 +Rp480 +(dp481 +g10 +g334 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag448 +ag346 +ag425 +ag1 +(g2 +g3 +(g275 +g331 +S'Moment' +p485 +tp486 +tp487 +Rp488 +(dp489 +g10 +g334 +sg25 +g26 +((lp490 +tp491 +Rp492 +sbag338 +ag354 +atp493 +Rp494 +sg470 +g473 +sg485 +g488 +sg10 +g298 +sg231 +g480 +sg462 +g465 +sbsg25 +g26 +((lp495 +g334 +ag326 +ag318 +ag1 +(g2 +g3 +(g275 +S'Date' +p496 +tp497 +tp498 +Rp499 +(dp500 +g10 +g298 +sg25 +g26 +((lp501 +tp502 +Rp503 +sbag303 +ag278 +atp504 +Rp505 +sg496 +g499 +sbsS'Bin' +p506 +g1 +(g2 +g3 +(g275 +g274 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g278 +sg25 +g26 +((lp511 +tp512 +Rp513 +sbsS'Radix' +p514 +g1 +(g2 +g3 +(g275 +g274 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g278 +sg25 +g26 +((lp519 +tp520 +Rp521 +sbsS'Oct' +p522 +g1 +(g2 +g3 +(g275 +g274 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g278 +sg25 +g26 +((lp527 +tp528 +Rp529 +sbsS'Dec' +p530 +g1 +(g2 +g3 +(g275 +g274 +g530 +tp531 +tp532 +Rp533 +(dp534 +g10 +g278 +sg25 +g26 +((lp535 +tp536 +Rp537 +sbsS'Hex' +p538 +g1 +(g2 +g3 +(g275 +g274 +g538 +tp539 +tp540 +Rp541 +(dp542 +g10 +g278 +sg25 +g26 +((lp543 +tp544 +Rp545 +sbsg25 +g26 +((lp546 +g283 +ag517 +ag533 +ag1 +(g2 +g3 +(g275 +g274 +S'Decimal' +p547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g278 +sg25 +g26 +((lp552 +tp553 +Rp554 +sbag509 +ag1 +(g2 +g3 +(g275 +g274 +S'Float' +p555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g278 +sg25 +g26 +((lp560 +tp561 +Rp562 +sbag525 +ag541 +atp563 +Rp564 +sg547 +g550 +sg555 +g558 +sbsS'Generic' +p565 +g1 +(g2 +g3 +(g565 +tp566 +tp567 +Rp568 +(dp569 +g10 +g272 +sS'Deleted' +p570 +g1 +(g2 +g3 +(g565 +g570 +tp571 +tp572 +Rp573 +(dp574 +g10 +g568 +sg25 +g26 +((lp575 +tp576 +Rp577 +sbsS'Subheading' +p578 +g1 +(g2 +g3 +(g565 +g578 +tp579 +tp580 +Rp581 +(dp582 +g10 +g568 +sg25 +g26 +((lp583 +tp584 +Rp585 +sbsS'Heading' +p586 +g1 +(g2 +g3 +(g565 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g568 +sg25 +g26 +((lp591 +tp592 +Rp593 +sbsS'Emph' +p594 +g1 +(g2 +g3 +(g565 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g568 +sg25 +g26 +((lp599 +tp600 +Rp601 +sbsS'Prompt' +p602 +g1 +(g2 +g3 +(g565 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g568 +sg25 +g26 +((lp607 +tp608 +Rp609 +sbsS'Inserted' +p610 +g1 +(g2 +g3 +(g565 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g568 +sg25 +g26 +((lp615 +tp616 +Rp617 +sbsS'Strong' +p618 +g1 +(g2 +g3 +(g565 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g568 +sg25 +g26 +((lp623 +tp624 +Rp625 +sbsS'Error' +p626 +g1 +(g2 +g3 +(g565 +g626 +tp627 +tp628 +Rp629 +(dp630 +g10 +g568 +sg25 +g26 +((lp631 +tp632 +Rp633 +sbsS'Traceback' +p634 +g1 +(g2 +g3 +(g565 +g634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g568 +sg25 +g26 +((lp639 +tp640 +Rp641 +sbsg25 +g26 +((lp642 +g589 +ag581 +ag1 +(g2 +g3 +(g565 +S'Output' +p643 +tp644 +tp645 +Rp646 +(dp647 +g10 +g568 +sg25 +g26 +((lp648 +tp649 +Rp650 +sbag621 +ag597 +ag629 +ag637 +ag613 +ag605 +ag573 +atp651 +Rp652 +sg643 +g646 +sbsg65 +g1 +(g2 +g3 +(g65 +tp653 +tp654 +Rp655 +(dp656 +g10 +g272 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp657 +tp658 +Rp659 +(dp660 +g10 +g655 +sg25 +g26 +((lp661 +tp662 +Rp663 +sbsg25 +g26 +((lp664 +g659 +ag1 +(g2 +g3 +(g65 +S'Word' +p665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g655 +sg25 +g26 +((lp670 +tp671 +Rp672 +sbatp673 +Rp674 +sg665 +g668 +sbsg331 +g334 +sg4 +g13 +sS'Punctuation' +p675 +g1 +(g2 +g3 +(g675 +tp676 +tp677 +Rp678 +(dp679 +g10 +g272 +sg25 +g26 +((lp680 +g1 +(g2 +g3 +(g675 +S'Indicator' +p681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g678 +sg25 +g26 +((lp686 +tp687 +Rp688 +sbatp689 +Rp690 +sg681 +g684 +sbsS'Comment' +p691 +g1 +(g2 +g3 +(g691 +tp692 +tp693 +Rp694 +(dp695 +S'Multi' +p696 +g1 +(g2 +g3 +(g691 +g696 +tp697 +tp698 +Rp699 +(dp700 +g10 +g694 +sg25 +g26 +((lp701 +tp702 +Rp703 +sbsg10 +g272 +sS'Special' +p704 +g1 +(g2 +g3 +(g691 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g694 +sg25 +g26 +((lp709 +tp710 +Rp711 +sbsS'Hashbang' +p712 +g1 +(g2 +g3 +(g691 +g712 +tp713 +tp714 +Rp715 +(dp716 +g10 +g694 +sg25 +g26 +((lp717 +tp718 +Rp719 +sbsS'Preproc' +p720 +g1 +(g2 +g3 +(g691 +g720 +tp721 +tp722 +Rp723 +(dp724 +g10 +g694 +sg25 +g26 +((lp725 +tp726 +Rp727 +sbsg470 +g1 +(g2 +g3 +(g691 +g470 +tp728 +tp729 +Rp730 +(dp731 +g10 +g694 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsS'Directive' +p735 +g1 +(g2 +g3 +(g691 +g735 +tp736 +tp737 +Rp738 +(dp739 +g10 +g694 +sg25 +g26 +((lp740 +tp741 +Rp742 +sbsg462 +g1 +(g2 +g3 +(g691 +g462 +tp743 +tp744 +Rp745 +(dp746 +g10 +g694 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsS'Singleline' +p750 +g1 +(g2 +g3 +(g691 +g750 +tp751 +tp752 +Rp753 +(dp754 +g10 +g694 +sg25 +g26 +((lp755 +tp756 +Rp757 +sbsS'Multiline' +p758 +g1 +(g2 +g3 +(g691 +g758 +tp759 +tp760 +Rp761 +(dp762 +g10 +g694 +sg25 +g26 +((lp763 +tp764 +Rp765 +sbsg25 +g26 +((lp766 +g745 +ag738 +ag715 +ag699 +ag753 +ag723 +ag761 +ag730 +ag1 +(g2 +g3 +(g691 +S'PreprocFile' +p767 +tp768 +tp769 +Rp770 +(dp771 +g10 +g694 +sg25 +g26 +((lp772 +tp773 +Rp774 +sbag1 +(g2 +g3 +(g691 +S'SingleLine' +p775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g694 +sg25 +g26 +((lp780 +tp781 +Rp782 +sbag707 +atp783 +Rp784 +sg767 +g770 +sg775 +g778 +sbsg275 +g298 +sg231 +g1 +(g2 +g3 +(g231 +tp785 +tp786 +Rp787 +(dp788 +g10 +g272 +sg25 +g26 +((lp789 +tp790 +Rp791 +sbsg626 +g1 +(g2 +g3 +(g626 +tp792 +tp793 +Rp794 +(dp795 +g10 +g272 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsS'Token' +p799 +g272 +sg453 +g1 +(g2 +g3 +(g453 +tp800 +tp801 +Rp802 +(dp803 +g10 +g272 +sg25 +g26 +((lp804 +tp805 +Rp806 +sbsg25 +g26 +((lp807 +g13 +ag787 +ag1 +(g2 +g3 +(S'Keyword' +p808 +tp809 +tp810 +Rp811 +(dp812 +g10 +g272 +sg121 +g1 +(g2 +g3 +(g808 +g121 +tp813 +tp814 +Rp815 +(dp816 +g10 +g811 +sg25 +g26 +((lp817 +tp818 +Rp819 +sbsS'Control' +p820 +g1 +(g2 +g3 +(g808 +g820 +tp821 +tp822 +Rp823 +(dp824 +g10 +g811 +sg25 +g26 +((lp825 +tp826 +Rp827 +sbsg145 +g1 +(g2 +g3 +(g808 +g145 +tp828 +tp829 +Rp830 +(dp831 +g10 +g811 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg200 +g1 +(g2 +g3 +(g808 +g200 +tp835 +tp836 +Rp837 +(dp838 +g10 +g811 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsS'PreProc' +p842 +g1 +(g2 +g3 +(g808 +g842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g811 +sg25 +g26 +((lp847 +tp848 +Rp849 +sbsg113 +g1 +(g2 +g3 +(g808 +g113 +tp850 +tp851 +Rp852 +(dp853 +g10 +g811 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsS'Reserved' +p857 +g1 +(g2 +g3 +(g808 +g857 +tp858 +tp859 +Rp860 +(dp861 +g10 +g811 +sg25 +g26 +((lp862 +tp863 +Rp864 +sbsg25 +g26 +((lp865 +g837 +ag1 +(g2 +g3 +(g808 +g665 +tp866 +tp867 +Rp868 +(dp869 +g10 +g811 +sg25 +g26 +((lp870 +tp871 +Rp872 +sbag823 +ag1 +(g2 +g3 +(g808 +S'Declaration' +p873 +tp874 +tp875 +Rp876 +(dp877 +g10 +g811 +sg25 +g26 +((lp878 +tp879 +Rp880 +sbag1 +(g2 +g3 +(g808 +g808 +tp881 +tp882 +Rp883 +(dp884 +g10 +g811 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag852 +ag830 +ag815 +ag860 +ag845 +atp888 +Rp889 +sg808 +g883 +sg873 +g876 +sg665 +g868 +sbag568 +ag1 +(g2 +g3 +(S'Text' +p890 +tp891 +tp892 +Rp893 +(dp894 +S'Beer' +p895 +g1 +(g2 +g3 +(g890 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g893 +sg25 +g26 +((lp900 +tp901 +Rp902 +sbsS'Whitespace' +p903 +g1 +(g2 +g3 +(g890 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g893 +sg25 +g26 +((lp908 +tp909 +Rp910 +sbsg10 +g272 +sS'Root' +p911 +g1 +(g2 +g3 +(g890 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g893 +sg25 +g26 +((lp916 +tp917 +Rp918 +sbsg97 +g1 +(g2 +g3 +(g890 +g97 +tp919 +tp920 +Rp921 +(dp922 +g10 +g893 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg675 +g1 +(g2 +g3 +(g890 +g675 +tp926 +tp927 +Rp928 +(dp929 +g10 +g893 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg25 +g26 +((lp933 +g914 +ag921 +ag928 +ag906 +ag898 +ag1 +(g2 +g3 +(g890 +S'Rag' +p934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g893 +sg25 +g26 +((lp939 +tp940 +Rp941 +sbatp942 +Rp943 +sg934 +g937 +sbag655 +ag802 +ag678 +ag694 +ag794 +ag298 +atp944 +Rp945 +sg808 +g811 +sg890 +g893 +sbsg20 +g211 +sg247 +g250 +sg5 +g8 +sg231 +g234 +sbsg15 +g1 +(g2 +g3 +(g4 +g5 +g15 +tp946 +tp947 +Rp948 +(dp949 +g10 +g8 +sg25 +g26 +((lp950 +tp951 +Rp952 +sbsg25 +g26 +((lp953 +g948 +atp954 +Rp955 +sbVLibrary +p956 +tp957 +a(g655 +V: +p958 +tp959 +a(g893 +V +p960 +tp961 +a(g334 +Vio +p962 +tp963 +a(g893 +V\u000a +p964 +tp965 +a(g8 +VSynopsis +p966 +tp967 +a(g655 +g958 +tp968 +a(g893 +V +p969 +tp970 +a(g334 +VA portable IO library +p971 +tp972 +a(g893 +V\u000a +p973 +tp974 +a(g8 +VAuthor +p975 +tp976 +a(g655 +g958 +tp977 +a(g893 +V +p978 +tp979 +a(g334 +VGail Zacharias +p980 +tp981 +a(g893 +V\u000a +p982 +tp983 +a(g8 +VFiles +p984 +tp985 +a(g655 +g958 +tp986 +a(g893 +V +p987 +tp988 +a(g334 +Vlibrary\u000a streams/defs\u000a streams/stream\u000a streams/sequence-stream\u000a streams/native-buffer\u000a streams/buffer\u000a streams/typed-stream\u000a streams/external-stream\u000a streams/buffered-stream\u000a streams/convenience\u000a streams/wrapper-stream\u000a streams/cleanup-streams\u000a streams/native-speed\u000a streams/async-writes\u000a streams/file-stream\u000a streams/multi-buffered-streams\u000a pprint\u000a print\u000a print-double-integer-kludge\u000a format\u000a buffered-format\u000a format-condition\u000a unix-file-accessor\u000a unix-standard-io\u000a unix-interface\u000a format-out +p989 +tp990 +a(g893 +V\u000a +p991 +tp992 +a(g8 +VC-Source-Files +p993 +tp994 +a(g655 +g958 +tp995 +a(g893 +g987 +tp996 +a(g334 +Vunix-portability.c +p997 +tp998 +a(g893 +V\u000a +p999 +tp1000 +a(g8 +VMajor-Version +p1001 +tp1002 +a(g655 +g958 +tp1003 +a(g893 +V +p1004 +tp1005 +a(g334 +V2 +p1006 +tp1007 +a(g893 +V\u000a +p1008 +tp1009 +a(g8 +VMinor-Version +p1010 +tp1011 +a(g655 +g958 +tp1012 +a(g893 +g1004 +tp1013 +a(g334 +V1 +p1014 +tp1015 +a(g893 +V\u000a +p1016 +tp1017 +a(g8 +VTarget-Type +p1018 +tp1019 +a(g655 +g958 +tp1020 +a(g893 +V +p1021 +tp1022 +a(g334 +Vdll +p1023 +tp1024 +a(g893 +V\u000a +p1025 +tp1026 +a(g8 +VCopyright +p1027 +tp1028 +a(g655 +g958 +tp1029 +a(g893 +V +p1030 +tp1031 +a(g334 +VOriginal Code is Copyright (c) 1995-2004 Functional Objects, Inc.\u000a All rights reserved. +p1032 +tp1033 +a(g893 +V\u000a +p1034 +tp1035 +a(g8 +VLicense +p1036 +tp1037 +a(g655 +g958 +tp1038 +a(g893 +V +p1039 +tp1040 +a(g334 +VSee License.txt in this distribution for details. +p1041 +tp1042 +a(g893 +V\u000a +p1043 +tp1044 +a(g8 +VWarranty +p1045 +tp1046 +a(g655 +g958 +tp1047 +a(g893 +V +p1048 +tp1049 +a(g334 +VDistributed WITHOUT WARRANTY OF ANY KIND +p1050 +tp1051 +a(g893 +V\u000a +p1052 +tp1053 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/varnish.vcl b/tests/examplefiles/output/varnish.vcl new file mode 100644 index 0000000..0860d1c --- /dev/null +++ b/tests/examplefiles/output/varnish.vcl @@ -0,0 +1,7107 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV# This is the VCL configuration Varnish will automatically append to your VCL +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V# file during compilation/loading. See the vcl(7) man page for details on syntax +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g7 +V# and semantics. +p964 +tp965 +a(g822 +V\u000a +p966 +tp967 +a(g7 +V# New users is recommended to use the example.vcl file as a starting point. +p968 +tp969 +a(g822 +V\u000a +p970 +tp971 +a(g822 +V\u000a +p972 +tp973 +a(g789 +Vvcl +p974 +tp975 +a(g561 +V4.0 +p976 +tp977 +a(g701 +V; +p978 +tp979 +a(g822 +V\u000a +p980 +tp981 +a(g822 +V\u000a +p982 +tp983 +a(g740 +Vbackend +p984 +tp985 +a(g465 +V foo +p986 +tp987 +a(g701 +V { +p988 +tp989 +a(g822 +V +p990 +tp991 +a(g662 +V.host +p992 +tp993 +a(g408 +V = +p994 +tp995 +a(g84 +V" +p996 +tp997 +a(g84 +V192.168.1.1 +p998 +tp999 +a(g84 +g996 +tp1000 +a(g701 +g978 +tp1001 +a(g822 +g990 +tp1002 +a(g701 +V} +p1003 +tp1004 +a(g822 +V\u000a +p1005 +tp1006 +a(g822 +V\u000a +p1007 +tp1008 +a(g740 +Vprobe +p1009 +tp1010 +a(g465 +Vblatti +p1011 +tp1012 +a(g701 +V{ +p1013 +tp1014 +a(g822 +g990 +tp1015 +a(g662 +V.url +p1016 +tp1017 +a(g408 +V = +p1018 +tp1019 +a(g84 +g996 +tp1020 +a(g84 +Vfoo +p1021 +tp1022 +a(g84 +g996 +tp1023 +a(g701 +g978 +tp1024 +a(g822 +g990 +tp1025 +a(g701 +g1003 +tp1026 +a(g822 +V\u000a +p1027 +tp1028 +a(g740 +Vprobe +p1029 +tp1030 +a(g465 +Vfooy +p1031 +tp1032 +a(g701 +g1013 +tp1033 +a(g822 +V\u000a +p1034 +tp1035 +a(g822 +V +p1036 +tp1037 +a(g662 +V.url +p1038 +tp1039 +a(g408 +V = +p1040 +tp1041 +a(g84 +g996 +tp1042 +a(g84 +Vbeh +p1043 +tp1044 +a(g84 +g996 +tp1045 +a(g701 +g978 +tp1046 +a(g822 +V\u000a +p1047 +tp1048 +a(g822 +V\u000a +p1049 +tp1050 +a(g701 +g1003 +tp1051 +a(g822 +V\u000a +p1052 +tp1053 +a(g822 +V\u000a +p1054 +tp1055 +a(g740 +Vacl +p1056 +tp1057 +a(g465 +Vfoo +p1058 +tp1059 +a(g701 +g1013 +tp1060 +a(g822 +V\u000a +p1061 +tp1062 +a(g822 +g1036 +tp1063 +a(g84 +g996 +tp1064 +a(g84 +V192.168.1.1 +p1065 +tp1066 +a(g84 +g996 +tp1067 +a(g701 +g978 +tp1068 +a(g822 +V\u000a +p1069 +tp1070 +a(g822 +g1036 +tp1071 +a(g84 +g996 +tp1072 +a(g84 +V192.168.0.0 +p1073 +tp1074 +a(g84 +g996 +tp1075 +a(g408 +V/ +p1076 +tp1077 +a(g27 +V24 +p1078 +tp1079 +a(g701 +g978 +tp1080 +a(g822 +V\u000a +p1081 +tp1082 +a(g822 +g1036 +tp1083 +a(g408 +V! +p1084 +tp1085 +a(g822 +g990 +tp1086 +a(g84 +g996 +tp1087 +a(g84 +V192.168.0.1 +p1088 +tp1089 +a(g84 +g996 +tp1090 +a(g701 +g978 +tp1091 +a(g822 +V\u000a +p1092 +tp1093 +a(g701 +g1003 +tp1094 +a(g822 +V\u000a +p1095 +tp1096 +a(g822 +V\u000a +p1097 +tp1098 +a(g740 +Vinclude +p1099 +tp1100 +a(g822 +g990 +tp1101 +a(g84 +g996 +tp1102 +a(g84 +Vfoo.vcl +p1103 +tp1104 +a(g84 +g996 +tp1105 +a(g701 +g978 +tp1106 +a(g822 +V\u000a +p1107 +tp1108 +a(g822 +V\u000a +p1109 +tp1110 +a(g740 +Vimport +p1111 +tp1112 +a(g822 +g990 +tp1113 +a(g431 +Vstd +p1114 +tp1115 +a(g701 +g978 +tp1116 +a(g822 +V\u000a +p1117 +tp1118 +a(g822 +V\u000a +p1119 +tp1120 +a(g740 +Vsub +p1121 +tp1122 +a(g569 +Vvcl_init +p1123 +tp1124 +a(g701 +V { +p1125 +tp1126 +a(g822 +V\u000a +p1127 +tp1128 +a(g822 +g1036 +tp1129 +a(g740 +Vnew +p1130 +tp1131 +a(g822 +g990 +tp1132 +a(g431 +Vb +p1133 +tp1134 +a(g822 +g990 +tp1135 +a(g408 +V= +p1136 +tp1137 +a(g822 +g990 +tp1138 +a(g569 +Vdirector +p1139 +tp1140 +a(g701 +V. +p1141 +tp1142 +a(g569 +Vfoo +p1143 +tp1144 +a(g701 +V( +p1145 +tp1146 +a(g701 +V) +p1147 +tp1148 +a(g701 +g978 +tp1149 +a(g822 +V\u000a +p1150 +tp1151 +a(g408 +g1003 +tp1152 +a(g822 +V\u000a +p1153 +tp1154 +a(g822 +V\u000a +p1155 +tp1156 +a(g740 +Vsub +p1157 +tp1158 +a(g569 +Vvcl_recv +p1159 +tp1160 +a(g701 +V { +p1161 +tp1162 +a(g822 +V\u000a +p1163 +tp1164 +a(g822 +g1036 +tp1165 +a(g740 +Vban +p1166 +tp1167 +a(g701 +g1145 +tp1168 +a(g436 +Vreq.url +p1169 +tp1170 +a(g822 +g990 +tp1171 +a(g408 +V~ +p1172 +tp1173 +a(g822 +g990 +tp1174 +a(g84 +g996 +tp1175 +a(g84 +Vfoo +p1176 +tp1177 +a(g84 +g996 +tp1178 +a(g701 +g1147 +tp1179 +a(g701 +g978 +tp1180 +a(g822 +V\u000a +p1181 +tp1182 +a(g822 +g1036 +tp1183 +a(g740 +Vrollback +p1184 +tp1185 +a(g701 +g1145 +tp1186 +a(g701 +g1147 +tp1187 +a(g701 +g978 +tp1188 +a(g822 +V\u000a +p1189 +tp1190 +a(g408 +g1003 +tp1191 +a(g822 +V\u000a +p1192 +tp1193 +a(g740 +Vsub +p1194 +tp1195 +a(g569 +Vvcl_recv +p1196 +tp1197 +a(g701 +V { +p1198 +tp1199 +a(g822 +V\u000a +p1200 +tp1201 +a(g822 +V +p1202 +tp1203 +a(g740 +Vif +p1204 +tp1205 +a(g822 +g990 +tp1206 +a(g701 +g1145 +tp1207 +a(g436 +Vreq.method +p1208 +tp1209 +a(g822 +g990 +tp1210 +a(g408 +V== +p1211 +tp1212 +a(g822 +g990 +tp1213 +a(g84 +g996 +tp1214 +a(g84 +VPRI +p1215 +tp1216 +a(g84 +g996 +tp1217 +a(g701 +g1147 +tp1218 +a(g822 +g990 +tp1219 +a(g408 +g1013 +tp1220 +a(g822 +V\u000a +p1221 +tp1222 +a(g822 +g1036 +tp1223 +a(g932 +V/* +p1224 +tp1225 +a(g932 +V We do not support SPDY or HTTP +p1226 +tp1227 +a(g932 +g1076 +tp1228 +a(g932 +V2.0 +p1229 +tp1230 +a(g932 +V*/ +p1231 +tp1232 +a(g822 +V\u000a +p1233 +tp1234 +a(g822 +g1036 +tp1235 +a(g740 +Vreturn +p1236 +tp1237 +a(g822 +g990 +tp1238 +a(g701 +g1145 +tp1239 +a(g561 +Vsynth +p1240 +tp1241 +a(g701 +g1145 +tp1242 +a(g27 +V405 +p1243 +tp1244 +a(g701 +g1147 +tp1245 +a(g701 +g1147 +tp1246 +a(g701 +g978 +tp1247 +a(g822 +V\u000a +p1248 +tp1249 +a(g822 +V +p1250 +tp1251 +a(g408 +g1003 +tp1252 +a(g822 +V\u000a +p1253 +tp1254 +a(g822 +V +p1255 +tp1256 +a(g740 +Vif +p1257 +tp1258 +a(g822 +g990 +tp1259 +a(g701 +g1145 +tp1260 +a(g436 +Vreq.method +p1261 +tp1262 +a(g822 +g990 +tp1263 +a(g408 +V!= +p1264 +tp1265 +a(g822 +g990 +tp1266 +a(g84 +g996 +tp1267 +a(g84 +VGET +p1268 +tp1269 +a(g84 +g996 +tp1270 +a(g822 +g990 +tp1271 +a(g408 +V&& +p1272 +tp1273 +a(g822 +V\u000a +p1274 +tp1275 +a(g822 +V +p1276 +tp1277 +a(g436 +Vreq.method +p1278 +tp1279 +a(g822 +g990 +tp1280 +a(g408 +V!= +p1281 +tp1282 +a(g822 +g990 +tp1283 +a(g84 +g996 +tp1284 +a(g84 +VHEAD +p1285 +tp1286 +a(g84 +g996 +tp1287 +a(g822 +g990 +tp1288 +a(g408 +V&& +p1289 +tp1290 +a(g822 +V\u000a +p1291 +tp1292 +a(g822 +V +p1293 +tp1294 +a(g436 +Vreq.method +p1295 +tp1296 +a(g822 +g990 +tp1297 +a(g408 +V!= +p1298 +tp1299 +a(g822 +g990 +tp1300 +a(g84 +g996 +tp1301 +a(g84 +VPUT +p1302 +tp1303 +a(g84 +g996 +tp1304 +a(g822 +g990 +tp1305 +a(g408 +V&& +p1306 +tp1307 +a(g822 +V\u000a +p1308 +tp1309 +a(g822 +V +p1310 +tp1311 +a(g436 +Vreq.method +p1312 +tp1313 +a(g822 +g990 +tp1314 +a(g408 +V!= +p1315 +tp1316 +a(g822 +g990 +tp1317 +a(g84 +g996 +tp1318 +a(g84 +VPOST +p1319 +tp1320 +a(g84 +g996 +tp1321 +a(g822 +g990 +tp1322 +a(g408 +V&& +p1323 +tp1324 +a(g822 +V\u000a +p1325 +tp1326 +a(g822 +V +p1327 +tp1328 +a(g436 +Vreq.method +p1329 +tp1330 +a(g822 +g990 +tp1331 +a(g408 +V!= +p1332 +tp1333 +a(g822 +g990 +tp1334 +a(g84 +g996 +tp1335 +a(g84 +VTRACE +p1336 +tp1337 +a(g84 +g996 +tp1338 +a(g822 +g990 +tp1339 +a(g408 +V&& +p1340 +tp1341 +a(g822 +V\u000a +p1342 +tp1343 +a(g822 +V +p1344 +tp1345 +a(g436 +Vreq.method +p1346 +tp1347 +a(g822 +g990 +tp1348 +a(g408 +V!= +p1349 +tp1350 +a(g822 +g990 +tp1351 +a(g84 +g996 +tp1352 +a(g84 +VOPTIONS +p1353 +tp1354 +a(g84 +g996 +tp1355 +a(g822 +g990 +tp1356 +a(g408 +V&& +p1357 +tp1358 +a(g822 +V\u000a +p1359 +tp1360 +a(g822 +V +p1361 +tp1362 +a(g436 +Vreq.method +p1363 +tp1364 +a(g822 +g990 +tp1365 +a(g408 +V!= +p1366 +tp1367 +a(g822 +g990 +tp1368 +a(g84 +g996 +tp1369 +a(g84 +VDELETE +p1370 +tp1371 +a(g84 +g996 +tp1372 +a(g701 +g1147 +tp1373 +a(g822 +g990 +tp1374 +a(g408 +g1013 +tp1375 +a(g822 +V\u000a +p1376 +tp1377 +a(g822 +V +p1378 +tp1379 +a(g932 +V/* +p1380 +tp1381 +a(g932 +V Non-RFC2616 or CONNECT which is weird. +p1382 +tp1383 +a(g932 +V*/ +p1384 +tp1385 +a(g822 +V\u000a +p1386 +tp1387 +a(g822 +V +p1388 +tp1389 +a(g740 +Vreturn +p1390 +tp1391 +a(g822 +g990 +tp1392 +a(g701 +g1145 +tp1393 +a(g561 +Vpipe +p1394 +tp1395 +a(g701 +g1147 +tp1396 +a(g701 +g978 +tp1397 +a(g822 +V\u000a +p1398 +tp1399 +a(g822 +V +p1400 +tp1401 +a(g408 +g1003 +tp1402 +a(g822 +V\u000a +p1403 +tp1404 +a(g822 +V\u000a +p1405 +tp1406 +a(g822 +V +p1407 +tp1408 +a(g740 +Vif +p1409 +tp1410 +a(g822 +g990 +tp1411 +a(g701 +g1145 +tp1412 +a(g436 +Vreq.method +p1413 +tp1414 +a(g822 +g990 +tp1415 +a(g408 +V!= +p1416 +tp1417 +a(g822 +g990 +tp1418 +a(g84 +g996 +tp1419 +a(g84 +VGET +p1420 +tp1421 +a(g84 +g996 +tp1422 +a(g822 +g990 +tp1423 +a(g408 +V&& +p1424 +tp1425 +a(g822 +g990 +tp1426 +a(g436 +Vreq.method +p1427 +tp1428 +a(g822 +g990 +tp1429 +a(g408 +V!= +p1430 +tp1431 +a(g822 +g990 +tp1432 +a(g84 +g996 +tp1433 +a(g84 +VHEAD +p1434 +tp1435 +a(g84 +g996 +tp1436 +a(g701 +g1147 +tp1437 +a(g822 +g990 +tp1438 +a(g408 +g1013 +tp1439 +a(g822 +V\u000a +p1440 +tp1441 +a(g822 +V +p1442 +tp1443 +a(g932 +V/* +p1444 +tp1445 +a(g932 +V We only deal with GET and HEAD by default +p1446 +tp1447 +a(g932 +V*/ +p1448 +tp1449 +a(g822 +V\u000a +p1450 +tp1451 +a(g822 +V +p1452 +tp1453 +a(g740 +Vreturn +p1454 +tp1455 +a(g822 +g990 +tp1456 +a(g701 +g1145 +tp1457 +a(g561 +Vpass +p1458 +tp1459 +a(g701 +g1147 +tp1460 +a(g701 +g978 +tp1461 +a(g822 +V\u000a +p1462 +tp1463 +a(g822 +V +p1464 +tp1465 +a(g408 +g1003 +tp1466 +a(g822 +V\u000a +p1467 +tp1468 +a(g822 +V +p1469 +tp1470 +a(g740 +Vif +p1471 +tp1472 +a(g822 +g990 +tp1473 +a(g701 +g1145 +tp1474 +a(g436 +Vreq.http.Authorization +p1475 +tp1476 +a(g822 +g990 +tp1477 +a(g408 +V|| +p1478 +tp1479 +a(g822 +g990 +tp1480 +a(g436 +Vreq.http.Cookie +p1481 +tp1482 +a(g701 +g1147 +tp1483 +a(g822 +g990 +tp1484 +a(g408 +g1013 +tp1485 +a(g822 +V\u000a +p1486 +tp1487 +a(g822 +V +p1488 +tp1489 +a(g932 +V/* +p1490 +tp1491 +a(g932 +V Not cacheable by default +p1492 +tp1493 +a(g932 +V*/ +p1494 +tp1495 +a(g822 +V\u000a +p1496 +tp1497 +a(g822 +V +p1498 +tp1499 +a(g740 +Vreturn +p1500 +tp1501 +a(g822 +g990 +tp1502 +a(g701 +g1145 +tp1503 +a(g561 +Vpass +p1504 +tp1505 +a(g701 +g1147 +tp1506 +a(g701 +g978 +tp1507 +a(g822 +V\u000a +p1508 +tp1509 +a(g822 +V +p1510 +tp1511 +a(g408 +g1003 +tp1512 +a(g822 +V\u000a +p1513 +tp1514 +a(g822 +V +p1515 +tp1516 +a(g740 +Vreturn +p1517 +tp1518 +a(g822 +g990 +tp1519 +a(g701 +g1145 +tp1520 +a(g561 +Vhash +p1521 +tp1522 +a(g701 +g1147 +tp1523 +a(g701 +g978 +tp1524 +a(g822 +V\u000a +p1525 +tp1526 +a(g408 +g1003 +tp1527 +a(g822 +V\u000a +p1528 +tp1529 +a(g822 +V\u000a +p1530 +tp1531 +a(g740 +Vsub +p1532 +tp1533 +a(g569 +Vvcl_pipe +p1534 +tp1535 +a(g701 +V { +p1536 +tp1537 +a(g822 +V\u000a +p1538 +tp1539 +a(g822 +V +p1540 +tp1541 +a(g7 +V# By default Connection: close is set on all piped requests, to stop +p1542 +tp1543 +a(g822 +V\u000a +p1544 +tp1545 +a(g822 +V +p1546 +tp1547 +a(g7 +V# connection reuse from sending future requests directly to the +p1548 +tp1549 +a(g822 +V\u000a +p1550 +tp1551 +a(g822 +V +p1552 +tp1553 +a(g7 +V# (potentially) wrong backend. If you do want this to happen, you can undo +p1554 +tp1555 +a(g822 +V\u000a +p1556 +tp1557 +a(g822 +V +p1558 +tp1559 +a(g7 +V# it here. +p1560 +tp1561 +a(g822 +V\u000a +p1562 +tp1563 +a(g822 +V +p1564 +tp1565 +a(g7 +V# unset bereq.http.connection; +p1566 +tp1567 +a(g822 +V\u000a +p1568 +tp1569 +a(g822 +V +p1570 +tp1571 +a(g740 +Vreturn +p1572 +tp1573 +a(g822 +g990 +tp1574 +a(g701 +g1145 +tp1575 +a(g561 +Vpipe +p1576 +tp1577 +a(g701 +g1147 +tp1578 +a(g701 +g978 +tp1579 +a(g822 +V\u000a +p1580 +tp1581 +a(g408 +g1003 +tp1582 +a(g822 +V\u000a +p1583 +tp1584 +a(g822 +V\u000a +p1585 +tp1586 +a(g740 +Vsub +p1587 +tp1588 +a(g569 +Vvcl_pass +p1589 +tp1590 +a(g701 +V { +p1591 +tp1592 +a(g822 +V\u000a +p1593 +tp1594 +a(g822 +V +p1595 +tp1596 +a(g740 +Vreturn +p1597 +tp1598 +a(g822 +g990 +tp1599 +a(g701 +g1145 +tp1600 +a(g561 +Vfetch +p1601 +tp1602 +a(g701 +g1147 +tp1603 +a(g701 +g978 +tp1604 +a(g822 +V\u000a +p1605 +tp1606 +a(g408 +g1003 +tp1607 +a(g822 +V\u000a +p1608 +tp1609 +a(g822 +V\u000a +p1610 +tp1611 +a(g740 +Vsub +p1612 +tp1613 +a(g569 +Vvcl_hash +p1614 +tp1615 +a(g701 +V { +p1616 +tp1617 +a(g822 +V\u000a +p1618 +tp1619 +a(g822 +V +p1620 +tp1621 +a(g740 +Vhash_data +p1622 +tp1623 +a(g701 +g1145 +tp1624 +a(g436 +Vreq.url +p1625 +tp1626 +a(g701 +g1147 +tp1627 +a(g701 +g978 +tp1628 +a(g822 +V\u000a +p1629 +tp1630 +a(g822 +V +p1631 +tp1632 +a(g740 +Vif +p1633 +tp1634 +a(g822 +g990 +tp1635 +a(g701 +g1145 +tp1636 +a(g436 +Vreq.http.host +p1637 +tp1638 +a(g701 +g1147 +tp1639 +a(g822 +g990 +tp1640 +a(g408 +g1013 +tp1641 +a(g822 +V\u000a +p1642 +tp1643 +a(g822 +V +p1644 +tp1645 +a(g740 +Vhash_data +p1646 +tp1647 +a(g701 +g1145 +tp1648 +a(g436 +Vreq.http.host +p1649 +tp1650 +a(g701 +g1147 +tp1651 +a(g701 +g978 +tp1652 +a(g822 +V\u000a +p1653 +tp1654 +a(g822 +V +p1655 +tp1656 +a(g408 +g1003 +tp1657 +a(g822 +g990 +tp1658 +a(g740 +Velse +p1659 +tp1660 +a(g822 +g990 +tp1661 +a(g408 +g1013 +tp1662 +a(g822 +V\u000a +p1663 +tp1664 +a(g822 +V +p1665 +tp1666 +a(g740 +Vhash_data +p1667 +tp1668 +a(g701 +g1145 +tp1669 +a(g436 +Vserver.ip +p1670 +tp1671 +a(g701 +g1147 +tp1672 +a(g701 +g978 +tp1673 +a(g822 +V\u000a +p1674 +tp1675 +a(g822 +V +p1676 +tp1677 +a(g408 +g1003 +tp1678 +a(g822 +V\u000a +p1679 +tp1680 +a(g822 +V +p1681 +tp1682 +a(g740 +Vreturn +p1683 +tp1684 +a(g822 +g990 +tp1685 +a(g701 +g1145 +tp1686 +a(g561 +Vlookup +p1687 +tp1688 +a(g701 +g1147 +tp1689 +a(g701 +g978 +tp1690 +a(g822 +V\u000a +p1691 +tp1692 +a(g408 +g1003 +tp1693 +a(g822 +V\u000a +p1694 +tp1695 +a(g822 +V\u000a +p1696 +tp1697 +a(g740 +Vsub +p1698 +tp1699 +a(g569 +Vvcl_purge +p1700 +tp1701 +a(g701 +V { +p1702 +tp1703 +a(g822 +V\u000a +p1704 +tp1705 +a(g822 +V +p1706 +tp1707 +a(g740 +Vreturn +p1708 +tp1709 +a(g822 +g990 +tp1710 +a(g701 +g1145 +tp1711 +a(g561 +Vsynth +p1712 +tp1713 +a(g701 +g1145 +tp1714 +a(g27 +V200 +p1715 +tp1716 +a(g408 +V, +p1717 +tp1718 +a(g822 +g990 +tp1719 +a(g84 +g996 +tp1720 +a(g84 +VPurged +p1721 +tp1722 +a(g84 +g996 +tp1723 +a(g701 +g1147 +tp1724 +a(g701 +g1147 +tp1725 +a(g701 +g978 +tp1726 +a(g822 +V\u000a +p1727 +tp1728 +a(g408 +g1003 +tp1729 +a(g822 +V\u000a +p1730 +tp1731 +a(g822 +V\u000a +p1732 +tp1733 +a(g740 +Vsub +p1734 +tp1735 +a(g569 +Vvcl_hit +p1736 +tp1737 +a(g701 +V { +p1738 +tp1739 +a(g822 +V\u000a +p1740 +tp1741 +a(g822 +V +p1742 +tp1743 +a(g740 +Vif +p1744 +tp1745 +a(g822 +g990 +tp1746 +a(g701 +g1145 +tp1747 +a(g436 +Vobj.ttl +p1748 +tp1749 +a(g822 +g990 +tp1750 +a(g408 +V>= +p1751 +tp1752 +a(g822 +g990 +tp1753 +a(g251 +V0s +p1754 +tp1755 +a(g701 +g1147 +tp1756 +a(g822 +g990 +tp1757 +a(g408 +g1013 +tp1758 +a(g822 +V\u000a +p1759 +tp1760 +a(g822 +V +p1761 +tp1762 +a(g7 +V// A pure unadultered hit, deliver it +p1763 +tp1764 +a(g822 +V\u000a +p1765 +tp1766 +a(g822 +V +p1767 +tp1768 +a(g740 +Vreturn +p1769 +tp1770 +a(g822 +g990 +tp1771 +a(g701 +g1145 +tp1772 +a(g561 +Vdeliver +p1773 +tp1774 +a(g701 +g1147 +tp1775 +a(g701 +g978 +tp1776 +a(g822 +V\u000a +p1777 +tp1778 +a(g822 +V +p1779 +tp1780 +a(g408 +g1003 +tp1781 +a(g822 +V\u000a +p1782 +tp1783 +a(g822 +V +p1784 +tp1785 +a(g740 +Vif +p1786 +tp1787 +a(g822 +g990 +tp1788 +a(g701 +g1145 +tp1789 +a(g436 +Vobj.ttl +p1790 +tp1791 +a(g822 +g990 +tp1792 +a(g408 +V+ +p1793 +tp1794 +a(g822 +g990 +tp1795 +a(g436 +Vobj.grace +p1796 +tp1797 +a(g822 +g990 +tp1798 +a(g408 +V> +p1799 +tp1800 +a(g822 +g990 +tp1801 +a(g251 +V0s +p1802 +tp1803 +a(g701 +g1147 +tp1804 +a(g822 +g990 +tp1805 +a(g408 +g1013 +tp1806 +a(g822 +V\u000a +p1807 +tp1808 +a(g822 +V +p1809 +tp1810 +a(g7 +V// Object is in grace, deliver it +p1811 +tp1812 +a(g822 +V\u000a +p1813 +tp1814 +a(g822 +V +p1815 +tp1816 +a(g7 +V// Automatically triggers a background fetch +p1817 +tp1818 +a(g822 +V\u000a +p1819 +tp1820 +a(g822 +V +p1821 +tp1822 +a(g740 +Vreturn +p1823 +tp1824 +a(g822 +g990 +tp1825 +a(g701 +g1145 +tp1826 +a(g561 +Vdeliver +p1827 +tp1828 +a(g701 +g1147 +tp1829 +a(g701 +g978 +tp1830 +a(g822 +V\u000a +p1831 +tp1832 +a(g822 +V +p1833 +tp1834 +a(g408 +g1003 +tp1835 +a(g822 +V\u000a +p1836 +tp1837 +a(g822 +V +p1838 +tp1839 +a(g7 +V// fetch & deliver once we get the result +p1840 +tp1841 +a(g822 +V\u000a +p1842 +tp1843 +a(g822 +V +p1844 +tp1845 +a(g740 +Vreturn +p1846 +tp1847 +a(g822 +g990 +tp1848 +a(g701 +g1145 +tp1849 +a(g561 +Vmiss +p1850 +tp1851 +a(g701 +g1147 +tp1852 +a(g701 +g978 +tp1853 +a(g822 +V\u000a +p1854 +tp1855 +a(g408 +g1003 +tp1856 +a(g822 +V\u000a +p1857 +tp1858 +a(g822 +V\u000a +p1859 +tp1860 +a(g740 +Vsub +p1861 +tp1862 +a(g569 +Vvcl_miss +p1863 +tp1864 +a(g701 +V { +p1865 +tp1866 +a(g822 +V\u000a +p1867 +tp1868 +a(g822 +V +p1869 +tp1870 +a(g740 +Vreturn +p1871 +tp1872 +a(g822 +g990 +tp1873 +a(g701 +g1145 +tp1874 +a(g561 +Vfetch +p1875 +tp1876 +a(g701 +g1147 +tp1877 +a(g701 +g978 +tp1878 +a(g822 +V\u000a +p1879 +tp1880 +a(g408 +g1003 +tp1881 +a(g822 +V\u000a +p1882 +tp1883 +a(g822 +V\u000a +p1884 +tp1885 +a(g740 +Vsub +p1886 +tp1887 +a(g569 +Vvcl_deliver +p1888 +tp1889 +a(g701 +V { +p1890 +tp1891 +a(g822 +V\u000a +p1892 +tp1893 +a(g822 +V +p1894 +tp1895 +a(g740 +Vset +p1896 +tp1897 +a(g822 +g990 +tp1898 +a(g436 +Vresp.http.x-storage +p1899 +tp1900 +a(g822 +g990 +tp1901 +a(g408 +g1136 +tp1902 +a(g822 +g990 +tp1903 +a(g436 +Vstorage.s0.free +p1904 +tp1905 +a(g701 +g978 +tp1906 +a(g822 +V\u000a +p1907 +tp1908 +a(g822 +V +p1909 +tp1910 +a(g740 +Vreturn +p1911 +tp1912 +a(g822 +g990 +tp1913 +a(g701 +g1145 +tp1914 +a(g561 +Vdeliver +p1915 +tp1916 +a(g701 +g1147 +tp1917 +a(g701 +g978 +tp1918 +a(g822 +V\u000a +p1919 +tp1920 +a(g408 +g1003 +tp1921 +a(g822 +V\u000a +p1922 +tp1923 +a(g822 +V\u000a +p1924 +tp1925 +a(g932 +V/* +p1926 +tp1927 +a(g932 +V\u000a +p1928 +tp1929 +a(g932 +V* +p1930 +tp1931 +a(g932 +V We can come here "invisibly" with the following errors: 413, 417 & 503\u000a +p1932 +tp1933 +a(g932 +V*/ +p1934 +tp1935 +a(g822 +V\u000a +p1936 +tp1937 +a(g740 +Vsub +p1938 +tp1939 +a(g569 +Vvcl_synth +p1940 +tp1941 +a(g701 +V { +p1942 +tp1943 +a(g822 +V\u000a +p1944 +tp1945 +a(g822 +V +p1946 +tp1947 +a(g740 +Vset +p1948 +tp1949 +a(g822 +g990 +tp1950 +a(g436 +Vresp.http.Content-Type +p1951 +tp1952 +a(g822 +g990 +tp1953 +a(g408 +g1136 +tp1954 +a(g822 +g990 +tp1955 +a(g84 +g996 +tp1956 +a(g84 +Vtext/html; charset=utf-8 +p1957 +tp1958 +a(g84 +g996 +tp1959 +a(g701 +g978 +tp1960 +a(g822 +V\u000a +p1961 +tp1962 +a(g822 +V +p1963 +tp1964 +a(g740 +Vset +p1965 +tp1966 +a(g822 +g990 +tp1967 +a(g436 +Vresp.http.Retry-After +p1968 +tp1969 +a(g822 +g990 +tp1970 +a(g408 +g1136 +tp1971 +a(g822 +g990 +tp1972 +a(g84 +g996 +tp1973 +a(g84 +V5 +p1974 +tp1975 +a(g84 +g996 +tp1976 +a(g701 +g978 +tp1977 +a(g822 +V\u000a +p1978 +tp1979 +a(g822 +V +p1980 +tp1981 +a(g740 +Vsynthetic +p1982 +tp1983 +a(g701 +g1145 +tp1984 +a(g822 +g990 +tp1985 +a(g84 +V{" +p1986 +tp1987 +a(g84 +V< +p1988 +tp1989 +a(g84 +g1084 +tp1990 +a(g84 +VD +p1991 +tp1992 +a(g84 +VO +p1993 +tp1994 +a(g84 +VC +p1995 +tp1996 +a(g84 +VT +p1997 +tp1998 +a(g84 +VY +p1999 +tp2000 +a(g84 +VP +p2001 +tp2002 +a(g84 +VE +p2003 +tp2004 +a(g84 +g990 +tp2005 +a(g84 +Vh +p2006 +tp2007 +a(g84 +Vt +p2008 +tp2009 +a(g84 +Vm +p2010 +tp2011 +a(g84 +Vl +p2012 +tp2013 +a(g84 +g1799 +tp2014 +a(g84 +V\u000a +p2015 +tp2016 +a(g84 +g1988 +tp2017 +a(g84 +g2006 +tp2018 +a(g84 +g2008 +tp2019 +a(g84 +g2010 +tp2020 +a(g84 +g2012 +tp2021 +a(g84 +g1799 +tp2022 +a(g84 +V\u000a +p2023 +tp2024 +a(g84 +g990 +tp2025 +a(g84 +g990 +tp2026 +a(g84 +g1988 +tp2027 +a(g84 +g2006 +tp2028 +a(g84 +Ve +p2029 +tp2030 +a(g84 +Va +p2031 +tp2032 +a(g84 +Vd +p2033 +tp2034 +a(g84 +g1799 +tp2035 +a(g84 +V\u000a +p2036 +tp2037 +a(g84 +g990 +tp2038 +a(g84 +g990 +tp2039 +a(g84 +g990 +tp2040 +a(g84 +g990 +tp2041 +a(g84 +g1988 +tp2042 +a(g84 +g2008 +tp2043 +a(g84 +Vi +p2044 +tp2045 +a(g84 +g2008 +tp2046 +a(g84 +g2012 +tp2047 +a(g84 +g2029 +tp2048 +a(g84 +g1799 +tp2049 +a(g84 +V"} +p2050 +tp2051 +a(g822 +g990 +tp2052 +a(g408 +g1793 +tp2053 +a(g822 +g990 +tp2054 +a(g436 +Vresp.status +p2055 +tp2056 +a(g822 +g990 +tp2057 +a(g408 +g1793 +tp2058 +a(g822 +g990 +tp2059 +a(g84 +g996 +tp2060 +a(g84 +g990 +tp2061 +a(g84 +g996 +tp2062 +a(g822 +g990 +tp2063 +a(g408 +g1793 +tp2064 +a(g822 +g990 +tp2065 +a(g436 +Vresp.reason +p2066 +tp2067 +a(g822 +g990 +tp2068 +a(g408 +g1793 +tp2069 +a(g822 +g990 +tp2070 +a(g84 +V{" +p2071 +tp2072 +a(g84 +g1988 +tp2073 +a(g84 +g1076 +tp2074 +a(g84 +g2008 +tp2075 +a(g84 +g2044 +tp2076 +a(g84 +g2008 +tp2077 +a(g84 +g2012 +tp2078 +a(g84 +g2029 +tp2079 +a(g84 +g1799 +tp2080 +a(g84 +V\u000a +p2081 +tp2082 +a(g84 +g990 +tp2083 +a(g84 +g990 +tp2084 +a(g84 +g1988 +tp2085 +a(g84 +g1076 +tp2086 +a(g84 +g2006 +tp2087 +a(g84 +g2029 +tp2088 +a(g84 +g2031 +tp2089 +a(g84 +g2033 +tp2090 +a(g84 +g1799 +tp2091 +a(g84 +V\u000a +p2092 +tp2093 +a(g84 +g990 +tp2094 +a(g84 +g990 +tp2095 +a(g84 +g1988 +tp2096 +a(g84 +g1133 +tp2097 +a(g84 +Vo +p2098 +tp2099 +a(g84 +g2033 +tp2100 +a(g84 +Vy +p2101 +tp2102 +a(g84 +g1799 +tp2103 +a(g84 +V\u000a +p2104 +tp2105 +a(g84 +g990 +tp2106 +a(g84 +g990 +tp2107 +a(g84 +g990 +tp2108 +a(g84 +g990 +tp2109 +a(g84 +g1988 +tp2110 +a(g84 +g2006 +tp2111 +a(g84 +V1 +p2112 +tp2113 +a(g84 +g1799 +tp2114 +a(g84 +g2003 +tp2115 +a(g84 +Vr +p2116 +tp2117 +a(g84 +g2116 +tp2118 +a(g84 +g2098 +tp2119 +a(g84 +g2116 +tp2120 +a(g84 +g990 +tp2121 +a(g84 +V"} +p2122 +tp2123 +a(g822 +g990 +tp2124 +a(g408 +g1793 +tp2125 +a(g822 +g990 +tp2126 +a(g436 +Vresp.status +p2127 +tp2128 +a(g822 +g990 +tp2129 +a(g408 +g1793 +tp2130 +a(g822 +g990 +tp2131 +a(g84 +g996 +tp2132 +a(g84 +g990 +tp2133 +a(g84 +g996 +tp2134 +a(g822 +g990 +tp2135 +a(g408 +g1793 +tp2136 +a(g822 +g990 +tp2137 +a(g436 +Vresp.reason +p2138 +tp2139 +a(g822 +g990 +tp2140 +a(g408 +g1793 +tp2141 +a(g822 +g990 +tp2142 +a(g84 +V{" +p2143 +tp2144 +a(g84 +g1988 +tp2145 +a(g84 +g1076 +tp2146 +a(g84 +g2006 +tp2147 +a(g84 +g2112 +tp2148 +a(g84 +g1799 +tp2149 +a(g84 +V\u000a +p2150 +tp2151 +a(g84 +g990 +tp2152 +a(g84 +g990 +tp2153 +a(g84 +g990 +tp2154 +a(g84 +g990 +tp2155 +a(g84 +g1988 +tp2156 +a(g84 +Vp +p2157 +tp2158 +a(g84 +g1799 +tp2159 +a(g84 +V"} +p2160 +tp2161 +a(g822 +g990 +tp2162 +a(g408 +g1793 +tp2163 +a(g822 +g990 +tp2164 +a(g436 +Vresp.reason +p2165 +tp2166 +a(g822 +g990 +tp2167 +a(g408 +g1793 +tp2168 +a(g822 +g990 +tp2169 +a(g84 +V{" +p2170 +tp2171 +a(g84 +g1988 +tp2172 +a(g84 +g1076 +tp2173 +a(g84 +g2157 +tp2174 +a(g84 +g1799 +tp2175 +a(g84 +V\u000a +p2176 +tp2177 +a(g84 +g990 +tp2178 +a(g84 +g990 +tp2179 +a(g84 +g990 +tp2180 +a(g84 +g990 +tp2181 +a(g84 +g1988 +tp2182 +a(g84 +g2006 +tp2183 +a(g84 +V3 +p2184 +tp2185 +a(g84 +g1799 +tp2186 +a(g84 +VG +p2187 +tp2188 +a(g84 +Vu +p2189 +tp2190 +a(g84 +g2116 +tp2191 +a(g84 +g2189 +tp2192 +a(g84 +g990 +tp2193 +a(g84 +VM +p2194 +tp2195 +a(g84 +g2029 +tp2196 +a(g84 +g2033 +tp2197 +a(g84 +g2044 +tp2198 +a(g84 +g2008 +tp2199 +a(g84 +g2031 +tp2200 +a(g84 +g2008 +tp2201 +a(g84 +g2044 +tp2202 +a(g84 +g2098 +tp2203 +a(g84 +Vn +p2204 +tp2205 +a(g84 +V: +p2206 +tp2207 +a(g84 +g1988 +tp2208 +a(g84 +g1076 +tp2209 +a(g84 +g2006 +tp2210 +a(g84 +g2184 +tp2211 +a(g84 +g1799 +tp2212 +a(g84 +V\u000a +p2213 +tp2214 +a(g84 +g990 +tp2215 +a(g84 +g990 +tp2216 +a(g84 +g990 +tp2217 +a(g84 +g990 +tp2218 +a(g84 +g1988 +tp2219 +a(g84 +g2157 +tp2220 +a(g84 +g1799 +tp2221 +a(g84 +VX +p2222 +tp2223 +a(g84 +VI +p2224 +tp2225 +a(g84 +g1991 +tp2226 +a(g84 +g2206 +tp2227 +a(g84 +g990 +tp2228 +a(g84 +V"} +p2229 +tp2230 +a(g822 +g990 +tp2231 +a(g408 +g1793 +tp2232 +a(g822 +g990 +tp2233 +a(g436 +Vreq.xid +p2234 +tp2235 +a(g822 +g990 +tp2236 +a(g408 +g1793 +tp2237 +a(g822 +g990 +tp2238 +a(g84 +V{" +p2239 +tp2240 +a(g84 +g1988 +tp2241 +a(g84 +g1076 +tp2242 +a(g84 +g2157 +tp2243 +a(g84 +g1799 +tp2244 +a(g84 +V\u000a +p2245 +tp2246 +a(g84 +g990 +tp2247 +a(g84 +g990 +tp2248 +a(g84 +g990 +tp2249 +a(g84 +g990 +tp2250 +a(g84 +g1988 +tp2251 +a(g84 +g2006 +tp2252 +a(g84 +g2116 +tp2253 +a(g84 +g1799 +tp2254 +a(g84 +V\u000a +p2255 +tp2256 +a(g84 +g990 +tp2257 +a(g84 +g990 +tp2258 +a(g84 +g990 +tp2259 +a(g84 +g990 +tp2260 +a(g84 +g1988 +tp2261 +a(g84 +g2157 +tp2262 +a(g84 +g1799 +tp2263 +a(g84 +VV +p2264 +tp2265 +a(g84 +g2031 +tp2266 +a(g84 +g2116 +tp2267 +a(g84 +g2204 +tp2268 +a(g84 +g2044 +tp2269 +a(g84 +Vs +p2270 +tp2271 +a(g84 +g2006 +tp2272 +a(g84 +g990 +tp2273 +a(g84 +Vc +p2274 +tp2275 +a(g84 +g2031 +tp2276 +a(g84 +g2274 +tp2277 +a(g84 +g2006 +tp2278 +a(g84 +g2029 +tp2279 +a(g84 +g990 +tp2280 +a(g84 +g2270 +tp2281 +a(g84 +g2029 +tp2282 +a(g84 +g2116 +tp2283 +a(g84 +Vv +p2284 +tp2285 +a(g84 +g2029 +tp2286 +a(g84 +g2116 +tp2287 +a(g84 +g1988 +tp2288 +a(g84 +g1076 +tp2289 +a(g84 +g2157 +tp2290 +a(g84 +g1799 +tp2291 +a(g84 +V\u000a +p2292 +tp2293 +a(g84 +g990 +tp2294 +a(g84 +g990 +tp2295 +a(g84 +g1988 +tp2296 +a(g84 +g1076 +tp2297 +a(g84 +g1133 +tp2298 +a(g84 +g2098 +tp2299 +a(g84 +g2033 +tp2300 +a(g84 +g2101 +tp2301 +a(g84 +g1799 +tp2302 +a(g84 +V\u000a +p2303 +tp2304 +a(g84 +g1988 +tp2305 +a(g84 +g1076 +tp2306 +a(g84 +g2006 +tp2307 +a(g84 +g2008 +tp2308 +a(g84 +g2010 +tp2309 +a(g84 +g2012 +tp2310 +a(g84 +g1799 +tp2311 +a(g84 +V\u000a +p2312 +tp2313 +a(g84 +V"} +p2314 +tp2315 +a(g822 +g990 +tp2316 +a(g701 +g1147 +tp2317 +a(g701 +g978 +tp2318 +a(g822 +V\u000a +p2319 +tp2320 +a(g822 +V +p2321 +tp2322 +a(g740 +Vreturn +p2323 +tp2324 +a(g822 +g990 +tp2325 +a(g701 +g1145 +tp2326 +a(g561 +Vdeliver +p2327 +tp2328 +a(g701 +g1147 +tp2329 +a(g701 +g978 +tp2330 +a(g822 +V\u000a +p2331 +tp2332 +a(g408 +g1003 +tp2333 +a(g822 +V\u000a +p2334 +tp2335 +a(g822 +V\u000a +p2336 +tp2337 +a(g7 +V####################################################################### +p2338 +tp2339 +a(g822 +V\u000a +p2340 +tp2341 +a(g7 +V# Backend Fetch +p2342 +tp2343 +a(g822 +V\u000a +p2344 +tp2345 +a(g822 +V\u000a +p2346 +tp2347 +a(g740 +Vsub +p2348 +tp2349 +a(g569 +Vvcl_backend_fetch +p2350 +tp2351 +a(g701 +V { +p2352 +tp2353 +a(g822 +V\u000a +p2354 +tp2355 +a(g822 +V +p2356 +tp2357 +a(g740 +Vreturn +p2358 +tp2359 +a(g822 +g990 +tp2360 +a(g701 +g1145 +tp2361 +a(g561 +Vfetch +p2362 +tp2363 +a(g701 +g1147 +tp2364 +a(g701 +g978 +tp2365 +a(g822 +V\u000a +p2366 +tp2367 +a(g408 +g1003 +tp2368 +a(g822 +V\u000a +p2369 +tp2370 +a(g822 +V\u000a +p2371 +tp2372 +a(g740 +Vsub +p2373 +tp2374 +a(g569 +Vvcl_backend_response +p2375 +tp2376 +a(g701 +V { +p2377 +tp2378 +a(g822 +V\u000a +p2379 +tp2380 +a(g822 +V +p2381 +tp2382 +a(g740 +Vif +p2383 +tp2384 +a(g822 +g990 +tp2385 +a(g701 +g1145 +tp2386 +a(g436 +Vberesp.ttl +p2387 +tp2388 +a(g822 +g990 +tp2389 +a(g408 +V<= +p2390 +tp2391 +a(g822 +g990 +tp2392 +a(g251 +V0s +p2393 +tp2394 +a(g822 +g990 +tp2395 +a(g408 +V|| +p2396 +tp2397 +a(g822 +V\u000a +p2398 +tp2399 +a(g822 +V +p2400 +tp2401 +a(g436 +Vberesp.http.Set-Cookie +p2402 +tp2403 +a(g822 +g990 +tp2404 +a(g408 +V|| +p2405 +tp2406 +a(g822 +V\u000a +p2407 +tp2408 +a(g822 +V +p2409 +tp2410 +a(g436 +Vberesp.http.Surrogate-control +p2411 +tp2412 +a(g822 +g990 +tp2413 +a(g408 +g1172 +tp2414 +a(g822 +g990 +tp2415 +a(g84 +g996 +tp2416 +a(g84 +Vno-store +p2417 +tp2418 +a(g84 +g996 +tp2419 +a(g822 +g990 +tp2420 +a(g408 +V|| +p2421 +tp2422 +a(g822 +V\u000a +p2423 +tp2424 +a(g822 +V +p2425 +tp2426 +a(g701 +g1145 +tp2427 +a(g408 +g1084 +tp2428 +a(g436 +Vberesp.http.Surrogate-Control +p2429 +tp2430 +a(g822 +g990 +tp2431 +a(g408 +V&& +p2432 +tp2433 +a(g822 +V\u000a +p2434 +tp2435 +a(g822 +V +p2436 +tp2437 +a(g436 +Vberesp.http.Cache-Control +p2438 +tp2439 +a(g822 +g990 +tp2440 +a(g408 +g1172 +tp2441 +a(g822 +g990 +tp2442 +a(g84 +g996 +tp2443 +a(g84 +Vno-cache|no-store|private +p2444 +tp2445 +a(g84 +g996 +tp2446 +a(g701 +g1147 +tp2447 +a(g822 +g990 +tp2448 +a(g408 +V|| +p2449 +tp2450 +a(g822 +V\u000a +p2451 +tp2452 +a(g822 +V +p2453 +tp2454 +a(g436 +Vberesp.http.Vary +p2455 +tp2456 +a(g822 +g990 +tp2457 +a(g408 +V== +p2458 +tp2459 +a(g822 +g990 +tp2460 +a(g84 +g996 +tp2461 +a(g84 +g1930 +tp2462 +a(g84 +g996 +tp2463 +a(g701 +g1147 +tp2464 +a(g822 +g990 +tp2465 +a(g408 +g1013 +tp2466 +a(g822 +V\u000a +p2467 +tp2468 +a(g822 +V +p2469 +tp2470 +a(g932 +V/* +p2471 +tp2472 +a(g932 +V\u000a +p2473 +tp2474 +a(g932 +g1930 +tp2475 +a(g932 +V Mark as "Hit-For-Pass" for the next 2 minutes\u000a +p2476 +tp2477 +a(g932 +V*/ +p2478 +tp2479 +a(g822 +V\u000a +p2480 +tp2481 +a(g822 +V +p2482 +tp2483 +a(g740 +Vset +p2484 +tp2485 +a(g822 +g990 +tp2486 +a(g436 +Vberesp.ttl +p2487 +tp2488 +a(g822 +g990 +tp2489 +a(g408 +g1136 +tp2490 +a(g822 +g990 +tp2491 +a(g251 +V120s +p2492 +tp2493 +a(g701 +g978 +tp2494 +a(g822 +V\u000a +p2495 +tp2496 +a(g822 +V +p2497 +tp2498 +a(g740 +Vset +p2499 +tp2500 +a(g822 +g990 +tp2501 +a(g436 +Vberesp.uncacheable +p2502 +tp2503 +a(g822 +g990 +tp2504 +a(g408 +g1136 +tp2505 +a(g822 +g990 +tp2506 +a(g677 +Vtrue +p2507 +tp2508 +a(g701 +g978 +tp2509 +a(g822 +V\u000a +p2510 +tp2511 +a(g822 +V +p2512 +tp2513 +a(g408 +g1003 +tp2514 +a(g822 +V\u000a +p2515 +tp2516 +a(g822 +V +p2517 +tp2518 +a(g740 +Vreturn +p2519 +tp2520 +a(g822 +g990 +tp2521 +a(g701 +g1145 +tp2522 +a(g561 +Vdeliver +p2523 +tp2524 +a(g701 +g1147 +tp2525 +a(g701 +g978 +tp2526 +a(g822 +V\u000a +p2527 +tp2528 +a(g408 +g1003 +tp2529 +a(g822 +V\u000a +p2530 +tp2531 +a(g822 +V\u000a +p2532 +tp2533 +a(g740 +Vsub +p2534 +tp2535 +a(g569 +Vvcl_backend_error +p2536 +tp2537 +a(g701 +V { +p2538 +tp2539 +a(g822 +V\u000a +p2540 +tp2541 +a(g822 +V +p2542 +tp2543 +a(g740 +Vset +p2544 +tp2545 +a(g822 +g990 +tp2546 +a(g436 +Vberesp.http.Content-Type +p2547 +tp2548 +a(g822 +g990 +tp2549 +a(g408 +g1136 +tp2550 +a(g822 +g990 +tp2551 +a(g84 +g996 +tp2552 +a(g84 +Vtext/html; charset=utf-8 +p2553 +tp2554 +a(g84 +g996 +tp2555 +a(g701 +g978 +tp2556 +a(g822 +V\u000a +p2557 +tp2558 +a(g822 +V +p2559 +tp2560 +a(g740 +Vset +p2561 +tp2562 +a(g822 +g990 +tp2563 +a(g436 +Vberesp.http.Retry-After +p2564 +tp2565 +a(g822 +g990 +tp2566 +a(g408 +g1136 +tp2567 +a(g822 +g990 +tp2568 +a(g84 +g996 +tp2569 +a(g84 +g1974 +tp2570 +a(g84 +g996 +tp2571 +a(g701 +g978 +tp2572 +a(g822 +V\u000a +p2573 +tp2574 +a(g822 +V +p2575 +tp2576 +a(g740 +Vsynthetic +p2577 +tp2578 +a(g701 +g1145 +tp2579 +a(g822 +g990 +tp2580 +a(g84 +V{" +p2581 +tp2582 +a(g84 +g1988 +tp2583 +a(g84 +g1084 +tp2584 +a(g84 +g1991 +tp2585 +a(g84 +g1993 +tp2586 +a(g84 +g1995 +tp2587 +a(g84 +g1997 +tp2588 +a(g84 +g1999 +tp2589 +a(g84 +g2001 +tp2590 +a(g84 +g2003 +tp2591 +a(g84 +g990 +tp2592 +a(g84 +g2006 +tp2593 +a(g84 +g2008 +tp2594 +a(g84 +g2010 +tp2595 +a(g84 +g2012 +tp2596 +a(g84 +g1799 +tp2597 +a(g84 +V\u000a +p2598 +tp2599 +a(g84 +g1988 +tp2600 +a(g84 +g2006 +tp2601 +a(g84 +g2008 +tp2602 +a(g84 +g2010 +tp2603 +a(g84 +g2012 +tp2604 +a(g84 +g1799 +tp2605 +a(g84 +V\u000a +p2606 +tp2607 +a(g84 +g990 +tp2608 +a(g84 +g990 +tp2609 +a(g84 +g1988 +tp2610 +a(g84 +g2006 +tp2611 +a(g84 +g2029 +tp2612 +a(g84 +g2031 +tp2613 +a(g84 +g2033 +tp2614 +a(g84 +g1799 +tp2615 +a(g84 +V\u000a +p2616 +tp2617 +a(g84 +g990 +tp2618 +a(g84 +g990 +tp2619 +a(g84 +g990 +tp2620 +a(g84 +g990 +tp2621 +a(g84 +g1988 +tp2622 +a(g84 +g2008 +tp2623 +a(g84 +g2044 +tp2624 +a(g84 +g2008 +tp2625 +a(g84 +g2012 +tp2626 +a(g84 +g2029 +tp2627 +a(g84 +g1799 +tp2628 +a(g84 +V"} +p2629 +tp2630 +a(g822 +g990 +tp2631 +a(g408 +g1793 +tp2632 +a(g822 +g990 +tp2633 +a(g436 +Vberesp.status +p2634 +tp2635 +a(g822 +g990 +tp2636 +a(g408 +g1793 +tp2637 +a(g822 +g990 +tp2638 +a(g84 +g996 +tp2639 +a(g84 +g990 +tp2640 +a(g84 +g996 +tp2641 +a(g822 +g990 +tp2642 +a(g408 +g1793 +tp2643 +a(g822 +g990 +tp2644 +a(g436 +Vberesp.reason +p2645 +tp2646 +a(g822 +g990 +tp2647 +a(g408 +g1793 +tp2648 +a(g822 +g990 +tp2649 +a(g84 +V{" +p2650 +tp2651 +a(g84 +g1988 +tp2652 +a(g84 +g1076 +tp2653 +a(g84 +g2008 +tp2654 +a(g84 +g2044 +tp2655 +a(g84 +g2008 +tp2656 +a(g84 +g2012 +tp2657 +a(g84 +g2029 +tp2658 +a(g84 +g1799 +tp2659 +a(g84 +V\u000a +p2660 +tp2661 +a(g84 +g990 +tp2662 +a(g84 +g990 +tp2663 +a(g84 +g1988 +tp2664 +a(g84 +g1076 +tp2665 +a(g84 +g2006 +tp2666 +a(g84 +g2029 +tp2667 +a(g84 +g2031 +tp2668 +a(g84 +g2033 +tp2669 +a(g84 +g1799 +tp2670 +a(g84 +V\u000a +p2671 +tp2672 +a(g84 +g990 +tp2673 +a(g84 +g990 +tp2674 +a(g84 +g1988 +tp2675 +a(g84 +g1133 +tp2676 +a(g84 +g2098 +tp2677 +a(g84 +g2033 +tp2678 +a(g84 +g2101 +tp2679 +a(g84 +g1799 +tp2680 +a(g84 +V\u000a +p2681 +tp2682 +a(g84 +g990 +tp2683 +a(g84 +g990 +tp2684 +a(g84 +g990 +tp2685 +a(g84 +g990 +tp2686 +a(g84 +g1988 +tp2687 +a(g84 +g2006 +tp2688 +a(g84 +g2112 +tp2689 +a(g84 +g1799 +tp2690 +a(g84 +g2003 +tp2691 +a(g84 +g2116 +tp2692 +a(g84 +g2116 +tp2693 +a(g84 +g2098 +tp2694 +a(g84 +g2116 +tp2695 +a(g84 +g990 +tp2696 +a(g84 +V"} +p2697 +tp2698 +a(g822 +g990 +tp2699 +a(g408 +g1793 +tp2700 +a(g822 +g990 +tp2701 +a(g436 +Vberesp.status +p2702 +tp2703 +a(g822 +g990 +tp2704 +a(g408 +g1793 +tp2705 +a(g822 +g990 +tp2706 +a(g84 +g996 +tp2707 +a(g84 +g990 +tp2708 +a(g84 +g996 +tp2709 +a(g822 +g990 +tp2710 +a(g408 +g1793 +tp2711 +a(g822 +g990 +tp2712 +a(g436 +Vberesp.reason +p2713 +tp2714 +a(g822 +g990 +tp2715 +a(g408 +g1793 +tp2716 +a(g822 +g990 +tp2717 +a(g84 +V{" +p2718 +tp2719 +a(g84 +g1988 +tp2720 +a(g84 +g1076 +tp2721 +a(g84 +g2006 +tp2722 +a(g84 +g2112 +tp2723 +a(g84 +g1799 +tp2724 +a(g84 +V\u000a +p2725 +tp2726 +a(g84 +g990 +tp2727 +a(g84 +g990 +tp2728 +a(g84 +g990 +tp2729 +a(g84 +g990 +tp2730 +a(g84 +g1988 +tp2731 +a(g84 +g2157 +tp2732 +a(g84 +g1799 +tp2733 +a(g84 +V"} +p2734 +tp2735 +a(g822 +g990 +tp2736 +a(g408 +g1793 +tp2737 +a(g822 +g990 +tp2738 +a(g436 +Vberesp.reason +p2739 +tp2740 +a(g822 +g990 +tp2741 +a(g408 +g1793 +tp2742 +a(g822 +g990 +tp2743 +a(g84 +V{" +p2744 +tp2745 +a(g84 +g1988 +tp2746 +a(g84 +g1076 +tp2747 +a(g84 +g2157 +tp2748 +a(g84 +g1799 +tp2749 +a(g84 +V\u000a +p2750 +tp2751 +a(g84 +g990 +tp2752 +a(g84 +g990 +tp2753 +a(g84 +g990 +tp2754 +a(g84 +g990 +tp2755 +a(g84 +g1988 +tp2756 +a(g84 +g2006 +tp2757 +a(g84 +g2184 +tp2758 +a(g84 +g1799 +tp2759 +a(g84 +g2187 +tp2760 +a(g84 +g2189 +tp2761 +a(g84 +g2116 +tp2762 +a(g84 +g2189 +tp2763 +a(g84 +g990 +tp2764 +a(g84 +g2194 +tp2765 +a(g84 +g2029 +tp2766 +a(g84 +g2033 +tp2767 +a(g84 +g2044 +tp2768 +a(g84 +g2008 +tp2769 +a(g84 +g2031 +tp2770 +a(g84 +g2008 +tp2771 +a(g84 +g2044 +tp2772 +a(g84 +g2098 +tp2773 +a(g84 +g2204 +tp2774 +a(g84 +g2206 +tp2775 +a(g84 +g1988 +tp2776 +a(g84 +g1076 +tp2777 +a(g84 +g2006 +tp2778 +a(g84 +g2184 +tp2779 +a(g84 +g1799 +tp2780 +a(g84 +V\u000a +p2781 +tp2782 +a(g84 +g990 +tp2783 +a(g84 +g990 +tp2784 +a(g84 +g990 +tp2785 +a(g84 +g990 +tp2786 +a(g84 +g1988 +tp2787 +a(g84 +g2157 +tp2788 +a(g84 +g1799 +tp2789 +a(g84 +g2222 +tp2790 +a(g84 +g2224 +tp2791 +a(g84 +g1991 +tp2792 +a(g84 +g2206 +tp2793 +a(g84 +g990 +tp2794 +a(g84 +V"} +p2795 +tp2796 +a(g822 +g990 +tp2797 +a(g408 +g1793 +tp2798 +a(g822 +g990 +tp2799 +a(g436 +Vbereq.xid +p2800 +tp2801 +a(g822 +g990 +tp2802 +a(g408 +g1793 +tp2803 +a(g822 +g990 +tp2804 +a(g84 +V{" +p2805 +tp2806 +a(g84 +g1988 +tp2807 +a(g84 +g1076 +tp2808 +a(g84 +g2157 +tp2809 +a(g84 +g1799 +tp2810 +a(g84 +V\u000a +p2811 +tp2812 +a(g84 +g990 +tp2813 +a(g84 +g990 +tp2814 +a(g84 +g990 +tp2815 +a(g84 +g990 +tp2816 +a(g84 +g1988 +tp2817 +a(g84 +g2006 +tp2818 +a(g84 +g2116 +tp2819 +a(g84 +g1799 +tp2820 +a(g84 +V\u000a +p2821 +tp2822 +a(g84 +g990 +tp2823 +a(g84 +g990 +tp2824 +a(g84 +g990 +tp2825 +a(g84 +g990 +tp2826 +a(g84 +g1988 +tp2827 +a(g84 +g2157 +tp2828 +a(g84 +g1799 +tp2829 +a(g84 +g2264 +tp2830 +a(g84 +g2031 +tp2831 +a(g84 +g2116 +tp2832 +a(g84 +g2204 +tp2833 +a(g84 +g2044 +tp2834 +a(g84 +g2270 +tp2835 +a(g84 +g2006 +tp2836 +a(g84 +g990 +tp2837 +a(g84 +g2274 +tp2838 +a(g84 +g2031 +tp2839 +a(g84 +g2274 +tp2840 +a(g84 +g2006 +tp2841 +a(g84 +g2029 +tp2842 +a(g84 +g990 +tp2843 +a(g84 +g2270 +tp2844 +a(g84 +g2029 +tp2845 +a(g84 +g2116 +tp2846 +a(g84 +g2284 +tp2847 +a(g84 +g2029 +tp2848 +a(g84 +g2116 +tp2849 +a(g84 +g1988 +tp2850 +a(g84 +g1076 +tp2851 +a(g84 +g2157 +tp2852 +a(g84 +g1799 +tp2853 +a(g84 +V\u000a +p2854 +tp2855 +a(g84 +g990 +tp2856 +a(g84 +g990 +tp2857 +a(g84 +g1988 +tp2858 +a(g84 +g1076 +tp2859 +a(g84 +g1133 +tp2860 +a(g84 +g2098 +tp2861 +a(g84 +g2033 +tp2862 +a(g84 +g2101 +tp2863 +a(g84 +g1799 +tp2864 +a(g84 +V\u000a +p2865 +tp2866 +a(g84 +g1988 +tp2867 +a(g84 +g1076 +tp2868 +a(g84 +g2006 +tp2869 +a(g84 +g2008 +tp2870 +a(g84 +g2010 +tp2871 +a(g84 +g2012 +tp2872 +a(g84 +g1799 +tp2873 +a(g84 +V\u000a +p2874 +tp2875 +a(g84 +V"} +p2876 +tp2877 +a(g822 +g990 +tp2878 +a(g701 +g1147 +tp2879 +a(g701 +g978 +tp2880 +a(g822 +V\u000a +p2881 +tp2882 +a(g822 +V +p2883 +tp2884 +a(g740 +Vreturn +p2885 +tp2886 +a(g822 +g990 +tp2887 +a(g701 +g1145 +tp2888 +a(g561 +Vdeliver +p2889 +tp2890 +a(g701 +g1147 +tp2891 +a(g701 +g978 +tp2892 +a(g822 +V\u000a +p2893 +tp2894 +a(g408 +g1003 +tp2895 +a(g822 +V\u000a +p2896 +tp2897 +a(g822 +V\u000a +p2898 +tp2899 +a(g7 +V####################################################################### +p2900 +tp2901 +a(g822 +V\u000a +p2902 +tp2903 +a(g7 +V# Housekeeping +p2904 +tp2905 +a(g822 +V\u000a +p2906 +tp2907 +a(g822 +V\u000a +p2908 +tp2909 +a(g740 +Vsub +p2910 +tp2911 +a(g569 +Vvcl_init +p2912 +tp2913 +a(g701 +V { +p2914 +tp2915 +a(g822 +V\u000a +p2916 +tp2917 +a(g408 +g1003 +tp2918 +a(g822 +V\u000a +p2919 +tp2920 +a(g822 +V\u000a +p2921 +tp2922 +a(g740 +Vsub +p2923 +tp2924 +a(g569 +Vvcl_fini +p2925 +tp2926 +a(g701 +V { +p2927 +tp2928 +a(g822 +V\u000a +p2929 +tp2930 +a(g822 +V +p2931 +tp2932 +a(g740 +Vreturn +p2933 +tp2934 +a(g822 +g990 +tp2935 +a(g701 +g1145 +tp2936 +a(g561 +Vok +p2937 +tp2938 +a(g701 +g1147 +tp2939 +a(g701 +g978 +tp2940 +a(g822 +V\u000a +p2941 +tp2942 +a(g408 +g1003 +tp2943 +a(g822 +V\u000a +p2944 +tp2945 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/vbnet_test.bas b/tests/examplefiles/output/vbnet_test.bas new file mode 100644 index 0000000..dc5dfc4 --- /dev/null +++ b/tests/examplefiles/output/vbnet_test.bas @@ -0,0 +1,3164 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Keyword' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsS'Punctuation' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +g9 +g11 +sg29 +g30 +((lp695 +g1 +(g2 +g3 +(g690 +S'Indicator' +p696 +tp697 +tp698 +Rp699 +(dp700 +g9 +g693 +sg29 +g30 +((lp701 +tp702 +Rp703 +sbatp704 +Rp705 +sg696 +g699 +sbsS'Comment' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +S'Multi' +p711 +g1 +(g2 +g3 +(g706 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g709 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsg9 +g11 +sS'Special' +p719 +g1 +(g2 +g3 +(g706 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g709 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsS'Hashbang' +p727 +g1 +(g2 +g3 +(g706 +g727 +tp728 +tp729 +Rp730 +(dp731 +g9 +g709 +sg29 +g30 +((lp732 +tp733 +Rp734 +sbsS'Preproc' +p735 +g1 +(g2 +g3 +(g706 +g735 +tp736 +tp737 +Rp738 +(dp739 +g9 +g709 +sg29 +g30 +((lp740 +tp741 +Rp742 +sbsg214 +g1 +(g2 +g3 +(g706 +g214 +tp743 +tp744 +Rp745 +(dp746 +g9 +g709 +sg29 +g30 +((lp747 +tp748 +Rp749 +sbsS'Directive' +p750 +g1 +(g2 +g3 +(g706 +g750 +tp751 +tp752 +Rp753 +(dp754 +g9 +g709 +sg29 +g30 +((lp755 +tp756 +Rp757 +sbsg206 +g1 +(g2 +g3 +(g706 +g206 +tp758 +tp759 +Rp760 +(dp761 +g9 +g709 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsS'Singleline' +p765 +g1 +(g2 +g3 +(g706 +g765 +tp766 +tp767 +Rp768 +(dp769 +g9 +g709 +sg29 +g30 +((lp770 +tp771 +Rp772 +sbsS'Multiline' +p773 +g1 +(g2 +g3 +(g706 +g773 +tp774 +tp775 +Rp776 +(dp777 +g9 +g709 +sg29 +g30 +((lp778 +tp779 +Rp780 +sbsg29 +g30 +((lp781 +g760 +ag753 +ag730 +ag714 +ag768 +ag738 +ag776 +ag745 +ag1 +(g2 +g3 +(g706 +S'PreprocFile' +p782 +tp783 +tp784 +Rp785 +(dp786 +g9 +g709 +sg29 +g30 +((lp787 +tp788 +Rp789 +sbag1 +(g2 +g3 +(g706 +S'SingleLine' +p790 +tp791 +tp792 +Rp793 +(dp794 +g9 +g709 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbag722 +atp798 +Rp799 +sg782 +g785 +sg790 +g793 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp800 +tp801 +Rp802 +(dp803 +g9 +g11 +sg29 +g30 +((lp804 +tp805 +Rp806 +sbsg370 +g1 +(g2 +g3 +(g370 +tp807 +tp808 +Rp809 +(dp810 +g9 +g11 +sg29 +g30 +((lp811 +tp812 +Rp813 +sbsS'Token' +p814 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp815 +tp816 +Rp817 +(dp818 +g9 +g11 +sg29 +g30 +((lp819 +tp820 +Rp821 +sbsg29 +g30 +((lp822 +g423 +ag802 +ag7 +ag312 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g9 +g826 +sg29 +g30 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g9 +g826 +sg29 +g30 +((lp841 +tp842 +Rp843 +sbsg9 +g11 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g9 +g826 +sg29 +g30 +((lp849 +tp850 +Rp851 +sbsg101 +g1 +(g2 +g3 +(g823 +g101 +tp852 +tp853 +Rp854 +(dp855 +g9 +g826 +sg29 +g30 +((lp856 +tp857 +Rp858 +sbsg690 +g1 +(g2 +g3 +(g823 +g690 +tp859 +tp860 +Rp861 +(dp862 +g9 +g826 +sg29 +g30 +((lp863 +tp864 +Rp865 +sbsg29 +g30 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g9 +g826 +sg29 +g30 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag400 +ag817 +ag693 +ag709 +ag809 +ag39 +atp877 +Rp878 +sg4 +g7 +sg823 +g826 +sbsg526 +g1 +(g2 +g3 +(g4 +g526 +tp879 +tp880 +Rp881 +(dp882 +g9 +g7 +sg29 +g30 +((lp883 +tp884 +Rp885 +sbsS'Control' +p886 +g1 +(g2 +g3 +(g4 +g886 +tp887 +tp888 +Rp889 +(dp890 +g9 +g7 +sg29 +g30 +((lp891 +tp892 +Rp893 +sbsg550 +g1 +(g2 +g3 +(g4 +g550 +tp894 +tp895 +Rp896 +(dp897 +g9 +g7 +sg29 +g30 +((lp898 +tp899 +Rp900 +sbsg605 +g1 +(g2 +g3 +(g4 +g605 +tp901 +tp902 +Rp903 +(dp904 +g9 +g7 +sg29 +g30 +((lp905 +tp906 +Rp907 +sbsS'PreProc' +p908 +g1 +(g2 +g3 +(g4 +g908 +tp909 +tp910 +Rp911 +(dp912 +g9 +g7 +sg29 +g30 +((lp913 +tp914 +Rp915 +sbsg518 +g1 +(g2 +g3 +(g4 +g518 +tp916 +tp917 +Rp918 +(dp919 +g9 +g7 +sg29 +g30 +((lp920 +tp921 +Rp922 +sbsS'Reserved' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g9 +g7 +sg29 +g30 +((lp928 +tp929 +Rp930 +sbsg29 +g30 +((lp931 +g903 +ag1 +(g2 +g3 +(g4 +g411 +tp932 +tp933 +Rp934 +(dp935 +g9 +g7 +sg29 +g30 +((lp936 +tp937 +Rp938 +sbag889 +ag1 +(g2 +g3 +(g4 +S'Declaration' +p939 +tp940 +tp941 +Rp942 +(dp943 +g9 +g7 +sg29 +g30 +((lp944 +tp945 +Rp946 +sbag1 +(g2 +g3 +(g4 +g4 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbag918 +ag896 +ag881 +ag926 +ag911 +atp954 +Rp955 +sg4 +g949 +sg939 +g942 +sg411 +g934 +sbVPublic +p956 +tp957 +a(g826 +V +p958 +tp959 +a(g7 +VClass +p960 +tp961 +a(g826 +g958 +tp962 +a(g616 +VForm1 +p963 +tp964 +a(g826 +V\u000a +p965 +tp966 +a(g7 +VInherits +p967 +tp968 +a(g826 +g958 +tp969 +a(g423 +VSystem +p970 +tp971 +a(g693 +V. +p972 +tp973 +a(g423 +VWindows +p974 +tp975 +a(g693 +g972 +tp976 +a(g423 +VForms +p977 +tp978 +a(g693 +g972 +tp979 +a(g423 +VForm +p980 +tp981 +a(g826 +V\u000a\u000a +p982 +tp983 +a(g7 +VPrivate +p984 +tp985 +a(g826 +g958 +tp986 +a(g423 +Vt +p987 +tp988 +a(g826 +g958 +tp989 +a(g414 +VAs +p990 +tp991 +a(g826 +g958 +tp992 +a(g7 +VNew +p993 +tp994 +a(g826 +g958 +tp995 +a(g423 +VSystem +p996 +tp997 +a(g693 +g972 +tp998 +a(g423 +VTimers +p999 +tp1000 +a(g693 +g972 +tp1001 +a(g423 +VTimer +p1002 +tp1003 +a(g693 +V( +p1004 +tp1005 +a(g22 +V2000 +p1006 +tp1007 +a(g693 +V) +p1008 +tp1009 +a(g826 +V\u000a\u000a +p1010 +tp1011 +a(g7 +VPrivate +p1012 +tp1013 +a(g826 +g958 +tp1014 +a(g7 +VSub +p1015 +tp1016 +a(g826 +g958 +tp1017 +a(g561 +VForm1_Load +p1018 +tp1019 +a(g693 +g1004 +tp1020 +a(g7 +VByVal +p1021 +tp1022 +a(g826 +g958 +tp1023 +a(g423 +Vsender +p1024 +tp1025 +a(g826 +g958 +tp1026 +a(g414 +VAs +p1027 +tp1028 +a(g826 +g958 +tp1029 +a(g881 +VObject +p1030 +tp1031 +a(g693 +V, +p1032 +tp1033 +a(g826 +g958 +tp1034 +a(g826 +V_\u000a +p1035 +tp1036 +a(g826 +V +p1037 +tp1038 +a(g7 +VByVal +p1039 +tp1040 +a(g826 +g958 +tp1041 +a(g423 +Ve +p1042 +tp1043 +a(g826 +g958 +tp1044 +a(g414 +VAs +p1045 +tp1046 +a(g826 +g958 +tp1047 +a(g423 +VSystem +p1048 +tp1049 +a(g693 +g972 +tp1050 +a(g423 +VEventArgs +p1051 +tp1052 +a(g693 +g1008 +tp1053 +a(g826 +g958 +tp1054 +a(g7 +VHandles +p1055 +tp1056 +a(g826 +g958 +tp1057 +a(g7 +VMyBase +p1058 +tp1059 +a(g693 +g972 +tp1060 +a(g423 +VLoad +p1061 +tp1062 +a(g826 +V\u000a \u000a +p1063 +tp1064 +a(g7 +VAddHandler +p1065 +tp1066 +a(g826 +g958 +tp1067 +a(g423 +g987 +tp1068 +a(g693 +g972 +tp1069 +a(g423 +VElapsed +p1070 +tp1071 +a(g693 +g1032 +tp1072 +a(g826 +g958 +tp1073 +a(g414 +VAddressOf +p1074 +tp1075 +a(g826 +g958 +tp1076 +a(g423 +VTimerFired +p1077 +tp1078 +a(g826 +V\u000a +p1079 +tp1080 +a(g7 +VEnd +p1081 +tp1082 +a(g826 +g958 +tp1083 +a(g7 +VSub +p1084 +tp1085 +a(g826 +V\u000a\u000a +p1086 +tp1087 +a(g7 +VPrivate +p1088 +tp1089 +a(g826 +g958 +tp1090 +a(g7 +VSub +p1091 +tp1092 +a(g826 +g958 +tp1093 +a(g561 +VbtnStart_Click +p1094 +tp1095 +a(g693 +g1004 +tp1096 +a(g7 +VByVal +p1097 +tp1098 +a(g826 +g958 +tp1099 +a(g423 +Vsender +p1100 +tp1101 +a(g826 +g958 +tp1102 +a(g414 +VAs +p1103 +tp1104 +a(g826 +g958 +tp1105 +a(g423 +VSystem +p1106 +tp1107 +a(g693 +g972 +tp1108 +a(g423 +VObject +p1109 +tp1110 +a(g693 +g1032 +tp1111 +a(g826 +g958 +tp1112 +a(g423 +V_ +p1113 +tp1114 +a(g826 +V \u000a +p1115 +tp1116 +a(g7 +VByVal +p1117 +tp1118 +a(g826 +g958 +tp1119 +a(g423 +g1042 +tp1120 +a(g826 +g958 +tp1121 +a(g414 +VAs +p1122 +tp1123 +a(g826 +g958 +tp1124 +a(g423 +VSystem +p1125 +tp1126 +a(g693 +g972 +tp1127 +a(g423 +VEventArgs +p1128 +tp1129 +a(g693 +g1008 +tp1130 +a(g826 +g958 +tp1131 +a(g7 +VHandles +p1132 +tp1133 +a(g826 +g958 +tp1134 +a(g423 +VbtnStart +p1135 +tp1136 +a(g693 +g972 +tp1137 +a(g423 +VClick +p1138 +tp1139 +a(g826 +V\u000a \u000a +p1140 +tp1141 +a(g423 +g987 +tp1142 +a(g693 +g972 +tp1143 +a(g423 +VEnabled +p1144 +tp1145 +a(g826 +g958 +tp1146 +a(g400 +V= +p1147 +tp1148 +a(g826 +g958 +tp1149 +a(g7 +VTrue +p1150 +tp1151 +a(g826 +V\u000a +p1152 +tp1153 +a(g7 +VEnd +p1154 +tp1155 +a(g826 +g958 +tp1156 +a(g7 +VSub +p1157 +tp1158 +a(g826 +V\u000a\u000a +p1159 +tp1160 +a(g7 +VPrivate +p1161 +tp1162 +a(g826 +g958 +tp1163 +a(g7 +VSub +p1164 +tp1165 +a(g826 +g958 +tp1166 +a(g561 +VbtnStop_Click +p1167 +tp1168 +a(g693 +g1004 +tp1169 +a(g7 +VByVal +p1170 +tp1171 +a(g826 +g958 +tp1172 +a(g423 +Vsender +p1173 +tp1174 +a(g826 +g958 +tp1175 +a(g414 +VAs +p1176 +tp1177 +a(g826 +g958 +tp1178 +a(g423 +VSystem +p1179 +tp1180 +a(g693 +g972 +tp1181 +a(g423 +VObject +p1182 +tp1183 +a(g693 +g1032 +tp1184 +a(g826 +g958 +tp1185 +a(g826 +V_\u000a +p1186 +tp1187 +a(g826 +V +p1188 +tp1189 +a(g7 +VByVal +p1190 +tp1191 +a(g826 +g958 +tp1192 +a(g423 +g1042 +tp1193 +a(g826 +g958 +tp1194 +a(g414 +VAs +p1195 +tp1196 +a(g826 +g958 +tp1197 +a(g423 +VSystem +p1198 +tp1199 +a(g693 +g972 +tp1200 +a(g423 +VEventArgs +p1201 +tp1202 +a(g693 +g1008 +tp1203 +a(g826 +g958 +tp1204 +a(g7 +VHandles +p1205 +tp1206 +a(g826 +g958 +tp1207 +a(g423 +VbtnStop +p1208 +tp1209 +a(g693 +g972 +tp1210 +a(g423 +VClick +p1211 +tp1212 +a(g826 +V\u000a \u000a +p1213 +tp1214 +a(g423 +g987 +tp1215 +a(g693 +g972 +tp1216 +a(g423 +VEnabled +p1217 +tp1218 +a(g826 +g958 +tp1219 +a(g400 +g1147 +tp1220 +a(g826 +g958 +tp1221 +a(g7 +VFalse +p1222 +tp1223 +a(g826 +V\u000a +p1224 +tp1225 +a(g7 +VEnd +p1226 +tp1227 +a(g826 +g958 +tp1228 +a(g7 +VSub +p1229 +tp1230 +a(g826 +V\u000a\u000a +p1231 +tp1232 +a(g7 +VPublic +p1233 +tp1234 +a(g826 +g958 +tp1235 +a(g7 +VSub +p1236 +tp1237 +a(g826 +g958 +tp1238 +a(g561 +VTimerFired +p1239 +tp1240 +a(g693 +g1004 +tp1241 +a(g7 +VByVal +p1242 +tp1243 +a(g826 +g958 +tp1244 +a(g423 +Vsender +p1245 +tp1246 +a(g826 +g958 +tp1247 +a(g414 +VAs +p1248 +tp1249 +a(g826 +g958 +tp1250 +a(g881 +VObject +p1251 +tp1252 +a(g693 +g1032 +tp1253 +a(g826 +g958 +tp1254 +a(g423 +g1113 +tp1255 +a(g826 +V \u000a +p1256 +tp1257 +a(g7 +VByVal +p1258 +tp1259 +a(g826 +g958 +tp1260 +a(g423 +g1042 +tp1261 +a(g826 +g958 +tp1262 +a(g414 +VAs +p1263 +tp1264 +a(g826 +g958 +tp1265 +a(g423 +VSystem +p1266 +tp1267 +a(g693 +g972 +tp1268 +a(g423 +VTimers +p1269 +tp1270 +a(g693 +g972 +tp1271 +a(g423 +VElapsedEventArgs +p1272 +tp1273 +a(g693 +g1008 +tp1274 +a(g826 +V\u000a \u000a +p1275 +tp1276 +a(g423 +VLabel1 +p1277 +tp1278 +a(g693 +g972 +tp1279 +a(g423 +VText +p1280 +tp1281 +a(g826 +g958 +tp1282 +a(g400 +g1147 +tp1283 +a(g826 +g958 +tp1284 +a(g76 +V" +p1285 +tp1286 +a(g76 +VSignal Time = +p1287 +tp1288 +a(g76 +g1285 +tp1289 +a(g826 +g958 +tp1290 +a(g400 +V& +p1291 +tp1292 +a(g826 +g958 +tp1293 +a(g423 +g1042 +tp1294 +a(g693 +g972 +tp1295 +a(g423 +VSignalTime +p1296 +tp1297 +a(g693 +g972 +tp1298 +a(g423 +VToString +p1299 +tp1300 +a(g826 +V\u000a +p1301 +tp1302 +a(g7 +VEnd +p1303 +tp1304 +a(g826 +g958 +tp1305 +a(g7 +VSub +p1306 +tp1307 +a(g826 +V\u000a +p1308 +tp1309 +a(g7 +VEnd +p1310 +tp1311 +a(g826 +g958 +tp1312 +a(g7 +VClass +p1313 +tp1314 +a(g826 +V\u000a +p1315 +tp1316 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/vctreestatus_hg b/tests/examplefiles/output/vctreestatus_hg new file mode 100644 index 0000000..f94f4f8 --- /dev/null +++ b/tests/examplefiles/output/vctreestatus_hg @@ -0,0 +1,2367 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Generic' +p4 +S'Inserted' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g10 +g1 +(g2 +g3 +(ttp15 +Rp16 +(dp17 +S'Number' +p18 +g1 +(g2 +g3 +(S'Literal' +p19 +g18 +tp20 +tp21 +Rp22 +(dp23 +S'Integer' +p24 +g1 +(g2 +g3 +(g19 +g18 +g24 +tp25 +tp26 +Rp27 +(dp28 +g10 +g22 +sS'Long' +p29 +g1 +(g2 +g3 +(g19 +g18 +g24 +g29 +tp30 +tp31 +Rp32 +(dp33 +g10 +g27 +sS'subtypes' +p34 +c__builtin__ +set +p35 +((lp36 +tp37 +Rp38 +sbsg34 +g35 +((lp39 +g32 +atp40 +Rp41 +sbsg10 +g1 +(g2 +g3 +(g19 +tp42 +tp43 +Rp44 +(dp45 +S'Scalar' +p46 +g1 +(g2 +g3 +(g19 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g44 +sg34 +g35 +((lp51 +g1 +(g2 +g3 +(g19 +g46 +S'Plain' +p52 +tp53 +tp54 +Rp55 +(dp56 +g10 +g49 +sg34 +g35 +((lp57 +tp58 +Rp59 +sbatp60 +Rp61 +sg52 +g55 +sbsg18 +g22 +sg10 +g16 +sS'Other' +p62 +g1 +(g2 +g3 +(g19 +g62 +tp63 +tp64 +Rp65 +(dp66 +g10 +g44 +sg34 +g35 +((lp67 +tp68 +Rp69 +sbsS'Char' +p70 +g1 +(g2 +g3 +(g19 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g44 +sg34 +g35 +((lp75 +tp76 +Rp77 +sbsS'String' +p78 +g1 +(g2 +g3 +(g19 +g78 +tp79 +tp80 +Rp81 +(dp82 +g70 +g1 +(g2 +g3 +(g19 +g78 +g70 +tp83 +tp84 +Rp85 +(dp86 +g10 +g81 +sg34 +g35 +((lp87 +tp88 +Rp89 +sbsS'Backtick' +p90 +g1 +(g2 +g3 +(g19 +g78 +g90 +tp91 +tp92 +Rp93 +(dp94 +g10 +g81 +sg34 +g35 +((lp95 +tp96 +Rp97 +sbsS'Heredoc' +p98 +g1 +(g2 +g3 +(g19 +g78 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g81 +sg34 +g35 +((lp103 +tp104 +Rp105 +sbsS'Symbol' +p106 +g1 +(g2 +g3 +(g19 +g78 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g81 +sg34 +g35 +((lp111 +tp112 +Rp113 +sbsS'Interpol' +p114 +g1 +(g2 +g3 +(g19 +g78 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g81 +sg34 +g35 +((lp119 +tp120 +Rp121 +sbsS'Delimiter' +p122 +g1 +(g2 +g3 +(g19 +g78 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g81 +sg34 +g35 +((lp127 +tp128 +Rp129 +sbsS'Boolean' +p130 +g1 +(g2 +g3 +(g19 +g78 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g81 +sg34 +g35 +((lp135 +tp136 +Rp137 +sbsS'Character' +p138 +g1 +(g2 +g3 +(g19 +g78 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g81 +sg34 +g35 +((lp143 +tp144 +Rp145 +sbsS'Double' +p146 +g1 +(g2 +g3 +(g19 +g78 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g81 +sg34 +g35 +((lp151 +tp152 +Rp153 +sbsS'Delimeter' +p154 +g1 +(g2 +g3 +(g19 +g78 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g81 +sg34 +g35 +((lp159 +tp160 +Rp161 +sbsS'Atom' +p162 +g1 +(g2 +g3 +(g19 +g78 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g81 +sg34 +g35 +((lp167 +tp168 +Rp169 +sbsS'Affix' +p170 +g1 +(g2 +g3 +(g19 +g78 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g81 +sg34 +g35 +((lp175 +tp176 +Rp177 +sbsS'Name' +p178 +g1 +(g2 +g3 +(g19 +g78 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g81 +sg34 +g35 +((lp183 +tp184 +Rp185 +sbsS'Regex' +p186 +g1 +(g2 +g3 +(g19 +g78 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g81 +sg34 +g35 +((lp191 +tp192 +Rp193 +sbsS'Interp' +p194 +g1 +(g2 +g3 +(g19 +g78 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g81 +sg34 +g35 +((lp199 +tp200 +Rp201 +sbsS'Escape' +p202 +g1 +(g2 +g3 +(g19 +g78 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g81 +sg34 +g35 +((lp207 +tp208 +Rp209 +sbsg34 +g35 +((lp210 +g125 +ag109 +ag189 +ag1 +(g2 +g3 +(g19 +g78 +S'Doc' +p211 +tp212 +tp213 +Rp214 +(dp215 +g10 +g81 +sg34 +g35 +((lp216 +tp217 +Rp218 +sbag141 +ag133 +ag149 +ag117 +ag165 +ag157 +ag181 +ag205 +ag1 +(g2 +g3 +(g19 +g78 +S'Single' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g81 +sg34 +g35 +((lp224 +tp225 +Rp226 +sbag1 +(g2 +g3 +(g19 +g78 +g62 +tp227 +tp228 +Rp229 +(dp230 +g10 +g81 +sg34 +g35 +((lp231 +tp232 +Rp233 +sbag197 +ag93 +ag173 +ag1 +(g2 +g3 +(g19 +g78 +S'Moment' +p234 +tp235 +tp236 +Rp237 +(dp238 +g10 +g81 +sg34 +g35 +((lp239 +tp240 +Rp241 +sbag85 +ag101 +atp242 +Rp243 +sg219 +g222 +sg234 +g237 +sg10 +g44 +sg62 +g229 +sg211 +g214 +sbsg34 +g35 +((lp244 +g81 +ag73 +ag65 +ag1 +(g2 +g3 +(g19 +S'Date' +p245 +tp246 +tp247 +Rp248 +(dp249 +g10 +g44 +sg34 +g35 +((lp250 +tp251 +Rp252 +sbag49 +ag22 +atp253 +Rp254 +sg245 +g248 +sbsS'Bin' +p255 +g1 +(g2 +g3 +(g19 +g18 +g255 +tp256 +tp257 +Rp258 +(dp259 +g10 +g22 +sg34 +g35 +((lp260 +tp261 +Rp262 +sbsS'Radix' +p263 +g1 +(g2 +g3 +(g19 +g18 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g22 +sg34 +g35 +((lp268 +tp269 +Rp270 +sbsS'Oct' +p271 +g1 +(g2 +g3 +(g19 +g18 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g22 +sg34 +g35 +((lp276 +tp277 +Rp278 +sbsS'Dec' +p279 +g1 +(g2 +g3 +(g19 +g18 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g22 +sg34 +g35 +((lp284 +tp285 +Rp286 +sbsS'Hex' +p287 +g1 +(g2 +g3 +(g19 +g18 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g22 +sg34 +g35 +((lp292 +tp293 +Rp294 +sbsg34 +g35 +((lp295 +g27 +ag266 +ag282 +ag1 +(g2 +g3 +(g19 +g18 +S'Decimal' +p296 +tp297 +tp298 +Rp299 +(dp300 +g10 +g22 +sg34 +g35 +((lp301 +tp302 +Rp303 +sbag258 +ag1 +(g2 +g3 +(g19 +g18 +S'Float' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g22 +sg34 +g35 +((lp309 +tp310 +Rp311 +sbag274 +ag290 +atp312 +Rp313 +sg296 +g299 +sg304 +g307 +sbsg4 +g13 +sS'Operator' +p314 +g1 +(g2 +g3 +(g314 +tp315 +tp316 +Rp317 +(dp318 +g10 +g16 +sS'DBS' +p319 +g1 +(g2 +g3 +(g314 +g319 +tp320 +tp321 +Rp322 +(dp323 +g10 +g317 +sg34 +g35 +((lp324 +tp325 +Rp326 +sbsg34 +g35 +((lp327 +g322 +ag1 +(g2 +g3 +(g314 +S'Word' +p328 +tp329 +tp330 +Rp331 +(dp332 +g10 +g317 +sg34 +g35 +((lp333 +tp334 +Rp335 +sbatp336 +Rp337 +sg328 +g331 +sbsg78 +g81 +sg178 +g1 +(g2 +g3 +(g178 +tp338 +tp339 +Rp340 +(dp341 +S'Variable' +p342 +g1 +(g2 +g3 +(g178 +g342 +tp343 +tp344 +Rp345 +(dp346 +g10 +g340 +sS'Class' +p347 +g1 +(g2 +g3 +(g178 +g342 +g347 +tp348 +tp349 +Rp350 +(dp351 +g10 +g345 +sg34 +g35 +((lp352 +tp353 +Rp354 +sbsS'Anonymous' +p355 +g1 +(g2 +g3 +(g178 +g342 +g355 +tp356 +tp357 +Rp358 +(dp359 +g10 +g345 +sg34 +g35 +((lp360 +tp361 +Rp362 +sbsS'Instance' +p363 +g1 +(g2 +g3 +(g178 +g342 +g363 +tp364 +tp365 +Rp366 +(dp367 +g10 +g345 +sg34 +g35 +((lp368 +tp369 +Rp370 +sbsS'Global' +p371 +g1 +(g2 +g3 +(g178 +g342 +g371 +tp372 +tp373 +Rp374 +(dp375 +g10 +g345 +sg34 +g35 +((lp376 +tp377 +Rp378 +sbsg34 +g35 +((lp379 +g358 +ag366 +ag1 +(g2 +g3 +(g178 +g342 +S'Magic' +p380 +tp381 +tp382 +Rp383 +(dp384 +g10 +g345 +sg34 +g35 +((lp385 +tp386 +Rp387 +sbag374 +ag350 +atp388 +Rp389 +sg380 +g383 +sbsg314 +g1 +(g2 +g3 +(g178 +g314 +tp390 +tp391 +Rp392 +(dp393 +g10 +g340 +sg34 +g35 +((lp394 +tp395 +Rp396 +sbsS'Decorator' +p397 +g1 +(g2 +g3 +(g178 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g340 +sg34 +g35 +((lp402 +tp403 +Rp404 +sbsS'Entity' +p405 +g1 +(g2 +g3 +(g178 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g405 +g319 +tp410 +tp411 +Rp412 +(dp413 +g10 +g408 +sg34 +g35 +((lp414 +tp415 +Rp416 +sbsg34 +g35 +((lp417 +g412 +atp418 +Rp419 +sbsg106 +g1 +(g2 +g3 +(g178 +g106 +tp420 +tp421 +Rp422 +(dp423 +g10 +g340 +sg34 +g35 +((lp424 +tp425 +Rp426 +sbsS'Property' +p427 +g1 +(g2 +g3 +(g178 +g427 +tp428 +tp429 +Rp430 +(dp431 +g10 +g340 +sg34 +g35 +((lp432 +tp433 +Rp434 +sbsS'Pseudo' +p435 +g1 +(g2 +g3 +(g178 +g435 +tp436 +tp437 +Rp438 +(dp439 +g10 +g340 +sg34 +g35 +((lp440 +tp441 +Rp442 +sbsS'Type' +p443 +g1 +(g2 +g3 +(g178 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g340 +sg34 +g35 +((lp448 +tp449 +Rp450 +sbsS'Classes' +p451 +g1 +(g2 +g3 +(g178 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g340 +sg34 +g35 +((lp456 +tp457 +Rp458 +sbsS'Tag' +p459 +g1 +(g2 +g3 +(g178 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g340 +sg34 +g35 +((lp464 +tp465 +Rp466 +sbsS'Constant' +p467 +g1 +(g2 +g3 +(g178 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g340 +sg34 +g35 +((lp472 +tp473 +Rp474 +sbsS'Function' +p475 +g1 +(g2 +g3 +(g178 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g340 +sg34 +g35 +((lp480 +g1 +(g2 +g3 +(g178 +g475 +g380 +tp481 +tp482 +Rp483 +(dp484 +g10 +g478 +sg34 +g35 +((lp485 +tp486 +Rp487 +sbatp488 +Rp489 +sg380 +g483 +sbsS'Blubb' +p490 +g1 +(g2 +g3 +(g178 +g490 +tp491 +tp492 +Rp493 +(dp494 +g10 +g340 +sg34 +g35 +((lp495 +tp496 +Rp497 +sbsS'Label' +p498 +g1 +(g2 +g3 +(g178 +g498 +tp499 +tp500 +Rp501 +(dp502 +g10 +g340 +sg34 +g35 +((lp503 +tp504 +Rp505 +sbsS'Field' +p506 +g1 +(g2 +g3 +(g178 +g506 +tp507 +tp508 +Rp509 +(dp510 +g10 +g340 +sg34 +g35 +((lp511 +tp512 +Rp513 +sbsS'Exception' +p514 +g1 +(g2 +g3 +(g178 +g514 +tp515 +tp516 +Rp517 +(dp518 +g10 +g340 +sg34 +g35 +((lp519 +tp520 +Rp521 +sbsS'Namespace' +p522 +g1 +(g2 +g3 +(g178 +g522 +tp523 +tp524 +Rp525 +(dp526 +g10 +g340 +sg34 +g35 +((lp527 +tp528 +Rp529 +sbsg34 +g35 +((lp530 +g400 +ag493 +ag438 +ag408 +ag345 +ag517 +ag430 +ag462 +ag478 +ag454 +ag1 +(g2 +g3 +(g178 +g347 +tp531 +tp532 +Rp533 +(dp534 +g10 +g340 +sg319 +g1 +(g2 +g3 +(g178 +g347 +g319 +tp535 +tp536 +Rp537 +(dp538 +g10 +g533 +sg34 +g35 +((lp539 +tp540 +Rp541 +sbsg34 +g35 +((lp542 +g1 +(g2 +g3 +(g178 +g347 +S'Start' +p543 +tp544 +tp545 +Rp546 +(dp547 +g10 +g533 +sg34 +g35 +((lp548 +tp549 +Rp550 +sbag537 +atp551 +Rp552 +sg543 +g546 +sbag1 +(g2 +g3 +(g178 +g62 +tp553 +tp554 +Rp555 +(dp556 +g10 +g340 +sS'Member' +p557 +g1 +(g2 +g3 +(g178 +g62 +g557 +tp558 +tp559 +Rp560 +(dp561 +g10 +g555 +sg34 +g35 +((lp562 +tp563 +Rp564 +sbsg34 +g35 +((lp565 +g560 +atp566 +Rp567 +sbag501 +ag392 +ag525 +ag1 +(g2 +g3 +(g178 +S'Attribute' +p568 +tp569 +tp570 +Rp571 +(dp572 +g10 +g340 +sg342 +g1 +(g2 +g3 +(g178 +g568 +g342 +tp573 +tp574 +Rp575 +(dp576 +g10 +g571 +sg34 +g35 +((lp577 +tp578 +Rp579 +sbsg34 +g35 +((lp580 +g575 +atp581 +Rp582 +sbag470 +ag1 +(g2 +g3 +(g178 +S'Builtin' +p583 +tp584 +tp585 +Rp586 +(dp587 +g10 +g340 +sg443 +g1 +(g2 +g3 +(g178 +g583 +g443 +tp588 +tp589 +Rp590 +(dp591 +g10 +g586 +sg34 +g35 +((lp592 +tp593 +Rp594 +sbsg34 +g35 +((lp595 +g1 +(g2 +g3 +(g178 +g583 +g435 +tp596 +tp597 +Rp598 +(dp599 +g10 +g586 +sg34 +g35 +((lp600 +tp601 +Rp602 +sbag590 +atp603 +Rp604 +sg435 +g598 +sbag509 +ag446 +ag422 +atp605 +Rp606 +sg10 +g16 +sg347 +g533 +sg583 +g586 +sg568 +g571 +sg62 +g555 +sbsS'Punctuation' +p607 +g1 +(g2 +g3 +(g607 +tp608 +tp609 +Rp610 +(dp611 +g10 +g16 +sg34 +g35 +((lp612 +g1 +(g2 +g3 +(g607 +S'Indicator' +p613 +tp614 +tp615 +Rp616 +(dp617 +g10 +g610 +sg34 +g35 +((lp618 +tp619 +Rp620 +sbatp621 +Rp622 +sg613 +g616 +sbsS'Comment' +p623 +g1 +(g2 +g3 +(g623 +tp624 +tp625 +Rp626 +(dp627 +S'Multi' +p628 +g1 +(g2 +g3 +(g623 +g628 +tp629 +tp630 +Rp631 +(dp632 +g10 +g626 +sg34 +g35 +((lp633 +tp634 +Rp635 +sbsg10 +g16 +sS'Special' +p636 +g1 +(g2 +g3 +(g623 +g636 +tp637 +tp638 +Rp639 +(dp640 +g10 +g626 +sg34 +g35 +((lp641 +tp642 +Rp643 +sbsS'Hashbang' +p644 +g1 +(g2 +g3 +(g623 +g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g626 +sg34 +g35 +((lp649 +tp650 +Rp651 +sbsS'Preproc' +p652 +g1 +(g2 +g3 +(g623 +g652 +tp653 +tp654 +Rp655 +(dp656 +g10 +g626 +sg34 +g35 +((lp657 +tp658 +Rp659 +sbsg219 +g1 +(g2 +g3 +(g623 +g219 +tp660 +tp661 +Rp662 +(dp663 +g10 +g626 +sg34 +g35 +((lp664 +tp665 +Rp666 +sbsS'Directive' +p667 +g1 +(g2 +g3 +(g623 +g667 +tp668 +tp669 +Rp670 +(dp671 +g10 +g626 +sg34 +g35 +((lp672 +tp673 +Rp674 +sbsg211 +g1 +(g2 +g3 +(g623 +g211 +tp675 +tp676 +Rp677 +(dp678 +g10 +g626 +sg34 +g35 +((lp679 +tp680 +Rp681 +sbsS'Singleline' +p682 +g1 +(g2 +g3 +(g623 +g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g626 +sg34 +g35 +((lp687 +tp688 +Rp689 +sbsS'Multiline' +p690 +g1 +(g2 +g3 +(g623 +g690 +tp691 +tp692 +Rp693 +(dp694 +g10 +g626 +sg34 +g35 +((lp695 +tp696 +Rp697 +sbsg34 +g35 +((lp698 +g677 +ag670 +ag647 +ag631 +ag685 +ag655 +ag693 +ag662 +ag1 +(g2 +g3 +(g623 +S'PreprocFile' +p699 +tp700 +tp701 +Rp702 +(dp703 +g10 +g626 +sg34 +g35 +((lp704 +tp705 +Rp706 +sbag1 +(g2 +g3 +(g623 +S'SingleLine' +p707 +tp708 +tp709 +Rp710 +(dp711 +g10 +g626 +sg34 +g35 +((lp712 +tp713 +Rp714 +sbag639 +atp715 +Rp716 +sg699 +g702 +sg707 +g710 +sbsg19 +g44 +sg62 +g1 +(g2 +g3 +(g62 +tp717 +tp718 +Rp719 +(dp720 +g10 +g16 +sg34 +g35 +((lp721 +tp722 +Rp723 +sbsS'Error' +p724 +g1 +(g2 +g3 +(g724 +tp725 +tp726 +Rp727 +(dp728 +g10 +g16 +sg34 +g35 +((lp729 +tp730 +Rp731 +sbsS'Token' +p732 +g16 +sg202 +g1 +(g2 +g3 +(g202 +tp733 +tp734 +Rp735 +(dp736 +g10 +g16 +sg34 +g35 +((lp737 +tp738 +Rp739 +sbsg34 +g35 +((lp740 +g340 +ag719 +ag1 +(g2 +g3 +(S'Keyword' +p741 +tp742 +tp743 +Rp744 +(dp745 +g10 +g16 +sg443 +g1 +(g2 +g3 +(g741 +g443 +tp746 +tp747 +Rp748 +(dp749 +g10 +g744 +sg34 +g35 +((lp750 +tp751 +Rp752 +sbsS'Control' +p753 +g1 +(g2 +g3 +(g741 +g753 +tp754 +tp755 +Rp756 +(dp757 +g10 +g744 +sg34 +g35 +((lp758 +tp759 +Rp760 +sbsg467 +g1 +(g2 +g3 +(g741 +g467 +tp761 +tp762 +Rp763 +(dp764 +g10 +g744 +sg34 +g35 +((lp765 +tp766 +Rp767 +sbsg522 +g1 +(g2 +g3 +(g741 +g522 +tp768 +tp769 +Rp770 +(dp771 +g10 +g744 +sg34 +g35 +((lp772 +tp773 +Rp774 +sbsS'PreProc' +p775 +g1 +(g2 +g3 +(g741 +g775 +tp776 +tp777 +Rp778 +(dp779 +g10 +g744 +sg34 +g35 +((lp780 +tp781 +Rp782 +sbsg435 +g1 +(g2 +g3 +(g741 +g435 +tp783 +tp784 +Rp785 +(dp786 +g10 +g744 +sg34 +g35 +((lp787 +tp788 +Rp789 +sbsS'Reserved' +p790 +g1 +(g2 +g3 +(g741 +g790 +tp791 +tp792 +Rp793 +(dp794 +g10 +g744 +sg34 +g35 +((lp795 +tp796 +Rp797 +sbsg34 +g35 +((lp798 +g770 +ag1 +(g2 +g3 +(g741 +g328 +tp799 +tp800 +Rp801 +(dp802 +g10 +g744 +sg34 +g35 +((lp803 +tp804 +Rp805 +sbag756 +ag1 +(g2 +g3 +(g741 +S'Declaration' +p806 +tp807 +tp808 +Rp809 +(dp810 +g10 +g744 +sg34 +g35 +((lp811 +tp812 +Rp813 +sbag1 +(g2 +g3 +(g741 +g741 +tp814 +tp815 +Rp816 +(dp817 +g10 +g744 +sg34 +g35 +((lp818 +tp819 +Rp820 +sbag785 +ag763 +ag748 +ag793 +ag778 +atp821 +Rp822 +sg741 +g816 +sg806 +g809 +sg328 +g801 +sbag13 +ag1 +(g2 +g3 +(S'Text' +p823 +tp824 +tp825 +Rp826 +(dp827 +S'Beer' +p828 +g1 +(g2 +g3 +(g823 +g828 +tp829 +tp830 +Rp831 +(dp832 +g10 +g826 +sg34 +g35 +((lp833 +tp834 +Rp835 +sbsS'Whitespace' +p836 +g1 +(g2 +g3 +(g823 +g836 +tp837 +tp838 +Rp839 +(dp840 +g10 +g826 +sg34 +g35 +((lp841 +tp842 +Rp843 +sbsg10 +g16 +sS'Root' +p844 +g1 +(g2 +g3 +(g823 +g844 +tp845 +tp846 +Rp847 +(dp848 +g10 +g826 +sg34 +g35 +((lp849 +tp850 +Rp851 +sbsg106 +g1 +(g2 +g3 +(g823 +g106 +tp852 +tp853 +Rp854 +(dp855 +g10 +g826 +sg34 +g35 +((lp856 +tp857 +Rp858 +sbsg607 +g1 +(g2 +g3 +(g823 +g607 +tp859 +tp860 +Rp861 +(dp862 +g10 +g826 +sg34 +g35 +((lp863 +tp864 +Rp865 +sbsg34 +g35 +((lp866 +g847 +ag854 +ag861 +ag839 +ag831 +ag1 +(g2 +g3 +(g823 +S'Rag' +p867 +tp868 +tp869 +Rp870 +(dp871 +g10 +g826 +sg34 +g35 +((lp872 +tp873 +Rp874 +sbatp875 +Rp876 +sg867 +g870 +sbag317 +ag735 +ag610 +ag626 +ag727 +ag44 +atp877 +Rp878 +sg741 +g744 +sg823 +g826 +sbsS'Deleted' +p879 +g1 +(g2 +g3 +(g4 +g879 +tp880 +tp881 +Rp882 +(dp883 +g10 +g13 +sg34 +g35 +((lp884 +tp885 +Rp886 +sbsS'Subheading' +p887 +g1 +(g2 +g3 +(g4 +g887 +tp888 +tp889 +Rp890 +(dp891 +g10 +g13 +sg34 +g35 +((lp892 +tp893 +Rp894 +sbsS'Heading' +p895 +g1 +(g2 +g3 +(g4 +g895 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg34 +g35 +((lp900 +tp901 +Rp902 +sbsS'Emph' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg34 +g35 +((lp908 +tp909 +Rp910 +sbsS'Prompt' +p911 +g1 +(g2 +g3 +(g4 +g911 +tp912 +tp913 +Rp914 +(dp915 +g10 +g13 +sg34 +g35 +((lp916 +tp917 +Rp918 +sbsg5 +g8 +sS'Strong' +p919 +g1 +(g2 +g3 +(g4 +g919 +tp920 +tp921 +Rp922 +(dp923 +g10 +g13 +sg34 +g35 +((lp924 +tp925 +Rp926 +sbsg724 +g1 +(g2 +g3 +(g4 +g724 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg34 +g35 +((lp931 +tp932 +Rp933 +sbsS'Traceback' +p934 +g1 +(g2 +g3 +(g4 +g934 +tp935 +tp936 +Rp937 +(dp938 +g10 +g13 +sg34 +g35 +((lp939 +tp940 +Rp941 +sbsg34 +g35 +((lp942 +g898 +ag890 +ag1 +(g2 +g3 +(g4 +S'Output' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg34 +g35 +((lp948 +tp949 +Rp950 +sbag922 +ag906 +ag929 +ag937 +ag8 +ag914 +ag882 +atp951 +Rp952 +sg943 +g946 +sbsg34 +g35 +((lp953 +tp954 +Rp955 +sbVM +p956 +tp957 +a(g826 +VLICENSE\u000a +p958 +tp959 +a(g8 +VM +p960 +tp961 +a(g826 +Vsetup.py\u000a +p962 +tp963 +a(g655 +V! +p964 +tp965 +a(g826 +Vsetup.cfg\u000a +p966 +tp967 +a(g655 +V? +p968 +tp969 +a(g826 +Vvctreestatus_hg\u000a +p970 +tp971 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/vimrc b/tests/examplefiles/output/vimrc new file mode 100644 index 0000000..fed517f --- /dev/null +++ b/tests/examplefiles/output/vimrc @@ -0,0 +1,2745 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV" A comment +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g822 +V\u000a +p960 +tp961 +a(g701 +V: +p962 +tp963 +a(g740 +Vpy +p964 +tp965 +a(g822 +V +p966 +tp967 +a(g740 +Vprint +p968 +tp969 +a(g822 +g966 +tp970 +a(g152 +V" +p971 +tp972 +a(g152 +Vpy +p973 +tp974 +a(g152 +g971 +tp975 +a(g822 +V\u000a +p976 +tp977 +a(g701 +g962 +tp978 +a(g822 +g962 +tp979 +a(g740 +Vpyt +p980 +tp981 +a(g822 +g966 +tp982 +a(g740 +Vprint +p983 +tp984 +a(g822 +g966 +tp985 +a(g225 +V' +p986 +tp987 +a(g225 +Vpyt +p988 +tp989 +a(g225 +g986 +tp990 +a(g822 +V\u000a +p991 +tp992 +a(g822 +V +p993 +tp994 +a(g740 +Vpyth +p995 +tp996 +a(g822 +V +p997 +tp998 +a(g740 +Vprint +p999 +tp1000 +a(g822 +g966 +tp1001 +a(g225 +V''' +p1002 +tp1003 +a(g225 +Vpyth +p1004 +tp1005 +a(g225 +V''' +p1006 +tp1007 +a(g822 +V\u000a +p1008 +tp1009 +a(g822 +g966 +tp1010 +a(g822 +g962 +tp1011 +a(g822 +g966 +tp1012 +a(g740 +Vpytho +p1013 +tp1014 +a(g822 +g966 +tp1015 +a(g740 +Vprint +p1016 +tp1017 +a(g822 +g966 +tp1018 +a(g152 +g971 +tp1019 +a(g152 +Vpytho +p1020 +tp1021 +a(g152 +g971 +tp1022 +a(g822 +V\u000a +p1023 +tp1024 +a(g740 +Vpython +p1025 +tp1026 +a(g822 +g966 +tp1027 +a(g740 +Vprint +p1028 +tp1029 +a(g822 +g966 +tp1030 +a(g152 +V""" +p1031 +tp1032 +a(g152 +Vpython +p1033 +tp1034 +a(g152 +V""" +p1035 +tp1036 +a(g822 +V\u000a +p1037 +tp1038 +a(g822 +V\u000a +p1039 +tp1040 +a(g822 +V +p1041 +tp1042 +a(g822 +g962 +tp1043 +a(g822 +g966 +tp1044 +a(g822 +g962 +tp1045 +a(g822 +g966 +tp1046 +a(g740 +Vpython +p1047 +tp1048 +a(g408 +V<< +p1049 +tp1050 +a(g822 +VE OF +p1051 +tp1052 +a(g822 +V\u000a +p1053 +tp1054 +a(g740 +Vprint +p1055 +tp1056 +a(g822 +g966 +tp1057 +a(g152 +V""" +p1058 +tp1059 +a(g152 +Vmy script +p1060 +tp1061 +a(g152 +V""" +p1062 +tp1063 +a(g822 +V\u000a +p1064 +tp1065 +a(g822 +V\u000a +p1066 +tp1067 +a(g740 +Vdef +p1068 +tp1069 +a(g822 +g966 +tp1070 +a(g569 +VMyFunc +p1071 +tp1072 +a(g701 +V( +p1073 +tp1074 +a(g677 +Vstr +p1075 +tp1076 +a(g701 +V) +p1077 +tp1078 +a(g701 +g962 +tp1079 +a(g822 +V\u000a +p1080 +tp1081 +a(g822 +V +p1082 +tp1083 +a(g217 +V""" My Function """ +p1084 +tp1085 +a(g822 +V\u000a +p1086 +tp1087 +a(g822 +V +p1088 +tp1089 +a(g740 +Vprint +p1090 +tp1091 +a(g822 +g966 +tp1092 +a(g677 +Vstr +p1093 +tp1094 +a(g822 +V\u000a +p1095 +tp1096 +a(g822 +VE OF +p1097 +tp1098 +a(g822 +V\u000a +p1099 +tp1100 +a(g822 +V\u000a +p1101 +tp1102 +a(g740 +Vlet +p1103 +tp1104 +a(g822 +g966 +tp1105 +a(g740 +Vpy +p1106 +tp1107 +a(g822 +g966 +tp1108 +a(g701 +V= +p1109 +tp1110 +a(g822 +g966 +tp1111 +a(g27 +V42 +p1112 +tp1113 +a(g822 +V\u000a +p1114 +tp1115 +a(g822 +Vecho +p1116 +tp1117 +a(g822 +g966 +tp1118 +a(g740 +Vpy +p1119 +tp1120 +a(g822 +V\u000a +p1121 +tp1122 +a(g822 +V\u000a +p1123 +tp1124 +a(g740 +Vlet +p1125 +tp1126 +a(g822 +g966 +tp1127 +a(g822 +Vfoo +p1128 +tp1129 +a(g822 +g966 +tp1130 +a(g701 +g1109 +tp1131 +a(g822 +g966 +tp1132 +a(g27 +V42 +p1133 +tp1134 +a(g822 +V\u000a +p1135 +tp1136 +a(g822 +Vecho +p1137 +tp1138 +a(g822 +g966 +tp1139 +a(g822 +Vfoo +p1140 +tp1141 +a(g822 +V\u000a +p1142 +tp1143 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/vpath.mk b/tests/examplefiles/output/vpath.mk new file mode 100644 index 0000000..47ab6c1 --- /dev/null +++ b/tests/examplefiles/output/vpath.mk @@ -0,0 +1,2683 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Variable' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +g5 +g8 +sS'Operator' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsS'Decorator' +p25 +g1 +(g2 +g3 +(g4 +g25 +tp26 +tp27 +Rp28 +(dp29 +g10 +g13 +sg20 +g21 +((lp30 +tp31 +Rp32 +sbsS'Entity' +p33 +g1 +(g2 +g3 +(g4 +g33 +tp34 +tp35 +Rp36 +(dp37 +g10 +g13 +sS'DBS' +p38 +g1 +(g2 +g3 +(g4 +g33 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g36 +sg20 +g21 +((lp43 +tp44 +Rp45 +sbsg20 +g21 +((lp46 +g41 +atp47 +Rp48 +sbsS'Symbol' +p49 +g1 +(g2 +g3 +(g4 +g49 +tp50 +tp51 +Rp52 +(dp53 +g10 +g13 +sg20 +g21 +((lp54 +tp55 +Rp56 +sbsS'Property' +p57 +g1 +(g2 +g3 +(g4 +g57 +tp58 +tp59 +Rp60 +(dp61 +g10 +g13 +sg20 +g21 +((lp62 +tp63 +Rp64 +sbsS'Pseudo' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg20 +g21 +((lp70 +tp71 +Rp72 +sbsS'Type' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg20 +g21 +((lp78 +tp79 +Rp80 +sbsS'Classes' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sg20 +g21 +((lp86 +tp87 +Rp88 +sbsS'Tag' +p89 +g1 +(g2 +g3 +(g4 +g89 +tp90 +tp91 +Rp92 +(dp93 +g10 +g13 +sg20 +g21 +((lp94 +tp95 +Rp96 +sbsS'Constant' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg20 +g21 +((lp102 +tp103 +Rp104 +sbsS'Function' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg20 +g21 +((lp110 +g1 +(g2 +g3 +(g4 +g105 +S'Magic' +p111 +tp112 +tp113 +Rp114 +(dp115 +g10 +g108 +sg20 +g21 +((lp116 +tp117 +Rp118 +sbatp119 +Rp120 +sg111 +g114 +sbsS'Blubb' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg20 +g21 +((lp126 +tp127 +Rp128 +sbsS'Label' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg20 +g21 +((lp134 +tp135 +Rp136 +sbsS'Field' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg20 +g21 +((lp142 +tp143 +Rp144 +sbsS'Exception' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg20 +g21 +((lp150 +tp151 +Rp152 +sbsS'Namespace' +p153 +g1 +(g2 +g3 +(g4 +g153 +tp154 +tp155 +Rp156 +(dp157 +g10 +g13 +sg20 +g21 +((lp158 +tp159 +Rp160 +sbsg20 +g21 +((lp161 +g28 +ag124 +ag68 +ag36 +ag8 +ag148 +ag60 +ag92 +ag108 +ag84 +ag1 +(g2 +g3 +(g4 +S'Class' +p162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g13 +sg38 +g1 +(g2 +g3 +(g4 +g162 +g38 +tp167 +tp168 +Rp169 +(dp170 +g10 +g165 +sg20 +g21 +((lp171 +tp172 +Rp173 +sbsg20 +g21 +((lp174 +g1 +(g2 +g3 +(g4 +g162 +S'Start' +p175 +tp176 +tp177 +Rp178 +(dp179 +g10 +g165 +sg20 +g21 +((lp180 +tp181 +Rp182 +sbag169 +atp183 +Rp184 +sg175 +g178 +sbag1 +(g2 +g3 +(g4 +S'Other' +p185 +tp186 +tp187 +Rp188 +(dp189 +g10 +g13 +sS'Member' +p190 +g1 +(g2 +g3 +(g4 +g185 +g190 +tp191 +tp192 +Rp193 +(dp194 +g10 +g188 +sg20 +g21 +((lp195 +tp196 +Rp197 +sbsg20 +g21 +((lp198 +g193 +atp199 +Rp200 +sbag132 +ag18 +ag156 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p201 +tp202 +tp203 +Rp204 +(dp205 +g10 +g13 +sg5 +g1 +(g2 +g3 +(g4 +g201 +g5 +tp206 +tp207 +Rp208 +(dp209 +g10 +g204 +sg20 +g21 +((lp210 +tp211 +Rp212 +sbsg20 +g21 +((lp213 +g208 +atp214 +Rp215 +sbag100 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p216 +tp217 +tp218 +Rp219 +(dp220 +g10 +g13 +sg73 +g1 +(g2 +g3 +(g4 +g216 +g73 +tp221 +tp222 +Rp223 +(dp224 +g10 +g219 +sg20 +g21 +((lp225 +tp226 +Rp227 +sbsg20 +g21 +((lp228 +g1 +(g2 +g3 +(g4 +g216 +g65 +tp229 +tp230 +Rp231 +(dp232 +g10 +g219 +sg20 +g21 +((lp233 +tp234 +Rp235 +sbag223 +atp236 +Rp237 +sg65 +g231 +sbag140 +ag76 +ag52 +atp238 +Rp239 +sg10 +g1 +(g2 +g3 +(ttp240 +Rp241 +(dp242 +S'Number' +p243 +g1 +(g2 +g3 +(S'Literal' +p244 +g243 +tp245 +tp246 +Rp247 +(dp248 +S'Integer' +p249 +g1 +(g2 +g3 +(g244 +g243 +g249 +tp250 +tp251 +Rp252 +(dp253 +g10 +g247 +sS'Long' +p254 +g1 +(g2 +g3 +(g244 +g243 +g249 +g254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g252 +sg20 +g21 +((lp259 +tp260 +Rp261 +sbsg20 +g21 +((lp262 +g257 +atp263 +Rp264 +sbsg10 +g1 +(g2 +g3 +(g244 +tp265 +tp266 +Rp267 +(dp268 +S'Scalar' +p269 +g1 +(g2 +g3 +(g244 +g269 +tp270 +tp271 +Rp272 +(dp273 +g10 +g267 +sg20 +g21 +((lp274 +g1 +(g2 +g3 +(g244 +g269 +S'Plain' +p275 +tp276 +tp277 +Rp278 +(dp279 +g10 +g272 +sg20 +g21 +((lp280 +tp281 +Rp282 +sbatp283 +Rp284 +sg275 +g278 +sbsg243 +g247 +sg10 +g241 +sg185 +g1 +(g2 +g3 +(g244 +g185 +tp285 +tp286 +Rp287 +(dp288 +g10 +g267 +sg20 +g21 +((lp289 +tp290 +Rp291 +sbsS'Char' +p292 +g1 +(g2 +g3 +(g244 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g267 +sg20 +g21 +((lp297 +tp298 +Rp299 +sbsS'String' +p300 +g1 +(g2 +g3 +(g244 +g300 +tp301 +tp302 +Rp303 +(dp304 +g292 +g1 +(g2 +g3 +(g244 +g300 +g292 +tp305 +tp306 +Rp307 +(dp308 +g10 +g303 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbsS'Backtick' +p312 +g1 +(g2 +g3 +(g244 +g300 +g312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g303 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbsS'Heredoc' +p320 +g1 +(g2 +g3 +(g244 +g300 +g320 +tp321 +tp322 +Rp323 +(dp324 +g10 +g303 +sg20 +g21 +((lp325 +tp326 +Rp327 +sbsg49 +g1 +(g2 +g3 +(g244 +g300 +g49 +tp328 +tp329 +Rp330 +(dp331 +g10 +g303 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Interpol' +p335 +g1 +(g2 +g3 +(g244 +g300 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g303 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Delimiter' +p343 +g1 +(g2 +g3 +(g244 +g300 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g303 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Boolean' +p351 +g1 +(g2 +g3 +(g244 +g300 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g303 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Character' +p359 +g1 +(g2 +g3 +(g244 +g300 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g303 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Double' +p367 +g1 +(g2 +g3 +(g244 +g300 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g303 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Delimeter' +p375 +g1 +(g2 +g3 +(g244 +g300 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g303 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Atom' +p383 +g1 +(g2 +g3 +(g244 +g300 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g303 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Affix' +p391 +g1 +(g2 +g3 +(g244 +g300 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g303 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg4 +g1 +(g2 +g3 +(g244 +g300 +g4 +tp399 +tp400 +Rp401 +(dp402 +g10 +g303 +sg20 +g21 +((lp403 +tp404 +Rp405 +sbsS'Regex' +p406 +g1 +(g2 +g3 +(g244 +g300 +g406 +tp407 +tp408 +Rp409 +(dp410 +g10 +g303 +sg20 +g21 +((lp411 +tp412 +Rp413 +sbsS'Interp' +p414 +g1 +(g2 +g3 +(g244 +g300 +g414 +tp415 +tp416 +Rp417 +(dp418 +g10 +g303 +sg20 +g21 +((lp419 +tp420 +Rp421 +sbsS'Escape' +p422 +g1 +(g2 +g3 +(g244 +g300 +g422 +tp423 +tp424 +Rp425 +(dp426 +g10 +g303 +sg20 +g21 +((lp427 +tp428 +Rp429 +sbsg20 +g21 +((lp430 +g346 +ag330 +ag409 +ag1 +(g2 +g3 +(g244 +g300 +S'Doc' +p431 +tp432 +tp433 +Rp434 +(dp435 +g10 +g303 +sg20 +g21 +((lp436 +tp437 +Rp438 +sbag362 +ag354 +ag370 +ag338 +ag386 +ag378 +ag401 +ag425 +ag1 +(g2 +g3 +(g244 +g300 +S'Single' +p439 +tp440 +tp441 +Rp442 +(dp443 +g10 +g303 +sg20 +g21 +((lp444 +tp445 +Rp446 +sbag1 +(g2 +g3 +(g244 +g300 +g185 +tp447 +tp448 +Rp449 +(dp450 +g10 +g303 +sg20 +g21 +((lp451 +tp452 +Rp453 +sbag417 +ag315 +ag394 +ag1 +(g2 +g3 +(g244 +g300 +S'Moment' +p454 +tp455 +tp456 +Rp457 +(dp458 +g10 +g303 +sg20 +g21 +((lp459 +tp460 +Rp461 +sbag307 +ag323 +atp462 +Rp463 +sg439 +g442 +sg454 +g457 +sg10 +g267 +sg185 +g449 +sg431 +g434 +sbsg20 +g21 +((lp464 +g303 +ag295 +ag287 +ag1 +(g2 +g3 +(g244 +S'Date' +p465 +tp466 +tp467 +Rp468 +(dp469 +g10 +g267 +sg20 +g21 +((lp470 +tp471 +Rp472 +sbag272 +ag247 +atp473 +Rp474 +sg465 +g468 +sbsS'Bin' +p475 +g1 +(g2 +g3 +(g244 +g243 +g475 +tp476 +tp477 +Rp478 +(dp479 +g10 +g247 +sg20 +g21 +((lp480 +tp481 +Rp482 +sbsS'Radix' +p483 +g1 +(g2 +g3 +(g244 +g243 +g483 +tp484 +tp485 +Rp486 +(dp487 +g10 +g247 +sg20 +g21 +((lp488 +tp489 +Rp490 +sbsS'Oct' +p491 +g1 +(g2 +g3 +(g244 +g243 +g491 +tp492 +tp493 +Rp494 +(dp495 +g10 +g247 +sg20 +g21 +((lp496 +tp497 +Rp498 +sbsS'Dec' +p499 +g1 +(g2 +g3 +(g244 +g243 +g499 +tp500 +tp501 +Rp502 +(dp503 +g10 +g247 +sg20 +g21 +((lp504 +tp505 +Rp506 +sbsS'Hex' +p507 +g1 +(g2 +g3 +(g244 +g243 +g507 +tp508 +tp509 +Rp510 +(dp511 +g10 +g247 +sg20 +g21 +((lp512 +tp513 +Rp514 +sbsg20 +g21 +((lp515 +g252 +ag486 +ag502 +ag1 +(g2 +g3 +(g244 +g243 +S'Decimal' +p516 +tp517 +tp518 +Rp519 +(dp520 +g10 +g247 +sg20 +g21 +((lp521 +tp522 +Rp523 +sbag478 +ag1 +(g2 +g3 +(g244 +g243 +S'Float' +p524 +tp525 +tp526 +Rp527 +(dp528 +g10 +g247 +sg20 +g21 +((lp529 +tp530 +Rp531 +sbag494 +ag510 +atp532 +Rp533 +sg516 +g519 +sg524 +g527 +sbsS'Generic' +p534 +g1 +(g2 +g3 +(g534 +tp535 +tp536 +Rp537 +(dp538 +g10 +g241 +sS'Deleted' +p539 +g1 +(g2 +g3 +(g534 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g537 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Subheading' +p547 +g1 +(g2 +g3 +(g534 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g537 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Heading' +p555 +g1 +(g2 +g3 +(g534 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g537 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Emph' +p563 +g1 +(g2 +g3 +(g534 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g537 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Prompt' +p571 +g1 +(g2 +g3 +(g534 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g537 +sg20 +g21 +((lp576 +tp577 +Rp578 +sbsS'Inserted' +p579 +g1 +(g2 +g3 +(g534 +g579 +tp580 +tp581 +Rp582 +(dp583 +g10 +g537 +sg20 +g21 +((lp584 +tp585 +Rp586 +sbsS'Strong' +p587 +g1 +(g2 +g3 +(g534 +g587 +tp588 +tp589 +Rp590 +(dp591 +g10 +g537 +sg20 +g21 +((lp592 +tp593 +Rp594 +sbsS'Error' +p595 +g1 +(g2 +g3 +(g534 +g595 +tp596 +tp597 +Rp598 +(dp599 +g10 +g537 +sg20 +g21 +((lp600 +tp601 +Rp602 +sbsS'Traceback' +p603 +g1 +(g2 +g3 +(g534 +g603 +tp604 +tp605 +Rp606 +(dp607 +g10 +g537 +sg20 +g21 +((lp608 +tp609 +Rp610 +sbsg20 +g21 +((lp611 +g558 +ag550 +ag1 +(g2 +g3 +(g534 +S'Output' +p612 +tp613 +tp614 +Rp615 +(dp616 +g10 +g537 +sg20 +g21 +((lp617 +tp618 +Rp619 +sbag590 +ag566 +ag598 +ag606 +ag582 +ag574 +ag542 +atp620 +Rp621 +sg612 +g615 +sbsg15 +g1 +(g2 +g3 +(g15 +tp622 +tp623 +Rp624 +(dp625 +g10 +g241 +sg38 +g1 +(g2 +g3 +(g15 +g38 +tp626 +tp627 +Rp628 +(dp629 +g10 +g624 +sg20 +g21 +((lp630 +tp631 +Rp632 +sbsg20 +g21 +((lp633 +g628 +ag1 +(g2 +g3 +(g15 +S'Word' +p634 +tp635 +tp636 +Rp637 +(dp638 +g10 +g624 +sg20 +g21 +((lp639 +tp640 +Rp641 +sbatp642 +Rp643 +sg634 +g637 +sbsg300 +g303 +sg4 +g13 +sS'Punctuation' +p644 +g1 +(g2 +g3 +(g644 +tp645 +tp646 +Rp647 +(dp648 +g10 +g241 +sg20 +g21 +((lp649 +g1 +(g2 +g3 +(g644 +S'Indicator' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g647 +sg20 +g21 +((lp655 +tp656 +Rp657 +sbatp658 +Rp659 +sg650 +g653 +sbsS'Comment' +p660 +g1 +(g2 +g3 +(g660 +tp661 +tp662 +Rp663 +(dp664 +S'Multi' +p665 +g1 +(g2 +g3 +(g660 +g665 +tp666 +tp667 +Rp668 +(dp669 +g10 +g663 +sg20 +g21 +((lp670 +tp671 +Rp672 +sbsg10 +g241 +sS'Special' +p673 +g1 +(g2 +g3 +(g660 +g673 +tp674 +tp675 +Rp676 +(dp677 +g10 +g663 +sg20 +g21 +((lp678 +tp679 +Rp680 +sbsS'Hashbang' +p681 +g1 +(g2 +g3 +(g660 +g681 +tp682 +tp683 +Rp684 +(dp685 +g10 +g663 +sg20 +g21 +((lp686 +tp687 +Rp688 +sbsS'Preproc' +p689 +g1 +(g2 +g3 +(g660 +g689 +tp690 +tp691 +Rp692 +(dp693 +g10 +g663 +sg20 +g21 +((lp694 +tp695 +Rp696 +sbsg439 +g1 +(g2 +g3 +(g660 +g439 +tp697 +tp698 +Rp699 +(dp700 +g10 +g663 +sg20 +g21 +((lp701 +tp702 +Rp703 +sbsS'Directive' +p704 +g1 +(g2 +g3 +(g660 +g704 +tp705 +tp706 +Rp707 +(dp708 +g10 +g663 +sg20 +g21 +((lp709 +tp710 +Rp711 +sbsg431 +g1 +(g2 +g3 +(g660 +g431 +tp712 +tp713 +Rp714 +(dp715 +g10 +g663 +sg20 +g21 +((lp716 +tp717 +Rp718 +sbsS'Singleline' +p719 +g1 +(g2 +g3 +(g660 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g663 +sg20 +g21 +((lp724 +tp725 +Rp726 +sbsS'Multiline' +p727 +g1 +(g2 +g3 +(g660 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g663 +sg20 +g21 +((lp732 +tp733 +Rp734 +sbsg20 +g21 +((lp735 +g714 +ag707 +ag684 +ag668 +ag722 +ag692 +ag730 +ag699 +ag1 +(g2 +g3 +(g660 +S'PreprocFile' +p736 +tp737 +tp738 +Rp739 +(dp740 +g10 +g663 +sg20 +g21 +((lp741 +tp742 +Rp743 +sbag1 +(g2 +g3 +(g660 +S'SingleLine' +p744 +tp745 +tp746 +Rp747 +(dp748 +g10 +g663 +sg20 +g21 +((lp749 +tp750 +Rp751 +sbag676 +atp752 +Rp753 +sg736 +g739 +sg744 +g747 +sbsg244 +g267 +sg185 +g1 +(g2 +g3 +(g185 +tp754 +tp755 +Rp756 +(dp757 +g10 +g241 +sg20 +g21 +((lp758 +tp759 +Rp760 +sbsg595 +g1 +(g2 +g3 +(g595 +tp761 +tp762 +Rp763 +(dp764 +g10 +g241 +sg20 +g21 +((lp765 +tp766 +Rp767 +sbsS'Token' +p768 +g241 +sg422 +g1 +(g2 +g3 +(g422 +tp769 +tp770 +Rp771 +(dp772 +g10 +g241 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsg20 +g21 +((lp776 +g13 +ag756 +ag1 +(g2 +g3 +(S'Keyword' +p777 +tp778 +tp779 +Rp780 +(dp781 +g10 +g241 +sg73 +g1 +(g2 +g3 +(g777 +g73 +tp782 +tp783 +Rp784 +(dp785 +g10 +g780 +sg20 +g21 +((lp786 +tp787 +Rp788 +sbsS'Control' +p789 +g1 +(g2 +g3 +(g777 +g789 +tp790 +tp791 +Rp792 +(dp793 +g10 +g780 +sg20 +g21 +((lp794 +tp795 +Rp796 +sbsg97 +g1 +(g2 +g3 +(g777 +g97 +tp797 +tp798 +Rp799 +(dp800 +g10 +g780 +sg20 +g21 +((lp801 +tp802 +Rp803 +sbsg153 +g1 +(g2 +g3 +(g777 +g153 +tp804 +tp805 +Rp806 +(dp807 +g10 +g780 +sg20 +g21 +((lp808 +tp809 +Rp810 +sbsS'PreProc' +p811 +g1 +(g2 +g3 +(g777 +g811 +tp812 +tp813 +Rp814 +(dp815 +g10 +g780 +sg20 +g21 +((lp816 +tp817 +Rp818 +sbsg65 +g1 +(g2 +g3 +(g777 +g65 +tp819 +tp820 +Rp821 +(dp822 +g10 +g780 +sg20 +g21 +((lp823 +tp824 +Rp825 +sbsS'Reserved' +p826 +g1 +(g2 +g3 +(g777 +g826 +tp827 +tp828 +Rp829 +(dp830 +g10 +g780 +sg20 +g21 +((lp831 +tp832 +Rp833 +sbsg20 +g21 +((lp834 +g806 +ag1 +(g2 +g3 +(g777 +g634 +tp835 +tp836 +Rp837 +(dp838 +g10 +g780 +sg20 +g21 +((lp839 +tp840 +Rp841 +sbag792 +ag1 +(g2 +g3 +(g777 +S'Declaration' +p842 +tp843 +tp844 +Rp845 +(dp846 +g10 +g780 +sg20 +g21 +((lp847 +tp848 +Rp849 +sbag1 +(g2 +g3 +(g777 +g777 +tp850 +tp851 +Rp852 +(dp853 +g10 +g780 +sg20 +g21 +((lp854 +tp855 +Rp856 +sbag821 +ag799 +ag784 +ag829 +ag814 +atp857 +Rp858 +sg777 +g852 +sg842 +g845 +sg634 +g837 +sbag537 +ag1 +(g2 +g3 +(S'Text' +p859 +tp860 +tp861 +Rp862 +(dp863 +S'Beer' +p864 +g1 +(g2 +g3 +(g859 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g862 +sg20 +g21 +((lp869 +tp870 +Rp871 +sbsS'Whitespace' +p872 +g1 +(g2 +g3 +(g859 +g872 +tp873 +tp874 +Rp875 +(dp876 +g10 +g862 +sg20 +g21 +((lp877 +tp878 +Rp879 +sbsg10 +g241 +sS'Root' +p880 +g1 +(g2 +g3 +(g859 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g862 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsg49 +g1 +(g2 +g3 +(g859 +g49 +tp888 +tp889 +Rp890 +(dp891 +g10 +g862 +sg20 +g21 +((lp892 +tp893 +Rp894 +sbsg644 +g1 +(g2 +g3 +(g859 +g644 +tp895 +tp896 +Rp897 +(dp898 +g10 +g862 +sg20 +g21 +((lp899 +tp900 +Rp901 +sbsg20 +g21 +((lp902 +g883 +ag890 +ag897 +ag875 +ag867 +ag1 +(g2 +g3 +(g859 +S'Rag' +p903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g862 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbatp911 +Rp912 +sg903 +g906 +sbag624 +ag771 +ag647 +ag663 +ag763 +ag267 +atp913 +Rp914 +sg777 +g780 +sg859 +g862 +sbsg162 +g165 +sg216 +g219 +sg201 +g204 +sg185 +g188 +sbsg162 +g1 +(g2 +g3 +(g4 +g5 +g162 +tp915 +tp916 +Rp917 +(dp918 +g10 +g8 +sg20 +g21 +((lp919 +tp920 +Rp921 +sbsS'Anonymous' +p922 +g1 +(g2 +g3 +(g4 +g5 +g922 +tp923 +tp924 +Rp925 +(dp926 +g10 +g8 +sg20 +g21 +((lp927 +tp928 +Rp929 +sbsS'Instance' +p930 +g1 +(g2 +g3 +(g4 +g5 +g930 +tp931 +tp932 +Rp933 +(dp934 +g10 +g8 +sg20 +g21 +((lp935 +tp936 +Rp937 +sbsS'Global' +p938 +g1 +(g2 +g3 +(g4 +g5 +g938 +tp939 +tp940 +Rp941 +(dp942 +g10 +g8 +sg20 +g21 +((lp943 +tp944 +Rp945 +sbsg20 +g21 +((lp946 +g925 +ag933 +ag1 +(g2 +g3 +(g4 +g5 +g111 +tp947 +tp948 +Rp949 +(dp950 +g10 +g8 +sg20 +g21 +((lp951 +tp952 +Rp953 +sbag941 +ag917 +atp954 +Rp955 +sg111 +g949 +sbV +p956 +tp957 +a(g692 +Vvpath %.c src\u000a +p958 +tp959 +a(g8 +g956 +tp960 +a(g692 +Vvpath %.h header\u000a +p961 +tp962 +a(g8 +VEXEC +p963 +tp964 +a(g624 +V= +p965 +tp966 +a(g862 +Vhello +p967 +tp968 +a(g862 +V\u000a +p969 +tp970 +a(g8 +VSRC +p971 +tp972 +a(g624 +g965 +tp973 +a(g862 +V +p974 +tp975 +a(g862 +Vhello.c +p976 +tp977 +a(g862 +g974 +tp978 +a(g862 +Vmain.c +p979 +tp980 +a(g862 +V\u000a +p981 +tp982 +a(g8 +VOBJ +p983 +tp984 +a(g624 +g965 +tp985 +a(g862 +g974 +tp986 +a(g780 +V$( +p987 +tp988 +a(g862 +VSRC:.c +p989 +tp990 +a(g624 +g965 +tp991 +a(g862 +V.o +p992 +tp993 +a(g780 +V) +p994 +tp995 +a(g862 +V\u000a +p996 +tp997 +a(g862 +V\u000a +p998 +tp999 +a(g108 +Vall +p1000 +tp1001 +a(g624 +V: +p1002 +tp1003 +a(g862 +g974 +tp1004 +a(g780 +V$( +p1005 +tp1006 +a(g8 +VEXEC +p1007 +tp1008 +a(g780 +g994 +tp1009 +a(g862 +V\u000a +p1010 +tp1011 +a(g862 +V\u000a +p1012 +tp1013 +a(g108 +Vhello +p1014 +tp1015 +a(g624 +g1002 +tp1016 +a(g862 +g974 +tp1017 +a(g780 +V$( +p1018 +tp1019 +a(g8 +VOBJ +p1020 +tp1021 +a(g780 +g994 +tp1022 +a(g862 +V\u000a +p1023 +tp1024 +a(g862 +V +p1025 +tp1026 +a(g780 +V$( +p1027 +tp1028 +a(g862 +VCC +p1029 +tp1030 +a(g780 +g994 +tp1031 +a(g862 +g974 +tp1032 +a(g862 +V-o +p1033 +tp1034 +a(g862 +g974 +tp1035 +a(g8 +V$@ +p1036 +tp1037 +a(g862 +g974 +tp1038 +a(g862 +V$ +p1039 +tp1040 +a(g862 +V^ +p1041 +tp1042 +a(g862 +g974 +tp1043 +a(g780 +V$( +p1044 +tp1045 +a(g862 +VLDFLAGS +p1046 +tp1047 +a(g780 +g994 +tp1048 +a(g862 +V\u000a\u000a +p1049 +tp1050 +a(g108 +Vmain.o +p1051 +tp1052 +a(g624 +g1002 +tp1053 +a(g862 +g974 +tp1054 +a(g13 +Vhello +p1055 +tp1056 +a(g862 +V. +p1057 +tp1058 +a(g13 +Vh +p1059 +tp1060 +a(g862 +V\u000a +p1061 +tp1062 +a(g862 +V\u000a +p1063 +tp1064 +a(g108 +V%.o +p1065 +tp1066 +a(g624 +g1002 +tp1067 +a(g862 +g974 +tp1068 +a(g862 +V% +p1069 +tp1070 +a(g862 +g1057 +tp1071 +a(g13 +Vc +p1072 +tp1073 +a(g862 +V\u000a +p1074 +tp1075 +a(g862 +V +p1076 +tp1077 +a(g780 +V$( +p1078 +tp1079 +a(g862 +VCC +p1080 +tp1081 +a(g780 +g994 +tp1082 +a(g862 +g974 +tp1083 +a(g862 +V-I +p1084 +tp1085 +a(g862 +g974 +tp1086 +a(g862 +Vheader +p1087 +tp1088 +a(g862 +g974 +tp1089 +a(g862 +V-o +p1090 +tp1091 +a(g862 +g974 +tp1092 +a(g8 +V$@ +p1093 +tp1094 +a(g862 +g974 +tp1095 +a(g425 +V\u005c\u000a +p1096 +tp1097 +a(g862 +V +p1098 +tp1099 +a(g862 +V-c +p1100 +tp1101 +a(g862 +g974 +tp1102 +a(g862 +g1039 +tp1103 +a(g862 +V< +p1104 +tp1105 +a(g862 +g974 +tp1106 +a(g780 +V$( +p1107 +tp1108 +a(g862 +VCFLAGS +p1109 +tp1110 +a(g780 +g994 +tp1111 +a(g862 +V\u000a +p1112 +tp1113 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/wdiff_example1.wdiff b/tests/examplefiles/output/wdiff_example1.wdiff new file mode 100644 index 0000000..add5a61 --- /dev/null +++ b/tests/examplefiles/output/wdiff_example1.wdiff @@ -0,0 +1,6434 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV.. +p956 +tp957 +a(g7 +V- +p958 +tp959 +a(g7 +V* +p960 +tp961 +a(g7 +g958 +tp962 +a(g7 +V mode: rst +p963 +tp964 +a(g7 +g958 +tp965 +a(g7 +g960 +tp966 +a(g7 +g958 +tp967 +a(g7 +V\u000a\u000a +p968 +tp969 +a(g373 +V{+ +p970 +tp971 +a(g373 +V.. highlight:: python +p972 +tp973 +a(g373 +V+} +p974 +tp975 +a(g7 +V\u000a\u000a====================\u000aWrite your own lexer\u000a====================\u000a\u000aIf a lexer for your favorite language is missing in the Pygments package, you\u000acan easily write your own and extend Pygments.\u000a\u000aAll you need can be found inside the :mod:`pygments.lexer` module. As you can\u000aread in the :doc:`API documentation `, a lexer is a class that is\u000ainitialized with some keyword arguments (the lexer options) and that provides a\u000a:meth:`.get_tokens_unprocessed()` method which is given a string or unicode\u000aobject with the data to +p976 +tp977 +a(g333 +V[- +p978 +tp979 +a(g333 +Vparse. +p980 +tp981 +a(g333 +V-] +p982 +tp983 +a(g7 +V +p984 +tp985 +a(g373 +V{+ +p986 +tp987 +a(g373 +Vlex. +p988 +tp989 +a(g373 +V+} +p990 +tp991 +a(g7 +V\u000a\u000aThe :meth:`.get_tokens_unprocessed()` method must return an iterator or iterable\u000acontaining tuples in the form ``(index, token, value)``. Normally you don't\u000aneed to do this since there are +p992 +tp993 +a(g333 +V[- +p994 +tp995 +a(g333 +Vnumerous +p996 +tp997 +a(g333 +V-] +p998 +tp999 +a(g7 +V base lexers +p1000 +tp1001 +a(g373 +V{+ +p1002 +tp1003 +a(g373 +Vthat do most of the work and that +p1004 +tp1005 +a(g373 +V+} +p1006 +tp1007 +a(g7 +V\u000ayou can subclass.\u000a\u000a\u000aRegexLexer\u000a==========\u000a\u000a +p1008 +tp1009 +a(g333 +V[- +p1010 +tp1011 +a(g333 +VA very powerful (but quite easy to use) +p1012 +tp1013 +a(g333 +V-] +p1014 +tp1015 +a(g7 +V\u000a\u000a +p1016 +tp1017 +a(g373 +V{+ +p1018 +tp1019 +a(g373 +VThe +p1020 +tp1021 +a(g373 +V+} +p1022 +tp1023 +a(g7 +V lexer +p1024 +tp1025 +a(g373 +V{+ +p1026 +tp1027 +a(g373 +Vbase class used by almost all of Pygments' lexers +p1028 +tp1029 +a(g373 +V+} +p1030 +tp1031 +a(g7 +V is the\u000a:class:`RegexLexer`. This\u000a +p1032 +tp1033 +a(g333 +V[- +p1034 +tp1035 +a(g333 +Vlexer base +p1036 +tp1037 +a(g333 +V-] +p1038 +tp1039 +a(g7 +V class allows you to define lexing rules in terms of\u000a*regular expressions* for different *states*.\u000a\u000aStates are groups of regular expressions that are matched against the input\u000astring at the *current position*. If one of these expressions matches, a\u000acorresponding action is performed +p1040 +tp1041 +a(g333 +V[- +p1042 +tp1043 +a(g333 +V(normally +p1044 +tp1045 +a(g333 +V-] +p1046 +tp1047 +a(g7 +g984 +tp1048 +a(g373 +V{+ +p1049 +tp1050 +a(g373 +V(such as +p1051 +tp1052 +a(g373 +V+} +p1053 +tp1054 +a(g7 +V yielding a token with a specific\u000a +p1055 +tp1056 +a(g333 +V[- +p1057 +tp1058 +a(g333 +Vtype), +p1059 +tp1060 +a(g333 +V-] +p1061 +tp1062 +a(g7 +V\u000a +p1063 +tp1064 +a(g373 +V{+ +p1065 +tp1066 +a(g373 +Vtype, or changing state), +p1067 +tp1068 +a(g373 +V+} +p1069 +tp1070 +a(g7 +V the current position is set to where the last match\u000aended and the matching process continues with the first regex of the current\u000astate.\u000a\u000aLexer states are kept +p1071 +tp1072 +a(g333 +V[- +p1073 +tp1074 +a(g333 +Vin +p1075 +tp1076 +a(g333 +V-] +p1077 +tp1078 +a(g7 +g984 +tp1079 +a(g373 +V{+ +p1080 +tp1081 +a(g373 +Von +p1082 +tp1083 +a(g373 +V+} +p1084 +tp1085 +a(g7 +V a +p1086 +tp1087 +a(g333 +V[- +p1088 +tp1089 +a(g333 +Vstate +p1090 +tp1091 +a(g333 +V-] +p1092 +tp1093 +a(g7 +V stack: each time a new state is entered, the new\u000astate is pushed onto the stack. The most basic lexers (like the `DiffLexer`)\u000ajust need one state.\u000a\u000aEach state is defined as a list of tuples in the form (`regex`, `action`,\u000a`new_state`) where the last item is optional. In the most basic form, `action`\u000ais a token type (like `Name.Builtin`). That means: When `regex` matches, emit a\u000atoken with the match text and type `tokentype` and push `new_state` on the state\u000astack. If the new state is ``'#pop'``, the topmost state is popped from the\u000astack instead. +p1094 +tp1095 +a(g333 +V[- +p1096 +tp1097 +a(g333 +V(To +p1098 +tp1099 +a(g333 +V-] +p1100 +tp1101 +a(g7 +V +p1102 +tp1103 +a(g373 +V{+ +p1104 +tp1105 +a(g373 +VTo +p1106 +tp1107 +a(g373 +V+} +p1108 +tp1109 +a(g7 +V pop more than one state, use ``'#pop:2'`` and so +p1110 +tp1111 +a(g333 +V[- +p1112 +tp1113 +a(g333 +Von.) +p1114 +tp1115 +a(g333 +V-] +p1116 +tp1117 +a(g7 +g984 +tp1118 +a(g373 +V{+ +p1119 +tp1120 +a(g373 +Von. +p1121 +tp1122 +a(g373 +V+} +p1123 +tp1124 +a(g7 +V\u000a``'#push'`` is a synonym for pushing the current state on the stack.\u000a\u000aThe following example shows the `DiffLexer` from the builtin lexers. Note that\u000ait contains some additional attributes `name`, `aliases` and `filenames` which\u000aaren't required for a lexer. They are used by the builtin lexer lookup\u000afunctions.\u000a\u000a +p1125 +tp1126 +a(g333 +V[- +p1127 +tp1128 +a(g333 +V.. sourcecode:: python +p1129 +tp1130 +a(g333 +V-] +p1131 +tp1132 +a(g7 +g984 +tp1133 +a(g373 +V{+ +p1134 +tp1135 +a(g373 +V:: +p1136 +tp1137 +a(g373 +V+} +p1138 +tp1139 +a(g7 +V\u000a\u000a from pygments.lexer import RegexLexer\u000a from pygments.token import *\u000a\u000a class DiffLexer(RegexLexer):\u000a name = 'Diff'\u000a aliases = +p1140 +tp1141 +a(g7 +V[ +p1142 +tp1143 +a(g7 +V'diff' +p1144 +tp1145 +a(g7 +V] +p1146 +tp1147 +a(g7 +V\u000a filenames = +p1148 +tp1149 +a(g7 +g1142 +tp1150 +a(g7 +V'*.diff' +p1151 +tp1152 +a(g7 +g1146 +tp1153 +a(g7 +V\u000a\u000a tokens = +p1154 +tp1155 +a(g7 +V{ +p1156 +tp1157 +a(g7 +V\u000a 'root': +p1158 +tp1159 +a(g7 +g1142 +tp1160 +a(g7 +V\u000a (r' .*\u005cn', Text),\u000a (r'\u005c +p1161 +tp1162 +a(g7 +V+ +p1163 +tp1164 +a(g7 +V.*\u005cn', Generic.Inserted),\u000a (r' +p1165 +tp1166 +a(g7 +g958 +tp1167 +a(g7 +V.*\u005cn', Generic.Deleted),\u000a (r'@.*\u005cn', Generic.Subheading),\u000a (r'Index.*\u005cn', Generic.Heading),\u000a (r'=.*\u005cn', Generic.Heading),\u000a (r'.*\u005cn', Text),\u000a +p1168 +tp1169 +a(g7 +g1146 +tp1170 +a(g7 +V\u000a +p1171 +tp1172 +a(g7 +V} +p1173 +tp1174 +a(g7 +V\u000a\u000aAs you can see this lexer only uses one state. When the lexer starts scanning\u000athe text, it first checks if the current character is a space. If this is true\u000ait scans everything until newline and returns the +p1175 +tp1176 +a(g333 +V[- +p1177 +tp1178 +a(g333 +Vparsed +p1179 +tp1180 +a(g333 +V-] +p1181 +tp1182 +a(g7 +V data as +p1183 +tp1184 +a(g373 +V{+ +p1185 +tp1186 +a(g373 +Va +p1187 +tp1188 +a(g373 +V+} +p1189 +tp1190 +a(g7 +V `Text` +p1191 +tp1192 +a(g333 +V[- +p1193 +tp1194 +a(g333 +Vtoken. +p1195 +tp1196 +a(g333 +V-] +p1197 +tp1198 +a(g7 +g984 +tp1199 +a(g373 +V{+ +p1200 +tp1201 +a(g373 +Vtoken (which\u000ais the "no special highlighting" token). +p1202 +tp1203 +a(g373 +V+} +p1204 +tp1205 +a(g7 +V\u000a\u000aIf this rule doesn't match, it checks if the current char is a plus sign. And\u000aso on.\u000a\u000aIf no rule matches at the current position, the current char is emitted as an\u000a`Error` token that indicates a +p1206 +tp1207 +a(g333 +V[- +p1208 +tp1209 +a(g333 +Vparsing +p1210 +tp1211 +a(g333 +V-] +p1212 +tp1213 +a(g7 +g984 +tp1214 +a(g373 +V{+ +p1215 +tp1216 +a(g373 +Vlexing +p1217 +tp1218 +a(g373 +V+} +p1219 +tp1220 +a(g7 +V error, and the position is increased by\u000a +p1221 +tp1222 +a(g333 +V[- +p1223 +tp1224 +a(g333 +V1. +p1225 +tp1226 +a(g333 +V-] +p1227 +tp1228 +a(g7 +V\u000a +p1229 +tp1230 +a(g373 +V{+ +p1231 +tp1232 +a(g373 +Vone. +p1233 +tp1234 +a(g373 +V+} +p1235 +tp1236 +a(g7 +V\u000a\u000a\u000aAdding and testing a new lexer\u000a==============================\u000a\u000aTo make +p1237 +tp1238 +a(g333 +V[- +p1239 +tp1240 +a(g333 +Vpygments +p1241 +tp1242 +a(g333 +V-] +p1243 +tp1244 +a(g7 +g984 +tp1245 +a(g373 +V{+ +p1246 +tp1247 +a(g373 +VPygments +p1248 +tp1249 +a(g373 +V+} +p1250 +tp1251 +a(g7 +V aware of your new lexer, you have to perform the following\u000asteps:\u000a\u000aFirst, change to the current directory containing the +p1252 +tp1253 +a(g333 +V[- +p1254 +tp1255 +a(g333 +Vpygments +p1256 +tp1257 +a(g333 +V-] +p1258 +tp1259 +a(g7 +g984 +tp1260 +a(g373 +V{+ +p1261 +tp1262 +a(g373 +VPygments +p1263 +tp1264 +a(g373 +V+} +p1265 +tp1266 +a(g7 +V source code:\u000a\u000a.. +p1267 +tp1268 +a(g333 +V[- +p1269 +tp1270 +a(g333 +Vsourcecode:: +p1271 +tp1272 +a(g333 +V-] +p1273 +tp1274 +a(g7 +g984 +tp1275 +a(g373 +V{+ +p1276 +tp1277 +a(g373 +Vcode +p1278 +tp1279 +a(g373 +g958 +tp1280 +a(g373 +Vblock:: +p1281 +tp1282 +a(g373 +V+} +p1283 +tp1284 +a(g7 +V console\u000a\u000a $ cd .../pygments +p1285 +tp1286 +a(g7 +g958 +tp1287 +a(g7 +Vmain\u000a\u000a +p1288 +tp1289 +a(g373 +V{+ +p1290 +tp1291 +a(g373 +VSelect a matching module under ``pygments/lexers``, or create a new module for\u000ayour lexer class. +p1292 +tp1293 +a(g373 +V+} +p1294 +tp1295 +a(g7 +V\u000a\u000aNext, make sure the lexer is known from outside of the module. All modules in\u000athe ``pygments.lexers`` specify ``__all__``. For example, +p1296 +tp1297 +a(g333 +V[- +p1298 +tp1299 +a(g333 +V``other.py`` sets:\u000a\u000a.. sourcecode:: python +p1300 +tp1301 +a(g333 +V-] +p1302 +tp1303 +a(g7 +g984 +tp1304 +a(g373 +V{+ +p1305 +tp1306 +a(g373 +V``esoteric.py`` sets:: +p1307 +tp1308 +a(g373 +V+} +p1309 +tp1310 +a(g7 +V\u000a\u000a __all__ = +p1311 +tp1312 +a(g7 +g1142 +tp1313 +a(g7 +V'BrainfuckLexer', 'BefungeLexer', ... +p1314 +tp1315 +a(g7 +g1146 +tp1316 +a(g7 +V\u000a\u000aSimply add the name of your lexer class to this list.\u000a\u000aFinally the lexer can be made +p1317 +tp1318 +a(g333 +V[- +p1319 +tp1320 +a(g333 +Vpublically +p1321 +tp1322 +a(g333 +V-] +p1323 +tp1324 +a(g7 +g984 +tp1325 +a(g373 +V{+ +p1326 +tp1327 +a(g373 +Vpublicly +p1328 +tp1329 +a(g373 +V+} +p1330 +tp1331 +a(g7 +V known by rebuilding the lexer mapping:\u000a\u000a.. +p1332 +tp1333 +a(g333 +V[- +p1334 +tp1335 +a(g333 +Vsourcecode:: +p1336 +tp1337 +a(g333 +V-] +p1338 +tp1339 +a(g7 +g984 +tp1340 +a(g373 +V{+ +p1341 +tp1342 +a(g373 +Vcode +p1343 +tp1344 +a(g373 +g958 +tp1345 +a(g373 +Vblock:: +p1346 +tp1347 +a(g373 +V+} +p1348 +tp1349 +a(g7 +V console\u000a\u000a $ make mapfiles\u000a\u000aTo test the new lexer, store an example file with the proper extension in\u000a``tests/examplefiles``. For example, to test your ``DiffLexer``, add a\u000a``tests/examplefiles/example.diff`` containing a sample diff output.\u000a\u000aNow you can use pygmentize to render your example to HTML:\u000a\u000a.. +p1350 +tp1351 +a(g333 +V[- +p1352 +tp1353 +a(g333 +Vsourcecode:: +p1354 +tp1355 +a(g333 +V-] +p1356 +tp1357 +a(g7 +g984 +tp1358 +a(g373 +V{+ +p1359 +tp1360 +a(g373 +Vcode +p1361 +tp1362 +a(g373 +g958 +tp1363 +a(g373 +Vblock:: +p1364 +tp1365 +a(g373 +V+} +p1366 +tp1367 +a(g7 +V console\u000a\u000a $ ./pygmentize +p1368 +tp1369 +a(g7 +g958 +tp1370 +a(g7 +VO full +p1371 +tp1372 +a(g7 +g958 +tp1373 +a(g7 +Vf html +p1374 +tp1375 +a(g7 +g958 +tp1376 +a(g7 +Vo /tmp/example.html tests/examplefiles/example.diff\u000a\u000aNote that this +p1377 +tp1378 +a(g333 +V[- +p1379 +tp1380 +a(g333 +Vexplicitely +p1381 +tp1382 +a(g333 +V-] +p1383 +tp1384 +a(g7 +g984 +tp1385 +a(g373 +V{+ +p1386 +tp1387 +a(g373 +Vexplicitly +p1388 +tp1389 +a(g373 +V+} +p1390 +tp1391 +a(g7 +V calls the ``pygmentize`` in the current directory\u000aby preceding it with ``./``. This ensures your modifications are used.\u000aOtherwise a possibly already installed, unmodified version without your new\u000alexer would have been called from the system search path (``$PATH``).\u000a\u000aTo view the result, open ``/tmp/example.html`` in your browser.\u000a\u000aOnce the example renders as expected, you should run the complete test suite:\u000a\u000a.. +p1392 +tp1393 +a(g333 +V[- +p1394 +tp1395 +a(g333 +Vsourcecode:: +p1396 +tp1397 +a(g333 +V-] +p1398 +tp1399 +a(g7 +g984 +tp1400 +a(g373 +V{+ +p1401 +tp1402 +a(g373 +Vcode +p1403 +tp1404 +a(g373 +g958 +tp1405 +a(g373 +Vblock:: +p1406 +tp1407 +a(g373 +V+} +p1408 +tp1409 +a(g7 +V console\u000a\u000a $ make test\u000a\u000a +p1410 +tp1411 +a(g373 +V{+ +p1412 +tp1413 +a(g373 +VIt also tests that your lexer fulfills the lexer API and certain invariants,\u000asuch as that the concatenation of all token text is the same as the input text. +p1414 +tp1415 +a(g373 +V+} +p1416 +tp1417 +a(g7 +V\u000a\u000a\u000aRegex Flags\u000a===========\u000a\u000aYou can either define regex flags +p1418 +tp1419 +a(g373 +V{+ +p1420 +tp1421 +a(g373 +Vlocally +p1422 +tp1423 +a(g373 +V+} +p1424 +tp1425 +a(g7 +V in the regex (``r'(?x)foo bar'``) or\u000a +p1426 +tp1427 +a(g373 +V{+ +p1428 +tp1429 +a(g373 +Vglobally +p1430 +tp1431 +a(g373 +V+} +p1432 +tp1433 +a(g7 +V by adding a `flags` attribute to your lexer class. If no attribute is\u000adefined, it defaults to `re.MULTILINE`. For more +p1434 +tp1435 +a(g333 +V[- +p1436 +tp1437 +a(g333 +Vinformations +p1438 +tp1439 +a(g333 +V-] +p1440 +tp1441 +a(g7 +g984 +tp1442 +a(g373 +V{+ +p1443 +tp1444 +a(g373 +Vinformation +p1445 +tp1446 +a(g373 +V+} +p1447 +tp1448 +a(g7 +V about regular\u000aexpression flags see the +p1449 +tp1450 +a(g373 +V{+ +p1451 +tp1452 +a(g373 +Vpage about +p1453 +tp1454 +a(g373 +V+} +p1455 +tp1456 +a(g7 +V `regular expressions`_ +p1457 +tp1458 +a(g333 +V[- +p1459 +tp1460 +a(g333 +Vhelp page +p1461 +tp1462 +a(g333 +V-] +p1463 +tp1464 +a(g7 +V in the +p1465 +tp1466 +a(g333 +V[- +p1467 +tp1468 +a(g333 +Vpython +p1469 +tp1470 +a(g333 +V-] +p1471 +tp1472 +a(g7 +g984 +tp1473 +a(g373 +V{+ +p1474 +tp1475 +a(g373 +VPython +p1476 +tp1477 +a(g373 +V+} +p1478 +tp1479 +a(g7 +V\u000adocumentation.\u000a\u000a.. _regular expressions: +p1480 +tp1481 +a(g333 +V[- +p1482 +tp1483 +a(g333 +Vhttp://docs.python.org/lib/re +p1484 +tp1485 +a(g333 +g958 +tp1486 +a(g333 +Vsyntax.html +p1487 +tp1488 +a(g333 +V-] +p1489 +tp1490 +a(g7 +g984 +tp1491 +a(g373 +V{+ +p1492 +tp1493 +a(g373 +Vhttp://docs.python.org/library/re.html#regular +p1494 +tp1495 +a(g373 +g958 +tp1496 +a(g373 +Vexpression +p1497 +tp1498 +a(g373 +g958 +tp1499 +a(g373 +Vsyntax +p1500 +tp1501 +a(g373 +V+} +p1502 +tp1503 +a(g7 +V\u000a\u000a\u000aScanning multiple tokens at once\u000a================================\u000a\u000a +p1504 +tp1505 +a(g373 +V{+ +p1506 +tp1507 +a(g373 +VSo far, the `action` element in the rule tuple of regex, action and state has\u000abeen a single token type. Now we look at the first of several other possible\u000avalues. +p1508 +tp1509 +a(g373 +V+} +p1510 +tp1511 +a(g7 +V\u000a\u000aHere is a more complex lexer that highlights INI files. INI files consist of\u000asections, comments and +p1512 +tp1513 +a(g333 +V[- +p1514 +tp1515 +a(g333 +Vkey +p1516 +tp1517 +a(g333 +V-] +p1518 +tp1519 +a(g7 +g984 +tp1520 +a(g373 +V{+ +p1521 +tp1522 +a(g373 +V``key +p1523 +tp1524 +a(g373 +V+} +p1525 +tp1526 +a(g7 +V = +p1527 +tp1528 +a(g333 +V[- +p1529 +tp1530 +a(g333 +Vvalue pairs:\u000a\u000a.. sourcecode:: python +p1531 +tp1532 +a(g333 +V-] +p1533 +tp1534 +a(g7 +g984 +tp1535 +a(g373 +V{+ +p1536 +tp1537 +a(g373 +Vvalue`` pairs:: +p1538 +tp1539 +a(g373 +V+} +p1540 +tp1541 +a(g7 +V\u000a\u000a from pygments.lexer import RegexLexer, bygroups\u000a from pygments.token import *\u000a\u000a class IniLexer(RegexLexer):\u000a name = 'INI'\u000a aliases = +p1542 +tp1543 +a(g7 +g1142 +tp1544 +a(g7 +V'ini', 'cfg' +p1545 +tp1546 +a(g7 +g1146 +tp1547 +a(g7 +V\u000a filenames = +p1548 +tp1549 +a(g7 +g1142 +tp1550 +a(g7 +V'*.ini', '*.cfg' +p1551 +tp1552 +a(g7 +g1146 +tp1553 +a(g7 +V\u000a\u000a tokens = +p1554 +tp1555 +a(g7 +g1156 +tp1556 +a(g7 +V\u000a 'root': +p1557 +tp1558 +a(g7 +g1142 +tp1559 +a(g7 +V\u000a (r'\u005cs +p1560 +tp1561 +a(g7 +g1163 +tp1562 +a(g7 +V', Text),\u000a (r';.*?$', Comment),\u000a (r'\u005c +p1563 +tp1564 +a(g7 +g1142 +tp1565 +a(g7 +V.*?\u005c +p1566 +tp1567 +a(g7 +g1146 +tp1568 +a(g7 +V$', Keyword),\u000a (r'(.*?)(\u005cs*)(=)(\u005cs*)(.*?)$',\u000a bygroups(Name.Attribute, Text, Operator, Text, String))\u000a +p1569 +tp1570 +a(g7 +g1146 +tp1571 +a(g7 +V\u000a +p1572 +tp1573 +a(g7 +g1173 +tp1574 +a(g7 +V\u000a\u000aThe lexer first looks for whitespace, comments and section names. +p1575 +tp1576 +a(g333 +V[- +p1577 +tp1578 +a(g333 +VAnd later +p1579 +tp1580 +a(g333 +V-] +p1581 +tp1582 +a(g7 +V +p1583 +tp1584 +a(g373 +V{+ +p1585 +tp1586 +a(g373 +VLater +p1587 +tp1588 +a(g373 +V+} +p1589 +tp1590 +a(g7 +V it\u000alooks for a line that looks like a key, value pair, separated by an ``'='``\u000asign, and optional whitespace.\u000a\u000aThe `bygroups` helper +p1591 +tp1592 +a(g333 +V[- +p1593 +tp1594 +a(g333 +Vmakes sure that +p1595 +tp1596 +a(g333 +V-] +p1597 +tp1598 +a(g7 +g984 +tp1599 +a(g373 +V{+ +p1600 +tp1601 +a(g373 +Vyields +p1602 +tp1603 +a(g373 +V+} +p1604 +tp1605 +a(g7 +V each +p1606 +tp1607 +a(g373 +V{+ +p1608 +tp1609 +a(g373 +Vcapturing +p1610 +tp1611 +a(g373 +V+} +p1612 +tp1613 +a(g7 +V group +p1614 +tp1615 +a(g333 +V[- +p1616 +tp1617 +a(g333 +Vis yielded +p1618 +tp1619 +a(g333 +V-] +p1620 +tp1621 +a(g7 +g984 +tp1622 +a(g373 +V{+ +p1623 +tp1624 +a(g373 +Vin the regex +p1625 +tp1626 +a(g373 +V+} +p1627 +tp1628 +a(g7 +V with a different\u000atoken type. First the `Name.Attribute` token, then a `Text` token for the\u000aoptional whitespace, after that a `Operator` token for the equals sign. Then a\u000a`Text` token for the whitespace again. The rest of the line is returned as\u000a`String`.\u000a\u000aNote that for this to work, every part of the match must be inside a capturing\u000agroup (a ``(...)``), and there must not be any nested capturing groups. If you\u000anevertheless need a group, use a non +p1629 +tp1630 +a(g7 +g958 +tp1631 +a(g7 +Vcapturing group defined using this syntax:\u000a +p1632 +tp1633 +a(g333 +V[- +p1634 +tp1635 +a(g333 +V``r'(?:some|words|here)'`` +p1636 +tp1637 +a(g333 +V-] +p1638 +tp1639 +a(g7 +V\u000a +p1640 +tp1641 +a(g373 +V{+ +p1642 +tp1643 +a(g373 +V``(?:some|words|here)`` +p1644 +tp1645 +a(g373 +V+} +p1646 +tp1647 +a(g7 +V (note the ``?:`` after the beginning parenthesis).\u000a\u000aIf you find yourself needing a capturing group inside the regex which shouldn't\u000abe part of the output but is used in the regular expressions for backreferencing\u000a(eg: ``r'(<(foo|bar)>)(.*?)()'``), you can pass `None` to the bygroups\u000afunction and +p1648 +tp1649 +a(g333 +V[- +p1650 +tp1651 +a(g333 +Vit will skip +p1652 +tp1653 +a(g333 +V-] +p1654 +tp1655 +a(g7 +V that group will be skipped in the output.\u000a\u000a\u000aChanging states\u000a===============\u000a\u000aMany lexers need multiple states to work as expected. For example, some\u000alanguages allow multiline comments to be nested. Since this is a recursive\u000apattern it's impossible to lex just using regular expressions.\u000a\u000aHere is +p1656 +tp1657 +a(g333 +V[- +p1658 +tp1659 +a(g333 +Vthe solution:\u000a\u000a.. sourcecode:: python +p1660 +tp1661 +a(g333 +V-] +p1662 +tp1663 +a(g7 +g984 +tp1664 +a(g373 +V{+ +p1665 +tp1666 +a(g373 +Va lexer that recognizes C +p1667 +tp1668 +a(g373 +g1163 +tp1669 +a(g373 +g1163 +tp1670 +a(g373 +V style comments (multi +p1671 +tp1672 +a(g373 +g958 +tp1673 +a(g373 +Vline with ``/* */``\u000aand single +p1674 +tp1675 +a(g373 +g958 +tp1676 +a(g373 +Vline with ``//`` until end of line):: +p1677 +tp1678 +a(g373 +V+} +p1679 +tp1680 +a(g7 +V\u000a\u000a from pygments.lexer import RegexLexer\u000a from pygments.token import *\u000a\u000a class +p1681 +tp1682 +a(g333 +V[- +p1683 +tp1684 +a(g333 +VExampleLexer(RegexLexer): +p1685 +tp1686 +a(g333 +V-] +p1687 +tp1688 +a(g7 +g984 +tp1689 +a(g373 +V{+ +p1690 +tp1691 +a(g373 +VCppCommentLexer(RegexLexer): +p1692 +tp1693 +a(g373 +V+} +p1694 +tp1695 +a(g7 +V\u000a name = 'Example Lexer with states'\u000a\u000a tokens = +p1696 +tp1697 +a(g7 +g1156 +tp1698 +a(g7 +V\u000a 'root': +p1699 +tp1700 +a(g7 +g1142 +tp1701 +a(g7 +V\u000a (r' +p1702 +tp1703 +a(g7 +g1142 +tp1704 +a(g7 +V^/ +p1705 +tp1706 +a(g7 +g1146 +tp1707 +a(g7 +g1163 +tp1708 +a(g7 +V', Text),\u000a (r'/\u005c*', Comment.Multiline, 'comment'),\u000a (r'//.*?$', Comment.Singleline),\u000a (r'/', Text)\u000a +p1709 +tp1710 +a(g7 +g1146 +tp1711 +a(g7 +V,\u000a 'comment': +p1712 +tp1713 +a(g7 +g1142 +tp1714 +a(g7 +V\u000a (r' +p1715 +tp1716 +a(g7 +g1142 +tp1717 +a(g7 +V^*/ +p1718 +tp1719 +a(g7 +g1146 +tp1720 +a(g7 +V', Comment.Multiline),\u000a (r'/\u005c*', Comment.Multiline, '#push'),\u000a (r'\u005c*/', Comment.Multiline, '#pop'),\u000a (r' +p1721 +tp1722 +a(g7 +g1142 +tp1723 +a(g7 +V*/ +p1724 +tp1725 +a(g7 +g1146 +tp1726 +a(g7 +V', Comment.Multiline)\u000a +p1727 +tp1728 +a(g7 +g1146 +tp1729 +a(g7 +V\u000a +p1730 +tp1731 +a(g7 +g1173 +tp1732 +a(g7 +V\u000a\u000aThis lexer starts lexing in the ``'root'`` state. It tries to match as much as\u000apossible until it finds a slash (``'/'``). If the next character after the slash\u000ais +p1733 +tp1734 +a(g333 +V[- +p1735 +tp1736 +a(g333 +Va star +p1737 +tp1738 +a(g333 +V-] +p1739 +tp1740 +a(g7 +g984 +tp1741 +a(g373 +V{+ +p1742 +tp1743 +a(g373 +Van asterisk +p1744 +tp1745 +a(g373 +V+} +p1746 +tp1747 +a(g7 +V (``'*'``) the `RegexLexer` sends those two characters to the\u000aoutput stream marked as `Comment.Multiline` and continues +p1748 +tp1749 +a(g333 +V[- +p1750 +tp1751 +a(g333 +Vparsing +p1752 +tp1753 +a(g333 +V-] +p1754 +tp1755 +a(g7 +g984 +tp1756 +a(g373 +V{+ +p1757 +tp1758 +a(g373 +Vlexing +p1759 +tp1760 +a(g373 +V+} +p1761 +tp1762 +a(g7 +V with the rules\u000adefined in the ``'comment'`` state.\u000a\u000aIf there wasn't +p1763 +tp1764 +a(g333 +V[- +p1765 +tp1766 +a(g333 +Va star +p1767 +tp1768 +a(g333 +V-] +p1769 +tp1770 +a(g7 +g984 +tp1771 +a(g373 +V{+ +p1772 +tp1773 +a(g373 +Van asterisk +p1774 +tp1775 +a(g373 +V+} +p1776 +tp1777 +a(g7 +V after the slash, the `RegexLexer` checks if it's a\u000a +p1778 +tp1779 +a(g333 +V[- +p1780 +tp1781 +a(g333 +Vsingleline +p1782 +tp1783 +a(g333 +V-] +p1784 +tp1785 +a(g7 +V\u000a +p1786 +tp1787 +a(g373 +V{+ +p1788 +tp1789 +a(g373 +VSingleline +p1790 +tp1791 +a(g373 +V+} +p1792 +tp1793 +a(g7 +V comment +p1794 +tp1795 +a(g333 +V[- +p1796 +tp1797 +a(g333 +V(eg: +p1798 +tp1799 +a(g333 +V-] +p1800 +tp1801 +a(g7 +g984 +tp1802 +a(g373 +V{+ +p1803 +tp1804 +a(g373 +V(i.e. +p1805 +tp1806 +a(g373 +V+} +p1807 +tp1808 +a(g7 +V followed by a second slash). If this also wasn't the\u000acase it must be a single +p1809 +tp1810 +a(g333 +V[- +p1811 +tp1812 +a(g333 +Vslash +p1813 +tp1814 +a(g333 +V-] +p1815 +tp1816 +a(g7 +g984 +tp1817 +a(g373 +V{+ +p1818 +tp1819 +a(g373 +Vslash, which is not a comment starter +p1820 +tp1821 +a(g373 +V+} +p1822 +tp1823 +a(g7 +V (the separate\u000aregex for a single slash must also be given, else the slash would be marked as\u000aan error token).\u000a\u000aInside the ``'comment'`` state, we do the same thing again. Scan until the\u000alexer finds a star or slash. If it's the opening of a multiline comment, push\u000athe ``'comment'`` state on the stack and continue scanning, again in the\u000a``'comment'`` state. Else, check if it's the end of the multiline comment. If\u000ayes, pop one state from the stack.\u000a\u000aNote: If you pop from an empty stack you'll get an `IndexError`. (There is an\u000aeasy way to prevent this from happening: don't ``'#pop'`` in the root state).\u000a\u000aIf the `RegexLexer` encounters a newline that is flagged as an error token, the\u000astack is emptied and the lexer continues scanning in the ``'root'`` state. This\u000a +p1824 +tp1825 +a(g333 +V[- +p1826 +tp1827 +a(g333 +Vhelps +p1828 +tp1829 +a(g333 +V-] +p1830 +tp1831 +a(g7 +V\u000a +p1832 +tp1833 +a(g373 +V{+ +p1834 +tp1835 +a(g373 +Vcan help +p1836 +tp1837 +a(g373 +V+} +p1838 +tp1839 +a(g7 +V producing error +p1840 +tp1841 +a(g7 +g958 +tp1842 +a(g7 +Vtolerant highlighting for erroneous input, e.g. when a\u000asingle +p1843 +tp1844 +a(g7 +g958 +tp1845 +a(g7 +Vline string is not closed.\u000a\u000a\u000aAdvanced state tricks\u000a=====================\u000a\u000aThere are a few more things you can do with states:\u000a\u000a +p1846 +tp1847 +a(g7 +g958 +tp1848 +a(g7 +V You can push multiple states onto the stack if you give a tuple instead of a\u000a simple string as the third item in a rule tuple. For example, if you want to\u000a match a comment containing a directive, something +p1849 +tp1850 +a(g333 +V[- +p1851 +tp1852 +a(g333 +Vlike:: +p1853 +tp1854 +a(g333 +V-] +p1855 +tp1856 +a(g7 +g984 +tp1857 +a(g373 +V{+ +p1858 +tp1859 +a(g373 +Vlike:\u000a\u000a .. code +p1860 +tp1861 +a(g373 +g958 +tp1862 +a(g373 +Vblock:: text +p1863 +tp1864 +a(g373 +V+} +p1865 +tp1866 +a(g7 +V\u000a\u000a /* rest of comment */\u000a\u000a you can use this +p1867 +tp1868 +a(g333 +V[- +p1869 +tp1870 +a(g333 +Vrule:\u000a\u000a .. sourcecode:: python +p1871 +tp1872 +a(g333 +V-] +p1873 +tp1874 +a(g7 +g984 +tp1875 +a(g373 +V{+ +p1876 +tp1877 +a(g373 +Vrule:: +p1878 +tp1879 +a(g373 +V+} +p1880 +tp1881 +a(g7 +V\u000a\u000a tokens = +p1882 +tp1883 +a(g7 +g1156 +tp1884 +a(g7 +V\u000a 'root': +p1885 +tp1886 +a(g7 +g1142 +tp1887 +a(g7 +V\u000a (r'/\u005c* <', Comment, ('comment', 'directive')),\u000a ...\u000a +p1888 +tp1889 +a(g7 +g1146 +tp1890 +a(g7 +V,\u000a 'directive': +p1891 +tp1892 +a(g7 +g1142 +tp1893 +a(g7 +V\u000a (r' +p1894 +tp1895 +a(g7 +g1142 +tp1896 +a(g7 +V^> +p1897 +tp1898 +a(g7 +g1146 +tp1899 +a(g7 +V*', Comment.Directive),\u000a (r'>', Comment, '#pop'),\u000a +p1900 +tp1901 +a(g7 +g1146 +tp1902 +a(g7 +V,\u000a 'comment': +p1903 +tp1904 +a(g7 +g1142 +tp1905 +a(g7 +V\u000a (r' +p1906 +tp1907 +a(g7 +g1142 +tp1908 +a(g7 +V^* +p1909 +tp1910 +a(g7 +g1146 +tp1911 +a(g7 +g1163 +tp1912 +a(g7 +V', Comment),\u000a (r'\u005c*/', Comment, '#pop'),\u000a (r'\u005c*', Comment),\u000a +p1913 +tp1914 +a(g7 +g1146 +tp1915 +a(g7 +V\u000a +p1916 +tp1917 +a(g7 +g1173 +tp1918 +a(g7 +V\u000a\u000a When this encounters the above sample, first ``'comment'`` and ``'directive'``\u000a are pushed onto the stack, then the lexer continues in the directive state\u000a until it finds the closing ``>``, then it continues in the comment state until\u000a the closing ``*/``. Then, both states are popped from the stack again and\u000a lexing continues in the root state.\u000a\u000a .. versionadded:: 0.9\u000a The tuple can contain the special ``'#push'`` and ``'#pop'`` (but not\u000a ``'#pop:n'``) directives.\u000a\u000a\u000a +p1919 +tp1920 +a(g7 +g958 +tp1921 +a(g7 +V You can include the rules of a state in the definition of another. This is\u000a done by using `include` from +p1922 +tp1923 +a(g333 +V[- +p1924 +tp1925 +a(g333 +V`pygments.lexer`:\u000a\u000a .. sourcecode:: python +p1926 +tp1927 +a(g333 +V-] +p1928 +tp1929 +a(g7 +g984 +tp1930 +a(g373 +V{+ +p1931 +tp1932 +a(g373 +V`pygments.lexer`:: +p1933 +tp1934 +a(g373 +V+} +p1935 +tp1936 +a(g7 +V\u000a\u000a from pygments.lexer import RegexLexer, bygroups, include\u000a from pygments.token import *\u000a\u000a class ExampleLexer(RegexLexer):\u000a tokens = +p1937 +tp1938 +a(g7 +g1156 +tp1939 +a(g7 +V\u000a 'comments': +p1940 +tp1941 +a(g7 +g1142 +tp1942 +a(g7 +V\u000a (r'/\u005c*.*?\u005c*/', Comment),\u000a (r'//.*?\u005cn', Comment),\u000a +p1943 +tp1944 +a(g7 +g1146 +tp1945 +a(g7 +V,\u000a 'root': +p1946 +tp1947 +a(g7 +g1142 +tp1948 +a(g7 +V\u000a include('comments'),\u000a (r'(function )(\u005cw +p1949 +tp1950 +a(g7 +g1163 +tp1951 +a(g7 +V)( +p1952 +tp1953 +a(g7 +g1156 +tp1954 +a(g7 +V)',\u000a bygroups(Keyword, Name, Keyword), 'function'),\u000a (r'.', Text),\u000a +p1955 +tp1956 +a(g7 +g1146 +tp1957 +a(g7 +V,\u000a 'function': +p1958 +tp1959 +a(g7 +g1142 +tp1960 +a(g7 +V\u000a (r' +p1961 +tp1962 +a(g7 +g1142 +tp1963 +a(g7 +V^ +p1964 +tp1965 +a(g7 +g1173 +tp1966 +a(g7 +V/ +p1967 +tp1968 +a(g7 +g1146 +tp1969 +a(g7 +g1163 +tp1970 +a(g7 +V', Text),\u000a include('comments'),\u000a (r'/', Text),\u000a +p1971 +tp1972 +a(g333 +V[- +p1973 +tp1974 +a(g333 +V(r' +p1975 +tp1976 +a(g333 +g1173 +tp1977 +a(g333 +V', +p1978 +tp1979 +a(g333 +V-] +p1980 +tp1981 +a(g7 +V\u000a +p1982 +tp1983 +a(g373 +V{+ +p1984 +tp1985 +a(g373 +V(r'\u005c +p1986 +tp1987 +a(g373 +g1173 +tp1988 +a(g373 +V', +p1989 +tp1990 +a(g373 +V+} +p1991 +tp1992 +a(g7 +V Keyword, '#pop'),\u000a +p1993 +tp1994 +a(g7 +g1146 +tp1995 +a(g7 +V\u000a +p1996 +tp1997 +a(g7 +g1173 +tp1998 +a(g7 +V\u000a\u000a This is a hypothetical lexer for a language that consist of functions and\u000a comments. Because comments can occur at toplevel and in functions, we need\u000a rules for comments in both states. As you can see, the `include` helper saves\u000a repeating rules that occur more than once (in this example, the state\u000a ``'comment'`` will never be entered by the lexer, as it's only there to be\u000a included in ``'root'`` and ``'function'``).\u000a\u000a +p1999 +tp2000 +a(g7 +g958 +tp2001 +a(g7 +V Sometimes, you may want to "combine" a state from existing ones. This is\u000a possible with the +p2002 +tp2003 +a(g333 +V[- +p2004 +tp2005 +a(g333 +V`combine` +p2006 +tp2007 +a(g333 +V-] +p2008 +tp2009 +a(g7 +g984 +tp2010 +a(g373 +V{+ +p2011 +tp2012 +a(g373 +V`combined` +p2013 +tp2014 +a(g373 +V+} +p2015 +tp2016 +a(g7 +V helper from `pygments.lexer`.\u000a\u000a If you, instead of a new state, write ``combined('state1', 'state2')`` as the\u000a third item of a rule tuple, a new anonymous state will be formed from state1\u000a and state2 and if the rule matches, the lexer will enter this state.\u000a\u000a This is not used very often, but can be helpful in some cases, such as the\u000a `PythonLexer`'s string literal processing.\u000a\u000a +p2017 +tp2018 +a(g7 +g958 +tp2019 +a(g7 +V If you want your lexer to start lexing in a different state you can modify the\u000a stack by +p2020 +tp2021 +a(g333 +V[- +p2022 +tp2023 +a(g333 +Voverloading +p2024 +tp2025 +a(g333 +V-] +p2026 +tp2027 +a(g7 +g984 +tp2028 +a(g373 +V{+ +p2029 +tp2030 +a(g373 +Voverriding +p2031 +tp2032 +a(g373 +V+} +p2033 +tp2034 +a(g7 +V the `get_tokens_unprocessed()` +p2035 +tp2036 +a(g333 +V[- +p2037 +tp2038 +a(g333 +Vmethod:\u000a\u000a .. sourcecode:: python +p2039 +tp2040 +a(g333 +V-] +p2041 +tp2042 +a(g7 +g984 +tp2043 +a(g373 +V{+ +p2044 +tp2045 +a(g373 +Vmethod:: +p2046 +tp2047 +a(g373 +V+} +p2048 +tp2049 +a(g7 +V\u000a\u000a from pygments.lexer import RegexLexer\u000a\u000a class +p2050 +tp2051 +a(g333 +V[- +p2052 +tp2053 +a(g333 +VMyLexer(RegexLexer): +p2054 +tp2055 +a(g333 +V-] +p2056 +tp2057 +a(g7 +g984 +tp2058 +a(g373 +V{+ +p2059 +tp2060 +a(g373 +VExampleLexer(RegexLexer): +p2061 +tp2062 +a(g373 +V+} +p2063 +tp2064 +a(g7 +V\u000a tokens = +p2065 +tp2066 +a(g7 +g1156 +tp2067 +a(g7 +V... +p2068 +tp2069 +a(g7 +g1173 +tp2070 +a(g7 +V\u000a\u000a def get_tokens_unprocessed(self, +p2071 +tp2072 +a(g333 +V[- +p2073 +tp2074 +a(g333 +Vtext):\u000a stack = +p2075 +tp2076 +a(g333 +g1142 +tp2077 +a(g333 +V'root', 'otherstate' +p2078 +tp2079 +a(g333 +g1146 +tp2080 +a(g333 +V-] +p2081 +tp2082 +a(g7 +g984 +tp2083 +a(g373 +V{+ +p2084 +tp2085 +a(g373 +Vtext, stack=('root', 'otherstate')): +p2086 +tp2087 +a(g373 +V+} +p2088 +tp2089 +a(g7 +V\u000a for item in RegexLexer.get_tokens_unprocessed(text, stack):\u000a yield item\u000a\u000a Some lexers like the `PhpLexer` use this to make the leading ``', Name.Tag),\u000a +p2383 +tp2384 +a(g7 +g1146 +tp2385 +a(g7 +V,\u000a 'script +p2386 +tp2387 +a(g7 +g958 +tp2388 +a(g7 +Vcontent': +p2389 +tp2390 +a(g7 +g1142 +tp2391 +a(g7 +V\u000a (r'(. +p2392 +tp2393 +a(g7 +g1163 +tp2394 +a(g7 +V?)(<\u005cs*/\u005cs*script\u005cs*>)',\u000a bygroups(using(JavascriptLexer), Name.Tag),\u000a '#pop'),\u000a +p2395 +tp2396 +a(g7 +g1146 +tp2397 +a(g7 +V\u000a +p2398 +tp2399 +a(g7 +g1173 +tp2400 +a(g7 +V\u000a\u000aHere the content of a ```` end tag is processed by the `JavascriptLexer`,\u000awhile the end tag is yielded as a normal token with the `Name.Tag` type.\u000a\u000a +p2401 +tp2402 +a(g333 +V[- +p2403 +tp2404 +a(g333 +VAs an additional goodie, if the lexer class is replaced by `this` (imported from\u000a`pygments.lexer`), the "other" lexer will be the current one (because you cannot\u000arefer to the current class within the code that runs at class definition time). +p2405 +tp2406 +a(g333 +V-] +p2407 +tp2408 +a(g7 +V\u000a\u000aAlso note the ``(r'<\u005cs*script\u005cs*', Name.Tag, ('script +p2409 +tp2410 +a(g7 +g958 +tp2411 +a(g7 +Vcontent', 'tag'))`` rule.\u000aHere, two states are pushed onto the state stack, ``'script +p2412 +tp2413 +a(g7 +g958 +tp2414 +a(g7 +Vcontent'`` and\u000a``'tag'``. That means that first ``'tag'`` is processed, which will +p2415 +tp2416 +a(g333 +V[- +p2417 +tp2418 +a(g333 +Vparse +p2419 +tp2420 +a(g333 +V-] +p2421 +tp2422 +a(g7 +g984 +tp2423 +a(g373 +V{+ +p2424 +tp2425 +a(g373 +Vlex +p2426 +tp2427 +a(g373 +V+} +p2428 +tp2429 +a(g7 +V\u000aattributes and the closing ``>``, then the ``'tag'`` state is popped and the\u000anext state on top of the stack will be ``'script +p2430 +tp2431 +a(g7 +g958 +tp2432 +a(g7 +Vcontent'``.\u000a\u000a +p2433 +tp2434 +a(g373 +V{+ +p2435 +tp2436 +a(g373 +VSince you cannot refer to the class currently being defined, use `this`\u000a(imported from `pygments.lexer`) to refer to the current lexer class, i.e.\u000a``using(this)``. This construct may seem unnecessary, but this is often the\u000amost obvious way of lexing arbitrary syntax between fixed delimiters without\u000aintroducing deeply nested states. +p2437 +tp2438 +a(g373 +V+} +p2439 +tp2440 +a(g7 +V\u000a\u000aThe `using()` helper has a special keyword argument, `state`, which works as\u000afollows: if given, the lexer to use initially is not in the ``"root"`` state,\u000abut in the state given by this argument. This +p2441 +tp2442 +a(g333 +V[- +p2443 +tp2444 +a(g333 +V*only* works +p2445 +tp2446 +a(g333 +V-] +p2447 +tp2448 +a(g7 +g984 +tp2449 +a(g373 +V{+ +p2450 +tp2451 +a(g373 +Vdoes not work +p2452 +tp2453 +a(g373 +V+} +p2454 +tp2455 +a(g7 +V with +p2456 +tp2457 +a(g333 +V[- +p2458 +tp2459 +a(g333 +Va `RegexLexer`. +p2460 +tp2461 +a(g333 +V-] +p2462 +tp2463 +a(g7 +g984 +tp2464 +a(g373 +V{+ +p2465 +tp2466 +a(g373 +Vadvanced\u000a`RegexLexer` subclasses such as `ExtendedRegexLexer` (see below). +p2467 +tp2468 +a(g373 +V+} +p2469 +tp2470 +a(g7 +V\u000a\u000aAny other keywords arguments passed to `using()` are added to the keyword\u000aarguments used to create the lexer.\u000a\u000a\u000aDelegating Lexer\u000a================\u000a\u000aAnother approach for nested lexers is the `DelegatingLexer` which is for example\u000aused for the template engine lexers. It takes two lexers as arguments on\u000ainitialisation: a `root_lexer` and a `language_lexer`.\u000a\u000aThe input is processed as follows: First, the whole text is lexed with the\u000a`language_lexer`. All tokens yielded with +p2471 +tp2472 +a(g333 +V[- +p2473 +tp2474 +a(g333 +g1187 +tp2475 +a(g333 +V-] +p2476 +tp2477 +a(g7 +g984 +tp2478 +a(g373 +V{+ +p2479 +tp2480 +a(g373 +Vthe special +p2481 +tp2482 +a(g373 +V+} +p2483 +tp2484 +a(g7 +V type of ``Other`` are\u000athen concatenated and given to the `root_lexer`. The language tokens of the\u000a`language_lexer` are then inserted into the `root_lexer`'s token stream at the\u000aappropriate positions.\u000a\u000a +p2485 +tp2486 +a(g333 +V[- +p2487 +tp2488 +a(g333 +V.. sourcecode:: python +p2489 +tp2490 +a(g333 +V-] +p2491 +tp2492 +a(g7 +g984 +tp2493 +a(g373 +V{+ +p2494 +tp2495 +a(g373 +V:: +p2496 +tp2497 +a(g373 +V+} +p2498 +tp2499 +a(g7 +V\u000a\u000a from pygments.lexer import DelegatingLexer\u000a from pygments.lexers.web import HtmlLexer, PhpLexer\u000a\u000a class HtmlPhpLexer(DelegatingLexer):\u000a def __init__(self, **options):\u000a super(HtmlPhpLexer, self).__init__(HtmlLexer, PhpLexer, **options)\u000a\u000aThis procedure ensures that e.g. HTML with template tags in it is highlighted\u000acorrectly even if the template tags are put into HTML tags or attributes.\u000a\u000aIf you want to change the needle token ``Other`` to something else, you can give\u000athe lexer another token type as the third +p2500 +tp2501 +a(g333 +V[- +p2502 +tp2503 +a(g333 +Vparameter:\u000a\u000a.. sourcecode:: python +p2504 +tp2505 +a(g333 +V-] +p2506 +tp2507 +a(g7 +g984 +tp2508 +a(g373 +V{+ +p2509 +tp2510 +a(g373 +Vparameter:: +p2511 +tp2512 +a(g373 +V+} +p2513 +tp2514 +a(g7 +V\u000a\u000a DelegatingLexer.__init__(MyLexer, OtherLexer, Text, **options)\u000a\u000a\u000aCallbacks\u000a=========\u000a\u000aSometimes the grammar of a language is so complex that a lexer would be unable\u000ato +p2515 +tp2516 +a(g333 +V[- +p2517 +tp2518 +a(g333 +Vparse +p2519 +tp2520 +a(g333 +V-] +p2521 +tp2522 +a(g7 +g984 +tp2523 +a(g373 +V{+ +p2524 +tp2525 +a(g373 +Vprocess +p2526 +tp2527 +a(g373 +V+} +p2528 +tp2529 +a(g7 +V it just by using regular expressions and stacks.\u000a\u000aFor this, the `RegexLexer` allows callbacks to be given in rule tuples, instead\u000aof token types (`bygroups` and `using` are nothing else but preimplemented\u000acallbacks). The callback must be a function taking two arguments:\u000a\u000a* the lexer itself\u000a* the match object for the last matched rule\u000a\u000aThe callback must then return an iterable of (or simply yield) ``(index,\u000atokentype, value)`` tuples, which are then just passed through by\u000a`get_tokens_unprocessed()`. The ``index`` here is the position of the token in\u000athe input string, ``tokentype`` is the normal token type (like `Name.Builtin`),\u000aand ``value`` the associated part of the input string.\u000a\u000aYou can see an example +p2530 +tp2531 +a(g333 +V[- +p2532 +tp2533 +a(g333 +Vhere:\u000a\u000a.. sourcecode:: python +p2534 +tp2535 +a(g333 +V-] +p2536 +tp2537 +a(g7 +g984 +tp2538 +a(g373 +V{+ +p2539 +tp2540 +a(g373 +Vhere:: +p2541 +tp2542 +a(g373 +V+} +p2543 +tp2544 +a(g7 +V\u000a\u000a from pygments.lexer import RegexLexer\u000a from pygments.token import Generic\u000a\u000a class HypotheticLexer(RegexLexer):\u000a\u000a def headline_callback(lexer, match):\u000a equal_signs = match.group(1)\u000a text = match.group(2)\u000a yield match.start(), Generic.Headline, equal_signs +p2545 +tp2546 +a(g7 +g1163 +tp2547 +a(g7 +V text +p2548 +tp2549 +a(g7 +g1163 +tp2550 +a(g7 +V equal_signs\u000a\u000a tokens = +p2551 +tp2552 +a(g7 +g1156 +tp2553 +a(g7 +V\u000a 'root': +p2554 +tp2555 +a(g7 +g1142 +tp2556 +a(g7 +V\u000a (r'(= +p2557 +tp2558 +a(g7 +g1163 +tp2559 +a(g7 +V)(.*?)(\u005c1)', headline_callback)\u000a +p2560 +tp2561 +a(g7 +g1146 +tp2562 +a(g7 +V\u000a +p2563 +tp2564 +a(g7 +g1173 +tp2565 +a(g7 +V\u000a\u000aIf the regex for the `headline_callback` matches, the function is called with\u000athe match object. Note that after the callback is done, processing continues\u000anormally, that is, after the end of the previous match. The callback has no\u000apossibility to influence the position.\u000a\u000aThere are not really any simple examples for lexer callbacks, but you can see\u000athem in action e.g. in the +p2566 +tp2567 +a(g333 +V[- +p2568 +tp2569 +a(g333 +V`compiled.py`_ source code +p2570 +tp2571 +a(g333 +V-] +p2572 +tp2573 +a(g7 +g984 +tp2574 +a(g373 +V{+ +p2575 +tp2576 +a(g373 +V`SMLLexer` class +p2577 +tp2578 +a(g373 +V+} +p2579 +tp2580 +a(g7 +V in +p2581 +tp2582 +a(g333 +V[- +p2583 +tp2584 +a(g333 +Vthe `CLexer` and\u000a`JavaLexer` classes. +p2585 +tp2586 +a(g333 +V-] +p2587 +tp2588 +a(g7 +g984 +tp2589 +a(g373 +V{+ +p2590 +tp2591 +a(g373 +V`ml.py`_. +p2592 +tp2593 +a(g373 +V+} +p2594 +tp2595 +a(g7 +V\u000a\u000a.. +p2596 +tp2597 +a(g333 +V[- +p2598 +tp2599 +a(g333 +V_compiled.py: http://bitbucket.org/birkenfeld/pygments +p2600 +tp2601 +a(g333 +g958 +tp2602 +a(g333 +Vmain/src/tip/pygments/lexers/compiled.py +p2603 +tp2604 +a(g333 +V-] +p2605 +tp2606 +a(g7 +g984 +tp2607 +a(g373 +V{+ +p2608 +tp2609 +a(g373 +V_ml.py: http://bitbucket.org/birkenfeld/pygments +p2610 +tp2611 +a(g373 +g958 +tp2612 +a(g373 +Vmain/src/tip/pygments/lexers/ml.py +p2613 +tp2614 +a(g373 +V+} +p2615 +tp2616 +a(g7 +V\u000a\u000a\u000aThe ExtendedRegexLexer class\u000a============================\u000a\u000aThe `RegexLexer`, even with callbacks, unfortunately isn't powerful enough for\u000athe funky syntax rules of +p2617 +tp2618 +a(g333 +V[- +p2619 +tp2620 +a(g333 +Vsome +p2621 +tp2622 +a(g333 +V-] +p2623 +tp2624 +a(g7 +V languages +p2625 +tp2626 +a(g333 +V[- +p2627 +tp2628 +a(g333 +Vthat will go unnamed, +p2629 +tp2630 +a(g333 +V-] +p2631 +tp2632 +a(g7 +V such as Ruby.\u000a\u000aBut fear not; even then you don't have to abandon the regular expression\u000a +p2633 +tp2634 +a(g333 +V[- +p2635 +tp2636 +a(g333 +Vapproach. For +p2637 +tp2638 +a(g333 +V-] +p2639 +tp2640 +a(g7 +V\u000a +p2641 +tp2642 +a(g373 +V{+ +p2643 +tp2644 +a(g373 +Vapproach: +p2645 +tp2646 +a(g373 +V+} +p2647 +tp2648 +a(g7 +V Pygments has a subclass of `RegexLexer`, the `ExtendedRegexLexer`.\u000aAll features known from RegexLexers are available here too, and the tokens are\u000aspecified in exactly the same way, *except* for one detail:\u000a\u000aThe `get_tokens_unprocessed()` method holds its internal state data not as local\u000avariables, but in an instance of the `pygments.lexer.LexerContext` class, and\u000athat instance is passed to callbacks as a third argument. This means that you\u000acan modify the lexer state in callbacks.\u000a\u000aThe `LexerContext` class has the following members:\u000a\u000a* `text` +p2649 +tp2650 +a(g7 +g958 +tp2651 +a(g7 +g958 +tp2652 +a(g7 +V the input text\u000a* `pos` +p2653 +tp2654 +a(g7 +g958 +tp2655 +a(g7 +g958 +tp2656 +a(g7 +V the current starting position that is used for matching regexes\u000a* `stack` +p2657 +tp2658 +a(g7 +g958 +tp2659 +a(g7 +g958 +tp2660 +a(g7 +V a list containing the state stack\u000a* `end` +p2661 +tp2662 +a(g7 +g958 +tp2663 +a(g7 +g958 +tp2664 +a(g7 +V the maximum position to which regexes are matched, this defaults to\u000a the length of `text`\u000a\u000aAdditionally, the `get_tokens_unprocessed()` method can be given a\u000a`LexerContext` instead of a string and will then process this context instead of\u000acreating a new one for the string argument.\u000a\u000aNote that because you can set the current position to anything in the callback,\u000ait won't be automatically be set by the caller after the callback is finished.\u000aFor example, this is how the hypothetical lexer above would be written with the\u000a +p2665 +tp2666 +a(g333 +V[- +p2667 +tp2668 +a(g333 +V`ExtendedRegexLexer`:\u000a\u000a.. sourcecode:: python +p2669 +tp2670 +a(g333 +V-] +p2671 +tp2672 +a(g7 +V\u000a +p2673 +tp2674 +a(g373 +V{+ +p2675 +tp2676 +a(g373 +V`ExtendedRegexLexer`:: +p2677 +tp2678 +a(g373 +V+} +p2679 +tp2680 +a(g7 +V\u000a\u000a from pygments.lexer import ExtendedRegexLexer\u000a from pygments.token import Generic\u000a\u000a class ExHypotheticLexer(ExtendedRegexLexer):\u000a\u000a def headline_callback(lexer, match, ctx):\u000a equal_signs = match.group(1)\u000a text = match.group(2)\u000a yield match.start(), Generic.Headline, equal_signs +p2681 +tp2682 +a(g7 +g1163 +tp2683 +a(g7 +V text +p2684 +tp2685 +a(g7 +g1163 +tp2686 +a(g7 +V equal_signs\u000a ctx.pos = match.end()\u000a\u000a tokens = +p2687 +tp2688 +a(g7 +g1156 +tp2689 +a(g7 +V\u000a 'root': +p2690 +tp2691 +a(g7 +g1142 +tp2692 +a(g7 +V\u000a (r'(= +p2693 +tp2694 +a(g7 +g1163 +tp2695 +a(g7 +V)(.*?)(\u005c1)', headline_callback)\u000a +p2696 +tp2697 +a(g7 +g1146 +tp2698 +a(g7 +V\u000a +p2699 +tp2700 +a(g7 +g1173 +tp2701 +a(g7 +V\u000a\u000aThis might sound confusing (and it can really be). But it is needed, and for an\u000aexample look at the Ruby lexer in +p2702 +tp2703 +a(g333 +V[- +p2704 +tp2705 +a(g333 +V`agile.py`_. +p2706 +tp2707 +a(g333 +V-] +p2708 +tp2709 +a(g7 +g984 +tp2710 +a(g373 +V{+ +p2711 +tp2712 +a(g373 +V`ruby.py`_. +p2713 +tp2714 +a(g373 +V+} +p2715 +tp2716 +a(g7 +V\u000a\u000a.. +p2717 +tp2718 +a(g333 +V[- +p2719 +tp2720 +a(g333 +V_agile.py: https://bitbucket.org/birkenfeld/pygments +p2721 +tp2722 +a(g333 +g958 +tp2723 +a(g333 +Vmain/src/tip/pygments/lexers/agile.py\u000a\u000a\u000aFiltering +p2724 +tp2725 +a(g333 +V-] +p2726 +tp2727 +a(g7 +g984 +tp2728 +a(g373 +V{+ +p2729 +tp2730 +a(g373 +V_ruby.py: https://bitbucket.org/birkenfeld/pygments +p2731 +tp2732 +a(g373 +g958 +tp2733 +a(g373 +Vmain/src/tip/pygments/lexers/ruby.py\u000a\u000a\u000aHandling Lists of Keywords\u000a==========================\u000a\u000aFor a relatively short list (hundreds) you can construct an optimized regular\u000aexpression directly using ``words()`` (longer lists, see next section). This\u000afunction handles a few things for you automatically, including escaping\u000ametacharacters and Python's first +p2734 +tp2735 +a(g373 +g958 +tp2736 +a(g373 +Vmatch rather than longest +p2737 +tp2738 +a(g373 +g958 +tp2739 +a(g373 +Vmatch in\u000aalternations. Feel free to put the lists themselves in\u000a``pygments/lexers/_$lang_builtins.py`` (see examples there), and generated by\u000acode if possible.\u000a\u000aAn example of using ``words()`` is something like::\u000a\u000a from pygments.lexer import RegexLexer, words, Name\u000a\u000a class MyLexer(RegexLexer):\u000a\u000a tokens = +p2740 +tp2741 +a(g373 +g1156 +tp2742 +a(g373 +V\u000a 'root': +p2743 +tp2744 +a(g373 +g1142 +tp2745 +a(g373 +V\u000a (words(('else', 'elseif'), suffix=r'\u005cb'), Name.Builtin),\u000a (r'\u005cw +p2746 +tp2747 +a(g373 +g1163 +tp2748 +a(g373 +V', Name),\u000a +p2749 +tp2750 +a(g373 +g1146 +tp2751 +a(g373 +V,\u000a +p2752 +tp2753 +a(g373 +g1173 +tp2754 +a(g373 +V\u000a\u000aAs you can see, you can add ``prefix`` and ``suffix`` parts to the constructed\u000aregex.\u000a\u000a\u000aModifying +p2755 +tp2756 +a(g373 +V+} +p2757 +tp2758 +a(g7 +V Token Streams\u000a=======================\u000a\u000aSome languages ship a lot of builtin functions (for example PHP). The total\u000aamount of those functions differs from system to system because not everybody\u000ahas every extension installed. In the case of PHP there are over 3000 builtin\u000afunctions. That's an +p2759 +tp2760 +a(g333 +V[- +p2761 +tp2762 +a(g333 +Vincredible +p2763 +tp2764 +a(g333 +V-] +p2765 +tp2766 +a(g7 +g984 +tp2767 +a(g373 +V{+ +p2768 +tp2769 +a(g373 +Vincredibly +p2770 +tp2771 +a(g373 +V+} +p2772 +tp2773 +a(g7 +V huge amount of functions, much more than you\u000a +p2774 +tp2775 +a(g333 +V[- +p2776 +tp2777 +a(g333 +Vcan +p2778 +tp2779 +a(g333 +V-] +p2780 +tp2781 +a(g7 +V\u000a +p2782 +tp2783 +a(g373 +V{+ +p2784 +tp2785 +a(g373 +Vwant to +p2786 +tp2787 +a(g373 +V+} +p2788 +tp2789 +a(g7 +V put into a regular expression.\u000a\u000aBut because only `Name` tokens can be function names +p2790 +tp2791 +a(g333 +V[- +p2792 +tp2793 +a(g333 +Vit's +p2794 +tp2795 +a(g333 +V-] +p2796 +tp2797 +a(g7 +g984 +tp2798 +a(g373 +V{+ +p2799 +tp2800 +a(g373 +Vthis is +p2801 +tp2802 +a(g373 +V+} +p2803 +tp2804 +a(g7 +V solvable by\u000aoverriding the ``get_tokens_unprocessed()`` method. The following lexer\u000asubclasses the `PythonLexer` so that it highlights some additional names as\u000apseudo +p2805 +tp2806 +a(g333 +V[- +p2807 +tp2808 +a(g333 +Vkeywords:\u000a\u000a.. sourcecode:: python +p2809 +tp2810 +a(g333 +V-] +p2811 +tp2812 +a(g7 +g984 +tp2813 +a(g373 +V{+ +p2814 +tp2815 +a(g373 +Vkeywords:: +p2816 +tp2817 +a(g373 +V+} +p2818 +tp2819 +a(g7 +V\u000a\u000a from +p2820 +tp2821 +a(g333 +V[- +p2822 +tp2823 +a(g333 +Vpygments.lexers.agile +p2824 +tp2825 +a(g333 +V-] +p2826 +tp2827 +a(g7 +g984 +tp2828 +a(g373 +V{+ +p2829 +tp2830 +a(g373 +Vpygments.lexers.python +p2831 +tp2832 +a(g373 +V+} +p2833 +tp2834 +a(g7 +V import PythonLexer\u000a from pygments.token import Name, Keyword\u000a\u000a class MyPythonLexer(PythonLexer):\u000a EXTRA_KEYWORDS = +p2835 +tp2836 +a(g333 +V[- +p2837 +tp2838 +a(g333 +g1142 +tp2839 +a(g333 +V'foo', +p2840 +tp2841 +a(g333 +V-] +p2842 +tp2843 +a(g7 +g984 +tp2844 +a(g373 +V{+ +p2845 +tp2846 +a(g373 +Vset(('foo', +p2847 +tp2848 +a(g373 +V+} +p2849 +tp2850 +a(g7 +V 'bar', 'foobar', 'barfoo', 'spam', +p2851 +tp2852 +a(g333 +V[- +p2853 +tp2854 +a(g333 +V'eggs' +p2855 +tp2856 +a(g333 +g1146 +tp2857 +a(g333 +V-] +p2858 +tp2859 +a(g7 +g984 +tp2860 +a(g373 +V{+ +p2861 +tp2862 +a(g373 +V'eggs')) +p2863 +tp2864 +a(g373 +V+} +p2865 +tp2866 +a(g7 +V\u000a\u000a def get_tokens_unprocessed(self, text):\u000a for index, token, value in PythonLexer.get_tokens_unprocessed(self, text):\u000a if token is Name and value in self.EXTRA_KEYWORDS:\u000a yield index, Keyword.Pseudo, value\u000a else:\u000a yield index, token, value\u000a\u000aThe `PhpLexer` and `LuaLexer` use this method to resolve builtin functions.\u000a\u000a +p2867 +tp2868 +a(g333 +V[- +p2869 +tp2870 +a(g333 +V.. note:: Do not confuse this with the :doc:`filter ` system. +p2871 +tp2872 +a(g333 +V-] +p2873 +tp2874 +a(g7 +V\u000a +p2875 +tp2876 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/wdiff_example3.wdiff b/tests/examplefiles/output/wdiff_example3.wdiff new file mode 100644 index 0000000..75d0c19 --- /dev/null +++ b/tests/examplefiles/output/wdiff_example3.wdiff @@ -0,0 +1,2418 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Text' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Beer' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsS'Whitespace' +p20 +g1 +(g2 +g3 +(g4 +g20 +tp21 +tp22 +Rp23 +(dp24 +g14 +g7 +sg15 +g16 +((lp25 +tp26 +Rp27 +sbsg14 +g1 +(g2 +g3 +(ttp28 +Rp29 +(dp30 +S'Number' +p31 +g1 +(g2 +g3 +(S'Literal' +p32 +g31 +tp33 +tp34 +Rp35 +(dp36 +S'Integer' +p37 +g1 +(g2 +g3 +(g32 +g31 +g37 +tp38 +tp39 +Rp40 +(dp41 +g14 +g35 +sS'Long' +p42 +g1 +(g2 +g3 +(g32 +g31 +g37 +g42 +tp43 +tp44 +Rp45 +(dp46 +g14 +g40 +sg15 +g16 +((lp47 +tp48 +Rp49 +sbsg15 +g16 +((lp50 +g45 +atp51 +Rp52 +sbsg14 +g1 +(g2 +g3 +(g32 +tp53 +tp54 +Rp55 +(dp56 +S'Scalar' +p57 +g1 +(g2 +g3 +(g32 +g57 +tp58 +tp59 +Rp60 +(dp61 +g14 +g55 +sg15 +g16 +((lp62 +g1 +(g2 +g3 +(g32 +g57 +S'Plain' +p63 +tp64 +tp65 +Rp66 +(dp67 +g14 +g60 +sg15 +g16 +((lp68 +tp69 +Rp70 +sbatp71 +Rp72 +sg63 +g66 +sbsg31 +g35 +sg14 +g29 +sS'Other' +p73 +g1 +(g2 +g3 +(g32 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g55 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'Char' +p81 +g1 +(g2 +g3 +(g32 +g81 +tp82 +tp83 +Rp84 +(dp85 +g14 +g55 +sg15 +g16 +((lp86 +tp87 +Rp88 +sbsS'String' +p89 +g1 +(g2 +g3 +(g32 +g89 +tp90 +tp91 +Rp92 +(dp93 +g81 +g1 +(g2 +g3 +(g32 +g89 +g81 +tp94 +tp95 +Rp96 +(dp97 +g14 +g92 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Backtick' +p101 +g1 +(g2 +g3 +(g32 +g89 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g92 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Heredoc' +p109 +g1 +(g2 +g3 +(g32 +g89 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g92 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Symbol' +p117 +g1 +(g2 +g3 +(g32 +g89 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g92 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Interpol' +p125 +g1 +(g2 +g3 +(g32 +g89 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g92 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Delimiter' +p133 +g1 +(g2 +g3 +(g32 +g89 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g92 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Boolean' +p141 +g1 +(g2 +g3 +(g32 +g89 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g92 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Character' +p149 +g1 +(g2 +g3 +(g32 +g89 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g92 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Double' +p157 +g1 +(g2 +g3 +(g32 +g89 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g92 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Delimeter' +p165 +g1 +(g2 +g3 +(g32 +g89 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g92 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Atom' +p173 +g1 +(g2 +g3 +(g32 +g89 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g92 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Affix' +p181 +g1 +(g2 +g3 +(g32 +g89 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g92 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Name' +p189 +g1 +(g2 +g3 +(g32 +g89 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g92 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Regex' +p197 +g1 +(g2 +g3 +(g32 +g89 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g92 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Interp' +p205 +g1 +(g2 +g3 +(g32 +g89 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g92 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsS'Escape' +p213 +g1 +(g2 +g3 +(g32 +g89 +g213 +tp214 +tp215 +Rp216 +(dp217 +g14 +g92 +sg15 +g16 +((lp218 +tp219 +Rp220 +sbsg15 +g16 +((lp221 +g136 +ag120 +ag200 +ag1 +(g2 +g3 +(g32 +g89 +S'Doc' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g92 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag152 +ag144 +ag160 +ag128 +ag176 +ag168 +ag192 +ag216 +ag1 +(g2 +g3 +(g32 +g89 +S'Single' +p230 +tp231 +tp232 +Rp233 +(dp234 +g14 +g92 +sg15 +g16 +((lp235 +tp236 +Rp237 +sbag1 +(g2 +g3 +(g32 +g89 +g73 +tp238 +tp239 +Rp240 +(dp241 +g14 +g92 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag208 +ag104 +ag184 +ag1 +(g2 +g3 +(g32 +g89 +S'Moment' +p245 +tp246 +tp247 +Rp248 +(dp249 +g14 +g92 +sg15 +g16 +((lp250 +tp251 +Rp252 +sbag96 +ag112 +atp253 +Rp254 +sg230 +g233 +sg245 +g248 +sg14 +g55 +sg73 +g240 +sg222 +g225 +sbsg15 +g16 +((lp255 +g92 +ag84 +ag76 +ag1 +(g2 +g3 +(g32 +S'Date' +p256 +tp257 +tp258 +Rp259 +(dp260 +g14 +g55 +sg15 +g16 +((lp261 +tp262 +Rp263 +sbag60 +ag35 +atp264 +Rp265 +sg256 +g259 +sbsS'Bin' +p266 +g1 +(g2 +g3 +(g32 +g31 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g35 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Radix' +p274 +g1 +(g2 +g3 +(g32 +g31 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g35 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Oct' +p282 +g1 +(g2 +g3 +(g32 +g31 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g35 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Dec' +p290 +g1 +(g2 +g3 +(g32 +g31 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g35 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsS'Hex' +p298 +g1 +(g2 +g3 +(g32 +g31 +g298 +tp299 +tp300 +Rp301 +(dp302 +g14 +g35 +sg15 +g16 +((lp303 +tp304 +Rp305 +sbsg15 +g16 +((lp306 +g40 +ag277 +ag293 +ag1 +(g2 +g3 +(g32 +g31 +S'Decimal' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g35 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag269 +ag1 +(g2 +g3 +(g32 +g31 +S'Float' +p315 +tp316 +tp317 +Rp318 +(dp319 +g14 +g35 +sg15 +g16 +((lp320 +tp321 +Rp322 +sbag285 +ag301 +atp323 +Rp324 +sg307 +g310 +sg315 +g318 +sbsS'Generic' +p325 +g1 +(g2 +g3 +(g325 +tp326 +tp327 +Rp328 +(dp329 +g14 +g29 +sS'Deleted' +p330 +g1 +(g2 +g3 +(g325 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g328 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Subheading' +p338 +g1 +(g2 +g3 +(g325 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g328 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Heading' +p346 +g1 +(g2 +g3 +(g325 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g328 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Emph' +p354 +g1 +(g2 +g3 +(g325 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g328 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Prompt' +p362 +g1 +(g2 +g3 +(g325 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g328 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Inserted' +p370 +g1 +(g2 +g3 +(g325 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g328 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Strong' +p378 +g1 +(g2 +g3 +(g325 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g328 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Error' +p386 +g1 +(g2 +g3 +(g325 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g328 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsS'Traceback' +p394 +g1 +(g2 +g3 +(g325 +g394 +tp395 +tp396 +Rp397 +(dp398 +g14 +g328 +sg15 +g16 +((lp399 +tp400 +Rp401 +sbsg15 +g16 +((lp402 +g349 +ag341 +ag1 +(g2 +g3 +(g325 +S'Output' +p403 +tp404 +tp405 +Rp406 +(dp407 +g14 +g328 +sg15 +g16 +((lp408 +tp409 +Rp410 +sbag381 +ag357 +ag389 +ag397 +ag373 +ag365 +ag333 +atp411 +Rp412 +sg403 +g406 +sbsS'Operator' +p413 +g1 +(g2 +g3 +(g413 +tp414 +tp415 +Rp416 +(dp417 +g14 +g29 +sS'DBS' +p418 +g1 +(g2 +g3 +(g413 +g418 +tp419 +tp420 +Rp421 +(dp422 +g14 +g416 +sg15 +g16 +((lp423 +tp424 +Rp425 +sbsg15 +g16 +((lp426 +g421 +ag1 +(g2 +g3 +(g413 +S'Word' +p427 +tp428 +tp429 +Rp430 +(dp431 +g14 +g416 +sg15 +g16 +((lp432 +tp433 +Rp434 +sbatp435 +Rp436 +sg427 +g430 +sbsg89 +g92 +sg189 +g1 +(g2 +g3 +(g189 +tp437 +tp438 +Rp439 +(dp440 +S'Variable' +p441 +g1 +(g2 +g3 +(g189 +g441 +tp442 +tp443 +Rp444 +(dp445 +g14 +g439 +sS'Class' +p446 +g1 +(g2 +g3 +(g189 +g441 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g444 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Anonymous' +p454 +g1 +(g2 +g3 +(g189 +g441 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g444 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Instance' +p462 +g1 +(g2 +g3 +(g189 +g441 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g444 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsS'Global' +p470 +g1 +(g2 +g3 +(g189 +g441 +g470 +tp471 +tp472 +Rp473 +(dp474 +g14 +g444 +sg15 +g16 +((lp475 +tp476 +Rp477 +sbsg15 +g16 +((lp478 +g457 +ag465 +ag1 +(g2 +g3 +(g189 +g441 +S'Magic' +p479 +tp480 +tp481 +Rp482 +(dp483 +g14 +g444 +sg15 +g16 +((lp484 +tp485 +Rp486 +sbag473 +ag449 +atp487 +Rp488 +sg479 +g482 +sbsg413 +g1 +(g2 +g3 +(g189 +g413 +tp489 +tp490 +Rp491 +(dp492 +g14 +g439 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Decorator' +p496 +g1 +(g2 +g3 +(g189 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g439 +sg15 +g16 +((lp501 +tp502 +Rp503 +sbsS'Entity' +p504 +g1 +(g2 +g3 +(g189 +g504 +tp505 +tp506 +Rp507 +(dp508 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g504 +g418 +tp509 +tp510 +Rp511 +(dp512 +g14 +g507 +sg15 +g16 +((lp513 +tp514 +Rp515 +sbsg15 +g16 +((lp516 +g511 +atp517 +Rp518 +sbsg117 +g1 +(g2 +g3 +(g189 +g117 +tp519 +tp520 +Rp521 +(dp522 +g14 +g439 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Property' +p526 +g1 +(g2 +g3 +(g189 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g439 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Pseudo' +p534 +g1 +(g2 +g3 +(g189 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g439 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Type' +p542 +g1 +(g2 +g3 +(g189 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g439 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Classes' +p550 +g1 +(g2 +g3 +(g189 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g439 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Tag' +p558 +g1 +(g2 +g3 +(g189 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g439 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Constant' +p566 +g1 +(g2 +g3 +(g189 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g439 +sg15 +g16 +((lp571 +tp572 +Rp573 +sbsS'Function' +p574 +g1 +(g2 +g3 +(g189 +g574 +tp575 +tp576 +Rp577 +(dp578 +g14 +g439 +sg15 +g16 +((lp579 +g1 +(g2 +g3 +(g189 +g574 +g479 +tp580 +tp581 +Rp582 +(dp583 +g14 +g577 +sg15 +g16 +((lp584 +tp585 +Rp586 +sbatp587 +Rp588 +sg479 +g582 +sbsS'Blubb' +p589 +g1 +(g2 +g3 +(g189 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g439 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Label' +p597 +g1 +(g2 +g3 +(g189 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g439 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Field' +p605 +g1 +(g2 +g3 +(g189 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g439 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Exception' +p613 +g1 +(g2 +g3 +(g189 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g439 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsS'Namespace' +p621 +g1 +(g2 +g3 +(g189 +g621 +tp622 +tp623 +Rp624 +(dp625 +g14 +g439 +sg15 +g16 +((lp626 +tp627 +Rp628 +sbsg15 +g16 +((lp629 +g499 +ag592 +ag537 +ag507 +ag444 +ag616 +ag529 +ag561 +ag577 +ag553 +ag1 +(g2 +g3 +(g189 +g446 +tp630 +tp631 +Rp632 +(dp633 +g14 +g439 +sg418 +g1 +(g2 +g3 +(g189 +g446 +g418 +tp634 +tp635 +Rp636 +(dp637 +g14 +g632 +sg15 +g16 +((lp638 +tp639 +Rp640 +sbsg15 +g16 +((lp641 +g1 +(g2 +g3 +(g189 +g446 +S'Start' +p642 +tp643 +tp644 +Rp645 +(dp646 +g14 +g632 +sg15 +g16 +((lp647 +tp648 +Rp649 +sbag636 +atp650 +Rp651 +sg642 +g645 +sbag1 +(g2 +g3 +(g189 +g73 +tp652 +tp653 +Rp654 +(dp655 +g14 +g439 +sS'Member' +p656 +g1 +(g2 +g3 +(g189 +g73 +g656 +tp657 +tp658 +Rp659 +(dp660 +g14 +g654 +sg15 +g16 +((lp661 +tp662 +Rp663 +sbsg15 +g16 +((lp664 +g659 +atp665 +Rp666 +sbag600 +ag491 +ag624 +ag1 +(g2 +g3 +(g189 +S'Attribute' +p667 +tp668 +tp669 +Rp670 +(dp671 +g14 +g439 +sg441 +g1 +(g2 +g3 +(g189 +g667 +g441 +tp672 +tp673 +Rp674 +(dp675 +g14 +g670 +sg15 +g16 +((lp676 +tp677 +Rp678 +sbsg15 +g16 +((lp679 +g674 +atp680 +Rp681 +sbag569 +ag1 +(g2 +g3 +(g189 +S'Builtin' +p682 +tp683 +tp684 +Rp685 +(dp686 +g14 +g439 +sg542 +g1 +(g2 +g3 +(g189 +g682 +g542 +tp687 +tp688 +Rp689 +(dp690 +g14 +g685 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbsg15 +g16 +((lp694 +g1 +(g2 +g3 +(g189 +g682 +g534 +tp695 +tp696 +Rp697 +(dp698 +g14 +g685 +sg15 +g16 +((lp699 +tp700 +Rp701 +sbag689 +atp702 +Rp703 +sg534 +g697 +sbag608 +ag545 +ag521 +atp704 +Rp705 +sg14 +g29 +sg446 +g632 +sg682 +g685 +sg667 +g670 +sg73 +g654 +sbsS'Punctuation' +p706 +g1 +(g2 +g3 +(g706 +tp707 +tp708 +Rp709 +(dp710 +g14 +g29 +sg15 +g16 +((lp711 +g1 +(g2 +g3 +(g706 +S'Indicator' +p712 +tp713 +tp714 +Rp715 +(dp716 +g14 +g709 +sg15 +g16 +((lp717 +tp718 +Rp719 +sbatp720 +Rp721 +sg712 +g715 +sbsS'Comment' +p722 +g1 +(g2 +g3 +(g722 +tp723 +tp724 +Rp725 +(dp726 +S'Multi' +p727 +g1 +(g2 +g3 +(g722 +g727 +tp728 +tp729 +Rp730 +(dp731 +g14 +g725 +sg15 +g16 +((lp732 +tp733 +Rp734 +sbsg14 +g29 +sS'Special' +p735 +g1 +(g2 +g3 +(g722 +g735 +tp736 +tp737 +Rp738 +(dp739 +g14 +g725 +sg15 +g16 +((lp740 +tp741 +Rp742 +sbsS'Hashbang' +p743 +g1 +(g2 +g3 +(g722 +g743 +tp744 +tp745 +Rp746 +(dp747 +g14 +g725 +sg15 +g16 +((lp748 +tp749 +Rp750 +sbsS'Preproc' +p751 +g1 +(g2 +g3 +(g722 +g751 +tp752 +tp753 +Rp754 +(dp755 +g14 +g725 +sg15 +g16 +((lp756 +tp757 +Rp758 +sbsg230 +g1 +(g2 +g3 +(g722 +g230 +tp759 +tp760 +Rp761 +(dp762 +g14 +g725 +sg15 +g16 +((lp763 +tp764 +Rp765 +sbsS'Directive' +p766 +g1 +(g2 +g3 +(g722 +g766 +tp767 +tp768 +Rp769 +(dp770 +g14 +g725 +sg15 +g16 +((lp771 +tp772 +Rp773 +sbsg222 +g1 +(g2 +g3 +(g722 +g222 +tp774 +tp775 +Rp776 +(dp777 +g14 +g725 +sg15 +g16 +((lp778 +tp779 +Rp780 +sbsS'Singleline' +p781 +g1 +(g2 +g3 +(g722 +g781 +tp782 +tp783 +Rp784 +(dp785 +g14 +g725 +sg15 +g16 +((lp786 +tp787 +Rp788 +sbsS'Multiline' +p789 +g1 +(g2 +g3 +(g722 +g789 +tp790 +tp791 +Rp792 +(dp793 +g14 +g725 +sg15 +g16 +((lp794 +tp795 +Rp796 +sbsg15 +g16 +((lp797 +g776 +ag769 +ag746 +ag730 +ag784 +ag754 +ag792 +ag761 +ag1 +(g2 +g3 +(g722 +S'PreprocFile' +p798 +tp799 +tp800 +Rp801 +(dp802 +g14 +g725 +sg15 +g16 +((lp803 +tp804 +Rp805 +sbag1 +(g2 +g3 +(g722 +S'SingleLine' +p806 +tp807 +tp808 +Rp809 +(dp810 +g14 +g725 +sg15 +g16 +((lp811 +tp812 +Rp813 +sbag738 +atp814 +Rp815 +sg798 +g801 +sg806 +g809 +sbsg32 +g55 +sg73 +g1 +(g2 +g3 +(g73 +tp816 +tp817 +Rp818 +(dp819 +g14 +g29 +sg15 +g16 +((lp820 +tp821 +Rp822 +sbsg386 +g1 +(g2 +g3 +(g386 +tp823 +tp824 +Rp825 +(dp826 +g14 +g29 +sg15 +g16 +((lp827 +tp828 +Rp829 +sbsS'Token' +p830 +g29 +sg213 +g1 +(g2 +g3 +(g213 +tp831 +tp832 +Rp833 +(dp834 +g14 +g29 +sg15 +g16 +((lp835 +tp836 +Rp837 +sbsg15 +g16 +((lp838 +g439 +ag818 +ag1 +(g2 +g3 +(S'Keyword' +p839 +tp840 +tp841 +Rp842 +(dp843 +g14 +g29 +sg542 +g1 +(g2 +g3 +(g839 +g542 +tp844 +tp845 +Rp846 +(dp847 +g14 +g842 +sg15 +g16 +((lp848 +tp849 +Rp850 +sbsS'Control' +p851 +g1 +(g2 +g3 +(g839 +g851 +tp852 +tp853 +Rp854 +(dp855 +g14 +g842 +sg15 +g16 +((lp856 +tp857 +Rp858 +sbsg566 +g1 +(g2 +g3 +(g839 +g566 +tp859 +tp860 +Rp861 +(dp862 +g14 +g842 +sg15 +g16 +((lp863 +tp864 +Rp865 +sbsg621 +g1 +(g2 +g3 +(g839 +g621 +tp866 +tp867 +Rp868 +(dp869 +g14 +g842 +sg15 +g16 +((lp870 +tp871 +Rp872 +sbsS'PreProc' +p873 +g1 +(g2 +g3 +(g839 +g873 +tp874 +tp875 +Rp876 +(dp877 +g14 +g842 +sg15 +g16 +((lp878 +tp879 +Rp880 +sbsg534 +g1 +(g2 +g3 +(g839 +g534 +tp881 +tp882 +Rp883 +(dp884 +g14 +g842 +sg15 +g16 +((lp885 +tp886 +Rp887 +sbsS'Reserved' +p888 +g1 +(g2 +g3 +(g839 +g888 +tp889 +tp890 +Rp891 +(dp892 +g14 +g842 +sg15 +g16 +((lp893 +tp894 +Rp895 +sbsg15 +g16 +((lp896 +g868 +ag1 +(g2 +g3 +(g839 +g427 +tp897 +tp898 +Rp899 +(dp900 +g14 +g842 +sg15 +g16 +((lp901 +tp902 +Rp903 +sbag854 +ag1 +(g2 +g3 +(g839 +S'Declaration' +p904 +tp905 +tp906 +Rp907 +(dp908 +g14 +g842 +sg15 +g16 +((lp909 +tp910 +Rp911 +sbag1 +(g2 +g3 +(g839 +g839 +tp912 +tp913 +Rp914 +(dp915 +g14 +g842 +sg15 +g16 +((lp916 +tp917 +Rp918 +sbag883 +ag861 +ag846 +ag891 +ag876 +atp919 +Rp920 +sg839 +g914 +sg904 +g907 +sg427 +g899 +sbag328 +ag7 +ag416 +ag833 +ag709 +ag725 +ag825 +ag55 +atp921 +Rp922 +sg839 +g842 +sg4 +g7 +sbsS'Root' +p923 +g1 +(g2 +g3 +(g4 +g923 +tp924 +tp925 +Rp926 +(dp927 +g14 +g7 +sg15 +g16 +((lp928 +tp929 +Rp930 +sbsg117 +g1 +(g2 +g3 +(g4 +g117 +tp931 +tp932 +Rp933 +(dp934 +g14 +g7 +sg15 +g16 +((lp935 +tp936 +Rp937 +sbsg706 +g1 +(g2 +g3 +(g4 +g706 +tp938 +tp939 +Rp940 +(dp941 +g14 +g7 +sg15 +g16 +((lp942 +tp943 +Rp944 +sbsg15 +g16 +((lp945 +g926 +ag933 +ag940 +ag23 +ag12 +ag1 +(g2 +g3 +(g4 +S'Rag' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbVThis example is unbalanced open +p956 +tp957 +a(g7 +V- +p958 +tp959 +a(g7 +Vclose.\u000aWe can't treat these easily.\u000a\u000a +p960 +tp961 +a(g373 +V{+ +p962 +tp963 +a(g373 +V added? +p964 +tp965 +a(g373 +V-] +p966 +tp967 +a(g7 +V\u000a +p968 +tp969 +a(g333 +V[- +p970 +tp971 +a(g333 +V deleted? +p972 +tp973 +a(g333 +V+} +p974 +tp975 +a(g7 +V\u000a\u000asuddenly closed +p976 +tp977 +a(g7 +g958 +tp978 +a(g7 +V] +p979 +tp980 +a(g7 +V\u000asuddenly closed +p981 +tp982 +a(g7 +V+ +p983 +tp984 +a(g7 +V} +p985 +tp986 +a(g7 +V\u000a\u000a +p987 +tp988 +a(g373 +V{+ +p989 +tp990 +a(g373 +V added? +p991 +tp992 +a(g373 +V[- +p993 +tp994 +a(g373 +V deleted?\u000a +p995 +tp996 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/webkit-transition.css b/tests/examplefiles/output/webkit-transition.css new file mode 100644 index 0000000..21b2160 --- /dev/null +++ b/tests/examplefiles/output/webkit-transition.css @@ -0,0 +1,2404 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Name' +p4 +S'Tag' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Variable' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'Class' +p20 +g1 +(g2 +g3 +(g4 +g15 +g20 +tp21 +tp22 +Rp23 +(dp24 +g10 +g18 +sS'subtypes' +p25 +c__builtin__ +set +p26 +((lp27 +tp28 +Rp29 +sbsS'Anonymous' +p30 +g1 +(g2 +g3 +(g4 +g15 +g30 +tp31 +tp32 +Rp33 +(dp34 +g10 +g18 +sg25 +g26 +((lp35 +tp36 +Rp37 +sbsS'Instance' +p38 +g1 +(g2 +g3 +(g4 +g15 +g38 +tp39 +tp40 +Rp41 +(dp42 +g10 +g18 +sg25 +g26 +((lp43 +tp44 +Rp45 +sbsS'Global' +p46 +g1 +(g2 +g3 +(g4 +g15 +g46 +tp47 +tp48 +Rp49 +(dp50 +g10 +g18 +sg25 +g26 +((lp51 +tp52 +Rp53 +sbsg25 +g26 +((lp54 +g33 +ag41 +ag1 +(g2 +g3 +(g4 +g15 +S'Magic' +p55 +tp56 +tp57 +Rp58 +(dp59 +g10 +g18 +sg25 +g26 +((lp60 +tp61 +Rp62 +sbag49 +ag23 +atp63 +Rp64 +sg55 +g58 +sbsS'Operator' +p65 +g1 +(g2 +g3 +(g4 +g65 +tp66 +tp67 +Rp68 +(dp69 +g10 +g13 +sg25 +g26 +((lp70 +tp71 +Rp72 +sbsS'Decorator' +p73 +g1 +(g2 +g3 +(g4 +g73 +tp74 +tp75 +Rp76 +(dp77 +g10 +g13 +sg25 +g26 +((lp78 +tp79 +Rp80 +sbsS'Entity' +p81 +g1 +(g2 +g3 +(g4 +g81 +tp82 +tp83 +Rp84 +(dp85 +g10 +g13 +sS'DBS' +p86 +g1 +(g2 +g3 +(g4 +g81 +g86 +tp87 +tp88 +Rp89 +(dp90 +g10 +g84 +sg25 +g26 +((lp91 +tp92 +Rp93 +sbsg25 +g26 +((lp94 +g89 +atp95 +Rp96 +sbsS'Symbol' +p97 +g1 +(g2 +g3 +(g4 +g97 +tp98 +tp99 +Rp100 +(dp101 +g10 +g13 +sg25 +g26 +((lp102 +tp103 +Rp104 +sbsS'Property' +p105 +g1 +(g2 +g3 +(g4 +g105 +tp106 +tp107 +Rp108 +(dp109 +g10 +g13 +sg25 +g26 +((lp110 +tp111 +Rp112 +sbsS'Pseudo' +p113 +g1 +(g2 +g3 +(g4 +g113 +tp114 +tp115 +Rp116 +(dp117 +g10 +g13 +sg25 +g26 +((lp118 +tp119 +Rp120 +sbsS'Type' +p121 +g1 +(g2 +g3 +(g4 +g121 +tp122 +tp123 +Rp124 +(dp125 +g10 +g13 +sg25 +g26 +((lp126 +tp127 +Rp128 +sbsS'Classes' +p129 +g1 +(g2 +g3 +(g4 +g129 +tp130 +tp131 +Rp132 +(dp133 +g10 +g13 +sg25 +g26 +((lp134 +tp135 +Rp136 +sbsg5 +g8 +sS'Constant' +p137 +g1 +(g2 +g3 +(g4 +g137 +tp138 +tp139 +Rp140 +(dp141 +g10 +g13 +sg25 +g26 +((lp142 +tp143 +Rp144 +sbsS'Function' +p145 +g1 +(g2 +g3 +(g4 +g145 +tp146 +tp147 +Rp148 +(dp149 +g10 +g13 +sg25 +g26 +((lp150 +g1 +(g2 +g3 +(g4 +g145 +g55 +tp151 +tp152 +Rp153 +(dp154 +g10 +g148 +sg25 +g26 +((lp155 +tp156 +Rp157 +sbatp158 +Rp159 +sg55 +g153 +sbsS'Blubb' +p160 +g1 +(g2 +g3 +(g4 +g160 +tp161 +tp162 +Rp163 +(dp164 +g10 +g13 +sg25 +g26 +((lp165 +tp166 +Rp167 +sbsS'Label' +p168 +g1 +(g2 +g3 +(g4 +g168 +tp169 +tp170 +Rp171 +(dp172 +g10 +g13 +sg25 +g26 +((lp173 +tp174 +Rp175 +sbsS'Field' +p176 +g1 +(g2 +g3 +(g4 +g176 +tp177 +tp178 +Rp179 +(dp180 +g10 +g13 +sg25 +g26 +((lp181 +tp182 +Rp183 +sbsS'Exception' +p184 +g1 +(g2 +g3 +(g4 +g184 +tp185 +tp186 +Rp187 +(dp188 +g10 +g13 +sg25 +g26 +((lp189 +tp190 +Rp191 +sbsS'Namespace' +p192 +g1 +(g2 +g3 +(g4 +g192 +tp193 +tp194 +Rp195 +(dp196 +g10 +g13 +sg25 +g26 +((lp197 +tp198 +Rp199 +sbsg25 +g26 +((lp200 +g76 +ag163 +ag116 +ag84 +ag18 +ag187 +ag108 +ag8 +ag148 +ag132 +ag1 +(g2 +g3 +(g4 +g20 +tp201 +tp202 +Rp203 +(dp204 +g10 +g13 +sg86 +g1 +(g2 +g3 +(g4 +g20 +g86 +tp205 +tp206 +Rp207 +(dp208 +g10 +g203 +sg25 +g26 +((lp209 +tp210 +Rp211 +sbsg25 +g26 +((lp212 +g1 +(g2 +g3 +(g4 +g20 +S'Start' +p213 +tp214 +tp215 +Rp216 +(dp217 +g10 +g203 +sg25 +g26 +((lp218 +tp219 +Rp220 +sbag207 +atp221 +Rp222 +sg213 +g216 +sbag1 +(g2 +g3 +(g4 +S'Other' +p223 +tp224 +tp225 +Rp226 +(dp227 +g10 +g13 +sS'Member' +p228 +g1 +(g2 +g3 +(g4 +g223 +g228 +tp229 +tp230 +Rp231 +(dp232 +g10 +g226 +sg25 +g26 +((lp233 +tp234 +Rp235 +sbsg25 +g26 +((lp236 +g231 +atp237 +Rp238 +sbag171 +ag68 +ag195 +ag1 +(g2 +g3 +(g4 +S'Attribute' +p239 +tp240 +tp241 +Rp242 +(dp243 +g10 +g13 +sg15 +g1 +(g2 +g3 +(g4 +g239 +g15 +tp244 +tp245 +Rp246 +(dp247 +g10 +g242 +sg25 +g26 +((lp248 +tp249 +Rp250 +sbsg25 +g26 +((lp251 +g246 +atp252 +Rp253 +sbag140 +ag1 +(g2 +g3 +(g4 +S'Builtin' +p254 +tp255 +tp256 +Rp257 +(dp258 +g10 +g13 +sg121 +g1 +(g2 +g3 +(g4 +g254 +g121 +tp259 +tp260 +Rp261 +(dp262 +g10 +g257 +sg25 +g26 +((lp263 +tp264 +Rp265 +sbsg25 +g26 +((lp266 +g1 +(g2 +g3 +(g4 +g254 +g113 +tp267 +tp268 +Rp269 +(dp270 +g10 +g257 +sg25 +g26 +((lp271 +tp272 +Rp273 +sbag261 +atp274 +Rp275 +sg113 +g269 +sbag179 +ag124 +ag100 +atp276 +Rp277 +sg10 +g1 +(g2 +g3 +(ttp278 +Rp279 +(dp280 +S'Number' +p281 +g1 +(g2 +g3 +(S'Literal' +p282 +g281 +tp283 +tp284 +Rp285 +(dp286 +S'Integer' +p287 +g1 +(g2 +g3 +(g282 +g281 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g285 +sS'Long' +p292 +g1 +(g2 +g3 +(g282 +g281 +g287 +g292 +tp293 +tp294 +Rp295 +(dp296 +g10 +g290 +sg25 +g26 +((lp297 +tp298 +Rp299 +sbsg25 +g26 +((lp300 +g295 +atp301 +Rp302 +sbsg10 +g1 +(g2 +g3 +(g282 +tp303 +tp304 +Rp305 +(dp306 +S'Scalar' +p307 +g1 +(g2 +g3 +(g282 +g307 +tp308 +tp309 +Rp310 +(dp311 +g10 +g305 +sg25 +g26 +((lp312 +g1 +(g2 +g3 +(g282 +g307 +S'Plain' +p313 +tp314 +tp315 +Rp316 +(dp317 +g10 +g310 +sg25 +g26 +((lp318 +tp319 +Rp320 +sbatp321 +Rp322 +sg313 +g316 +sbsg281 +g285 +sg10 +g279 +sg223 +g1 +(g2 +g3 +(g282 +g223 +tp323 +tp324 +Rp325 +(dp326 +g10 +g305 +sg25 +g26 +((lp327 +tp328 +Rp329 +sbsS'Char' +p330 +g1 +(g2 +g3 +(g282 +g330 +tp331 +tp332 +Rp333 +(dp334 +g10 +g305 +sg25 +g26 +((lp335 +tp336 +Rp337 +sbsS'String' +p338 +g1 +(g2 +g3 +(g282 +g338 +tp339 +tp340 +Rp341 +(dp342 +g330 +g1 +(g2 +g3 +(g282 +g338 +g330 +tp343 +tp344 +Rp345 +(dp346 +g10 +g341 +sg25 +g26 +((lp347 +tp348 +Rp349 +sbsS'Backtick' +p350 +g1 +(g2 +g3 +(g282 +g338 +g350 +tp351 +tp352 +Rp353 +(dp354 +g10 +g341 +sg25 +g26 +((lp355 +tp356 +Rp357 +sbsS'Heredoc' +p358 +g1 +(g2 +g3 +(g282 +g338 +g358 +tp359 +tp360 +Rp361 +(dp362 +g10 +g341 +sg25 +g26 +((lp363 +tp364 +Rp365 +sbsg97 +g1 +(g2 +g3 +(g282 +g338 +g97 +tp366 +tp367 +Rp368 +(dp369 +g10 +g341 +sg25 +g26 +((lp370 +tp371 +Rp372 +sbsS'Interpol' +p373 +g1 +(g2 +g3 +(g282 +g338 +g373 +tp374 +tp375 +Rp376 +(dp377 +g10 +g341 +sg25 +g26 +((lp378 +tp379 +Rp380 +sbsS'Delimiter' +p381 +g1 +(g2 +g3 +(g282 +g338 +g381 +tp382 +tp383 +Rp384 +(dp385 +g10 +g341 +sg25 +g26 +((lp386 +tp387 +Rp388 +sbsS'Boolean' +p389 +g1 +(g2 +g3 +(g282 +g338 +g389 +tp390 +tp391 +Rp392 +(dp393 +g10 +g341 +sg25 +g26 +((lp394 +tp395 +Rp396 +sbsS'Character' +p397 +g1 +(g2 +g3 +(g282 +g338 +g397 +tp398 +tp399 +Rp400 +(dp401 +g10 +g341 +sg25 +g26 +((lp402 +tp403 +Rp404 +sbsS'Double' +p405 +g1 +(g2 +g3 +(g282 +g338 +g405 +tp406 +tp407 +Rp408 +(dp409 +g10 +g341 +sg25 +g26 +((lp410 +tp411 +Rp412 +sbsS'Delimeter' +p413 +g1 +(g2 +g3 +(g282 +g338 +g413 +tp414 +tp415 +Rp416 +(dp417 +g10 +g341 +sg25 +g26 +((lp418 +tp419 +Rp420 +sbsS'Atom' +p421 +g1 +(g2 +g3 +(g282 +g338 +g421 +tp422 +tp423 +Rp424 +(dp425 +g10 +g341 +sg25 +g26 +((lp426 +tp427 +Rp428 +sbsS'Affix' +p429 +g1 +(g2 +g3 +(g282 +g338 +g429 +tp430 +tp431 +Rp432 +(dp433 +g10 +g341 +sg25 +g26 +((lp434 +tp435 +Rp436 +sbsg4 +g1 +(g2 +g3 +(g282 +g338 +g4 +tp437 +tp438 +Rp439 +(dp440 +g10 +g341 +sg25 +g26 +((lp441 +tp442 +Rp443 +sbsS'Regex' +p444 +g1 +(g2 +g3 +(g282 +g338 +g444 +tp445 +tp446 +Rp447 +(dp448 +g10 +g341 +sg25 +g26 +((lp449 +tp450 +Rp451 +sbsS'Interp' +p452 +g1 +(g2 +g3 +(g282 +g338 +g452 +tp453 +tp454 +Rp455 +(dp456 +g10 +g341 +sg25 +g26 +((lp457 +tp458 +Rp459 +sbsS'Escape' +p460 +g1 +(g2 +g3 +(g282 +g338 +g460 +tp461 +tp462 +Rp463 +(dp464 +g10 +g341 +sg25 +g26 +((lp465 +tp466 +Rp467 +sbsg25 +g26 +((lp468 +g384 +ag368 +ag447 +ag1 +(g2 +g3 +(g282 +g338 +S'Doc' +p469 +tp470 +tp471 +Rp472 +(dp473 +g10 +g341 +sg25 +g26 +((lp474 +tp475 +Rp476 +sbag400 +ag392 +ag408 +ag376 +ag424 +ag416 +ag439 +ag463 +ag1 +(g2 +g3 +(g282 +g338 +S'Single' +p477 +tp478 +tp479 +Rp480 +(dp481 +g10 +g341 +sg25 +g26 +((lp482 +tp483 +Rp484 +sbag1 +(g2 +g3 +(g282 +g338 +g223 +tp485 +tp486 +Rp487 +(dp488 +g10 +g341 +sg25 +g26 +((lp489 +tp490 +Rp491 +sbag455 +ag353 +ag432 +ag1 +(g2 +g3 +(g282 +g338 +S'Moment' +p492 +tp493 +tp494 +Rp495 +(dp496 +g10 +g341 +sg25 +g26 +((lp497 +tp498 +Rp499 +sbag345 +ag361 +atp500 +Rp501 +sg477 +g480 +sg492 +g495 +sg10 +g305 +sg223 +g487 +sg469 +g472 +sbsg25 +g26 +((lp502 +g341 +ag333 +ag325 +ag1 +(g2 +g3 +(g282 +S'Date' +p503 +tp504 +tp505 +Rp506 +(dp507 +g10 +g305 +sg25 +g26 +((lp508 +tp509 +Rp510 +sbag310 +ag285 +atp511 +Rp512 +sg503 +g506 +sbsS'Bin' +p513 +g1 +(g2 +g3 +(g282 +g281 +g513 +tp514 +tp515 +Rp516 +(dp517 +g10 +g285 +sg25 +g26 +((lp518 +tp519 +Rp520 +sbsS'Radix' +p521 +g1 +(g2 +g3 +(g282 +g281 +g521 +tp522 +tp523 +Rp524 +(dp525 +g10 +g285 +sg25 +g26 +((lp526 +tp527 +Rp528 +sbsS'Oct' +p529 +g1 +(g2 +g3 +(g282 +g281 +g529 +tp530 +tp531 +Rp532 +(dp533 +g10 +g285 +sg25 +g26 +((lp534 +tp535 +Rp536 +sbsS'Dec' +p537 +g1 +(g2 +g3 +(g282 +g281 +g537 +tp538 +tp539 +Rp540 +(dp541 +g10 +g285 +sg25 +g26 +((lp542 +tp543 +Rp544 +sbsS'Hex' +p545 +g1 +(g2 +g3 +(g282 +g281 +g545 +tp546 +tp547 +Rp548 +(dp549 +g10 +g285 +sg25 +g26 +((lp550 +tp551 +Rp552 +sbsg25 +g26 +((lp553 +g290 +ag524 +ag540 +ag1 +(g2 +g3 +(g282 +g281 +S'Decimal' +p554 +tp555 +tp556 +Rp557 +(dp558 +g10 +g285 +sg25 +g26 +((lp559 +tp560 +Rp561 +sbag516 +ag1 +(g2 +g3 +(g282 +g281 +S'Float' +p562 +tp563 +tp564 +Rp565 +(dp566 +g10 +g285 +sg25 +g26 +((lp567 +tp568 +Rp569 +sbag532 +ag548 +atp570 +Rp571 +sg554 +g557 +sg562 +g565 +sbsS'Generic' +p572 +g1 +(g2 +g3 +(g572 +tp573 +tp574 +Rp575 +(dp576 +g10 +g279 +sS'Deleted' +p577 +g1 +(g2 +g3 +(g572 +g577 +tp578 +tp579 +Rp580 +(dp581 +g10 +g575 +sg25 +g26 +((lp582 +tp583 +Rp584 +sbsS'Subheading' +p585 +g1 +(g2 +g3 +(g572 +g585 +tp586 +tp587 +Rp588 +(dp589 +g10 +g575 +sg25 +g26 +((lp590 +tp591 +Rp592 +sbsS'Heading' +p593 +g1 +(g2 +g3 +(g572 +g593 +tp594 +tp595 +Rp596 +(dp597 +g10 +g575 +sg25 +g26 +((lp598 +tp599 +Rp600 +sbsS'Emph' +p601 +g1 +(g2 +g3 +(g572 +g601 +tp602 +tp603 +Rp604 +(dp605 +g10 +g575 +sg25 +g26 +((lp606 +tp607 +Rp608 +sbsS'Prompt' +p609 +g1 +(g2 +g3 +(g572 +g609 +tp610 +tp611 +Rp612 +(dp613 +g10 +g575 +sg25 +g26 +((lp614 +tp615 +Rp616 +sbsS'Inserted' +p617 +g1 +(g2 +g3 +(g572 +g617 +tp618 +tp619 +Rp620 +(dp621 +g10 +g575 +sg25 +g26 +((lp622 +tp623 +Rp624 +sbsS'Strong' +p625 +g1 +(g2 +g3 +(g572 +g625 +tp626 +tp627 +Rp628 +(dp629 +g10 +g575 +sg25 +g26 +((lp630 +tp631 +Rp632 +sbsS'Error' +p633 +g1 +(g2 +g3 +(g572 +g633 +tp634 +tp635 +Rp636 +(dp637 +g10 +g575 +sg25 +g26 +((lp638 +tp639 +Rp640 +sbsS'Traceback' +p641 +g1 +(g2 +g3 +(g572 +g641 +tp642 +tp643 +Rp644 +(dp645 +g10 +g575 +sg25 +g26 +((lp646 +tp647 +Rp648 +sbsg25 +g26 +((lp649 +g596 +ag588 +ag1 +(g2 +g3 +(g572 +S'Output' +p650 +tp651 +tp652 +Rp653 +(dp654 +g10 +g575 +sg25 +g26 +((lp655 +tp656 +Rp657 +sbag628 +ag604 +ag636 +ag644 +ag620 +ag612 +ag580 +atp658 +Rp659 +sg650 +g653 +sbsg65 +g1 +(g2 +g3 +(g65 +tp660 +tp661 +Rp662 +(dp663 +g10 +g279 +sg86 +g1 +(g2 +g3 +(g65 +g86 +tp664 +tp665 +Rp666 +(dp667 +g10 +g662 +sg25 +g26 +((lp668 +tp669 +Rp670 +sbsg25 +g26 +((lp671 +g666 +ag1 +(g2 +g3 +(g65 +S'Word' +p672 +tp673 +tp674 +Rp675 +(dp676 +g10 +g662 +sg25 +g26 +((lp677 +tp678 +Rp679 +sbatp680 +Rp681 +sg672 +g675 +sbsg338 +g341 +sg4 +g13 +sS'Punctuation' +p682 +g1 +(g2 +g3 +(g682 +tp683 +tp684 +Rp685 +(dp686 +g10 +g279 +sg25 +g26 +((lp687 +g1 +(g2 +g3 +(g682 +S'Indicator' +p688 +tp689 +tp690 +Rp691 +(dp692 +g10 +g685 +sg25 +g26 +((lp693 +tp694 +Rp695 +sbatp696 +Rp697 +sg688 +g691 +sbsS'Comment' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +S'Multi' +p703 +g1 +(g2 +g3 +(g698 +g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g701 +sg25 +g26 +((lp708 +tp709 +Rp710 +sbsg10 +g279 +sS'Special' +p711 +g1 +(g2 +g3 +(g698 +g711 +tp712 +tp713 +Rp714 +(dp715 +g10 +g701 +sg25 +g26 +((lp716 +tp717 +Rp718 +sbsS'Hashbang' +p719 +g1 +(g2 +g3 +(g698 +g719 +tp720 +tp721 +Rp722 +(dp723 +g10 +g701 +sg25 +g26 +((lp724 +tp725 +Rp726 +sbsS'Preproc' +p727 +g1 +(g2 +g3 +(g698 +g727 +tp728 +tp729 +Rp730 +(dp731 +g10 +g701 +sg25 +g26 +((lp732 +tp733 +Rp734 +sbsg477 +g1 +(g2 +g3 +(g698 +g477 +tp735 +tp736 +Rp737 +(dp738 +g10 +g701 +sg25 +g26 +((lp739 +tp740 +Rp741 +sbsS'Directive' +p742 +g1 +(g2 +g3 +(g698 +g742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g701 +sg25 +g26 +((lp747 +tp748 +Rp749 +sbsg469 +g1 +(g2 +g3 +(g698 +g469 +tp750 +tp751 +Rp752 +(dp753 +g10 +g701 +sg25 +g26 +((lp754 +tp755 +Rp756 +sbsS'Singleline' +p757 +g1 +(g2 +g3 +(g698 +g757 +tp758 +tp759 +Rp760 +(dp761 +g10 +g701 +sg25 +g26 +((lp762 +tp763 +Rp764 +sbsS'Multiline' +p765 +g1 +(g2 +g3 +(g698 +g765 +tp766 +tp767 +Rp768 +(dp769 +g10 +g701 +sg25 +g26 +((lp770 +tp771 +Rp772 +sbsg25 +g26 +((lp773 +g752 +ag745 +ag722 +ag706 +ag760 +ag730 +ag768 +ag737 +ag1 +(g2 +g3 +(g698 +S'PreprocFile' +p774 +tp775 +tp776 +Rp777 +(dp778 +g10 +g701 +sg25 +g26 +((lp779 +tp780 +Rp781 +sbag1 +(g2 +g3 +(g698 +S'SingleLine' +p782 +tp783 +tp784 +Rp785 +(dp786 +g10 +g701 +sg25 +g26 +((lp787 +tp788 +Rp789 +sbag714 +atp790 +Rp791 +sg774 +g777 +sg782 +g785 +sbsg282 +g305 +sg223 +g1 +(g2 +g3 +(g223 +tp792 +tp793 +Rp794 +(dp795 +g10 +g279 +sg25 +g26 +((lp796 +tp797 +Rp798 +sbsg633 +g1 +(g2 +g3 +(g633 +tp799 +tp800 +Rp801 +(dp802 +g10 +g279 +sg25 +g26 +((lp803 +tp804 +Rp805 +sbsS'Token' +p806 +g279 +sg460 +g1 +(g2 +g3 +(g460 +tp807 +tp808 +Rp809 +(dp810 +g10 +g279 +sg25 +g26 +((lp811 +tp812 +Rp813 +sbsg25 +g26 +((lp814 +g13 +ag794 +ag1 +(g2 +g3 +(S'Keyword' +p815 +tp816 +tp817 +Rp818 +(dp819 +g10 +g279 +sg121 +g1 +(g2 +g3 +(g815 +g121 +tp820 +tp821 +Rp822 +(dp823 +g10 +g818 +sg25 +g26 +((lp824 +tp825 +Rp826 +sbsS'Control' +p827 +g1 +(g2 +g3 +(g815 +g827 +tp828 +tp829 +Rp830 +(dp831 +g10 +g818 +sg25 +g26 +((lp832 +tp833 +Rp834 +sbsg137 +g1 +(g2 +g3 +(g815 +g137 +tp835 +tp836 +Rp837 +(dp838 +g10 +g818 +sg25 +g26 +((lp839 +tp840 +Rp841 +sbsg192 +g1 +(g2 +g3 +(g815 +g192 +tp842 +tp843 +Rp844 +(dp845 +g10 +g818 +sg25 +g26 +((lp846 +tp847 +Rp848 +sbsS'PreProc' +p849 +g1 +(g2 +g3 +(g815 +g849 +tp850 +tp851 +Rp852 +(dp853 +g10 +g818 +sg25 +g26 +((lp854 +tp855 +Rp856 +sbsg113 +g1 +(g2 +g3 +(g815 +g113 +tp857 +tp858 +Rp859 +(dp860 +g10 +g818 +sg25 +g26 +((lp861 +tp862 +Rp863 +sbsS'Reserved' +p864 +g1 +(g2 +g3 +(g815 +g864 +tp865 +tp866 +Rp867 +(dp868 +g10 +g818 +sg25 +g26 +((lp869 +tp870 +Rp871 +sbsg25 +g26 +((lp872 +g844 +ag1 +(g2 +g3 +(g815 +g672 +tp873 +tp874 +Rp875 +(dp876 +g10 +g818 +sg25 +g26 +((lp877 +tp878 +Rp879 +sbag830 +ag1 +(g2 +g3 +(g815 +S'Declaration' +p880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g818 +sg25 +g26 +((lp885 +tp886 +Rp887 +sbag1 +(g2 +g3 +(g815 +g815 +tp888 +tp889 +Rp890 +(dp891 +g10 +g818 +sg25 +g26 +((lp892 +tp893 +Rp894 +sbag859 +ag837 +ag822 +ag867 +ag852 +atp895 +Rp896 +sg815 +g890 +sg880 +g883 +sg672 +g875 +sbag575 +ag1 +(g2 +g3 +(S'Text' +p897 +tp898 +tp899 +Rp900 +(dp901 +S'Beer' +p902 +g1 +(g2 +g3 +(g897 +g902 +tp903 +tp904 +Rp905 +(dp906 +g10 +g900 +sg25 +g26 +((lp907 +tp908 +Rp909 +sbsS'Whitespace' +p910 +g1 +(g2 +g3 +(g897 +g910 +tp911 +tp912 +Rp913 +(dp914 +g10 +g900 +sg25 +g26 +((lp915 +tp916 +Rp917 +sbsg10 +g279 +sS'Root' +p918 +g1 +(g2 +g3 +(g897 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g900 +sg25 +g26 +((lp923 +tp924 +Rp925 +sbsg97 +g1 +(g2 +g3 +(g897 +g97 +tp926 +tp927 +Rp928 +(dp929 +g10 +g900 +sg25 +g26 +((lp930 +tp931 +Rp932 +sbsg682 +g1 +(g2 +g3 +(g897 +g682 +tp933 +tp934 +Rp935 +(dp936 +g10 +g900 +sg25 +g26 +((lp937 +tp938 +Rp939 +sbsg25 +g26 +((lp940 +g921 +ag928 +ag935 +ag913 +ag905 +ag1 +(g2 +g3 +(g897 +S'Rag' +p941 +tp942 +tp943 +Rp944 +(dp945 +g10 +g900 +sg25 +g26 +((lp946 +tp947 +Rp948 +sbatp949 +Rp950 +sg941 +g944 +sbag662 +ag809 +ag685 +ag701 +ag801 +ag305 +atp951 +Rp952 +sg815 +g818 +sg897 +g900 +sbsg20 +g203 +sg254 +g257 +sg239 +g242 +sg223 +g226 +sbsg25 +g26 +((lp953 +tp954 +Rp955 +sbVp +p956 +tp957 +a(g900 +V +p958 +tp959 +a(g685 +V{ +p960 +tp961 +a(g900 +V\u000a +p962 +tp963 +a(g859 +V-webkit- +p964 +tp965 +a(g818 +Vtransition +p966 +tp967 +a(g685 +V: +p968 +tp969 +a(g900 +g958 +tp970 +a(g818 +Vopacity +p971 +tp972 +a(g900 +g958 +tp973 +a(g290 +V1 +p974 +tp975 +a(g822 +Vs +p976 +tp977 +a(g900 +g958 +tp978 +a(g837 +Vlinear +p979 +tp980 +a(g685 +V; +p981 +tp982 +a(g900 +V\u000a +p983 +tp984 +a(g685 +V} +p985 +tp986 +a(g900 +V\u000a +p987 +tp988 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/while.pov b/tests/examplefiles/output/while.pov new file mode 100644 index 0000000..1e4d6e6 --- /dev/null +++ b/tests/examplefiles/output/while.pov @@ -0,0 +1,2640 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV#declare +p956 +tp957 +a(g827 +V +p958 +tp959 +a(g436 +VIndex1 +p960 +tp961 +a(g827 +g958 +tp962 +a(g413 +V= +p963 +tp964 +a(g827 +g958 +tp965 +a(g37 +V0 +p966 +tp967 +a(g706 +V; +p968 +tp969 +a(g827 +V\u000a +p970 +tp971 +a(g8 +V#while +p972 +tp973 +a(g706 +V( +p974 +tp975 +a(g436 +VIndex1 +p976 +tp977 +a(g827 +g958 +tp978 +a(g706 +V< +p979 +tp980 +a(g413 +g963 +tp981 +a(g827 +g958 +tp982 +a(g37 +V9 +p983 +tp984 +a(g706 +V) +p985 +tp986 +a(g827 +V\u000a\u000a +p987 +tp988 +a(g8 +V#declare +p989 +tp990 +a(g827 +g958 +tp991 +a(g436 +VIndex2 +p992 +tp993 +a(g827 +g958 +tp994 +a(g413 +g963 +tp995 +a(g827 +g958 +tp996 +a(g37 +g966 +tp997 +a(g706 +g968 +tp998 +a(g827 +V\u000a +p999 +tp1000 +a(g8 +V#while +p1001 +tp1002 +a(g706 +g974 +tp1003 +a(g436 +VIndex2 +p1004 +tp1005 +a(g827 +g958 +tp1006 +a(g706 +g979 +tp1007 +a(g413 +g963 +tp1008 +a(g827 +g958 +tp1009 +a(g37 +V19 +p1010 +tp1011 +a(g706 +g985 +tp1012 +a(g827 +V\u000a\u000a +p1013 +tp1014 +a(g682 +Vsphere +p1015 +tp1016 +a(g827 +g958 +tp1017 +a(g706 +V{ +p1018 +tp1019 +a(g827 +g958 +tp1020 +a(g706 +g979 +tp1021 +a(g436 +VIndex1 +p1022 +tp1023 +a(g706 +V, +p1024 +tp1025 +a(g827 +g958 +tp1026 +a(g436 +VIndex2 +p1027 +tp1028 +a(g706 +g1024 +tp1029 +a(g827 +g958 +tp1030 +a(g37 +g966 +tp1031 +a(g706 +V> +p1032 +tp1033 +a(g706 +g1024 +tp1034 +a(g827 +g958 +tp1035 +a(g315 +V.5 +p1036 +tp1037 +a(g827 +g958 +tp1038 +a(g706 +V} +p1039 +tp1040 +a(g827 +V\u000a\u000a +p1041 +tp1042 +a(g8 +V#declare +p1043 +tp1044 +a(g827 +g958 +tp1045 +a(g436 +VIndex2 +p1046 +tp1047 +a(g827 +g958 +tp1048 +a(g413 +g963 +tp1049 +a(g827 +g958 +tp1050 +a(g436 +VIndex2 +p1051 +tp1052 +a(g827 +g958 +tp1053 +a(g413 +V+ +p1054 +tp1055 +a(g827 +g958 +tp1056 +a(g37 +V1 +p1057 +tp1058 +a(g706 +g968 +tp1059 +a(g827 +V\u000a +p1060 +tp1061 +a(g8 +V#end +p1062 +tp1063 +a(g827 +V\u000a\u000a +p1064 +tp1065 +a(g8 +V#declare +p1066 +tp1067 +a(g827 +g958 +tp1068 +a(g436 +VIndex1 +p1069 +tp1070 +a(g827 +g958 +tp1071 +a(g413 +g963 +tp1072 +a(g827 +g958 +tp1073 +a(g436 +VIndex1 +p1074 +tp1075 +a(g827 +g958 +tp1076 +a(g413 +g1054 +tp1077 +a(g827 +g958 +tp1078 +a(g37 +g1057 +tp1079 +a(g706 +g968 +tp1080 +a(g827 +V\u000a +p1081 +tp1082 +a(g8 +V#end +p1083 +tp1084 +a(g827 +V\u000a +p1085 +tp1086 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/wiki.factor b/tests/examplefiles/output/wiki.factor new file mode 100644 index 0000000..d6024c8 --- /dev/null +++ b/tests/examplefiles/output/wiki.factor @@ -0,0 +1,9781 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV! Copyright (C) 2008 Slava Pestov +p956 +tp957 +a(g822 +V\u000a +p958 +tp959 +a(g7 +V! See http://factorcode.org/license.txt for BSD license. +p960 +tp961 +a(g822 +V\u000a +p962 +tp963 +a(g766 +VUSING: +p964 +tp965 +a(g822 +V +p966 +tp967 +a(g616 +Vaccessors +p968 +tp969 +a(g822 +g966 +tp970 +a(g616 +Vkernel +p971 +tp972 +a(g822 +g966 +tp973 +a(g616 +Vhashtables +p974 +tp975 +a(g822 +g966 +tp976 +a(g616 +Vcalendar +p977 +tp978 +a(g822 +g966 +tp979 +a(g616 +Vrandom +p980 +tp981 +a(g822 +g966 +tp982 +a(g616 +Vassocs +p983 +tp984 +a(g822 +V\u000a +p985 +tp986 +a(g616 +Vnamespaces +p987 +tp988 +a(g822 +g966 +tp989 +a(g616 +Vmake +p990 +tp991 +a(g822 +g966 +tp992 +a(g616 +Vsplitting +p993 +tp994 +a(g822 +g966 +tp995 +a(g616 +Vsequences +p996 +tp997 +a(g822 +g966 +tp998 +a(g616 +Vsorting +p999 +tp1000 +a(g822 +g966 +tp1001 +a(g616 +Vmath.order +p1002 +tp1003 +a(g822 +g966 +tp1004 +a(g616 +Vpresent +p1005 +tp1006 +a(g822 +V\u000a +p1007 +tp1008 +a(g616 +Vio.files +p1009 +tp1010 +a(g822 +g966 +tp1011 +a(g616 +Vio.directories +p1012 +tp1013 +a(g822 +g966 +tp1014 +a(g616 +Vio.encodings.ascii +p1015 +tp1016 +a(g822 +V\u000a +p1017 +tp1018 +a(g616 +Vsyndication +p1019 +tp1020 +a(g822 +g966 +tp1021 +a(g616 +Vfarkup +p1022 +tp1023 +a(g822 +V\u000a +p1024 +tp1025 +a(g616 +Vhtml.components +p1026 +tp1027 +a(g822 +g966 +tp1028 +a(g616 +Vhtml.forms +p1029 +tp1030 +a(g822 +V\u000a +p1031 +tp1032 +a(g616 +Vhttp.server +p1033 +tp1034 +a(g822 +V\u000a +p1035 +tp1036 +a(g616 +Vhttp.server.dispatchers +p1037 +tp1038 +a(g822 +V\u000a +p1039 +tp1040 +a(g616 +Vfurnace.actions +p1041 +tp1042 +a(g822 +V\u000a +p1043 +tp1044 +a(g616 +Vfurnace.utilities +p1045 +tp1046 +a(g822 +V\u000a +p1047 +tp1048 +a(g616 +Vfurnace.redirection +p1049 +tp1050 +a(g822 +V\u000a +p1051 +tp1052 +a(g616 +Vfurnace.auth +p1053 +tp1054 +a(g822 +V\u000a +p1055 +tp1056 +a(g616 +Vfurnace.auth.login +p1057 +tp1058 +a(g822 +V\u000a +p1059 +tp1060 +a(g616 +Vfurnace.boilerplate +p1061 +tp1062 +a(g822 +V\u000a +p1063 +tp1064 +a(g616 +Vfurnace.syndication +p1065 +tp1066 +a(g822 +V\u000a +p1067 +tp1068 +a(g616 +Vvalidators +p1069 +tp1070 +a(g822 +V\u000a +p1071 +tp1072 +a(g616 +Vdb.types +p1073 +tp1074 +a(g822 +g966 +tp1075 +a(g616 +Vdb.tuples +p1076 +tp1077 +a(g822 +g966 +tp1078 +a(g616 +Vlcs +p1079 +tp1080 +a(g822 +g966 +tp1081 +a(g616 +Vurls +p1082 +tp1083 +a(g822 +g966 +tp1084 +a(g740 +V;\u000a +p1085 +tp1086 +a(g766 +VIN: +p1087 +tp1088 +a(g822 +g966 +tp1089 +a(g616 +Vwebapps.wiki +p1090 +tp1091 +a(g822 +V\u000a\u000a +p1092 +tp1093 +a(g740 +V: +p1094 +tp1095 +a(g822 +g966 +tp1096 +a(g569 +Vwiki-url +p1097 +tp1098 +a(g822 +g966 +tp1099 +a(g569 +V( +p1100 +tp1101 +a(g436 +Vrest +p1102 +tp1103 +a(g822 +g966 +tp1104 +a(g436 +Vpath +p1105 +tp1106 +a(g822 +g966 +tp1107 +a(g569 +V-- +p1108 +tp1109 +a(g436 +Vurl +p1110 +tp1111 +a(g822 +g966 +tp1112 +a(g569 +V)\u000a +p1113 +tp1114 +a(g822 +V +p1115 +tp1116 +a(g822 +V[ +p1117 +tp1118 +a(g822 +g966 +tp1119 +a(g84 +V"$wiki/" +p1120 +tp1121 +a(g822 +g966 +tp1122 +a(g822 +V% +p1123 +tp1124 +a(g822 +g966 +tp1125 +a(g822 +g1123 +tp1126 +a(g822 +g966 +tp1127 +a(g84 +V"/" +p1128 +tp1129 +a(g822 +g966 +tp1130 +a(g822 +g1123 +tp1131 +a(g822 +g966 +tp1132 +a(g822 +Vpresent +p1133 +tp1134 +a(g822 +g966 +tp1135 +a(g822 +g1123 +tp1136 +a(g822 +g966 +tp1137 +a(g822 +V] +p1138 +tp1139 +a(g822 +g966 +tp1140 +a(g84 +V"" +p1141 +tp1142 +a(g822 +g966 +tp1143 +a(g822 +Vmake +p1144 +tp1145 +a(g822 +V\u000a +p1146 +tp1147 +a(g822 +V +p1148 +tp1149 +a(g822 +g966 +tp1150 +a(g677 +Vswap +p1151 +tp1152 +a(g822 +V>>path +p1153 +tp1154 +a(g822 +g966 +tp1155 +a(g740 +V;\u000a +p1156 +tp1157 +a(g822 +V\u000a +p1158 +tp1159 +a(g740 +g1094 +tp1160 +a(g822 +g966 +tp1161 +a(g569 +Vview-url +p1162 +tp1163 +a(g822 +g966 +tp1164 +a(g569 +V( +p1165 +tp1166 +a(g436 +Vtitle +p1167 +tp1168 +a(g822 +g966 +tp1169 +a(g569 +V-- +p1170 +tp1171 +a(g436 +Vurl +p1172 +tp1173 +a(g822 +g966 +tp1174 +a(g569 +V) +p1175 +tp1176 +a(g84 +V"view" +p1177 +tp1178 +a(g822 +g966 +tp1179 +a(g822 +Vwiki-url +p1180 +tp1181 +a(g822 +g966 +tp1182 +a(g740 +V;\u000a +p1183 +tp1184 +a(g822 +V\u000a +p1185 +tp1186 +a(g740 +g1094 +tp1187 +a(g822 +g966 +tp1188 +a(g569 +Vedit-url +p1189 +tp1190 +a(g822 +g966 +tp1191 +a(g569 +V( +p1192 +tp1193 +a(g436 +Vtitle +p1194 +tp1195 +a(g822 +g966 +tp1196 +a(g569 +V-- +p1197 +tp1198 +a(g436 +Vurl +p1199 +tp1200 +a(g822 +g966 +tp1201 +a(g569 +V) +p1202 +tp1203 +a(g84 +V"edit" +p1204 +tp1205 +a(g822 +g966 +tp1206 +a(g822 +Vwiki-url +p1207 +tp1208 +a(g822 +g966 +tp1209 +a(g740 +V;\u000a +p1210 +tp1211 +a(g822 +V\u000a +p1212 +tp1213 +a(g740 +g1094 +tp1214 +a(g822 +g966 +tp1215 +a(g569 +Vrevisions-url +p1216 +tp1217 +a(g822 +g966 +tp1218 +a(g569 +V( +p1219 +tp1220 +a(g436 +Vtitle +p1221 +tp1222 +a(g822 +g966 +tp1223 +a(g569 +V-- +p1224 +tp1225 +a(g436 +Vurl +p1226 +tp1227 +a(g822 +g966 +tp1228 +a(g569 +V) +p1229 +tp1230 +a(g84 +V"revisions" +p1231 +tp1232 +a(g822 +g966 +tp1233 +a(g822 +Vwiki-url +p1234 +tp1235 +a(g822 +g966 +tp1236 +a(g740 +V;\u000a +p1237 +tp1238 +a(g822 +V\u000a +p1239 +tp1240 +a(g740 +g1094 +tp1241 +a(g822 +g966 +tp1242 +a(g569 +Vrevision-url +p1243 +tp1244 +a(g822 +g966 +tp1245 +a(g569 +V( +p1246 +tp1247 +a(g436 +Vid +p1248 +tp1249 +a(g822 +g966 +tp1250 +a(g569 +V-- +p1251 +tp1252 +a(g436 +Vurl +p1253 +tp1254 +a(g822 +g966 +tp1255 +a(g569 +V) +p1256 +tp1257 +a(g84 +V"revision" +p1258 +tp1259 +a(g822 +g966 +tp1260 +a(g822 +Vwiki-url +p1261 +tp1262 +a(g822 +g966 +tp1263 +a(g740 +V;\u000a +p1264 +tp1265 +a(g822 +V\u000a +p1266 +tp1267 +a(g740 +g1094 +tp1268 +a(g822 +g966 +tp1269 +a(g569 +Vuser-edits-url +p1270 +tp1271 +a(g822 +g966 +tp1272 +a(g569 +V( +p1273 +tp1274 +a(g436 +Vauthor +p1275 +tp1276 +a(g822 +g966 +tp1277 +a(g569 +V-- +p1278 +tp1279 +a(g436 +Vurl +p1280 +tp1281 +a(g822 +g966 +tp1282 +a(g569 +V) +p1283 +tp1284 +a(g84 +V"user-edits" +p1285 +tp1286 +a(g822 +g966 +tp1287 +a(g822 +Vwiki-url +p1288 +tp1289 +a(g822 +g966 +tp1290 +a(g740 +V;\u000a +p1291 +tp1292 +a(g822 +V\u000a +p1293 +tp1294 +a(g740 +VTUPLE: +p1295 +tp1296 +a(g822 +g966 +tp1297 +a(g624 +Vwiki +p1298 +tp1299 +a(g822 +V < +p1300 +tp1301 +a(g624 +Vdispatcher +p1302 +tp1303 +a(g822 +g966 +tp1304 +a(g740 +V;\u000a +p1305 +tp1306 +a(g822 +V\u000a +p1307 +tp1308 +a(g740 +VSYMBOL: +p1309 +tp1310 +a(g822 +g966 +tp1311 +a(g569 +Vcan-delete-wiki-articles? +p1312 +tp1313 +a(g822 +V\u000a\u000a +p1314 +tp1315 +a(g822 +Vcan-delete-wiki-articles? +p1316 +tp1317 +a(g822 +g966 +tp1318 +a(g822 +Vdefine-capability +p1319 +tp1320 +a(g822 +V\u000a\u000a +p1321 +tp1322 +a(g740 +VTUPLE: +p1323 +tp1324 +a(g822 +g966 +tp1325 +a(g624 +Varticle +p1326 +tp1327 +a(g822 +g966 +tp1328 +a(g436 +Vtitle +p1329 +tp1330 +a(g822 +g966 +tp1331 +a(g436 +Vrevision +p1332 +tp1333 +a(g822 +g966 +tp1334 +a(g740 +V;\u000a +p1335 +tp1336 +a(g822 +V\u000a +p1337 +tp1338 +a(g822 +Varticle +p1339 +tp1340 +a(g822 +g966 +tp1341 +a(g84 +V"ARTICLES" +p1342 +tp1343 +a(g822 +g966 +tp1344 +a(g822 +V{ +p1345 +tp1346 +a(g822 +V\u000a +p1347 +tp1348 +a(g822 +g1345 +tp1349 +a(g822 +g966 +tp1350 +a(g84 +V"title" +p1351 +tp1352 +a(g822 +g966 +tp1353 +a(g84 +V"TITLE" +p1354 +tp1355 +a(g822 +g966 +tp1356 +a(g822 +g1345 +tp1357 +a(g822 +g966 +tp1358 +a(g822 +VVARCHAR +p1359 +tp1360 +a(g822 +g966 +tp1361 +a(g27 +V256 +p1362 +tp1363 +a(g822 +V} +p1364 +tp1365 +a(g822 +g966 +tp1366 +a(g822 +V+not-null+ +p1367 +tp1368 +a(g822 +g966 +tp1369 +a(g822 +V+user-assigned-id+ +p1370 +tp1371 +a(g822 +g966 +tp1372 +a(g822 +g1364 +tp1373 +a(g822 +V\u000a +p1374 +tp1375 +a(g822 +g1345 +tp1376 +a(g822 +g966 +tp1377 +a(g84 +V"revision" +p1378 +tp1379 +a(g822 +g966 +tp1380 +a(g84 +V"REVISION" +p1381 +tp1382 +a(g822 +g966 +tp1383 +a(g822 +VINTEGER +p1384 +tp1385 +a(g822 +g966 +tp1386 +a(g822 +V+not-null+ +p1387 +tp1388 +a(g822 +g966 +tp1389 +a(g822 +g1364 +tp1390 +a(g822 +g966 +tp1391 +a(g7 +V! revision id +p1392 +tp1393 +a(g822 +V\u000a +p1394 +tp1395 +a(g822 +g1364 +tp1396 +a(g822 +g966 +tp1397 +a(g822 +Vdefine-persistent +p1398 +tp1399 +a(g822 +V\u000a\u000a +p1400 +tp1401 +a(g740 +g1094 +tp1402 +a(g822 +g966 +tp1403 +a(g569 +V
      +p1404 +tp1405 +a(g822 +g966 +tp1406 +a(g569 +V( +p1407 +tp1408 +a(g436 +Vtitle +p1409 +tp1410 +a(g822 +g966 +tp1411 +a(g569 +V-- +p1412 +tp1413 +a(g436 +Varticle +p1414 +tp1415 +a(g822 +g966 +tp1416 +a(g569 +V) +p1417 +tp1418 +a(g822 +Varticle +p1419 +tp1420 +a(g822 +g966 +tp1421 +a(g677 +Vnew +p1422 +tp1423 +a(g677 +Vswap +p1424 +tp1425 +a(g822 +V>>title +p1426 +tp1427 +a(g822 +g966 +tp1428 +a(g740 +V;\u000a +p1429 +tp1430 +a(g822 +V\u000a +p1431 +tp1432 +a(g740 +VTUPLE: +p1433 +tp1434 +a(g822 +g966 +tp1435 +a(g624 +Vrevision +p1436 +tp1437 +a(g822 +g966 +tp1438 +a(g436 +Vid +p1439 +tp1440 +a(g822 +g966 +tp1441 +a(g436 +Vtitle +p1442 +tp1443 +a(g822 +g966 +tp1444 +a(g436 +Vauthor +p1445 +tp1446 +a(g822 +g966 +tp1447 +a(g436 +Vdate +p1448 +tp1449 +a(g822 +g966 +tp1450 +a(g436 +Vcontent +p1451 +tp1452 +a(g822 +g966 +tp1453 +a(g436 +Vdescription +p1454 +tp1455 +a(g822 +g966 +tp1456 +a(g740 +V;\u000a +p1457 +tp1458 +a(g822 +V\u000a +p1459 +tp1460 +a(g822 +Vrevision +p1461 +tp1462 +a(g822 +g966 +tp1463 +a(g84 +V"REVISIONS" +p1464 +tp1465 +a(g822 +g966 +tp1466 +a(g822 +g1345 +tp1467 +a(g822 +V\u000a +p1468 +tp1469 +a(g822 +g1345 +tp1470 +a(g822 +g966 +tp1471 +a(g84 +V"id" +p1472 +tp1473 +a(g822 +g966 +tp1474 +a(g84 +V"ID" +p1475 +tp1476 +a(g822 +g966 +tp1477 +a(g822 +VINTEGER +p1478 +tp1479 +a(g822 +g966 +tp1480 +a(g822 +V+db-assigned-id+ +p1481 +tp1482 +a(g822 +g966 +tp1483 +a(g822 +g1364 +tp1484 +a(g822 +V\u000a +p1485 +tp1486 +a(g822 +g1345 +tp1487 +a(g822 +g966 +tp1488 +a(g84 +V"title" +p1489 +tp1490 +a(g822 +g966 +tp1491 +a(g84 +V"TITLE" +p1492 +tp1493 +a(g822 +g966 +tp1494 +a(g822 +g1345 +tp1495 +a(g822 +g966 +tp1496 +a(g822 +VVARCHAR +p1497 +tp1498 +a(g822 +g966 +tp1499 +a(g27 +V256 +p1500 +tp1501 +a(g822 +g1364 +tp1502 +a(g822 +g966 +tp1503 +a(g822 +V+not-null+ +p1504 +tp1505 +a(g822 +g966 +tp1506 +a(g822 +g1364 +tp1507 +a(g822 +g966 +tp1508 +a(g7 +V! article id +p1509 +tp1510 +a(g822 +V\u000a +p1511 +tp1512 +a(g822 +g1345 +tp1513 +a(g822 +g966 +tp1514 +a(g84 +V"author" +p1515 +tp1516 +a(g822 +g966 +tp1517 +a(g84 +V"AUTHOR" +p1518 +tp1519 +a(g822 +g966 +tp1520 +a(g822 +g1345 +tp1521 +a(g822 +g966 +tp1522 +a(g822 +VVARCHAR +p1523 +tp1524 +a(g822 +g966 +tp1525 +a(g27 +V256 +p1526 +tp1527 +a(g822 +g1364 +tp1528 +a(g822 +g966 +tp1529 +a(g822 +V+not-null+ +p1530 +tp1531 +a(g822 +g966 +tp1532 +a(g822 +g1364 +tp1533 +a(g822 +g966 +tp1534 +a(g7 +V! uid +p1535 +tp1536 +a(g822 +V\u000a +p1537 +tp1538 +a(g822 +g1345 +tp1539 +a(g822 +g966 +tp1540 +a(g84 +V"date" +p1541 +tp1542 +a(g822 +g966 +tp1543 +a(g84 +V"DATE" +p1544 +tp1545 +a(g822 +g966 +tp1546 +a(g822 +VTIMESTAMP +p1547 +tp1548 +a(g822 +g966 +tp1549 +a(g822 +V+not-null+ +p1550 +tp1551 +a(g822 +g966 +tp1552 +a(g822 +g1364 +tp1553 +a(g822 +V\u000a +p1554 +tp1555 +a(g822 +g1345 +tp1556 +a(g822 +g966 +tp1557 +a(g84 +V"content" +p1558 +tp1559 +a(g822 +g966 +tp1560 +a(g84 +V"CONTENT" +p1561 +tp1562 +a(g822 +g966 +tp1563 +a(g822 +VTEXT +p1564 +tp1565 +a(g822 +g966 +tp1566 +a(g822 +V+not-null+ +p1567 +tp1568 +a(g822 +g966 +tp1569 +a(g822 +g1364 +tp1570 +a(g822 +V\u000a +p1571 +tp1572 +a(g822 +g1345 +tp1573 +a(g822 +g966 +tp1574 +a(g84 +V"description" +p1575 +tp1576 +a(g822 +g966 +tp1577 +a(g84 +V"DESCRIPTION" +p1578 +tp1579 +a(g822 +g966 +tp1580 +a(g822 +VTEXT +p1581 +tp1582 +a(g822 +g966 +tp1583 +a(g822 +g1364 +tp1584 +a(g822 +V\u000a +p1585 +tp1586 +a(g822 +g1364 +tp1587 +a(g822 +g966 +tp1588 +a(g822 +Vdefine-persistent +p1589 +tp1590 +a(g822 +V\u000a\u000a +p1591 +tp1592 +a(g740 +VM: +p1593 +tp1594 +a(g822 +g966 +tp1595 +a(g624 +Vrevision +p1596 +tp1597 +a(g822 +g966 +tp1598 +a(g569 +Vfeed-entry-title +p1599 +tp1600 +a(g822 +V\u000a +p1601 +tp1602 +a(g822 +g1117 +tp1603 +a(g822 +g966 +tp1604 +a(g822 +Vtitle>> +p1605 +tp1606 +a(g822 +g966 +tp1607 +a(g822 +g1138 +tp1608 +a(g822 +g966 +tp1609 +a(g822 +g1117 +tp1610 +a(g822 +g966 +tp1611 +a(g677 +Vdrop +p1612 +tp1613 +a(g84 +V" by " +p1614 +tp1615 +a(g822 +g966 +tp1616 +a(g822 +g1138 +tp1617 +a(g822 +g966 +tp1618 +a(g822 +g1117 +tp1619 +a(g822 +g966 +tp1620 +a(g822 +Vauthor>> +p1621 +tp1622 +a(g822 +g966 +tp1623 +a(g822 +g1138 +tp1624 +a(g822 +g966 +tp1625 +a(g677 +Vtri +p1626 +tp1627 +a(g677 +V3append +p1628 +tp1629 +a(g740 +V;\u000a +p1630 +tp1631 +a(g822 +V\u000a +p1632 +tp1633 +a(g740 +VM: +p1634 +tp1635 +a(g822 +g966 +tp1636 +a(g624 +Vrevision +p1637 +tp1638 +a(g822 +g966 +tp1639 +a(g569 +Vfeed-entry-date +p1640 +tp1641 +a(g822 +g966 +tp1642 +a(g822 +Vdate>> +p1643 +tp1644 +a(g822 +g966 +tp1645 +a(g740 +V;\u000a +p1646 +tp1647 +a(g822 +V\u000a +p1648 +tp1649 +a(g740 +VM: +p1650 +tp1651 +a(g822 +g966 +tp1652 +a(g624 +Vrevision +p1653 +tp1654 +a(g822 +g966 +tp1655 +a(g569 +Vfeed-entry-url +p1656 +tp1657 +a(g822 +g966 +tp1658 +a(g822 +Vid>> +p1659 +tp1660 +a(g822 +g966 +tp1661 +a(g822 +Vrevision-url +p1662 +tp1663 +a(g822 +g966 +tp1664 +a(g740 +V;\u000a +p1665 +tp1666 +a(g822 +V\u000a +p1667 +tp1668 +a(g740 +g1094 +tp1669 +a(g822 +g966 +tp1670 +a(g569 +Vreverse-chronological-order +p1671 +tp1672 +a(g822 +g966 +tp1673 +a(g569 +V( +p1674 +tp1675 +a(g436 +Vseq +p1676 +tp1677 +a(g822 +g966 +tp1678 +a(g569 +V-- +p1679 +tp1680 +a(g436 +Vsorted +p1681 +tp1682 +a(g822 +g966 +tp1683 +a(g569 +V)\u000a +p1684 +tp1685 +a(g822 +V +p1686 +tp1687 +a(g822 +g1117 +tp1688 +a(g822 +g966 +tp1689 +a(g822 +Vdate>> +p1690 +tp1691 +a(g822 +g966 +tp1692 +a(g822 +g1138 +tp1693 +a(g822 +g966 +tp1694 +a(g822 +Vinv-sort-with +p1695 +tp1696 +a(g822 +g966 +tp1697 +a(g740 +V;\u000a +p1698 +tp1699 +a(g822 +V\u000a +p1700 +tp1701 +a(g740 +g1094 +tp1702 +a(g822 +g966 +tp1703 +a(g569 +V +p1704 +tp1705 +a(g822 +g966 +tp1706 +a(g569 +V( +p1707 +tp1708 +a(g436 +Vid +p1709 +tp1710 +a(g822 +g966 +tp1711 +a(g569 +V-- +p1712 +tp1713 +a(g436 +Vrevision +p1714 +tp1715 +a(g822 +g966 +tp1716 +a(g569 +V)\u000a +p1717 +tp1718 +a(g822 +V +p1719 +tp1720 +a(g822 +Vrevision +p1721 +tp1722 +a(g822 +g966 +tp1723 +a(g677 +Vnew +p1724 +tp1725 +a(g677 +Vswap +p1726 +tp1727 +a(g822 +V>>id +p1728 +tp1729 +a(g822 +g966 +tp1730 +a(g740 +V;\u000a +p1731 +tp1732 +a(g822 +V\u000a +p1733 +tp1734 +a(g740 +g1094 +tp1735 +a(g822 +g966 +tp1736 +a(g569 +Vvalidate-title +p1737 +tp1738 +a(g822 +g966 +tp1739 +a(g569 +V( +p1740 +tp1741 +a(g569 +V-- +p1742 +tp1743 +a(g569 +V)\u000a +p1744 +tp1745 +a(g822 +V +p1746 +tp1747 +a(g822 +g1345 +tp1748 +a(g822 +g966 +tp1749 +a(g822 +g1345 +tp1750 +a(g822 +g966 +tp1751 +a(g84 +V"title" +p1752 +tp1753 +a(g822 +g966 +tp1754 +a(g822 +g1117 +tp1755 +a(g822 +g966 +tp1756 +a(g822 +Vv-one-line +p1757 +tp1758 +a(g822 +g966 +tp1759 +a(g822 +g1138 +tp1760 +a(g822 +g966 +tp1761 +a(g822 +g1364 +tp1762 +a(g822 +g966 +tp1763 +a(g822 +g1364 +tp1764 +a(g822 +g966 +tp1765 +a(g822 +Vvalidate-params +p1766 +tp1767 +a(g822 +g966 +tp1768 +a(g740 +V;\u000a +p1769 +tp1770 +a(g822 +V\u000a +p1771 +tp1772 +a(g740 +g1094 +tp1773 +a(g822 +g966 +tp1774 +a(g569 +Vvalidate-author +p1775 +tp1776 +a(g822 +g966 +tp1777 +a(g569 +V( +p1778 +tp1779 +a(g569 +V-- +p1780 +tp1781 +a(g569 +V)\u000a +p1782 +tp1783 +a(g822 +V +p1784 +tp1785 +a(g822 +g1345 +tp1786 +a(g822 +g966 +tp1787 +a(g822 +g1345 +tp1788 +a(g822 +g966 +tp1789 +a(g84 +V"author" +p1790 +tp1791 +a(g822 +g966 +tp1792 +a(g822 +g1117 +tp1793 +a(g822 +g966 +tp1794 +a(g822 +Vv-username +p1795 +tp1796 +a(g822 +g966 +tp1797 +a(g822 +g1138 +tp1798 +a(g822 +g966 +tp1799 +a(g822 +g1364 +tp1800 +a(g822 +g966 +tp1801 +a(g822 +g1364 +tp1802 +a(g822 +g966 +tp1803 +a(g822 +Vvalidate-params +p1804 +tp1805 +a(g822 +g966 +tp1806 +a(g740 +V;\u000a +p1807 +tp1808 +a(g822 +V\u000a +p1809 +tp1810 +a(g740 +g1094 +tp1811 +a(g822 +g966 +tp1812 +a(g569 +V +p1813 +tp1814 +a(g822 +g966 +tp1815 +a(g569 +V( +p1816 +tp1817 +a(g436 +Vresponder +p1818 +tp1819 +a(g822 +g966 +tp1820 +a(g569 +V-- +p1821 +tp1822 +a(g436 +Vresponder' +p1823 +tp1824 +a(g822 +g966 +tp1825 +a(g569 +V)\u000a +p1826 +tp1827 +a(g822 +V +p1828 +tp1829 +a(g822 +V +p1830 +tp1831 +a(g822 +V\u000a +p1832 +tp1833 +a(g822 +g1345 +tp1834 +a(g822 +g966 +tp1835 +a(g822 +Vwiki +p1836 +tp1837 +a(g822 +g966 +tp1838 +a(g84 +V"page-common" +p1839 +tp1840 +a(g822 +g966 +tp1841 +a(g822 +g1364 +tp1842 +a(g822 +g966 +tp1843 +a(g822 +V>>template +p1844 +tp1845 +a(g822 +g966 +tp1846 +a(g740 +V;\u000a +p1847 +tp1848 +a(g822 +V\u000a +p1849 +tp1850 +a(g740 +g1094 +tp1851 +a(g822 +g966 +tp1852 +a(g569 +V +p1853 +tp1854 +a(g822 +g966 +tp1855 +a(g569 +V( +p1856 +tp1857 +a(g569 +V-- +p1858 +tp1859 +a(g436 +Vaction +p1860 +tp1861 +a(g822 +g966 +tp1862 +a(g569 +V)\u000a +p1863 +tp1864 +a(g822 +V +p1865 +tp1866 +a(g822 +V +p1867 +tp1868 +a(g822 +V\u000a +p1869 +tp1870 +a(g822 +g1117 +tp1871 +a(g822 +g966 +tp1872 +a(g84 +V"Front Page" +p1873 +tp1874 +a(g822 +g966 +tp1875 +a(g822 +Vview-url +p1876 +tp1877 +a(g822 +g966 +tp1878 +a(g822 +V +p1879 +tp1880 +a(g822 +g966 +tp1881 +a(g822 +g1138 +tp1882 +a(g822 +g966 +tp1883 +a(g822 +V>>display +p1884 +tp1885 +a(g822 +g966 +tp1886 +a(g740 +V;\u000a +p1887 +tp1888 +a(g822 +V\u000a +p1889 +tp1890 +a(g740 +g1094 +tp1891 +a(g822 +g966 +tp1892 +a(g569 +Vlatest-revision +p1893 +tp1894 +a(g822 +g966 +tp1895 +a(g569 +V( +p1896 +tp1897 +a(g436 +Vtitle +p1898 +tp1899 +a(g822 +g966 +tp1900 +a(g569 +V-- +p1901 +tp1902 +a(g436 +Vrevision/f +p1903 +tp1904 +a(g822 +g966 +tp1905 +a(g569 +V)\u000a +p1906 +tp1907 +a(g822 +V +p1908 +tp1909 +a(g822 +V
      +p1910 +tp1911 +a(g822 +g966 +tp1912 +a(g822 +Vselect-tuple +p1913 +tp1914 +a(g822 +V\u000a +p1915 +tp1916 +a(g677 +Vdup +p1917 +tp1918 +a(g822 +g1117 +tp1919 +a(g822 +g966 +tp1920 +a(g822 +Vrevision>> +p1921 +tp1922 +a(g822 +g966 +tp1923 +a(g822 +V +p1924 +tp1925 +a(g822 +g966 +tp1926 +a(g822 +Vselect-tuple +p1927 +tp1928 +a(g822 +g966 +tp1929 +a(g822 +g1138 +tp1930 +a(g822 +g966 +tp1931 +a(g677 +Vwhen +p1932 +tp1933 +a(g740 +V;\u000a +p1934 +tp1935 +a(g822 +V\u000a +p1936 +tp1937 +a(g740 +g1094 +tp1938 +a(g822 +g966 +tp1939 +a(g569 +V +p1940 +tp1941 +a(g822 +g966 +tp1942 +a(g569 +V( +p1943 +tp1944 +a(g569 +V-- +p1945 +tp1946 +a(g436 +Vaction +p1947 +tp1948 +a(g822 +g966 +tp1949 +a(g569 +V)\u000a +p1950 +tp1951 +a(g822 +V +p1952 +tp1953 +a(g822 +V +p1954 +tp1955 +a(g822 +V\u000a\u000a +p1956 +tp1957 +a(g84 +V"title" +p1958 +tp1959 +a(g822 +g966 +tp1960 +a(g822 +V>>rest +p1961 +tp1962 +a(g822 +V\u000a\u000a +p1963 +tp1964 +a(g822 +g1117 +tp1965 +a(g822 +g966 +tp1966 +a(g822 +Vvalidate-title +p1967 +tp1968 +a(g822 +g966 +tp1969 +a(g822 +g1138 +tp1970 +a(g822 +g966 +tp1971 +a(g822 +V>>init +p1972 +tp1973 +a(g822 +V\u000a\u000a +p1974 +tp1975 +a(g822 +g1117 +tp1976 +a(g822 +V\u000a +p1977 +tp1978 +a(g84 +V"title" +p1979 +tp1980 +a(g822 +g966 +tp1981 +a(g822 +Vvalue +p1982 +tp1983 +a(g822 +g966 +tp1984 +a(g677 +Vdup +p1985 +tp1986 +a(g822 +Vlatest-revision +p1987 +tp1988 +a(g822 +g966 +tp1989 +a(g822 +g1117 +tp1990 +a(g822 +V\u000a +p1991 +tp1992 +a(g822 +Vfrom-object +p1993 +tp1994 +a(g822 +V\u000a +p1995 +tp1996 +a(g822 +g1345 +tp1997 +a(g822 +g966 +tp1998 +a(g822 +Vwiki +p1999 +tp2000 +a(g822 +g966 +tp2001 +a(g84 +V"view" +p2002 +tp2003 +a(g822 +g966 +tp2004 +a(g822 +g1364 +tp2005 +a(g822 +g966 +tp2006 +a(g822 +V +p2007 +tp2008 +a(g822 +V\u000a +p2009 +tp2010 +a(g822 +g1138 +tp2011 +a(g822 +g966 +tp2012 +a(g822 +g1117 +tp2013 +a(g822 +V\u000a +p2014 +tp2015 +a(g822 +Vedit-url +p2016 +tp2017 +a(g822 +g966 +tp2018 +a(g822 +V +p2019 +tp2020 +a(g822 +V\u000a +p2021 +tp2022 +a(g822 +g1138 +tp2023 +a(g822 +g966 +tp2024 +a(g677 +V?if\u000a +p2025 +tp2026 +a(g822 +V +p2027 +tp2028 +a(g822 +g1138 +tp2029 +a(g822 +g966 +tp2030 +a(g822 +V>>display +p2031 +tp2032 +a(g822 +V\u000a\u000a +p2033 +tp2034 +a(g822 +V +p2035 +tp2036 +a(g822 +g966 +tp2037 +a(g740 +V;\u000a +p2038 +tp2039 +a(g822 +V\u000a +p2040 +tp2041 +a(g740 +g1094 +tp2042 +a(g822 +g966 +tp2043 +a(g569 +V +p2044 +tp2045 +a(g822 +g966 +tp2046 +a(g569 +V( +p2047 +tp2048 +a(g569 +V-- +p2049 +tp2050 +a(g436 +Vaction +p2051 +tp2052 +a(g822 +g966 +tp2053 +a(g569 +V)\u000a +p2054 +tp2055 +a(g822 +V +p2056 +tp2057 +a(g822 +V +p2058 +tp2059 +a(g822 +V\u000a\u000a +p2060 +tp2061 +a(g84 +V"id" +p2062 +tp2063 +a(g822 +g966 +tp2064 +a(g822 +V>>rest +p2065 +tp2066 +a(g822 +V\u000a\u000a +p2067 +tp2068 +a(g822 +g1117 +tp2069 +a(g822 +V\u000a +p2070 +tp2071 +a(g822 +Vvalidate-integer-id +p2072 +tp2073 +a(g822 +V\u000a +p2074 +tp2075 +a(g84 +V"id" +p2076 +tp2077 +a(g822 +g966 +tp2078 +a(g822 +Vvalue +p2079 +tp2080 +a(g822 +g966 +tp2081 +a(g822 +V +p2082 +tp2083 +a(g822 +V\u000a +p2084 +tp2085 +a(g822 +Vselect-tuple +p2086 +tp2087 +a(g822 +g966 +tp2088 +a(g822 +Vfrom-object +p2089 +tp2090 +a(g822 +V\u000a +p2091 +tp2092 +a(g822 +g1138 +tp2093 +a(g822 +g966 +tp2094 +a(g822 +V>>init +p2095 +tp2096 +a(g822 +V\u000a\u000a +p2097 +tp2098 +a(g822 +g1345 +tp2099 +a(g822 +g966 +tp2100 +a(g822 +Vwiki +p2101 +tp2102 +a(g822 +g966 +tp2103 +a(g84 +V"view" +p2104 +tp2105 +a(g822 +g966 +tp2106 +a(g822 +g1364 +tp2107 +a(g822 +g966 +tp2108 +a(g822 +V>>template +p2109 +tp2110 +a(g822 +V\u000a \u000a +p2111 +tp2112 +a(g822 +V +p2113 +tp2114 +a(g822 +g966 +tp2115 +a(g740 +V;\u000a +p2116 +tp2117 +a(g822 +V\u000a +p2118 +tp2119 +a(g740 +g1094 +tp2120 +a(g822 +g966 +tp2121 +a(g569 +V +p2122 +tp2123 +a(g822 +g966 +tp2124 +a(g569 +V( +p2125 +tp2126 +a(g569 +V-- +p2127 +tp2128 +a(g436 +Vaction +p2129 +tp2130 +a(g822 +g966 +tp2131 +a(g569 +V)\u000a +p2132 +tp2133 +a(g822 +V +p2134 +tp2135 +a(g822 +V +p2136 +tp2137 +a(g822 +V\u000a +p2138 +tp2139 +a(g822 +g1117 +tp2140 +a(g822 +V\u000a +p2141 +tp2142 +a(g822 +Varticle +p2143 +tp2144 +a(g822 +g966 +tp2145 +a(g677 +Vnew +p2146 +tp2147 +a(g822 +Vselect-tuples +p2148 +tp2149 +a(g822 +g966 +tp2150 +a(g822 +Vrandom +p2151 +tp2152 +a(g822 +V\u000a +p2153 +tp2154 +a(g822 +g1117 +tp2155 +a(g822 +g966 +tp2156 +a(g822 +Vtitle>> +p2157 +tp2158 +a(g822 +g966 +tp2159 +a(g822 +g1138 +tp2160 +a(g822 +g966 +tp2161 +a(g822 +g1117 +tp2162 +a(g822 +g966 +tp2163 +a(g84 +V"Front Page" +p2164 +tp2165 +a(g822 +g966 +tp2166 +a(g822 +g1138 +tp2167 +a(g822 +g966 +tp2168 +a(g677 +Vif*\u000a +p2169 +tp2170 +a(g822 +V +p2171 +tp2172 +a(g822 +Vview-url +p2173 +tp2174 +a(g822 +g966 +tp2175 +a(g822 +V +p2176 +tp2177 +a(g822 +V\u000a +p2178 +tp2179 +a(g822 +g1138 +tp2180 +a(g822 +g966 +tp2181 +a(g822 +V>>display +p2182 +tp2183 +a(g822 +g966 +tp2184 +a(g740 +V;\u000a +p2185 +tp2186 +a(g822 +V\u000a +p2187 +tp2188 +a(g740 +g1094 +tp2189 +a(g822 +g966 +tp2190 +a(g569 +Vamend-article +p2191 +tp2192 +a(g822 +g966 +tp2193 +a(g569 +V( +p2194 +tp2195 +a(g436 +Vrevision +p2196 +tp2197 +a(g822 +g966 +tp2198 +a(g436 +Varticle +p2199 +tp2200 +a(g822 +g966 +tp2201 +a(g569 +V-- +p2202 +tp2203 +a(g569 +V)\u000a +p2204 +tp2205 +a(g822 +V +p2206 +tp2207 +a(g677 +Vswap +p2208 +tp2209 +a(g822 +Vid>> +p2210 +tp2211 +a(g822 +g966 +tp2212 +a(g822 +V>>revision +p2213 +tp2214 +a(g822 +g966 +tp2215 +a(g822 +Vupdate-tuple +p2216 +tp2217 +a(g822 +g966 +tp2218 +a(g740 +V;\u000a +p2219 +tp2220 +a(g822 +V\u000a +p2221 +tp2222 +a(g740 +g1094 +tp2223 +a(g822 +g966 +tp2224 +a(g569 +Vadd-article +p2225 +tp2226 +a(g822 +g966 +tp2227 +a(g569 +V( +p2228 +tp2229 +a(g436 +Vrevision +p2230 +tp2231 +a(g822 +g966 +tp2232 +a(g569 +V-- +p2233 +tp2234 +a(g569 +V)\u000a +p2235 +tp2236 +a(g822 +V +p2237 +tp2238 +a(g822 +g1117 +tp2239 +a(g822 +g966 +tp2240 +a(g822 +Vtitle>> +p2241 +tp2242 +a(g822 +g966 +tp2243 +a(g822 +g1138 +tp2244 +a(g822 +g966 +tp2245 +a(g822 +g1117 +tp2246 +a(g822 +g966 +tp2247 +a(g822 +Vid>> +p2248 +tp2249 +a(g822 +g966 +tp2250 +a(g822 +g1138 +tp2251 +a(g822 +g966 +tp2252 +a(g677 +Vbi +p2253 +tp2254 +a(g822 +Varticle +p2255 +tp2256 +a(g822 +g966 +tp2257 +a(g677 +Vboa +p2258 +tp2259 +a(g822 +Vinsert-tuple +p2260 +tp2261 +a(g822 +g966 +tp2262 +a(g740 +V;\u000a +p2263 +tp2264 +a(g822 +V\u000a +p2265 +tp2266 +a(g740 +g1094 +tp2267 +a(g822 +g966 +tp2268 +a(g569 +Vadd-revision +p2269 +tp2270 +a(g822 +g966 +tp2271 +a(g569 +V( +p2272 +tp2273 +a(g436 +Vrevision +p2274 +tp2275 +a(g822 +g966 +tp2276 +a(g569 +V-- +p2277 +tp2278 +a(g569 +V)\u000a +p2279 +tp2280 +a(g822 +V +p2281 +tp2282 +a(g822 +g1117 +tp2283 +a(g822 +g966 +tp2284 +a(g822 +Vinsert-tuple +p2285 +tp2286 +a(g822 +g966 +tp2287 +a(g822 +g1138 +tp2288 +a(g822 +V\u000a +p2289 +tp2290 +a(g822 +g1117 +tp2291 +a(g822 +V\u000a +p2292 +tp2293 +a(g677 +Vdup +p2294 +tp2295 +a(g822 +Vtitle>> +p2296 +tp2297 +a(g822 +g966 +tp2298 +a(g822 +V
      +p2299 +tp2300 +a(g822 +g966 +tp2301 +a(g822 +Vselect-tuple +p2302 +tp2303 +a(g822 +V\u000a +p2304 +tp2305 +a(g822 +g1117 +tp2306 +a(g822 +g966 +tp2307 +a(g822 +Vamend-article +p2308 +tp2309 +a(g822 +g966 +tp2310 +a(g822 +g1138 +tp2311 +a(g822 +g966 +tp2312 +a(g822 +g1117 +tp2313 +a(g822 +g966 +tp2314 +a(g822 +Vadd-article +p2315 +tp2316 +a(g822 +g966 +tp2317 +a(g822 +g1138 +tp2318 +a(g822 +g966 +tp2319 +a(g677 +Vif*\u000a +p2320 +tp2321 +a(g822 +V +p2322 +tp2323 +a(g822 +g1138 +tp2324 +a(g822 +V\u000a +p2325 +tp2326 +a(g677 +Vbi +p2327 +tp2328 +a(g740 +V;\u000a +p2329 +tp2330 +a(g822 +V\u000a +p2331 +tp2332 +a(g740 +g1094 +tp2333 +a(g822 +g966 +tp2334 +a(g569 +V +p2335 +tp2336 +a(g822 +g966 +tp2337 +a(g569 +V( +p2338 +tp2339 +a(g569 +V-- +p2340 +tp2341 +a(g436 +Vaction +p2342 +tp2343 +a(g822 +g966 +tp2344 +a(g569 +V)\u000a +p2345 +tp2346 +a(g822 +V +p2347 +tp2348 +a(g822 +V +p2349 +tp2350 +a(g822 +V\u000a\u000a +p2351 +tp2352 +a(g84 +V"title" +p2353 +tp2354 +a(g822 +g966 +tp2355 +a(g822 +V>>rest +p2356 +tp2357 +a(g822 +V\u000a\u000a +p2358 +tp2359 +a(g822 +g1117 +tp2360 +a(g822 +V\u000a +p2361 +tp2362 +a(g822 +Vvalidate-title +p2363 +tp2364 +a(g822 +V\u000a\u000a +p2365 +tp2366 +a(g84 +V"title" +p2367 +tp2368 +a(g822 +g966 +tp2369 +a(g822 +Vvalue +p2370 +tp2371 +a(g822 +g966 +tp2372 +a(g822 +V
      +p2373 +tp2374 +a(g822 +g966 +tp2375 +a(g822 +Vselect-tuple +p2376 +tp2377 +a(g822 +V\u000a +p2378 +tp2379 +a(g822 +g1117 +tp2380 +a(g822 +g966 +tp2381 +a(g822 +Vrevision>> +p2382 +tp2383 +a(g822 +g966 +tp2384 +a(g822 +V +p2385 +tp2386 +a(g822 +g966 +tp2387 +a(g822 +Vselect-tuple +p2388 +tp2389 +a(g822 +g966 +tp2390 +a(g822 +g1138 +tp2391 +a(g822 +V\u000a +p2392 +tp2393 +a(g822 +g1117 +tp2394 +a(g822 +g966 +tp2395 +a(g561 +Vf +p2396 +tp2397 +a(g822 +V +p2398 +tp2399 +a(g822 +g966 +tp2400 +a(g84 +V"title" +p2401 +tp2402 +a(g822 +g966 +tp2403 +a(g822 +Vvalue +p2404 +tp2405 +a(g822 +g966 +tp2406 +a(g822 +V>>title +p2407 +tp2408 +a(g822 +g966 +tp2409 +a(g822 +g1138 +tp2410 +a(g822 +V\u000a +p2411 +tp2412 +a(g677 +Vif*\u000a +p2413 +tp2414 +a(g822 +V\u000a +p2415 +tp2416 +a(g822 +g1117 +tp2417 +a(g822 +g966 +tp2418 +a(g822 +Vtitle>> +p2419 +tp2420 +a(g822 +g966 +tp2421 +a(g84 +V"title" +p2422 +tp2423 +a(g822 +g966 +tp2424 +a(g822 +Vset-value +p2425 +tp2426 +a(g822 +g966 +tp2427 +a(g822 +g1138 +tp2428 +a(g822 +V\u000a +p2429 +tp2430 +a(g822 +g1117 +tp2431 +a(g822 +g966 +tp2432 +a(g822 +Vcontent>> +p2433 +tp2434 +a(g822 +g966 +tp2435 +a(g84 +V"content" +p2436 +tp2437 +a(g822 +g966 +tp2438 +a(g822 +Vset-value +p2439 +tp2440 +a(g822 +g966 +tp2441 +a(g822 +g1138 +tp2442 +a(g822 +V\u000a +p2443 +tp2444 +a(g677 +Vbi\u000a +p2445 +tp2446 +a(g822 +V +p2447 +tp2448 +a(g822 +g1138 +tp2449 +a(g822 +g966 +tp2450 +a(g822 +V>>init +p2451 +tp2452 +a(g822 +V\u000a\u000a +p2453 +tp2454 +a(g822 +g1345 +tp2455 +a(g822 +g966 +tp2456 +a(g822 +Vwiki +p2457 +tp2458 +a(g822 +g966 +tp2459 +a(g84 +V"edit" +p2460 +tp2461 +a(g822 +g966 +tp2462 +a(g822 +g1364 +tp2463 +a(g822 +g966 +tp2464 +a(g822 +V>>template +p2465 +tp2466 +a(g822 +V\u000a\u000a +p2467 +tp2468 +a(g822 +V +p2469 +tp2470 +a(g822 +g966 +tp2471 +a(g740 +V;\u000a +p2472 +tp2473 +a(g822 +V\u000a +p2474 +tp2475 +a(g740 +g1094 +tp2476 +a(g822 +g966 +tp2477 +a(g569 +V +p2478 +tp2479 +a(g822 +g966 +tp2480 +a(g569 +V( +p2481 +tp2482 +a(g569 +V-- +p2483 +tp2484 +a(g436 +Vaction +p2485 +tp2486 +a(g822 +g966 +tp2487 +a(g569 +V)\u000a +p2488 +tp2489 +a(g822 +V +p2490 +tp2491 +a(g822 +V +p2492 +tp2493 +a(g822 +V\u000a +p2494 +tp2495 +a(g822 +g1117 +tp2496 +a(g822 +V\u000a +p2497 +tp2498 +a(g822 +Vvalidate-title +p2499 +tp2500 +a(g822 +V\u000a\u000a +p2501 +tp2502 +a(g822 +g1345 +tp2503 +a(g822 +V\u000a +p2504 +tp2505 +a(g822 +g1345 +tp2506 +a(g822 +g966 +tp2507 +a(g84 +V"content" +p2508 +tp2509 +a(g822 +g966 +tp2510 +a(g822 +g1117 +tp2511 +a(g822 +g966 +tp2512 +a(g822 +Vv-required +p2513 +tp2514 +a(g822 +g966 +tp2515 +a(g822 +g1138 +tp2516 +a(g822 +g966 +tp2517 +a(g822 +g1364 +tp2518 +a(g822 +V\u000a +p2519 +tp2520 +a(g822 +g1345 +tp2521 +a(g822 +g966 +tp2522 +a(g84 +V"description" +p2523 +tp2524 +a(g822 +g966 +tp2525 +a(g822 +g1117 +tp2526 +a(g822 +g966 +tp2527 +a(g822 +g1117 +tp2528 +a(g822 +g966 +tp2529 +a(g822 +Vv-one-line +p2530 +tp2531 +a(g822 +g966 +tp2532 +a(g822 +g1138 +tp2533 +a(g822 +g966 +tp2534 +a(g822 +Vv-optional +p2535 +tp2536 +a(g822 +g966 +tp2537 +a(g822 +g1138 +tp2538 +a(g822 +g966 +tp2539 +a(g822 +g1364 +tp2540 +a(g822 +V\u000a +p2541 +tp2542 +a(g822 +g1364 +tp2543 +a(g822 +g966 +tp2544 +a(g822 +Vvalidate-params +p2545 +tp2546 +a(g822 +V\u000a\u000a +p2547 +tp2548 +a(g561 +Vf +p2549 +tp2550 +a(g822 +V +p2551 +tp2552 +a(g822 +V\u000a +p2553 +tp2554 +a(g84 +V"title" +p2555 +tp2556 +a(g822 +g966 +tp2557 +a(g822 +Vvalue +p2558 +tp2559 +a(g822 +g966 +tp2560 +a(g822 +V>>title +p2561 +tp2562 +a(g822 +V\u000a +p2563 +tp2564 +a(g822 +Vnow +p2565 +tp2566 +a(g822 +g966 +tp2567 +a(g822 +V>>date +p2568 +tp2569 +a(g822 +V\u000a +p2570 +tp2571 +a(g822 +Vusername +p2572 +tp2573 +a(g822 +g966 +tp2574 +a(g822 +V>>author +p2575 +tp2576 +a(g822 +V\u000a +p2577 +tp2578 +a(g84 +V"content" +p2579 +tp2580 +a(g822 +g966 +tp2581 +a(g822 +Vvalue +p2582 +tp2583 +a(g822 +g966 +tp2584 +a(g822 +V>>content +p2585 +tp2586 +a(g822 +V\u000a +p2587 +tp2588 +a(g84 +V"description" +p2589 +tp2590 +a(g822 +g966 +tp2591 +a(g822 +Vvalue +p2592 +tp2593 +a(g822 +g966 +tp2594 +a(g822 +V>>description +p2595 +tp2596 +a(g822 +V\u000a +p2597 +tp2598 +a(g822 +g1117 +tp2599 +a(g822 +g966 +tp2600 +a(g822 +Vadd-revision +p2601 +tp2602 +a(g822 +g966 +tp2603 +a(g822 +g1138 +tp2604 +a(g822 +g966 +tp2605 +a(g822 +g1117 +tp2606 +a(g822 +g966 +tp2607 +a(g822 +Vtitle>> +p2608 +tp2609 +a(g822 +g966 +tp2610 +a(g822 +Vview-url +p2611 +tp2612 +a(g822 +g966 +tp2613 +a(g822 +V +p2614 +tp2615 +a(g822 +g966 +tp2616 +a(g822 +g1138 +tp2617 +a(g822 +g966 +tp2618 +a(g677 +Vbi\u000a +p2619 +tp2620 +a(g822 +V +p2621 +tp2622 +a(g822 +g1138 +tp2623 +a(g822 +g966 +tp2624 +a(g822 +V>>submit +p2625 +tp2626 +a(g822 +V\u000a\u000a +p2627 +tp2628 +a(g822 +V +p2629 +tp2630 +a(g822 +V\u000a +p2631 +tp2632 +a(g84 +V"edit wiki articles" +p2633 +tp2634 +a(g822 +g966 +tp2635 +a(g822 +V>>description +p2636 +tp2637 +a(g822 +g966 +tp2638 +a(g740 +V;\u000a +p2639 +tp2640 +a(g822 +V\u000a +p2641 +tp2642 +a(g740 +g1094 +tp2643 +a(g822 +g966 +tp2644 +a(g569 +V +p2645 +tp2646 +a(g822 +g966 +tp2647 +a(g569 +V( +p2648 +tp2649 +a(g436 +Vresponder +p2650 +tp2651 +a(g822 +g966 +tp2652 +a(g569 +V-- +p2653 +tp2654 +a(g436 +Vresponder +p2655 +tp2656 +a(g822 +g966 +tp2657 +a(g569 +V)\u000a +p2658 +tp2659 +a(g822 +V +p2660 +tp2661 +a(g822 +V +p2662 +tp2663 +a(g822 +V\u000a +p2664 +tp2665 +a(g822 +g1345 +tp2666 +a(g822 +g966 +tp2667 +a(g822 +Vwiki +p2668 +tp2669 +a(g822 +g966 +tp2670 +a(g84 +V"revisions-common" +p2671 +tp2672 +a(g822 +g966 +tp2673 +a(g822 +g1364 +tp2674 +a(g822 +g966 +tp2675 +a(g822 +V>>template +p2676 +tp2677 +a(g822 +g966 +tp2678 +a(g740 +V;\u000a +p2679 +tp2680 +a(g822 +V\u000a +p2681 +tp2682 +a(g740 +g1094 +tp2683 +a(g822 +g966 +tp2684 +a(g569 +Vlist-revisions +p2685 +tp2686 +a(g822 +g966 +tp2687 +a(g569 +V( +p2688 +tp2689 +a(g569 +V-- +p2690 +tp2691 +a(g436 +Vseq +p2692 +tp2693 +a(g822 +g966 +tp2694 +a(g569 +V)\u000a +p2695 +tp2696 +a(g822 +V +p2697 +tp2698 +a(g561 +Vf +p2699 +tp2700 +a(g822 +V +p2701 +tp2702 +a(g822 +g966 +tp2703 +a(g84 +V"title" +p2704 +tp2705 +a(g822 +g966 +tp2706 +a(g822 +Vvalue +p2707 +tp2708 +a(g822 +g966 +tp2709 +a(g822 +V>>title +p2710 +tp2711 +a(g822 +g966 +tp2712 +a(g822 +Vselect-tuples +p2713 +tp2714 +a(g822 +V\u000a +p2715 +tp2716 +a(g822 +Vreverse-chronological-order +p2717 +tp2718 +a(g822 +g966 +tp2719 +a(g740 +V;\u000a +p2720 +tp2721 +a(g822 +V\u000a +p2722 +tp2723 +a(g740 +g1094 +tp2724 +a(g822 +g966 +tp2725 +a(g569 +V +p2726 +tp2727 +a(g822 +g966 +tp2728 +a(g569 +V( +p2729 +tp2730 +a(g569 +V-- +p2731 +tp2732 +a(g436 +Vaction +p2733 +tp2734 +a(g822 +g966 +tp2735 +a(g569 +V)\u000a +p2736 +tp2737 +a(g822 +V +p2738 +tp2739 +a(g822 +V +p2740 +tp2741 +a(g822 +V\u000a\u000a +p2742 +tp2743 +a(g84 +V"title" +p2744 +tp2745 +a(g822 +g966 +tp2746 +a(g822 +V>>rest +p2747 +tp2748 +a(g822 +V\u000a\u000a +p2749 +tp2750 +a(g822 +g1117 +tp2751 +a(g822 +V\u000a +p2752 +tp2753 +a(g822 +Vvalidate-title +p2754 +tp2755 +a(g822 +V\u000a +p2756 +tp2757 +a(g822 +Vlist-revisions +p2758 +tp2759 +a(g822 +g966 +tp2760 +a(g84 +V"revisions" +p2761 +tp2762 +a(g822 +g966 +tp2763 +a(g822 +Vset-value +p2764 +tp2765 +a(g822 +V\u000a +p2766 +tp2767 +a(g822 +g1138 +tp2768 +a(g822 +g966 +tp2769 +a(g822 +V>>init +p2770 +tp2771 +a(g822 +V\u000a\u000a +p2772 +tp2773 +a(g822 +g1345 +tp2774 +a(g822 +g966 +tp2775 +a(g822 +Vwiki +p2776 +tp2777 +a(g822 +g966 +tp2778 +a(g84 +V"revisions" +p2779 +tp2780 +a(g822 +g966 +tp2781 +a(g822 +g1364 +tp2782 +a(g822 +g966 +tp2783 +a(g822 +V>>template +p2784 +tp2785 +a(g822 +V\u000a\u000a +p2786 +tp2787 +a(g822 +V +p2788 +tp2789 +a(g822 +V\u000a +p2790 +tp2791 +a(g822 +V +p2792 +tp2793 +a(g822 +g966 +tp2794 +a(g740 +V;\u000a +p2795 +tp2796 +a(g822 +V\u000a +p2797 +tp2798 +a(g740 +g1094 +tp2799 +a(g822 +g966 +tp2800 +a(g569 +V +p2801 +tp2802 +a(g822 +g966 +tp2803 +a(g569 +V( +p2804 +tp2805 +a(g569 +V-- +p2806 +tp2807 +a(g436 +Vaction +p2808 +tp2809 +a(g822 +g966 +tp2810 +a(g569 +V)\u000a +p2811 +tp2812 +a(g822 +V +p2813 +tp2814 +a(g822 +V +p2815 +tp2816 +a(g822 +V\u000a\u000a +p2817 +tp2818 +a(g84 +V"title" +p2819 +tp2820 +a(g822 +g966 +tp2821 +a(g822 +V>>rest +p2822 +tp2823 +a(g822 +V\u000a\u000a +p2824 +tp2825 +a(g822 +g1117 +tp2826 +a(g822 +g966 +tp2827 +a(g822 +Vvalidate-title +p2828 +tp2829 +a(g822 +g966 +tp2830 +a(g822 +g1138 +tp2831 +a(g822 +g966 +tp2832 +a(g822 +V>>init +p2833 +tp2834 +a(g822 +V\u000a\u000a +p2835 +tp2836 +a(g822 +g1117 +tp2837 +a(g822 +g966 +tp2838 +a(g84 +V"Revisions of " +p2839 +tp2840 +a(g822 +g966 +tp2841 +a(g84 +V"title" +p2842 +tp2843 +a(g822 +g966 +tp2844 +a(g822 +Vvalue +p2845 +tp2846 +a(g822 +g966 +tp2847 +a(g677 +Vappend +p2848 +tp2849 +a(g822 +g1138 +tp2850 +a(g822 +g966 +tp2851 +a(g822 +V>>title +p2852 +tp2853 +a(g822 +V\u000a\u000a +p2854 +tp2855 +a(g822 +g1117 +tp2856 +a(g822 +g966 +tp2857 +a(g84 +V"title" +p2858 +tp2859 +a(g822 +g966 +tp2860 +a(g822 +Vvalue +p2861 +tp2862 +a(g822 +g966 +tp2863 +a(g822 +Vrevisions-url +p2864 +tp2865 +a(g822 +g966 +tp2866 +a(g822 +g1138 +tp2867 +a(g822 +g966 +tp2868 +a(g822 +V>>url +p2869 +tp2870 +a(g822 +V\u000a\u000a +p2871 +tp2872 +a(g822 +g1117 +tp2873 +a(g822 +g966 +tp2874 +a(g822 +Vlist-revisions +p2875 +tp2876 +a(g822 +g966 +tp2877 +a(g822 +g1138 +tp2878 +a(g822 +g966 +tp2879 +a(g822 +V>>entries +p2880 +tp2881 +a(g822 +g966 +tp2882 +a(g740 +V;\u000a +p2883 +tp2884 +a(g822 +V\u000a +p2885 +tp2886 +a(g740 +g1094 +tp2887 +a(g822 +g966 +tp2888 +a(g569 +Vrollback-description +p2889 +tp2890 +a(g822 +g966 +tp2891 +a(g569 +V( +p2892 +tp2893 +a(g436 +Vdescription +p2894 +tp2895 +a(g822 +g966 +tp2896 +a(g569 +V-- +p2897 +tp2898 +a(g436 +Vdescription' +p2899 +tp2900 +a(g822 +g966 +tp2901 +a(g569 +V)\u000a +p2902 +tp2903 +a(g822 +V +p2904 +tp2905 +a(g822 +g1117 +tp2906 +a(g822 +g966 +tp2907 +a(g84 +V"Rollback of '" +p2908 +tp2909 +a(g822 +g966 +tp2910 +a(g84 +V"'" +p2911 +tp2912 +a(g822 +g966 +tp2913 +a(g677 +Vsurround +p2914 +tp2915 +a(g822 +g1138 +tp2916 +a(g822 +g966 +tp2917 +a(g822 +g1117 +tp2918 +a(g822 +g966 +tp2919 +a(g84 +V"Rollback" +p2920 +tp2921 +a(g822 +g966 +tp2922 +a(g822 +g1138 +tp2923 +a(g822 +g966 +tp2924 +a(g677 +Vif* +p2925 +tp2926 +a(g740 +V;\u000a +p2927 +tp2928 +a(g822 +V\u000a +p2929 +tp2930 +a(g740 +g1094 +tp2931 +a(g822 +g966 +tp2932 +a(g569 +V +p2933 +tp2934 +a(g822 +g966 +tp2935 +a(g569 +V( +p2936 +tp2937 +a(g569 +V-- +p2938 +tp2939 +a(g436 +Vaction +p2940 +tp2941 +a(g822 +g966 +tp2942 +a(g569 +V)\u000a +p2943 +tp2944 +a(g822 +V +p2945 +tp2946 +a(g822 +V +p2947 +tp2948 +a(g822 +V\u000a\u000a +p2949 +tp2950 +a(g822 +g1117 +tp2951 +a(g822 +g966 +tp2952 +a(g822 +Vvalidate-integer-id +p2953 +tp2954 +a(g822 +g966 +tp2955 +a(g822 +g1138 +tp2956 +a(g822 +g966 +tp2957 +a(g822 +V>>validate +p2958 +tp2959 +a(g822 +V\u000a\u000a +p2960 +tp2961 +a(g822 +g1117 +tp2962 +a(g822 +V\u000a +p2963 +tp2964 +a(g84 +V"id" +p2965 +tp2966 +a(g822 +g966 +tp2967 +a(g822 +Vvalue +p2968 +tp2969 +a(g822 +g966 +tp2970 +a(g822 +V +p2971 +tp2972 +a(g822 +g966 +tp2973 +a(g822 +Vselect-tuple +p2974 +tp2975 +a(g822 +V\u000a +p2976 +tp2977 +a(g561 +Vf +p2978 +tp2979 +a(g822 +V>>id +p2980 +tp2981 +a(g822 +V\u000a +p2982 +tp2983 +a(g822 +Vnow +p2984 +tp2985 +a(g822 +g966 +tp2986 +a(g822 +V>>date +p2987 +tp2988 +a(g822 +V\u000a +p2989 +tp2990 +a(g822 +Vusername +p2991 +tp2992 +a(g822 +g966 +tp2993 +a(g822 +V>>author +p2994 +tp2995 +a(g822 +V\u000a +p2996 +tp2997 +a(g822 +g1117 +tp2998 +a(g822 +g966 +tp2999 +a(g822 +Vrollback-description +p3000 +tp3001 +a(g822 +g966 +tp3002 +a(g822 +g1138 +tp3003 +a(g822 +g966 +tp3004 +a(g822 +Vchange-description +p3005 +tp3006 +a(g822 +V\u000a +p3007 +tp3008 +a(g822 +g1117 +tp3009 +a(g822 +g966 +tp3010 +a(g822 +Vadd-revision +p3011 +tp3012 +a(g822 +g966 +tp3013 +a(g822 +g1138 +tp3014 +a(g822 +V\u000a +p3015 +tp3016 +a(g822 +g1117 +tp3017 +a(g822 +g966 +tp3018 +a(g822 +Vtitle>> +p3019 +tp3020 +a(g822 +g966 +tp3021 +a(g822 +Vrevisions-url +p3022 +tp3023 +a(g822 +g966 +tp3024 +a(g822 +V +p3025 +tp3026 +a(g822 +g966 +tp3027 +a(g822 +g1138 +tp3028 +a(g822 +g966 +tp3029 +a(g677 +Vbi\u000a +p3030 +tp3031 +a(g822 +V +p3032 +tp3033 +a(g822 +g1138 +tp3034 +a(g822 +g966 +tp3035 +a(g822 +V>>submit +p3036 +tp3037 +a(g822 +V\u000a \u000a +p3038 +tp3039 +a(g822 +V +p3040 +tp3041 +a(g822 +V\u000a +p3042 +tp3043 +a(g84 +V"rollback wiki articles" +p3044 +tp3045 +a(g822 +g966 +tp3046 +a(g822 +V>>description +p3047 +tp3048 +a(g822 +g966 +tp3049 +a(g740 +V;\u000a +p3050 +tp3051 +a(g822 +V\u000a +p3052 +tp3053 +a(g740 +g1094 +tp3054 +a(g822 +g966 +tp3055 +a(g569 +Vlist-changes +p3056 +tp3057 +a(g822 +g966 +tp3058 +a(g569 +V( +p3059 +tp3060 +a(g569 +V-- +p3061 +tp3062 +a(g436 +Vseq +p3063 +tp3064 +a(g822 +g966 +tp3065 +a(g569 +V)\u000a +p3066 +tp3067 +a(g822 +V +p3068 +tp3069 +a(g561 +Vf +p3070 +tp3071 +a(g822 +V +p3072 +tp3073 +a(g822 +g966 +tp3074 +a(g822 +Vselect-tuples +p3075 +tp3076 +a(g822 +V\u000a +p3077 +tp3078 +a(g822 +Vreverse-chronological-order +p3079 +tp3080 +a(g822 +g966 +tp3081 +a(g740 +V;\u000a +p3082 +tp3083 +a(g822 +V\u000a +p3084 +tp3085 +a(g740 +g1094 +tp3086 +a(g822 +g966 +tp3087 +a(g569 +V +p3088 +tp3089 +a(g822 +g966 +tp3090 +a(g569 +V( +p3091 +tp3092 +a(g569 +V-- +p3093 +tp3094 +a(g436 +Vaction +p3095 +tp3096 +a(g822 +g966 +tp3097 +a(g569 +V)\u000a +p3098 +tp3099 +a(g822 +V +p3100 +tp3101 +a(g822 +V +p3102 +tp3103 +a(g822 +V\u000a +p3104 +tp3105 +a(g822 +g1117 +tp3106 +a(g822 +g966 +tp3107 +a(g822 +Vlist-changes +p3108 +tp3109 +a(g822 +g966 +tp3110 +a(g84 +V"revisions" +p3111 +tp3112 +a(g822 +g966 +tp3113 +a(g822 +Vset-value +p3114 +tp3115 +a(g822 +g966 +tp3116 +a(g822 +g1138 +tp3117 +a(g822 +g966 +tp3118 +a(g822 +V>>init +p3119 +tp3120 +a(g822 +V\u000a +p3121 +tp3122 +a(g822 +g1345 +tp3123 +a(g822 +g966 +tp3124 +a(g822 +Vwiki +p3125 +tp3126 +a(g822 +g966 +tp3127 +a(g84 +V"changes" +p3128 +tp3129 +a(g822 +g966 +tp3130 +a(g822 +g1364 +tp3131 +a(g822 +g966 +tp3132 +a(g822 +V>>template +p3133 +tp3134 +a(g822 +V\u000a\u000a +p3135 +tp3136 +a(g822 +V +p3137 +tp3138 +a(g822 +g966 +tp3139 +a(g740 +V;\u000a +p3140 +tp3141 +a(g822 +V\u000a +p3142 +tp3143 +a(g740 +g1094 +tp3144 +a(g822 +g966 +tp3145 +a(g569 +V +p3146 +tp3147 +a(g822 +g966 +tp3148 +a(g569 +V( +p3149 +tp3150 +a(g569 +V-- +p3151 +tp3152 +a(g436 +Vaction +p3153 +tp3154 +a(g822 +g966 +tp3155 +a(g569 +V)\u000a +p3156 +tp3157 +a(g822 +V +p3158 +tp3159 +a(g822 +V +p3160 +tp3161 +a(g822 +V\u000a +p3162 +tp3163 +a(g822 +g1117 +tp3164 +a(g822 +g966 +tp3165 +a(g84 +VURL" $wiki/changes" +p3166 +tp3167 +a(g822 +g966 +tp3168 +a(g822 +g1138 +tp3169 +a(g822 +g966 +tp3170 +a(g822 +V>>url +p3171 +tp3172 +a(g822 +V\u000a +p3173 +tp3174 +a(g822 +g1117 +tp3175 +a(g822 +g966 +tp3176 +a(g84 +V"All changes" +p3177 +tp3178 +a(g822 +g966 +tp3179 +a(g822 +g1138 +tp3180 +a(g822 +g966 +tp3181 +a(g822 +V>>title +p3182 +tp3183 +a(g822 +V\u000a +p3184 +tp3185 +a(g822 +g1117 +tp3186 +a(g822 +g966 +tp3187 +a(g822 +Vlist-changes +p3188 +tp3189 +a(g822 +g966 +tp3190 +a(g822 +g1138 +tp3191 +a(g822 +g966 +tp3192 +a(g822 +V>>entries +p3193 +tp3194 +a(g822 +g966 +tp3195 +a(g740 +V;\u000a +p3196 +tp3197 +a(g822 +V\u000a +p3198 +tp3199 +a(g740 +g1094 +tp3200 +a(g822 +g966 +tp3201 +a(g569 +V +p3202 +tp3203 +a(g822 +g966 +tp3204 +a(g569 +V( +p3205 +tp3206 +a(g569 +V-- +p3207 +tp3208 +a(g436 +Vaction +p3209 +tp3210 +a(g822 +g966 +tp3211 +a(g569 +V)\u000a +p3212 +tp3213 +a(g822 +V +p3214 +tp3215 +a(g822 +V +p3216 +tp3217 +a(g822 +V\u000a\u000a +p3218 +tp3219 +a(g822 +g1117 +tp3220 +a(g822 +g966 +tp3221 +a(g822 +Vvalidate-title +p3222 +tp3223 +a(g822 +g966 +tp3224 +a(g822 +g1138 +tp3225 +a(g822 +g966 +tp3226 +a(g822 +V>>validate +p3227 +tp3228 +a(g822 +V\u000a\u000a +p3229 +tp3230 +a(g822 +g1117 +tp3231 +a(g822 +V\u000a +p3232 +tp3233 +a(g84 +V"title" +p3234 +tp3235 +a(g822 +g966 +tp3236 +a(g822 +Vvalue +p3237 +tp3238 +a(g822 +g966 +tp3239 +a(g822 +V
      +p3240 +tp3241 +a(g822 +g966 +tp3242 +a(g822 +Vdelete-tuples +p3243 +tp3244 +a(g822 +V\u000a +p3245 +tp3246 +a(g561 +Vf +p3247 +tp3248 +a(g822 +V +p3249 +tp3250 +a(g822 +g966 +tp3251 +a(g84 +V"title" +p3252 +tp3253 +a(g822 +g966 +tp3254 +a(g822 +Vvalue +p3255 +tp3256 +a(g822 +g966 +tp3257 +a(g822 +V>>title +p3258 +tp3259 +a(g822 +g966 +tp3260 +a(g822 +Vdelete-tuples +p3261 +tp3262 +a(g822 +V\u000a +p3263 +tp3264 +a(g84 +VURL" $wiki" +p3265 +tp3266 +a(g822 +g966 +tp3267 +a(g822 +V +p3268 +tp3269 +a(g822 +V\u000a +p3270 +tp3271 +a(g822 +g1138 +tp3272 +a(g822 +g966 +tp3273 +a(g822 +V>>submit +p3274 +tp3275 +a(g822 +V\u000a\u000a +p3276 +tp3277 +a(g822 +V +p3278 +tp3279 +a(g822 +V\u000a +p3280 +tp3281 +a(g84 +V"delete wiki articles" +p3282 +tp3283 +a(g822 +g966 +tp3284 +a(g822 +V>>description +p3285 +tp3286 +a(g822 +V\u000a +p3287 +tp3288 +a(g822 +g1345 +tp3289 +a(g822 +g966 +tp3290 +a(g822 +Vcan-delete-wiki-articles? +p3291 +tp3292 +a(g822 +g966 +tp3293 +a(g822 +g1364 +tp3294 +a(g822 +g966 +tp3295 +a(g822 +V>>capabilities +p3296 +tp3297 +a(g822 +g966 +tp3298 +a(g740 +V;\u000a +p3299 +tp3300 +a(g822 +V\u000a +p3301 +tp3302 +a(g740 +g1094 +tp3303 +a(g822 +g966 +tp3304 +a(g569 +V +p3305 +tp3306 +a(g822 +g966 +tp3307 +a(g569 +V( +p3308 +tp3309 +a(g569 +V-- +p3310 +tp3311 +a(g436 +Vaction +p3312 +tp3313 +a(g822 +g966 +tp3314 +a(g569 +V)\u000a +p3315 +tp3316 +a(g822 +V +p3317 +tp3318 +a(g822 +V +p3319 +tp3320 +a(g822 +V\u000a\u000a +p3321 +tp3322 +a(g822 +g1117 +tp3323 +a(g822 +V\u000a +p3324 +tp3325 +a(g822 +g1345 +tp3326 +a(g822 +V\u000a +p3327 +tp3328 +a(g822 +g1345 +tp3329 +a(g822 +g966 +tp3330 +a(g84 +V"old-id" +p3331 +tp3332 +a(g822 +g966 +tp3333 +a(g822 +g1117 +tp3334 +a(g822 +g966 +tp3335 +a(g822 +Vv-integer +p3336 +tp3337 +a(g822 +g966 +tp3338 +a(g822 +g1138 +tp3339 +a(g822 +g966 +tp3340 +a(g822 +g1364 +tp3341 +a(g822 +V\u000a +p3342 +tp3343 +a(g822 +g1345 +tp3344 +a(g822 +g966 +tp3345 +a(g84 +V"new-id" +p3346 +tp3347 +a(g822 +g966 +tp3348 +a(g822 +g1117 +tp3349 +a(g822 +g966 +tp3350 +a(g822 +Vv-integer +p3351 +tp3352 +a(g822 +g966 +tp3353 +a(g822 +g1138 +tp3354 +a(g822 +g966 +tp3355 +a(g822 +g1364 +tp3356 +a(g822 +V\u000a +p3357 +tp3358 +a(g822 +g1364 +tp3359 +a(g822 +g966 +tp3360 +a(g822 +Vvalidate-params +p3361 +tp3362 +a(g822 +V\u000a\u000a +p3363 +tp3364 +a(g84 +V"old-id" +p3365 +tp3366 +a(g822 +g966 +tp3367 +a(g84 +V"new-id" +p3368 +tp3369 +a(g822 +V\u000a +p3370 +tp3371 +a(g822 +g1117 +tp3372 +a(g822 +g966 +tp3373 +a(g822 +Vvalue +p3374 +tp3375 +a(g822 +g966 +tp3376 +a(g822 +V +p3377 +tp3378 +a(g822 +g966 +tp3379 +a(g822 +Vselect-tuple +p3380 +tp3381 +a(g822 +g966 +tp3382 +a(g822 +g1138 +tp3383 +a(g822 +g966 +tp3384 +a(g677 +Vbi@\u000a +p3385 +tp3386 +a(g822 +V +p3387 +tp3388 +a(g822 +g1117 +tp3389 +a(g822 +V\u000a +p3390 +tp3391 +a(g677 +Vover +p3392 +tp3393 +a(g822 +Vtitle>> +p3394 +tp3395 +a(g822 +g966 +tp3396 +a(g84 +V"title" +p3397 +tp3398 +a(g822 +g966 +tp3399 +a(g822 +Vset-value +p3400 +tp3401 +a(g822 +V\u000a +p3402 +tp3403 +a(g822 +g1117 +tp3404 +a(g822 +g966 +tp3405 +a(g84 +V"old" +p3406 +tp3407 +a(g822 +g966 +tp3408 +a(g822 +g1117 +tp3409 +a(g822 +g966 +tp3410 +a(g822 +Vfrom-object +p3411 +tp3412 +a(g822 +g966 +tp3413 +a(g822 +g1138 +tp3414 +a(g822 +g966 +tp3415 +a(g822 +Vnest-form +p3416 +tp3417 +a(g822 +g966 +tp3418 +a(g822 +g1138 +tp3419 +a(g822 +V\u000a +p3420 +tp3421 +a(g822 +g1117 +tp3422 +a(g822 +g966 +tp3423 +a(g84 +V"new" +p3424 +tp3425 +a(g822 +g966 +tp3426 +a(g822 +g1117 +tp3427 +a(g822 +g966 +tp3428 +a(g822 +Vfrom-object +p3429 +tp3430 +a(g822 +g966 +tp3431 +a(g822 +g1138 +tp3432 +a(g822 +g966 +tp3433 +a(g822 +Vnest-form +p3434 +tp3435 +a(g822 +g966 +tp3436 +a(g822 +g1138 +tp3437 +a(g822 +V\u000a +p3438 +tp3439 +a(g677 +Vbi*\u000a +p3440 +tp3441 +a(g822 +V +p3442 +tp3443 +a(g822 +g1138 +tp3444 +a(g822 +V\u000a +p3445 +tp3446 +a(g822 +g1117 +tp3447 +a(g822 +g966 +tp3448 +a(g822 +g1117 +tp3449 +a(g822 +g966 +tp3450 +a(g822 +Vcontent>> +p3451 +tp3452 +a(g822 +g966 +tp3453 +a(g822 +Vstring-lines +p3454 +tp3455 +a(g822 +g966 +tp3456 +a(g822 +g1138 +tp3457 +a(g822 +g966 +tp3458 +a(g677 +Vbi@ +p3459 +tp3460 +a(g822 +Vdiff +p3461 +tp3462 +a(g822 +g966 +tp3463 +a(g84 +V"diff" +p3464 +tp3465 +a(g822 +g966 +tp3466 +a(g822 +Vset-value +p3467 +tp3468 +a(g822 +g966 +tp3469 +a(g822 +g1138 +tp3470 +a(g822 +V\u000a +p3471 +tp3472 +a(g677 +V2bi\u000a +p3473 +tp3474 +a(g822 +V +p3475 +tp3476 +a(g822 +g1138 +tp3477 +a(g822 +g966 +tp3478 +a(g822 +V>>init +p3479 +tp3480 +a(g822 +V\u000a\u000a +p3481 +tp3482 +a(g822 +g1345 +tp3483 +a(g822 +g966 +tp3484 +a(g822 +Vwiki +p3485 +tp3486 +a(g822 +g966 +tp3487 +a(g84 +V"diff" +p3488 +tp3489 +a(g822 +g966 +tp3490 +a(g822 +g1364 +tp3491 +a(g822 +g966 +tp3492 +a(g822 +V>>template +p3493 +tp3494 +a(g822 +V\u000a\u000a +p3495 +tp3496 +a(g822 +V +p3497 +tp3498 +a(g822 +g966 +tp3499 +a(g740 +V;\u000a +p3500 +tp3501 +a(g822 +V\u000a +p3502 +tp3503 +a(g740 +g1094 +tp3504 +a(g822 +g966 +tp3505 +a(g569 +V +p3506 +tp3507 +a(g822 +g966 +tp3508 +a(g569 +V( +p3509 +tp3510 +a(g569 +V-- +p3511 +tp3512 +a(g436 +Vaction +p3513 +tp3514 +a(g822 +g966 +tp3515 +a(g569 +V)\u000a +p3516 +tp3517 +a(g822 +V +p3518 +tp3519 +a(g822 +V +p3520 +tp3521 +a(g822 +V\u000a\u000a +p3522 +tp3523 +a(g822 +g1117 +tp3524 +a(g822 +V\u000a +p3525 +tp3526 +a(g561 +Vf +p3527 +tp3528 +a(g822 +V
      +p3529 +tp3530 +a(g822 +g966 +tp3531 +a(g822 +Vselect-tuples +p3532 +tp3533 +a(g822 +V\u000a +p3534 +tp3535 +a(g822 +g1117 +tp3536 +a(g822 +g966 +tp3537 +a(g822 +Vtitle>> +p3538 +tp3539 +a(g822 +g966 +tp3540 +a(g822 +g1138 +tp3541 +a(g822 +g966 +tp3542 +a(g822 +Vsort-with +p3543 +tp3544 +a(g822 +V\u000a +p3545 +tp3546 +a(g84 +V"articles" +p3547 +tp3548 +a(g822 +g966 +tp3549 +a(g822 +Vset-value +p3550 +tp3551 +a(g822 +V\u000a +p3552 +tp3553 +a(g822 +g1138 +tp3554 +a(g822 +g966 +tp3555 +a(g822 +V>>init +p3556 +tp3557 +a(g822 +V\u000a\u000a +p3558 +tp3559 +a(g822 +g1345 +tp3560 +a(g822 +g966 +tp3561 +a(g822 +Vwiki +p3562 +tp3563 +a(g822 +g966 +tp3564 +a(g84 +V"articles" +p3565 +tp3566 +a(g822 +g966 +tp3567 +a(g822 +g1364 +tp3568 +a(g822 +g966 +tp3569 +a(g822 +V>>template +p3570 +tp3571 +a(g822 +g966 +tp3572 +a(g740 +V;\u000a +p3573 +tp3574 +a(g822 +V\u000a +p3575 +tp3576 +a(g740 +g1094 +tp3577 +a(g822 +g966 +tp3578 +a(g569 +Vlist-user-edits +p3579 +tp3580 +a(g822 +g966 +tp3581 +a(g569 +V( +p3582 +tp3583 +a(g569 +V-- +p3584 +tp3585 +a(g436 +Vseq +p3586 +tp3587 +a(g822 +g966 +tp3588 +a(g569 +V)\u000a +p3589 +tp3590 +a(g822 +V +p3591 +tp3592 +a(g561 +Vf +p3593 +tp3594 +a(g822 +V +p3595 +tp3596 +a(g822 +g966 +tp3597 +a(g84 +V"author" +p3598 +tp3599 +a(g822 +g966 +tp3600 +a(g822 +Vvalue +p3601 +tp3602 +a(g822 +g966 +tp3603 +a(g822 +V>>author +p3604 +tp3605 +a(g822 +g966 +tp3606 +a(g822 +Vselect-tuples +p3607 +tp3608 +a(g822 +V\u000a +p3609 +tp3610 +a(g822 +Vreverse-chronological-order +p3611 +tp3612 +a(g822 +g966 +tp3613 +a(g740 +V;\u000a +p3614 +tp3615 +a(g822 +V\u000a +p3616 +tp3617 +a(g740 +g1094 +tp3618 +a(g822 +g966 +tp3619 +a(g569 +V +p3620 +tp3621 +a(g822 +g966 +tp3622 +a(g569 +V( +p3623 +tp3624 +a(g569 +V-- +p3625 +tp3626 +a(g436 +Vaction +p3627 +tp3628 +a(g822 +g966 +tp3629 +a(g569 +V)\u000a +p3630 +tp3631 +a(g822 +V +p3632 +tp3633 +a(g822 +V +p3634 +tp3635 +a(g822 +V\u000a\u000a +p3636 +tp3637 +a(g84 +V"author" +p3638 +tp3639 +a(g822 +g966 +tp3640 +a(g822 +V>>rest +p3641 +tp3642 +a(g822 +V\u000a\u000a +p3643 +tp3644 +a(g822 +g1117 +tp3645 +a(g822 +V\u000a +p3646 +tp3647 +a(g822 +Vvalidate-author +p3648 +tp3649 +a(g822 +V\u000a +p3650 +tp3651 +a(g822 +Vlist-user-edits +p3652 +tp3653 +a(g822 +g966 +tp3654 +a(g84 +V"revisions" +p3655 +tp3656 +a(g822 +g966 +tp3657 +a(g822 +Vset-value +p3658 +tp3659 +a(g822 +V\u000a +p3660 +tp3661 +a(g822 +g1138 +tp3662 +a(g822 +g966 +tp3663 +a(g822 +V>>init +p3664 +tp3665 +a(g822 +V\u000a\u000a +p3666 +tp3667 +a(g822 +g1345 +tp3668 +a(g822 +g966 +tp3669 +a(g822 +Vwiki +p3670 +tp3671 +a(g822 +g966 +tp3672 +a(g84 +V"user-edits" +p3673 +tp3674 +a(g822 +g966 +tp3675 +a(g822 +g1364 +tp3676 +a(g822 +g966 +tp3677 +a(g822 +V>>template +p3678 +tp3679 +a(g822 +V\u000a\u000a +p3680 +tp3681 +a(g822 +V +p3682 +tp3683 +a(g822 +g966 +tp3684 +a(g740 +V;\u000a +p3685 +tp3686 +a(g822 +V\u000a +p3687 +tp3688 +a(g740 +g1094 +tp3689 +a(g822 +g966 +tp3690 +a(g569 +V +p3691 +tp3692 +a(g822 +g966 +tp3693 +a(g569 +V( +p3694 +tp3695 +a(g569 +V-- +p3696 +tp3697 +a(g436 +Vaction +p3698 +tp3699 +a(g822 +g966 +tp3700 +a(g569 +V)\u000a +p3701 +tp3702 +a(g822 +V +p3703 +tp3704 +a(g822 +V +p3705 +tp3706 +a(g822 +V\u000a +p3707 +tp3708 +a(g84 +V"author" +p3709 +tp3710 +a(g822 +g966 +tp3711 +a(g822 +V>>rest +p3712 +tp3713 +a(g822 +V\u000a +p3714 +tp3715 +a(g822 +g1117 +tp3716 +a(g822 +g966 +tp3717 +a(g822 +Vvalidate-author +p3718 +tp3719 +a(g822 +g966 +tp3720 +a(g822 +g1138 +tp3721 +a(g822 +g966 +tp3722 +a(g822 +V>>init +p3723 +tp3724 +a(g822 +V\u000a +p3725 +tp3726 +a(g822 +g1117 +tp3727 +a(g822 +g966 +tp3728 +a(g84 +V"Edits by " +p3729 +tp3730 +a(g822 +g966 +tp3731 +a(g84 +V"author" +p3732 +tp3733 +a(g822 +g966 +tp3734 +a(g822 +Vvalue +p3735 +tp3736 +a(g822 +g966 +tp3737 +a(g677 +Vappend +p3738 +tp3739 +a(g822 +g1138 +tp3740 +a(g822 +g966 +tp3741 +a(g822 +V>>title +p3742 +tp3743 +a(g822 +V\u000a +p3744 +tp3745 +a(g822 +g1117 +tp3746 +a(g822 +g966 +tp3747 +a(g84 +V"author" +p3748 +tp3749 +a(g822 +g966 +tp3750 +a(g822 +Vvalue +p3751 +tp3752 +a(g822 +g966 +tp3753 +a(g822 +Vuser-edits-url +p3754 +tp3755 +a(g822 +g966 +tp3756 +a(g822 +g1138 +tp3757 +a(g822 +g966 +tp3758 +a(g822 +V>>url +p3759 +tp3760 +a(g822 +V\u000a +p3761 +tp3762 +a(g822 +g1117 +tp3763 +a(g822 +g966 +tp3764 +a(g822 +Vlist-user-edits +p3765 +tp3766 +a(g822 +g966 +tp3767 +a(g822 +g1138 +tp3768 +a(g822 +g966 +tp3769 +a(g822 +V>>entries +p3770 +tp3771 +a(g822 +g966 +tp3772 +a(g740 +V;\u000a +p3773 +tp3774 +a(g822 +V\u000a +p3775 +tp3776 +a(g740 +g1094 +tp3777 +a(g822 +g966 +tp3778 +a(g569 +Vinit-sidebars +p3779 +tp3780 +a(g822 +g966 +tp3781 +a(g569 +V( +p3782 +tp3783 +a(g569 +V-- +p3784 +tp3785 +a(g569 +V)\u000a +p3786 +tp3787 +a(g822 +V +p3788 +tp3789 +a(g84 +V"Contents" +p3790 +tp3791 +a(g822 +g966 +tp3792 +a(g822 +Vlatest-revision +p3793 +tp3794 +a(g822 +g966 +tp3795 +a(g822 +g1117 +tp3796 +a(g822 +g966 +tp3797 +a(g84 +V"contents" +p3798 +tp3799 +a(g822 +g966 +tp3800 +a(g822 +g1117 +tp3801 +a(g822 +g966 +tp3802 +a(g822 +Vfrom-object +p3803 +tp3804 +a(g822 +g966 +tp3805 +a(g822 +g1138 +tp3806 +a(g822 +g966 +tp3807 +a(g822 +Vnest-form +p3808 +tp3809 +a(g822 +g966 +tp3810 +a(g822 +g1138 +tp3811 +a(g822 +g966 +tp3812 +a(g677 +Vwhen*\u000a +p3813 +tp3814 +a(g822 +V +p3815 +tp3816 +a(g84 +V"Footer" +p3817 +tp3818 +a(g822 +g966 +tp3819 +a(g822 +Vlatest-revision +p3820 +tp3821 +a(g822 +g966 +tp3822 +a(g822 +g1117 +tp3823 +a(g822 +g966 +tp3824 +a(g84 +V"footer" +p3825 +tp3826 +a(g822 +g966 +tp3827 +a(g822 +g1117 +tp3828 +a(g822 +g966 +tp3829 +a(g822 +Vfrom-object +p3830 +tp3831 +a(g822 +g966 +tp3832 +a(g822 +g1138 +tp3833 +a(g822 +g966 +tp3834 +a(g822 +Vnest-form +p3835 +tp3836 +a(g822 +g966 +tp3837 +a(g822 +g1138 +tp3838 +a(g822 +g966 +tp3839 +a(g677 +Vwhen* +p3840 +tp3841 +a(g740 +V;\u000a +p3842 +tp3843 +a(g822 +V\u000a +p3844 +tp3845 +a(g740 +g1094 +tp3846 +a(g822 +g966 +tp3847 +a(g569 +Vinit-relative-link-prefix +p3848 +tp3849 +a(g822 +g966 +tp3850 +a(g569 +V( +p3851 +tp3852 +a(g569 +V-- +p3853 +tp3854 +a(g569 +V)\u000a +p3855 +tp3856 +a(g822 +V +p3857 +tp3858 +a(g84 +VURL" $wiki/view/" +p3859 +tp3860 +a(g822 +g966 +tp3861 +a(g822 +Vadjust-url +p3862 +tp3863 +a(g822 +g966 +tp3864 +a(g822 +Vpresent +p3865 +tp3866 +a(g822 +g966 +tp3867 +a(g822 +Vrelative-link-prefix +p3868 +tp3869 +a(g822 +g966 +tp3870 +a(g677 +Vset +p3871 +tp3872 +a(g740 +V;\u000a +p3873 +tp3874 +a(g822 +V\u000a +p3875 +tp3876 +a(g740 +g1094 +tp3877 +a(g822 +g966 +tp3878 +a(g569 +V +p3879 +tp3880 +a(g822 +g966 +tp3881 +a(g569 +V( +p3882 +tp3883 +a(g569 +V-- +p3884 +tp3885 +a(g436 +Vdispatcher +p3886 +tp3887 +a(g822 +g966 +tp3888 +a(g569 +V)\u000a +p3889 +tp3890 +a(g822 +V +p3891 +tp3892 +a(g822 +Vwiki +p3893 +tp3894 +a(g822 +g966 +tp3895 +a(g822 +Vnew-dispatcher +p3896 +tp3897 +a(g822 +V\u000a +p3898 +tp3899 +a(g822 +V +p3900 +tp3901 +a(g822 +g966 +tp3902 +a(g84 +V"" +p3903 +tp3904 +a(g822 +g966 +tp3905 +a(g822 +Vadd-responder +p3906 +tp3907 +a(g822 +V\u000a +p3908 +tp3909 +a(g822 +V +p3910 +tp3911 +a(g822 +g966 +tp3912 +a(g84 +V"view" +p3913 +tp3914 +a(g822 +g966 +tp3915 +a(g822 +Vadd-responder +p3916 +tp3917 +a(g822 +V\u000a +p3918 +tp3919 +a(g822 +V +p3920 +tp3921 +a(g822 +g966 +tp3922 +a(g84 +V"revision" +p3923 +tp3924 +a(g822 +g966 +tp3925 +a(g822 +Vadd-responder +p3926 +tp3927 +a(g822 +V\u000a +p3928 +tp3929 +a(g822 +V +p3930 +tp3931 +a(g822 +g966 +tp3932 +a(g84 +V"random" +p3933 +tp3934 +a(g822 +g966 +tp3935 +a(g822 +Vadd-responder +p3936 +tp3937 +a(g822 +V\u000a +p3938 +tp3939 +a(g822 +V +p3940 +tp3941 +a(g822 +g966 +tp3942 +a(g84 +V"revisions" +p3943 +tp3944 +a(g822 +g966 +tp3945 +a(g822 +Vadd-responder +p3946 +tp3947 +a(g822 +V\u000a +p3948 +tp3949 +a(g822 +V +p3950 +tp3951 +a(g822 +g966 +tp3952 +a(g84 +V"revisions.atom" +p3953 +tp3954 +a(g822 +g966 +tp3955 +a(g822 +Vadd-responder +p3956 +tp3957 +a(g822 +V\u000a +p3958 +tp3959 +a(g822 +V +p3960 +tp3961 +a(g822 +g966 +tp3962 +a(g84 +V"diff" +p3963 +tp3964 +a(g822 +g966 +tp3965 +a(g822 +Vadd-responder +p3966 +tp3967 +a(g822 +V\u000a +p3968 +tp3969 +a(g822 +V +p3970 +tp3971 +a(g822 +g966 +tp3972 +a(g84 +V"edit" +p3973 +tp3974 +a(g822 +g966 +tp3975 +a(g822 +Vadd-responder +p3976 +tp3977 +a(g822 +V\u000a +p3978 +tp3979 +a(g822 +V +p3980 +tp3981 +a(g822 +g966 +tp3982 +a(g84 +V"submit" +p3983 +tp3984 +a(g822 +g966 +tp3985 +a(g822 +Vadd-responder +p3986 +tp3987 +a(g822 +V\u000a +p3988 +tp3989 +a(g822 +V +p3990 +tp3991 +a(g822 +g966 +tp3992 +a(g84 +V"rollback" +p3993 +tp3994 +a(g822 +g966 +tp3995 +a(g822 +Vadd-responder +p3996 +tp3997 +a(g822 +V\u000a +p3998 +tp3999 +a(g822 +V +p4000 +tp4001 +a(g822 +g966 +tp4002 +a(g84 +V"user-edits" +p4003 +tp4004 +a(g822 +g966 +tp4005 +a(g822 +Vadd-responder +p4006 +tp4007 +a(g822 +V\u000a +p4008 +tp4009 +a(g822 +V +p4010 +tp4011 +a(g822 +g966 +tp4012 +a(g84 +V"articles" +p4013 +tp4014 +a(g822 +g966 +tp4015 +a(g822 +Vadd-responder +p4016 +tp4017 +a(g822 +V\u000a +p4018 +tp4019 +a(g822 +V +p4020 +tp4021 +a(g822 +g966 +tp4022 +a(g84 +V"changes" +p4023 +tp4024 +a(g822 +g966 +tp4025 +a(g822 +Vadd-responder +p4026 +tp4027 +a(g822 +V\u000a +p4028 +tp4029 +a(g822 +V +p4030 +tp4031 +a(g822 +g966 +tp4032 +a(g84 +V"user-edits.atom" +p4033 +tp4034 +a(g822 +g966 +tp4035 +a(g822 +Vadd-responder +p4036 +tp4037 +a(g822 +V\u000a +p4038 +tp4039 +a(g822 +V +p4040 +tp4041 +a(g822 +g966 +tp4042 +a(g84 +V"changes.atom" +p4043 +tp4044 +a(g822 +g966 +tp4045 +a(g822 +Vadd-responder +p4046 +tp4047 +a(g822 +V\u000a +p4048 +tp4049 +a(g822 +V +p4050 +tp4051 +a(g822 +g966 +tp4052 +a(g84 +V"delete" +p4053 +tp4054 +a(g822 +g966 +tp4055 +a(g822 +Vadd-responder +p4056 +tp4057 +a(g822 +V\u000a +p4058 +tp4059 +a(g822 +V +p4060 +tp4061 +a(g822 +V\u000a +p4062 +tp4063 +a(g822 +g1117 +tp4064 +a(g822 +g966 +tp4065 +a(g822 +Vinit-sidebars +p4066 +tp4067 +a(g822 +g966 +tp4068 +a(g822 +Vinit-relative-link-prefix +p4069 +tp4070 +a(g822 +g966 +tp4071 +a(g822 +g1138 +tp4072 +a(g822 +g966 +tp4073 +a(g822 +V>>init +p4074 +tp4075 +a(g822 +V\u000a +p4076 +tp4077 +a(g822 +g1345 +tp4078 +a(g822 +g966 +tp4079 +a(g822 +Vwiki +p4080 +tp4081 +a(g822 +g966 +tp4082 +a(g84 +V"wiki-common" +p4083 +tp4084 +a(g822 +g966 +tp4085 +a(g822 +g1364 +tp4086 +a(g822 +g966 +tp4087 +a(g822 +V>>template +p4088 +tp4089 +a(g822 +g966 +tp4090 +a(g740 +V;\u000a +p4091 +tp4092 +a(g822 +V\u000a +p4093 +tp4094 +a(g740 +g1094 +tp4095 +a(g822 +g966 +tp4096 +a(g569 +Vinit-wiki +p4097 +tp4098 +a(g822 +g966 +tp4099 +a(g569 +V( +p4100 +tp4101 +a(g569 +V-- +p4102 +tp4103 +a(g569 +V)\u000a +p4104 +tp4105 +a(g822 +V +p4106 +tp4107 +a(g84 +V"resource:extra/webapps/wiki/initial-content" +p4108 +tp4109 +a(g822 +g966 +tp4110 +a(g822 +g1117 +tp4111 +a(g822 +V\u000a +p4112 +tp4113 +a(g822 +g1117 +tp4114 +a(g822 +V\u000a +p4115 +tp4116 +a(g677 +Vdup +p4117 +tp4118 +a(g84 +V".txt" +p4119 +tp4120 +a(g822 +g966 +tp4121 +a(g822 +V?tail +p4122 +tp4123 +a(g822 +g966 +tp4124 +a(g822 +g1117 +tp4125 +a(g822 +V\u000a +p4126 +tp4127 +a(g677 +Vswap +p4128 +tp4129 +a(g822 +Vascii +p4130 +tp4131 +a(g822 +g966 +tp4132 +a(g822 +Vfile-contents +p4133 +tp4134 +a(g822 +V\u000a +p4135 +tp4136 +a(g561 +Vf +p4137 +tp4138 +a(g822 +V +p4139 +tp4140 +a(g822 +V\u000a +p4141 +tp4142 +a(g677 +Vswap +p4143 +tp4144 +a(g822 +V>>content +p4145 +tp4146 +a(g822 +V\u000a +p4147 +tp4148 +a(g677 +Vswap +p4149 +tp4150 +a(g822 +V>>title +p4151 +tp4152 +a(g822 +V\u000a +p4153 +tp4154 +a(g84 +V"slava" +p4155 +tp4156 +a(g822 +g966 +tp4157 +a(g822 +V>>author +p4158 +tp4159 +a(g822 +V\u000a +p4160 +tp4161 +a(g822 +Vnow +p4162 +tp4163 +a(g822 +g966 +tp4164 +a(g822 +V>>date +p4165 +tp4166 +a(g822 +V\u000a +p4167 +tp4168 +a(g822 +Vadd-revision +p4169 +tp4170 +a(g822 +V\u000a +p4171 +tp4172 +a(g822 +g1138 +tp4173 +a(g822 +g966 +tp4174 +a(g822 +g1117 +tp4175 +a(g822 +g966 +tp4176 +a(g677 +V2drop +p4177 +tp4178 +a(g822 +g1138 +tp4179 +a(g822 +g966 +tp4180 +a(g677 +Vif\u000a +p4181 +tp4182 +a(g822 +V +p4183 +tp4184 +a(g822 +g1138 +tp4185 +a(g822 +g966 +tp4186 +a(g677 +Veach\u000a +p4187 +tp4188 +a(g822 +V +p4189 +tp4190 +a(g822 +g1138 +tp4191 +a(g822 +g966 +tp4192 +a(g822 +Vwith-directory-files +p4193 +tp4194 +a(g822 +g966 +tp4195 +a(g740 +V;\u000a +p4196 +tp4197 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/xml_example b/tests/examplefiles/output/xml_example new file mode 100644 index 0000000..e54407e --- /dev/null +++ b/tests/examplefiles/output/xml_example @@ -0,0 +1,52730 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +S'Preproc' +p5 +tp6 +tp7 +Rp8 +(dp9 +S'parent' +p10 +g1 +(g2 +g3 +(g4 +tp11 +tp12 +Rp13 +(dp14 +S'Multi' +p15 +g1 +(g2 +g3 +(g4 +g15 +tp16 +tp17 +Rp18 +(dp19 +g10 +g13 +sS'subtypes' +p20 +c__builtin__ +set +p21 +((lp22 +tp23 +Rp24 +sbsg10 +g1 +(g2 +g3 +(ttp25 +Rp26 +(dp27 +S'Number' +p28 +g1 +(g2 +g3 +(S'Literal' +p29 +g28 +tp30 +tp31 +Rp32 +(dp33 +S'Integer' +p34 +g1 +(g2 +g3 +(g29 +g28 +g34 +tp35 +tp36 +Rp37 +(dp38 +g10 +g32 +sS'Long' +p39 +g1 +(g2 +g3 +(g29 +g28 +g34 +g39 +tp40 +tp41 +Rp42 +(dp43 +g10 +g37 +sg20 +g21 +((lp44 +tp45 +Rp46 +sbsg20 +g21 +((lp47 +g42 +atp48 +Rp49 +sbsg10 +g1 +(g2 +g3 +(g29 +tp50 +tp51 +Rp52 +(dp53 +S'Scalar' +p54 +g1 +(g2 +g3 +(g29 +g54 +tp55 +tp56 +Rp57 +(dp58 +g10 +g52 +sg20 +g21 +((lp59 +g1 +(g2 +g3 +(g29 +g54 +S'Plain' +p60 +tp61 +tp62 +Rp63 +(dp64 +g10 +g57 +sg20 +g21 +((lp65 +tp66 +Rp67 +sbatp68 +Rp69 +sg60 +g63 +sbsg28 +g32 +sg10 +g26 +sS'Other' +p70 +g1 +(g2 +g3 +(g29 +g70 +tp71 +tp72 +Rp73 +(dp74 +g10 +g52 +sg20 +g21 +((lp75 +tp76 +Rp77 +sbsS'Char' +p78 +g1 +(g2 +g3 +(g29 +g78 +tp79 +tp80 +Rp81 +(dp82 +g10 +g52 +sg20 +g21 +((lp83 +tp84 +Rp85 +sbsS'String' +p86 +g1 +(g2 +g3 +(g29 +g86 +tp87 +tp88 +Rp89 +(dp90 +g78 +g1 +(g2 +g3 +(g29 +g86 +g78 +tp91 +tp92 +Rp93 +(dp94 +g10 +g89 +sg20 +g21 +((lp95 +tp96 +Rp97 +sbsS'Backtick' +p98 +g1 +(g2 +g3 +(g29 +g86 +g98 +tp99 +tp100 +Rp101 +(dp102 +g10 +g89 +sg20 +g21 +((lp103 +tp104 +Rp105 +sbsS'Heredoc' +p106 +g1 +(g2 +g3 +(g29 +g86 +g106 +tp107 +tp108 +Rp109 +(dp110 +g10 +g89 +sg20 +g21 +((lp111 +tp112 +Rp113 +sbsS'Symbol' +p114 +g1 +(g2 +g3 +(g29 +g86 +g114 +tp115 +tp116 +Rp117 +(dp118 +g10 +g89 +sg20 +g21 +((lp119 +tp120 +Rp121 +sbsS'Interpol' +p122 +g1 +(g2 +g3 +(g29 +g86 +g122 +tp123 +tp124 +Rp125 +(dp126 +g10 +g89 +sg20 +g21 +((lp127 +tp128 +Rp129 +sbsS'Delimiter' +p130 +g1 +(g2 +g3 +(g29 +g86 +g130 +tp131 +tp132 +Rp133 +(dp134 +g10 +g89 +sg20 +g21 +((lp135 +tp136 +Rp137 +sbsS'Boolean' +p138 +g1 +(g2 +g3 +(g29 +g86 +g138 +tp139 +tp140 +Rp141 +(dp142 +g10 +g89 +sg20 +g21 +((lp143 +tp144 +Rp145 +sbsS'Character' +p146 +g1 +(g2 +g3 +(g29 +g86 +g146 +tp147 +tp148 +Rp149 +(dp150 +g10 +g89 +sg20 +g21 +((lp151 +tp152 +Rp153 +sbsS'Double' +p154 +g1 +(g2 +g3 +(g29 +g86 +g154 +tp155 +tp156 +Rp157 +(dp158 +g10 +g89 +sg20 +g21 +((lp159 +tp160 +Rp161 +sbsS'Delimeter' +p162 +g1 +(g2 +g3 +(g29 +g86 +g162 +tp163 +tp164 +Rp165 +(dp166 +g10 +g89 +sg20 +g21 +((lp167 +tp168 +Rp169 +sbsS'Atom' +p170 +g1 +(g2 +g3 +(g29 +g86 +g170 +tp171 +tp172 +Rp173 +(dp174 +g10 +g89 +sg20 +g21 +((lp175 +tp176 +Rp177 +sbsS'Affix' +p178 +g1 +(g2 +g3 +(g29 +g86 +g178 +tp179 +tp180 +Rp181 +(dp182 +g10 +g89 +sg20 +g21 +((lp183 +tp184 +Rp185 +sbsS'Name' +p186 +g1 +(g2 +g3 +(g29 +g86 +g186 +tp187 +tp188 +Rp189 +(dp190 +g10 +g89 +sg20 +g21 +((lp191 +tp192 +Rp193 +sbsS'Regex' +p194 +g1 +(g2 +g3 +(g29 +g86 +g194 +tp195 +tp196 +Rp197 +(dp198 +g10 +g89 +sg20 +g21 +((lp199 +tp200 +Rp201 +sbsS'Interp' +p202 +g1 +(g2 +g3 +(g29 +g86 +g202 +tp203 +tp204 +Rp205 +(dp206 +g10 +g89 +sg20 +g21 +((lp207 +tp208 +Rp209 +sbsS'Escape' +p210 +g1 +(g2 +g3 +(g29 +g86 +g210 +tp211 +tp212 +Rp213 +(dp214 +g10 +g89 +sg20 +g21 +((lp215 +tp216 +Rp217 +sbsg20 +g21 +((lp218 +g133 +ag117 +ag197 +ag1 +(g2 +g3 +(g29 +g86 +S'Doc' +p219 +tp220 +tp221 +Rp222 +(dp223 +g10 +g89 +sg20 +g21 +((lp224 +tp225 +Rp226 +sbag149 +ag141 +ag157 +ag125 +ag173 +ag165 +ag189 +ag213 +ag1 +(g2 +g3 +(g29 +g86 +S'Single' +p227 +tp228 +tp229 +Rp230 +(dp231 +g10 +g89 +sg20 +g21 +((lp232 +tp233 +Rp234 +sbag1 +(g2 +g3 +(g29 +g86 +g70 +tp235 +tp236 +Rp237 +(dp238 +g10 +g89 +sg20 +g21 +((lp239 +tp240 +Rp241 +sbag205 +ag101 +ag181 +ag1 +(g2 +g3 +(g29 +g86 +S'Moment' +p242 +tp243 +tp244 +Rp245 +(dp246 +g10 +g89 +sg20 +g21 +((lp247 +tp248 +Rp249 +sbag93 +ag109 +atp250 +Rp251 +sg227 +g230 +sg242 +g245 +sg10 +g52 +sg70 +g237 +sg219 +g222 +sbsg20 +g21 +((lp252 +g89 +ag81 +ag73 +ag1 +(g2 +g3 +(g29 +S'Date' +p253 +tp254 +tp255 +Rp256 +(dp257 +g10 +g52 +sg20 +g21 +((lp258 +tp259 +Rp260 +sbag57 +ag32 +atp261 +Rp262 +sg253 +g256 +sbsS'Bin' +p263 +g1 +(g2 +g3 +(g29 +g28 +g263 +tp264 +tp265 +Rp266 +(dp267 +g10 +g32 +sg20 +g21 +((lp268 +tp269 +Rp270 +sbsS'Radix' +p271 +g1 +(g2 +g3 +(g29 +g28 +g271 +tp272 +tp273 +Rp274 +(dp275 +g10 +g32 +sg20 +g21 +((lp276 +tp277 +Rp278 +sbsS'Oct' +p279 +g1 +(g2 +g3 +(g29 +g28 +g279 +tp280 +tp281 +Rp282 +(dp283 +g10 +g32 +sg20 +g21 +((lp284 +tp285 +Rp286 +sbsS'Dec' +p287 +g1 +(g2 +g3 +(g29 +g28 +g287 +tp288 +tp289 +Rp290 +(dp291 +g10 +g32 +sg20 +g21 +((lp292 +tp293 +Rp294 +sbsS'Hex' +p295 +g1 +(g2 +g3 +(g29 +g28 +g295 +tp296 +tp297 +Rp298 +(dp299 +g10 +g32 +sg20 +g21 +((lp300 +tp301 +Rp302 +sbsg20 +g21 +((lp303 +g37 +ag274 +ag290 +ag1 +(g2 +g3 +(g29 +g28 +S'Decimal' +p304 +tp305 +tp306 +Rp307 +(dp308 +g10 +g32 +sg20 +g21 +((lp309 +tp310 +Rp311 +sbag266 +ag1 +(g2 +g3 +(g29 +g28 +S'Float' +p312 +tp313 +tp314 +Rp315 +(dp316 +g10 +g32 +sg20 +g21 +((lp317 +tp318 +Rp319 +sbag282 +ag298 +atp320 +Rp321 +sg304 +g307 +sg312 +g315 +sbsS'Generic' +p322 +g1 +(g2 +g3 +(g322 +tp323 +tp324 +Rp325 +(dp326 +g10 +g26 +sS'Deleted' +p327 +g1 +(g2 +g3 +(g322 +g327 +tp328 +tp329 +Rp330 +(dp331 +g10 +g325 +sg20 +g21 +((lp332 +tp333 +Rp334 +sbsS'Subheading' +p335 +g1 +(g2 +g3 +(g322 +g335 +tp336 +tp337 +Rp338 +(dp339 +g10 +g325 +sg20 +g21 +((lp340 +tp341 +Rp342 +sbsS'Heading' +p343 +g1 +(g2 +g3 +(g322 +g343 +tp344 +tp345 +Rp346 +(dp347 +g10 +g325 +sg20 +g21 +((lp348 +tp349 +Rp350 +sbsS'Emph' +p351 +g1 +(g2 +g3 +(g322 +g351 +tp352 +tp353 +Rp354 +(dp355 +g10 +g325 +sg20 +g21 +((lp356 +tp357 +Rp358 +sbsS'Prompt' +p359 +g1 +(g2 +g3 +(g322 +g359 +tp360 +tp361 +Rp362 +(dp363 +g10 +g325 +sg20 +g21 +((lp364 +tp365 +Rp366 +sbsS'Inserted' +p367 +g1 +(g2 +g3 +(g322 +g367 +tp368 +tp369 +Rp370 +(dp371 +g10 +g325 +sg20 +g21 +((lp372 +tp373 +Rp374 +sbsS'Strong' +p375 +g1 +(g2 +g3 +(g322 +g375 +tp376 +tp377 +Rp378 +(dp379 +g10 +g325 +sg20 +g21 +((lp380 +tp381 +Rp382 +sbsS'Error' +p383 +g1 +(g2 +g3 +(g322 +g383 +tp384 +tp385 +Rp386 +(dp387 +g10 +g325 +sg20 +g21 +((lp388 +tp389 +Rp390 +sbsS'Traceback' +p391 +g1 +(g2 +g3 +(g322 +g391 +tp392 +tp393 +Rp394 +(dp395 +g10 +g325 +sg20 +g21 +((lp396 +tp397 +Rp398 +sbsg20 +g21 +((lp399 +g346 +ag338 +ag1 +(g2 +g3 +(g322 +S'Output' +p400 +tp401 +tp402 +Rp403 +(dp404 +g10 +g325 +sg20 +g21 +((lp405 +tp406 +Rp407 +sbag378 +ag354 +ag386 +ag394 +ag370 +ag362 +ag330 +atp408 +Rp409 +sg400 +g403 +sbsS'Operator' +p410 +g1 +(g2 +g3 +(g410 +tp411 +tp412 +Rp413 +(dp414 +g10 +g26 +sS'DBS' +p415 +g1 +(g2 +g3 +(g410 +g415 +tp416 +tp417 +Rp418 +(dp419 +g10 +g413 +sg20 +g21 +((lp420 +tp421 +Rp422 +sbsg20 +g21 +((lp423 +g418 +ag1 +(g2 +g3 +(g410 +S'Word' +p424 +tp425 +tp426 +Rp427 +(dp428 +g10 +g413 +sg20 +g21 +((lp429 +tp430 +Rp431 +sbatp432 +Rp433 +sg424 +g427 +sbsg86 +g89 +sg186 +g1 +(g2 +g3 +(g186 +tp434 +tp435 +Rp436 +(dp437 +S'Variable' +p438 +g1 +(g2 +g3 +(g186 +g438 +tp439 +tp440 +Rp441 +(dp442 +g10 +g436 +sS'Class' +p443 +g1 +(g2 +g3 +(g186 +g438 +g443 +tp444 +tp445 +Rp446 +(dp447 +g10 +g441 +sg20 +g21 +((lp448 +tp449 +Rp450 +sbsS'Anonymous' +p451 +g1 +(g2 +g3 +(g186 +g438 +g451 +tp452 +tp453 +Rp454 +(dp455 +g10 +g441 +sg20 +g21 +((lp456 +tp457 +Rp458 +sbsS'Instance' +p459 +g1 +(g2 +g3 +(g186 +g438 +g459 +tp460 +tp461 +Rp462 +(dp463 +g10 +g441 +sg20 +g21 +((lp464 +tp465 +Rp466 +sbsS'Global' +p467 +g1 +(g2 +g3 +(g186 +g438 +g467 +tp468 +tp469 +Rp470 +(dp471 +g10 +g441 +sg20 +g21 +((lp472 +tp473 +Rp474 +sbsg20 +g21 +((lp475 +g454 +ag462 +ag1 +(g2 +g3 +(g186 +g438 +S'Magic' +p476 +tp477 +tp478 +Rp479 +(dp480 +g10 +g441 +sg20 +g21 +((lp481 +tp482 +Rp483 +sbag470 +ag446 +atp484 +Rp485 +sg476 +g479 +sbsg410 +g1 +(g2 +g3 +(g186 +g410 +tp486 +tp487 +Rp488 +(dp489 +g10 +g436 +sg20 +g21 +((lp490 +tp491 +Rp492 +sbsS'Decorator' +p493 +g1 +(g2 +g3 +(g186 +g493 +tp494 +tp495 +Rp496 +(dp497 +g10 +g436 +sg20 +g21 +((lp498 +tp499 +Rp500 +sbsS'Entity' +p501 +g1 +(g2 +g3 +(g186 +g501 +tp502 +tp503 +Rp504 +(dp505 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g501 +g415 +tp506 +tp507 +Rp508 +(dp509 +g10 +g504 +sg20 +g21 +((lp510 +tp511 +Rp512 +sbsg20 +g21 +((lp513 +g508 +atp514 +Rp515 +sbsg114 +g1 +(g2 +g3 +(g186 +g114 +tp516 +tp517 +Rp518 +(dp519 +g10 +g436 +sg20 +g21 +((lp520 +tp521 +Rp522 +sbsS'Property' +p523 +g1 +(g2 +g3 +(g186 +g523 +tp524 +tp525 +Rp526 +(dp527 +g10 +g436 +sg20 +g21 +((lp528 +tp529 +Rp530 +sbsS'Pseudo' +p531 +g1 +(g2 +g3 +(g186 +g531 +tp532 +tp533 +Rp534 +(dp535 +g10 +g436 +sg20 +g21 +((lp536 +tp537 +Rp538 +sbsS'Type' +p539 +g1 +(g2 +g3 +(g186 +g539 +tp540 +tp541 +Rp542 +(dp543 +g10 +g436 +sg20 +g21 +((lp544 +tp545 +Rp546 +sbsS'Classes' +p547 +g1 +(g2 +g3 +(g186 +g547 +tp548 +tp549 +Rp550 +(dp551 +g10 +g436 +sg20 +g21 +((lp552 +tp553 +Rp554 +sbsS'Tag' +p555 +g1 +(g2 +g3 +(g186 +g555 +tp556 +tp557 +Rp558 +(dp559 +g10 +g436 +sg20 +g21 +((lp560 +tp561 +Rp562 +sbsS'Constant' +p563 +g1 +(g2 +g3 +(g186 +g563 +tp564 +tp565 +Rp566 +(dp567 +g10 +g436 +sg20 +g21 +((lp568 +tp569 +Rp570 +sbsS'Function' +p571 +g1 +(g2 +g3 +(g186 +g571 +tp572 +tp573 +Rp574 +(dp575 +g10 +g436 +sg20 +g21 +((lp576 +g1 +(g2 +g3 +(g186 +g571 +g476 +tp577 +tp578 +Rp579 +(dp580 +g10 +g574 +sg20 +g21 +((lp581 +tp582 +Rp583 +sbatp584 +Rp585 +sg476 +g579 +sbsS'Blubb' +p586 +g1 +(g2 +g3 +(g186 +g586 +tp587 +tp588 +Rp589 +(dp590 +g10 +g436 +sg20 +g21 +((lp591 +tp592 +Rp593 +sbsS'Label' +p594 +g1 +(g2 +g3 +(g186 +g594 +tp595 +tp596 +Rp597 +(dp598 +g10 +g436 +sg20 +g21 +((lp599 +tp600 +Rp601 +sbsS'Field' +p602 +g1 +(g2 +g3 +(g186 +g602 +tp603 +tp604 +Rp605 +(dp606 +g10 +g436 +sg20 +g21 +((lp607 +tp608 +Rp609 +sbsS'Exception' +p610 +g1 +(g2 +g3 +(g186 +g610 +tp611 +tp612 +Rp613 +(dp614 +g10 +g436 +sg20 +g21 +((lp615 +tp616 +Rp617 +sbsS'Namespace' +p618 +g1 +(g2 +g3 +(g186 +g618 +tp619 +tp620 +Rp621 +(dp622 +g10 +g436 +sg20 +g21 +((lp623 +tp624 +Rp625 +sbsg20 +g21 +((lp626 +g496 +ag589 +ag534 +ag504 +ag441 +ag613 +ag526 +ag558 +ag574 +ag550 +ag1 +(g2 +g3 +(g186 +g443 +tp627 +tp628 +Rp629 +(dp630 +g10 +g436 +sg415 +g1 +(g2 +g3 +(g186 +g443 +g415 +tp631 +tp632 +Rp633 +(dp634 +g10 +g629 +sg20 +g21 +((lp635 +tp636 +Rp637 +sbsg20 +g21 +((lp638 +g1 +(g2 +g3 +(g186 +g443 +S'Start' +p639 +tp640 +tp641 +Rp642 +(dp643 +g10 +g629 +sg20 +g21 +((lp644 +tp645 +Rp646 +sbag633 +atp647 +Rp648 +sg639 +g642 +sbag1 +(g2 +g3 +(g186 +g70 +tp649 +tp650 +Rp651 +(dp652 +g10 +g436 +sS'Member' +p653 +g1 +(g2 +g3 +(g186 +g70 +g653 +tp654 +tp655 +Rp656 +(dp657 +g10 +g651 +sg20 +g21 +((lp658 +tp659 +Rp660 +sbsg20 +g21 +((lp661 +g656 +atp662 +Rp663 +sbag597 +ag488 +ag621 +ag1 +(g2 +g3 +(g186 +S'Attribute' +p664 +tp665 +tp666 +Rp667 +(dp668 +g10 +g436 +sg438 +g1 +(g2 +g3 +(g186 +g664 +g438 +tp669 +tp670 +Rp671 +(dp672 +g10 +g667 +sg20 +g21 +((lp673 +tp674 +Rp675 +sbsg20 +g21 +((lp676 +g671 +atp677 +Rp678 +sbag566 +ag1 +(g2 +g3 +(g186 +S'Builtin' +p679 +tp680 +tp681 +Rp682 +(dp683 +g10 +g436 +sg539 +g1 +(g2 +g3 +(g186 +g679 +g539 +tp684 +tp685 +Rp686 +(dp687 +g10 +g682 +sg20 +g21 +((lp688 +tp689 +Rp690 +sbsg20 +g21 +((lp691 +g1 +(g2 +g3 +(g186 +g679 +g531 +tp692 +tp693 +Rp694 +(dp695 +g10 +g682 +sg20 +g21 +((lp696 +tp697 +Rp698 +sbag686 +atp699 +Rp700 +sg531 +g694 +sbag605 +ag542 +ag518 +atp701 +Rp702 +sg10 +g26 +sg443 +g629 +sg679 +g682 +sg664 +g667 +sg70 +g651 +sbsS'Punctuation' +p703 +g1 +(g2 +g3 +(g703 +tp704 +tp705 +Rp706 +(dp707 +g10 +g26 +sg20 +g21 +((lp708 +g1 +(g2 +g3 +(g703 +S'Indicator' +p709 +tp710 +tp711 +Rp712 +(dp713 +g10 +g706 +sg20 +g21 +((lp714 +tp715 +Rp716 +sbatp717 +Rp718 +sg709 +g712 +sbsg4 +g13 +sg29 +g52 +sg70 +g1 +(g2 +g3 +(g70 +tp719 +tp720 +Rp721 +(dp722 +g10 +g26 +sg20 +g21 +((lp723 +tp724 +Rp725 +sbsg383 +g1 +(g2 +g3 +(g383 +tp726 +tp727 +Rp728 +(dp729 +g10 +g26 +sg20 +g21 +((lp730 +tp731 +Rp732 +sbsS'Token' +p733 +g26 +sg210 +g1 +(g2 +g3 +(g210 +tp734 +tp735 +Rp736 +(dp737 +g10 +g26 +sg20 +g21 +((lp738 +tp739 +Rp740 +sbsg20 +g21 +((lp741 +g436 +ag721 +ag1 +(g2 +g3 +(S'Keyword' +p742 +tp743 +tp744 +Rp745 +(dp746 +g10 +g26 +sg539 +g1 +(g2 +g3 +(g742 +g539 +tp747 +tp748 +Rp749 +(dp750 +g10 +g745 +sg20 +g21 +((lp751 +tp752 +Rp753 +sbsS'Control' +p754 +g1 +(g2 +g3 +(g742 +g754 +tp755 +tp756 +Rp757 +(dp758 +g10 +g745 +sg20 +g21 +((lp759 +tp760 +Rp761 +sbsg563 +g1 +(g2 +g3 +(g742 +g563 +tp762 +tp763 +Rp764 +(dp765 +g10 +g745 +sg20 +g21 +((lp766 +tp767 +Rp768 +sbsg618 +g1 +(g2 +g3 +(g742 +g618 +tp769 +tp770 +Rp771 +(dp772 +g10 +g745 +sg20 +g21 +((lp773 +tp774 +Rp775 +sbsS'PreProc' +p776 +g1 +(g2 +g3 +(g742 +g776 +tp777 +tp778 +Rp779 +(dp780 +g10 +g745 +sg20 +g21 +((lp781 +tp782 +Rp783 +sbsg531 +g1 +(g2 +g3 +(g742 +g531 +tp784 +tp785 +Rp786 +(dp787 +g10 +g745 +sg20 +g21 +((lp788 +tp789 +Rp790 +sbsS'Reserved' +p791 +g1 +(g2 +g3 +(g742 +g791 +tp792 +tp793 +Rp794 +(dp795 +g10 +g745 +sg20 +g21 +((lp796 +tp797 +Rp798 +sbsg20 +g21 +((lp799 +g771 +ag1 +(g2 +g3 +(g742 +g424 +tp800 +tp801 +Rp802 +(dp803 +g10 +g745 +sg20 +g21 +((lp804 +tp805 +Rp806 +sbag757 +ag1 +(g2 +g3 +(g742 +S'Declaration' +p807 +tp808 +tp809 +Rp810 +(dp811 +g10 +g745 +sg20 +g21 +((lp812 +tp813 +Rp814 +sbag1 +(g2 +g3 +(g742 +g742 +tp815 +tp816 +Rp817 +(dp818 +g10 +g745 +sg20 +g21 +((lp819 +tp820 +Rp821 +sbag786 +ag764 +ag749 +ag794 +ag779 +atp822 +Rp823 +sg742 +g817 +sg807 +g810 +sg424 +g802 +sbag325 +ag1 +(g2 +g3 +(S'Text' +p824 +tp825 +tp826 +Rp827 +(dp828 +S'Beer' +p829 +g1 +(g2 +g3 +(g824 +g829 +tp830 +tp831 +Rp832 +(dp833 +g10 +g827 +sg20 +g21 +((lp834 +tp835 +Rp836 +sbsS'Whitespace' +p837 +g1 +(g2 +g3 +(g824 +g837 +tp838 +tp839 +Rp840 +(dp841 +g10 +g827 +sg20 +g21 +((lp842 +tp843 +Rp844 +sbsg10 +g26 +sS'Root' +p845 +g1 +(g2 +g3 +(g824 +g845 +tp846 +tp847 +Rp848 +(dp849 +g10 +g827 +sg20 +g21 +((lp850 +tp851 +Rp852 +sbsg114 +g1 +(g2 +g3 +(g824 +g114 +tp853 +tp854 +Rp855 +(dp856 +g10 +g827 +sg20 +g21 +((lp857 +tp858 +Rp859 +sbsg703 +g1 +(g2 +g3 +(g824 +g703 +tp860 +tp861 +Rp862 +(dp863 +g10 +g827 +sg20 +g21 +((lp864 +tp865 +Rp866 +sbsg20 +g21 +((lp867 +g848 +ag855 +ag862 +ag840 +ag832 +ag1 +(g2 +g3 +(g824 +S'Rag' +p868 +tp869 +tp870 +Rp871 +(dp872 +g10 +g827 +sg20 +g21 +((lp873 +tp874 +Rp875 +sbatp876 +Rp877 +sg868 +g871 +sbag413 +ag736 +ag706 +ag13 +ag728 +ag52 +atp878 +Rp879 +sg742 +g745 +sg824 +g827 +sbsS'Special' +p880 +g1 +(g2 +g3 +(g4 +g880 +tp881 +tp882 +Rp883 +(dp884 +g10 +g13 +sg20 +g21 +((lp885 +tp886 +Rp887 +sbsS'Hashbang' +p888 +g1 +(g2 +g3 +(g4 +g888 +tp889 +tp890 +Rp891 +(dp892 +g10 +g13 +sg20 +g21 +((lp893 +tp894 +Rp895 +sbsg5 +g8 +sg227 +g1 +(g2 +g3 +(g4 +g227 +tp896 +tp897 +Rp898 +(dp899 +g10 +g13 +sg20 +g21 +((lp900 +tp901 +Rp902 +sbsS'Directive' +p903 +g1 +(g2 +g3 +(g4 +g903 +tp904 +tp905 +Rp906 +(dp907 +g10 +g13 +sg20 +g21 +((lp908 +tp909 +Rp910 +sbsg219 +g1 +(g2 +g3 +(g4 +g219 +tp911 +tp912 +Rp913 +(dp914 +g10 +g13 +sg20 +g21 +((lp915 +tp916 +Rp917 +sbsS'Singleline' +p918 +g1 +(g2 +g3 +(g4 +g918 +tp919 +tp920 +Rp921 +(dp922 +g10 +g13 +sg20 +g21 +((lp923 +tp924 +Rp925 +sbsS'Multiline' +p926 +g1 +(g2 +g3 +(g4 +g926 +tp927 +tp928 +Rp929 +(dp930 +g10 +g13 +sg20 +g21 +((lp931 +tp932 +Rp933 +sbsg20 +g21 +((lp934 +g913 +ag906 +ag891 +ag18 +ag921 +ag8 +ag929 +ag898 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p935 +tp936 +tp937 +Rp938 +(dp939 +g10 +g13 +sg20 +g21 +((lp940 +tp941 +Rp942 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p943 +tp944 +tp945 +Rp946 +(dp947 +g10 +g13 +sg20 +g21 +((lp948 +tp949 +Rp950 +sbag883 +atp951 +Rp952 +sg935 +g938 +sg943 +g946 +sbsg20 +g21 +((lp953 +tp954 +Rp955 +sbV +p956 +tp957 +a(g827 +V\u000a +p958 +tp959 +a(g8 +V +p960 +tp961 +a(g827 +V\u000a +p962 +tp963 +a(g558 +V +p997 +tp998 +a(g827 +V\u000a +p999 +tp1000 +a(g558 +V +p1021 +tp1022 +a(g827 +V\u000a +p1023 +tp1024 +a(g558 +V +p1030 +tp1031 +a(g827 +V\u000a +p1032 +tp1033 +a(g558 +V +p1039 +tp1040 +a(g827 +V\u000a +p1041 +tp1042 +a(g558 +V +p1048 +tp1049 +a(g827 +V\u000a +p1050 +tp1051 +a(g558 +V +p1057 +tp1058 +a(g827 +V\u000a +p1059 +tp1060 +a(g558 +V +p1066 +tp1067 +a(g827 +V\u000a +p1068 +tp1069 +a(g558 +V +p1075 +tp1076 +a(g827 +V\u000a +p1077 +tp1078 +a(g558 +V +p1084 +tp1085 +a(g827 +V\u000a +p1086 +tp1087 +a(g558 +V +p1093 +tp1094 +a(g827 +V\u000a +p1095 +tp1096 +a(g558 +V +p1102 +tp1103 +a(g827 +V\u000a +p1104 +tp1105 +a(g558 +V +p1111 +tp1112 +a(g827 +V\u000a +p1113 +tp1114 +a(g558 +V +p1120 +tp1121 +a(g827 +V\u000a +p1122 +tp1123 +a(g558 +V +p1129 +tp1130 +a(g827 +V\u000a +p1131 +tp1132 +a(g558 +V +p1138 +tp1139 +a(g827 +V\u000a +p1140 +tp1141 +a(g558 +V +p1147 +tp1148 +a(g827 +V\u000a +p1149 +tp1150 +a(g558 +V +p1156 +tp1157 +a(g827 +V\u000a +p1158 +tp1159 +a(g558 +V +p1165 +tp1166 +a(g827 +V\u000a +p1167 +tp1168 +a(g558 +V +p1174 +tp1175 +a(g827 +V\u000a +p1176 +tp1177 +a(g558 +V +p1183 +tp1184 +a(g827 +V\u000a +p1185 +tp1186 +a(g558 +V +p1192 +tp1193 +a(g827 +V\u000a +p1194 +tp1195 +a(g558 +V +p1201 +tp1202 +a(g827 +V\u000a +p1203 +tp1204 +a(g558 +V +p1210 +tp1211 +a(g827 +V\u000a +p1212 +tp1213 +a(g558 +V +p1219 +tp1220 +a(g827 +V\u000a +p1221 +tp1222 +a(g558 +V +p1228 +tp1229 +a(g827 +V\u000a +p1230 +tp1231 +a(g558 +V +p1237 +tp1238 +a(g827 +V\u000a +p1239 +tp1240 +a(g558 +V +p1246 +tp1247 +a(g827 +V\u000a +p1248 +tp1249 +a(g558 +V +p1255 +tp1256 +a(g827 +V\u000a +p1257 +tp1258 +a(g558 +V +p1264 +tp1265 +a(g827 +V\u000a +p1266 +tp1267 +a(g558 +V +p1273 +tp1274 +a(g827 +V\u000a +p1275 +tp1276 +a(g558 +V +p1282 +tp1283 +a(g827 +V\u000a +p1284 +tp1285 +a(g558 +V +p1291 +tp1292 +a(g827 +V\u000a +p1293 +tp1294 +a(g558 +V +p1300 +tp1301 +a(g827 +V\u000a +p1302 +tp1303 +a(g558 +V +p1309 +tp1310 +a(g827 +V\u000a +p1311 +tp1312 +a(g558 +V +p1318 +tp1319 +a(g827 +V\u000a +p1320 +tp1321 +a(g558 +V +p1327 +tp1328 +a(g827 +V\u000a +p1329 +tp1330 +a(g558 +V +p1336 +tp1337 +a(g827 +V\u000a +p1338 +tp1339 +a(g558 +V +p1345 +tp1346 +a(g827 +V\u000a +p1347 +tp1348 +a(g558 +V +p1354 +tp1355 +a(g827 +V\u000a +p1356 +tp1357 +a(g558 +V +p1363 +tp1364 +a(g827 +V\u000a +p1365 +tp1366 +a(g558 +V +p1372 +tp1373 +a(g827 +V\u000a +p1374 +tp1375 +a(g558 +V +p1381 +tp1382 +a(g827 +V\u000a +p1383 +tp1384 +a(g558 +V +p1390 +tp1391 +a(g827 +V\u000a +p1392 +tp1393 +a(g558 +V +p1399 +tp1400 +a(g827 +V\u000a +p1401 +tp1402 +a(g558 +V +p1408 +tp1409 +a(g827 +V\u000a +p1410 +tp1411 +a(g558 +V +p1417 +tp1418 +a(g827 +V\u000a +p1419 +tp1420 +a(g558 +V +p1426 +tp1427 +a(g827 +V\u000a +p1428 +tp1429 +a(g558 +V +p1435 +tp1436 +a(g827 +V\u000a +p1437 +tp1438 +a(g558 +V +p1444 +tp1445 +a(g827 +V\u000a +p1446 +tp1447 +a(g558 +V +p1453 +tp1454 +a(g827 +V\u000a +p1455 +tp1456 +a(g558 +V +p1462 +tp1463 +a(g827 +V\u000a +p1464 +tp1465 +a(g558 +V +p1471 +tp1472 +a(g827 +V\u000a +p1473 +tp1474 +a(g558 +V +p1480 +tp1481 +a(g827 +V\u000a +p1482 +tp1483 +a(g558 +V +p1489 +tp1490 +a(g827 +V\u000a +p1491 +tp1492 +a(g558 +V +p1498 +tp1499 +a(g827 +V\u000a +p1500 +tp1501 +a(g558 +V +p1507 +tp1508 +a(g827 +V\u000a +p1509 +tp1510 +a(g558 +V +p1516 +tp1517 +a(g827 +V\u000a +p1518 +tp1519 +a(g558 +V +p1525 +tp1526 +a(g827 +V\u000a +p1527 +tp1528 +a(g558 +V +p1534 +tp1535 +a(g827 +V\u000a +p1536 +tp1537 +a(g558 +V +p1543 +tp1544 +a(g827 +V\u000a +p1545 +tp1546 +a(g558 +V +p1552 +tp1553 +a(g827 +V\u000a +p1554 +tp1555 +a(g558 +V +p1561 +tp1562 +a(g827 +V\u000a +p1563 +tp1564 +a(g558 +V +p1570 +tp1571 +a(g827 +V\u000a +p1572 +tp1573 +a(g558 +V +p1579 +tp1580 +a(g827 +V\u000a +p1581 +tp1582 +a(g558 +V +p1588 +tp1589 +a(g827 +V\u000a +p1590 +tp1591 +a(g558 +V +p1597 +tp1598 +a(g827 +V\u000a +p1599 +tp1600 +a(g558 +V +p1601 +tp1602 +a(g827 +V\u000a +p1603 +tp1604 +a(g558 +V +p1657 +tp1658 +a(g827 +V\u000a +p1659 +tp1660 +a(g558 +V +p1688 +tp1689 +a(g827 +V\u000a +p1690 +tp1691 +a(g558 +V +p1719 +tp1720 +a(g827 +V\u000a +p1721 +tp1722 +a(g558 +V +p1750 +tp1751 +a(g827 +V\u000a +p1752 +tp1753 +a(g558 +V +p1781 +tp1782 +a(g827 +V\u000a +p1783 +tp1784 +a(g558 +V +p1812 +tp1813 +a(g827 +V\u000a +p1814 +tp1815 +a(g558 +V +p1843 +tp1844 +a(g827 +V\u000a +p1845 +tp1846 +a(g558 +V +p1874 +tp1875 +a(g827 +V\u000a +p1876 +tp1877 +a(g558 +V +p1905 +tp1906 +a(g827 +V\u000a +p1907 +tp1908 +a(g558 +V +p1936 +tp1937 +a(g827 +V\u000a +p1938 +tp1939 +a(g558 +V +p1957 +tp1958 +a(g827 +V\u000a +p1959 +tp1960 +a(g558 +V +p1973 +tp1974 +a(g827 +V\u000a +p1975 +tp1976 +a(g558 +V +p1989 +tp1990 +a(g827 +V\u000a +p1991 +tp1992 +a(g558 +V +p2010 +tp2011 +a(g827 +V\u000a +p2012 +tp2013 +a(g558 +V +p2031 +tp2032 +a(g827 +V\u000a +p2033 +tp2034 +a(g558 +V +p2057 +tp2058 +a(g827 +V\u000a +p2059 +tp2060 +a(g558 +V +p2061 +tp2062 +a(g827 +V\u000a +p2063 +tp2064 +a(g558 +V +p2102 +tp2103 +a(g827 +V\u000a +p2104 +tp2105 +a(g558 +V +p2106 +tp2107 +a(g827 +V\u000a +p2108 +tp2109 +a(g558 +V +p2127 +tp2128 +a(g827 +V\u000a +p2129 +tp2130 +a(g558 +V +p2131 +tp2132 +a(g827 +V\u000a +p2133 +tp2134 +a(g558 +V +p2153 +tp2154 +a(g827 +V\u000a +p2155 +tp2156 +a(g558 +V +p2171 +tp2172 +a(g827 +V\u000a +p2173 +tp2174 +a(g558 +V +p2189 +tp2190 +a(g827 +V\u000a +p2191 +tp2192 +a(g558 +V +p2207 +tp2208 +a(g827 +V\u000a +p2209 +tp2210 +a(g558 +V +p2225 +tp2226 +a(g827 +V\u000a +p2227 +tp2228 +a(g558 +V +p2243 +tp2244 +a(g827 +V\u000a +p2245 +tp2246 +a(g558 +V +p2261 +tp2262 +a(g827 +V\u000a +p2263 +tp2264 +a(g558 +V +p2279 +tp2280 +a(g827 +V\u000a +p2281 +tp2282 +a(g558 +V +p2283 +tp2284 +a(g827 +V\u000a +p2285 +tp2286 +a(g558 +V +p2287 +tp2288 +a(g827 +V\u000a +p2289 +tp2290 +a(g558 +V +p2314 +tp2315 +a(g827 +V\u000a +p2316 +tp2317 +a(g558 +V +p2318 +tp2319 +a(g827 +V\u000a +p2320 +tp2321 +a(g558 +V +p2330 +tp2331 +a(g827 +V\u000a +p2332 +tp2333 +a(g558 +V +p2334 +tp2335 +a(g827 +V\u000a +p2336 +tp2337 +a(g558 +V +p2338 +tp2339 +a(g827 +V\u000a +p2340 +tp2341 +a(g8 +V +p2342 +tp2343 +a(g827 +V\u000a +p2344 +tp2345 +a(g8 +V +p2346 +tp2347 +a(g827 +V\u000a +p2348 +tp2349 +a(g558 +V +p2401 +tp2402 +a(g827 +V\u000a\u000a\u000a\u000a\u000a\u000a +p2403 +tp2404 +a(g558 +V +p2452 +tp2453 +a(g827 +V\u000a +p2454 +tp2455 +a(g558 +V +p2475 +tp2476 +a(g827 +V\u000a +p2477 +tp2478 +a(g558 +V +p2498 +tp2499 +a(g827 +V\u000a +p2500 +tp2501 +a(g558 +V +p2521 +tp2522 +a(g827 +V\u000a +p2523 +tp2524 +a(g558 +V +p2544 +tp2545 +a(g827 +V\u000a +p2546 +tp2547 +a(g558 +V +p2567 +tp2568 +a(g827 +V\u000a +p2569 +tp2570 +a(g558 +V +p2590 +tp2591 +a(g827 +V\u000a +p2592 +tp2593 +a(g558 +V +p2613 +tp2614 +a(g827 +V\u000a +p2615 +tp2616 +a(g558 +V +p2636 +tp2637 +a(g827 +V\u000a +p2638 +tp2639 +a(g558 +V +p2659 +tp2660 +a(g827 +V\u000a +p2661 +tp2662 +a(g558 +V +p2682 +tp2683 +a(g827 +V\u000a +p2684 +tp2685 +a(g558 +V +p2705 +tp2706 +a(g827 +V\u000a +p2707 +tp2708 +a(g558 +V +p2728 +tp2729 +a(g827 +V\u000a +p2730 +tp2731 +a(g558 +V +p2751 +tp2752 +a(g827 +V\u000a +p2753 +tp2754 +a(g558 +V +p2774 +tp2775 +a(g827 +V\u000a +p2776 +tp2777 +a(g558 +V +p2803 +tp2804 +a(g827 +V\u000a +p2805 +tp2806 +a(g558 +V +p2832 +tp2833 +a(g827 +V\u000a +p2834 +tp2835 +a(g558 +V +p2861 +tp2862 +a(g827 +V\u000a +p2863 +tp2864 +a(g558 +V +p2887 +tp2888 +a(g827 +V\u000a +p2889 +tp2890 +a(g558 +V +p2913 +tp2914 +a(g827 +V\u000a +p2915 +tp2916 +a(g558 +V +p2939 +tp2940 +a(g827 +V\u000a +p2941 +tp2942 +a(g558 +V +p2965 +tp2966 +a(g827 +V\u000a +p2967 +tp2968 +a(g558 +V +p2991 +tp2992 +a(g827 +V\u000a +p2993 +tp2994 +a(g558 +V +p3017 +tp3018 +a(g827 +V\u000a +p3019 +tp3020 +a(g558 +V +p3043 +tp3044 +a(g827 +V\u000a +p3045 +tp3046 +a(g558 +V +p3069 +tp3070 +a(g827 +V\u000a +p3071 +tp3072 +a(g558 +V +p3095 +tp3096 +a(g827 +V\u000a +p3097 +tp3098 +a(g558 +V +p3121 +tp3122 +a(g827 +V\u000a +p3123 +tp3124 +a(g558 +V +p3147 +tp3148 +a(g827 +V\u000a +p3149 +tp3150 +a(g558 +V +p3173 +tp3174 +a(g827 +V\u000a +p3175 +tp3176 +a(g558 +V +p3199 +tp3200 +a(g827 +V\u000a +p3201 +tp3202 +a(g558 +V +p3225 +tp3226 +a(g827 +V\u000a +p3227 +tp3228 +a(g558 +V +p3251 +tp3252 +a(g827 +V\u000a +p3253 +tp3254 +a(g558 +V +p3277 +tp3278 +a(g827 +V\u000a +p3279 +tp3280 +a(g558 +V +p3303 +tp3304 +a(g827 +V\u000a +p3305 +tp3306 +a(g558 +V +p3329 +tp3330 +a(g827 +V\u000a +p3331 +tp3332 +a(g558 +V +p3355 +tp3356 +a(g827 +V\u000a +p3357 +tp3358 +a(g558 +V +p3381 +tp3382 +a(g827 +V\u000a +p3383 +tp3384 +a(g558 +V +p3407 +tp3408 +a(g827 +V\u000a +p3409 +tp3410 +a(g558 +V +p3433 +tp3434 +a(g827 +V\u000a +p3435 +tp3436 +a(g558 +V +p3459 +tp3460 +a(g827 +V\u000a +p3461 +tp3462 +a(g558 +V +p3485 +tp3486 +a(g827 +V\u000a +p3487 +tp3488 +a(g558 +V +p3511 +tp3512 +a(g827 +V\u000a +p3513 +tp3514 +a(g558 +V +p3537 +tp3538 +a(g827 +V\u000a +p3539 +tp3540 +a(g558 +V +p3563 +tp3564 +a(g827 +V\u000a +p3565 +tp3566 +a(g558 +V +p3589 +tp3590 +a(g827 +V\u000a +p3591 +tp3592 +a(g558 +V +p3615 +tp3616 +a(g827 +V\u000a +p3617 +tp3618 +a(g558 +V +p3641 +tp3642 +a(g827 +V\u000a +p3643 +tp3644 +a(g558 +V +p3667 +tp3668 +a(g827 +V\u000a +p3669 +tp3670 +a(g558 +V +p3693 +tp3694 +a(g827 +V\u000a +p3695 +tp3696 +a(g558 +V +p3719 +tp3720 +a(g827 +V\u000a +p3721 +tp3722 +a(g558 +V +p3745 +tp3746 +a(g827 +V\u000a +p3747 +tp3748 +a(g558 +V +p3771 +tp3772 +a(g827 +V\u000a +p3773 +tp3774 +a(g558 +V +p3797 +tp3798 +a(g827 +V\u000a +p3799 +tp3800 +a(g558 +V +p3823 +tp3824 +a(g827 +V\u000a +p3825 +tp3826 +a(g558 +V +p3849 +tp3850 +a(g827 +V\u000a +p3851 +tp3852 +a(g558 +V +p3875 +tp3876 +a(g827 +V\u000a +p3877 +tp3878 +a(g558 +V +p3901 +tp3902 +a(g827 +V\u000a +p3903 +tp3904 +a(g558 +V +p3927 +tp3928 +a(g827 +V\u000a +p3929 +tp3930 +a(g558 +V +p3953 +tp3954 +a(g827 +V\u000a +p3955 +tp3956 +a(g558 +V +p3979 +tp3980 +a(g827 +V\u000a +p3981 +tp3982 +a(g558 +V +p4005 +tp4006 +a(g827 +V\u000a +p4007 +tp4008 +a(g558 +V +p4031 +tp4032 +a(g827 +V\u000a +p4033 +tp4034 +a(g558 +V +p4057 +tp4058 +a(g827 +V\u000a +p4059 +tp4060 +a(g558 +V +p4083 +tp4084 +a(g827 +V\u000a +p4085 +tp4086 +a(g558 +V +p4109 +tp4110 +a(g827 +V\u000a +p4111 +tp4112 +a(g558 +V +p4135 +tp4136 +a(g827 +V\u000a +p4137 +tp4138 +a(g558 +V +p4161 +tp4162 +a(g827 +V\u000a +p4163 +tp4164 +a(g558 +V +p4187 +tp4188 +a(g827 +V\u000a +p4189 +tp4190 +a(g558 +V +p4213 +tp4214 +a(g827 +V\u000a +p4215 +tp4216 +a(g558 +V +p4239 +tp4240 +a(g827 +V\u000a +p4241 +tp4242 +a(g558 +V +p4265 +tp4266 +a(g827 +V\u000a +p4267 +tp4268 +a(g558 +V +p4291 +tp4292 +a(g827 +V\u000a +p4293 +tp4294 +a(g558 +V +p4317 +tp4318 +a(g827 +V\u000a\u000a +p4319 +tp4320 +a(g558 +V +p4342 +tp4343 +a(g827 +V\u000a +p4344 +tp4345 +a(g558 +V +p4365 +tp4366 +a(g827 +V\u000a +p4367 +tp4368 +a(g558 +V +p4395 +tp4396 +a(g827 +V\u000a +p4397 +tp4398 +a(g558 +V +p4419 +tp4420 +a(g827 +V\u000a +p4421 +tp4422 +a(g558 +V +p4450 +tp4451 +a(g827 +V\u000a\u000a\u000a +p4452 +tp4453 +a(g558 +V +p4454 +tp4455 +a(g827 +V\u000a +p4456 +tp4457 +a(g558 +V +p4505 +tp4506 +a(g827 +V\u000a +p4507 +tp4508 +a(g558 +V +p4509 +tp4510 +a(g827 +V\u000a +p4511 +tp4512 +a(g558 +V +p4513 +tp4514 +a(g827 +V\u000a\u000a +p4515 +tp4516 +a(g558 +V +p4536 +tp4537 +a(g827 +V\u000a +p4538 +tp4539 +a(g558 +V +p4554 +tp4555 +a(g827 +V\u000a +p4556 +tp4557 +a(g558 +V +p4570 +tp4571 +a(g827 +V\u000a +p4572 +tp4573 +a(g558 +V +p4587 +tp4588 +a(g827 +V\u000a +p4589 +tp4590 +a(g558 +V +p4604 +tp4605 +a(g827 +V\u000a +p4606 +tp4607 +a(g558 +V +p4622 +tp4623 +a(g827 +V\u000a +p4624 +tp4625 +a(g558 +V +p4640 +tp4641 +a(g827 +V\u000a +p4642 +tp4643 +a(g558 +V +p4658 +tp4659 +a(g827 +V\u000a +p4660 +tp4661 +a(g558 +V +p4675 +tp4676 +a(g827 +V\u000a +p4677 +tp4678 +a(g558 +V +p4693 +tp4694 +a(g827 +V\u000a +p4695 +tp4696 +a(g558 +V +p4711 +tp4712 +a(g827 +V\u000a +p4713 +tp4714 +a(g558 +V +p4729 +tp4730 +a(g827 +V\u000a +p4731 +tp4732 +a(g558 +V +p4733 +tp4734 +a(g827 +V\u000a +p4735 +tp4736 +a(g558 +V +p4737 +tp4738 +a(g827 +V\u000a +p4739 +tp4740 +a(g558 +V +p4763 +tp4764 +a(g827 +V\u000a +p4765 +tp4766 +a(g558 +V +p4784 +tp4785 +a(g827 +V\u000a +p4786 +tp4787 +a(g558 +V +p4788 +tp4789 +a(g827 +V\u000a +p4790 +tp4791 +a(g558 +V +p4799 +tp4800 +a(g827 +V\u000a +p4801 +tp4802 +a(g558 +V +p4803 +tp4804 +a(g827 +V\u000a +p4805 +tp4806 +a(g558 +V +p4807 +tp4808 +a(g827 +V\u000a\u000a +p4809 +tp4810 +a(g8 +V +p4811 +tp4812 +a(g827 +V\u000a +p4813 +tp4814 +a(g8 +V +p4815 +tp4816 +a(g827 +V\u000a +p4817 +tp4818 +a(g558 +V +p4874 +tp4875 +a(g827 +V\u000a +p4876 +tp4877 +a(g558 +V +p4883 +tp4884 +a(g827 +V\u000a +p4885 +tp4886 +a(g558 +V +p4892 +tp4893 +a(g827 +V\u000a +p4894 +tp4895 +a(g558 +V +p4901 +tp4902 +a(g827 +V\u000a +p4903 +tp4904 +a(g558 +V +p4910 +tp4911 +a(g827 +V\u000a +p4912 +tp4913 +a(g558 +V +p4919 +tp4920 +a(g827 +V\u000a +p4921 +tp4922 +a(g558 +V +p4928 +tp4929 +a(g827 +V\u000a +p4930 +tp4931 +a(g558 +V +p4937 +tp4938 +a(g827 +V\u000a +p4939 +tp4940 +a(g558 +V +p4946 +tp4947 +a(g827 +V\u000a +p4948 +tp4949 +a(g558 +V +p4955 +tp4956 +a(g827 +V\u000a +p4957 +tp4958 +a(g558 +V +p4964 +tp4965 +a(g827 +V\u000a +p4966 +tp4967 +a(g558 +V +p4973 +tp4974 +a(g827 +V\u000a +p4975 +tp4976 +a(g558 +V +p4982 +tp4983 +a(g827 +V\u000a +p4984 +tp4985 +a(g558 +V +p4991 +tp4992 +a(g827 +V\u000a +p4993 +tp4994 +a(g558 +V +p5000 +tp5001 +a(g827 +V\u000a +p5002 +tp5003 +a(g558 +V +p5009 +tp5010 +a(g827 +V\u000a +p5011 +tp5012 +a(g558 +V +p5018 +tp5019 +a(g827 +V\u000a +p5020 +tp5021 +a(g558 +V +p5022 +tp5023 +a(g827 +V\u000a +p5024 +tp5025 +a(g558 +V +p5041 +tp5042 +a(g827 +V\u000a +p5043 +tp5044 +a(g558 +V +p5050 +tp5051 +a(g827 +V\u000a +p5052 +tp5053 +a(g558 +V +p5059 +tp5060 +a(g827 +V\u000a +p5061 +tp5062 +a(g558 +V +p5068 +tp5069 +a(g827 +V\u000a +p5070 +tp5071 +a(g558 +V +p5077 +tp5078 +a(g827 +V\u000a +p5079 +tp5080 +a(g558 +V +p5086 +tp5087 +a(g827 +V\u000a +p5088 +tp5089 +a(g558 +V +p5095 +tp5096 +a(g827 +V\u000a +p5097 +tp5098 +a(g558 +V +p5104 +tp5105 +a(g827 +V\u000a +p5106 +tp5107 +a(g558 +V +p5113 +tp5114 +a(g827 +V\u000a +p5115 +tp5116 +a(g558 +V +p5122 +tp5123 +a(g827 +V\u000a +p5124 +tp5125 +a(g558 +V +p5131 +tp5132 +a(g827 +V\u000a +p5133 +tp5134 +a(g558 +V +p5140 +tp5141 +a(g827 +V\u000a +p5142 +tp5143 +a(g558 +V +p5149 +tp5150 +a(g827 +V\u000a +p5151 +tp5152 +a(g558 +V +p5158 +tp5159 +a(g827 +V\u000a +p5160 +tp5161 +a(g558 +V +p5167 +tp5168 +a(g827 +V\u000a +p5169 +tp5170 +a(g558 +V +p5176 +tp5177 +a(g827 +V\u000a +p5178 +tp5179 +a(g558 +V +p5180 +tp5181 +a(g827 +V\u000a +p5182 +tp5183 +a(g558 +V +p5199 +tp5200 +a(g827 +V\u000a +p5201 +tp5202 +a(g558 +V +p5208 +tp5209 +a(g827 +V\u000a +p5210 +tp5211 +a(g558 +V +p5217 +tp5218 +a(g827 +V\u000a +p5219 +tp5220 +a(g558 +V +p5226 +tp5227 +a(g827 +V\u000a +p5228 +tp5229 +a(g558 +V +p5235 +tp5236 +a(g827 +V\u000a +p5237 +tp5238 +a(g558 +V +p5244 +tp5245 +a(g827 +V\u000a +p5246 +tp5247 +a(g558 +V +p5253 +tp5254 +a(g827 +V\u000a +p5255 +tp5256 +a(g558 +V +p5262 +tp5263 +a(g827 +V\u000a +p5264 +tp5265 +a(g558 +V +p5271 +tp5272 +a(g827 +V\u000a +p5273 +tp5274 +a(g558 +V +p5280 +tp5281 +a(g827 +V\u000a +p5282 +tp5283 +a(g558 +V +p5289 +tp5290 +a(g827 +V\u000a +p5291 +tp5292 +a(g558 +V +p5298 +tp5299 +a(g827 +V\u000a +p5300 +tp5301 +a(g558 +V +p5307 +tp5308 +a(g827 +V\u000a +p5309 +tp5310 +a(g558 +V +p5316 +tp5317 +a(g827 +V\u000a +p5318 +tp5319 +a(g558 +V +p5325 +tp5326 +a(g827 +V\u000a +p5327 +tp5328 +a(g558 +V +p5334 +tp5335 +a(g827 +V\u000a +p5336 +tp5337 +a(g558 +V +p5343 +tp5344 +a(g827 +V\u000a +p5345 +tp5346 +a(g558 +V +p5352 +tp5353 +a(g827 +V\u000a +p5354 +tp5355 +a(g558 +V +p5361 +tp5362 +a(g827 +V\u000a +p5363 +tp5364 +a(g558 +V +p5370 +tp5371 +a(g827 +V\u000a +p5372 +tp5373 +a(g558 +V +p5379 +tp5380 +a(g827 +V\u000a +p5381 +tp5382 +a(g558 +V +p5388 +tp5389 +a(g827 +V\u000a +p5390 +tp5391 +a(g558 +V +p5392 +tp5393 +a(g827 +V\u000a +p5394 +tp5395 +a(g558 +V +p5438 +tp5439 +a(g827 +V\u000a +p5440 +tp5441 +a(g558 +V +p5459 +tp5460 +a(g827 +V\u000a +p5461 +tp5462 +a(g558 +V +p5480 +tp5481 +a(g827 +V\u000a +p5482 +tp5483 +a(g558 +V +p5497 +tp5498 +a(g827 +V\u000a +p5499 +tp5500 +a(g558 +V +p5514 +tp5515 +a(g827 +V\u000a +p5516 +tp5517 +a(g558 +V +p5535 +tp5536 +a(g827 +V\u000a +p5537 +tp5538 +a(g558 +V +p5557 +tp5558 +a(g827 +V\u000a +p5559 +tp5560 +a(g558 +V +p5579 +tp5580 +a(g827 +V\u000a +p5581 +tp5582 +a(g558 +V +p5600 +tp5601 +a(g827 +V\u000a +p5602 +tp5603 +a(g558 +V +p5604 +tp5605 +a(g827 +V\u000a +p5606 +tp5607 +a(g558 +V +p5645 +tp5646 +a(g827 +V\u000a +p5647 +tp5648 +a(g558 +V +p5661 +tp5662 +a(g827 +V\u000a +p5663 +tp5664 +a(g558 +V +p5665 +tp5666 +a(g827 +V\u000a +p5667 +tp5668 +a(g558 +V +p5706 +tp5707 +a(g827 +V\u000a +p5708 +tp5709 +a(g558 +V +p5727 +tp5728 +a(g827 +V\u000a +p5729 +tp5730 +a(g558 +V +p5731 +tp5732 +a(g827 +V\u000a +p5733 +tp5734 +a(g558 +V +p5772 +tp5773 +a(g827 +V\u000a +p5774 +tp5775 +a(g558 +V +p5776 +tp5777 +a(g827 +V\u000a +p5778 +tp5779 +a(g558 +V +p5780 +tp5781 +a(g827 +V\u000a +p5782 +tp5783 +a(g558 +V +p5802 +tp5803 +a(g827 +V\u000a +p5804 +tp5805 +a(g558 +V +p5819 +tp5820 +a(g827 +V\u000a +p5821 +tp5822 +a(g558 +V +p5836 +tp5837 +a(g827 +V\u000a +p5838 +tp5839 +a(g558 +V +p5852 +tp5853 +a(g827 +V\u000a +p5854 +tp5855 +a(g558 +V +p5869 +tp5870 +a(g827 +V\u000a +p5871 +tp5872 +a(g558 +V +p5886 +tp5887 +a(g827 +V\u000a +p5888 +tp5889 +a(g558 +V +p5903 +tp5904 +a(g827 +V\u000a +p5905 +tp5906 +a(g558 +V +p5920 +tp5921 +a(g827 +V\u000a +p5922 +tp5923 +a(g558 +V +p5937 +tp5938 +a(g827 +V\u000a +p5939 +tp5940 +a(g558 +V +p5954 +tp5955 +a(g827 +V\u000a +p5956 +tp5957 +a(g558 +V +p5958 +tp5959 +a(g827 +V\u000a +p5960 +tp5961 +a(g558 +V +p5962 +tp5963 +a(g827 +V\u000a +p5964 +tp5965 +a(g558 +V +p5988 +tp5989 +a(g827 +V\u000a +p5990 +tp5991 +a(g558 +V +p5992 +tp5993 +a(g827 +V\u000a +p5994 +tp5995 +a(g558 +V +p6004 +tp6005 +a(g827 +V\u000a +p6006 +tp6007 +a(g558 +V +p6008 +tp6009 +a(g827 +V\u000a +p6010 +tp6011 +a(g558 +V +p6012 +tp6013 +a(g827 +V\u000a +p6014 +tp6015 +a(g8 +V +p6016 +tp6017 +a(g827 +V\u000a +p6018 +tp6019 +a(g8 +V +p6020 +tp6021 +a(g827 +V\u000a +p6022 +tp6023 +a(g558 +V +p6080 +tp6081 +a(g827 +V\u000a +p6082 +tp6083 +a(g558 +V +p6089 +tp6090 +a(g827 +V\u000a +p6091 +tp6092 +a(g558 +V +p6098 +tp6099 +a(g827 +V\u000a +p6100 +tp6101 +a(g558 +V +p6107 +tp6108 +a(g827 +V\u000a +p6109 +tp6110 +a(g558 +V +p6116 +tp6117 +a(g827 +V\u000a +p6118 +tp6119 +a(g558 +V +p6125 +tp6126 +a(g827 +V\u000a +p6127 +tp6128 +a(g558 +V +p6134 +tp6135 +a(g827 +V\u000a +p6136 +tp6137 +a(g558 +V +p6143 +tp6144 +a(g827 +V\u000a +p6145 +tp6146 +a(g558 +V +p6152 +tp6153 +a(g827 +V\u000a +p6154 +tp6155 +a(g558 +V +p6161 +tp6162 +a(g827 +V\u000a +p6163 +tp6164 +a(g558 +V +p6170 +tp6171 +a(g827 +V\u000a +p6172 +tp6173 +a(g558 +V +p6179 +tp6180 +a(g827 +V\u000a +p6181 +tp6182 +a(g558 +V +p6188 +tp6189 +a(g827 +V\u000a +p6190 +tp6191 +a(g558 +V +p6197 +tp6198 +a(g827 +V\u000a +p6199 +tp6200 +a(g558 +V +p6206 +tp6207 +a(g827 +V\u000a +p6208 +tp6209 +a(g558 +V +p6215 +tp6216 +a(g827 +V\u000a +p6217 +tp6218 +a(g558 +V +p6224 +tp6225 +a(g827 +V\u000a +p6226 +tp6227 +a(g558 +V +p6233 +tp6234 +a(g827 +V\u000a +p6235 +tp6236 +a(g558 +V +p6242 +tp6243 +a(g827 +V\u000a +p6244 +tp6245 +a(g558 +V +p6251 +tp6252 +a(g827 +V\u000a\u000a +p6253 +tp6254 +a(g558 +V +p6255 +tp6256 +a(g827 +V\u000a +p6257 +tp6258 +a(g558 +V +p6275 +tp6276 +a(g827 +V\u000a +p6277 +tp6278 +a(g558 +V +p6284 +tp6285 +a(g827 +V\u000a +p6286 +tp6287 +a(g558 +V +p6293 +tp6294 +a(g827 +V\u000a +p6295 +tp6296 +a(g558 +V +p6302 +tp6303 +a(g827 +V\u000a +p6304 +tp6305 +a(g558 +V +p6311 +tp6312 +a(g827 +V\u000a +p6313 +tp6314 +a(g558 +V +p6320 +tp6321 +a(g827 +V\u000a +p6322 +tp6323 +a(g558 +V +p6329 +tp6330 +a(g827 +V\u000a +p6331 +tp6332 +a(g558 +V +p6333 +tp6334 +a(g827 +V\u000a +p6335 +tp6336 +a(g558 +V +p6392 +tp6393 +a(g827 +V\u000a +p6394 +tp6395 +a(g558 +V +p6423 +tp6424 +a(g827 +V\u000a +p6425 +tp6426 +a(g558 +V +p6459 +tp6460 +a(g827 +V\u000a +p6461 +tp6462 +a(g558 +V +p6490 +tp6491 +a(g827 +V\u000a +p6492 +tp6493 +a(g558 +V +p6521 +tp6522 +a(g827 +V\u000a +p6523 +tp6524 +a(g558 +V +p6552 +tp6553 +a(g827 +V\u000a +p6554 +tp6555 +a(g558 +V +p6583 +tp6584 +a(g827 +V\u000a +p6585 +tp6586 +a(g558 +V +p6614 +tp6615 +a(g827 +V\u000a +p6616 +tp6617 +a(g558 +V +p6645 +tp6646 +a(g827 +V\u000a +p6647 +tp6648 +a(g558 +V +p6671 +tp6672 +a(g827 +V\u000a +p6673 +tp6674 +a(g558 +V +p6697 +tp6698 +a(g827 +V\u000a\u000a +p6699 +tp6700 +a(g558 +V +p6721 +tp6722 +a(g827 +V\u000a +p6723 +tp6724 +a(g558 +V +p6745 +tp6746 +a(g827 +V\u000a +p6747 +tp6748 +a(g558 +V +p6763 +tp6764 +a(g827 +V\u000a +p6765 +tp6766 +a(g558 +V +p6787 +tp6788 +a(g827 +V\u000a +p6789 +tp6790 +a(g558 +V +p6817 +tp6818 +a(g827 +V\u000a +p6819 +tp6820 +a(g558 +V +p6841 +tp6842 +a(g827 +V\u000a +p6843 +tp6844 +a(g558 +V +p6864 +tp6865 +a(g827 +V\u000a +p6866 +tp6867 +a(g558 +V +p6887 +tp6888 +a(g827 +V\u000a +p6889 +tp6890 +a(g558 +V +p6917 +tp6918 +a(g827 +V\u000a +p6919 +tp6920 +a(g558 +V +p6940 +tp6941 +a(g827 +V\u000a\u000a +p6942 +tp6943 +a(g558 +V +p6944 +tp6945 +a(g827 +V\u000a +p6946 +tp6947 +a(g558 +V +p6990 +tp6991 +a(g827 +V\u000a +p6992 +tp6993 +a(g558 +V +p7014 +tp7015 +a(g827 +V\u000a +p7016 +tp7017 +a(g558 +V +p7038 +tp7039 +a(g827 +V\u000a +p7040 +tp7041 +a(g558 +V +p7042 +tp7043 +a(g827 +V\u000a +p7044 +tp7045 +a(g558 +V +p7088 +tp7089 +a(g827 +V\u000a +p7090 +tp7091 +a(g558 +V +p7112 +tp7113 +a(g827 +V\u000a +p7114 +tp7115 +a(g558 +V +p7136 +tp7137 +a(g827 +V\u000a +p7138 +tp7139 +a(g558 +V +p7140 +tp7141 +a(g827 +V\u000a +p7142 +tp7143 +a(g558 +V +p7186 +tp7187 +a(g827 +V\u000a +p7188 +tp7189 +a(g558 +V +p7210 +tp7211 +a(g827 +V\u000a +p7212 +tp7213 +a(g558 +V +p7234 +tp7235 +a(g827 +V\u000a +p7236 +tp7237 +a(g558 +V +p7238 +tp7239 +a(g827 +V\u000a +p7240 +tp7241 +a(g558 +V +p7283 +tp7284 +a(g827 +V\u000a +p7285 +tp7286 +a(g558 +V +p7287 +tp7288 +a(g827 +V\u000a +p7289 +tp7290 +a(g558 +V +p7291 +tp7292 +a(g827 +V\u000a +p7293 +tp7294 +a(g558 +V +p7314 +tp7315 +a(g827 +V\u000a +p7316 +tp7317 +a(g558 +V +p7332 +tp7333 +a(g827 +V\u000a +p7334 +tp7335 +a(g558 +V +p7350 +tp7351 +a(g827 +V\u000a +p7352 +tp7353 +a(g558 +V +p7368 +tp7369 +a(g827 +V\u000a +p7370 +tp7371 +a(g558 +V +p7386 +tp7387 +a(g827 +V\u000a +p7388 +tp7389 +a(g558 +V +p7404 +tp7405 +a(g827 +V\u000a +p7406 +tp7407 +a(g558 +V +p7422 +tp7423 +a(g827 +V\u000a +p7424 +tp7425 +a(g558 +V +p7440 +tp7441 +a(g827 +V\u000a +p7442 +tp7443 +a(g558 +V +p7458 +tp7459 +a(g827 +V\u000a +p7460 +tp7461 +a(g558 +V +p7476 +tp7477 +a(g827 +V\u000a +p7478 +tp7479 +a(g558 +V +p7494 +tp7495 +a(g827 +V\u000a +p7496 +tp7497 +a(g558 +V +p7498 +tp7499 +a(g827 +V\u000a +p7500 +tp7501 +a(g558 +V +p7502 +tp7503 +a(g827 +V\u000a +p7504 +tp7505 +a(g558 +V +p7528 +tp7529 +a(g827 +V\u000a +p7530 +tp7531 +a(g558 +V +p7532 +tp7533 +a(g827 +V\u000a +p7534 +tp7535 +a(g558 +V +p7543 +tp7544 +a(g827 +V\u000a +p7545 +tp7546 +a(g558 +V +p7547 +tp7548 +a(g827 +V\u000a +p7549 +tp7550 +a(g558 +V +p7551 +tp7552 +a(g827 +V\u000a\u000a +p7553 +tp7554 +a(g8 +V +p7555 +tp7556 +a(g827 +V\u000a +p7557 +tp7558 +a(g8 +V +p7559 +tp7560 +a(g827 +V\u000a +p7561 +tp7562 +a(g558 +V +p7646 +tp7647 +a(g827 +V\u000a +p7648 +tp7649 +a(g558 +V +p7667 +tp7668 +a(g827 +V\u000a +p7669 +tp7670 +a(g558 +V +p7671 +tp7672 +a(g827 +V\u000a\u000a +p7673 +tp7674 +a(g558 +V +p7713 +tp7714 +a(g827 +V\u000a +p7715 +tp7716 +a(g558 +V +p7734 +tp7735 +a(g827 +V\u000a +p7736 +tp7737 +a(g558 +V +p7738 +tp7739 +a(g827 +V\u000a\u000a +p7740 +tp7741 +a(g558 +V +p7780 +tp7781 +a(g827 +V\u000a +p7782 +tp7783 +a(g558 +V +p7801 +tp7802 +a(g827 +V\u000a +p7803 +tp7804 +a(g558 +V +p7805 +tp7806 +a(g827 +V\u000a\u000a +p7807 +tp7808 +a(g558 +V +p7846 +tp7847 +a(g827 +V\u000a +p7848 +tp7849 +a(g558 +V +p7867 +tp7868 +a(g827 +V\u000a +p7869 +tp7870 +a(g558 +V +p7888 +tp7889 +a(g827 +V\u000a +p7890 +tp7891 +a(g558 +V +p7909 +tp7910 +a(g827 +V\u000a +p7911 +tp7912 +a(g558 +V +p7930 +tp7931 +a(g827 +V\u000a +p7932 +tp7933 +a(g558 +V +p7951 +tp7952 +a(g827 +V\u000a +p7953 +tp7954 +a(g558 +V +p7955 +tp7956 +a(g827 +V\u000a\u000a +p7957 +tp7958 +a(g558 +V +p8001 +tp8002 +a(g827 +V\u000a +p8003 +tp8004 +a(g558 +V +p8022 +tp8023 +a(g827 +V\u000a +p8024 +tp8025 +a(g558 +V +p8026 +tp8027 +a(g827 +V\u000a \u000a +p8028 +tp8029 +a(g558 +V +p8050 +tp8051 +a(g827 +V\u000a +p8052 +tp8053 +a(g558 +V +p8054 +tp8055 +a(g827 +V\u000a +p8056 +tp8057 +a(g558 +V +p8075 +tp8076 +a(g827 +V\u000a +p8077 +tp8078 +a(g558 +V +p8111 +tp8112 +a(g827 +V\u000a +p8113 +tp8114 +a(g558 +V +p8147 +tp8148 +a(g827 +V\u000a +p8149 +tp8150 +a(g558 +V +p8183 +tp8184 +a(g827 +V\u000a +p8185 +tp8186 +a(g558 +V +p8219 +tp8220 +a(g827 +V\u000a +p8221 +tp8222 +a(g558 +V +p8255 +tp8256 +a(g827 +V\u000a +p8257 +tp8258 +a(g558 +V +p8259 +tp8260 +a(g827 +V\u000a +p8261 +tp8262 +a(g558 +V +p8263 +tp8264 +a(g558 +V +p8288 +tp8289 +a(g827 +V\u000a +p8290 +tp8291 +a(g558 +V +p8292 +tp8293 +a(g827 +V\u000a +p8294 +tp8295 +a(g558 +V +p8296 +tp8297 +a(g827 +V\u000a +p8298 +tp8299 +a(g558 +V +p8300 +tp8301 +a(g827 +V\u000a +p8302 +tp8303 +a(g8 +V +p8304 +tp8305 +a(g827 +V\u000a +p8306 +tp8307 +a(g8 +V +p8308 +tp8309 +a(g827 +V\u000a +p8310 +tp8311 +a(g558 +V +p8372 +tp8373 +a(g827 +V\u000a +p8374 +tp8375 +a(g558 +V +p8381 +tp8382 +a(g827 +V\u000a +p8383 +tp8384 +a(g558 +V +p8390 +tp8391 +a(g827 +V\u000a +p8392 +tp8393 +a(g558 +V +p8399 +tp8400 +a(g827 +V\u000a +p8401 +tp8402 +a(g558 +V +p8408 +tp8409 +a(g827 +V\u000a +p8410 +tp8411 +a(g558 +V +p8417 +tp8418 +a(g827 +V\u000a +p8419 +tp8420 +a(g558 +V +p8426 +tp8427 +a(g827 +V\u000a +p8428 +tp8429 +a(g558 +V +p8435 +tp8436 +a(g827 +V\u000a +p8437 +tp8438 +a(g558 +V +p8444 +tp8445 +a(g827 +V\u000a +p8446 +tp8447 +a(g558 +V +p8453 +tp8454 +a(g827 +V\u000a +p8455 +tp8456 +a(g558 +V +p8462 +tp8463 +a(g827 +V\u000a +p8464 +tp8465 +a(g558 +V +p8471 +tp8472 +a(g827 +V\u000a +p8473 +tp8474 +a(g558 +V +p8480 +tp8481 +a(g827 +V\u000a +p8482 +tp8483 +a(g558 +V +p8489 +tp8490 +a(g827 +V\u000a +p8491 +tp8492 +a(g558 +V +p8498 +tp8499 +a(g827 +V\u000a +p8500 +tp8501 +a(g558 +V +p8507 +tp8508 +a(g827 +V\u000a +p8509 +tp8510 +a(g558 +V +p8516 +tp8517 +a(g827 +V\u000a +p8518 +tp8519 +a(g558 +V +p8525 +tp8526 +a(g827 +V\u000a +p8527 +tp8528 +a(g558 +V +p8534 +tp8535 +a(g827 +V\u000a +p8536 +tp8537 +a(g558 +V +p8538 +tp8539 +a(g827 +V\u000a +p8540 +tp8541 +a(g558 +V +p8557 +tp8558 +a(g827 +V\u000a +p8559 +tp8560 +a(g558 +V +p8566 +tp8567 +a(g827 +V\u000a +p8568 +tp8569 +a(g558 +V +p8575 +tp8576 +a(g827 +V\u000a +p8577 +tp8578 +a(g558 +V +p8584 +tp8585 +a(g827 +V\u000a +p8586 +tp8587 +a(g558 +V +p8593 +tp8594 +a(g827 +V\u000a +p8595 +tp8596 +a(g558 +V +p8602 +tp8603 +a(g827 +V\u000a +p8604 +tp8605 +a(g558 +V +p8611 +tp8612 +a(g827 +V\u000a +p8613 +tp8614 +a(g558 +V +p8620 +tp8621 +a(g827 +V\u000a +p8622 +tp8623 +a(g558 +V +p8629 +tp8630 +a(g827 +V\u000a +p8631 +tp8632 +a(g558 +V +p8638 +tp8639 +a(g827 +V\u000a +p8640 +tp8641 +a(g558 +V +p8647 +tp8648 +a(g827 +V\u000a +p8649 +tp8650 +a(g558 +V +p8656 +tp8657 +a(g827 +V\u000a +p8658 +tp8659 +a(g558 +V +p8665 +tp8666 +a(g827 +V\u000a +p8667 +tp8668 +a(g558 +V +p8674 +tp8675 +a(g827 +V\u000a +p8676 +tp8677 +a(g558 +V +p8683 +tp8684 +a(g827 +V\u000a +p8685 +tp8686 +a(g558 +V +p8692 +tp8693 +a(g827 +V\u000a +p8694 +tp8695 +a(g558 +V +p8701 +tp8702 +a(g827 +V\u000a +p8703 +tp8704 +a(g558 +V +p8710 +tp8711 +a(g827 +V\u000a +p8712 +tp8713 +a(g558 +V +p8714 +tp8715 +a(g827 +V\u000a +p8716 +tp8717 +a(g558 +V +p8733 +tp8734 +a(g827 +V\u000a +p8735 +tp8736 +a(g558 +V +p8742 +tp8743 +a(g827 +V\u000a +p8744 +tp8745 +a(g558 +V +p8751 +tp8752 +a(g827 +V\u000a +p8753 +tp8754 +a(g558 +V +p8755 +tp8756 +a(g827 +V\u000a +p8757 +tp8758 +a(g558 +V +p8801 +tp8802 +a(g827 +V\u000a +p8803 +tp8804 +a(g558 +V +p8822 +tp8823 +a(g827 +V\u000a +p8824 +tp8825 +a(g558 +V +p8849 +tp8850 +a(g827 +V\u000a +p8851 +tp8852 +a(g558 +V +p8876 +tp8877 +a(g827 +V\u000a +p8878 +tp8879 +a(g558 +V +p8912 +tp8913 +a(g827 +V\u000a +p8914 +tp8915 +a(g558 +V +p8916 +tp8917 +a(g827 +V\u000a +p8918 +tp8919 +a(g558 +V +p8932 +tp8933 +a(g827 +V\u000a +p8934 +tp8935 +a(g558 +V +p8948 +tp8949 +a(g827 +V\u000a +p8950 +tp8951 +a(g558 +V +p8990 +tp8991 +a(g827 +V\u000a +p8992 +tp8993 +a(g558 +V +p9016 +tp9017 +a(g827 +V\u000a +p9018 +tp9019 +a(g558 +V +p9042 +tp9043 +a(g827 +V\u000a +p9044 +tp9045 +a(g558 +V +p9068 +tp9069 +a(g827 +V\u000a +p9070 +tp9071 +a(g558 +V +p9094 +tp9095 +a(g827 +V\u000a +p9096 +tp9097 +a(g558 +V +p9120 +tp9121 +a(g827 +V\u000a +p9122 +tp9123 +a(g558 +V +p9146 +tp9147 +a(g827 +V\u000a +p9148 +tp9149 +a(g558 +V +p9172 +tp9173 +a(g827 +V\u000a +p9174 +tp9175 +a(g558 +V +p9176 +tp9177 +a(g827 +V\u000a +p9178 +tp9179 +a(g558 +V +p9192 +tp9193 +a(g827 +V\u000a +p9194 +tp9195 +a(g558 +V +p9213 +tp9214 +a(g827 +V\u000a +p9215 +tp9216 +a(g558 +V +p9239 +tp9240 +a(g827 +V\u000a +p9241 +tp9242 +a(g558 +V +p9270 +tp9271 +a(g827 +V\u000a +p9272 +tp9273 +a(g558 +V +p9296 +tp9297 +a(g827 +V\u000a +p9298 +tp9299 +a(g558 +V +p9317 +tp9318 +a(g827 +V\u000a +p9319 +tp9320 +a(g558 +V +p9339 +tp9340 +a(g827 +V\u000a +p9341 +tp9342 +a(g558 +V +p9361 +tp9362 +a(g827 +V\u000a +p9363 +tp9364 +a(g558 +V +p9382 +tp9383 +a(g827 +V\u000a +p9384 +tp9385 +a(g558 +V +p9386 +tp9387 +a(g827 +V\u000a +p9388 +tp9389 +a(g558 +V +p9422 +tp9423 +a(g827 +V\u000a +p9424 +tp9425 +a(g558 +V +p9438 +tp9439 +a(g827 +V\u000a +p9440 +tp9441 +a(g558 +V +p9459 +tp9460 +a(g827 +V\u000a +p9461 +tp9462 +a(g558 +V +p9463 +tp9464 +a(g827 +V\u000a +p9465 +tp9466 +a(g558 +V +p9505 +tp9506 +a(g827 +V\u000a +p9507 +tp9508 +a(g558 +V +p9509 +tp9510 +a(g827 +V\u000a +p9511 +tp9512 +a(g558 +V +p9551 +tp9552 +a(g827 +V\u000a +p9553 +tp9554 +a(g558 +V +p9555 +tp9556 +a(g827 +V\u000a +p9557 +tp9558 +a(g558 +V +p9606 +tp9607 +a(g827 +V\u000a +p9608 +tp9609 +a(g558 +V +p9628 +tp9629 +a(g827 +V\u000a +p9630 +tp9631 +a(g558 +V +p9632 +tp9633 +a(g827 +V\u000a +p9634 +tp9635 +a(g558 +V +p9668 +tp9669 +a(g827 +V\u000a +p9670 +tp9671 +a(g558 +V +p9689 +tp9690 +a(g827 +V\u000a +p9691 +tp9692 +a(g558 +V +p9710 +tp9711 +a(g827 +V\u000a +p9712 +tp9713 +a(g558 +V +p9736 +tp9737 +a(g827 +V\u000a +p9738 +tp9739 +a(g558 +V +p9762 +tp9763 +a(g827 +V\u000a +p9764 +tp9765 +a(g558 +V +p9793 +tp9794 +a(g827 +V\u000a +p9795 +tp9796 +a(g558 +V +p9797 +tp9798 +a(g827 +V\u000a +p9799 +tp9800 +a(g558 +V +p9833 +tp9834 +a(g827 +V\u000a +p9835 +tp9836 +a(g558 +V +p9837 +tp9838 +a(g827 +V\u000a +p9839 +tp9840 +a(g558 +V +p9889 +tp9890 +a(g827 +V\u000a +p9891 +tp9892 +a(g558 +V +p9893 +tp9894 +a(g827 +V\u000a +p9895 +tp9896 +a(g558 +V +p9914 +tp9915 +a(g827 +V\u000a +p9916 +tp9917 +a(g558 +V +p9935 +tp9936 +a(g827 +V\u000a +p9937 +tp9938 +a(g558 +V +p9978 +tp9979 +a(g827 +V\u000a +p9980 +tp9981 +a(g558 +V +p10000 +tp10001 +a(g827 +V\u000a +p10002 +tp10003 +a(g558 +V +p10022 +tp10023 +a(g827 +V\u000a +p10024 +tp10025 +a(g558 +V +p10044 +tp10045 +a(g827 +V\u000a +p10046 +tp10047 +a(g558 +V +p10048 +tp10049 +a(g827 +V\u000a +p10050 +tp10051 +a(g558 +V +p10090 +tp10091 +a(g827 +V\u000a +p10092 +tp10093 +a(g558 +V +p10112 +tp10113 +a(g827 +V\u000a +p10114 +tp10115 +a(g558 +V +p10116 +tp10117 +a(g827 +V\u000a +p10118 +tp10119 +a(g558 +V +p10120 +tp10121 +a(g827 +V\u000a +p10122 +tp10123 +a(g558 +V +p10142 +tp10143 +a(g827 +V\u000a +p10144 +tp10145 +a(g558 +V +p10159 +tp10160 +a(g827 +V\u000a +p10161 +tp10162 +a(g558 +V +p10196 +tp10197 +a(g827 +V\u000a +p10198 +tp10199 +a(g558 +V +p10213 +tp10214 +a(g827 +V\u000a +p10215 +tp10216 +a(g558 +V +p10230 +tp10231 +a(g827 +V\u000a +p10232 +tp10233 +a(g558 +V +p10247 +tp10248 +a(g827 +V\u000a +p10249 +tp10250 +a(g558 +V +p10264 +tp10265 +a(g827 +V\u000a +p10266 +tp10267 +a(g558 +V +p10281 +tp10282 +a(g827 +V\u000a +p10283 +tp10284 +a(g558 +V +p10298 +tp10299 +a(g827 +V\u000a +p10300 +tp10301 +a(g558 +V +p10315 +tp10316 +a(g827 +V\u000a +p10317 +tp10318 +a(g558 +V +p10332 +tp10333 +a(g827 +V\u000a +p10334 +tp10335 +a(g558 +V +p10349 +tp10350 +a(g827 +V\u000a +p10351 +tp10352 +a(g558 +V +p10366 +tp10367 +a(g827 +V\u000a +p10368 +tp10369 +a(g558 +V +p10382 +tp10383 +a(g827 +V\u000a +p10384 +tp10385 +a(g558 +V +p10399 +tp10400 +a(g827 +g966 +tp10401 +a(g13 +V +p10406 +tp10407 +a(g827 +V\u000a +p10408 +tp10409 +a(g558 +V +p10410 +tp10411 +a(g827 +V\u000a +p10412 +tp10413 +a(g558 +V +p10414 +tp10415 +a(g827 +V\u000a +p10416 +tp10417 +a(g558 +V +p10441 +tp10442 +a(g827 +V\u000a +p10443 +tp10444 +a(g558 +V +p10463 +tp10464 +a(g827 +V\u000a +p10465 +tp10466 +a(g558 +V +p10467 +tp10468 +a(g827 +V\u000a +p10469 +tp10470 +a(g558 +V +p10479 +tp10480 +a(g827 +V\u000a +p10481 +tp10482 +a(g558 +V +p10483 +tp10484 +a(g827 +V\u000a +p10485 +tp10486 +a(g558 +V +p10487 +tp10488 +a(g827 +V\u000a +p10489 +tp10490 +a(g8 +V +p10491 +tp10492 +a(g827 +V\u000a +p10493 +tp10494 +a(g8 +V +p10495 +tp10496 +a(g827 +V\u000a +p10497 +tp10498 +a(g558 +V +p10581 +tp10582 +a(g827 +V\u000a +p10583 +tp10584 +a(g558 +V +p10585 +tp10586 +a(g827 +V\u000a +p10587 +tp10588 +a(g558 +V +p10589 +tp10590 +a(g827 +V\u000a +p10591 +tp10592 +a(g558 +V +p10610 +tp10611 +a(g827 +V\u000a +p10612 +tp10613 +a(g558 +V +p10626 +tp10627 +a(g827 +V\u000a +p10628 +tp10629 +a(g558 +V +p10642 +tp10643 +a(g827 +V\u000a +p10644 +tp10645 +a(g558 +V +p10658 +tp10659 +a(g827 +V\u000a +p10660 +tp10661 +a(g558 +V +p10674 +tp10675 +a(g827 +V\u000a +p10676 +tp10677 +a(g558 +V +p10690 +tp10691 +a(g827 +V\u000a +p10692 +tp10693 +a(g558 +V +p10694 +tp10695 +a(g827 +V\u000a +p10696 +tp10697 +a(g558 +V +p10698 +tp10699 +a(g827 +V\u000a +p10700 +tp10701 +a(g558 +V +p10715 +tp10716 +a(g827 +V\u000a +p10717 +tp10718 +a(g558 +V +p10719 +tp10720 +a(g827 +V\u000a +p10721 +tp10722 +a(g558 +V +p10723 +tp10724 +a(g827 +V\u000a +p10725 +tp10726 +a(g8 +V +p10727 +tp10728 +a(g827 +V\u000a +p10729 +tp10730 +a(g8 +V +p10731 +tp10732 +a(g827 +V\u000a +p10733 +tp10734 +a(g558 +V +p10803 +tp10804 +a(g827 +V\u000a +p10805 +tp10806 +a(g558 +V +p10812 +tp10813 +a(g827 +V\u000a +p10814 +tp10815 +a(g558 +V +p10821 +tp10822 +a(g827 +V\u000a +p10823 +tp10824 +a(g558 +V +p10830 +tp10831 +a(g827 +V\u000a +p10832 +tp10833 +a(g558 +V +p10839 +tp10840 +a(g827 +V\u000a +p10841 +tp10842 +a(g558 +V +p10848 +tp10849 +a(g827 +V\u000a +p10850 +tp10851 +a(g558 +V +p10857 +tp10858 +a(g827 +V\u000a +p10859 +tp10860 +a(g558 +V +p10866 +tp10867 +a(g827 +V\u000a +p10868 +tp10869 +a(g558 +V +p10875 +tp10876 +a(g827 +V\u000a +p10877 +tp10878 +a(g558 +V +p10884 +tp10885 +a(g827 +V\u000a +p10886 +tp10887 +a(g558 +V +p10893 +tp10894 +a(g827 +V\u000a +p10895 +tp10896 +a(g558 +V +p10902 +tp10903 +a(g827 +V\u000a +p10904 +tp10905 +a(g558 +V +p10911 +tp10912 +a(g827 +V\u000a +p10913 +tp10914 +a(g558 +V +p10920 +tp10921 +a(g827 +V\u000a +p10922 +tp10923 +a(g558 +V +p10929 +tp10930 +a(g827 +V\u000a +p10931 +tp10932 +a(g558 +V +p10938 +tp10939 +a(g827 +V\u000a +p10940 +tp10941 +a(g558 +V +p10947 +tp10948 +a(g827 +V\u000a +p10949 +tp10950 +a(g558 +V +p10956 +tp10957 +a(g827 +V\u000a +p10958 +tp10959 +a(g558 +V +p10965 +tp10966 +a(g827 +V\u000a +p10967 +tp10968 +a(g558 +V +p10974 +tp10975 +a(g827 +V\u000a +p10976 +tp10977 +a(g558 +V +p10983 +tp10984 +a(g827 +V\u000a +p10985 +tp10986 +a(g558 +V +p10992 +tp10993 +a(g827 +V\u000a +p10994 +tp10995 +a(g558 +V +p11001 +tp11002 +a(g827 +V\u000a +p11003 +tp11004 +a(g558 +V +p11010 +tp11011 +a(g827 +V\u000a +p11012 +tp11013 +a(g558 +V +p11019 +tp11020 +a(g827 +V\u000a +p11021 +tp11022 +a(g558 +V +p11028 +tp11029 +a(g827 +V\u000a +p11030 +tp11031 +a(g558 +V +p11037 +tp11038 +a(g827 +V\u000a +p11039 +tp11040 +a(g558 +V +p11046 +tp11047 +a(g827 +V\u000a +p11048 +tp11049 +a(g558 +V +p11055 +tp11056 +a(g827 +V\u000a +p11057 +tp11058 +a(g558 +V +p11064 +tp11065 +a(g827 +V\u000a +p11066 +tp11067 +a(g558 +V +p11073 +tp11074 +a(g827 +V\u000a +p11075 +tp11076 +a(g558 +V +p11082 +tp11083 +a(g827 +V\u000a +p11084 +tp11085 +a(g558 +V +p11091 +tp11092 +a(g827 +V\u000a +p11093 +tp11094 +a(g558 +V +p11100 +tp11101 +a(g827 +V\u000a +p11102 +tp11103 +a(g558 +V +p11109 +tp11110 +a(g827 +V\u000a +p11111 +tp11112 +a(g558 +V +p11118 +tp11119 +a(g827 +V\u000a +p11120 +tp11121 +a(g558 +V +p11127 +tp11128 +a(g827 +V\u000a +p11129 +tp11130 +a(g558 +V +p11136 +tp11137 +a(g827 +V\u000a +p11138 +tp11139 +a(g558 +V +p11145 +tp11146 +a(g827 +V\u000a +p11147 +tp11148 +a(g558 +V +p11154 +tp11155 +a(g827 +V\u000a +p11156 +tp11157 +a(g558 +V +p11163 +tp11164 +a(g827 +V\u000a +p11165 +tp11166 +a(g558 +V +p11172 +tp11173 +a(g827 +V\u000a +p11174 +tp11175 +a(g558 +V +p11181 +tp11182 +a(g827 +V\u000a +p11183 +tp11184 +a(g558 +V +p11190 +tp11191 +a(g827 +V\u000a +p11192 +tp11193 +a(g558 +V +p11199 +tp11200 +a(g827 +V\u000a +p11201 +tp11202 +a(g558 +V +p11208 +tp11209 +a(g827 +V\u000a +p11210 +tp11211 +a(g558 +V +p11217 +tp11218 +a(g827 +V\u000a +p11219 +tp11220 +a(g558 +V +p11226 +tp11227 +a(g827 +V\u000a +p11228 +tp11229 +a(g558 +V +p11235 +tp11236 +a(g827 +V\u000a +p11237 +tp11238 +a(g558 +V +p11244 +tp11245 +a(g827 +V\u000a +p11246 +tp11247 +a(g558 +V +p11253 +tp11254 +a(g827 +V\u000a +p11255 +tp11256 +a(g558 +V +p11262 +tp11263 +a(g827 +V\u000a +p11264 +tp11265 +a(g558 +V +p11271 +tp11272 +a(g827 +V\u000a +p11273 +tp11274 +a(g558 +V +p11280 +tp11281 +a(g827 +V\u000a +p11282 +tp11283 +a(g558 +V +p11289 +tp11290 +a(g827 +V\u000a +p11291 +tp11292 +a(g558 +V +p11298 +tp11299 +a(g827 +V\u000a +p11300 +tp11301 +a(g558 +V +p11307 +tp11308 +a(g827 +V\u000a +p11309 +tp11310 +a(g558 +V +p11316 +tp11317 +a(g827 +V\u000a +p11318 +tp11319 +a(g558 +V +p11325 +tp11326 +a(g827 +V\u000a +p11327 +tp11328 +a(g558 +V +p11334 +tp11335 +a(g827 +V\u000a +p11336 +tp11337 +a(g558 +V +p11343 +tp11344 +a(g827 +V\u000a +p11345 +tp11346 +a(g558 +V +p11352 +tp11353 +a(g827 +V\u000a +p11354 +tp11355 +a(g558 +V +p11361 +tp11362 +a(g827 +V\u000a +p11363 +tp11364 +a(g558 +V +p11370 +tp11371 +a(g827 +V\u000a +p11372 +tp11373 +a(g558 +V +p11379 +tp11380 +a(g827 +V\u000a +p11381 +tp11382 +a(g558 +V +p11388 +tp11389 +a(g827 +V\u000a +p11390 +tp11391 +a(g558 +V +p11397 +tp11398 +a(g827 +V\u000a +p11399 +tp11400 +a(g558 +V +p11406 +tp11407 +a(g827 +V\u000a +p11408 +tp11409 +a(g558 +V +p11415 +tp11416 +a(g827 +V\u000a +p11417 +tp11418 +a(g558 +V +p11424 +tp11425 +a(g827 +V\u000a +p11426 +tp11427 +a(g558 +V +p11433 +tp11434 +a(g827 +V\u000a +p11435 +tp11436 +a(g558 +V +p11442 +tp11443 +a(g827 +V\u000a +p11444 +tp11445 +a(g558 +V +p11451 +tp11452 +a(g827 +V\u000a +p11453 +tp11454 +a(g558 +V +p11460 +tp11461 +a(g827 +V\u000a +p11462 +tp11463 +a(g558 +V +p11469 +tp11470 +a(g827 +V\u000a +p11471 +tp11472 +a(g558 +V +p11478 +tp11479 +a(g827 +V\u000a +p11480 +tp11481 +a(g558 +V +p11487 +tp11488 +a(g827 +V\u000a +p11489 +tp11490 +a(g558 +V +p11496 +tp11497 +a(g827 +V\u000a +p11498 +tp11499 +a(g558 +V +p11505 +tp11506 +a(g827 +V\u000a +p11507 +tp11508 +a(g558 +V +p11514 +tp11515 +a(g827 +V\u000a +p11516 +tp11517 +a(g558 +V +p11523 +tp11524 +a(g827 +V\u000a +p11525 +tp11526 +a(g558 +V +p11532 +tp11533 +a(g827 +V\u000a +p11534 +tp11535 +a(g558 +V +p11541 +tp11542 +a(g827 +V\u000a +p11543 +tp11544 +a(g558 +V +p11550 +tp11551 +a(g827 +V\u000a +p11552 +tp11553 +a(g558 +V +p11559 +tp11560 +a(g827 +V\u000a +p11561 +tp11562 +a(g558 +V +p11563 +tp11564 +a(g827 +V\u000a +p11565 +tp11566 +a(g558 +V +p11583 +tp11584 +a(g827 +V\u000a +p11585 +tp11586 +a(g558 +V +p11592 +tp11593 +a(g827 +V\u000a +p11594 +tp11595 +a(g558 +V +p11601 +tp11602 +a(g827 +V\u000a +p11603 +tp11604 +a(g558 +V +p11610 +tp11611 +a(g827 +V\u000a +p11612 +tp11613 +a(g558 +V +p11619 +tp11620 +a(g827 +V\u000a +p11621 +tp11622 +a(g558 +V +p11628 +tp11629 +a(g827 +V\u000a +p11630 +tp11631 +a(g558 +V +p11637 +tp11638 +a(g827 +V\u000a +p11639 +tp11640 +a(g558 +V +p11646 +tp11647 +a(g827 +V\u000a +p11648 +tp11649 +a(g558 +V +p11655 +tp11656 +a(g827 +V\u000a +p11657 +tp11658 +a(g558 +V +p11664 +tp11665 +a(g827 +V\u000a +p11666 +tp11667 +a(g558 +V +p11673 +tp11674 +a(g827 +V\u000a +p11675 +tp11676 +a(g558 +V +p11682 +tp11683 +a(g827 +V\u000a +p11684 +tp11685 +a(g558 +V +p11691 +tp11692 +a(g827 +V\u000a +p11693 +tp11694 +a(g558 +V +p11700 +tp11701 +a(g827 +V\u000a +p11702 +tp11703 +a(g558 +V +p11709 +tp11710 +a(g827 +V\u000a +p11711 +tp11712 +a(g558 +V +p11718 +tp11719 +a(g827 +V\u000a +p11720 +tp11721 +a(g558 +V +p11727 +tp11728 +a(g827 +V\u000a +p11729 +tp11730 +a(g558 +V +p11736 +tp11737 +a(g827 +V\u000a +p11738 +tp11739 +a(g558 +V +p11745 +tp11746 +a(g827 +V\u000a +p11747 +tp11748 +a(g558 +V +p11754 +tp11755 +a(g827 +V\u000a +p11756 +tp11757 +a(g558 +V +p11763 +tp11764 +a(g827 +V\u000a +p11765 +tp11766 +a(g558 +V +p11772 +tp11773 +a(g827 +V\u000a +p11774 +tp11775 +a(g558 +V +p11781 +tp11782 +a(g827 +V\u000a +p11783 +tp11784 +a(g558 +V +p11790 +tp11791 +a(g827 +V\u000a +p11792 +tp11793 +a(g558 +V +p11799 +tp11800 +a(g827 +V\u000a +p11801 +tp11802 +a(g558 +V +p11808 +tp11809 +a(g827 +V\u000a +p11810 +tp11811 +a(g558 +V +p11817 +tp11818 +a(g827 +V\u000a +p11819 +tp11820 +a(g558 +V +p11826 +tp11827 +a(g827 +V\u000a +p11828 +tp11829 +a(g558 +V +p11835 +tp11836 +a(g827 +V\u000a +p11837 +tp11838 +a(g558 +V +p11844 +tp11845 +a(g827 +V\u000a +p11846 +tp11847 +a(g558 +V +p11853 +tp11854 +a(g827 +V\u000a +p11855 +tp11856 +a(g558 +V +p11862 +tp11863 +a(g827 +V\u000a +p11864 +tp11865 +a(g558 +V +p11871 +tp11872 +a(g827 +V\u000a +p11873 +tp11874 +a(g558 +V +p11880 +tp11881 +a(g827 +V\u000a +p11882 +tp11883 +a(g558 +V +p11889 +tp11890 +a(g827 +V\u000a +p11891 +tp11892 +a(g558 +V +p11898 +tp11899 +a(g827 +V\u000a +p11900 +tp11901 +a(g558 +V +p11907 +tp11908 +a(g827 +V\u000a +p11909 +tp11910 +a(g558 +V +p11916 +tp11917 +a(g827 +V\u000a +p11918 +tp11919 +a(g558 +V +p11925 +tp11926 +a(g827 +V\u000a +p11927 +tp11928 +a(g558 +V +p11934 +tp11935 +a(g827 +V\u000a +p11936 +tp11937 +a(g558 +V +p11943 +tp11944 +a(g827 +V\u000a +p11945 +tp11946 +a(g558 +V +p11952 +tp11953 +a(g827 +V\u000a +p11954 +tp11955 +a(g558 +V +p11961 +tp11962 +a(g827 +V\u000a +p11963 +tp11964 +a(g558 +V +p11970 +tp11971 +a(g827 +V\u000a +p11972 +tp11973 +a(g558 +V +p11979 +tp11980 +a(g827 +V\u000a +p11981 +tp11982 +a(g558 +V +p11988 +tp11989 +a(g827 +V\u000a +p11990 +tp11991 +a(g558 +V +p11997 +tp11998 +a(g827 +V\u000a +p11999 +tp12000 +a(g558 +V +p12006 +tp12007 +a(g827 +V\u000a +p12008 +tp12009 +a(g558 +V +p12015 +tp12016 +a(g827 +V\u000a +p12017 +tp12018 +a(g558 +V +p12024 +tp12025 +a(g827 +V\u000a +p12026 +tp12027 +a(g558 +V +p12033 +tp12034 +a(g827 +V\u000a +p12035 +tp12036 +a(g558 +V +p12042 +tp12043 +a(g827 +V\u000a +p12044 +tp12045 +a(g558 +V +p12051 +tp12052 +a(g827 +V\u000a +p12053 +tp12054 +a(g558 +V +p12060 +tp12061 +a(g827 +V\u000a +p12062 +tp12063 +a(g558 +V +p12069 +tp12070 +a(g827 +V\u000a +p12071 +tp12072 +a(g558 +V +p12078 +tp12079 +a(g827 +V\u000a +p12080 +tp12081 +a(g558 +V +p12087 +tp12088 +a(g827 +V\u000a +p12089 +tp12090 +a(g558 +V +p12096 +tp12097 +a(g827 +V\u000a +p12098 +tp12099 +a(g558 +V +p12105 +tp12106 +a(g827 +V\u000a +p12107 +tp12108 +a(g558 +V +p12114 +tp12115 +a(g827 +V\u000a +p12116 +tp12117 +a(g558 +V +p12123 +tp12124 +a(g827 +V\u000a +p12125 +tp12126 +a(g558 +V +p12132 +tp12133 +a(g827 +V\u000a +p12134 +tp12135 +a(g558 +V +p12141 +tp12142 +a(g827 +V\u000a +p12143 +tp12144 +a(g558 +V +p12150 +tp12151 +a(g827 +V\u000a +p12152 +tp12153 +a(g558 +V +p12159 +tp12160 +a(g827 +V\u000a +p12161 +tp12162 +a(g558 +V +p12168 +tp12169 +a(g827 +V\u000a +p12170 +tp12171 +a(g558 +V +p12177 +tp12178 +a(g827 +V\u000a +p12179 +tp12180 +a(g558 +V +p12186 +tp12187 +a(g827 +V\u000a +p12188 +tp12189 +a(g558 +V +p12195 +tp12196 +a(g827 +V\u000a +p12197 +tp12198 +a(g558 +V +p12204 +tp12205 +a(g827 +V\u000a +p12206 +tp12207 +a(g558 +V +p12213 +tp12214 +a(g827 +V\u000a +p12215 +tp12216 +a(g558 +V +p12222 +tp12223 +a(g827 +V\u000a +p12224 +tp12225 +a(g558 +V +p12231 +tp12232 +a(g827 +V\u000a +p12233 +tp12234 +a(g558 +V +p12240 +tp12241 +a(g827 +V\u000a +p12242 +tp12243 +a(g558 +V +p12249 +tp12250 +a(g827 +V\u000a +p12251 +tp12252 +a(g558 +V +p12253 +tp12254 +a(g827 +V\u000a +p12255 +tp12256 +a(g558 +V +p12273 +tp12274 +a(g827 +V\u000a +p12275 +tp12276 +a(g558 +V +p12282 +tp12283 +a(g827 +V\u000a +p12284 +tp12285 +a(g558 +V +p12291 +tp12292 +a(g827 +V\u000a +p12293 +tp12294 +a(g558 +V +p12300 +tp12301 +a(g827 +V\u000a +p12302 +tp12303 +a(g558 +V +p12309 +tp12310 +a(g827 +V\u000a +p12311 +tp12312 +a(g558 +V +p12318 +tp12319 +a(g827 +V\u000a +p12320 +tp12321 +a(g558 +V +p12327 +tp12328 +a(g827 +V\u000a +p12329 +tp12330 +a(g558 +V +p12336 +tp12337 +a(g827 +V\u000a +p12338 +tp12339 +a(g558 +V +p12345 +tp12346 +a(g827 +V\u000a +p12347 +tp12348 +a(g558 +V +p12354 +tp12355 +a(g827 +V\u000a +p12356 +tp12357 +a(g558 +V +p12363 +tp12364 +a(g827 +V\u000a +p12365 +tp12366 +a(g558 +V +p12372 +tp12373 +a(g827 +V\u000a +p12374 +tp12375 +a(g558 +V +p12381 +tp12382 +a(g827 +V\u000a +p12383 +tp12384 +a(g558 +V +p12390 +tp12391 +a(g827 +V\u000a +p12392 +tp12393 +a(g558 +V +p12399 +tp12400 +a(g827 +V\u000a +p12401 +tp12402 +a(g558 +V +p12408 +tp12409 +a(g827 +V\u000a +p12410 +tp12411 +a(g558 +V +p12417 +tp12418 +a(g827 +V\u000a +p12419 +tp12420 +a(g558 +V +p12426 +tp12427 +a(g827 +V\u000a +p12428 +tp12429 +a(g558 +V +p12435 +tp12436 +a(g827 +V\u000a +p12437 +tp12438 +a(g558 +V +p12444 +tp12445 +a(g827 +V\u000a +p12446 +tp12447 +a(g558 +V +p12453 +tp12454 +a(g827 +V\u000a +p12455 +tp12456 +a(g558 +V +p12462 +tp12463 +a(g827 +V\u000a +p12464 +tp12465 +a(g558 +V +p12471 +tp12472 +a(g827 +V\u000a +p12473 +tp12474 +a(g558 +V +p12480 +tp12481 +a(g827 +V\u000a +p12482 +tp12483 +a(g558 +V +p12489 +tp12490 +a(g827 +V\u000a +p12491 +tp12492 +a(g558 +V +p12498 +tp12499 +a(g827 +V\u000a +p12500 +tp12501 +a(g558 +V +p12507 +tp12508 +a(g827 +V\u000a +p12509 +tp12510 +a(g558 +V +p12516 +tp12517 +a(g827 +V\u000a +p12518 +tp12519 +a(g558 +V +p12525 +tp12526 +a(g827 +V\u000a +p12527 +tp12528 +a(g558 +V +p12534 +tp12535 +a(g827 +V\u000a +p12536 +tp12537 +a(g558 +V +p12543 +tp12544 +a(g827 +V\u000a +p12545 +tp12546 +a(g558 +V +p12552 +tp12553 +a(g827 +V\u000a +p12554 +tp12555 +a(g558 +V +p12561 +tp12562 +a(g827 +V\u000a +p12563 +tp12564 +a(g558 +V +p12570 +tp12571 +a(g827 +V\u000a +p12572 +tp12573 +a(g558 +V +p12579 +tp12580 +a(g827 +V\u000a +p12581 +tp12582 +a(g558 +V +p12588 +tp12589 +a(g827 +V\u000a +p12590 +tp12591 +a(g558 +V +p12597 +tp12598 +a(g827 +V\u000a +p12599 +tp12600 +a(g558 +V +p12606 +tp12607 +a(g827 +V\u000a +p12608 +tp12609 +a(g558 +V +p12615 +tp12616 +a(g827 +V\u000a +p12617 +tp12618 +a(g558 +V +p12624 +tp12625 +a(g827 +V\u000a +p12626 +tp12627 +a(g558 +V +p12633 +tp12634 +a(g827 +V\u000a +p12635 +tp12636 +a(g558 +V +p12642 +tp12643 +a(g827 +V\u000a +p12644 +tp12645 +a(g558 +V +p12651 +tp12652 +a(g827 +V\u000a +p12653 +tp12654 +a(g558 +V +p12660 +tp12661 +a(g827 +V\u000a +p12662 +tp12663 +a(g558 +V +p12669 +tp12670 +a(g827 +V\u000a +p12671 +tp12672 +a(g558 +V +p12678 +tp12679 +a(g827 +V\u000a +p12680 +tp12681 +a(g558 +V +p12687 +tp12688 +a(g827 +V\u000a +p12689 +tp12690 +a(g558 +V +p12696 +tp12697 +a(g827 +V\u000a +p12698 +tp12699 +a(g558 +V +p12705 +tp12706 +a(g827 +V\u000a +p12707 +tp12708 +a(g558 +V +p12714 +tp12715 +a(g827 +V\u000a +p12716 +tp12717 +a(g558 +V +p12723 +tp12724 +a(g827 +V\u000a +p12725 +tp12726 +a(g558 +V +p12732 +tp12733 +a(g827 +V\u000a +p12734 +tp12735 +a(g558 +V +p12741 +tp12742 +a(g827 +V\u000a +p12743 +tp12744 +a(g558 +V +p12750 +tp12751 +a(g827 +V\u000a +p12752 +tp12753 +a(g558 +V +p12759 +tp12760 +a(g827 +V\u000a +p12761 +tp12762 +a(g558 +V +p12768 +tp12769 +a(g827 +V\u000a +p12770 +tp12771 +a(g558 +V +p12777 +tp12778 +a(g827 +V\u000a +p12779 +tp12780 +a(g558 +V +p12786 +tp12787 +a(g827 +V\u000a +p12788 +tp12789 +a(g558 +V +p12795 +tp12796 +a(g827 +V\u000a +p12797 +tp12798 +a(g558 +V +p12804 +tp12805 +a(g827 +V\u000a +p12806 +tp12807 +a(g558 +V +p12813 +tp12814 +a(g827 +V\u000a +p12815 +tp12816 +a(g558 +V +p12822 +tp12823 +a(g827 +V\u000a +p12824 +tp12825 +a(g558 +V +p12831 +tp12832 +a(g827 +V\u000a +p12833 +tp12834 +a(g558 +V +p12840 +tp12841 +a(g827 +V\u000a +p12842 +tp12843 +a(g558 +V +p12849 +tp12850 +a(g827 +V\u000a +p12851 +tp12852 +a(g558 +V +p12858 +tp12859 +a(g827 +V\u000a +p12860 +tp12861 +a(g558 +V +p12867 +tp12868 +a(g827 +V\u000a +p12869 +tp12870 +a(g558 +V +p12876 +tp12877 +a(g827 +V\u000a +p12878 +tp12879 +a(g558 +V +p12885 +tp12886 +a(g827 +V\u000a +p12887 +tp12888 +a(g558 +V +p12894 +tp12895 +a(g827 +V\u000a +p12896 +tp12897 +a(g558 +V +p12903 +tp12904 +a(g827 +V\u000a +p12905 +tp12906 +a(g558 +V +p12912 +tp12913 +a(g827 +V\u000a +p12914 +tp12915 +a(g558 +V +p12921 +tp12922 +a(g827 +V\u000a +p12923 +tp12924 +a(g558 +V +p12930 +tp12931 +a(g827 +V\u000a +p12932 +tp12933 +a(g558 +V +p12939 +tp12940 +a(g827 +V\u000a +p12941 +tp12942 +a(g558 +V +p12943 +tp12944 +a(g827 +V\u000a +p12945 +tp12946 +a(g558 +V +p13002 +tp13003 +a(g827 +V\u000a +p13004 +tp13005 +a(g558 +V +p13033 +tp13034 +a(g827 +V\u000a +p13035 +tp13036 +a(g558 +V +p13069 +tp13070 +a(g827 +V\u000a +p13071 +tp13072 +a(g558 +V +p13100 +tp13101 +a(g827 +V\u000a +p13102 +tp13103 +a(g558 +V +p13131 +tp13132 +a(g827 +V\u000a +p13133 +tp13134 +a(g558 +V +p13162 +tp13163 +a(g827 +V\u000a +p13164 +tp13165 +a(g558 +V +p13193 +tp13194 +a(g827 +V\u000a +p13195 +tp13196 +a(g558 +V +p13224 +tp13225 +a(g827 +V\u000a +p13226 +tp13227 +a(g558 +V +p13255 +tp13256 +a(g827 +V\u000a +p13257 +tp13258 +a(g558 +V +p13281 +tp13282 +a(g827 +V\u000a +p13283 +tp13284 +a(g558 +V +p13307 +tp13308 +a(g827 +V\u000a\u000a +p13309 +tp13310 +a(g558 +V +p13331 +tp13332 +a(g827 +V\u000a +p13333 +tp13334 +a(g558 +V +p13355 +tp13356 +a(g827 +V\u000a +p13357 +tp13358 +a(g558 +V +p13379 +tp13380 +a(g827 +V\u000a +p13381 +tp13382 +a(g558 +V +p13397 +tp13398 +a(g827 +V\u000a +p13399 +tp13400 +a(g558 +V +p13421 +tp13422 +a(g827 +V\u000a +p13423 +tp13424 +a(g558 +V +p13451 +tp13452 +a(g827 +V\u000a +p13453 +tp13454 +a(g558 +V +p13475 +tp13476 +a(g827 +V\u000a +p13477 +tp13478 +a(g558 +V +p13498 +tp13499 +a(g827 +V\u000a +p13500 +tp13501 +a(g558 +V +p13521 +tp13522 +a(g827 +V\u000a +p13523 +tp13524 +a(g558 +V +p13551 +tp13552 +a(g827 +V\u000a +p13553 +tp13554 +a(g558 +V +p13574 +tp13575 +a(g827 +V\u000a\u000a +p13576 +tp13577 +a(g558 +V +p13578 +tp13579 +a(g827 +V\u000a +p13580 +tp13581 +a(g558 +V +p13624 +tp13625 +a(g827 +V\u000a +p13626 +tp13627 +a(g558 +V +p13648 +tp13649 +a(g827 +V\u000a +p13650 +tp13651 +a(g558 +V +p13672 +tp13673 +a(g827 +V\u000a +p13674 +tp13675 +a(g558 +V +p13676 +tp13677 +a(g827 +V\u000a +p13678 +tp13679 +a(g558 +V +p13722 +tp13723 +a(g827 +V\u000a +p13724 +tp13725 +a(g558 +V +p13746 +tp13747 +a(g827 +V\u000a +p13748 +tp13749 +a(g558 +V +p13770 +tp13771 +a(g827 +V\u000a +p13772 +tp13773 +a(g558 +V +p13774 +tp13775 +a(g827 +V\u000a +p13776 +tp13777 +a(g558 +V +p13820 +tp13821 +a(g827 +V\u000a +p13822 +tp13823 +a(g558 +V +p13844 +tp13845 +a(g827 +V\u000a +p13846 +tp13847 +a(g558 +V +p13868 +tp13869 +a(g827 +V\u000a +p13870 +tp13871 +a(g558 +V +p13872 +tp13873 +a(g827 +V\u000a +p13874 +tp13875 +a(g558 +V +p13917 +tp13918 +a(g827 +V\u000a +p13919 +tp13920 +a(g558 +V +p13921 +tp13922 +a(g827 +V\u000a +p13923 +tp13924 +a(g558 +V +p13925 +tp13926 +a(g827 +V\u000a +p13927 +tp13928 +a(g558 +V +p13948 +tp13949 +a(g827 +V\u000a +p13950 +tp13951 +a(g558 +V +p13966 +tp13967 +a(g827 +V\u000a +p13968 +tp13969 +a(g558 +V +p13984 +tp13985 +a(g827 +V\u000a +p13986 +tp13987 +a(g558 +V +p14002 +tp14003 +a(g827 +V\u000a +p14004 +tp14005 +a(g558 +V +p14020 +tp14021 +a(g827 +V\u000a +p14022 +tp14023 +a(g558 +V +p14038 +tp14039 +a(g827 +V\u000a +p14040 +tp14041 +a(g558 +V +p14056 +tp14057 +a(g827 +V\u000a +p14058 +tp14059 +a(g558 +V +p14074 +tp14075 +a(g827 +V\u000a +p14076 +tp14077 +a(g558 +V +p14092 +tp14093 +a(g827 +V\u000a +p14094 +tp14095 +a(g558 +V +p14110 +tp14111 +a(g827 +V\u000a +p14112 +tp14113 +a(g558 +V +p14128 +tp14129 +a(g827 +V\u000a +p14130 +tp14131 +a(g558 +V +p14132 +tp14133 +a(g827 +V\u000a +p14134 +tp14135 +a(g558 +V +p14136 +tp14137 +a(g827 +V\u000a +p14138 +tp14139 +a(g558 +V +p14162 +tp14163 +a(g827 +V\u000a +p14164 +tp14165 +a(g558 +V +p14166 +tp14167 +a(g827 +V\u000a +p14168 +tp14169 +a(g558 +V +p14177 +tp14178 +a(g827 +V\u000a +p14179 +tp14180 +a(g558 +V +p14181 +tp14182 +a(g827 +V\u000a +p14183 +tp14184 +a(g558 +V +p14185 +tp14186 +a(g827 +V\u000a +p14187 +tp14188 +a(g8 +V +p14189 +tp14190 +a(g827 +V\u000a +p14191 +tp14192 +a(g8 +V +p14193 +tp14194 +a(g827 +V\u000a +p14195 +tp14196 +a(g558 +V +p14252 +tp14253 +a(g827 +V\u000a +p14254 +tp14255 +a(g558 +V +p14261 +tp14262 +a(g827 +V\u000a +p14263 +tp14264 +a(g558 +V +p14270 +tp14271 +a(g827 +V\u000a +p14272 +tp14273 +a(g558 +V +p14279 +tp14280 +a(g827 +V\u000a +p14281 +tp14282 +a(g558 +V +p14288 +tp14289 +a(g827 +V\u000a +p14290 +tp14291 +a(g558 +V +p14297 +tp14298 +a(g827 +V\u000a +p14299 +tp14300 +a(g558 +V +p14306 +tp14307 +a(g827 +V\u000a +p14308 +tp14309 +a(g558 +V +p14315 +tp14316 +a(g827 +V\u000a +p14317 +tp14318 +a(g558 +V +p14324 +tp14325 +a(g827 +V\u000a +p14326 +tp14327 +a(g558 +V +p14333 +tp14334 +a(g827 +V\u000a +p14335 +tp14336 +a(g558 +V +p14342 +tp14343 +a(g827 +V\u000a +p14344 +tp14345 +a(g558 +V +p14351 +tp14352 +a(g827 +V\u000a +p14353 +tp14354 +a(g558 +V +p14360 +tp14361 +a(g827 +V\u000a +p14362 +tp14363 +a(g558 +V +p14369 +tp14370 +a(g827 +V\u000a +p14371 +tp14372 +a(g558 +V +p14378 +tp14379 +a(g827 +V\u000a +p14380 +tp14381 +a(g558 +V +p14387 +tp14388 +a(g827 +V\u000a +p14389 +tp14390 +a(g558 +V +p14396 +tp14397 +a(g827 +V\u000a +p14398 +tp14399 +a(g558 +V +p14405 +tp14406 +a(g827 +V\u000a +p14407 +tp14408 +a(g558 +V +p14414 +tp14415 +a(g827 +V\u000a +p14416 +tp14417 +a(g558 +V +p14423 +tp14424 +a(g827 +V\u000a +p14425 +tp14426 +a(g558 +V +p14432 +tp14433 +a(g827 +V\u000a +p14434 +tp14435 +a(g558 +V +p14441 +tp14442 +a(g827 +V\u000a +p14443 +tp14444 +a(g558 +V +p14450 +tp14451 +a(g827 +V\u000a +p14452 +tp14453 +a(g558 +V +p14459 +tp14460 +a(g827 +V\u000a +p14461 +tp14462 +a(g558 +V +p14468 +tp14469 +a(g827 +V\u000a +p14470 +tp14471 +a(g558 +V +p14477 +tp14478 +a(g827 +V\u000a\u000a +p14479 +tp14480 +a(g558 +V +p14481 +tp14482 +a(g827 +V\u000a\u000a +p14483 +tp14484 +a(g558 +V +p14500 +tp14501 +a(g827 +V\u000a +p14502 +tp14503 +a(g558 +V +p14509 +tp14510 +a(g827 +V\u000a +p14511 +tp14512 +a(g558 +V +p14518 +tp14519 +a(g827 +V\u000a +p14520 +tp14521 +a(g558 +V +p14527 +tp14528 +a(g827 +V\u000a +p14529 +tp14530 +a(g558 +V +p14536 +tp14537 +a(g827 +V\u000a +p14538 +tp14539 +a(g558 +V +p14545 +tp14546 +a(g827 +V\u000a +p14547 +tp14548 +a(g558 +V +p14554 +tp14555 +a(g827 +V\u000a +p14556 +tp14557 +a(g558 +V +p14563 +tp14564 +a(g827 +V\u000a +p14565 +tp14566 +a(g558 +V +p14572 +tp14573 +a(g827 +V\u000a +p14574 +tp14575 +a(g558 +V +p14581 +tp14582 +a(g827 +V\u000a +p14583 +tp14584 +a(g558 +V +p14590 +tp14591 +a(g827 +V\u000a +p14592 +tp14593 +a(g558 +V +p14599 +tp14600 +a(g827 +V\u000a +p14601 +tp14602 +a(g558 +V +p14608 +tp14609 +a(g827 +V\u000a +p14610 +tp14611 +a(g558 +V +p14617 +tp14618 +a(g827 +V\u000a +p14619 +tp14620 +a(g558 +V +p14819 +tp14820 +a(g827 +V\u000a\u000a +p14821 +tp14822 +a(g558 +V +p14838 +tp14839 +a(g827 +V\u000a +p14840 +tp14841 +a(g558 +V +p14847 +tp14848 +a(g827 +V\u000a +p14849 +tp14850 +a(g558 +V +p14856 +tp14857 +a(g827 +V\u000a +p14858 +tp14859 +a(g558 +V +p14865 +tp14866 +a(g827 +V\u000a +p14867 +tp14868 +a(g558 +V +p14874 +tp14875 +a(g827 +V\u000a +p14876 +tp14877 +a(g558 +V +p14883 +tp14884 +a(g827 +V\u000a +p14885 +tp14886 +a(g558 +V +p14892 +tp14893 +a(g827 +V\u000a +p14894 +tp14895 +a(g558 +V +p14901 +tp14902 +a(g827 +V\u000a +p14903 +tp14904 +a(g558 +V +p14910 +tp14911 +a(g827 +V\u000a +p14912 +tp14913 +a(g558 +V +p14919 +tp14920 +a(g827 +V\u000a +p14921 +tp14922 +a(g558 +V +p14928 +tp14929 +a(g827 +V\u000a +p14930 +tp14931 +a(g558 +V +p14937 +tp14938 +a(g827 +V\u000a +p14939 +tp14940 +a(g558 +V +p14946 +tp14947 +a(g827 +V\u000a +p14948 +tp14949 +a(g558 +V +p14955 +tp14956 +a(g827 +V\u000a +p14957 +tp14958 +a(g558 +V +p14964 +tp14965 +a(g827 +V\u000a +p14966 +tp14967 +a(g558 +V +p14973 +tp14974 +a(g827 +V\u000a +p14975 +tp14976 +a(g558 +V +p14982 +tp14983 +a(g827 +V\u000a +p14984 +tp14985 +a(g558 +V +p14991 +tp14992 +a(g827 +V\u000a +p14993 +tp14994 +a(g558 +V +p15000 +tp15001 +a(g827 +V\u000a +p15002 +tp15003 +a(g558 +V +p15009 +tp15010 +a(g827 +V\u000a +p15011 +tp15012 +a(g558 +V +p15018 +tp15019 +a(g827 +V\u000a +p15020 +tp15021 +a(g558 +V +p15027 +tp15028 +a(g827 +V\u000a +p15029 +tp15030 +a(g558 +V +p15036 +tp15037 +a(g827 +V\u000a +p15038 +tp15039 +a(g558 +V +p15045 +tp15046 +a(g827 +V\u000a +p15047 +tp15048 +a(g558 +V +p15054 +tp15055 +a(g827 +V\u000a +p15056 +tp15057 +a(g558 +V +p15063 +tp15064 +a(g827 +V\u000a +p15065 +tp15066 +a(g558 +V +p15072 +tp15073 +a(g827 +V\u000a +p15074 +tp15075 +a(g558 +V +p15081 +tp15082 +a(g827 +V\u000a +p15083 +tp15084 +a(g558 +V +p15090 +tp15091 +a(g827 +V\u000a +p15092 +tp15093 +a(g558 +V +p15099 +tp15100 +a(g827 +V\u000a +p15101 +tp15102 +a(g558 +V +p15108 +tp15109 +a(g827 +V\u000a +p15110 +tp15111 +a(g558 +V +p15117 +tp15118 +a(g827 +V\u000a +p15119 +tp15120 +a(g558 +V +p15126 +tp15127 +a(g827 +V\u000a +p15128 +tp15129 +a(g558 +V +p15135 +tp15136 +a(g827 +V\u000a +p15137 +tp15138 +a(g558 +V +p15144 +tp15145 +a(g827 +V\u000a +p15146 +tp15147 +a(g558 +V +p15153 +tp15154 +a(g827 +V\u000a +p15155 +tp15156 +a(g558 +V +p15162 +tp15163 +a(g827 +V\u000a +p15164 +tp15165 +a(g558 +V +p15171 +tp15172 +a(g827 +V\u000a +p15173 +tp15174 +a(g558 +V +p15180 +tp15181 +a(g827 +V\u000a +p15182 +tp15183 +a(g558 +V +p15189 +tp15190 +a(g827 +V\u000a +p15191 +tp15192 +a(g558 +V +p15198 +tp15199 +a(g827 +V\u000a +p15200 +tp15201 +a(g558 +V +p15207 +tp15208 +a(g827 +V\u000a +p15209 +tp15210 +a(g558 +V +p15216 +tp15217 +a(g827 +V\u000a +p15218 +tp15219 +a(g558 +V +p15225 +tp15226 +a(g827 +V\u000a +p15227 +tp15228 +a(g558 +V +p15234 +tp15235 +a(g827 +V\u000a +p15236 +tp15237 +a(g558 +V +p15243 +tp15244 +a(g827 +V\u000a +p15245 +tp15246 +a(g558 +V +p15252 +tp15253 +a(g827 +V\u000a +p15254 +tp15255 +a(g558 +V +p15261 +tp15262 +a(g827 +V\u000a +p15263 +tp15264 +a(g558 +V +p15270 +tp15271 +a(g827 +V\u000a +p15272 +tp15273 +a(g558 +V +p15279 +tp15280 +a(g827 +V\u000a +p15281 +tp15282 +a(g558 +V +p15288 +tp15289 +a(g827 +V\u000a +p15290 +tp15291 +a(g558 +V +p15297 +tp15298 +a(g827 +V\u000a +p15299 +tp15300 +a(g558 +V +p15306 +tp15307 +a(g827 +V\u000a +p15308 +tp15309 +a(g558 +V +p15315 +tp15316 +a(g827 +V\u000a +p15317 +tp15318 +a(g558 +V +p15324 +tp15325 +a(g827 +V\u000a +p15326 +tp15327 +a(g558 +V +p15333 +tp15334 +a(g827 +V\u000a +p15335 +tp15336 +a(g558 +V +p15342 +tp15343 +a(g827 +V\u000a +p15344 +tp15345 +a(g558 +V +p15351 +tp15352 +a(g827 +V\u000a +p15353 +tp15354 +a(g558 +V +p15360 +tp15361 +a(g827 +V\u000a +p15362 +tp15363 +a(g558 +V +p15369 +tp15370 +a(g827 +V\u000a +p15371 +tp15372 +a(g558 +V +p15378 +tp15379 +a(g827 +V\u000a +p15380 +tp15381 +a(g558 +V +p15387 +tp15388 +a(g827 +V\u000a +p15389 +tp15390 +a(g558 +V +p15396 +tp15397 +a(g827 +V\u000a +p15398 +tp15399 +a(g558 +V +p15405 +tp15406 +a(g827 +V\u000a +p15407 +tp15408 +a(g558 +V +p15414 +tp15415 +a(g827 +V\u000a +p15416 +tp15417 +a(g558 +V +p15423 +tp15424 +a(g827 +V\u000a +p15425 +tp15426 +a(g558 +V +p15432 +tp15433 +a(g827 +V\u000a +p15434 +tp15435 +a(g558 +V +p15441 +tp15442 +a(g827 +V\u000a +p15443 +tp15444 +a(g558 +V +p15450 +tp15451 +a(g827 +V\u000a +p15452 +tp15453 +a(g558 +V +p15459 +tp15460 +a(g827 +V\u000a +p15461 +tp15462 +a(g558 +V +p15468 +tp15469 +a(g827 +V\u000a +p15470 +tp15471 +a(g558 +V +p15477 +tp15478 +a(g827 +V\u000a +p15479 +tp15480 +a(g558 +V +p15486 +tp15487 +a(g827 +V\u000a +p15488 +tp15489 +a(g558 +V +p15495 +tp15496 +a(g827 +V\u000a +p15497 +tp15498 +a(g558 +V +p15504 +tp15505 +a(g827 +V\u000a +p15506 +tp15507 +a(g558 +V +p15513 +tp15514 +a(g827 +V\u000a +p15515 +tp15516 +a(g558 +V +p15522 +tp15523 +a(g827 +V\u000a +p15524 +tp15525 +a(g558 +V +p15531 +tp15532 +a(g827 +V\u000a +p15533 +tp15534 +a(g558 +V +p15540 +tp15541 +a(g827 +V\u000a +p15542 +tp15543 +a(g558 +V +p15549 +tp15550 +a(g827 +V\u000a +p15551 +tp15552 +a(g558 +V +p15558 +tp15559 +a(g827 +V\u000a +p15560 +tp15561 +a(g558 +V +p15567 +tp15568 +a(g827 +V\u000a +p15569 +tp15570 +a(g558 +V +p15576 +tp15577 +a(g827 +V\u000a +p15578 +tp15579 +a(g558 +V +p15585 +tp15586 +a(g827 +V\u000a +p15587 +tp15588 +a(g558 +V +p15594 +tp15595 +a(g827 +V\u000a +p15596 +tp15597 +a(g558 +V +p15603 +tp15604 +a(g827 +V\u000a +p15605 +tp15606 +a(g558 +V +p15612 +tp15613 +a(g827 +V\u000a +p15614 +tp15615 +a(g558 +V +p15621 +tp15622 +a(g827 +V\u000a +p15623 +tp15624 +a(g558 +V +p15630 +tp15631 +a(g827 +V\u000a +p15632 +tp15633 +a(g558 +V +p15639 +tp15640 +a(g827 +V\u000a +p15641 +tp15642 +a(g558 +V +p15648 +tp15649 +a(g827 +V\u000a +p15650 +tp15651 +a(g558 +V +p15657 +tp15658 +a(g827 +V\u000a +p15659 +tp15660 +a(g558 +V +p15666 +tp15667 +a(g827 +V\u000a +p15668 +tp15669 +a(g558 +V +p15675 +tp15676 +a(g827 +V\u000a +p15677 +tp15678 +a(g558 +V +p15684 +tp15685 +a(g827 +V\u000a +p15686 +tp15687 +a(g558 +V +p15693 +tp15694 +a(g827 +V\u000a +p15695 +tp15696 +a(g558 +V +p15702 +tp15703 +a(g827 +V\u000a +p15704 +tp15705 +a(g558 +V +p15711 +tp15712 +a(g827 +V\u000a +p15713 +tp15714 +a(g558 +V +p15720 +tp15721 +a(g827 +V\u000a +p15722 +tp15723 +a(g558 +V +p15729 +tp15730 +a(g827 +V\u000a +p15731 +tp15732 +a(g558 +V +p15738 +tp15739 +a(g827 +V\u000a +p15740 +tp15741 +a(g558 +V +p15747 +tp15748 +a(g827 +V\u000a +p15749 +tp15750 +a(g558 +V +p15756 +tp15757 +a(g827 +V\u000a +p15758 +tp15759 +a(g558 +V +p15765 +tp15766 +a(g827 +V\u000a +p15767 +tp15768 +a(g558 +V +p15774 +tp15775 +a(g827 +V\u000a +p15776 +tp15777 +a(g558 +V +p15783 +tp15784 +a(g827 +V\u000a +p15785 +tp15786 +a(g558 +V +p15792 +tp15793 +a(g827 +V\u000a +p15794 +tp15795 +a(g558 +V +p15801 +tp15802 +a(g827 +V\u000a +p15803 +tp15804 +a(g558 +V +p15810 +tp15811 +a(g827 +V\u000a +p15812 +tp15813 +a(g558 +V +p15819 +tp15820 +a(g827 +V\u000a +p15821 +tp15822 +a(g558 +V +p15828 +tp15829 +a(g827 +V\u000a +p15830 +tp15831 +a(g558 +V +p15837 +tp15838 +a(g827 +V\u000a +p15839 +tp15840 +a(g558 +V +p15846 +tp15847 +a(g827 +V\u000a +p15848 +tp15849 +a(g558 +V +p15855 +tp15856 +a(g827 +V\u000a +p15857 +tp15858 +a(g558 +V +p15864 +tp15865 +a(g827 +V\u000a +p15866 +tp15867 +a(g558 +V +p15873 +tp15874 +a(g827 +V\u000a +p15875 +tp15876 +a(g558 +V +p15882 +tp15883 +a(g827 +V\u000a +p15884 +tp15885 +a(g558 +V +p15891 +tp15892 +a(g827 +V\u000a +p15893 +tp15894 +a(g558 +V +p15900 +tp15901 +a(g827 +V\u000a +p15902 +tp15903 +a(g558 +V +p15909 +tp15910 +a(g827 +V\u000a +p15911 +tp15912 +a(g558 +V +p15918 +tp15919 +a(g827 +V\u000a +p15920 +tp15921 +a(g558 +V +p15927 +tp15928 +a(g827 +V\u000a +p15929 +tp15930 +a(g558 +V +p15936 +tp15937 +a(g827 +V\u000a +p15938 +tp15939 +a(g558 +V +p15945 +tp15946 +a(g827 +V\u000a +p15947 +tp15948 +a(g558 +V +p15954 +tp15955 +a(g827 +V\u000a +p15956 +tp15957 +a(g558 +V +p15963 +tp15964 +a(g827 +V\u000a +p15965 +tp15966 +a(g558 +V +p15972 +tp15973 +a(g827 +V\u000a +p15974 +tp15975 +a(g558 +V +p15981 +tp15982 +a(g827 +V\u000a +p15983 +tp15984 +a(g558 +V +p15990 +tp15991 +a(g827 +V\u000a +p15992 +tp15993 +a(g558 +V +p15999 +tp16000 +a(g827 +V\u000a +p16001 +tp16002 +a(g558 +V +p16008 +tp16009 +a(g827 +V\u000a +p16010 +tp16011 +a(g558 +V +p16017 +tp16018 +a(g827 +V\u000a +p16019 +tp16020 +a(g558 +V +p16026 +tp16027 +a(g827 +V\u000a +p16028 +tp16029 +a(g558 +V +p16035 +tp16036 +a(g827 +V\u000a +p16037 +tp16038 +a(g558 +V +p16044 +tp16045 +a(g827 +V\u000a +p16046 +tp16047 +a(g558 +V +p16053 +tp16054 +a(g827 +V\u000a +p16055 +tp16056 +a(g558 +V +p16062 +tp16063 +a(g827 +V\u000a +p16064 +tp16065 +a(g558 +V +p16071 +tp16072 +a(g827 +V\u000a\u000a +p16073 +tp16074 +a(g558 +V +p16075 +tp16076 +a(g827 +V\u000a\u000a +p16077 +tp16078 +a(g558 +V +p16094 +tp16095 +a(g827 +V\u000a +p16096 +tp16097 +a(g558 +V +p16103 +tp16104 +a(g827 +V\u000a +p16105 +tp16106 +a(g558 +V +p16112 +tp16113 +a(g827 +V\u000a +p16114 +tp16115 +a(g558 +V +p16121 +tp16122 +a(g827 +V\u000a +p16123 +tp16124 +a(g558 +V +p16130 +tp16131 +a(g827 +V\u000a +p16132 +tp16133 +a(g558 +V +p16139 +tp16140 +a(g827 +V\u000a +p16141 +tp16142 +a(g558 +V +p16148 +tp16149 +a(g827 +V\u000a +p16150 +tp16151 +a(g558 +V +p16157 +tp16158 +a(g827 +V\u000a +p16159 +tp16160 +a(g558 +V +p16166 +tp16167 +a(g827 +V\u000a +p16168 +tp16169 +a(g558 +V +p16175 +tp16176 +a(g827 +V\u000a +p16177 +tp16178 +a(g558 +V +p16184 +tp16185 +a(g827 +V\u000a +p16186 +tp16187 +a(g558 +V +p16193 +tp16194 +a(g827 +V\u000a +p16195 +tp16196 +a(g558 +V +p16202 +tp16203 +a(g827 +V\u000a +p16204 +tp16205 +a(g558 +V +p16211 +tp16212 +a(g827 +V\u000a +p16213 +tp16214 +a(g558 +V +p16220 +tp16221 +a(g827 +V\u000a +p16222 +tp16223 +a(g558 +V +p16229 +tp16230 +a(g827 +V\u000a\u000a +p16231 +tp16232 +a(g558 +V +p16233 +tp16234 +a(g827 +V\u000a\u000a +p16235 +tp16236 +a(g558 +V +p16252 +tp16253 +a(g827 +V\u000a +p16254 +tp16255 +a(g558 +V +p16261 +tp16262 +a(g827 +V\u000a +p16263 +tp16264 +a(g558 +V +p16270 +tp16271 +a(g827 +V\u000a +p16272 +tp16273 +a(g558 +V +p16279 +tp16280 +a(g827 +V\u000a +p16281 +tp16282 +a(g558 +V +p16288 +tp16289 +a(g827 +V\u000a +p16290 +tp16291 +a(g558 +V +p16297 +tp16298 +a(g827 +V\u000a +p16299 +tp16300 +a(g558 +V +p16306 +tp16307 +a(g827 +V\u000a +p16308 +tp16309 +a(g558 +V +p16315 +tp16316 +a(g827 +V\u000a +p16317 +tp16318 +a(g558 +V +p16324 +tp16325 +a(g827 +V\u000a +p16326 +tp16327 +a(g558 +V +p16333 +tp16334 +a(g827 +V\u000a +p16335 +tp16336 +a(g558 +V +p16342 +tp16343 +a(g827 +V\u000a +p16344 +tp16345 +a(g558 +V +p16351 +tp16352 +a(g827 +V\u000a +p16353 +tp16354 +a(g558 +V +p16360 +tp16361 +a(g827 +V\u000a +p16362 +tp16363 +a(g558 +V +p16369 +tp16370 +a(g827 +V\u000a +p16371 +tp16372 +a(g558 +V +p16378 +tp16379 +a(g827 +V\u000a +p16380 +tp16381 +a(g558 +V +p16387 +tp16388 +a(g827 +V\u000a +p16389 +tp16390 +a(g558 +V +p16396 +tp16397 +a(g827 +V\u000a +p16398 +tp16399 +a(g558 +V +p16405 +tp16406 +a(g827 +V\u000a +p16407 +tp16408 +a(g558 +V +p16414 +tp16415 +a(g827 +V\u000a +p16416 +tp16417 +a(g558 +V +p16423 +tp16424 +a(g827 +V\u000a +p16425 +tp16426 +a(g558 +V +p16432 +tp16433 +a(g827 +V\u000a +p16434 +tp16435 +a(g558 +V +p16441 +tp16442 +a(g827 +V\u000a +p16443 +tp16444 +a(g558 +V +p16450 +tp16451 +a(g827 +V\u000a +p16452 +tp16453 +a(g558 +V +p16459 +tp16460 +a(g827 +V\u000a +p16461 +tp16462 +a(g558 +V +p16468 +tp16469 +a(g827 +V\u000a +p16470 +tp16471 +a(g558 +V +p16477 +tp16478 +a(g827 +V\u000a +p16479 +tp16480 +a(g558 +V +p16486 +tp16487 +a(g827 +V\u000a +p16488 +tp16489 +a(g558 +V +p16495 +tp16496 +a(g827 +V\u000a +p16497 +tp16498 +a(g558 +V +p16504 +tp16505 +a(g827 +V\u000a +p16506 +tp16507 +a(g558 +V +p16513 +tp16514 +a(g827 +V\u000a +p16515 +tp16516 +a(g558 +V +p16522 +tp16523 +a(g827 +V\u000a +p16524 +tp16525 +a(g558 +V +p16531 +tp16532 +a(g827 +V\u000a +p16533 +tp16534 +a(g558 +V +p16540 +tp16541 +a(g827 +V\u000a +p16542 +tp16543 +a(g558 +V +p16549 +tp16550 +a(g827 +V\u000a +p16551 +tp16552 +a(g558 +V +p16558 +tp16559 +a(g827 +V\u000a +p16560 +tp16561 +a(g558 +V +p16567 +tp16568 +a(g827 +V\u000a +p16569 +tp16570 +a(g558 +V +p16576 +tp16577 +a(g827 +V\u000a +p16578 +tp16579 +a(g558 +V +p16585 +tp16586 +a(g827 +V\u000a +p16587 +tp16588 +a(g558 +V +p16594 +tp16595 +a(g827 +V\u000a +p16596 +tp16597 +a(g558 +V +p16603 +tp16604 +a(g827 +V\u000a +p16605 +tp16606 +a(g558 +V +p16612 +tp16613 +a(g827 +V\u000a +p16614 +tp16615 +a(g558 +V +p16621 +tp16622 +a(g827 +V\u000a +p16623 +tp16624 +a(g558 +V +p16630 +tp16631 +a(g827 +V\u000a +p16632 +tp16633 +a(g558 +V +p16639 +tp16640 +a(g827 +V\u000a +p16641 +tp16642 +a(g558 +V +p16648 +tp16649 +a(g827 +V\u000a +p16650 +tp16651 +a(g558 +V +p16657 +tp16658 +a(g827 +V\u000a +p16659 +tp16660 +a(g558 +V +p16666 +tp16667 +a(g827 +V\u000a +p16668 +tp16669 +a(g558 +V +p16675 +tp16676 +a(g827 +V\u000a +p16677 +tp16678 +a(g558 +V +p16684 +tp16685 +a(g827 +V\u000a +p16686 +tp16687 +a(g558 +V +p16693 +tp16694 +a(g827 +V\u000a +p16695 +tp16696 +a(g558 +V +p16702 +tp16703 +a(g827 +V\u000a +p16704 +tp16705 +a(g558 +V +p16711 +tp16712 +a(g827 +V\u000a +p16713 +tp16714 +a(g558 +V +p16720 +tp16721 +a(g827 +V\u000a +p16722 +tp16723 +a(g558 +V +p16729 +tp16730 +a(g827 +V\u000a +p16731 +tp16732 +a(g558 +V +p16738 +tp16739 +a(g827 +V\u000a +p16740 +tp16741 +a(g558 +V +p16747 +tp16748 +a(g827 +V\u000a +p16749 +tp16750 +a(g558 +V +p16756 +tp16757 +a(g827 +V\u000a +p16758 +tp16759 +a(g558 +V +p16765 +tp16766 +a(g827 +V\u000a +p16767 +tp16768 +a(g558 +V +p16774 +tp16775 +a(g827 +V\u000a +p16776 +tp16777 +a(g558 +V +p16783 +tp16784 +a(g827 +V\u000a +p16785 +tp16786 +a(g558 +V +p16792 +tp16793 +a(g827 +V\u000a +p16794 +tp16795 +a(g558 +V +p16801 +tp16802 +a(g827 +V\u000a +p16803 +tp16804 +a(g558 +V +p16810 +tp16811 +a(g827 +V\u000a +p16812 +tp16813 +a(g558 +V +p16819 +tp16820 +a(g827 +V\u000a +p16821 +tp16822 +a(g558 +V +p16828 +tp16829 +a(g827 +V\u000a +p16830 +tp16831 +a(g558 +V +p16837 +tp16838 +a(g827 +V\u000a +p16839 +tp16840 +a(g558 +V +p16846 +tp16847 +a(g827 +V\u000a +p16848 +tp16849 +a(g558 +V +p16855 +tp16856 +a(g827 +V\u000a +p16857 +tp16858 +a(g558 +V +p16864 +tp16865 +a(g827 +V\u000a +p16866 +tp16867 +a(g558 +V +p16873 +tp16874 +a(g827 +V\u000a +p16875 +tp16876 +a(g558 +V +p16882 +tp16883 +a(g827 +V\u000a +p16884 +tp16885 +a(g558 +V +p16891 +tp16892 +a(g827 +V\u000a +p16893 +tp16894 +a(g558 +V +p16900 +tp16901 +a(g827 +V\u000a +p16902 +tp16903 +a(g558 +V +p16909 +tp16910 +a(g827 +V\u000a +p16911 +tp16912 +a(g558 +V +p16918 +tp16919 +a(g827 +V\u000a +p16920 +tp16921 +a(g558 +V +p16927 +tp16928 +a(g827 +V\u000a +p16929 +tp16930 +a(g558 +V +p16936 +tp16937 +a(g827 +V\u000a +p16938 +tp16939 +a(g558 +V +p16945 +tp16946 +a(g827 +V\u000a +p16947 +tp16948 +a(g558 +V +p16954 +tp16955 +a(g827 +V\u000a +p16956 +tp16957 +a(g558 +V +p16963 +tp16964 +a(g827 +V\u000a +p16965 +tp16966 +a(g558 +V +p16972 +tp16973 +a(g827 +V\u000a +p16974 +tp16975 +a(g558 +V +p16981 +tp16982 +a(g827 +V\u000a +p16983 +tp16984 +a(g558 +V +p16990 +tp16991 +a(g827 +V\u000a +p16992 +tp16993 +a(g558 +V +p16999 +tp17000 +a(g827 +V\u000a +p17001 +tp17002 +a(g558 +V +p17008 +tp17009 +a(g827 +V\u000a +p17010 +tp17011 +a(g558 +V +p17017 +tp17018 +a(g827 +V\u000a +p17019 +tp17020 +a(g558 +V +p17026 +tp17027 +a(g827 +V\u000a +p17028 +tp17029 +a(g558 +V +p17035 +tp17036 +a(g827 +V\u000a +p17037 +tp17038 +a(g558 +V +p17044 +tp17045 +a(g827 +V\u000a +p17046 +tp17047 +a(g558 +V +p17053 +tp17054 +a(g827 +V\u000a +p17055 +tp17056 +a(g558 +V +p17062 +tp17063 +a(g827 +V\u000a +p17064 +tp17065 +a(g558 +V +p17071 +tp17072 +a(g827 +V\u000a +p17073 +tp17074 +a(g558 +V +p17080 +tp17081 +a(g827 +V\u000a +p17082 +tp17083 +a(g558 +V +p17089 +tp17090 +a(g827 +V\u000a +p17091 +tp17092 +a(g558 +V +p17098 +tp17099 +a(g827 +V\u000a +p17100 +tp17101 +a(g558 +V +p17107 +tp17108 +a(g827 +V\u000a +p17109 +tp17110 +a(g558 +V +p17116 +tp17117 +a(g827 +V\u000a +p17118 +tp17119 +a(g558 +V +p17125 +tp17126 +a(g827 +V\u000a +p17127 +tp17128 +a(g558 +V +p17134 +tp17135 +a(g827 +V\u000a +p17136 +tp17137 +a(g558 +V +p17143 +tp17144 +a(g827 +V\u000a +p17145 +tp17146 +a(g558 +V +p17152 +tp17153 +a(g827 +V\u000a +p17154 +tp17155 +a(g558 +V +p17161 +tp17162 +a(g827 +V\u000a +p17163 +tp17164 +a(g558 +V +p17170 +tp17171 +a(g827 +V\u000a +p17172 +tp17173 +a(g558 +V +p17179 +tp17180 +a(g827 +V\u000a +p17181 +tp17182 +a(g558 +V +p17188 +tp17189 +a(g827 +V\u000a +p17190 +tp17191 +a(g558 +V +p17197 +tp17198 +a(g827 +V\u000a +p17199 +tp17200 +a(g558 +V +p17206 +tp17207 +a(g827 +V\u000a +p17208 +tp17209 +a(g558 +V +p17215 +tp17216 +a(g827 +V\u000a +p17217 +tp17218 +a(g558 +V +p17224 +tp17225 +a(g827 +V\u000a +p17226 +tp17227 +a(g558 +V +p17233 +tp17234 +a(g827 +V\u000a +p17235 +tp17236 +a(g558 +V +p17242 +tp17243 +a(g827 +V\u000a +p17244 +tp17245 +a(g558 +V +p17251 +tp17252 +a(g827 +V\u000a +p17253 +tp17254 +a(g558 +V +p17260 +tp17261 +a(g827 +V\u000a +p17262 +tp17263 +a(g558 +V +p17269 +tp17270 +a(g827 +V\u000a +p17271 +tp17272 +a(g558 +V +p17278 +tp17279 +a(g827 +V\u000a +p17280 +tp17281 +a(g558 +V +p17287 +tp17288 +a(g827 +V\u000a +p17289 +tp17290 +a(g558 +V +p17296 +tp17297 +a(g827 +V\u000a +p17298 +tp17299 +a(g558 +V +p17305 +tp17306 +a(g827 +V\u000a +p17307 +tp17308 +a(g558 +V +p17314 +tp17315 +a(g827 +V\u000a +p17316 +tp17317 +a(g558 +V +p17323 +tp17324 +a(g827 +V\u000a +p17325 +tp17326 +a(g558 +V +p17332 +tp17333 +a(g827 +V\u000a +p17334 +tp17335 +a(g558 +V +p17341 +tp17342 +a(g827 +V\u000a +p17343 +tp17344 +a(g558 +V +p17350 +tp17351 +a(g827 +V\u000a +p17352 +tp17353 +a(g558 +V +p17359 +tp17360 +a(g827 +V\u000a +p17361 +tp17362 +a(g558 +V +p17368 +tp17369 +a(g827 +V\u000a +p17370 +tp17371 +a(g558 +V +p17377 +tp17378 +a(g827 +V\u000a +p17379 +tp17380 +a(g558 +V +p17386 +tp17387 +a(g827 +V\u000a +p17388 +tp17389 +a(g558 +V +p17395 +tp17396 +a(g827 +V\u000a +p17397 +tp17398 +a(g558 +V +p17404 +tp17405 +a(g827 +V\u000a +p17406 +tp17407 +a(g558 +V +p17413 +tp17414 +a(g827 +V\u000a +p17415 +tp17416 +a(g558 +V +p17422 +tp17423 +a(g827 +V\u000a +p17424 +tp17425 +a(g558 +V +p17431 +tp17432 +a(g827 +V\u000a +p17433 +tp17434 +a(g558 +V +p17440 +tp17441 +a(g827 +V\u000a +p17442 +tp17443 +a(g558 +V +p17449 +tp17450 +a(g827 +V\u000a +p17451 +tp17452 +a(g558 +V +p17458 +tp17459 +a(g827 +V\u000a +p17460 +tp17461 +a(g558 +V +p17467 +tp17468 +a(g827 +V\u000a +p17469 +tp17470 +a(g558 +V +p17476 +tp17477 +a(g827 +V\u000a +p17478 +tp17479 +a(g558 +V +p17485 +tp17486 +a(g827 +V\u000a +p17487 +tp17488 +a(g558 +V +p17494 +tp17495 +a(g827 +V\u000a +p17496 +tp17497 +a(g558 +V +p17503 +tp17504 +a(g827 +V\u000a +p17505 +tp17506 +a(g558 +V +p17512 +tp17513 +a(g827 +V\u000a +p17514 +tp17515 +a(g558 +V +p17521 +tp17522 +a(g827 +V\u000a +p17523 +tp17524 +a(g558 +V +p17530 +tp17531 +a(g827 +V\u000a +p17532 +tp17533 +a(g558 +V +p17539 +tp17540 +a(g827 +V\u000a +p17541 +tp17542 +a(g558 +V +p17548 +tp17549 +a(g827 +V\u000a +p17550 +tp17551 +a(g558 +V +p17557 +tp17558 +a(g827 +V\u000a +p17559 +tp17560 +a(g558 +V +p17566 +tp17567 +a(g827 +V\u000a +p17568 +tp17569 +a(g558 +V +p17575 +tp17576 +a(g827 +V\u000a +p17577 +tp17578 +a(g558 +V +p17584 +tp17585 +a(g827 +V\u000a +p17586 +tp17587 +a(g558 +V +p17593 +tp17594 +a(g827 +V\u000a +p17595 +tp17596 +a(g558 +V +p17602 +tp17603 +a(g827 +V\u000a +p17604 +tp17605 +a(g558 +V +p17611 +tp17612 +a(g827 +V\u000a +p17613 +tp17614 +a(g558 +V +p17620 +tp17621 +a(g827 +V\u000a +p17622 +tp17623 +a(g558 +V +p17629 +tp17630 +a(g827 +V\u000a +p17631 +tp17632 +a(g558 +V +p17638 +tp17639 +a(g827 +V\u000a +p17640 +tp17641 +a(g558 +V +p17647 +tp17648 +a(g827 +V\u000a +p17649 +tp17650 +a(g558 +V +p17656 +tp17657 +a(g827 +V\u000a +p17658 +tp17659 +a(g558 +V +p17665 +tp17666 +a(g827 +V\u000a +p17667 +tp17668 +a(g558 +V +p17674 +tp17675 +a(g827 +V\u000a +p17676 +tp17677 +a(g558 +V +p17683 +tp17684 +a(g827 +V\u000a +p17685 +tp17686 +a(g558 +V +p17692 +tp17693 +a(g827 +V\u000a +p17694 +tp17695 +a(g558 +V +p17701 +tp17702 +a(g827 +V\u000a +p17703 +tp17704 +a(g558 +V +p17710 +tp17711 +a(g827 +V\u000a +p17712 +tp17713 +a(g558 +V +p17719 +tp17720 +a(g827 +V\u000a +p17721 +tp17722 +a(g558 +V +p17728 +tp17729 +a(g827 +V\u000a +p17730 +tp17731 +a(g558 +V +p17737 +tp17738 +a(g827 +V\u000a +p17739 +tp17740 +a(g558 +V +p17746 +tp17747 +a(g827 +V\u000a +p17748 +tp17749 +a(g558 +V +p17755 +tp17756 +a(g827 +V\u000a +p17757 +tp17758 +a(g558 +V +p17764 +tp17765 +a(g827 +V\u000a +p17766 +tp17767 +a(g558 +V +p17773 +tp17774 +a(g827 +V\u000a +p17775 +tp17776 +a(g558 +V +p17782 +tp17783 +a(g827 +V\u000a +p17784 +tp17785 +a(g558 +V +p17791 +tp17792 +a(g827 +V\u000a +p17793 +tp17794 +a(g558 +V +p17800 +tp17801 +a(g827 +V\u000a +p17802 +tp17803 +a(g558 +V +p17809 +tp17810 +a(g827 +V\u000a +p17811 +tp17812 +a(g558 +V +p17818 +tp17819 +a(g827 +V\u000a +p17820 +tp17821 +a(g558 +V +p17827 +tp17828 +a(g827 +V\u000a +p17829 +tp17830 +a(g558 +V +p17836 +tp17837 +a(g827 +V\u000a +p17838 +tp17839 +a(g558 +V +p17845 +tp17846 +a(g827 +V\u000a +p17847 +tp17848 +a(g558 +V +p17854 +tp17855 +a(g827 +V\u000a +p17856 +tp17857 +a(g558 +V +p17863 +tp17864 +a(g827 +V\u000a +p17865 +tp17866 +a(g558 +V +p17872 +tp17873 +a(g827 +V\u000a +p17874 +tp17875 +a(g558 +V +p17881 +tp17882 +a(g827 +V\u000a +p17883 +tp17884 +a(g558 +V +p17890 +tp17891 +a(g827 +V\u000a +p17892 +tp17893 +a(g558 +V +p17899 +tp17900 +a(g827 +V\u000a +p17901 +tp17902 +a(g558 +V +p17908 +tp17909 +a(g827 +V\u000a +p17910 +tp17911 +a(g558 +V +p17917 +tp17918 +a(g827 +V\u000a +p17919 +tp17920 +a(g558 +V +p17926 +tp17927 +a(g827 +V\u000a +p17928 +tp17929 +a(g558 +V +p17935 +tp17936 +a(g827 +V\u000a +p17937 +tp17938 +a(g558 +V +p17944 +tp17945 +a(g827 +V\u000a +p17946 +tp17947 +a(g558 +V +p17953 +tp17954 +a(g827 +V\u000a +p17955 +tp17956 +a(g558 +V +p17962 +tp17963 +a(g827 +V\u000a +p17964 +tp17965 +a(g558 +V +p17971 +tp17972 +a(g827 +V\u000a +p17973 +tp17974 +a(g558 +V +p17980 +tp17981 +a(g827 +V\u000a +p17982 +tp17983 +a(g558 +V +p17989 +tp17990 +a(g827 +V\u000a +p17991 +tp17992 +a(g558 +V +p17998 +tp17999 +a(g827 +V\u000a +p18000 +tp18001 +a(g558 +V +p18007 +tp18008 +a(g827 +V\u000a +p18009 +tp18010 +a(g558 +V +p18016 +tp18017 +a(g827 +V\u000a +p18018 +tp18019 +a(g558 +V +p18025 +tp18026 +a(g827 +V\u000a +p18027 +tp18028 +a(g558 +V +p18034 +tp18035 +a(g827 +V\u000a +p18036 +tp18037 +a(g558 +V +p18043 +tp18044 +a(g827 +V\u000a +p18045 +tp18046 +a(g558 +V +p18052 +tp18053 +a(g827 +V\u000a +p18054 +tp18055 +a(g558 +V +p18061 +tp18062 +a(g827 +V\u000a +p18063 +tp18064 +a(g558 +V +p18070 +tp18071 +a(g827 +V\u000a +p18072 +tp18073 +a(g558 +V +p18079 +tp18080 +a(g827 +V\u000a +p18081 +tp18082 +a(g558 +V +p18088 +tp18089 +a(g827 +V\u000a +p18090 +tp18091 +a(g558 +V +p18097 +tp18098 +a(g827 +V\u000a +p18099 +tp18100 +a(g558 +V +p18106 +tp18107 +a(g827 +V\u000a +p18108 +tp18109 +a(g558 +V +p18115 +tp18116 +a(g827 +V\u000a +p18117 +tp18118 +a(g558 +V +p18124 +tp18125 +a(g827 +V\u000a +p18126 +tp18127 +a(g558 +V +p18133 +tp18134 +a(g827 +V\u000a +p18135 +tp18136 +a(g558 +V +p18142 +tp18143 +a(g827 +V\u000a +p18144 +tp18145 +a(g558 +V +p18151 +tp18152 +a(g827 +V\u000a +p18153 +tp18154 +a(g558 +V +p18160 +tp18161 +a(g827 +V\u000a +p18162 +tp18163 +a(g558 +V +p18169 +tp18170 +a(g827 +V\u000a +p18171 +tp18172 +a(g558 +V +p18178 +tp18179 +a(g827 +V\u000a +p18180 +tp18181 +a(g558 +V +p18187 +tp18188 +a(g827 +V\u000a +p18189 +tp18190 +a(g558 +V +p18196 +tp18197 +a(g827 +V\u000a +p18198 +tp18199 +a(g558 +V +p18205 +tp18206 +a(g827 +V\u000a +p18207 +tp18208 +a(g558 +V +p18214 +tp18215 +a(g827 +V\u000a +p18216 +tp18217 +a(g558 +V +p18223 +tp18224 +a(g827 +V\u000a +p18225 +tp18226 +a(g558 +V +p18232 +tp18233 +a(g827 +V\u000a +p18234 +tp18235 +a(g558 +V +p18241 +tp18242 +a(g827 +V\u000a +p18243 +tp18244 +a(g558 +V +p18250 +tp18251 +a(g827 +V\u000a +p18252 +tp18253 +a(g558 +V +p18259 +tp18260 +a(g827 +V\u000a +p18261 +tp18262 +a(g558 +V +p18268 +tp18269 +a(g827 +V\u000a +p18270 +tp18271 +a(g558 +V +p18277 +tp18278 +a(g827 +V\u000a +p18279 +tp18280 +a(g558 +V +p18286 +tp18287 +a(g827 +V\u000a +p18288 +tp18289 +a(g558 +V +p18295 +tp18296 +a(g827 +V\u000a +p18297 +tp18298 +a(g558 +V +p18304 +tp18305 +a(g827 +V\u000a +p18306 +tp18307 +a(g558 +V +p18313 +tp18314 +a(g827 +V\u000a +p18315 +tp18316 +a(g558 +V +p18322 +tp18323 +a(g827 +V\u000a +p18324 +tp18325 +a(g558 +V +p18331 +tp18332 +a(g827 +V\u000a +p18333 +tp18334 +a(g558 +V +p18340 +tp18341 +a(g827 +V\u000a +p18342 +tp18343 +a(g558 +V +p18349 +tp18350 +a(g827 +V\u000a +p18351 +tp18352 +a(g558 +V +p18358 +tp18359 +a(g827 +V\u000a +p18360 +tp18361 +a(g558 +V +p18367 +tp18368 +a(g827 +V\u000a +p18369 +tp18370 +a(g558 +V +p18376 +tp18377 +a(g827 +V\u000a +p18378 +tp18379 +a(g558 +V +p18385 +tp18386 +a(g827 +V\u000a +p18387 +tp18388 +a(g558 +V +p18394 +tp18395 +a(g827 +V\u000a +p18396 +tp18397 +a(g558 +V +p18403 +tp18404 +a(g827 +V\u000a +p18405 +tp18406 +a(g558 +V +p18412 +tp18413 +a(g827 +V\u000a +p18414 +tp18415 +a(g558 +V +p18421 +tp18422 +a(g827 +V\u000a +p18423 +tp18424 +a(g558 +V +p18430 +tp18431 +a(g827 +V\u000a +p18432 +tp18433 +a(g558 +V +p18439 +tp18440 +a(g827 +V\u000a +p18441 +tp18442 +a(g558 +V +p18448 +tp18449 +a(g827 +V\u000a +p18450 +tp18451 +a(g558 +V +p18457 +tp18458 +a(g827 +V\u000a +p18459 +tp18460 +a(g558 +V +p18466 +tp18467 +a(g827 +V\u000a +p18468 +tp18469 +a(g558 +V +p18475 +tp18476 +a(g827 +V\u000a +p18477 +tp18478 +a(g558 +V +p18484 +tp18485 +a(g827 +V\u000a +p18486 +tp18487 +a(g558 +V +p18493 +tp18494 +a(g827 +V\u000a +p18495 +tp18496 +a(g558 +V +p18502 +tp18503 +a(g827 +V\u000a +p18504 +tp18505 +a(g558 +V +p18511 +tp18512 +a(g827 +V\u000a +p18513 +tp18514 +a(g558 +V +p18520 +tp18521 +a(g827 +V\u000a +p18522 +tp18523 +a(g558 +V +p18529 +tp18530 +a(g827 +V\u000a +p18531 +tp18532 +a(g558 +V +p18538 +tp18539 +a(g827 +V\u000a +p18540 +tp18541 +a(g558 +V +p18547 +tp18548 +a(g827 +V\u000a +p18549 +tp18550 +a(g558 +V +p18556 +tp18557 +a(g827 +V\u000a +p18558 +tp18559 +a(g558 +V +p18565 +tp18566 +a(g827 +V\u000a +p18567 +tp18568 +a(g558 +V +p18574 +tp18575 +a(g827 +V\u000a +p18576 +tp18577 +a(g558 +V +p18583 +tp18584 +a(g827 +V\u000a +p18585 +tp18586 +a(g558 +V +p18592 +tp18593 +a(g827 +V\u000a +p18594 +tp18595 +a(g558 +V +p18601 +tp18602 +a(g827 +V\u000a +p18603 +tp18604 +a(g558 +V +p18610 +tp18611 +a(g827 +V\u000a\u000a +p18612 +tp18613 +a(g558 +V +p18614 +tp18615 +a(g827 +V\u000a\u000a +p18616 +tp18617 +a(g558 +V +p18661 +tp18662 +a(g827 +V\u000a +p18663 +tp18664 +a(g558 +V +p18683 +tp18684 +a(g827 +V\u000a +p18685 +tp18686 +a(g558 +V +p18705 +tp18706 +a(g827 +V\u000a +p18707 +tp18708 +a(g558 +V +p18727 +tp18728 +a(g827 +V\u000a +p18729 +tp18730 +a(g558 +V +p18749 +tp18750 +a(g827 +V\u000a +p18751 +tp18752 +a(g558 +V +p18771 +tp18772 +a(g827 +V\u000a +p18773 +tp18774 +a(g558 +V +p18793 +tp18794 +a(g827 +V\u000a +p18795 +tp18796 +a(g558 +V +p18815 +tp18816 +a(g827 +V\u000a +p18817 +tp18818 +a(g558 +V +p18837 +tp18838 +a(g827 +V\u000a +p18839 +tp18840 +a(g558 +V +p18859 +tp18860 +a(g827 +V\u000a +p18861 +tp18862 +a(g558 +V +p18881 +tp18882 +a(g827 +V\u000a +p18883 +tp18884 +a(g558 +V +p18903 +tp18904 +a(g827 +V\u000a +p18905 +tp18906 +a(g558 +V +p18925 +tp18926 +a(g827 +V\u000a +p18927 +tp18928 +a(g558 +V +p18929 +tp18930 +a(g827 +V\u000a\u000a\u000a\u000a +p18931 +tp18932 +a(g558 +V +p18971 +tp18972 +a(g827 +V\u000a +p18973 +tp18974 +a(g558 +V +p19015 +tp19016 +a(g827 +V\u000a +p19017 +tp19018 +a(g558 +V +p19037 +tp19038 +a(g827 +V\u000a +p19039 +tp19040 +a(g558 +V +p19041 +tp19042 +a(g827 +V\u000a\u000a +p19043 +tp19044 +a(g558 +V +p19083 +tp19084 +a(g827 +V\u000a +p19085 +tp19086 +a(g558 +V +p19127 +tp19128 +a(g827 +V\u000a +p19129 +tp19130 +a(g558 +V +p19149 +tp19150 +a(g827 +V\u000a +p19151 +tp19152 +a(g558 +V +p19153 +tp19154 +a(g827 +V\u000a\u000a +p19155 +tp19156 +a(g558 +V +p19195 +tp19196 +a(g827 +V\u000a +p19197 +tp19198 +a(g558 +V +p19239 +tp19240 +a(g827 +V\u000a +p19241 +tp19242 +a(g558 +V +p19261 +tp19262 +a(g827 +V\u000a +p19263 +tp19264 +a(g558 +V +p19265 +tp19266 +a(g827 +V\u000a\u000a\u000a\u000a +p19267 +tp19268 +a(g558 +V +p19307 +tp19308 +a(g827 +V\u000a +p19309 +tp19310 +a(g558 +V +p19351 +tp19352 +a(g827 +V\u000a +p19353 +tp19354 +a(g558 +V +p19373 +tp19374 +a(g827 +V\u000a +p19375 +tp19376 +a(g558 +V +p19377 +tp19378 +a(g827 +V\u000a\u000a +p19379 +tp19380 +a(g558 +V +p19419 +tp19420 +a(g827 +V\u000a +p19421 +tp19422 +a(g558 +V +p19463 +tp19464 +a(g827 +V\u000a +p19465 +tp19466 +a(g558 +V +p19485 +tp19486 +a(g827 +V\u000a +p19487 +tp19488 +a(g558 +V +p19489 +tp19490 +a(g827 +V\u000a\u000a +p19491 +tp19492 +a(g558 +V +p19531 +tp19532 +a(g827 +V\u000a +p19533 +tp19534 +a(g558 +V +p19575 +tp19576 +a(g827 +V\u000a +p19577 +tp19578 +a(g558 +V +p19597 +tp19598 +a(g827 +V\u000a +p19599 +tp19600 +a(g558 +V +p19601 +tp19602 +a(g827 +V\u000a\u000a +p19603 +tp19604 +a(g558 +V +p19643 +tp19644 +a(g827 +V\u000a +p19645 +tp19646 +a(g558 +V +p19687 +tp19688 +a(g827 +V\u000a +p19689 +tp19690 +a(g558 +V +p19709 +tp19710 +a(g827 +V\u000a +p19711 +tp19712 +a(g558 +V +p19713 +tp19714 +a(g827 +V\u000a\u000a +p19715 +tp19716 +a(g558 +V +p19755 +tp19756 +a(g827 +V\u000a +p19757 +tp19758 +a(g558 +V +p19799 +tp19800 +a(g827 +V\u000a +p19801 +tp19802 +a(g558 +V +p19821 +tp19822 +a(g827 +V\u000a +p19823 +tp19824 +a(g558 +V +p19825 +tp19826 +a(g827 +V\u000a\u000a +p19827 +tp19828 +a(g558 +V +p19867 +tp19868 +a(g827 +V\u000a +p19869 +tp19870 +a(g558 +V +p19911 +tp19912 +a(g827 +V\u000a +p19913 +tp19914 +a(g558 +V +p19933 +tp19934 +a(g827 +V\u000a +p19935 +tp19936 +a(g558 +V +p19937 +tp19938 +a(g827 +V\u000a\u000a +p19939 +tp19940 +a(g558 +V +p19979 +tp19980 +a(g827 +V\u000a +p19981 +tp19982 +a(g558 +V +p20023 +tp20024 +a(g827 +V\u000a +p20025 +tp20026 +a(g558 +V +p20045 +tp20046 +a(g827 +V\u000a +p20047 +tp20048 +a(g558 +V +p20049 +tp20050 +a(g827 +V\u000a\u000a\u000a\u000a +p20051 +tp20052 +a(g558 +V +p20091 +tp20092 +a(g827 +V\u000a +p20093 +tp20094 +a(g558 +V +p20113 +tp20114 +a(g827 +V\u000a +p20115 +tp20116 +a(g558 +V +p20117 +tp20118 +a(g827 +V\u000a\u000a +p20119 +tp20120 +a(g558 +V +p20159 +tp20160 +a(g827 +V\u000a +p20161 +tp20162 +a(g558 +V +p20163 +tp20164 +a(g827 +V\u000a\u000a +p20165 +tp20166 +a(g558 +V +p20210 +tp20211 +a(g827 +V\u000a +p20212 +tp20213 +a(g558 +V +p20214 +tp20215 +a(g827 +V\u000a\u000a +p20216 +tp20217 +a(g558 +V +p20236 +tp20237 +a(g827 +V\u000a\u000a +p20238 +tp20239 +a(g558 +V +p20278 +tp20279 +a(g827 +V\u000a +p20280 +tp20281 +a(g558 +V +p20282 +tp20283 +a(g827 +V\u000a\u000a\u000a\u000a +p20284 +tp20285 +a(g558 +V +p20329 +tp20330 +a(g827 +V\u000a +p20331 +tp20332 +a(g558 +V +p20356 +tp20357 +a(g827 +V\u000a +p20358 +tp20359 +a(g558 +V +p20378 +tp20379 +a(g827 +V\u000a +p20380 +tp20381 +a(g558 +V +p20400 +tp20401 +a(g827 +V\u000a +p20402 +tp20403 +a(g558 +V +p20417 +tp20418 +a(g827 +V\u000a +p20419 +tp20420 +a(g558 +V +p20434 +tp20435 +a(g827 +V\u000a +p20436 +tp20437 +a(g558 +V +p20456 +tp20457 +a(g827 +V\u000a +p20458 +tp20459 +a(g558 +V +p20478 +tp20479 +a(g827 +V\u000a\u000a +p20480 +tp20481 +a(g558 +V +p20500 +tp20501 +a(g827 +V\u000a +p20502 +tp20503 +a(g558 +V +p20522 +tp20523 +a(g827 +V\u000a +p20524 +tp20525 +a(g558 +V +p20544 +tp20545 +a(g827 +V\u000a +p20546 +tp20547 +a(g558 +V +p20548 +tp20549 +a(g827 +V\u000a\u000a\u000a\u000a +p20550 +tp20551 +a(g558 +V +p20595 +tp20596 +a(g827 +V\u000a +p20597 +tp20598 +a(g558 +V +p20622 +tp20623 +a(g827 +V\u000a +p20624 +tp20625 +a(g558 +V +p20644 +tp20645 +a(g827 +V\u000a +p20646 +tp20647 +a(g558 +V +p20666 +tp20667 +a(g827 +V\u000a +p20668 +tp20669 +a(g558 +V +p20683 +tp20684 +a(g827 +V\u000a +p20685 +tp20686 +a(g558 +V +p20700 +tp20701 +a(g827 +V\u000a +p20702 +tp20703 +a(g558 +V +p20722 +tp20723 +a(g827 +V\u000a +p20724 +tp20725 +a(g558 +V +p20744 +tp20745 +a(g827 +V\u000a\u000a +p20746 +tp20747 +a(g558 +V +p20766 +tp20767 +a(g827 +V\u000a +p20768 +tp20769 +a(g558 +V +p20788 +tp20789 +a(g827 +V\u000a +p20790 +tp20791 +a(g558 +V +p20810 +tp20811 +a(g827 +V\u000a +p20812 +tp20813 +a(g558 +V +p20832 +tp20833 +a(g827 +V\u000a +p20834 +tp20835 +a(g558 +V +p20836 +tp20837 +a(g827 +V\u000a\u000a\u000a\u000a +p20838 +tp20839 +a(g558 +V +p20883 +tp20884 +a(g827 +V\u000a +p20885 +tp20886 +a(g558 +V +p20905 +tp20906 +a(g827 +V\u000a +p20907 +tp20908 +a(g558 +V +p20927 +tp20928 +a(g827 +V\u000a +p20929 +tp20930 +a(g558 +V +p20931 +tp20932 +a(g827 +V\u000a\u000a +p20933 +tp20934 +a(g558 +V +p20973 +tp20974 +a(g827 +V\u000a +p20975 +tp20976 +a(g558 +V +p21000 +tp21001 +a(g827 +V\u000a +p21002 +tp21003 +a(g558 +V +p21022 +tp21023 +a(g827 +V\u000a +p21024 +tp21025 +a(g558 +V +p21026 +tp21027 +a(g827 +V\u000a\u000a +p21028 +tp21029 +a(g558 +V +p21068 +tp21069 +a(g827 +V\u000a +p21070 +tp21071 +a(g558 +V +p21090 +tp21091 +a(g827 +V\u000a +p21092 +tp21093 +a(g558 +V +p21107 +tp21108 +a(g827 +V\u000a +p21109 +tp21110 +a(g558 +V +p21124 +tp21125 +a(g827 +V\u000a +p21126 +tp21127 +a(g558 +V +p21146 +tp21147 +a(g827 +V\u000a +p21148 +tp21149 +a(g558 +V +p21168 +tp21169 +a(g827 +V\u000a +p21170 +tp21171 +a(g558 +V +p21190 +tp21191 +a(g827 +V\u000a +p21192 +tp21193 +a(g558 +V +p21194 +tp21195 +a(g827 +V\u000a\u000a +p21196 +tp21197 +a(g558 +V +p21198 +tp21199 +a(g827 +V\u000a\u000a +p21200 +tp21201 +a(g558 +V +p21241 +tp21242 +a(g827 +V\u000a +p21243 +tp21244 +a(g558 +V +p21279 +tp21280 +a(g827 +V\u000a +p21281 +tp21282 +a(g558 +V +p21317 +tp21318 +a(g827 +V\u000a +p21319 +tp21320 +a(g558 +V +p21355 +tp21356 +a(g827 +V\u000a +p21357 +tp21358 +a(g558 +V +p21393 +tp21394 +a(g827 +V\u000a +p21395 +tp21396 +a(g558 +V +p21431 +tp21432 +a(g827 +V\u000a +p21433 +tp21434 +a(g558 +V +p21469 +tp21470 +a(g827 +V\u000a +p21471 +tp21472 +a(g558 +V +p21507 +tp21508 +a(g827 +V\u000a +p21509 +tp21510 +a(g558 +V +p21545 +tp21546 +a(g827 +V\u000a +p21547 +tp21548 +a(g558 +V +p21583 +tp21584 +a(g827 +V\u000a +p21585 +tp21586 +a(g558 +V +p21621 +tp21622 +a(g827 +V\u000a +p21623 +tp21624 +a(g558 +V +p21659 +tp21660 +a(g827 +V\u000a +p21661 +tp21662 +a(g558 +V +p21697 +tp21698 +a(g827 +V\u000a +p21699 +tp21700 +a(g558 +V +p21735 +tp21736 +a(g827 +V\u000a +p21737 +tp21738 +a(g558 +V +p21773 +tp21774 +a(g827 +V\u000a +p21775 +tp21776 +a(g558 +V +p21811 +tp21812 +a(g827 +V\u000a +p21813 +tp21814 +a(g558 +V +p21849 +tp21850 +a(g827 +V\u000a +p21851 +tp21852 +a(g558 +V +p21886 +tp21887 +a(g827 +V\u000a +p21888 +tp21889 +a(g558 +V +p21924 +tp21925 +a(g827 +V\u000a +p21926 +tp21927 +a(g558 +V +p21962 +tp21963 +a(g827 +V\u000a +p21964 +tp21965 +a(g558 +V +p22000 +tp22001 +a(g827 +V\u000a +p22002 +tp22003 +a(g558 +V +p22038 +tp22039 +a(g827 +V\u000a +p22040 +tp22041 +a(g558 +V +p22075 +tp22076 +a(g827 +V\u000a +p22077 +tp22078 +a(g558 +V +p22113 +tp22114 +a(g827 +V\u000a +p22115 +tp22116 +a(g558 +V +p22150 +tp22151 +a(g827 +V\u000a +p22152 +tp22153 +a(g558 +V +p22188 +tp22189 +a(g827 +V\u000a\u000a +p22190 +tp22191 +a(g558 +V +p22192 +tp22193 +a(g827 +V\u000a\u000a +p22194 +tp22195 +a(g558 +V +p22196 +tp22197 +a(g827 +V\u000a\u000a +p22198 +tp22199 +a(g558 +V +p22228 +tp22229 +a(g827 +V\u000a +p22230 +tp22231 +a(g558 +V +p22250 +tp22251 +a(g827 +V\u000a +p22252 +tp22253 +a(g558 +V +p22267 +tp22268 +a(g827 +V\u000a\u000a +p22269 +tp22270 +a(g558 +V +p22271 +tp22272 +a(g827 +V\u000a\u000a +p22273 +tp22274 +a(g558 +V +p22288 +tp22289 +a(g827 +V\u000a\u000a +p22290 +tp22291 +a(g558 +V +p22292 +tp22293 +a(g827 +V\u000a\u000a +p22294 +tp22295 +a(g558 +V +p22296 +tp22297 +a(g827 +V\u000a +p22298 +tp22299 +a(g8 +V +p22300 +tp22301 +a(g827 +V\u000a +p22302 +tp22303 +a(g8 +V +p22304 +tp22305 +a(g827 +V\u000a +p22306 +tp22307 +a(g13 +V +p22316 +tp22317 +a(g827 +V\u000a +p22318 +tp22319 +a(g13 +V +p22327 +tp22328 +a(g827 +V\u000a +p22329 +tp22330 +a(g13 +V +p22338 +tp22339 +a(g827 +V\u000a +p22340 +tp22341 +a(g13 +V +p22346 +tp22347 +a(g827 +V\u000a +p22348 +tp22349 +a(g13 +V +p22354 +tp22355 +a(g827 +V\u000a +p22356 +tp22357 +a(g13 +V +p22362 +tp22363 +a(g827 +V\u000a +p22364 +tp22365 +a(g13 +V +p22370 +tp22371 +a(g827 +V\u000a +p22372 +tp22373 +a(g13 +V +p22378 +tp22379 +a(g827 +V\u000a +p22380 +tp22381 +a(g13 +V +p22386 +tp22387 +a(g827 +V\u000a +p22388 +tp22389 +a(g13 +V +p22394 +tp22395 +a(g827 +V\u000a +p22396 +tp22397 +a(g558 +V +p22465 +tp22466 +a(g827 +V\u000a +p22467 +tp22468 +a(g558 +V +p22474 +tp22475 +a(g827 +V\u000a +p22476 +tp22477 +a(g558 +V +p22483 +tp22484 +a(g827 +V\u000a +p22485 +tp22486 +a(g558 +V +p22492 +tp22493 +a(g827 +V\u000a +p22494 +tp22495 +a(g558 +V +p22501 +tp22502 +a(g827 +V\u000a +p22503 +tp22504 +a(g558 +V +p22510 +tp22511 +a(g827 +V\u000a +p22512 +tp22513 +a(g558 +V +p22519 +tp22520 +a(g827 +V\u000a +p22521 +tp22522 +a(g558 +V +p22528 +tp22529 +a(g827 +V\u000a +p22530 +tp22531 +a(g558 +V +p22537 +tp22538 +a(g827 +V\u000a +p22539 +tp22540 +a(g558 +V +p22546 +tp22547 +a(g827 +V\u000a +p22548 +tp22549 +a(g558 +V +p22555 +tp22556 +a(g827 +V\u000a +p22557 +tp22558 +a(g558 +V +p22564 +tp22565 +a(g827 +V\u000a +p22566 +tp22567 +a(g558 +V +p22573 +tp22574 +a(g827 +V\u000a +p22575 +tp22576 +a(g558 +V +p22582 +tp22583 +a(g827 +V\u000a +p22584 +tp22585 +a(g558 +V +p22591 +tp22592 +a(g827 +V\u000a +p22593 +tp22594 +a(g558 +V +p22600 +tp22601 +a(g827 +V\u000a +p22602 +tp22603 +a(g558 +V +p22609 +tp22610 +a(g827 +V\u000a +p22611 +tp22612 +a(g558 +V +p22618 +tp22619 +a(g827 +V\u000a +p22620 +tp22621 +a(g558 +V +p22627 +tp22628 +a(g827 +V\u000a +p22629 +tp22630 +a(g558 +V +p22636 +tp22637 +a(g827 +V\u000a +p22638 +tp22639 +a(g558 +V +p22645 +tp22646 +a(g827 +V\u000a +p22647 +tp22648 +a(g558 +V +p22654 +tp22655 +a(g827 +V\u000a +p22656 +tp22657 +a(g558 +V +p22663 +tp22664 +a(g827 +V\u000a +p22665 +tp22666 +a(g558 +V +p22672 +tp22673 +a(g827 +V\u000a +p22674 +tp22675 +a(g558 +V +p22681 +tp22682 +a(g827 +V\u000a +p22683 +tp22684 +a(g558 +V +p22690 +tp22691 +a(g827 +V\u000a +p22692 +tp22693 +a(g558 +V +p22694 +tp22695 +a(g827 +V\u000a +p22696 +tp22697 +a(g558 +V +p22713 +tp22714 +a(g827 +V\u000a +p22715 +tp22716 +a(g558 +V +p22722 +tp22723 +a(g827 +V\u000a +p22724 +tp22725 +a(g558 +V +p22731 +tp22732 +a(g827 +V\u000a +p22733 +tp22734 +a(g558 +V +p22740 +tp22741 +a(g827 +V\u000a +p22742 +tp22743 +a(g558 +V +p22744 +tp22745 +a(g827 +V\u000a +p22746 +tp22747 +a(g558 +V +p22763 +tp22764 +a(g827 +V\u000a +p22765 +tp22766 +a(g558 +V +p22772 +tp22773 +a(g827 +V\u000a +p22774 +tp22775 +a(g558 +V +p22781 +tp22782 +a(g827 +V\u000a +p22783 +tp22784 +a(g558 +V +p22790 +tp22791 +a(g827 +V\u000a +p22792 +tp22793 +a(g558 +V +p22799 +tp22800 +a(g827 +V\u000a +p22801 +tp22802 +a(g558 +V +p22808 +tp22809 +a(g827 +V\u000a +p22810 +tp22811 +a(g558 +V +p22817 +tp22818 +a(g827 +V\u000a +p22819 +tp22820 +a(g558 +V +p22826 +tp22827 +a(g827 +V\u000a +p22828 +tp22829 +a(g558 +V +p22835 +tp22836 +a(g827 +V\u000a +p22837 +tp22838 +a(g558 +V +p22844 +tp22845 +a(g827 +V\u000a +p22846 +tp22847 +a(g558 +V +p22853 +tp22854 +a(g827 +V\u000a +p22855 +tp22856 +a(g558 +V +p22862 +tp22863 +a(g827 +V\u000a +p22864 +tp22865 +a(g558 +V +p22871 +tp22872 +a(g827 +V\u000a +p22873 +tp22874 +a(g558 +V +p22880 +tp22881 +a(g827 +V\u000a +p22882 +tp22883 +a(g558 +V +p22889 +tp22890 +a(g827 +V\u000a +p22891 +tp22892 +a(g558 +V +p22893 +tp22894 +a(g827 +V\u000a +p22895 +tp22896 +a(g558 +V +p22912 +tp22913 +a(g827 +V\u000a +p22914 +tp22915 +a(g558 +V +p22921 +tp22922 +a(g827 +V\u000a +p22923 +tp22924 +a(g558 +V +p22930 +tp22931 +a(g827 +V\u000a +p22932 +tp22933 +a(g558 +V +p22939 +tp22940 +a(g827 +V\u000a +p22941 +tp22942 +a(g558 +V +p22943 +tp22944 +a(g827 +V\u000a +p22945 +tp22946 +a(g558 +V +p22962 +tp22963 +a(g827 +V\u000a +p22964 +tp22965 +a(g558 +V +p22971 +tp22972 +a(g827 +V\u000a +p22973 +tp22974 +a(g558 +V +p22980 +tp22981 +a(g827 +V\u000a +p22982 +tp22983 +a(g558 +V +p22989 +tp22990 +a(g827 +V\u000a +p22991 +tp22992 +a(g558 +V +p22998 +tp22999 +a(g827 +V\u000a +p23000 +tp23001 +a(g558 +V +p23007 +tp23008 +a(g827 +V\u000a +p23009 +tp23010 +a(g558 +V +p23016 +tp23017 +a(g827 +V\u000a +p23018 +tp23019 +a(g558 +V +p23025 +tp23026 +a(g827 +V\u000a +p23027 +tp23028 +a(g558 +V +p23034 +tp23035 +a(g827 +V\u000a +p23036 +tp23037 +a(g558 +V +p23043 +tp23044 +a(g827 +V\u000a +p23045 +tp23046 +a(g558 +V +p23052 +tp23053 +a(g827 +V\u000a +p23054 +tp23055 +a(g558 +V +p23061 +tp23062 +a(g827 +V\u000a +p23063 +tp23064 +a(g558 +V +p23070 +tp23071 +a(g827 +V\u000a +p23072 +tp23073 +a(g558 +V +p23079 +tp23080 +a(g827 +V\u000a +p23081 +tp23082 +a(g558 +V +p23088 +tp23089 +a(g827 +V\u000a +p23090 +tp23091 +a(g558 +V +p23097 +tp23098 +a(g827 +V\u000a +p23099 +tp23100 +a(g558 +V +p23106 +tp23107 +a(g827 +V\u000a +p23108 +tp23109 +a(g558 +V +p23115 +tp23116 +a(g827 +V\u000a +p23117 +tp23118 +a(g558 +V +p23119 +tp23120 +a(g827 +V\u000a +p23121 +tp23122 +a(g558 +V +p23138 +tp23139 +a(g827 +V\u000a +p23140 +tp23141 +a(g558 +V +p23147 +tp23148 +a(g827 +V\u000a +p23149 +tp23150 +a(g558 +V +p23156 +tp23157 +a(g827 +V\u000a +p23158 +tp23159 +a(g558 +V +p23165 +tp23166 +a(g827 +V\u000a +p23167 +tp23168 +a(g558 +V +p23169 +tp23170 +a(g827 +V\u000a +p23171 +tp23172 +a(g558 +V +p23220 +tp23221 +a(g827 +V\u000a +p23222 +tp23223 +a(g558 +V +p23251 +tp23252 +a(g827 +V\u000a +p23253 +tp23254 +a(g558 +V +p23273 +tp23274 +a(g827 +V\u000a +p23275 +tp23276 +a(g558 +V +p23295 +tp23296 +a(g827 +V\u000a +p23297 +tp23298 +a(g558 +V +p23321 +tp23322 +a(g827 +V\u000a +p23323 +tp23324 +a(g558 +V +p23347 +tp23348 +a(g827 +V\u000a +p23349 +tp23350 +a(g558 +V +p23373 +tp23374 +a(g827 +V\u000a +p23375 +tp23376 +a(g558 +V +p23399 +tp23400 +a(g827 +V\u000a +p23401 +tp23402 +a(g558 +V +p23420 +tp23421 +a(g827 +V\u000a +p23422 +tp23423 +a(g558 +V +p23441 +tp23442 +a(g827 +V\u000a +p23443 +tp23444 +a(g558 +V +p23462 +tp23463 +a(g827 +V\u000a +p23464 +tp23465 +a(g558 +V +p23483 +tp23484 +a(g827 +V\u000a +p23485 +tp23486 +a(g558 +V +p23504 +tp23505 +a(g827 +V\u000a +p23506 +tp23507 +a(g558 +V +p23525 +tp23526 +a(g827 +V\u000a +p23527 +tp23528 +a(g558 +V +p23546 +tp23547 +a(g827 +V\u000a +p23548 +tp23549 +a(g558 +V +p23567 +tp23568 +a(g827 +V\u000a +p23569 +tp23570 +a(g558 +V +p23583 +tp23584 +a(g827 +V\u000a +p23585 +tp23586 +a(g558 +V +p23604 +tp23605 +a(g827 +V\u000a +p23606 +tp23607 +a(g558 +V +p23625 +tp23626 +a(g827 +V\u000a +p23627 +tp23628 +a(g558 +V +p23646 +tp23647 +a(g827 +V\u000a +p23648 +tp23649 +a(g558 +V +p23667 +tp23668 +a(g827 +V\u000a +p23669 +tp23670 +a(g558 +V +p23688 +tp23689 +a(g827 +V\u000a +p23690 +tp23691 +a(g558 +V +p23692 +tp23693 +a(g827 +V\u000a +p23694 +tp23695 +a(g558 +V +p23743 +tp23744 +a(g827 +V\u000a +p23745 +tp23746 +a(g558 +V +p23769 +tp23770 +a(g827 +V\u000a +p23771 +tp23772 +a(g558 +V +p23773 +tp23774 +a(g827 +V\u000a +p23775 +tp23776 +a(g558 +V +p23824 +tp23825 +a(g827 +V\u000a +p23826 +tp23827 +a(g558 +V +p23850 +tp23851 +a(g827 +V\u000a +p23852 +tp23853 +a(g558 +V +p23854 +tp23855 +a(g827 +V\u000a +p23856 +tp23857 +a(g558 +V +p23900 +tp23901 +a(g827 +V\u000a +p23902 +tp23903 +a(g558 +V +p23926 +tp23927 +a(g827 +V\u000a +p23928 +tp23929 +a(g558 +V +p23930 +tp23931 +a(g827 +V\u000a +p23932 +tp23933 +a(g558 +V +p23976 +tp23977 +a(g827 +V\u000a +p23978 +tp23979 +a(g558 +V +p23980 +tp23981 +a(g827 +V\u000a +p23982 +tp23983 +a(g558 +V +p24021 +tp24022 +a(g827 +V\u000a +p24023 +tp24024 +a(g558 +V +p24025 +tp24026 +a(g827 +V\u000a +p24027 +tp24028 +a(g558 +V +p24066 +tp24067 +a(g827 +V\u000a +p24068 +tp24069 +a(g558 +V +p24070 +tp24071 +a(g827 +V\u000a +p24072 +tp24073 +a(g558 +V +p24074 +tp24075 +a(g827 +V\u000a +p24076 +tp24077 +a(g558 +V +p24096 +tp24097 +a(g827 +V\u000a +p24098 +tp24099 +a(g558 +V +p24113 +tp24114 +a(g827 +V\u000a +p24115 +tp24116 +a(g558 +V +p24130 +tp24131 +a(g827 +V\u000a +p24132 +tp24133 +a(g558 +V +p24147 +tp24148 +a(g827 +V\u000a +p24149 +tp24150 +a(g558 +V +p24164 +tp24165 +a(g827 +V\u000a +p24166 +tp24167 +a(g558 +V +p24181 +tp24182 +a(g827 +V\u000a +p24183 +tp24184 +a(g558 +V +p24197 +tp24198 +a(g827 +V\u000a +p24199 +tp24200 +a(g558 +V +p24213 +tp24214 +a(g827 +V\u000a +p24215 +tp24216 +a(g558 +V +p24229 +tp24230 +a(g827 +V\u000a +p24231 +tp24232 +a(g558 +V +p24245 +tp24246 +a(g827 +V\u000a +p24247 +tp24248 +a(g558 +V +p24261 +tp24262 +a(g827 +V\u000a +p24263 +tp24264 +a(g558 +V +p24278 +tp24279 +a(g827 +V\u000a +p24280 +tp24281 +a(g558 +V +p24295 +tp24296 +a(g827 +V\u000a +p24297 +tp24298 +a(g558 +V +p24311 +tp24312 +a(g827 +V\u000a +p24313 +tp24314 +a(g558 +V +p24327 +tp24328 +a(g827 +V\u000a +p24329 +tp24330 +a(g558 +V +p24343 +tp24344 +a(g827 +V\u000a +p24345 +tp24346 +a(g558 +V +p24359 +tp24360 +a(g827 +V\u000a +p24361 +tp24362 +a(g558 +V +p24375 +tp24376 +a(g827 +V\u000a +p24377 +tp24378 +a(g558 +V +p24379 +tp24380 +a(g827 +V\u000a +p24381 +tp24382 +a(g558 +V +p24383 +tp24384 +a(g827 +V\u000a +p24385 +tp24386 +a(g558 +V +p24400 +tp24401 +a(g827 +V\u000a +p24402 +tp24403 +a(g558 +V +p24427 +tp24428 +a(g827 +V\u000a +p24429 +tp24430 +a(g558 +V +p24431 +tp24432 +a(g827 +V\u000a +p24433 +tp24434 +a(g558 +V +p24435 +tp24436 +a(g827 +V\u000a +p24437 +tp24438 +a(g558 +V +p24439 +tp24440 +a(g827 +V\u000a +p24441 +tp24442 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/xorg.conf b/tests/examplefiles/output/xorg.conf new file mode 100644 index 0000000..a76bfdc --- /dev/null +++ b/tests/examplefiles/output/xorg.conf @@ -0,0 +1,2822 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Literal' +p4 +S'String' +p5 +S'Escape' +p6 +tp7 +tp8 +Rp9 +(dp10 +S'parent' +p11 +g1 +(g2 +g3 +(g4 +g5 +tp12 +tp13 +Rp14 +(dp15 +S'Char' +p16 +g1 +(g2 +g3 +(g4 +g5 +g16 +tp17 +tp18 +Rp19 +(dp20 +g11 +g14 +sS'subtypes' +p21 +c__builtin__ +set +p22 +((lp23 +tp24 +Rp25 +sbsS'Backtick' +p26 +g1 +(g2 +g3 +(g4 +g5 +g26 +tp27 +tp28 +Rp29 +(dp30 +g11 +g14 +sg21 +g22 +((lp31 +tp32 +Rp33 +sbsS'Heredoc' +p34 +g1 +(g2 +g3 +(g4 +g5 +g34 +tp35 +tp36 +Rp37 +(dp38 +g11 +g14 +sg21 +g22 +((lp39 +tp40 +Rp41 +sbsS'Symbol' +p42 +g1 +(g2 +g3 +(g4 +g5 +g42 +tp43 +tp44 +Rp45 +(dp46 +g11 +g14 +sg21 +g22 +((lp47 +tp48 +Rp49 +sbsS'Interpol' +p50 +g1 +(g2 +g3 +(g4 +g5 +g50 +tp51 +tp52 +Rp53 +(dp54 +g11 +g14 +sg21 +g22 +((lp55 +tp56 +Rp57 +sbsS'Delimiter' +p58 +g1 +(g2 +g3 +(g4 +g5 +g58 +tp59 +tp60 +Rp61 +(dp62 +g11 +g14 +sg21 +g22 +((lp63 +tp64 +Rp65 +sbsS'Boolean' +p66 +g1 +(g2 +g3 +(g4 +g5 +g66 +tp67 +tp68 +Rp69 +(dp70 +g11 +g14 +sg21 +g22 +((lp71 +tp72 +Rp73 +sbsS'Character' +p74 +g1 +(g2 +g3 +(g4 +g5 +g74 +tp75 +tp76 +Rp77 +(dp78 +g11 +g14 +sg21 +g22 +((lp79 +tp80 +Rp81 +sbsS'Double' +p82 +g1 +(g2 +g3 +(g4 +g5 +g82 +tp83 +tp84 +Rp85 +(dp86 +g11 +g14 +sg21 +g22 +((lp87 +tp88 +Rp89 +sbsS'Delimeter' +p90 +g1 +(g2 +g3 +(g4 +g5 +g90 +tp91 +tp92 +Rp93 +(dp94 +g11 +g14 +sg21 +g22 +((lp95 +tp96 +Rp97 +sbsS'Atom' +p98 +g1 +(g2 +g3 +(g4 +g5 +g98 +tp99 +tp100 +Rp101 +(dp102 +g11 +g14 +sg21 +g22 +((lp103 +tp104 +Rp105 +sbsS'Affix' +p106 +g1 +(g2 +g3 +(g4 +g5 +g106 +tp107 +tp108 +Rp109 +(dp110 +g11 +g14 +sg21 +g22 +((lp111 +tp112 +Rp113 +sbsS'Name' +p114 +g1 +(g2 +g3 +(g4 +g5 +g114 +tp115 +tp116 +Rp117 +(dp118 +g11 +g14 +sg21 +g22 +((lp119 +tp120 +Rp121 +sbsS'Regex' +p122 +g1 +(g2 +g3 +(g4 +g5 +g122 +tp123 +tp124 +Rp125 +(dp126 +g11 +g14 +sg21 +g22 +((lp127 +tp128 +Rp129 +sbsS'Interp' +p130 +g1 +(g2 +g3 +(g4 +g5 +g130 +tp131 +tp132 +Rp133 +(dp134 +g11 +g14 +sg21 +g22 +((lp135 +tp136 +Rp137 +sbsg6 +g9 +sg21 +g22 +((lp138 +g61 +ag45 +ag125 +ag1 +(g2 +g3 +(g4 +g5 +S'Doc' +p139 +tp140 +tp141 +Rp142 +(dp143 +g11 +g14 +sg21 +g22 +((lp144 +tp145 +Rp146 +sbag77 +ag69 +ag85 +ag53 +ag101 +ag93 +ag117 +ag9 +ag1 +(g2 +g3 +(g4 +g5 +S'Single' +p147 +tp148 +tp149 +Rp150 +(dp151 +g11 +g14 +sg21 +g22 +((lp152 +tp153 +Rp154 +sbag1 +(g2 +g3 +(g4 +g5 +S'Other' +p155 +tp156 +tp157 +Rp158 +(dp159 +g11 +g14 +sg21 +g22 +((lp160 +tp161 +Rp162 +sbag133 +ag29 +ag109 +ag1 +(g2 +g3 +(g4 +g5 +S'Moment' +p163 +tp164 +tp165 +Rp166 +(dp167 +g11 +g14 +sg21 +g22 +((lp168 +tp169 +Rp170 +sbag19 +ag37 +atp171 +Rp172 +sg147 +g150 +sg163 +g166 +sg11 +g1 +(g2 +g3 +(g4 +tp173 +tp174 +Rp175 +(dp176 +S'Scalar' +p177 +g1 +(g2 +g3 +(g4 +g177 +tp178 +tp179 +Rp180 +(dp181 +g11 +g175 +sg21 +g22 +((lp182 +g1 +(g2 +g3 +(g4 +g177 +S'Plain' +p183 +tp184 +tp185 +Rp186 +(dp187 +g11 +g180 +sg21 +g22 +((lp188 +tp189 +Rp190 +sbatp191 +Rp192 +sg183 +g186 +sbsS'Number' +p193 +g1 +(g2 +g3 +(g4 +g193 +tp194 +tp195 +Rp196 +(dp197 +S'Integer' +p198 +g1 +(g2 +g3 +(g4 +g193 +g198 +tp199 +tp200 +Rp201 +(dp202 +g11 +g196 +sS'Long' +p203 +g1 +(g2 +g3 +(g4 +g193 +g198 +g203 +tp204 +tp205 +Rp206 +(dp207 +g11 +g201 +sg21 +g22 +((lp208 +tp209 +Rp210 +sbsg21 +g22 +((lp211 +g206 +atp212 +Rp213 +sbsg11 +g175 +sS'Bin' +p214 +g1 +(g2 +g3 +(g4 +g193 +g214 +tp215 +tp216 +Rp217 +(dp218 +g11 +g196 +sg21 +g22 +((lp219 +tp220 +Rp221 +sbsS'Radix' +p222 +g1 +(g2 +g3 +(g4 +g193 +g222 +tp223 +tp224 +Rp225 +(dp226 +g11 +g196 +sg21 +g22 +((lp227 +tp228 +Rp229 +sbsS'Oct' +p230 +g1 +(g2 +g3 +(g4 +g193 +g230 +tp231 +tp232 +Rp233 +(dp234 +g11 +g196 +sg21 +g22 +((lp235 +tp236 +Rp237 +sbsS'Dec' +p238 +g1 +(g2 +g3 +(g4 +g193 +g238 +tp239 +tp240 +Rp241 +(dp242 +g11 +g196 +sg21 +g22 +((lp243 +tp244 +Rp245 +sbsS'Hex' +p246 +g1 +(g2 +g3 +(g4 +g193 +g246 +tp247 +tp248 +Rp249 +(dp250 +g11 +g196 +sg21 +g22 +((lp251 +tp252 +Rp253 +sbsg21 +g22 +((lp254 +g201 +ag225 +ag241 +ag1 +(g2 +g3 +(g4 +g193 +S'Decimal' +p255 +tp256 +tp257 +Rp258 +(dp259 +g11 +g196 +sg21 +g22 +((lp260 +tp261 +Rp262 +sbag217 +ag1 +(g2 +g3 +(g4 +g193 +S'Float' +p263 +tp264 +tp265 +Rp266 +(dp267 +g11 +g196 +sg21 +g22 +((lp268 +tp269 +Rp270 +sbag233 +ag249 +atp271 +Rp272 +sg255 +g258 +sg263 +g266 +sbsg11 +g1 +(g2 +g3 +(ttp273 +Rp274 +(dp275 +g193 +g196 +sS'Generic' +p276 +g1 +(g2 +g3 +(g276 +tp277 +tp278 +Rp279 +(dp280 +g11 +g274 +sS'Deleted' +p281 +g1 +(g2 +g3 +(g276 +g281 +tp282 +tp283 +Rp284 +(dp285 +g11 +g279 +sg21 +g22 +((lp286 +tp287 +Rp288 +sbsS'Subheading' +p289 +g1 +(g2 +g3 +(g276 +g289 +tp290 +tp291 +Rp292 +(dp293 +g11 +g279 +sg21 +g22 +((lp294 +tp295 +Rp296 +sbsS'Heading' +p297 +g1 +(g2 +g3 +(g276 +g297 +tp298 +tp299 +Rp300 +(dp301 +g11 +g279 +sg21 +g22 +((lp302 +tp303 +Rp304 +sbsS'Emph' +p305 +g1 +(g2 +g3 +(g276 +g305 +tp306 +tp307 +Rp308 +(dp309 +g11 +g279 +sg21 +g22 +((lp310 +tp311 +Rp312 +sbsS'Prompt' +p313 +g1 +(g2 +g3 +(g276 +g313 +tp314 +tp315 +Rp316 +(dp317 +g11 +g279 +sg21 +g22 +((lp318 +tp319 +Rp320 +sbsS'Inserted' +p321 +g1 +(g2 +g3 +(g276 +g321 +tp322 +tp323 +Rp324 +(dp325 +g11 +g279 +sg21 +g22 +((lp326 +tp327 +Rp328 +sbsS'Strong' +p329 +g1 +(g2 +g3 +(g276 +g329 +tp330 +tp331 +Rp332 +(dp333 +g11 +g279 +sg21 +g22 +((lp334 +tp335 +Rp336 +sbsS'Error' +p337 +g1 +(g2 +g3 +(g276 +g337 +tp338 +tp339 +Rp340 +(dp341 +g11 +g279 +sg21 +g22 +((lp342 +tp343 +Rp344 +sbsS'Traceback' +p345 +g1 +(g2 +g3 +(g276 +g345 +tp346 +tp347 +Rp348 +(dp349 +g11 +g279 +sg21 +g22 +((lp350 +tp351 +Rp352 +sbsg21 +g22 +((lp353 +g300 +ag292 +ag1 +(g2 +g3 +(g276 +S'Output' +p354 +tp355 +tp356 +Rp357 +(dp358 +g11 +g279 +sg21 +g22 +((lp359 +tp360 +Rp361 +sbag332 +ag308 +ag340 +ag348 +ag324 +ag316 +ag284 +atp362 +Rp363 +sg354 +g357 +sbsS'Operator' +p364 +g1 +(g2 +g3 +(g364 +tp365 +tp366 +Rp367 +(dp368 +g11 +g274 +sS'DBS' +p369 +g1 +(g2 +g3 +(g364 +g369 +tp370 +tp371 +Rp372 +(dp373 +g11 +g367 +sg21 +g22 +((lp374 +tp375 +Rp376 +sbsg21 +g22 +((lp377 +g372 +ag1 +(g2 +g3 +(g364 +S'Word' +p378 +tp379 +tp380 +Rp381 +(dp382 +g11 +g367 +sg21 +g22 +((lp383 +tp384 +Rp385 +sbatp386 +Rp387 +sg378 +g381 +sbsg5 +g14 +sg114 +g1 +(g2 +g3 +(g114 +tp388 +tp389 +Rp390 +(dp391 +S'Variable' +p392 +g1 +(g2 +g3 +(g114 +g392 +tp393 +tp394 +Rp395 +(dp396 +g11 +g390 +sS'Class' +p397 +g1 +(g2 +g3 +(g114 +g392 +g397 +tp398 +tp399 +Rp400 +(dp401 +g11 +g395 +sg21 +g22 +((lp402 +tp403 +Rp404 +sbsS'Anonymous' +p405 +g1 +(g2 +g3 +(g114 +g392 +g405 +tp406 +tp407 +Rp408 +(dp409 +g11 +g395 +sg21 +g22 +((lp410 +tp411 +Rp412 +sbsS'Instance' +p413 +g1 +(g2 +g3 +(g114 +g392 +g413 +tp414 +tp415 +Rp416 +(dp417 +g11 +g395 +sg21 +g22 +((lp418 +tp419 +Rp420 +sbsS'Global' +p421 +g1 +(g2 +g3 +(g114 +g392 +g421 +tp422 +tp423 +Rp424 +(dp425 +g11 +g395 +sg21 +g22 +((lp426 +tp427 +Rp428 +sbsg21 +g22 +((lp429 +g408 +ag416 +ag1 +(g2 +g3 +(g114 +g392 +S'Magic' +p430 +tp431 +tp432 +Rp433 +(dp434 +g11 +g395 +sg21 +g22 +((lp435 +tp436 +Rp437 +sbag424 +ag400 +atp438 +Rp439 +sg430 +g433 +sbsg364 +g1 +(g2 +g3 +(g114 +g364 +tp440 +tp441 +Rp442 +(dp443 +g11 +g390 +sg21 +g22 +((lp444 +tp445 +Rp446 +sbsS'Decorator' +p447 +g1 +(g2 +g3 +(g114 +g447 +tp448 +tp449 +Rp450 +(dp451 +g11 +g390 +sg21 +g22 +((lp452 +tp453 +Rp454 +sbsS'Entity' +p455 +g1 +(g2 +g3 +(g114 +g455 +tp456 +tp457 +Rp458 +(dp459 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g114 +g455 +g369 +tp460 +tp461 +Rp462 +(dp463 +g11 +g458 +sg21 +g22 +((lp464 +tp465 +Rp466 +sbsg21 +g22 +((lp467 +g462 +atp468 +Rp469 +sbsg42 +g1 +(g2 +g3 +(g114 +g42 +tp470 +tp471 +Rp472 +(dp473 +g11 +g390 +sg21 +g22 +((lp474 +tp475 +Rp476 +sbsS'Property' +p477 +g1 +(g2 +g3 +(g114 +g477 +tp478 +tp479 +Rp480 +(dp481 +g11 +g390 +sg21 +g22 +((lp482 +tp483 +Rp484 +sbsS'Pseudo' +p485 +g1 +(g2 +g3 +(g114 +g485 +tp486 +tp487 +Rp488 +(dp489 +g11 +g390 +sg21 +g22 +((lp490 +tp491 +Rp492 +sbsS'Type' +p493 +g1 +(g2 +g3 +(g114 +g493 +tp494 +tp495 +Rp496 +(dp497 +g11 +g390 +sg21 +g22 +((lp498 +tp499 +Rp500 +sbsS'Classes' +p501 +g1 +(g2 +g3 +(g114 +g501 +tp502 +tp503 +Rp504 +(dp505 +g11 +g390 +sg21 +g22 +((lp506 +tp507 +Rp508 +sbsS'Tag' +p509 +g1 +(g2 +g3 +(g114 +g509 +tp510 +tp511 +Rp512 +(dp513 +g11 +g390 +sg21 +g22 +((lp514 +tp515 +Rp516 +sbsS'Constant' +p517 +g1 +(g2 +g3 +(g114 +g517 +tp518 +tp519 +Rp520 +(dp521 +g11 +g390 +sg21 +g22 +((lp522 +tp523 +Rp524 +sbsS'Function' +p525 +g1 +(g2 +g3 +(g114 +g525 +tp526 +tp527 +Rp528 +(dp529 +g11 +g390 +sg21 +g22 +((lp530 +g1 +(g2 +g3 +(g114 +g525 +g430 +tp531 +tp532 +Rp533 +(dp534 +g11 +g528 +sg21 +g22 +((lp535 +tp536 +Rp537 +sbatp538 +Rp539 +sg430 +g533 +sbsS'Blubb' +p540 +g1 +(g2 +g3 +(g114 +g540 +tp541 +tp542 +Rp543 +(dp544 +g11 +g390 +sg21 +g22 +((lp545 +tp546 +Rp547 +sbsS'Label' +p548 +g1 +(g2 +g3 +(g114 +g548 +tp549 +tp550 +Rp551 +(dp552 +g11 +g390 +sg21 +g22 +((lp553 +tp554 +Rp555 +sbsS'Field' +p556 +g1 +(g2 +g3 +(g114 +g556 +tp557 +tp558 +Rp559 +(dp560 +g11 +g390 +sg21 +g22 +((lp561 +tp562 +Rp563 +sbsS'Exception' +p564 +g1 +(g2 +g3 +(g114 +g564 +tp565 +tp566 +Rp567 +(dp568 +g11 +g390 +sg21 +g22 +((lp569 +tp570 +Rp571 +sbsS'Namespace' +p572 +g1 +(g2 +g3 +(g114 +g572 +tp573 +tp574 +Rp575 +(dp576 +g11 +g390 +sg21 +g22 +((lp577 +tp578 +Rp579 +sbsg21 +g22 +((lp580 +g450 +ag543 +ag488 +ag458 +ag395 +ag567 +ag480 +ag512 +ag528 +ag504 +ag1 +(g2 +g3 +(g114 +g397 +tp581 +tp582 +Rp583 +(dp584 +g11 +g390 +sg369 +g1 +(g2 +g3 +(g114 +g397 +g369 +tp585 +tp586 +Rp587 +(dp588 +g11 +g583 +sg21 +g22 +((lp589 +tp590 +Rp591 +sbsg21 +g22 +((lp592 +g1 +(g2 +g3 +(g114 +g397 +S'Start' +p593 +tp594 +tp595 +Rp596 +(dp597 +g11 +g583 +sg21 +g22 +((lp598 +tp599 +Rp600 +sbag587 +atp601 +Rp602 +sg593 +g596 +sbag1 +(g2 +g3 +(g114 +g155 +tp603 +tp604 +Rp605 +(dp606 +g11 +g390 +sS'Member' +p607 +g1 +(g2 +g3 +(g114 +g155 +g607 +tp608 +tp609 +Rp610 +(dp611 +g11 +g605 +sg21 +g22 +((lp612 +tp613 +Rp614 +sbsg21 +g22 +((lp615 +g610 +atp616 +Rp617 +sbag551 +ag442 +ag575 +ag1 +(g2 +g3 +(g114 +S'Attribute' +p618 +tp619 +tp620 +Rp621 +(dp622 +g11 +g390 +sg392 +g1 +(g2 +g3 +(g114 +g618 +g392 +tp623 +tp624 +Rp625 +(dp626 +g11 +g621 +sg21 +g22 +((lp627 +tp628 +Rp629 +sbsg21 +g22 +((lp630 +g625 +atp631 +Rp632 +sbag520 +ag1 +(g2 +g3 +(g114 +S'Builtin' +p633 +tp634 +tp635 +Rp636 +(dp637 +g11 +g390 +sg493 +g1 +(g2 +g3 +(g114 +g633 +g493 +tp638 +tp639 +Rp640 +(dp641 +g11 +g636 +sg21 +g22 +((lp642 +tp643 +Rp644 +sbsg21 +g22 +((lp645 +g1 +(g2 +g3 +(g114 +g633 +g485 +tp646 +tp647 +Rp648 +(dp649 +g11 +g636 +sg21 +g22 +((lp650 +tp651 +Rp652 +sbag640 +atp653 +Rp654 +sg485 +g648 +sbag559 +ag496 +ag472 +atp655 +Rp656 +sg11 +g274 +sg397 +g583 +sg633 +g636 +sg618 +g621 +sg155 +g605 +sbsS'Punctuation' +p657 +g1 +(g2 +g3 +(g657 +tp658 +tp659 +Rp660 +(dp661 +g11 +g274 +sg21 +g22 +((lp662 +g1 +(g2 +g3 +(g657 +S'Indicator' +p663 +tp664 +tp665 +Rp666 +(dp667 +g11 +g660 +sg21 +g22 +((lp668 +tp669 +Rp670 +sbatp671 +Rp672 +sg663 +g666 +sbsS'Comment' +p673 +g1 +(g2 +g3 +(g673 +tp674 +tp675 +Rp676 +(dp677 +S'Multi' +p678 +g1 +(g2 +g3 +(g673 +g678 +tp679 +tp680 +Rp681 +(dp682 +g11 +g676 +sg21 +g22 +((lp683 +tp684 +Rp685 +sbsg11 +g274 +sS'Special' +p686 +g1 +(g2 +g3 +(g673 +g686 +tp687 +tp688 +Rp689 +(dp690 +g11 +g676 +sg21 +g22 +((lp691 +tp692 +Rp693 +sbsS'Hashbang' +p694 +g1 +(g2 +g3 +(g673 +g694 +tp695 +tp696 +Rp697 +(dp698 +g11 +g676 +sg21 +g22 +((lp699 +tp700 +Rp701 +sbsS'Preproc' +p702 +g1 +(g2 +g3 +(g673 +g702 +tp703 +tp704 +Rp705 +(dp706 +g11 +g676 +sg21 +g22 +((lp707 +tp708 +Rp709 +sbsg147 +g1 +(g2 +g3 +(g673 +g147 +tp710 +tp711 +Rp712 +(dp713 +g11 +g676 +sg21 +g22 +((lp714 +tp715 +Rp716 +sbsS'Directive' +p717 +g1 +(g2 +g3 +(g673 +g717 +tp718 +tp719 +Rp720 +(dp721 +g11 +g676 +sg21 +g22 +((lp722 +tp723 +Rp724 +sbsg139 +g1 +(g2 +g3 +(g673 +g139 +tp725 +tp726 +Rp727 +(dp728 +g11 +g676 +sg21 +g22 +((lp729 +tp730 +Rp731 +sbsS'Singleline' +p732 +g1 +(g2 +g3 +(g673 +g732 +tp733 +tp734 +Rp735 +(dp736 +g11 +g676 +sg21 +g22 +((lp737 +tp738 +Rp739 +sbsS'Multiline' +p740 +g1 +(g2 +g3 +(g673 +g740 +tp741 +tp742 +Rp743 +(dp744 +g11 +g676 +sg21 +g22 +((lp745 +tp746 +Rp747 +sbsg21 +g22 +((lp748 +g727 +ag720 +ag697 +ag681 +ag735 +ag705 +ag743 +ag712 +ag1 +(g2 +g3 +(g673 +S'PreprocFile' +p749 +tp750 +tp751 +Rp752 +(dp753 +g11 +g676 +sg21 +g22 +((lp754 +tp755 +Rp756 +sbag1 +(g2 +g3 +(g673 +S'SingleLine' +p757 +tp758 +tp759 +Rp760 +(dp761 +g11 +g676 +sg21 +g22 +((lp762 +tp763 +Rp764 +sbag689 +atp765 +Rp766 +sg749 +g752 +sg757 +g760 +sbsg4 +g175 +sg155 +g1 +(g2 +g3 +(g155 +tp767 +tp768 +Rp769 +(dp770 +g11 +g274 +sg21 +g22 +((lp771 +tp772 +Rp773 +sbsg337 +g1 +(g2 +g3 +(g337 +tp774 +tp775 +Rp776 +(dp777 +g11 +g274 +sg21 +g22 +((lp778 +tp779 +Rp780 +sbsS'Token' +p781 +g274 +sg6 +g1 +(g2 +g3 +(g6 +tp782 +tp783 +Rp784 +(dp785 +g11 +g274 +sg21 +g22 +((lp786 +tp787 +Rp788 +sbsg21 +g22 +((lp789 +g390 +ag769 +ag1 +(g2 +g3 +(S'Keyword' +p790 +tp791 +tp792 +Rp793 +(dp794 +g11 +g274 +sg493 +g1 +(g2 +g3 +(g790 +g493 +tp795 +tp796 +Rp797 +(dp798 +g11 +g793 +sg21 +g22 +((lp799 +tp800 +Rp801 +sbsS'Control' +p802 +g1 +(g2 +g3 +(g790 +g802 +tp803 +tp804 +Rp805 +(dp806 +g11 +g793 +sg21 +g22 +((lp807 +tp808 +Rp809 +sbsg517 +g1 +(g2 +g3 +(g790 +g517 +tp810 +tp811 +Rp812 +(dp813 +g11 +g793 +sg21 +g22 +((lp814 +tp815 +Rp816 +sbsg572 +g1 +(g2 +g3 +(g790 +g572 +tp817 +tp818 +Rp819 +(dp820 +g11 +g793 +sg21 +g22 +((lp821 +tp822 +Rp823 +sbsS'PreProc' +p824 +g1 +(g2 +g3 +(g790 +g824 +tp825 +tp826 +Rp827 +(dp828 +g11 +g793 +sg21 +g22 +((lp829 +tp830 +Rp831 +sbsg485 +g1 +(g2 +g3 +(g790 +g485 +tp832 +tp833 +Rp834 +(dp835 +g11 +g793 +sg21 +g22 +((lp836 +tp837 +Rp838 +sbsS'Reserved' +p839 +g1 +(g2 +g3 +(g790 +g839 +tp840 +tp841 +Rp842 +(dp843 +g11 +g793 +sg21 +g22 +((lp844 +tp845 +Rp846 +sbsg21 +g22 +((lp847 +g819 +ag1 +(g2 +g3 +(g790 +g378 +tp848 +tp849 +Rp850 +(dp851 +g11 +g793 +sg21 +g22 +((lp852 +tp853 +Rp854 +sbag805 +ag1 +(g2 +g3 +(g790 +S'Declaration' +p855 +tp856 +tp857 +Rp858 +(dp859 +g11 +g793 +sg21 +g22 +((lp860 +tp861 +Rp862 +sbag1 +(g2 +g3 +(g790 +g790 +tp863 +tp864 +Rp865 +(dp866 +g11 +g793 +sg21 +g22 +((lp867 +tp868 +Rp869 +sbag834 +ag812 +ag797 +ag842 +ag827 +atp870 +Rp871 +sg790 +g865 +sg855 +g858 +sg378 +g850 +sbag279 +ag1 +(g2 +g3 +(S'Text' +p872 +tp873 +tp874 +Rp875 +(dp876 +S'Beer' +p877 +g1 +(g2 +g3 +(g872 +g877 +tp878 +tp879 +Rp880 +(dp881 +g11 +g875 +sg21 +g22 +((lp882 +tp883 +Rp884 +sbsS'Whitespace' +p885 +g1 +(g2 +g3 +(g872 +g885 +tp886 +tp887 +Rp888 +(dp889 +g11 +g875 +sg21 +g22 +((lp890 +tp891 +Rp892 +sbsg11 +g274 +sS'Root' +p893 +g1 +(g2 +g3 +(g872 +g893 +tp894 +tp895 +Rp896 +(dp897 +g11 +g875 +sg21 +g22 +((lp898 +tp899 +Rp900 +sbsg42 +g1 +(g2 +g3 +(g872 +g42 +tp901 +tp902 +Rp903 +(dp904 +g11 +g875 +sg21 +g22 +((lp905 +tp906 +Rp907 +sbsg657 +g1 +(g2 +g3 +(g872 +g657 +tp908 +tp909 +Rp910 +(dp911 +g11 +g875 +sg21 +g22 +((lp912 +tp913 +Rp914 +sbsg21 +g22 +((lp915 +g896 +ag903 +ag910 +ag888 +ag880 +ag1 +(g2 +g3 +(g872 +S'Rag' +p916 +tp917 +tp918 +Rp919 +(dp920 +g11 +g875 +sg21 +g22 +((lp921 +tp922 +Rp923 +sbatp924 +Rp925 +sg916 +g919 +sbag367 +ag784 +ag660 +ag676 +ag776 +ag175 +atp926 +Rp927 +sg790 +g793 +sg872 +g875 +sbsg155 +g1 +(g2 +g3 +(g4 +g155 +tp928 +tp929 +Rp930 +(dp931 +g11 +g175 +sg21 +g22 +((lp932 +tp933 +Rp934 +sbsg16 +g1 +(g2 +g3 +(g4 +g16 +tp935 +tp936 +Rp937 +(dp938 +g11 +g175 +sg21 +g22 +((lp939 +tp940 +Rp941 +sbsg5 +g14 +sg21 +g22 +((lp942 +g14 +ag937 +ag930 +ag1 +(g2 +g3 +(g4 +S'Date' +p943 +tp944 +tp945 +Rp946 +(dp947 +g11 +g175 +sg21 +g22 +((lp948 +tp949 +Rp950 +sbag180 +ag196 +atp951 +Rp952 +sg943 +g946 +sbsg155 +g158 +sg139 +g142 +sbsg21 +g22 +((lp953 +tp954 +Rp955 +sbVSection +p956 +tp957 +a(g875 +V +p958 +tp959 +a(g9 +V"Files" +p960 +tp961 +a(g875 +V\u000a +p962 +tp963 +a(g636 +VModulePath +p964 +tp965 +a(g875 +g958 +tp966 +a(g520 +V"/usr/lib64/opengl/nvidia/extensions" +p967 +tp968 +a(g875 +V\u000a +p969 +tp970 +a(g636 +VModulePath +p971 +tp972 +a(g875 +g958 +tp973 +a(g520 +V"/usr/lib64/xorg/modules" +p974 +tp975 +a(g875 +V\u000a +p976 +tp977 +a(g9 +VEndSection +p978 +tp979 +a(g875 +V\u000a\u000a +p980 +tp981 +a(g9 +VSection +p982 +tp983 +a(g875 +g958 +tp984 +a(g9 +V"ServerLayout" +p985 +tp986 +a(g875 +V\u000a +p987 +tp988 +a(g636 +VIdentifier +p989 +tp990 +a(g875 +V +p991 +tp992 +a(g520 +V"XFree86 Configured" +p993 +tp994 +a(g875 +V\u000a +p995 +tp996 +a(g636 +VScreen +p997 +tp998 +a(g875 +g958 +tp999 +a(g520 +V"Screen" +p1000 +tp1001 +a(g875 +V\u000a +p1002 +tp1003 +a(g9 +VEndSection +p1004 +tp1005 +a(g875 +V\u000a\u000a +p1006 +tp1007 +a(g9 +VSection +p1008 +tp1009 +a(g875 +g958 +tp1010 +a(g9 +V"ServerFlags" +p1011 +tp1012 +a(g875 +V\u000a +p1013 +tp1014 +a(g636 +VOption +p1015 +tp1016 +a(g875 +g958 +tp1017 +a(g520 +V"AutoAddDevices" "false" +p1018 +tp1019 +a(g875 +V\u000a +p1020 +tp1021 +a(g9 +VEndSection +p1022 +tp1023 +a(g875 +V\u000a\u000a +p1024 +tp1025 +a(g9 +VSection +p1026 +tp1027 +a(g875 +g958 +tp1028 +a(g9 +V"Screen" +p1029 +tp1030 +a(g875 +V\u000a +p1031 +tp1032 +a(g636 +VIdentifier +p1033 +tp1034 +a(g875 +g958 +tp1035 +a(g520 +V"Screen" +p1036 +tp1037 +a(g875 +V\u000a +p1038 +tp1039 +a(g636 +VDevice +p1040 +tp1041 +a(g875 +g958 +tp1042 +a(g520 +V"Card0" +p1043 +tp1044 +a(g875 +V\u000a +p1045 +tp1046 +a(g636 +VDefaultDepth +p1047 +tp1048 +a(g875 +V +p1049 +tp1050 +a(g520 +V24 +p1051 +tp1052 +a(g875 +V\u000a +p1053 +tp1054 +a(g9 +VSubSection +p1055 +tp1056 +a(g875 +V +p1057 +tp1058 +a(g9 +V"Display" +p1059 +tp1060 +a(g875 +V\u000a +p1061 +tp1062 +a(g636 +VDepth +p1063 +tp1064 +a(g875 +V +p1065 +tp1066 +a(g520 +V24 +p1067 +tp1068 +a(g875 +V\u000a +p1069 +tp1070 +a(g9 +VEndSubSection +p1071 +tp1072 +a(g875 +V\u000a +p1073 +tp1074 +a(g636 +VOption +p1075 +tp1076 +a(g875 +g958 +tp1077 +a(g520 +V"UseEDIDDpi" "False" +p1078 +tp1079 +a(g875 +V\u000a +p1080 +tp1081 +a(g636 +VOption +p1082 +tp1083 +a(g875 +g958 +tp1084 +a(g520 +V"DPI" "96 x 96" +p1085 +tp1086 +a(g875 +V\u000a +p1087 +tp1088 +a(g9 +VEndSection +p1089 +tp1090 +a(g875 +V\u000a\u000a +p1091 +tp1092 +a(g9 +VSection +p1093 +tp1094 +a(g875 +g958 +tp1095 +a(g9 +V"Device" +p1096 +tp1097 +a(g875 +V\u000a +p1098 +tp1099 +a(g636 +VIdentifier +p1100 +tp1101 +a(g875 +V +p1102 +tp1103 +a(g520 +V"Card0" +p1104 +tp1105 +a(g875 +V\u000a +p1106 +tp1107 +a(g636 +VDriver +p1108 +tp1109 +a(g875 +V +p1110 +tp1111 +a(g520 +V"nvidia" +p1112 +tp1113 +a(g875 +V\u000a +p1114 +tp1115 +a(g636 +VVendorName +p1116 +tp1117 +a(g875 +V +p1118 +tp1119 +a(g520 +V"NVIDIA Corporation" +p1120 +tp1121 +a(g676 +V# inline comment +p1122 +tp1123 +a(g875 +V\u000a +p1124 +tp1125 +a(g676 +V#Option "RenderAccel" "true" +p1126 +tp1127 +a(g875 +V\u000a \u000a +p1128 +tp1129 +a(g676 +V#Option "NvAgp" "3" +p1130 +tp1131 +a(g875 +V\u000a +p1132 +tp1133 +a(g676 +V#Option "AllowGLXWithComposite" "true" +p1134 +tp1135 +a(g875 +V\u000a +p1136 +tp1137 +a(g676 +V#Option "AddARGBGLXVisuals" "true" +p1138 +tp1139 +a(g875 +V\u000a +p1140 +tp1141 +a(g676 +V#Option "XAANoOffscreenPixmaps" "true" +p1142 +tp1143 +a(g875 +V\u000a +p1144 +tp1145 +a(g676 +V#Option "DRI" "true" +p1146 +tp1147 +a(g875 +V\u000a \u000a +p1148 +tp1149 +a(g676 +V#Option "UseEvents" "false" +p1150 +tp1151 +a(g875 +V\u000a +p1152 +tp1153 +a(g676 +V#Option "TripleBuffer" "1" +p1154 +tp1155 +a(g875 +V\u000a +p1156 +tp1157 +a(g676 +V#Option "DamageEvents" "1" +p1158 +tp1159 +a(g875 +V\u000a +p1160 +tp1161 +a(g676 +V##Option "BackingStore" "1" +p1162 +tp1163 +a(g875 +V\u000a +p1164 +tp1165 +a(g676 +V#Option "PixmapCacheSize" "70000" +p1166 +tp1167 +a(g875 +V\u000a +p1168 +tp1169 +a(g676 +V#Option "OnDemandVBlankInterrupts" "true" +p1170 +tp1171 +a(g875 +V\u000a +p1172 +tp1173 +a(g9 +VEndSection +p1174 +tp1175 +a(g875 +V\u000a\u000a +p1176 +tp1177 +a(g9 +VSection +p1178 +tp1179 +a(g875 +g958 +tp1180 +a(g9 +V"Extensions" +p1181 +tp1182 +a(g875 +V\u000a +p1183 +tp1184 +a(g676 +V# Option "Composite" "Disabled" +p1185 +tp1186 +a(g875 +V\u000a +p1187 +tp1188 +a(g9 +VEndSection +p1189 +tp1190 +a(g875 +V\u000a +p1191 +tp1192 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/yahalom.cpsa b/tests/examplefiles/output/yahalom.cpsa new file mode 100644 index 0000000..99d5b29 --- /dev/null +++ b/tests/examplefiles/output/yahalom.cpsa @@ -0,0 +1,4022 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Punctuation' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'parent' +p9 +g1 +(g2 +g3 +(ttp10 +Rp11 +(dp12 +S'Number' +p13 +g1 +(g2 +g3 +(S'Literal' +p14 +g13 +tp15 +tp16 +Rp17 +(dp18 +S'Integer' +p19 +g1 +(g2 +g3 +(g14 +g13 +g19 +tp20 +tp21 +Rp22 +(dp23 +g9 +g17 +sS'Long' +p24 +g1 +(g2 +g3 +(g14 +g13 +g19 +g24 +tp25 +tp26 +Rp27 +(dp28 +g9 +g22 +sS'subtypes' +p29 +c__builtin__ +set +p30 +((lp31 +tp32 +Rp33 +sbsg29 +g30 +((lp34 +g27 +atp35 +Rp36 +sbsg9 +g1 +(g2 +g3 +(g14 +tp37 +tp38 +Rp39 +(dp40 +S'Scalar' +p41 +g1 +(g2 +g3 +(g14 +g41 +tp42 +tp43 +Rp44 +(dp45 +g9 +g39 +sg29 +g30 +((lp46 +g1 +(g2 +g3 +(g14 +g41 +S'Plain' +p47 +tp48 +tp49 +Rp50 +(dp51 +g9 +g44 +sg29 +g30 +((lp52 +tp53 +Rp54 +sbatp55 +Rp56 +sg47 +g50 +sbsg13 +g17 +sg9 +g11 +sS'Other' +p57 +g1 +(g2 +g3 +(g14 +g57 +tp58 +tp59 +Rp60 +(dp61 +g9 +g39 +sg29 +g30 +((lp62 +tp63 +Rp64 +sbsS'Char' +p65 +g1 +(g2 +g3 +(g14 +g65 +tp66 +tp67 +Rp68 +(dp69 +g9 +g39 +sg29 +g30 +((lp70 +tp71 +Rp72 +sbsS'String' +p73 +g1 +(g2 +g3 +(g14 +g73 +tp74 +tp75 +Rp76 +(dp77 +g65 +g1 +(g2 +g3 +(g14 +g73 +g65 +tp78 +tp79 +Rp80 +(dp81 +g9 +g76 +sg29 +g30 +((lp82 +tp83 +Rp84 +sbsS'Backtick' +p85 +g1 +(g2 +g3 +(g14 +g73 +g85 +tp86 +tp87 +Rp88 +(dp89 +g9 +g76 +sg29 +g30 +((lp90 +tp91 +Rp92 +sbsS'Heredoc' +p93 +g1 +(g2 +g3 +(g14 +g73 +g93 +tp94 +tp95 +Rp96 +(dp97 +g9 +g76 +sg29 +g30 +((lp98 +tp99 +Rp100 +sbsS'Symbol' +p101 +g1 +(g2 +g3 +(g14 +g73 +g101 +tp102 +tp103 +Rp104 +(dp105 +g9 +g76 +sg29 +g30 +((lp106 +tp107 +Rp108 +sbsS'Interpol' +p109 +g1 +(g2 +g3 +(g14 +g73 +g109 +tp110 +tp111 +Rp112 +(dp113 +g9 +g76 +sg29 +g30 +((lp114 +tp115 +Rp116 +sbsS'Delimiter' +p117 +g1 +(g2 +g3 +(g14 +g73 +g117 +tp118 +tp119 +Rp120 +(dp121 +g9 +g76 +sg29 +g30 +((lp122 +tp123 +Rp124 +sbsS'Boolean' +p125 +g1 +(g2 +g3 +(g14 +g73 +g125 +tp126 +tp127 +Rp128 +(dp129 +g9 +g76 +sg29 +g30 +((lp130 +tp131 +Rp132 +sbsS'Character' +p133 +g1 +(g2 +g3 +(g14 +g73 +g133 +tp134 +tp135 +Rp136 +(dp137 +g9 +g76 +sg29 +g30 +((lp138 +tp139 +Rp140 +sbsS'Double' +p141 +g1 +(g2 +g3 +(g14 +g73 +g141 +tp142 +tp143 +Rp144 +(dp145 +g9 +g76 +sg29 +g30 +((lp146 +tp147 +Rp148 +sbsS'Delimeter' +p149 +g1 +(g2 +g3 +(g14 +g73 +g149 +tp150 +tp151 +Rp152 +(dp153 +g9 +g76 +sg29 +g30 +((lp154 +tp155 +Rp156 +sbsS'Atom' +p157 +g1 +(g2 +g3 +(g14 +g73 +g157 +tp158 +tp159 +Rp160 +(dp161 +g9 +g76 +sg29 +g30 +((lp162 +tp163 +Rp164 +sbsS'Affix' +p165 +g1 +(g2 +g3 +(g14 +g73 +g165 +tp166 +tp167 +Rp168 +(dp169 +g9 +g76 +sg29 +g30 +((lp170 +tp171 +Rp172 +sbsS'Name' +p173 +g1 +(g2 +g3 +(g14 +g73 +g173 +tp174 +tp175 +Rp176 +(dp177 +g9 +g76 +sg29 +g30 +((lp178 +tp179 +Rp180 +sbsS'Regex' +p181 +g1 +(g2 +g3 +(g14 +g73 +g181 +tp182 +tp183 +Rp184 +(dp185 +g9 +g76 +sg29 +g30 +((lp186 +tp187 +Rp188 +sbsS'Interp' +p189 +g1 +(g2 +g3 +(g14 +g73 +g189 +tp190 +tp191 +Rp192 +(dp193 +g9 +g76 +sg29 +g30 +((lp194 +tp195 +Rp196 +sbsS'Escape' +p197 +g1 +(g2 +g3 +(g14 +g73 +g197 +tp198 +tp199 +Rp200 +(dp201 +g9 +g76 +sg29 +g30 +((lp202 +tp203 +Rp204 +sbsg29 +g30 +((lp205 +g120 +ag104 +ag184 +ag1 +(g2 +g3 +(g14 +g73 +S'Doc' +p206 +tp207 +tp208 +Rp209 +(dp210 +g9 +g76 +sg29 +g30 +((lp211 +tp212 +Rp213 +sbag136 +ag128 +ag144 +ag112 +ag160 +ag152 +ag176 +ag200 +ag1 +(g2 +g3 +(g14 +g73 +S'Single' +p214 +tp215 +tp216 +Rp217 +(dp218 +g9 +g76 +sg29 +g30 +((lp219 +tp220 +Rp221 +sbag1 +(g2 +g3 +(g14 +g73 +g57 +tp222 +tp223 +Rp224 +(dp225 +g9 +g76 +sg29 +g30 +((lp226 +tp227 +Rp228 +sbag192 +ag88 +ag168 +ag1 +(g2 +g3 +(g14 +g73 +S'Moment' +p229 +tp230 +tp231 +Rp232 +(dp233 +g9 +g76 +sg29 +g30 +((lp234 +tp235 +Rp236 +sbag80 +ag96 +atp237 +Rp238 +sg214 +g217 +sg229 +g232 +sg9 +g39 +sg57 +g224 +sg206 +g209 +sbsg29 +g30 +((lp239 +g76 +ag68 +ag60 +ag1 +(g2 +g3 +(g14 +S'Date' +p240 +tp241 +tp242 +Rp243 +(dp244 +g9 +g39 +sg29 +g30 +((lp245 +tp246 +Rp247 +sbag44 +ag17 +atp248 +Rp249 +sg240 +g243 +sbsS'Bin' +p250 +g1 +(g2 +g3 +(g14 +g13 +g250 +tp251 +tp252 +Rp253 +(dp254 +g9 +g17 +sg29 +g30 +((lp255 +tp256 +Rp257 +sbsS'Radix' +p258 +g1 +(g2 +g3 +(g14 +g13 +g258 +tp259 +tp260 +Rp261 +(dp262 +g9 +g17 +sg29 +g30 +((lp263 +tp264 +Rp265 +sbsS'Oct' +p266 +g1 +(g2 +g3 +(g14 +g13 +g266 +tp267 +tp268 +Rp269 +(dp270 +g9 +g17 +sg29 +g30 +((lp271 +tp272 +Rp273 +sbsS'Dec' +p274 +g1 +(g2 +g3 +(g14 +g13 +g274 +tp275 +tp276 +Rp277 +(dp278 +g9 +g17 +sg29 +g30 +((lp279 +tp280 +Rp281 +sbsS'Hex' +p282 +g1 +(g2 +g3 +(g14 +g13 +g282 +tp283 +tp284 +Rp285 +(dp286 +g9 +g17 +sg29 +g30 +((lp287 +tp288 +Rp289 +sbsg29 +g30 +((lp290 +g22 +ag261 +ag277 +ag1 +(g2 +g3 +(g14 +g13 +S'Decimal' +p291 +tp292 +tp293 +Rp294 +(dp295 +g9 +g17 +sg29 +g30 +((lp296 +tp297 +Rp298 +sbag253 +ag1 +(g2 +g3 +(g14 +g13 +S'Float' +p299 +tp300 +tp301 +Rp302 +(dp303 +g9 +g17 +sg29 +g30 +((lp304 +tp305 +Rp306 +sbag269 +ag285 +atp307 +Rp308 +sg291 +g294 +sg299 +g302 +sbsS'Generic' +p309 +g1 +(g2 +g3 +(g309 +tp310 +tp311 +Rp312 +(dp313 +g9 +g11 +sS'Deleted' +p314 +g1 +(g2 +g3 +(g309 +g314 +tp315 +tp316 +Rp317 +(dp318 +g9 +g312 +sg29 +g30 +((lp319 +tp320 +Rp321 +sbsS'Subheading' +p322 +g1 +(g2 +g3 +(g309 +g322 +tp323 +tp324 +Rp325 +(dp326 +g9 +g312 +sg29 +g30 +((lp327 +tp328 +Rp329 +sbsS'Heading' +p330 +g1 +(g2 +g3 +(g309 +g330 +tp331 +tp332 +Rp333 +(dp334 +g9 +g312 +sg29 +g30 +((lp335 +tp336 +Rp337 +sbsS'Emph' +p338 +g1 +(g2 +g3 +(g309 +g338 +tp339 +tp340 +Rp341 +(dp342 +g9 +g312 +sg29 +g30 +((lp343 +tp344 +Rp345 +sbsS'Prompt' +p346 +g1 +(g2 +g3 +(g309 +g346 +tp347 +tp348 +Rp349 +(dp350 +g9 +g312 +sg29 +g30 +((lp351 +tp352 +Rp353 +sbsS'Inserted' +p354 +g1 +(g2 +g3 +(g309 +g354 +tp355 +tp356 +Rp357 +(dp358 +g9 +g312 +sg29 +g30 +((lp359 +tp360 +Rp361 +sbsS'Strong' +p362 +g1 +(g2 +g3 +(g309 +g362 +tp363 +tp364 +Rp365 +(dp366 +g9 +g312 +sg29 +g30 +((lp367 +tp368 +Rp369 +sbsS'Error' +p370 +g1 +(g2 +g3 +(g309 +g370 +tp371 +tp372 +Rp373 +(dp374 +g9 +g312 +sg29 +g30 +((lp375 +tp376 +Rp377 +sbsS'Traceback' +p378 +g1 +(g2 +g3 +(g309 +g378 +tp379 +tp380 +Rp381 +(dp382 +g9 +g312 +sg29 +g30 +((lp383 +tp384 +Rp385 +sbsg29 +g30 +((lp386 +g333 +ag325 +ag1 +(g2 +g3 +(g309 +S'Output' +p387 +tp388 +tp389 +Rp390 +(dp391 +g9 +g312 +sg29 +g30 +((lp392 +tp393 +Rp394 +sbag365 +ag341 +ag373 +ag381 +ag357 +ag349 +ag317 +atp395 +Rp396 +sg387 +g390 +sbsS'Operator' +p397 +g1 +(g2 +g3 +(g397 +tp398 +tp399 +Rp400 +(dp401 +g9 +g11 +sS'DBS' +p402 +g1 +(g2 +g3 +(g397 +g402 +tp403 +tp404 +Rp405 +(dp406 +g9 +g400 +sg29 +g30 +((lp407 +tp408 +Rp409 +sbsg29 +g30 +((lp410 +g405 +ag1 +(g2 +g3 +(g397 +S'Word' +p411 +tp412 +tp413 +Rp414 +(dp415 +g9 +g400 +sg29 +g30 +((lp416 +tp417 +Rp418 +sbatp419 +Rp420 +sg411 +g414 +sbsg73 +g76 +sg173 +g1 +(g2 +g3 +(g173 +tp421 +tp422 +Rp423 +(dp424 +S'Variable' +p425 +g1 +(g2 +g3 +(g173 +g425 +tp426 +tp427 +Rp428 +(dp429 +g9 +g423 +sS'Class' +p430 +g1 +(g2 +g3 +(g173 +g425 +g430 +tp431 +tp432 +Rp433 +(dp434 +g9 +g428 +sg29 +g30 +((lp435 +tp436 +Rp437 +sbsS'Anonymous' +p438 +g1 +(g2 +g3 +(g173 +g425 +g438 +tp439 +tp440 +Rp441 +(dp442 +g9 +g428 +sg29 +g30 +((lp443 +tp444 +Rp445 +sbsS'Instance' +p446 +g1 +(g2 +g3 +(g173 +g425 +g446 +tp447 +tp448 +Rp449 +(dp450 +g9 +g428 +sg29 +g30 +((lp451 +tp452 +Rp453 +sbsS'Global' +p454 +g1 +(g2 +g3 +(g173 +g425 +g454 +tp455 +tp456 +Rp457 +(dp458 +g9 +g428 +sg29 +g30 +((lp459 +tp460 +Rp461 +sbsg29 +g30 +((lp462 +g441 +ag449 +ag1 +(g2 +g3 +(g173 +g425 +S'Magic' +p463 +tp464 +tp465 +Rp466 +(dp467 +g9 +g428 +sg29 +g30 +((lp468 +tp469 +Rp470 +sbag457 +ag433 +atp471 +Rp472 +sg463 +g466 +sbsg397 +g1 +(g2 +g3 +(g173 +g397 +tp473 +tp474 +Rp475 +(dp476 +g9 +g423 +sg29 +g30 +((lp477 +tp478 +Rp479 +sbsS'Decorator' +p480 +g1 +(g2 +g3 +(g173 +g480 +tp481 +tp482 +Rp483 +(dp484 +g9 +g423 +sg29 +g30 +((lp485 +tp486 +Rp487 +sbsS'Entity' +p488 +g1 +(g2 +g3 +(g173 +g488 +tp489 +tp490 +Rp491 +(dp492 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g488 +g402 +tp493 +tp494 +Rp495 +(dp496 +g9 +g491 +sg29 +g30 +((lp497 +tp498 +Rp499 +sbsg29 +g30 +((lp500 +g495 +atp501 +Rp502 +sbsg101 +g1 +(g2 +g3 +(g173 +g101 +tp503 +tp504 +Rp505 +(dp506 +g9 +g423 +sg29 +g30 +((lp507 +tp508 +Rp509 +sbsS'Property' +p510 +g1 +(g2 +g3 +(g173 +g510 +tp511 +tp512 +Rp513 +(dp514 +g9 +g423 +sg29 +g30 +((lp515 +tp516 +Rp517 +sbsS'Pseudo' +p518 +g1 +(g2 +g3 +(g173 +g518 +tp519 +tp520 +Rp521 +(dp522 +g9 +g423 +sg29 +g30 +((lp523 +tp524 +Rp525 +sbsS'Type' +p526 +g1 +(g2 +g3 +(g173 +g526 +tp527 +tp528 +Rp529 +(dp530 +g9 +g423 +sg29 +g30 +((lp531 +tp532 +Rp533 +sbsS'Classes' +p534 +g1 +(g2 +g3 +(g173 +g534 +tp535 +tp536 +Rp537 +(dp538 +g9 +g423 +sg29 +g30 +((lp539 +tp540 +Rp541 +sbsS'Tag' +p542 +g1 +(g2 +g3 +(g173 +g542 +tp543 +tp544 +Rp545 +(dp546 +g9 +g423 +sg29 +g30 +((lp547 +tp548 +Rp549 +sbsS'Constant' +p550 +g1 +(g2 +g3 +(g173 +g550 +tp551 +tp552 +Rp553 +(dp554 +g9 +g423 +sg29 +g30 +((lp555 +tp556 +Rp557 +sbsS'Function' +p558 +g1 +(g2 +g3 +(g173 +g558 +tp559 +tp560 +Rp561 +(dp562 +g9 +g423 +sg29 +g30 +((lp563 +g1 +(g2 +g3 +(g173 +g558 +g463 +tp564 +tp565 +Rp566 +(dp567 +g9 +g561 +sg29 +g30 +((lp568 +tp569 +Rp570 +sbatp571 +Rp572 +sg463 +g566 +sbsS'Blubb' +p573 +g1 +(g2 +g3 +(g173 +g573 +tp574 +tp575 +Rp576 +(dp577 +g9 +g423 +sg29 +g30 +((lp578 +tp579 +Rp580 +sbsS'Label' +p581 +g1 +(g2 +g3 +(g173 +g581 +tp582 +tp583 +Rp584 +(dp585 +g9 +g423 +sg29 +g30 +((lp586 +tp587 +Rp588 +sbsS'Field' +p589 +g1 +(g2 +g3 +(g173 +g589 +tp590 +tp591 +Rp592 +(dp593 +g9 +g423 +sg29 +g30 +((lp594 +tp595 +Rp596 +sbsS'Exception' +p597 +g1 +(g2 +g3 +(g173 +g597 +tp598 +tp599 +Rp600 +(dp601 +g9 +g423 +sg29 +g30 +((lp602 +tp603 +Rp604 +sbsS'Namespace' +p605 +g1 +(g2 +g3 +(g173 +g605 +tp606 +tp607 +Rp608 +(dp609 +g9 +g423 +sg29 +g30 +((lp610 +tp611 +Rp612 +sbsg29 +g30 +((lp613 +g483 +ag576 +ag521 +ag491 +ag428 +ag600 +ag513 +ag545 +ag561 +ag537 +ag1 +(g2 +g3 +(g173 +g430 +tp614 +tp615 +Rp616 +(dp617 +g9 +g423 +sg402 +g1 +(g2 +g3 +(g173 +g430 +g402 +tp618 +tp619 +Rp620 +(dp621 +g9 +g616 +sg29 +g30 +((lp622 +tp623 +Rp624 +sbsg29 +g30 +((lp625 +g1 +(g2 +g3 +(g173 +g430 +S'Start' +p626 +tp627 +tp628 +Rp629 +(dp630 +g9 +g616 +sg29 +g30 +((lp631 +tp632 +Rp633 +sbag620 +atp634 +Rp635 +sg626 +g629 +sbag1 +(g2 +g3 +(g173 +g57 +tp636 +tp637 +Rp638 +(dp639 +g9 +g423 +sS'Member' +p640 +g1 +(g2 +g3 +(g173 +g57 +g640 +tp641 +tp642 +Rp643 +(dp644 +g9 +g638 +sg29 +g30 +((lp645 +tp646 +Rp647 +sbsg29 +g30 +((lp648 +g643 +atp649 +Rp650 +sbag584 +ag475 +ag608 +ag1 +(g2 +g3 +(g173 +S'Attribute' +p651 +tp652 +tp653 +Rp654 +(dp655 +g9 +g423 +sg425 +g1 +(g2 +g3 +(g173 +g651 +g425 +tp656 +tp657 +Rp658 +(dp659 +g9 +g654 +sg29 +g30 +((lp660 +tp661 +Rp662 +sbsg29 +g30 +((lp663 +g658 +atp664 +Rp665 +sbag553 +ag1 +(g2 +g3 +(g173 +S'Builtin' +p666 +tp667 +tp668 +Rp669 +(dp670 +g9 +g423 +sg526 +g1 +(g2 +g3 +(g173 +g666 +g526 +tp671 +tp672 +Rp673 +(dp674 +g9 +g669 +sg29 +g30 +((lp675 +tp676 +Rp677 +sbsg29 +g30 +((lp678 +g1 +(g2 +g3 +(g173 +g666 +g518 +tp679 +tp680 +Rp681 +(dp682 +g9 +g669 +sg29 +g30 +((lp683 +tp684 +Rp685 +sbag673 +atp686 +Rp687 +sg518 +g681 +sbag592 +ag529 +ag505 +atp688 +Rp689 +sg9 +g11 +sg430 +g616 +sg666 +g669 +sg651 +g654 +sg57 +g638 +sbsg4 +g7 +sS'Comment' +p690 +g1 +(g2 +g3 +(g690 +tp691 +tp692 +Rp693 +(dp694 +S'Multi' +p695 +g1 +(g2 +g3 +(g690 +g695 +tp696 +tp697 +Rp698 +(dp699 +g9 +g693 +sg29 +g30 +((lp700 +tp701 +Rp702 +sbsg9 +g11 +sS'Special' +p703 +g1 +(g2 +g3 +(g690 +g703 +tp704 +tp705 +Rp706 +(dp707 +g9 +g693 +sg29 +g30 +((lp708 +tp709 +Rp710 +sbsS'Hashbang' +p711 +g1 +(g2 +g3 +(g690 +g711 +tp712 +tp713 +Rp714 +(dp715 +g9 +g693 +sg29 +g30 +((lp716 +tp717 +Rp718 +sbsS'Preproc' +p719 +g1 +(g2 +g3 +(g690 +g719 +tp720 +tp721 +Rp722 +(dp723 +g9 +g693 +sg29 +g30 +((lp724 +tp725 +Rp726 +sbsg214 +g1 +(g2 +g3 +(g690 +g214 +tp727 +tp728 +Rp729 +(dp730 +g9 +g693 +sg29 +g30 +((lp731 +tp732 +Rp733 +sbsS'Directive' +p734 +g1 +(g2 +g3 +(g690 +g734 +tp735 +tp736 +Rp737 +(dp738 +g9 +g693 +sg29 +g30 +((lp739 +tp740 +Rp741 +sbsg206 +g1 +(g2 +g3 +(g690 +g206 +tp742 +tp743 +Rp744 +(dp745 +g9 +g693 +sg29 +g30 +((lp746 +tp747 +Rp748 +sbsS'Singleline' +p749 +g1 +(g2 +g3 +(g690 +g749 +tp750 +tp751 +Rp752 +(dp753 +g9 +g693 +sg29 +g30 +((lp754 +tp755 +Rp756 +sbsS'Multiline' +p757 +g1 +(g2 +g3 +(g690 +g757 +tp758 +tp759 +Rp760 +(dp761 +g9 +g693 +sg29 +g30 +((lp762 +tp763 +Rp764 +sbsg29 +g30 +((lp765 +g744 +ag737 +ag714 +ag698 +ag752 +ag722 +ag760 +ag729 +ag1 +(g2 +g3 +(g690 +S'PreprocFile' +p766 +tp767 +tp768 +Rp769 +(dp770 +g9 +g693 +sg29 +g30 +((lp771 +tp772 +Rp773 +sbag1 +(g2 +g3 +(g690 +S'SingleLine' +p774 +tp775 +tp776 +Rp777 +(dp778 +g9 +g693 +sg29 +g30 +((lp779 +tp780 +Rp781 +sbag706 +atp782 +Rp783 +sg766 +g769 +sg774 +g777 +sbsg14 +g39 +sg57 +g1 +(g2 +g3 +(g57 +tp784 +tp785 +Rp786 +(dp787 +g9 +g11 +sg29 +g30 +((lp788 +tp789 +Rp790 +sbsg370 +g1 +(g2 +g3 +(g370 +tp791 +tp792 +Rp793 +(dp794 +g9 +g11 +sg29 +g30 +((lp795 +tp796 +Rp797 +sbsS'Token' +p798 +g11 +sg197 +g1 +(g2 +g3 +(g197 +tp799 +tp800 +Rp801 +(dp802 +g9 +g11 +sg29 +g30 +((lp803 +tp804 +Rp805 +sbsg29 +g30 +((lp806 +g423 +ag786 +ag1 +(g2 +g3 +(S'Keyword' +p807 +tp808 +tp809 +Rp810 +(dp811 +g9 +g11 +sg526 +g1 +(g2 +g3 +(g807 +g526 +tp812 +tp813 +Rp814 +(dp815 +g9 +g810 +sg29 +g30 +((lp816 +tp817 +Rp818 +sbsS'Control' +p819 +g1 +(g2 +g3 +(g807 +g819 +tp820 +tp821 +Rp822 +(dp823 +g9 +g810 +sg29 +g30 +((lp824 +tp825 +Rp826 +sbsg550 +g1 +(g2 +g3 +(g807 +g550 +tp827 +tp828 +Rp829 +(dp830 +g9 +g810 +sg29 +g30 +((lp831 +tp832 +Rp833 +sbsg605 +g1 +(g2 +g3 +(g807 +g605 +tp834 +tp835 +Rp836 +(dp837 +g9 +g810 +sg29 +g30 +((lp838 +tp839 +Rp840 +sbsS'PreProc' +p841 +g1 +(g2 +g3 +(g807 +g841 +tp842 +tp843 +Rp844 +(dp845 +g9 +g810 +sg29 +g30 +((lp846 +tp847 +Rp848 +sbsg518 +g1 +(g2 +g3 +(g807 +g518 +tp849 +tp850 +Rp851 +(dp852 +g9 +g810 +sg29 +g30 +((lp853 +tp854 +Rp855 +sbsS'Reserved' +p856 +g1 +(g2 +g3 +(g807 +g856 +tp857 +tp858 +Rp859 +(dp860 +g9 +g810 +sg29 +g30 +((lp861 +tp862 +Rp863 +sbsg29 +g30 +((lp864 +g836 +ag1 +(g2 +g3 +(g807 +g411 +tp865 +tp866 +Rp867 +(dp868 +g9 +g810 +sg29 +g30 +((lp869 +tp870 +Rp871 +sbag822 +ag1 +(g2 +g3 +(g807 +S'Declaration' +p872 +tp873 +tp874 +Rp875 +(dp876 +g9 +g810 +sg29 +g30 +((lp877 +tp878 +Rp879 +sbag1 +(g2 +g3 +(g807 +g807 +tp880 +tp881 +Rp882 +(dp883 +g9 +g810 +sg29 +g30 +((lp884 +tp885 +Rp886 +sbag851 +ag829 +ag814 +ag859 +ag844 +atp887 +Rp888 +sg807 +g882 +sg872 +g875 +sg411 +g867 +sbag312 +ag1 +(g2 +g3 +(S'Text' +p889 +tp890 +tp891 +Rp892 +(dp893 +S'Beer' +p894 +g1 +(g2 +g3 +(g889 +g894 +tp895 +tp896 +Rp897 +(dp898 +g9 +g892 +sg29 +g30 +((lp899 +tp900 +Rp901 +sbsS'Whitespace' +p902 +g1 +(g2 +g3 +(g889 +g902 +tp903 +tp904 +Rp905 +(dp906 +g9 +g892 +sg29 +g30 +((lp907 +tp908 +Rp909 +sbsg9 +g11 +sS'Root' +p910 +g1 +(g2 +g3 +(g889 +g910 +tp911 +tp912 +Rp913 +(dp914 +g9 +g892 +sg29 +g30 +((lp915 +tp916 +Rp917 +sbsg101 +g1 +(g2 +g3 +(g889 +g101 +tp918 +tp919 +Rp920 +(dp921 +g9 +g892 +sg29 +g30 +((lp922 +tp923 +Rp924 +sbsg4 +g1 +(g2 +g3 +(g889 +g4 +tp925 +tp926 +Rp927 +(dp928 +g9 +g892 +sg29 +g30 +((lp929 +tp930 +Rp931 +sbsg29 +g30 +((lp932 +g913 +ag920 +ag927 +ag905 +ag897 +ag1 +(g2 +g3 +(g889 +S'Rag' +p933 +tp934 +tp935 +Rp936 +(dp937 +g9 +g892 +sg29 +g30 +((lp938 +tp939 +Rp940 +sbatp941 +Rp942 +sg933 +g936 +sbag400 +ag801 +ag7 +ag693 +ag793 +ag39 +atp943 +Rp944 +sg807 +g810 +sg889 +g892 +sbsg29 +g30 +((lp945 +g1 +(g2 +g3 +(g4 +S'Indicator' +p946 +tp947 +tp948 +Rp949 +(dp950 +g9 +g7 +sg29 +g30 +((lp951 +tp952 +Rp953 +sbatp954 +Rp955 +sg946 +g949 +sbV( +p956 +tp957 +a(g810 +Vherald +p958 +tp959 +a(g892 +V +p960 +tp961 +a(g76 +V"Yahalom Protocol with Forwarding Removed" +p962 +tp963 +a(g7 +V) +p964 +tp965 +a(g892 +V\u000a\u000a +p966 +tp967 +a(g7 +g956 +tp968 +a(g810 +Vdefprotocol +p969 +tp970 +a(g892 +g960 +tp971 +a(g428 +Vyahalom +p972 +tp973 +a(g892 +g960 +tp974 +a(g428 +Vbasic +p975 +tp976 +a(g892 +V\u000a +p977 +tp978 +a(g7 +g956 +tp979 +a(g810 +Vdefrole +p980 +tp981 +a(g892 +g960 +tp982 +a(g428 +Vinit +p983 +tp984 +a(g892 +V\u000a +p985 +tp986 +a(g7 +g956 +tp987 +a(g810 +Vvars +p988 +tp989 +a(g892 +g960 +tp990 +a(g7 +g956 +tp991 +a(g561 +Va +p992 +tp993 +a(g892 +g960 +tp994 +a(g428 +Vb +p995 +tp996 +a(g892 +g960 +tp997 +a(g428 +Vc +p998 +tp999 +a(g892 +g960 +tp1000 +a(g810 +Vname +p1001 +tp1002 +a(g7 +g964 +tp1003 +a(g892 +g960 +tp1004 +a(g7 +g956 +tp1005 +a(g561 +Vn-a +p1006 +tp1007 +a(g892 +g960 +tp1008 +a(g428 +Vn-b +p1009 +tp1010 +a(g892 +g960 +tp1011 +a(g810 +Vtext +p1012 +tp1013 +a(g7 +g964 +tp1014 +a(g892 +g960 +tp1015 +a(g7 +g956 +tp1016 +a(g561 +Vk +p1017 +tp1018 +a(g892 +g960 +tp1019 +a(g810 +Vskey +p1020 +tp1021 +a(g7 +g964 +tp1022 +a(g7 +g964 +tp1023 +a(g892 +V\u000a +p1024 +tp1025 +a(g7 +g956 +tp1026 +a(g810 +Vtrace +p1027 +tp1028 +a(g892 +g960 +tp1029 +a(g7 +g956 +tp1030 +a(g810 +Vsend +p1031 +tp1032 +a(g892 +g960 +tp1033 +a(g7 +g956 +tp1034 +a(g669 +Vcat +p1035 +tp1036 +a(g892 +g960 +tp1037 +a(g428 +g992 +tp1038 +a(g892 +g960 +tp1039 +a(g428 +Vn-a +p1040 +tp1041 +a(g7 +g964 +tp1042 +a(g7 +g964 +tp1043 +a(g892 +V\u000a +p1044 +tp1045 +a(g7 +g956 +tp1046 +a(g810 +Vrecv +p1047 +tp1048 +a(g892 +g960 +tp1049 +a(g7 +g956 +tp1050 +a(g669 +Venc +p1051 +tp1052 +a(g892 +g960 +tp1053 +a(g428 +g995 +tp1054 +a(g892 +g960 +tp1055 +a(g428 +g1017 +tp1056 +a(g892 +g960 +tp1057 +a(g428 +Vn-a +p1058 +tp1059 +a(g892 +g960 +tp1060 +a(g428 +Vn-b +p1061 +tp1062 +a(g892 +g960 +tp1063 +a(g7 +g956 +tp1064 +a(g669 +Vltk +p1065 +tp1066 +a(g892 +g960 +tp1067 +a(g428 +g992 +tp1068 +a(g892 +g960 +tp1069 +a(g428 +g998 +tp1070 +a(g7 +g964 +tp1071 +a(g7 +g964 +tp1072 +a(g7 +g964 +tp1073 +a(g892 +V\u000a +p1074 +tp1075 +a(g7 +g956 +tp1076 +a(g810 +Vsend +p1077 +tp1078 +a(g892 +g960 +tp1079 +a(g7 +g956 +tp1080 +a(g669 +Venc +p1081 +tp1082 +a(g892 +g960 +tp1083 +a(g428 +Vn-b +p1084 +tp1085 +a(g892 +g960 +tp1086 +a(g428 +g1017 +tp1087 +a(g7 +g964 +tp1088 +a(g7 +g964 +tp1089 +a(g7 +g964 +tp1090 +a(g7 +g964 +tp1091 +a(g892 +V\u000a +p1092 +tp1093 +a(g7 +g956 +tp1094 +a(g810 +Vdefrole +p1095 +tp1096 +a(g892 +g960 +tp1097 +a(g428 +Vresp +p1098 +tp1099 +a(g892 +V\u000a +p1100 +tp1101 +a(g7 +g956 +tp1102 +a(g810 +Vvars +p1103 +tp1104 +a(g892 +g960 +tp1105 +a(g7 +g956 +tp1106 +a(g561 +g995 +tp1107 +a(g892 +g960 +tp1108 +a(g428 +g992 +tp1109 +a(g892 +g960 +tp1110 +a(g428 +g998 +tp1111 +a(g892 +g960 +tp1112 +a(g810 +Vname +p1113 +tp1114 +a(g7 +g964 +tp1115 +a(g892 +g960 +tp1116 +a(g7 +g956 +tp1117 +a(g561 +Vn-a +p1118 +tp1119 +a(g892 +g960 +tp1120 +a(g428 +Vn-b +p1121 +tp1122 +a(g892 +g960 +tp1123 +a(g810 +Vtext +p1124 +tp1125 +a(g7 +g964 +tp1126 +a(g892 +g960 +tp1127 +a(g7 +g956 +tp1128 +a(g561 +g1017 +tp1129 +a(g892 +g960 +tp1130 +a(g810 +Vskey +p1131 +tp1132 +a(g7 +g964 +tp1133 +a(g7 +g964 +tp1134 +a(g892 +V\u000a +p1135 +tp1136 +a(g7 +g956 +tp1137 +a(g810 +Vtrace +p1138 +tp1139 +a(g892 +g960 +tp1140 +a(g7 +g956 +tp1141 +a(g810 +Vrecv +p1142 +tp1143 +a(g892 +g960 +tp1144 +a(g7 +g956 +tp1145 +a(g669 +Vcat +p1146 +tp1147 +a(g892 +g960 +tp1148 +a(g428 +g992 +tp1149 +a(g892 +g960 +tp1150 +a(g428 +Vn-a +p1151 +tp1152 +a(g7 +g964 +tp1153 +a(g7 +g964 +tp1154 +a(g892 +V\u000a +p1155 +tp1156 +a(g7 +g956 +tp1157 +a(g810 +Vsend +p1158 +tp1159 +a(g892 +g960 +tp1160 +a(g7 +g956 +tp1161 +a(g669 +Vcat +p1162 +tp1163 +a(g892 +g960 +tp1164 +a(g428 +g995 +tp1165 +a(g892 +g960 +tp1166 +a(g7 +g956 +tp1167 +a(g669 +Venc +p1168 +tp1169 +a(g892 +g960 +tp1170 +a(g428 +g992 +tp1171 +a(g892 +g960 +tp1172 +a(g428 +Vn-a +p1173 +tp1174 +a(g892 +g960 +tp1175 +a(g428 +Vn-b +p1176 +tp1177 +a(g892 +g960 +tp1178 +a(g7 +g956 +tp1179 +a(g669 +Vltk +p1180 +tp1181 +a(g892 +g960 +tp1182 +a(g428 +g995 +tp1183 +a(g892 +g960 +tp1184 +a(g428 +g998 +tp1185 +a(g7 +g964 +tp1186 +a(g7 +g964 +tp1187 +a(g7 +g964 +tp1188 +a(g7 +g964 +tp1189 +a(g892 +V\u000a +p1190 +tp1191 +a(g7 +g956 +tp1192 +a(g810 +Vrecv +p1193 +tp1194 +a(g892 +g960 +tp1195 +a(g7 +g956 +tp1196 +a(g669 +Venc +p1197 +tp1198 +a(g892 +g960 +tp1199 +a(g428 +g992 +tp1200 +a(g892 +g960 +tp1201 +a(g428 +g1017 +tp1202 +a(g892 +g960 +tp1203 +a(g7 +g956 +tp1204 +a(g669 +Vltk +p1205 +tp1206 +a(g892 +g960 +tp1207 +a(g428 +g995 +tp1208 +a(g892 +g960 +tp1209 +a(g428 +g998 +tp1210 +a(g7 +g964 +tp1211 +a(g7 +g964 +tp1212 +a(g7 +g964 +tp1213 +a(g892 +V\u000a +p1214 +tp1215 +a(g7 +g956 +tp1216 +a(g810 +Vrecv +p1217 +tp1218 +a(g892 +g960 +tp1219 +a(g7 +g956 +tp1220 +a(g669 +Venc +p1221 +tp1222 +a(g892 +g960 +tp1223 +a(g428 +Vn-b +p1224 +tp1225 +a(g892 +g960 +tp1226 +a(g428 +g1017 +tp1227 +a(g7 +g964 +tp1228 +a(g7 +g964 +tp1229 +a(g7 +g964 +tp1230 +a(g7 +g964 +tp1231 +a(g892 +V\u000a +p1232 +tp1233 +a(g7 +g956 +tp1234 +a(g810 +Vdefrole +p1235 +tp1236 +a(g892 +g960 +tp1237 +a(g428 +Vserv +p1238 +tp1239 +a(g892 +V\u000a +p1240 +tp1241 +a(g7 +g956 +tp1242 +a(g810 +Vvars +p1243 +tp1244 +a(g892 +g960 +tp1245 +a(g7 +g956 +tp1246 +a(g561 +g998 +tp1247 +a(g892 +g960 +tp1248 +a(g428 +g992 +tp1249 +a(g892 +g960 +tp1250 +a(g428 +g995 +tp1251 +a(g892 +g960 +tp1252 +a(g810 +Vname +p1253 +tp1254 +a(g7 +g964 +tp1255 +a(g892 +g960 +tp1256 +a(g7 +g956 +tp1257 +a(g561 +Vn-a +p1258 +tp1259 +a(g892 +g960 +tp1260 +a(g428 +Vn-b +p1261 +tp1262 +a(g892 +g960 +tp1263 +a(g810 +Vtext +p1264 +tp1265 +a(g7 +g964 +tp1266 +a(g892 +g960 +tp1267 +a(g7 +g956 +tp1268 +a(g561 +g1017 +tp1269 +a(g892 +g960 +tp1270 +a(g810 +Vskey +p1271 +tp1272 +a(g7 +g964 +tp1273 +a(g7 +g964 +tp1274 +a(g892 +V\u000a +p1275 +tp1276 +a(g7 +g956 +tp1277 +a(g810 +Vtrace +p1278 +tp1279 +a(g892 +g960 +tp1280 +a(g7 +g956 +tp1281 +a(g810 +Vrecv +p1282 +tp1283 +a(g892 +g960 +tp1284 +a(g7 +g956 +tp1285 +a(g669 +Vcat +p1286 +tp1287 +a(g892 +g960 +tp1288 +a(g428 +g995 +tp1289 +a(g892 +g960 +tp1290 +a(g7 +g956 +tp1291 +a(g669 +Venc +p1292 +tp1293 +a(g892 +g960 +tp1294 +a(g428 +g992 +tp1295 +a(g892 +g960 +tp1296 +a(g428 +Vn-a +p1297 +tp1298 +a(g892 +g960 +tp1299 +a(g428 +Vn-b +p1300 +tp1301 +a(g892 +g960 +tp1302 +a(g7 +g956 +tp1303 +a(g669 +Vltk +p1304 +tp1305 +a(g892 +g960 +tp1306 +a(g428 +g995 +tp1307 +a(g892 +g960 +tp1308 +a(g428 +g998 +tp1309 +a(g7 +g964 +tp1310 +a(g7 +g964 +tp1311 +a(g7 +g964 +tp1312 +a(g7 +g964 +tp1313 +a(g892 +V\u000a +p1314 +tp1315 +a(g7 +g956 +tp1316 +a(g810 +Vsend +p1317 +tp1318 +a(g892 +g960 +tp1319 +a(g7 +g956 +tp1320 +a(g669 +Venc +p1321 +tp1322 +a(g892 +g960 +tp1323 +a(g428 +g995 +tp1324 +a(g892 +g960 +tp1325 +a(g428 +g1017 +tp1326 +a(g892 +g960 +tp1327 +a(g428 +Vn-a +p1328 +tp1329 +a(g892 +g960 +tp1330 +a(g428 +Vn-b +p1331 +tp1332 +a(g892 +g960 +tp1333 +a(g7 +g956 +tp1334 +a(g669 +Vltk +p1335 +tp1336 +a(g892 +g960 +tp1337 +a(g428 +g992 +tp1338 +a(g892 +g960 +tp1339 +a(g428 +g998 +tp1340 +a(g7 +g964 +tp1341 +a(g7 +g964 +tp1342 +a(g7 +g964 +tp1343 +a(g892 +V\u000a +p1344 +tp1345 +a(g7 +g956 +tp1346 +a(g810 +Vsend +p1347 +tp1348 +a(g892 +g960 +tp1349 +a(g7 +g956 +tp1350 +a(g669 +Venc +p1351 +tp1352 +a(g892 +g960 +tp1353 +a(g428 +g992 +tp1354 +a(g892 +g960 +tp1355 +a(g428 +g1017 +tp1356 +a(g892 +g960 +tp1357 +a(g7 +g956 +tp1358 +a(g669 +Vltk +p1359 +tp1360 +a(g892 +g960 +tp1361 +a(g428 +g995 +tp1362 +a(g892 +g960 +tp1363 +a(g428 +g998 +tp1364 +a(g7 +g964 +tp1365 +a(g7 +g964 +tp1366 +a(g7 +g964 +tp1367 +a(g7 +g964 +tp1368 +a(g892 +V\u000a +p1369 +tp1370 +a(g7 +g956 +tp1371 +a(g810 +Vuniq-orig +p1372 +tp1373 +a(g892 +g960 +tp1374 +a(g428 +g1017 +tp1375 +a(g7 +g964 +tp1376 +a(g7 +g964 +tp1377 +a(g7 +g964 +tp1378 +a(g892 +V\u000a\u000a +p1379 +tp1380 +a(g7 +g956 +tp1381 +a(g810 +Vdefskeleton +p1382 +tp1383 +a(g892 +g960 +tp1384 +a(g428 +Vyahalom +p1385 +tp1386 +a(g892 +V\u000a +p1387 +tp1388 +a(g7 +g956 +tp1389 +a(g810 +Vvars +p1390 +tp1391 +a(g892 +g960 +tp1392 +a(g7 +g956 +tp1393 +a(g561 +g992 +tp1394 +a(g892 +g960 +tp1395 +a(g428 +g995 +tp1396 +a(g892 +g960 +tp1397 +a(g428 +g998 +tp1398 +a(g892 +g960 +tp1399 +a(g810 +Vname +p1400 +tp1401 +a(g7 +g964 +tp1402 +a(g892 +g960 +tp1403 +a(g7 +g956 +tp1404 +a(g561 +Vn-b +p1405 +tp1406 +a(g892 +g960 +tp1407 +a(g810 +Vtext +p1408 +tp1409 +a(g7 +g964 +tp1410 +a(g7 +g964 +tp1411 +a(g892 +V\u000a +p1412 +tp1413 +a(g7 +g956 +tp1414 +a(g810 +Vdefstrand +p1415 +tp1416 +a(g892 +g960 +tp1417 +a(g428 +Vresp +p1418 +tp1419 +a(g892 +g960 +tp1420 +a(g22 +V4 +p1421 +tp1422 +a(g892 +g960 +tp1423 +a(g7 +g956 +tp1424 +a(g561 +g992 +tp1425 +a(g892 +g960 +tp1426 +a(g428 +g992 +tp1427 +a(g7 +g964 +tp1428 +a(g892 +g960 +tp1429 +a(g7 +g956 +tp1430 +a(g561 +g995 +tp1431 +a(g892 +g960 +tp1432 +a(g428 +g995 +tp1433 +a(g7 +g964 +tp1434 +a(g892 +g960 +tp1435 +a(g7 +g956 +tp1436 +a(g561 +g998 +tp1437 +a(g892 +g960 +tp1438 +a(g428 +g998 +tp1439 +a(g7 +g964 +tp1440 +a(g892 +g960 +tp1441 +a(g7 +g956 +tp1442 +a(g561 +Vn-b +p1443 +tp1444 +a(g892 +g960 +tp1445 +a(g428 +Vn-b +p1446 +tp1447 +a(g7 +g964 +tp1448 +a(g7 +g964 +tp1449 +a(g892 +V\u000a +p1450 +tp1451 +a(g7 +g956 +tp1452 +a(g810 +Vnon-orig +p1453 +tp1454 +a(g892 +g960 +tp1455 +a(g7 +g956 +tp1456 +a(g669 +Vltk +p1457 +tp1458 +a(g892 +g960 +tp1459 +a(g428 +g995 +tp1460 +a(g892 +g960 +tp1461 +a(g428 +g998 +tp1462 +a(g7 +g964 +tp1463 +a(g892 +g960 +tp1464 +a(g7 +g956 +tp1465 +a(g669 +Vltk +p1466 +tp1467 +a(g892 +g960 +tp1468 +a(g428 +g992 +tp1469 +a(g892 +g960 +tp1470 +a(g428 +g998 +tp1471 +a(g7 +g964 +tp1472 +a(g7 +g964 +tp1473 +a(g892 +V\u000a +p1474 +tp1475 +a(g7 +g956 +tp1476 +a(g810 +Vuniq-orig +p1477 +tp1478 +a(g892 +g960 +tp1479 +a(g428 +Vn-b +p1480 +tp1481 +a(g7 +g964 +tp1482 +a(g7 +g964 +tp1483 +a(g892 +V\u000a\u000a +p1484 +tp1485 +a(g729 +V;;; Ensure encryption key remains secret. +p1486 +tp1487 +a(g892 +V\u000a +p1488 +tp1489 +a(g7 +g956 +tp1490 +a(g810 +Vdefskeleton +p1491 +tp1492 +a(g892 +g960 +tp1493 +a(g428 +Vyahalom +p1494 +tp1495 +a(g892 +V\u000a +p1496 +tp1497 +a(g7 +g956 +tp1498 +a(g810 +Vvars +p1499 +tp1500 +a(g892 +g960 +tp1501 +a(g7 +g956 +tp1502 +a(g561 +g992 +tp1503 +a(g892 +g960 +tp1504 +a(g428 +g995 +tp1505 +a(g892 +g960 +tp1506 +a(g428 +g998 +tp1507 +a(g892 +g960 +tp1508 +a(g810 +Vname +p1509 +tp1510 +a(g7 +g964 +tp1511 +a(g892 +g960 +tp1512 +a(g7 +g956 +tp1513 +a(g561 +Vn-b +p1514 +tp1515 +a(g892 +g960 +tp1516 +a(g810 +Vtext +p1517 +tp1518 +a(g7 +g964 +tp1519 +a(g892 +g960 +tp1520 +a(g7 +g956 +tp1521 +a(g561 +g1017 +tp1522 +a(g892 +g960 +tp1523 +a(g810 +Vskey +p1524 +tp1525 +a(g7 +g964 +tp1526 +a(g7 +g964 +tp1527 +a(g892 +V\u000a +p1528 +tp1529 +a(g7 +g956 +tp1530 +a(g810 +Vdefstrand +p1531 +tp1532 +a(g892 +g960 +tp1533 +a(g428 +Vresp +p1534 +tp1535 +a(g892 +g960 +tp1536 +a(g22 +g1421 +tp1537 +a(g892 +g960 +tp1538 +a(g7 +g956 +tp1539 +a(g561 +g992 +tp1540 +a(g892 +g960 +tp1541 +a(g428 +g992 +tp1542 +a(g7 +g964 +tp1543 +a(g892 +g960 +tp1544 +a(g7 +g956 +tp1545 +a(g561 +g995 +tp1546 +a(g892 +g960 +tp1547 +a(g428 +g995 +tp1548 +a(g7 +g964 +tp1549 +a(g892 +g960 +tp1550 +a(g7 +g956 +tp1551 +a(g561 +g998 +tp1552 +a(g892 +g960 +tp1553 +a(g428 +g998 +tp1554 +a(g7 +g964 +tp1555 +a(g892 +g960 +tp1556 +a(g7 +g956 +tp1557 +a(g561 +Vn-b +p1558 +tp1559 +a(g892 +g960 +tp1560 +a(g428 +Vn-b +p1561 +tp1562 +a(g7 +g964 +tp1563 +a(g892 +g960 +tp1564 +a(g7 +g956 +tp1565 +a(g561 +g1017 +tp1566 +a(g892 +g960 +tp1567 +a(g428 +g1017 +tp1568 +a(g7 +g964 +tp1569 +a(g7 +g964 +tp1570 +a(g892 +V\u000a +p1571 +tp1572 +a(g7 +g956 +tp1573 +a(g810 +Vdeflistener +p1574 +tp1575 +a(g892 +g960 +tp1576 +a(g428 +g1017 +tp1577 +a(g7 +g964 +tp1578 +a(g892 +V\u000a +p1579 +tp1580 +a(g7 +g956 +tp1581 +a(g810 +Vnon-orig +p1582 +tp1583 +a(g892 +g960 +tp1584 +a(g7 +g956 +tp1585 +a(g669 +Vltk +p1586 +tp1587 +a(g892 +g960 +tp1588 +a(g428 +g995 +tp1589 +a(g892 +g960 +tp1590 +a(g428 +g998 +tp1591 +a(g7 +g964 +tp1592 +a(g892 +g960 +tp1593 +a(g7 +g956 +tp1594 +a(g669 +Vltk +p1595 +tp1596 +a(g892 +g960 +tp1597 +a(g428 +g992 +tp1598 +a(g892 +g960 +tp1599 +a(g428 +g998 +tp1600 +a(g7 +g964 +tp1601 +a(g7 +g964 +tp1602 +a(g892 +V\u000a +p1603 +tp1604 +a(g7 +g956 +tp1605 +a(g810 +Vuniq-orig +p1606 +tp1607 +a(g892 +g960 +tp1608 +a(g428 +Vn-b +p1609 +tp1610 +a(g7 +g964 +tp1611 +a(g7 +g964 +tp1612 +a(g892 +V\u000a +p1613 +tp1614 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/zmlrpc.f90 b/tests/examplefiles/output/zmlrpc.f90 new file mode 100644 index 0000000..2b3adf9 --- /dev/null +++ b/tests/examplefiles/output/zmlrpc.f90 @@ -0,0 +1,24042 @@ +(lp0 +(ccopy_reg +_reconstructor +p1 +(cpygments.token +_TokenType +p2 +c__builtin__ +tuple +p3 +(S'Comment' +p4 +tp5 +tp6 +Rp7 +(dp8 +S'Multi' +p9 +g1 +(g2 +g3 +(g4 +g9 +tp10 +tp11 +Rp12 +(dp13 +S'parent' +p14 +g7 +sS'subtypes' +p15 +c__builtin__ +set +p16 +((lp17 +tp18 +Rp19 +sbsg14 +g1 +(g2 +g3 +(ttp20 +Rp21 +(dp22 +S'Number' +p23 +g1 +(g2 +g3 +(S'Literal' +p24 +g23 +tp25 +tp26 +Rp27 +(dp28 +S'Integer' +p29 +g1 +(g2 +g3 +(g24 +g23 +g29 +tp30 +tp31 +Rp32 +(dp33 +g14 +g27 +sS'Long' +p34 +g1 +(g2 +g3 +(g24 +g23 +g29 +g34 +tp35 +tp36 +Rp37 +(dp38 +g14 +g32 +sg15 +g16 +((lp39 +tp40 +Rp41 +sbsg15 +g16 +((lp42 +g37 +atp43 +Rp44 +sbsg14 +g1 +(g2 +g3 +(g24 +tp45 +tp46 +Rp47 +(dp48 +S'Scalar' +p49 +g1 +(g2 +g3 +(g24 +g49 +tp50 +tp51 +Rp52 +(dp53 +g14 +g47 +sg15 +g16 +((lp54 +g1 +(g2 +g3 +(g24 +g49 +S'Plain' +p55 +tp56 +tp57 +Rp58 +(dp59 +g14 +g52 +sg15 +g16 +((lp60 +tp61 +Rp62 +sbatp63 +Rp64 +sg55 +g58 +sbsg23 +g27 +sg14 +g21 +sS'Other' +p65 +g1 +(g2 +g3 +(g24 +g65 +tp66 +tp67 +Rp68 +(dp69 +g14 +g47 +sg15 +g16 +((lp70 +tp71 +Rp72 +sbsS'Char' +p73 +g1 +(g2 +g3 +(g24 +g73 +tp74 +tp75 +Rp76 +(dp77 +g14 +g47 +sg15 +g16 +((lp78 +tp79 +Rp80 +sbsS'String' +p81 +g1 +(g2 +g3 +(g24 +g81 +tp82 +tp83 +Rp84 +(dp85 +g73 +g1 +(g2 +g3 +(g24 +g81 +g73 +tp86 +tp87 +Rp88 +(dp89 +g14 +g84 +sg15 +g16 +((lp90 +tp91 +Rp92 +sbsS'Backtick' +p93 +g1 +(g2 +g3 +(g24 +g81 +g93 +tp94 +tp95 +Rp96 +(dp97 +g14 +g84 +sg15 +g16 +((lp98 +tp99 +Rp100 +sbsS'Heredoc' +p101 +g1 +(g2 +g3 +(g24 +g81 +g101 +tp102 +tp103 +Rp104 +(dp105 +g14 +g84 +sg15 +g16 +((lp106 +tp107 +Rp108 +sbsS'Symbol' +p109 +g1 +(g2 +g3 +(g24 +g81 +g109 +tp110 +tp111 +Rp112 +(dp113 +g14 +g84 +sg15 +g16 +((lp114 +tp115 +Rp116 +sbsS'Interpol' +p117 +g1 +(g2 +g3 +(g24 +g81 +g117 +tp118 +tp119 +Rp120 +(dp121 +g14 +g84 +sg15 +g16 +((lp122 +tp123 +Rp124 +sbsS'Delimiter' +p125 +g1 +(g2 +g3 +(g24 +g81 +g125 +tp126 +tp127 +Rp128 +(dp129 +g14 +g84 +sg15 +g16 +((lp130 +tp131 +Rp132 +sbsS'Boolean' +p133 +g1 +(g2 +g3 +(g24 +g81 +g133 +tp134 +tp135 +Rp136 +(dp137 +g14 +g84 +sg15 +g16 +((lp138 +tp139 +Rp140 +sbsS'Character' +p141 +g1 +(g2 +g3 +(g24 +g81 +g141 +tp142 +tp143 +Rp144 +(dp145 +g14 +g84 +sg15 +g16 +((lp146 +tp147 +Rp148 +sbsS'Double' +p149 +g1 +(g2 +g3 +(g24 +g81 +g149 +tp150 +tp151 +Rp152 +(dp153 +g14 +g84 +sg15 +g16 +((lp154 +tp155 +Rp156 +sbsS'Delimeter' +p157 +g1 +(g2 +g3 +(g24 +g81 +g157 +tp158 +tp159 +Rp160 +(dp161 +g14 +g84 +sg15 +g16 +((lp162 +tp163 +Rp164 +sbsS'Atom' +p165 +g1 +(g2 +g3 +(g24 +g81 +g165 +tp166 +tp167 +Rp168 +(dp169 +g14 +g84 +sg15 +g16 +((lp170 +tp171 +Rp172 +sbsS'Affix' +p173 +g1 +(g2 +g3 +(g24 +g81 +g173 +tp174 +tp175 +Rp176 +(dp177 +g14 +g84 +sg15 +g16 +((lp178 +tp179 +Rp180 +sbsS'Name' +p181 +g1 +(g2 +g3 +(g24 +g81 +g181 +tp182 +tp183 +Rp184 +(dp185 +g14 +g84 +sg15 +g16 +((lp186 +tp187 +Rp188 +sbsS'Regex' +p189 +g1 +(g2 +g3 +(g24 +g81 +g189 +tp190 +tp191 +Rp192 +(dp193 +g14 +g84 +sg15 +g16 +((lp194 +tp195 +Rp196 +sbsS'Interp' +p197 +g1 +(g2 +g3 +(g24 +g81 +g197 +tp198 +tp199 +Rp200 +(dp201 +g14 +g84 +sg15 +g16 +((lp202 +tp203 +Rp204 +sbsS'Escape' +p205 +g1 +(g2 +g3 +(g24 +g81 +g205 +tp206 +tp207 +Rp208 +(dp209 +g14 +g84 +sg15 +g16 +((lp210 +tp211 +Rp212 +sbsg15 +g16 +((lp213 +g128 +ag112 +ag192 +ag1 +(g2 +g3 +(g24 +g81 +S'Doc' +p214 +tp215 +tp216 +Rp217 +(dp218 +g14 +g84 +sg15 +g16 +((lp219 +tp220 +Rp221 +sbag144 +ag136 +ag152 +ag120 +ag168 +ag160 +ag184 +ag208 +ag1 +(g2 +g3 +(g24 +g81 +S'Single' +p222 +tp223 +tp224 +Rp225 +(dp226 +g14 +g84 +sg15 +g16 +((lp227 +tp228 +Rp229 +sbag1 +(g2 +g3 +(g24 +g81 +g65 +tp230 +tp231 +Rp232 +(dp233 +g14 +g84 +sg15 +g16 +((lp234 +tp235 +Rp236 +sbag200 +ag96 +ag176 +ag1 +(g2 +g3 +(g24 +g81 +S'Moment' +p237 +tp238 +tp239 +Rp240 +(dp241 +g14 +g84 +sg15 +g16 +((lp242 +tp243 +Rp244 +sbag88 +ag104 +atp245 +Rp246 +sg222 +g225 +sg237 +g240 +sg14 +g47 +sg65 +g232 +sg214 +g217 +sbsg15 +g16 +((lp247 +g84 +ag76 +ag68 +ag1 +(g2 +g3 +(g24 +S'Date' +p248 +tp249 +tp250 +Rp251 +(dp252 +g14 +g47 +sg15 +g16 +((lp253 +tp254 +Rp255 +sbag52 +ag27 +atp256 +Rp257 +sg248 +g251 +sbsS'Bin' +p258 +g1 +(g2 +g3 +(g24 +g23 +g258 +tp259 +tp260 +Rp261 +(dp262 +g14 +g27 +sg15 +g16 +((lp263 +tp264 +Rp265 +sbsS'Radix' +p266 +g1 +(g2 +g3 +(g24 +g23 +g266 +tp267 +tp268 +Rp269 +(dp270 +g14 +g27 +sg15 +g16 +((lp271 +tp272 +Rp273 +sbsS'Oct' +p274 +g1 +(g2 +g3 +(g24 +g23 +g274 +tp275 +tp276 +Rp277 +(dp278 +g14 +g27 +sg15 +g16 +((lp279 +tp280 +Rp281 +sbsS'Dec' +p282 +g1 +(g2 +g3 +(g24 +g23 +g282 +tp283 +tp284 +Rp285 +(dp286 +g14 +g27 +sg15 +g16 +((lp287 +tp288 +Rp289 +sbsS'Hex' +p290 +g1 +(g2 +g3 +(g24 +g23 +g290 +tp291 +tp292 +Rp293 +(dp294 +g14 +g27 +sg15 +g16 +((lp295 +tp296 +Rp297 +sbsg15 +g16 +((lp298 +g32 +ag269 +ag285 +ag1 +(g2 +g3 +(g24 +g23 +S'Decimal' +p299 +tp300 +tp301 +Rp302 +(dp303 +g14 +g27 +sg15 +g16 +((lp304 +tp305 +Rp306 +sbag261 +ag1 +(g2 +g3 +(g24 +g23 +S'Float' +p307 +tp308 +tp309 +Rp310 +(dp311 +g14 +g27 +sg15 +g16 +((lp312 +tp313 +Rp314 +sbag277 +ag293 +atp315 +Rp316 +sg299 +g302 +sg307 +g310 +sbsS'Generic' +p317 +g1 +(g2 +g3 +(g317 +tp318 +tp319 +Rp320 +(dp321 +g14 +g21 +sS'Deleted' +p322 +g1 +(g2 +g3 +(g317 +g322 +tp323 +tp324 +Rp325 +(dp326 +g14 +g320 +sg15 +g16 +((lp327 +tp328 +Rp329 +sbsS'Subheading' +p330 +g1 +(g2 +g3 +(g317 +g330 +tp331 +tp332 +Rp333 +(dp334 +g14 +g320 +sg15 +g16 +((lp335 +tp336 +Rp337 +sbsS'Heading' +p338 +g1 +(g2 +g3 +(g317 +g338 +tp339 +tp340 +Rp341 +(dp342 +g14 +g320 +sg15 +g16 +((lp343 +tp344 +Rp345 +sbsS'Emph' +p346 +g1 +(g2 +g3 +(g317 +g346 +tp347 +tp348 +Rp349 +(dp350 +g14 +g320 +sg15 +g16 +((lp351 +tp352 +Rp353 +sbsS'Prompt' +p354 +g1 +(g2 +g3 +(g317 +g354 +tp355 +tp356 +Rp357 +(dp358 +g14 +g320 +sg15 +g16 +((lp359 +tp360 +Rp361 +sbsS'Inserted' +p362 +g1 +(g2 +g3 +(g317 +g362 +tp363 +tp364 +Rp365 +(dp366 +g14 +g320 +sg15 +g16 +((lp367 +tp368 +Rp369 +sbsS'Strong' +p370 +g1 +(g2 +g3 +(g317 +g370 +tp371 +tp372 +Rp373 +(dp374 +g14 +g320 +sg15 +g16 +((lp375 +tp376 +Rp377 +sbsS'Error' +p378 +g1 +(g2 +g3 +(g317 +g378 +tp379 +tp380 +Rp381 +(dp382 +g14 +g320 +sg15 +g16 +((lp383 +tp384 +Rp385 +sbsS'Traceback' +p386 +g1 +(g2 +g3 +(g317 +g386 +tp387 +tp388 +Rp389 +(dp390 +g14 +g320 +sg15 +g16 +((lp391 +tp392 +Rp393 +sbsg15 +g16 +((lp394 +g341 +ag333 +ag1 +(g2 +g3 +(g317 +S'Output' +p395 +tp396 +tp397 +Rp398 +(dp399 +g14 +g320 +sg15 +g16 +((lp400 +tp401 +Rp402 +sbag373 +ag349 +ag381 +ag389 +ag365 +ag357 +ag325 +atp403 +Rp404 +sg395 +g398 +sbsS'Operator' +p405 +g1 +(g2 +g3 +(g405 +tp406 +tp407 +Rp408 +(dp409 +g14 +g21 +sS'DBS' +p410 +g1 +(g2 +g3 +(g405 +g410 +tp411 +tp412 +Rp413 +(dp414 +g14 +g408 +sg15 +g16 +((lp415 +tp416 +Rp417 +sbsg15 +g16 +((lp418 +g413 +ag1 +(g2 +g3 +(g405 +S'Word' +p419 +tp420 +tp421 +Rp422 +(dp423 +g14 +g408 +sg15 +g16 +((lp424 +tp425 +Rp426 +sbatp427 +Rp428 +sg419 +g422 +sbsg81 +g84 +sg181 +g1 +(g2 +g3 +(g181 +tp429 +tp430 +Rp431 +(dp432 +S'Variable' +p433 +g1 +(g2 +g3 +(g181 +g433 +tp434 +tp435 +Rp436 +(dp437 +g14 +g431 +sS'Class' +p438 +g1 +(g2 +g3 +(g181 +g433 +g438 +tp439 +tp440 +Rp441 +(dp442 +g14 +g436 +sg15 +g16 +((lp443 +tp444 +Rp445 +sbsS'Anonymous' +p446 +g1 +(g2 +g3 +(g181 +g433 +g446 +tp447 +tp448 +Rp449 +(dp450 +g14 +g436 +sg15 +g16 +((lp451 +tp452 +Rp453 +sbsS'Instance' +p454 +g1 +(g2 +g3 +(g181 +g433 +g454 +tp455 +tp456 +Rp457 +(dp458 +g14 +g436 +sg15 +g16 +((lp459 +tp460 +Rp461 +sbsS'Global' +p462 +g1 +(g2 +g3 +(g181 +g433 +g462 +tp463 +tp464 +Rp465 +(dp466 +g14 +g436 +sg15 +g16 +((lp467 +tp468 +Rp469 +sbsg15 +g16 +((lp470 +g449 +ag457 +ag1 +(g2 +g3 +(g181 +g433 +S'Magic' +p471 +tp472 +tp473 +Rp474 +(dp475 +g14 +g436 +sg15 +g16 +((lp476 +tp477 +Rp478 +sbag465 +ag441 +atp479 +Rp480 +sg471 +g474 +sbsg405 +g1 +(g2 +g3 +(g181 +g405 +tp481 +tp482 +Rp483 +(dp484 +g14 +g431 +sg15 +g16 +((lp485 +tp486 +Rp487 +sbsS'Decorator' +p488 +g1 +(g2 +g3 +(g181 +g488 +tp489 +tp490 +Rp491 +(dp492 +g14 +g431 +sg15 +g16 +((lp493 +tp494 +Rp495 +sbsS'Entity' +p496 +g1 +(g2 +g3 +(g181 +g496 +tp497 +tp498 +Rp499 +(dp500 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g496 +g410 +tp501 +tp502 +Rp503 +(dp504 +g14 +g499 +sg15 +g16 +((lp505 +tp506 +Rp507 +sbsg15 +g16 +((lp508 +g503 +atp509 +Rp510 +sbsg109 +g1 +(g2 +g3 +(g181 +g109 +tp511 +tp512 +Rp513 +(dp514 +g14 +g431 +sg15 +g16 +((lp515 +tp516 +Rp517 +sbsS'Property' +p518 +g1 +(g2 +g3 +(g181 +g518 +tp519 +tp520 +Rp521 +(dp522 +g14 +g431 +sg15 +g16 +((lp523 +tp524 +Rp525 +sbsS'Pseudo' +p526 +g1 +(g2 +g3 +(g181 +g526 +tp527 +tp528 +Rp529 +(dp530 +g14 +g431 +sg15 +g16 +((lp531 +tp532 +Rp533 +sbsS'Type' +p534 +g1 +(g2 +g3 +(g181 +g534 +tp535 +tp536 +Rp537 +(dp538 +g14 +g431 +sg15 +g16 +((lp539 +tp540 +Rp541 +sbsS'Classes' +p542 +g1 +(g2 +g3 +(g181 +g542 +tp543 +tp544 +Rp545 +(dp546 +g14 +g431 +sg15 +g16 +((lp547 +tp548 +Rp549 +sbsS'Tag' +p550 +g1 +(g2 +g3 +(g181 +g550 +tp551 +tp552 +Rp553 +(dp554 +g14 +g431 +sg15 +g16 +((lp555 +tp556 +Rp557 +sbsS'Constant' +p558 +g1 +(g2 +g3 +(g181 +g558 +tp559 +tp560 +Rp561 +(dp562 +g14 +g431 +sg15 +g16 +((lp563 +tp564 +Rp565 +sbsS'Function' +p566 +g1 +(g2 +g3 +(g181 +g566 +tp567 +tp568 +Rp569 +(dp570 +g14 +g431 +sg15 +g16 +((lp571 +g1 +(g2 +g3 +(g181 +g566 +g471 +tp572 +tp573 +Rp574 +(dp575 +g14 +g569 +sg15 +g16 +((lp576 +tp577 +Rp578 +sbatp579 +Rp580 +sg471 +g574 +sbsS'Blubb' +p581 +g1 +(g2 +g3 +(g181 +g581 +tp582 +tp583 +Rp584 +(dp585 +g14 +g431 +sg15 +g16 +((lp586 +tp587 +Rp588 +sbsS'Label' +p589 +g1 +(g2 +g3 +(g181 +g589 +tp590 +tp591 +Rp592 +(dp593 +g14 +g431 +sg15 +g16 +((lp594 +tp595 +Rp596 +sbsS'Field' +p597 +g1 +(g2 +g3 +(g181 +g597 +tp598 +tp599 +Rp600 +(dp601 +g14 +g431 +sg15 +g16 +((lp602 +tp603 +Rp604 +sbsS'Exception' +p605 +g1 +(g2 +g3 +(g181 +g605 +tp606 +tp607 +Rp608 +(dp609 +g14 +g431 +sg15 +g16 +((lp610 +tp611 +Rp612 +sbsS'Namespace' +p613 +g1 +(g2 +g3 +(g181 +g613 +tp614 +tp615 +Rp616 +(dp617 +g14 +g431 +sg15 +g16 +((lp618 +tp619 +Rp620 +sbsg15 +g16 +((lp621 +g491 +ag584 +ag529 +ag499 +ag436 +ag608 +ag521 +ag553 +ag569 +ag545 +ag1 +(g2 +g3 +(g181 +g438 +tp622 +tp623 +Rp624 +(dp625 +g14 +g431 +sg410 +g1 +(g2 +g3 +(g181 +g438 +g410 +tp626 +tp627 +Rp628 +(dp629 +g14 +g624 +sg15 +g16 +((lp630 +tp631 +Rp632 +sbsg15 +g16 +((lp633 +g1 +(g2 +g3 +(g181 +g438 +S'Start' +p634 +tp635 +tp636 +Rp637 +(dp638 +g14 +g624 +sg15 +g16 +((lp639 +tp640 +Rp641 +sbag628 +atp642 +Rp643 +sg634 +g637 +sbag1 +(g2 +g3 +(g181 +g65 +tp644 +tp645 +Rp646 +(dp647 +g14 +g431 +sS'Member' +p648 +g1 +(g2 +g3 +(g181 +g65 +g648 +tp649 +tp650 +Rp651 +(dp652 +g14 +g646 +sg15 +g16 +((lp653 +tp654 +Rp655 +sbsg15 +g16 +((lp656 +g651 +atp657 +Rp658 +sbag592 +ag483 +ag616 +ag1 +(g2 +g3 +(g181 +S'Attribute' +p659 +tp660 +tp661 +Rp662 +(dp663 +g14 +g431 +sg433 +g1 +(g2 +g3 +(g181 +g659 +g433 +tp664 +tp665 +Rp666 +(dp667 +g14 +g662 +sg15 +g16 +((lp668 +tp669 +Rp670 +sbsg15 +g16 +((lp671 +g666 +atp672 +Rp673 +sbag561 +ag1 +(g2 +g3 +(g181 +S'Builtin' +p674 +tp675 +tp676 +Rp677 +(dp678 +g14 +g431 +sg534 +g1 +(g2 +g3 +(g181 +g674 +g534 +tp679 +tp680 +Rp681 +(dp682 +g14 +g677 +sg15 +g16 +((lp683 +tp684 +Rp685 +sbsg15 +g16 +((lp686 +g1 +(g2 +g3 +(g181 +g674 +g526 +tp687 +tp688 +Rp689 +(dp690 +g14 +g677 +sg15 +g16 +((lp691 +tp692 +Rp693 +sbag681 +atp694 +Rp695 +sg526 +g689 +sbag600 +ag537 +ag513 +atp696 +Rp697 +sg14 +g21 +sg438 +g624 +sg674 +g677 +sg659 +g662 +sg65 +g646 +sbsS'Punctuation' +p698 +g1 +(g2 +g3 +(g698 +tp699 +tp700 +Rp701 +(dp702 +g14 +g21 +sg15 +g16 +((lp703 +g1 +(g2 +g3 +(g698 +S'Indicator' +p704 +tp705 +tp706 +Rp707 +(dp708 +g14 +g701 +sg15 +g16 +((lp709 +tp710 +Rp711 +sbatp712 +Rp713 +sg704 +g707 +sbsg4 +g7 +sg24 +g47 +sg65 +g1 +(g2 +g3 +(g65 +tp714 +tp715 +Rp716 +(dp717 +g14 +g21 +sg15 +g16 +((lp718 +tp719 +Rp720 +sbsg378 +g1 +(g2 +g3 +(g378 +tp721 +tp722 +Rp723 +(dp724 +g14 +g21 +sg15 +g16 +((lp725 +tp726 +Rp727 +sbsS'Token' +p728 +g21 +sg205 +g1 +(g2 +g3 +(g205 +tp729 +tp730 +Rp731 +(dp732 +g14 +g21 +sg15 +g16 +((lp733 +tp734 +Rp735 +sbsg15 +g16 +((lp736 +g431 +ag716 +ag1 +(g2 +g3 +(S'Keyword' +p737 +tp738 +tp739 +Rp740 +(dp741 +g14 +g21 +sg534 +g1 +(g2 +g3 +(g737 +g534 +tp742 +tp743 +Rp744 +(dp745 +g14 +g740 +sg15 +g16 +((lp746 +tp747 +Rp748 +sbsS'Control' +p749 +g1 +(g2 +g3 +(g737 +g749 +tp750 +tp751 +Rp752 +(dp753 +g14 +g740 +sg15 +g16 +((lp754 +tp755 +Rp756 +sbsg558 +g1 +(g2 +g3 +(g737 +g558 +tp757 +tp758 +Rp759 +(dp760 +g14 +g740 +sg15 +g16 +((lp761 +tp762 +Rp763 +sbsg613 +g1 +(g2 +g3 +(g737 +g613 +tp764 +tp765 +Rp766 +(dp767 +g14 +g740 +sg15 +g16 +((lp768 +tp769 +Rp770 +sbsS'PreProc' +p771 +g1 +(g2 +g3 +(g737 +g771 +tp772 +tp773 +Rp774 +(dp775 +g14 +g740 +sg15 +g16 +((lp776 +tp777 +Rp778 +sbsg526 +g1 +(g2 +g3 +(g737 +g526 +tp779 +tp780 +Rp781 +(dp782 +g14 +g740 +sg15 +g16 +((lp783 +tp784 +Rp785 +sbsS'Reserved' +p786 +g1 +(g2 +g3 +(g737 +g786 +tp787 +tp788 +Rp789 +(dp790 +g14 +g740 +sg15 +g16 +((lp791 +tp792 +Rp793 +sbsg15 +g16 +((lp794 +g766 +ag1 +(g2 +g3 +(g737 +g419 +tp795 +tp796 +Rp797 +(dp798 +g14 +g740 +sg15 +g16 +((lp799 +tp800 +Rp801 +sbag752 +ag1 +(g2 +g3 +(g737 +S'Declaration' +p802 +tp803 +tp804 +Rp805 +(dp806 +g14 +g740 +sg15 +g16 +((lp807 +tp808 +Rp809 +sbag1 +(g2 +g3 +(g737 +g737 +tp810 +tp811 +Rp812 +(dp813 +g14 +g740 +sg15 +g16 +((lp814 +tp815 +Rp816 +sbag781 +ag759 +ag744 +ag789 +ag774 +atp817 +Rp818 +sg737 +g812 +sg802 +g805 +sg419 +g797 +sbag320 +ag1 +(g2 +g3 +(S'Text' +p819 +tp820 +tp821 +Rp822 +(dp823 +S'Beer' +p824 +g1 +(g2 +g3 +(g819 +g824 +tp825 +tp826 +Rp827 +(dp828 +g14 +g822 +sg15 +g16 +((lp829 +tp830 +Rp831 +sbsS'Whitespace' +p832 +g1 +(g2 +g3 +(g819 +g832 +tp833 +tp834 +Rp835 +(dp836 +g14 +g822 +sg15 +g16 +((lp837 +tp838 +Rp839 +sbsg14 +g21 +sS'Root' +p840 +g1 +(g2 +g3 +(g819 +g840 +tp841 +tp842 +Rp843 +(dp844 +g14 +g822 +sg15 +g16 +((lp845 +tp846 +Rp847 +sbsg109 +g1 +(g2 +g3 +(g819 +g109 +tp848 +tp849 +Rp850 +(dp851 +g14 +g822 +sg15 +g16 +((lp852 +tp853 +Rp854 +sbsg698 +g1 +(g2 +g3 +(g819 +g698 +tp855 +tp856 +Rp857 +(dp858 +g14 +g822 +sg15 +g16 +((lp859 +tp860 +Rp861 +sbsg15 +g16 +((lp862 +g843 +ag850 +ag857 +ag835 +ag827 +ag1 +(g2 +g3 +(g819 +S'Rag' +p863 +tp864 +tp865 +Rp866 +(dp867 +g14 +g822 +sg15 +g16 +((lp868 +tp869 +Rp870 +sbatp871 +Rp872 +sg863 +g866 +sbag408 +ag731 +ag701 +ag7 +ag723 +ag47 +atp873 +Rp874 +sg737 +g740 +sg819 +g822 +sbsS'Special' +p875 +g1 +(g2 +g3 +(g4 +g875 +tp876 +tp877 +Rp878 +(dp879 +g14 +g7 +sg15 +g16 +((lp880 +tp881 +Rp882 +sbsS'Hashbang' +p883 +g1 +(g2 +g3 +(g4 +g883 +tp884 +tp885 +Rp886 +(dp887 +g14 +g7 +sg15 +g16 +((lp888 +tp889 +Rp890 +sbsS'Preproc' +p891 +g1 +(g2 +g3 +(g4 +g891 +tp892 +tp893 +Rp894 +(dp895 +g14 +g7 +sg15 +g16 +((lp896 +tp897 +Rp898 +sbsg222 +g1 +(g2 +g3 +(g4 +g222 +tp899 +tp900 +Rp901 +(dp902 +g14 +g7 +sg15 +g16 +((lp903 +tp904 +Rp905 +sbsS'Directive' +p906 +g1 +(g2 +g3 +(g4 +g906 +tp907 +tp908 +Rp909 +(dp910 +g14 +g7 +sg15 +g16 +((lp911 +tp912 +Rp913 +sbsg214 +g1 +(g2 +g3 +(g4 +g214 +tp914 +tp915 +Rp916 +(dp917 +g14 +g7 +sg15 +g16 +((lp918 +tp919 +Rp920 +sbsS'Singleline' +p921 +g1 +(g2 +g3 +(g4 +g921 +tp922 +tp923 +Rp924 +(dp925 +g14 +g7 +sg15 +g16 +((lp926 +tp927 +Rp928 +sbsS'Multiline' +p929 +g1 +(g2 +g3 +(g4 +g929 +tp930 +tp931 +Rp932 +(dp933 +g14 +g7 +sg15 +g16 +((lp934 +tp935 +Rp936 +sbsg15 +g16 +((lp937 +g916 +ag909 +ag886 +ag12 +ag924 +ag894 +ag932 +ag901 +ag1 +(g2 +g3 +(g4 +S'PreprocFile' +p938 +tp939 +tp940 +Rp941 +(dp942 +g14 +g7 +sg15 +g16 +((lp943 +tp944 +Rp945 +sbag1 +(g2 +g3 +(g4 +S'SingleLine' +p946 +tp947 +tp948 +Rp949 +(dp950 +g14 +g7 +sg15 +g16 +((lp951 +tp952 +Rp953 +sbag878 +atp954 +Rp955 +sg938 +g941 +sg946 +g949 +sbV!!$\u000a +p956 +tp957 +a(g7 +V!!$\u000a +p958 +tp959 +a(g7 +V!!$ MD2P4\u000a +p960 +tp961 +a(g7 +V!!$ Multilevel Domain Decomposition Parallel Preconditioner Package for PSBLAS\u000a +p962 +tp963 +a(g7 +V!!$ for\u000a +p964 +tp965 +a(g7 +V!!$ Parallel Sparse BLAS v2.0\u000a +p966 +tp967 +a(g7 +V!!$ (C) Copyright 2006 Salvatore Filippone University of Rome Tor Vergata\u000a +p968 +tp969 +a(g7 +V!!$ Alfredo Buttari University of Rome Tor Vergata\u000a +p970 +tp971 +a(g7 +V!!$ Daniela Di Serafino II University of Naples\u000a +p972 +tp973 +a(g7 +V!!$ Pasqua D'Ambra ICAR-CNR\u000a +p974 +tp975 +a(g7 +V!!$\u000a +p976 +tp977 +a(g7 +V!!$ Redistribution and use in source and binary forms, with or without\u000a +p978 +tp979 +a(g7 +V!!$ modification, are permitted provided that the following conditions\u000a +p980 +tp981 +a(g7 +V!!$ are met:\u000a +p982 +tp983 +a(g7 +V!!$ 1. Redistributions of source code must retain the above copyright\u000a +p984 +tp985 +a(g7 +V!!$ notice, this list of conditions and the following disclaimer.\u000a +p986 +tp987 +a(g7 +V!!$ 2. Redistributions in binary form must reproduce the above copyright\u000a +p988 +tp989 +a(g7 +V!!$ notice, this list of conditions, and the following disclaimer in the\u000a +p990 +tp991 +a(g7 +V!!$ documentation and/or other materials provided with the distribution.\u000a +p992 +tp993 +a(g7 +V!!$ 3. The name of the MD2P4 group or the names of its contributors may\u000a +p994 +tp995 +a(g7 +V!!$ not be used to endorse or promote products derived from this\u000a +p996 +tp997 +a(g7 +V!!$ software without specific written permission.\u000a +p998 +tp999 +a(g7 +V!!$\u000a +p1000 +tp1001 +a(g7 +V!!$ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS\u000a +p1002 +tp1003 +a(g7 +V!!$ ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED\u000a +p1004 +tp1005 +a(g7 +V!!$ TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR\u000a +p1006 +tp1007 +a(g7 +V!!$ PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE MD2P4 GROUP OR ITS CONTRIBUTORS\u000a +p1008 +tp1009 +a(g7 +V!!$ BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR\u000a +p1010 +tp1011 +a(g7 +V!!$ CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF\u000a +p1012 +tp1013 +a(g7 +V!!$ SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS\u000a +p1014 +tp1015 +a(g7 +V!!$ INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN\u000a +p1016 +tp1017 +a(g7 +V!!$ CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)\u000a +p1018 +tp1019 +a(g7 +V!!$ ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE\u000a +p1020 +tp1021 +a(g7 +V!!$ POSSIBILITY OF SUCH DAMAGE.\u000a +p1022 +tp1023 +a(g7 +V!!$\u000a +p1024 +tp1025 +a(g7 +V!!$\u000a +p1026 +tp1027 +a(g740 +Vsubroutine +p1028 +tp1029 +a(g431 +Vpsb_zmlprc_aply +p1030 +tp1031 +a(g701 +V( +p1032 +tp1033 +a(g431 +Valpha +p1034 +tp1035 +a(g701 +V, +p1036 +tp1037 +a(g431 +Vbaseprecv +p1038 +tp1039 +a(g701 +g1036 +tp1040 +a(g431 +Vx +p1041 +tp1042 +a(g701 +g1036 +tp1043 +a(g431 +Vbeta +p1044 +tp1045 +a(g701 +g1036 +tp1046 +a(g431 +Vy +p1047 +tp1048 +a(g701 +g1036 +tp1049 +a(g431 +Vdesc_data +p1050 +tp1051 +a(g701 +g1036 +tp1052 +a(g431 +Vtrans +p1053 +tp1054 +a(g701 +g1036 +tp1055 +a(g431 +Vwork +p1056 +tp1057 +a(g701 +g1036 +tp1058 +a(g431 +Vinfo +p1059 +tp1060 +a(g701 +V) +p1061 +tp1062 +a(g822 +V\u000a +p1063 +tp1064 +a(g7 +V!\u000a +p1065 +tp1066 +a(g822 +V +p1067 +tp1068 +a(g7 +V! Compute Y <- beta*Y + alpha*K^-1 X\u000a +p1069 +tp1070 +a(g822 +V +p1071 +tp1072 +a(g7 +V! where K is a multilevel preconditioner stored in baseprecv\u000a +p1073 +tp1074 +a(g822 +V +p1075 +tp1076 +a(g7 +V!\u000a +p1077 +tp1078 +a(g822 +V +p1079 +tp1080 +a(g7 +V! cfr.: Smith, Biorstad & Gropp\u000a +p1081 +tp1082 +a(g822 +V +p1083 +tp1084 +a(g7 +V! Domain Decomposition\u000a +p1085 +tp1086 +a(g822 +V +p1087 +tp1088 +a(g7 +V! Cambridge Univ. Press\u000a +p1089 +tp1090 +a(g822 +V +p1091 +tp1092 +a(g7 +V!\u000a +p1093 +tp1094 +a(g822 +V +p1095 +tp1096 +a(g7 +V! To each level I there corresponds a matrix A(I) and a preconditioner K(I)\u000a +p1097 +tp1098 +a(g822 +V +p1099 +tp1100 +a(g7 +V!\u000a +p1101 +tp1102 +a(g822 +V +p1103 +tp1104 +a(g7 +V! A notational difference: in the DD reference above the preconditioner for\u000a +p1105 +tp1106 +a(g822 +V +p1107 +tp1108 +a(g7 +V! a given level K(I) is written out as a sum over the subdomains\u000a +p1109 +tp1110 +a(g822 +V +p1111 +tp1112 +a(g7 +V!\u000a +p1113 +tp1114 +a(g822 +V +p1115 +tp1116 +a(g7 +V! SUM_k(R_k^T A_k R_k)\u000a +p1117 +tp1118 +a(g822 +V +p1119 +tp1120 +a(g7 +V!\u000a +p1121 +tp1122 +a(g822 +V +p1123 +tp1124 +a(g7 +V! whereas in this code the sum is implicit in the parallelization,\u000a +p1125 +tp1126 +a(g822 +V +p1127 +tp1128 +a(g7 +V! i.e. each process takes care of one subdomain, and for each level we have\u000a +p1129 +tp1130 +a(g822 +V +p1131 +tp1132 +a(g7 +V! as many subdomains as there are processes (except for the coarsest level where\u000a +p1133 +tp1134 +a(g822 +V +p1135 +tp1136 +a(g7 +V! we might have a replicated index space). Thus the sum apparently disappears\u000a +p1137 +tp1138 +a(g822 +V +p1139 +tp1140 +a(g7 +V! from our code, but only apparently, because it is implicit in the call\u000a +p1141 +tp1142 +a(g822 +V +p1143 +tp1144 +a(g7 +V! to psb_baseprc_aply.\u000a +p1145 +tp1146 +a(g822 +V +p1147 +tp1148 +a(g7 +V!\u000a +p1149 +tp1150 +a(g822 +V +p1151 +tp1152 +a(g7 +V! A bit of description of the baseprecv(:) data structure:\u000a +p1153 +tp1154 +a(g822 +V +p1155 +tp1156 +a(g7 +V! 1. Number of levels = NLEV = size(baseprecv(:))\u000a +p1157 +tp1158 +a(g822 +V +p1159 +tp1160 +a(g7 +V! 2. baseprecv(ilev)%av(:) sparse matrices needed for the current level.\u000a +p1161 +tp1162 +a(g822 +V +p1163 +tp1164 +a(g7 +V! Includes:\u000a +p1165 +tp1166 +a(g822 +V +p1167 +tp1168 +a(g7 +V! 2.1.: baseprecv(ilev)%av(l_pr_) L factor of ILU preconditioners\u000a +p1169 +tp1170 +a(g822 +V +p1171 +tp1172 +a(g7 +V! 2.2.: baseprecv(ilev)%av(u_pr_) U factor of ILU preconditioners\u000a +p1173 +tp1174 +a(g822 +V +p1175 +tp1176 +a(g7 +V! 2.3.: baseprecv(ilev)%av(ap_nd_) Off-diagonal part of A for Jacobi sweeps\u000a +p1177 +tp1178 +a(g822 +V +p1179 +tp1180 +a(g7 +V! 2.4.: baseprecv(ilev)%av(ac_) Aggregated matrix of level ILEV\u000a +p1181 +tp1182 +a(g822 +V +p1183 +tp1184 +a(g7 +V! 2.5.: baseprecv(ilev)%av(sm_pr_t_) Smoother prolongator transpose; maps vectors\u000a +p1185 +tp1186 +a(g822 +V +p1187 +tp1188 +a(g7 +V! (ilev-1) ---> (ilev)\u000a +p1189 +tp1190 +a(g822 +V +p1191 +tp1192 +a(g7 +V! 2.6.: baseprecv(ilev)%av(sm_pr_) Smoother prolongator; maps vectors\u000a +p1193 +tp1194 +a(g822 +V +p1195 +tp1196 +a(g7 +V! (ilev) ---> (ilev-1)\u000a +p1197 +tp1198 +a(g822 +V +p1199 +tp1200 +a(g7 +V! Shouldn't we keep just one of them and handle transpose in the sparse BLAS? maybe\u000a +p1201 +tp1202 +a(g822 +V +p1203 +tp1204 +a(g7 +V!\u000a +p1205 +tp1206 +a(g822 +V +p1207 +tp1208 +a(g7 +V! 3. baseprecv(ilev)%desc_data comm descriptor for level ILEV\u000a +p1209 +tp1210 +a(g822 +V +p1211 +tp1212 +a(g7 +V! 4. baseprecv(ilev)%base_a Pointer (really a pointer!) to the base matrix\u000a +p1213 +tp1214 +a(g822 +V +p1215 +tp1216 +a(g7 +V! of the current level, i.e.: if ILEV=1 then A\u000a +p1217 +tp1218 +a(g822 +V +p1219 +tp1220 +a(g7 +V! else the aggregated matrix av(ac_); so we have\u000a +p1221 +tp1222 +a(g822 +V +p1223 +tp1224 +a(g7 +V! a unified treatment of residuals. Need this to\u000a +p1225 +tp1226 +a(g822 +V +p1227 +tp1228 +a(g7 +V! avoid passing explicitly matrix A to the\u000a +p1229 +tp1230 +a(g822 +V +p1231 +tp1232 +a(g7 +V! outer prec. routine\u000a +p1233 +tp1234 +a(g822 +V +p1235 +tp1236 +a(g7 +V! 5. baseprecv(ilev)%mlia The aggregation map from (ilev-1)-->(ilev)\u000a +p1237 +tp1238 +a(g822 +V +p1239 +tp1240 +a(g7 +V! if no smoother, it is used instead of sm_pr_\u000a +p1241 +tp1242 +a(g822 +V +p1243 +tp1244 +a(g7 +V! 6. baseprecv(ilev)%nlaggr Number of aggregates on the various procs.\u000a +p1245 +tp1246 +a(g822 +V +p1247 +tp1248 +a(g7 +V!\u000a +p1249 +tp1250 +a(g822 +V\u000a +p1251 +tp1252 +a(g740 +Vuse +p1253 +tp1254 +a(g431 +Vpsb_serial_mod +p1255 +tp1256 +a(g822 +V\u000a +p1257 +tp1258 +a(g740 +Vuse +p1259 +tp1260 +a(g431 +Vpsb_descriptor_type +p1261 +tp1262 +a(g822 +V\u000a +p1263 +tp1264 +a(g740 +Vuse +p1265 +tp1266 +a(g431 +Vpsb_prec_type +p1267 +tp1268 +a(g822 +V\u000a +p1269 +tp1270 +a(g740 +Vuse +p1271 +tp1272 +a(g431 +Vpsb_psblas_mod +p1273 +tp1274 +a(g822 +V\u000a +p1275 +tp1276 +a(g740 +Vuse +p1277 +tp1278 +a(g431 +Vpsb_penv_mod +p1279 +tp1280 +a(g822 +V\u000a +p1281 +tp1282 +a(g740 +Vuse +p1283 +tp1284 +a(g431 +Vpsb_const_mod +p1285 +tp1286 +a(g822 +V\u000a +p1287 +tp1288 +a(g740 +Vuse +p1289 +tp1290 +a(g431 +Vpsb_error_mod +p1291 +tp1292 +a(g822 +V\u000a +p1293 +tp1294 +a(g740 +Vuse +p1295 +tp1296 +a(g431 +Vpsb_penv_mod +p1297 +tp1298 +a(g822 +V\u000a +p1299 +tp1300 +a(g740 +Vimplicit +p1301 +tp1302 +a(g740 +Vnone\u000a\u000a +p1303 +tp1304 +a(g740 +Vtype +p1305 +tp1306 +a(g701 +g1032 +tp1307 +a(g431 +Vpsb_desc_type +p1308 +tp1309 +a(g701 +g1061 +tp1310 +a(g701 +g1036 +tp1311 +a(g740 +Vintent +p1312 +tp1313 +a(g701 +g1032 +tp1314 +a(g431 +Vin +p1315 +tp1316 +a(g701 +g1061 +tp1317 +a(g822 +V +p1318 +tp1319 +a(g805 +V:: +p1320 +tp1321 +a(g822 +V +p1322 +tp1323 +a(g431 +Vdesc_data +p1324 +tp1325 +a(g822 +V\u000a +p1326 +tp1327 +a(g740 +Vtype +p1328 +tp1329 +a(g701 +g1032 +tp1330 +a(g431 +Vpsb_zbaseprc_type +p1331 +tp1332 +a(g701 +g1061 +tp1333 +a(g701 +g1036 +tp1334 +a(g822 +g1322 +tp1335 +a(g740 +Vintent +p1336 +tp1337 +a(g701 +g1032 +tp1338 +a(g431 +Vin +p1339 +tp1340 +a(g701 +g1061 +tp1341 +a(g822 +g1322 +tp1342 +a(g805 +V:: +p1343 +tp1344 +a(g822 +g1322 +tp1345 +a(g431 +Vbaseprecv +p1346 +tp1347 +a(g701 +g1032 +tp1348 +a(g701 +V: +p1349 +tp1350 +a(g701 +g1061 +tp1351 +a(g822 +V\u000a +p1352 +tp1353 +a(g744 +Vcomplex +p1354 +tp1355 +a(g701 +g1032 +tp1356 +a(g677 +Vkind +p1357 +tp1358 +a(g701 +g1032 +tp1359 +a(g310 +V1.d0 +p1360 +tp1361 +a(g701 +g1061 +tp1362 +a(g701 +g1061 +tp1363 +a(g701 +g1036 +tp1364 +a(g740 +Vintent +p1365 +tp1366 +a(g701 +g1032 +tp1367 +a(g431 +Vin +p1368 +tp1369 +a(g701 +g1061 +tp1370 +a(g822 +V +p1371 +tp1372 +a(g805 +V:: +p1373 +tp1374 +a(g822 +g1322 +tp1375 +a(g431 +Valpha +p1376 +tp1377 +a(g701 +g1036 +tp1378 +a(g431 +Vbeta +p1379 +tp1380 +a(g822 +V\u000a +p1381 +tp1382 +a(g744 +Vcomplex +p1383 +tp1384 +a(g701 +g1032 +tp1385 +a(g677 +Vkind +p1386 +tp1387 +a(g701 +g1032 +tp1388 +a(g310 +V1.d0 +p1389 +tp1390 +a(g701 +g1061 +tp1391 +a(g701 +g1061 +tp1392 +a(g701 +g1036 +tp1393 +a(g740 +Vintent +p1394 +tp1395 +a(g701 +g1032 +tp1396 +a(g431 +Vinout +p1397 +tp1398 +a(g701 +g1061 +tp1399 +a(g822 +V +p1400 +tp1401 +a(g805 +V:: +p1402 +tp1403 +a(g822 +g1322 +tp1404 +a(g431 +g1041 +tp1405 +a(g701 +g1032 +tp1406 +a(g701 +g1349 +tp1407 +a(g701 +g1061 +tp1408 +a(g701 +g1036 +tp1409 +a(g822 +g1322 +tp1410 +a(g431 +g1047 +tp1411 +a(g701 +g1032 +tp1412 +a(g701 +g1349 +tp1413 +a(g701 +g1061 +tp1414 +a(g822 +V\u000a +p1415 +tp1416 +a(g744 +Vcharacter +p1417 +tp1418 +a(g822 +V +p1419 +tp1420 +a(g805 +V:: +p1421 +tp1422 +a(g822 +g1322 +tp1423 +a(g431 +Vtrans +p1424 +tp1425 +a(g822 +V\u000a +p1426 +tp1427 +a(g744 +Vcomplex +p1428 +tp1429 +a(g701 +g1032 +tp1430 +a(g677 +Vkind +p1431 +tp1432 +a(g701 +g1032 +tp1433 +a(g310 +V1.d0 +p1434 +tp1435 +a(g701 +g1061 +tp1436 +a(g701 +g1061 +tp1437 +a(g701 +g1036 +tp1438 +a(g740 +Vtarget +p1439 +tp1440 +a(g822 +V +p1441 +tp1442 +a(g805 +V:: +p1443 +tp1444 +a(g822 +g1322 +tp1445 +a(g431 +Vwork +p1446 +tp1447 +a(g701 +g1032 +tp1448 +a(g701 +g1349 +tp1449 +a(g701 +g1061 +tp1450 +a(g822 +V\u000a +p1451 +tp1452 +a(g744 +Vinteger +p1453 +tp1454 +a(g701 +g1036 +tp1455 +a(g822 +g1322 +tp1456 +a(g740 +Vintent +p1457 +tp1458 +a(g701 +g1032 +tp1459 +a(g431 +Vout +p1460 +tp1461 +a(g701 +g1061 +tp1462 +a(g822 +V +p1463 +tp1464 +a(g805 +V:: +p1465 +tp1466 +a(g822 +g1322 +tp1467 +a(g431 +Vinfo +p1468 +tp1469 +a(g822 +V\u000a\u000a\u000a +p1470 +tp1471 +a(g7 +V! Local variables\u000a +p1472 +tp1473 +a(g822 +V +p1474 +tp1475 +a(g744 +Vinteger +p1476 +tp1477 +a(g822 +g1322 +tp1478 +a(g805 +V:: +p1479 +tp1480 +a(g822 +g1322 +tp1481 +a(g431 +Vn_row +p1482 +tp1483 +a(g701 +g1036 +tp1484 +a(g431 +Vn_col +p1485 +tp1486 +a(g822 +V\u000a +p1487 +tp1488 +a(g744 +Vcomplex +p1489 +tp1490 +a(g701 +g1032 +tp1491 +a(g677 +Vkind +p1492 +tp1493 +a(g701 +g1032 +tp1494 +a(g310 +V1.d0 +p1495 +tp1496 +a(g701 +g1061 +tp1497 +a(g701 +g1061 +tp1498 +a(g701 +g1036 +tp1499 +a(g822 +g1322 +tp1500 +a(g740 +Vallocatable +p1501 +tp1502 +a(g822 +g1322 +tp1503 +a(g805 +V:: +p1504 +tp1505 +a(g822 +g1322 +tp1506 +a(g431 +Vtx +p1507 +tp1508 +a(g701 +g1032 +tp1509 +a(g701 +g1349 +tp1510 +a(g701 +g1061 +tp1511 +a(g701 +g1036 +tp1512 +a(g431 +Vty +p1513 +tp1514 +a(g701 +g1032 +tp1515 +a(g701 +g1349 +tp1516 +a(g701 +g1061 +tp1517 +a(g701 +g1036 +tp1518 +a(g431 +Vt2l +p1519 +tp1520 +a(g701 +g1032 +tp1521 +a(g701 +g1349 +tp1522 +a(g701 +g1061 +tp1523 +a(g701 +g1036 +tp1524 +a(g431 +Vw2l +p1525 +tp1526 +a(g701 +g1032 +tp1527 +a(g701 +g1349 +tp1528 +a(g701 +g1061 +tp1529 +a(g701 +g1036 +tp1530 +a(g701 +V& +p1531 +tp1532 +a(g822 +V\u000a +p1533 +tp1534 +a(g701 +g1531 +tp1535 +a(g822 +V +p1536 +tp1537 +a(g431 +Vx2l +p1538 +tp1539 +a(g701 +g1032 +tp1540 +a(g701 +g1349 +tp1541 +a(g701 +g1061 +tp1542 +a(g701 +g1036 +tp1543 +a(g431 +Vb2l +p1544 +tp1545 +a(g701 +g1032 +tp1546 +a(g701 +g1349 +tp1547 +a(g701 +g1061 +tp1548 +a(g701 +g1036 +tp1549 +a(g431 +Vtz +p1550 +tp1551 +a(g701 +g1032 +tp1552 +a(g701 +g1349 +tp1553 +a(g701 +g1061 +tp1554 +a(g701 +g1036 +tp1555 +a(g431 +Vtty +p1556 +tp1557 +a(g701 +g1032 +tp1558 +a(g701 +g1349 +tp1559 +a(g701 +g1061 +tp1560 +a(g822 +V\u000a +p1561 +tp1562 +a(g744 +Vcharacter +p1563 +tp1564 +a(g822 +V +p1565 +tp1566 +a(g805 +V:: +p1567 +tp1568 +a(g431 +Vdiagl +p1569 +tp1570 +a(g701 +g1036 +tp1571 +a(g822 +g1322 +tp1572 +a(g431 +Vdiagu +p1573 +tp1574 +a(g822 +V\u000a +p1575 +tp1576 +a(g744 +Vinteger +p1577 +tp1578 +a(g822 +g1322 +tp1579 +a(g805 +V:: +p1580 +tp1581 +a(g822 +g1322 +tp1582 +a(g431 +Victxt +p1583 +tp1584 +a(g701 +g1036 +tp1585 +a(g431 +Vnp +p1586 +tp1587 +a(g701 +g1036 +tp1588 +a(g431 +Vme +p1589 +tp1590 +a(g701 +g1036 +tp1591 +a(g431 +Vi +p1592 +tp1593 +a(g701 +g1036 +tp1594 +a(g822 +g1322 +tp1595 +a(g431 +Visz +p1596 +tp1597 +a(g701 +g1036 +tp1598 +a(g822 +g1322 +tp1599 +a(g431 +Vnrg +p1600 +tp1601 +a(g701 +g1036 +tp1602 +a(g431 +Vnr2l +p1603 +tp1604 +a(g701 +g1036 +tp1605 +a(g431 +Verr_act +p1606 +tp1607 +a(g701 +g1036 +tp1608 +a(g822 +g1322 +tp1609 +a(g431 +Viptype +p1610 +tp1611 +a(g701 +g1036 +tp1612 +a(g822 +g1322 +tp1613 +a(g431 +Vint_err +p1614 +tp1615 +a(g701 +g1032 +tp1616 +a(g32 +V5 +p1617 +tp1618 +a(g701 +g1061 +tp1619 +a(g822 +V\u000a +p1620 +tp1621 +a(g744 +Vreal +p1622 +tp1623 +a(g701 +g1032 +tp1624 +a(g677 +Vkind +p1625 +tp1626 +a(g701 +g1032 +tp1627 +a(g310 +V1.d0 +p1628 +tp1629 +a(g701 +g1061 +tp1630 +a(g701 +g1061 +tp1631 +a(g822 +g1322 +tp1632 +a(g805 +V:: +p1633 +tp1634 +a(g822 +g1322 +tp1635 +a(g431 +Vomega +p1636 +tp1637 +a(g822 +V\u000a +p1638 +tp1639 +a(g744 +Vreal +p1640 +tp1641 +a(g701 +g1032 +tp1642 +a(g677 +Vkind +p1643 +tp1644 +a(g701 +g1032 +tp1645 +a(g310 +V1.d0 +p1646 +tp1647 +a(g701 +g1061 +tp1648 +a(g701 +g1061 +tp1649 +a(g822 +g1322 +tp1650 +a(g805 +V:: +p1651 +tp1652 +a(g822 +g1322 +tp1653 +a(g431 +Vt1 +p1654 +tp1655 +a(g701 +g1036 +tp1656 +a(g822 +g1322 +tp1657 +a(g431 +Vt2 +p1658 +tp1659 +a(g701 +g1036 +tp1660 +a(g822 +g1322 +tp1661 +a(g431 +Vt3 +p1662 +tp1663 +a(g701 +g1036 +tp1664 +a(g822 +g1322 +tp1665 +a(g431 +Vt4 +p1666 +tp1667 +a(g701 +g1036 +tp1668 +a(g822 +g1322 +tp1669 +a(g431 +Vt5 +p1670 +tp1671 +a(g701 +g1036 +tp1672 +a(g822 +g1322 +tp1673 +a(g431 +Vt6 +p1674 +tp1675 +a(g701 +g1036 +tp1676 +a(g822 +g1322 +tp1677 +a(g431 +Vt7 +p1678 +tp1679 +a(g701 +g1036 +tp1680 +a(g822 +g1322 +tp1681 +a(g431 +Vmpi_wtime +p1682 +tp1683 +a(g822 +V\u000a +p1684 +tp1685 +a(g744 +Vlogical +p1686 +tp1687 +a(g701 +g1036 +tp1688 +a(g822 +g1322 +tp1689 +a(g740 +Vparameter +p1690 +tp1691 +a(g822 +V +p1692 +tp1693 +a(g805 +V:: +p1694 +tp1695 +a(g822 +g1322 +tp1696 +a(g431 +Vdebug +p1697 +tp1698 +a(g408 +V= +p1699 +tp1700 +a(g701 +V. +p1701 +tp1702 +a(g431 +Vfalse +p1703 +tp1704 +a(g701 +g1701 +tp1705 +a(g701 +g1036 +tp1706 +a(g822 +g1322 +tp1707 +a(g431 +Vdebugprt +p1708 +tp1709 +a(g408 +g1699 +tp1710 +a(g701 +g1701 +tp1711 +a(g431 +Vfalse +p1712 +tp1713 +a(g701 +g1701 +tp1714 +a(g822 +V\u000a +p1715 +tp1716 +a(g744 +Vinteger +p1717 +tp1718 +a(g822 +V +p1719 +tp1720 +a(g805 +V:: +p1721 +tp1722 +a(g822 +g1322 +tp1723 +a(g431 +Vismth +p1724 +tp1725 +a(g701 +g1036 +tp1726 +a(g822 +g1322 +tp1727 +a(g431 +Vnlev +p1728 +tp1729 +a(g701 +g1036 +tp1730 +a(g822 +g1322 +tp1731 +a(g431 +Vilev +p1732 +tp1733 +a(g822 +V\u000a +p1734 +tp1735 +a(g740 +Vexternal +p1736 +tp1737 +a(g431 +Vmpi_wtime +p1738 +tp1739 +a(g822 +V\u000a +p1740 +tp1741 +a(g744 +Vcharacter +p1742 +tp1743 +a(g701 +g1032 +tp1744 +a(g677 +Vlen +p1745 +tp1746 +a(g408 +g1699 +tp1747 +a(g32 +V20 +p1748 +tp1749 +a(g701 +g1061 +tp1750 +a(g822 +V +p1751 +tp1752 +a(g805 +V:: +p1753 +tp1754 +a(g822 +g1322 +tp1755 +a(g431 +Vname +p1756 +tp1757 +a(g701 +g1036 +tp1758 +a(g822 +g1322 +tp1759 +a(g431 +Vch_err +p1760 +tp1761 +a(g822 +V\u000a\u000a +p1762 +tp1763 +a(g740 +Vtype +p1764 +tp1765 +a(g431 +Vpsb_mlprec_wrk_type +p1766 +tp1767 +a(g822 +V\u000a +p1768 +tp1769 +a(g744 +Vcomplex +p1770 +tp1771 +a(g701 +g1032 +tp1772 +a(g677 +Vkind +p1773 +tp1774 +a(g701 +g1032 +tp1775 +a(g310 +V1.d0 +p1776 +tp1777 +a(g701 +g1061 +tp1778 +a(g701 +g1061 +tp1779 +a(g701 +g1036 +tp1780 +a(g822 +g1322 +tp1781 +a(g740 +Vpointer +p1782 +tp1783 +a(g822 +g1322 +tp1784 +a(g805 +V:: +p1785 +tp1786 +a(g822 +g1322 +tp1787 +a(g431 +Vtx +p1788 +tp1789 +a(g701 +g1032 +tp1790 +a(g701 +g1349 +tp1791 +a(g701 +g1061 +tp1792 +a(g408 +g1699 +tp1793 +a(g408 +V> +p1794 +tp1795 +a(g677 +Vnull +p1796 +tp1797 +a(g701 +g1032 +tp1798 +a(g701 +g1061 +tp1799 +a(g701 +g1036 +tp1800 +a(g431 +Vty +p1801 +tp1802 +a(g701 +g1032 +tp1803 +a(g701 +g1349 +tp1804 +a(g701 +g1061 +tp1805 +a(g408 +g1699 +tp1806 +a(g408 +g1794 +tp1807 +a(g677 +Vnull +p1808 +tp1809 +a(g701 +g1032 +tp1810 +a(g701 +g1061 +tp1811 +a(g701 +g1036 +tp1812 +a(g701 +g1531 +tp1813 +a(g822 +V\u000a +p1814 +tp1815 +a(g701 +g1531 +tp1816 +a(g822 +g1322 +tp1817 +a(g431 +Vx2l +p1818 +tp1819 +a(g701 +g1032 +tp1820 +a(g701 +g1349 +tp1821 +a(g701 +g1061 +tp1822 +a(g408 +g1699 +tp1823 +a(g408 +g1794 +tp1824 +a(g677 +Vnull +p1825 +tp1826 +a(g701 +g1032 +tp1827 +a(g701 +g1061 +tp1828 +a(g701 +g1036 +tp1829 +a(g431 +Vy2l +p1830 +tp1831 +a(g701 +g1032 +tp1832 +a(g701 +g1349 +tp1833 +a(g701 +g1061 +tp1834 +a(g408 +g1699 +tp1835 +a(g408 +g1794 +tp1836 +a(g677 +Vnull +p1837 +tp1838 +a(g701 +g1032 +tp1839 +a(g701 +g1061 +tp1840 +a(g701 +g1036 +tp1841 +a(g701 +g1531 +tp1842 +a(g822 +V\u000a +p1843 +tp1844 +a(g701 +g1531 +tp1845 +a(g822 +g1322 +tp1846 +a(g431 +Vb2l +p1847 +tp1848 +a(g701 +g1032 +tp1849 +a(g701 +g1349 +tp1850 +a(g701 +g1061 +tp1851 +a(g408 +g1699 +tp1852 +a(g408 +g1794 +tp1853 +a(g677 +Vnull +p1854 +tp1855 +a(g701 +g1032 +tp1856 +a(g701 +g1061 +tp1857 +a(g701 +g1036 +tp1858 +a(g431 +Vtty +p1859 +tp1860 +a(g701 +g1032 +tp1861 +a(g701 +g1349 +tp1862 +a(g701 +g1061 +tp1863 +a(g408 +g1699 +tp1864 +a(g408 +g1794 +tp1865 +a(g677 +Vnull +p1866 +tp1867 +a(g701 +g1032 +tp1868 +a(g701 +g1061 +tp1869 +a(g822 +V\u000a +p1870 +tp1871 +a(g740 +Vend +p1872 +tp1873 +a(g740 +Vtype +p1874 +tp1875 +a(g431 +Vpsb_mlprec_wrk_type +p1876 +tp1877 +a(g822 +V\u000a +p1878 +tp1879 +a(g740 +Vtype +p1880 +tp1881 +a(g701 +g1032 +tp1882 +a(g431 +Vpsb_mlprec_wrk_type +p1883 +tp1884 +a(g701 +g1061 +tp1885 +a(g701 +g1036 +tp1886 +a(g822 +g1322 +tp1887 +a(g740 +Vpointer +p1888 +tp1889 +a(g822 +g1322 +tp1890 +a(g805 +V:: +p1891 +tp1892 +a(g822 +g1322 +tp1893 +a(g431 +Vmlprec_wrk +p1894 +tp1895 +a(g701 +g1032 +tp1896 +a(g701 +g1349 +tp1897 +a(g701 +g1061 +tp1898 +a(g822 +V\u000a\u000a +p1899 +tp1900 +a(g740 +Vinterface +p1901 +tp1902 +a(g431 +Vpsb_baseprc_aply +p1903 +tp1904 +a(g822 +V\u000a +p1905 +tp1906 +a(g740 +Vsubroutine +p1907 +tp1908 +a(g431 +Vpsb_zbaseprc_aply +p1909 +tp1910 +a(g701 +g1032 +tp1911 +a(g431 +Valpha +p1912 +tp1913 +a(g701 +g1036 +tp1914 +a(g431 +Vprec +p1915 +tp1916 +a(g701 +g1036 +tp1917 +a(g431 +g1041 +tp1918 +a(g701 +g1036 +tp1919 +a(g431 +Vbeta +p1920 +tp1921 +a(g701 +g1036 +tp1922 +a(g431 +g1047 +tp1923 +a(g701 +g1036 +tp1924 +a(g431 +Vdesc_data +p1925 +tp1926 +a(g701 +g1036 +tp1927 +a(g431 +Vtrans +p1928 +tp1929 +a(g701 +g1036 +tp1930 +a(g431 +Vwork +p1931 +tp1932 +a(g701 +g1036 +tp1933 +a(g431 +Vinfo +p1934 +tp1935 +a(g701 +g1061 +tp1936 +a(g822 +V\u000a +p1937 +tp1938 +a(g740 +Vuse +p1939 +tp1940 +a(g431 +Vpsb_descriptor_type +p1941 +tp1942 +a(g822 +V\u000a +p1943 +tp1944 +a(g740 +Vuse +p1945 +tp1946 +a(g431 +Vpsb_prec_type +p1947 +tp1948 +a(g822 +V\u000a +p1949 +tp1950 +a(g740 +Vtype +p1951 +tp1952 +a(g701 +g1032 +tp1953 +a(g431 +Vpsb_desc_type +p1954 +tp1955 +a(g701 +g1061 +tp1956 +a(g701 +g1036 +tp1957 +a(g740 +Vintent +p1958 +tp1959 +a(g701 +g1032 +tp1960 +a(g431 +Vin +p1961 +tp1962 +a(g701 +g1061 +tp1963 +a(g822 +V +p1964 +tp1965 +a(g805 +V:: +p1966 +tp1967 +a(g822 +g1322 +tp1968 +a(g431 +Vdesc_data +p1969 +tp1970 +a(g822 +V\u000a +p1971 +tp1972 +a(g740 +Vtype +p1973 +tp1974 +a(g701 +g1032 +tp1975 +a(g431 +Vpsb_zbaseprc_type +p1976 +tp1977 +a(g701 +g1061 +tp1978 +a(g701 +g1036 +tp1979 +a(g822 +g1322 +tp1980 +a(g740 +Vintent +p1981 +tp1982 +a(g701 +g1032 +tp1983 +a(g431 +Vin +p1984 +tp1985 +a(g701 +g1061 +tp1986 +a(g822 +g1322 +tp1987 +a(g805 +V:: +p1988 +tp1989 +a(g822 +g1322 +tp1990 +a(g431 +Vprec +p1991 +tp1992 +a(g822 +V\u000a +p1993 +tp1994 +a(g744 +Vcomplex +p1995 +tp1996 +a(g701 +g1032 +tp1997 +a(g677 +Vkind +p1998 +tp1999 +a(g701 +g1032 +tp2000 +a(g310 +V1.d0 +p2001 +tp2002 +a(g701 +g1061 +tp2003 +a(g701 +g1061 +tp2004 +a(g701 +g1036 +tp2005 +a(g740 +Vintent +p2006 +tp2007 +a(g701 +g1032 +tp2008 +a(g431 +Vinout +p2009 +tp2010 +a(g701 +g1061 +tp2011 +a(g822 +V +p2012 +tp2013 +a(g805 +V:: +p2014 +tp2015 +a(g822 +g1322 +tp2016 +a(g431 +g1041 +tp2017 +a(g701 +g1032 +tp2018 +a(g701 +g1349 +tp2019 +a(g701 +g1061 +tp2020 +a(g701 +g1036 +tp2021 +a(g822 +g1322 +tp2022 +a(g431 +g1047 +tp2023 +a(g701 +g1032 +tp2024 +a(g701 +g1349 +tp2025 +a(g701 +g1061 +tp2026 +a(g822 +V\u000a +p2027 +tp2028 +a(g744 +Vcomplex +p2029 +tp2030 +a(g701 +g1032 +tp2031 +a(g677 +Vkind +p2032 +tp2033 +a(g701 +g1032 +tp2034 +a(g310 +V1.d0 +p2035 +tp2036 +a(g701 +g1061 +tp2037 +a(g701 +g1061 +tp2038 +a(g701 +g1036 +tp2039 +a(g740 +Vintent +p2040 +tp2041 +a(g701 +g1032 +tp2042 +a(g431 +Vin +p2043 +tp2044 +a(g701 +g1061 +tp2045 +a(g822 +V +p2046 +tp2047 +a(g805 +V:: +p2048 +tp2049 +a(g822 +g1322 +tp2050 +a(g431 +Valpha +p2051 +tp2052 +a(g701 +g1036 +tp2053 +a(g431 +Vbeta +p2054 +tp2055 +a(g822 +V\u000a +p2056 +tp2057 +a(g744 +Vcharacter +p2058 +tp2059 +a(g701 +g1032 +tp2060 +a(g677 +Vlen +p2061 +tp2062 +a(g408 +g1699 +tp2063 +a(g32 +V1 +p2064 +tp2065 +a(g701 +g1061 +tp2066 +a(g822 +V +p2067 +tp2068 +a(g805 +V:: +p2069 +tp2070 +a(g822 +g1322 +tp2071 +a(g431 +Vtrans +p2072 +tp2073 +a(g822 +V\u000a +p2074 +tp2075 +a(g744 +Vcomplex +p2076 +tp2077 +a(g701 +g1032 +tp2078 +a(g677 +Vkind +p2079 +tp2080 +a(g701 +g1032 +tp2081 +a(g310 +V1.d0 +p2082 +tp2083 +a(g701 +g1061 +tp2084 +a(g701 +g1061 +tp2085 +a(g701 +g1036 +tp2086 +a(g740 +Vtarget +p2087 +tp2088 +a(g822 +V +p2089 +tp2090 +a(g805 +V:: +p2091 +tp2092 +a(g822 +g1322 +tp2093 +a(g431 +Vwork +p2094 +tp2095 +a(g701 +g1032 +tp2096 +a(g701 +g1349 +tp2097 +a(g701 +g1061 +tp2098 +a(g822 +V\u000a +p2099 +tp2100 +a(g744 +Vinteger +p2101 +tp2102 +a(g701 +g1036 +tp2103 +a(g822 +g1322 +tp2104 +a(g740 +Vintent +p2105 +tp2106 +a(g701 +g1032 +tp2107 +a(g431 +Vout +p2108 +tp2109 +a(g701 +g1061 +tp2110 +a(g822 +V +p2111 +tp2112 +a(g805 +V:: +p2113 +tp2114 +a(g822 +g1322 +tp2115 +a(g431 +Vinfo +p2116 +tp2117 +a(g822 +V\u000a +p2118 +tp2119 +a(g740 +Vend +p2120 +tp2121 +a(g740 +Vsubroutine +p2122 +tp2123 +a(g431 +Vpsb_zbaseprc_aply +p2124 +tp2125 +a(g822 +V\u000a +p2126 +tp2127 +a(g740 +Vend +p2128 +tp2129 +a(g740 +Vinterface\u000a\u000a +p2130 +tp2131 +a(g431 +Vname +p2132 +tp2133 +a(g408 +g1699 +tp2134 +a(g225 +V'psb_mlprc_aply' +p2135 +tp2136 +a(g822 +V\u000a +p2137 +tp2138 +a(g431 +Vinfo +p2139 +tp2140 +a(g822 +g1322 +tp2141 +a(g408 +g1699 +tp2142 +a(g822 +g1322 +tp2143 +a(g32 +V0 +p2144 +tp2145 +a(g822 +V\u000a +p2146 +tp2147 +a(g740 +Vcall +p2148 +tp2149 +a(g431 +Vpsb_erractionsave +p2150 +tp2151 +a(g701 +g1032 +tp2152 +a(g431 +Verr_act +p2153 +tp2154 +a(g701 +g1061 +tp2155 +a(g822 +V\u000a\u000a\u000a +p2156 +tp2157 +a(g431 +Victxt +p2158 +tp2159 +a(g408 +g1699 +tp2160 +a(g431 +Vdesc_data +p2161 +tp2162 +a(g701 +V% +p2163 +tp2164 +a(g431 +Vmatrix_data +p2165 +tp2166 +a(g701 +g1032 +tp2167 +a(g431 +Vpsb_ctxt_ +p2168 +tp2169 +a(g701 +g1061 +tp2170 +a(g822 +V\u000a +p2171 +tp2172 +a(g740 +Vcall +p2173 +tp2174 +a(g431 +Vpsb_info +p2175 +tp2176 +a(g701 +g1032 +tp2177 +a(g431 +Victxt +p2178 +tp2179 +a(g701 +g1036 +tp2180 +a(g822 +g1322 +tp2181 +a(g431 +Vme +p2182 +tp2183 +a(g701 +g1036 +tp2184 +a(g822 +g1322 +tp2185 +a(g431 +Vnp +p2186 +tp2187 +a(g701 +g1061 +tp2188 +a(g822 +V\u000a\u000a +p2189 +tp2190 +a(g431 +Vnlev +p2191 +tp2192 +a(g822 +g1322 +tp2193 +a(g408 +g1699 +tp2194 +a(g822 +g1322 +tp2195 +a(g431 +Vsize +p2196 +tp2197 +a(g701 +g1032 +tp2198 +a(g431 +Vbaseprecv +p2199 +tp2200 +a(g701 +g1061 +tp2201 +a(g822 +V\u000a +p2202 +tp2203 +a(g740 +Vallocate +p2204 +tp2205 +a(g701 +g1032 +tp2206 +a(g431 +Vmlprec_wrk +p2207 +tp2208 +a(g701 +g1032 +tp2209 +a(g431 +Vnlev +p2210 +tp2211 +a(g701 +g1061 +tp2212 +a(g701 +g1036 +tp2213 +a(g677 +Vstat +p2214 +tp2215 +a(g408 +g1699 +tp2216 +a(g431 +Vinfo +p2217 +tp2218 +a(g701 +g1061 +tp2219 +a(g822 +V\u000a +p2220 +tp2221 +a(g740 +Vif +p2222 +tp2223 +a(g822 +g1322 +tp2224 +a(g701 +g1032 +tp2225 +a(g431 +Vinfo +p2226 +tp2227 +a(g822 +g1322 +tp2228 +a(g408 +V/ +p2229 +tp2230 +a(g408 +g1699 +tp2231 +a(g822 +g1322 +tp2232 +a(g32 +g2144 +tp2233 +a(g701 +g1061 +tp2234 +a(g822 +g1322 +tp2235 +a(g740 +Vthen\u000a +p2236 +tp2237 +a(g740 +Vcall +p2238 +tp2239 +a(g431 +Vpsb_errpush +p2240 +tp2241 +a(g701 +g1032 +tp2242 +a(g32 +V4010 +p2243 +tp2244 +a(g701 +g1036 +tp2245 +a(g431 +Vname +p2246 +tp2247 +a(g701 +g1036 +tp2248 +a(g431 +Va_err +p2249 +tp2250 +a(g408 +g1699 +tp2251 +a(g225 +V'Allocate' +p2252 +tp2253 +a(g701 +g1061 +tp2254 +a(g822 +V\u000a +p2255 +tp2256 +a(g740 +Vgoto +p2257 +tp2258 +a(g32 +V9999 +p2259 +tp2260 +a(g822 +V\u000a +p2261 +tp2262 +a(g740 +Vend +p2263 +tp2264 +a(g740 +Vif\u000a\u000a\u000a +p2265 +tp2266 +a(g740 +Vselect +p2267 +tp2268 +a(g740 +Vcase +p2269 +tp2270 +a(g701 +g1032 +tp2271 +a(g431 +Vbaseprecv +p2272 +tp2273 +a(g701 +g1032 +tp2274 +a(g32 +V2 +p2275 +tp2276 +a(g701 +g1061 +tp2277 +a(g701 +g2163 +tp2278 +a(g431 +Viprcparm +p2279 +tp2280 +a(g701 +g1032 +tp2281 +a(g431 +Vml_type_ +p2282 +tp2283 +a(g701 +g1061 +tp2284 +a(g701 +g1061 +tp2285 +a(g822 +V\u000a\u000a +p2286 +tp2287 +a(g740 +Vcase +p2288 +tp2289 +a(g701 +g1032 +tp2290 +a(g431 +Vno_ml_ +p2291 +tp2292 +a(g701 +g1061 +tp2293 +a(g822 +V\u000a +p2294 +tp2295 +a(g7 +V! Should not really get here.\u000a +p2296 +tp2297 +a(g822 +V +p2298 +tp2299 +a(g740 +Vcall +p2300 +tp2301 +a(g431 +Vpsb_errpush +p2302 +tp2303 +a(g701 +g1032 +tp2304 +a(g32 +V4010 +p2305 +tp2306 +a(g701 +g1036 +tp2307 +a(g431 +Vname +p2308 +tp2309 +a(g701 +g1036 +tp2310 +a(g431 +Va_err +p2311 +tp2312 +a(g408 +g1699 +tp2313 +a(g225 +V'no_ml_ in mlprc_aply?' +p2314 +tp2315 +a(g701 +g1061 +tp2316 +a(g822 +V\u000a +p2317 +tp2318 +a(g740 +Vgoto +p2319 +tp2320 +a(g32 +V9999 +p2321 +tp2322 +a(g822 +V\u000a\u000a\u000a +p2323 +tp2324 +a(g740 +Vcase +p2325 +tp2326 +a(g701 +g1032 +tp2327 +a(g431 +Vadd_ml_prec_ +p2328 +tp2329 +a(g701 +g1061 +tp2330 +a(g822 +V\u000a\u000a\u000a +p2331 +tp2332 +a(g7 +V!\u000a +p2333 +tp2334 +a(g822 +V +p2335 +tp2336 +a(g7 +V! Additive is very simple.\u000a +p2337 +tp2338 +a(g822 +V +p2339 +tp2340 +a(g7 +V! 1. X(1) = Xext\u000a +p2341 +tp2342 +a(g822 +V +p2343 +tp2344 +a(g7 +V! 2. DO ILEV=2,NLEV\u000a +p2345 +tp2346 +a(g822 +V +p2347 +tp2348 +a(g7 +V! X(ILEV) = AV(PR_SM_T_)*X(ILEV-1)\u000a +p2349 +tp2350 +a(g822 +V +p2351 +tp2352 +a(g7 +V! 3. Y(ILEV) = (K(ILEV)**(-1))*X(ILEV)\u000a +p2353 +tp2354 +a(g822 +V +p2355 +tp2356 +a(g7 +V! 4. DO ILEV=NLEV-1,1,-1\u000a +p2357 +tp2358 +a(g822 +V +p2359 +tp2360 +a(g7 +V! Y(ILEV) = AV(PR_SM_)*Y(ILEV+1)\u000a +p2361 +tp2362 +a(g822 +V +p2363 +tp2364 +a(g7 +V! 5. Yext = beta*Yext + Y(1)\u000a +p2365 +tp2366 +a(g822 +V +p2367 +tp2368 +a(g7 +V!\u000a +p2369 +tp2370 +a(g822 +V +p2371 +tp2372 +a(g7 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p2373 +tp2374 +a(g822 +V +p2375 +tp2376 +a(g7 +V! 1..NLEV <=> (j) <-> 0\u000a +p2377 +tp2378 +a(g822 +V\u000a\u000a +p2379 +tp2380 +a(g740 +Vcall +p2381 +tp2382 +a(g431 +Vpsb_baseprc_aply +p2383 +tp2384 +a(g701 +g1032 +tp2385 +a(g431 +Valpha +p2386 +tp2387 +a(g701 +g1036 +tp2388 +a(g431 +Vbaseprecv +p2389 +tp2390 +a(g701 +g1032 +tp2391 +a(g32 +g2064 +tp2392 +a(g701 +g1061 +tp2393 +a(g701 +g1036 +tp2394 +a(g431 +g1041 +tp2395 +a(g701 +g1036 +tp2396 +a(g431 +Vbeta +p2397 +tp2398 +a(g701 +g1036 +tp2399 +a(g431 +g1047 +tp2400 +a(g701 +g1036 +tp2401 +a(g701 +g1531 +tp2402 +a(g822 +V\u000a +p2403 +tp2404 +a(g701 +g1531 +tp2405 +a(g822 +g1322 +tp2406 +a(g431 +Vbaseprecv +p2407 +tp2408 +a(g701 +g1032 +tp2409 +a(g32 +g2064 +tp2410 +a(g701 +g1061 +tp2411 +a(g701 +g2163 +tp2412 +a(g431 +Vbase_desc +p2413 +tp2414 +a(g701 +g1036 +tp2415 +a(g431 +Vtrans +p2416 +tp2417 +a(g701 +g1036 +tp2418 +a(g431 +Vwork +p2419 +tp2420 +a(g701 +g1036 +tp2421 +a(g431 +Vinfo +p2422 +tp2423 +a(g701 +g1061 +tp2424 +a(g822 +V\u000a +p2425 +tp2426 +a(g740 +Vif +p2427 +tp2428 +a(g701 +g1032 +tp2429 +a(g431 +Vinfo +p2430 +tp2431 +a(g822 +g1322 +tp2432 +a(g408 +g2229 +tp2433 +a(g408 +g1699 +tp2434 +a(g32 +g2144 +tp2435 +a(g701 +g1061 +tp2436 +a(g822 +g1322 +tp2437 +a(g740 +Vgoto +p2438 +tp2439 +a(g32 +V9999 +p2440 +tp2441 +a(g822 +V\u000a +p2442 +tp2443 +a(g740 +Vallocate +p2444 +tp2445 +a(g701 +g1032 +tp2446 +a(g431 +Vmlprec_wrk +p2447 +tp2448 +a(g701 +g1032 +tp2449 +a(g32 +g2064 +tp2450 +a(g701 +g1061 +tp2451 +a(g701 +g2163 +tp2452 +a(g431 +Vx2l +p2453 +tp2454 +a(g701 +g1032 +tp2455 +a(g431 +Vsize +p2456 +tp2457 +a(g701 +g1032 +tp2458 +a(g431 +g1041 +tp2459 +a(g701 +g1061 +tp2460 +a(g701 +g1061 +tp2461 +a(g701 +g1036 +tp2462 +a(g431 +Vmlprec_wrk +p2463 +tp2464 +a(g701 +g1032 +tp2465 +a(g32 +g2064 +tp2466 +a(g701 +g1061 +tp2467 +a(g701 +g2163 +tp2468 +a(g431 +Vy2l +p2469 +tp2470 +a(g701 +g1032 +tp2471 +a(g431 +Vsize +p2472 +tp2473 +a(g701 +g1032 +tp2474 +a(g431 +g1047 +tp2475 +a(g701 +g1061 +tp2476 +a(g701 +g1061 +tp2477 +a(g701 +g1061 +tp2478 +a(g822 +V\u000a +p2479 +tp2480 +a(g431 +Vmlprec_wrk +p2481 +tp2482 +a(g701 +g1032 +tp2483 +a(g32 +g2064 +tp2484 +a(g701 +g1061 +tp2485 +a(g701 +g2163 +tp2486 +a(g431 +Vx2l +p2487 +tp2488 +a(g701 +g1032 +tp2489 +a(g701 +g1349 +tp2490 +a(g701 +g1061 +tp2491 +a(g822 +g1322 +tp2492 +a(g408 +g1699 +tp2493 +a(g822 +g1322 +tp2494 +a(g431 +g1041 +tp2495 +a(g701 +g1032 +tp2496 +a(g701 +g1349 +tp2497 +a(g701 +g1061 +tp2498 +a(g822 +V\u000a\u000a\u000a +p2499 +tp2500 +a(g740 +Vdo +p2501 +tp2502 +a(g431 +Vilev +p2503 +tp2504 +a(g822 +g1322 +tp2505 +a(g408 +g1699 +tp2506 +a(g822 +g1322 +tp2507 +a(g32 +g2275 +tp2508 +a(g701 +g1036 +tp2509 +a(g822 +g1322 +tp2510 +a(g431 +Vnlev +p2511 +tp2512 +a(g822 +V\u000a +p2513 +tp2514 +a(g431 +Vn_row +p2515 +tp2516 +a(g822 +g1322 +tp2517 +a(g408 +g1699 +tp2518 +a(g822 +g1322 +tp2519 +a(g431 +Vbaseprecv +p2520 +tp2521 +a(g701 +g1032 +tp2522 +a(g431 +Vilev +p2523 +tp2524 +a(g408 +V- +p2525 +tp2526 +a(g32 +g2064 +tp2527 +a(g701 +g1061 +tp2528 +a(g701 +g2163 +tp2529 +a(g431 +Vbase_desc +p2530 +tp2531 +a(g701 +g2163 +tp2532 +a(g431 +Vmatrix_data +p2533 +tp2534 +a(g701 +g1032 +tp2535 +a(g431 +Vpsb_n_row_ +p2536 +tp2537 +a(g701 +g1061 +tp2538 +a(g822 +V\u000a +p2539 +tp2540 +a(g431 +Vn_col +p2541 +tp2542 +a(g822 +g1322 +tp2543 +a(g408 +g1699 +tp2544 +a(g822 +g1322 +tp2545 +a(g431 +Vbaseprecv +p2546 +tp2547 +a(g701 +g1032 +tp2548 +a(g431 +Vilev +p2549 +tp2550 +a(g408 +g2525 +tp2551 +a(g32 +g2064 +tp2552 +a(g701 +g1061 +tp2553 +a(g701 +g2163 +tp2554 +a(g431 +Vdesc_data +p2555 +tp2556 +a(g701 +g2163 +tp2557 +a(g431 +Vmatrix_data +p2558 +tp2559 +a(g701 +g1032 +tp2560 +a(g431 +Vpsb_n_col_ +p2561 +tp2562 +a(g701 +g1061 +tp2563 +a(g822 +V\u000a +p2564 +tp2565 +a(g431 +Vnr2l +p2566 +tp2567 +a(g822 +V +p2568 +tp2569 +a(g408 +g1699 +tp2570 +a(g822 +g1322 +tp2571 +a(g431 +Vbaseprecv +p2572 +tp2573 +a(g701 +g1032 +tp2574 +a(g431 +Vilev +p2575 +tp2576 +a(g701 +g1061 +tp2577 +a(g701 +g2163 +tp2578 +a(g431 +Vdesc_data +p2579 +tp2580 +a(g701 +g2163 +tp2581 +a(g431 +Vmatrix_data +p2582 +tp2583 +a(g701 +g1032 +tp2584 +a(g431 +Vpsb_n_col_ +p2585 +tp2586 +a(g701 +g1061 +tp2587 +a(g822 +V\u000a +p2588 +tp2589 +a(g431 +Vnrg +p2590 +tp2591 +a(g822 +V +p2592 +tp2593 +a(g408 +g1699 +tp2594 +a(g822 +g1322 +tp2595 +a(g431 +Vbaseprecv +p2596 +tp2597 +a(g701 +g1032 +tp2598 +a(g431 +Vilev +p2599 +tp2600 +a(g701 +g1061 +tp2601 +a(g701 +g2163 +tp2602 +a(g431 +Vdesc_data +p2603 +tp2604 +a(g701 +g2163 +tp2605 +a(g431 +Vmatrix_data +p2606 +tp2607 +a(g701 +g1032 +tp2608 +a(g431 +Vpsb_n_row_ +p2609 +tp2610 +a(g701 +g1061 +tp2611 +a(g822 +V\u000a +p2612 +tp2613 +a(g740 +Vallocate +p2614 +tp2615 +a(g701 +g1032 +tp2616 +a(g431 +Vmlprec_wrk +p2617 +tp2618 +a(g701 +g1032 +tp2619 +a(g431 +Vilev +p2620 +tp2621 +a(g701 +g1061 +tp2622 +a(g701 +g2163 +tp2623 +a(g431 +Vx2l +p2624 +tp2625 +a(g701 +g1032 +tp2626 +a(g431 +Vnr2l +p2627 +tp2628 +a(g701 +g1061 +tp2629 +a(g701 +g1036 +tp2630 +a(g431 +Vmlprec_wrk +p2631 +tp2632 +a(g701 +g1032 +tp2633 +a(g431 +Vilev +p2634 +tp2635 +a(g701 +g1061 +tp2636 +a(g701 +g2163 +tp2637 +a(g431 +Vy2l +p2638 +tp2639 +a(g701 +g1032 +tp2640 +a(g431 +Vnr2l +p2641 +tp2642 +a(g701 +g1061 +tp2643 +a(g701 +g1036 +tp2644 +a(g701 +g1531 +tp2645 +a(g822 +V\u000a +p2646 +tp2647 +a(g701 +g1531 +tp2648 +a(g822 +g1322 +tp2649 +a(g431 +Vmlprec_wrk +p2650 +tp2651 +a(g701 +g1032 +tp2652 +a(g431 +Vilev +p2653 +tp2654 +a(g701 +g1061 +tp2655 +a(g701 +g2163 +tp2656 +a(g431 +Vtx +p2657 +tp2658 +a(g701 +g1032 +tp2659 +a(g677 +Vmax +p2660 +tp2661 +a(g701 +g1032 +tp2662 +a(g431 +Vn_row +p2663 +tp2664 +a(g701 +g1036 +tp2665 +a(g431 +Vn_col +p2666 +tp2667 +a(g701 +g1061 +tp2668 +a(g701 +g1061 +tp2669 +a(g701 +g1036 +tp2670 +a(g701 +g1531 +tp2671 +a(g822 +V\u000a +p2672 +tp2673 +a(g701 +g1531 +tp2674 +a(g822 +g1322 +tp2675 +a(g431 +Vmlprec_wrk +p2676 +tp2677 +a(g701 +g1032 +tp2678 +a(g431 +Vilev +p2679 +tp2680 +a(g701 +g1061 +tp2681 +a(g701 +g2163 +tp2682 +a(g431 +Vty +p2683 +tp2684 +a(g701 +g1032 +tp2685 +a(g677 +Vmax +p2686 +tp2687 +a(g701 +g1032 +tp2688 +a(g431 +Vn_row +p2689 +tp2690 +a(g701 +g1036 +tp2691 +a(g431 +Vn_col +p2692 +tp2693 +a(g701 +g1061 +tp2694 +a(g701 +g1061 +tp2695 +a(g701 +g1036 +tp2696 +a(g822 +g1322 +tp2697 +a(g677 +Vstat +p2698 +tp2699 +a(g408 +g1699 +tp2700 +a(g431 +Vinfo +p2701 +tp2702 +a(g701 +g1061 +tp2703 +a(g822 +V\u000a +p2704 +tp2705 +a(g740 +Vif +p2706 +tp2707 +a(g822 +g1322 +tp2708 +a(g701 +g1032 +tp2709 +a(g431 +Vinfo +p2710 +tp2711 +a(g822 +g1322 +tp2712 +a(g408 +g2229 +tp2713 +a(g408 +g1699 +tp2714 +a(g822 +g1322 +tp2715 +a(g32 +g2144 +tp2716 +a(g701 +g1061 +tp2717 +a(g822 +g1322 +tp2718 +a(g740 +Vthen\u000a +p2719 +tp2720 +a(g740 +Vcall +p2721 +tp2722 +a(g431 +Vpsb_errpush +p2723 +tp2724 +a(g701 +g1032 +tp2725 +a(g32 +V4010 +p2726 +tp2727 +a(g701 +g1036 +tp2728 +a(g431 +Vname +p2729 +tp2730 +a(g701 +g1036 +tp2731 +a(g431 +Va_err +p2732 +tp2733 +a(g408 +g1699 +tp2734 +a(g225 +V'Allocate' +p2735 +tp2736 +a(g701 +g1061 +tp2737 +a(g822 +V\u000a +p2738 +tp2739 +a(g740 +Vgoto +p2740 +tp2741 +a(g32 +V9999 +p2742 +tp2743 +a(g822 +V\u000a +p2744 +tp2745 +a(g740 +Vend +p2746 +tp2747 +a(g740 +Vif\u000a\u000a +p2748 +tp2749 +a(g431 +Vmlprec_wrk +p2750 +tp2751 +a(g701 +g1032 +tp2752 +a(g431 +Vilev +p2753 +tp2754 +a(g701 +g1061 +tp2755 +a(g701 +g2163 +tp2756 +a(g431 +Vx2l +p2757 +tp2758 +a(g701 +g1032 +tp2759 +a(g701 +g1349 +tp2760 +a(g701 +g1061 +tp2761 +a(g822 +g1322 +tp2762 +a(g408 +g1699 +tp2763 +a(g822 +g1322 +tp2764 +a(g431 +Vzzero +p2765 +tp2766 +a(g822 +V\u000a +p2767 +tp2768 +a(g431 +Vmlprec_wrk +p2769 +tp2770 +a(g701 +g1032 +tp2771 +a(g431 +Vilev +p2772 +tp2773 +a(g701 +g1061 +tp2774 +a(g701 +g2163 +tp2775 +a(g431 +Vy2l +p2776 +tp2777 +a(g701 +g1032 +tp2778 +a(g701 +g1349 +tp2779 +a(g701 +g1061 +tp2780 +a(g822 +g1322 +tp2781 +a(g408 +g1699 +tp2782 +a(g822 +g1322 +tp2783 +a(g431 +Vzzero +p2784 +tp2785 +a(g822 +V\u000a +p2786 +tp2787 +a(g431 +Vmlprec_wrk +p2788 +tp2789 +a(g701 +g1032 +tp2790 +a(g431 +Vilev +p2791 +tp2792 +a(g701 +g1061 +tp2793 +a(g701 +g2163 +tp2794 +a(g431 +Vtx +p2795 +tp2796 +a(g701 +g1032 +tp2797 +a(g32 +g2064 +tp2798 +a(g701 +g1349 +tp2799 +a(g431 +Vn_row +p2800 +tp2801 +a(g701 +g1061 +tp2802 +a(g822 +g1322 +tp2803 +a(g408 +g1699 +tp2804 +a(g822 +g1322 +tp2805 +a(g431 +Vmlprec_wrk +p2806 +tp2807 +a(g701 +g1032 +tp2808 +a(g431 +Vilev +p2809 +tp2810 +a(g408 +g2525 +tp2811 +a(g32 +g2064 +tp2812 +a(g701 +g1061 +tp2813 +a(g701 +g2163 +tp2814 +a(g431 +Vx2l +p2815 +tp2816 +a(g701 +g1032 +tp2817 +a(g32 +g2064 +tp2818 +a(g701 +g1349 +tp2819 +a(g431 +Vn_row +p2820 +tp2821 +a(g701 +g1061 +tp2822 +a(g822 +V\u000a +p2823 +tp2824 +a(g431 +Vmlprec_wrk +p2825 +tp2826 +a(g701 +g1032 +tp2827 +a(g431 +Vilev +p2828 +tp2829 +a(g701 +g1061 +tp2830 +a(g701 +g2163 +tp2831 +a(g431 +Vtx +p2832 +tp2833 +a(g701 +g1032 +tp2834 +a(g431 +Vn_row +p2835 +tp2836 +a(g408 +V+ +p2837 +tp2838 +a(g32 +g2064 +tp2839 +a(g701 +g1349 +tp2840 +a(g677 +Vmax +p2841 +tp2842 +a(g701 +g1032 +tp2843 +a(g431 +Vn_row +p2844 +tp2845 +a(g701 +g1036 +tp2846 +a(g431 +Vn_col +p2847 +tp2848 +a(g701 +g1061 +tp2849 +a(g701 +g1061 +tp2850 +a(g822 +g1322 +tp2851 +a(g408 +g1699 +tp2852 +a(g822 +g1322 +tp2853 +a(g431 +Vzzero +p2854 +tp2855 +a(g822 +V\u000a +p2856 +tp2857 +a(g431 +Vmlprec_wrk +p2858 +tp2859 +a(g701 +g1032 +tp2860 +a(g431 +Vilev +p2861 +tp2862 +a(g701 +g1061 +tp2863 +a(g701 +g2163 +tp2864 +a(g431 +Vty +p2865 +tp2866 +a(g701 +g1032 +tp2867 +a(g701 +g1349 +tp2868 +a(g701 +g1061 +tp2869 +a(g822 +g1322 +tp2870 +a(g408 +g1699 +tp2871 +a(g822 +g1322 +tp2872 +a(g431 +Vzzero +p2873 +tp2874 +a(g822 +V\u000a\u000a +p2875 +tp2876 +a(g431 +Vismth +p2877 +tp2878 +a(g408 +g1699 +tp2879 +a(g431 +Vbaseprecv +p2880 +tp2881 +a(g701 +g1032 +tp2882 +a(g431 +Vilev +p2883 +tp2884 +a(g701 +g1061 +tp2885 +a(g701 +g2163 +tp2886 +a(g431 +Viprcparm +p2887 +tp2888 +a(g701 +g1032 +tp2889 +a(g431 +Vsmth_kind_ +p2890 +tp2891 +a(g701 +g1061 +tp2892 +a(g822 +V\u000a\u000a +p2893 +tp2894 +a(g740 +Vif +p2895 +tp2896 +a(g822 +g1322 +tp2897 +a(g701 +g1032 +tp2898 +a(g431 +Vismth +p2899 +tp2900 +a(g822 +V +p2901 +tp2902 +a(g408 +g2229 +tp2903 +a(g408 +g1699 +tp2904 +a(g822 +g1322 +tp2905 +a(g431 +Vno_smth_ +p2906 +tp2907 +a(g701 +g1061 +tp2908 +a(g822 +g1322 +tp2909 +a(g740 +Vthen +p2910 +tp2911 +a(g822 +V\u000a +p2912 +tp2913 +a(g7 +V!\u000a +p2914 +tp2915 +a(g822 +V +p2916 +tp2917 +a(g7 +V! Smoothed aggregation\u000a +p2918 +tp2919 +a(g822 +V +p2920 +tp2921 +a(g7 +V!\u000a +p2922 +tp2923 +a(g822 +V\u000a\u000a +p2924 +tp2925 +a(g740 +Vif +p2926 +tp2927 +a(g822 +g1322 +tp2928 +a(g701 +g1032 +tp2929 +a(g431 +Vbaseprecv +p2930 +tp2931 +a(g701 +g1032 +tp2932 +a(g431 +Vilev +p2933 +tp2934 +a(g701 +g1061 +tp2935 +a(g701 +g2163 +tp2936 +a(g431 +Viprcparm +p2937 +tp2938 +a(g701 +g1032 +tp2939 +a(g431 +Vglb_smth_ +p2940 +tp2941 +a(g701 +g1061 +tp2942 +a(g822 +g1322 +tp2943 +a(g408 +g1794 +tp2944 +a(g32 +g2144 +tp2945 +a(g701 +g1061 +tp2946 +a(g822 +g1322 +tp2947 +a(g740 +Vthen\u000a +p2948 +tp2949 +a(g740 +Vcall +p2950 +tp2951 +a(g431 +Vpsb_halo +p2952 +tp2953 +a(g701 +g1032 +tp2954 +a(g431 +Vmlprec_wrk +p2955 +tp2956 +a(g701 +g1032 +tp2957 +a(g431 +Vilev +p2958 +tp2959 +a(g408 +g2525 +tp2960 +a(g32 +g2064 +tp2961 +a(g701 +g1061 +tp2962 +a(g701 +g2163 +tp2963 +a(g431 +Vx2l +p2964 +tp2965 +a(g701 +g1036 +tp2966 +a(g431 +Vbaseprecv +p2967 +tp2968 +a(g701 +g1032 +tp2969 +a(g431 +Vilev +p2970 +tp2971 +a(g408 +g2525 +tp2972 +a(g32 +g2064 +tp2973 +a(g701 +g1061 +tp2974 +a(g701 +g2163 +tp2975 +a(g431 +Vbase_desc +p2976 +tp2977 +a(g701 +g1036 +tp2978 +a(g701 +g1531 +tp2979 +a(g822 +V\u000a +p2980 +tp2981 +a(g701 +g1531 +tp2982 +a(g822 +V +p2983 +tp2984 +a(g431 +Vinfo +p2985 +tp2986 +a(g701 +g1036 +tp2987 +a(g431 +Vwork +p2988 +tp2989 +a(g408 +g1699 +tp2990 +a(g431 +Vwork +p2991 +tp2992 +a(g701 +g1061 +tp2993 +a(g822 +V\u000a +p2994 +tp2995 +a(g740 +Vif +p2996 +tp2997 +a(g701 +g1032 +tp2998 +a(g431 +Vinfo +p2999 +tp3000 +a(g822 +g1322 +tp3001 +a(g408 +g2229 +tp3002 +a(g408 +g1699 +tp3003 +a(g32 +g2144 +tp3004 +a(g701 +g1061 +tp3005 +a(g822 +g1322 +tp3006 +a(g740 +Vgoto +p3007 +tp3008 +a(g32 +V9999 +p3009 +tp3010 +a(g822 +V\u000a +p3011 +tp3012 +a(g740 +Velse\u000a +p3013 +tp3014 +a(g431 +Vmlprec_wrk +p3015 +tp3016 +a(g701 +g1032 +tp3017 +a(g431 +Vilev +p3018 +tp3019 +a(g408 +g2525 +tp3020 +a(g32 +g2064 +tp3021 +a(g701 +g1061 +tp3022 +a(g701 +g2163 +tp3023 +a(g431 +Vx2l +p3024 +tp3025 +a(g701 +g1032 +tp3026 +a(g431 +Vn_row +p3027 +tp3028 +a(g408 +g2837 +tp3029 +a(g32 +g2064 +tp3030 +a(g701 +g1349 +tp3031 +a(g677 +Vmax +p3032 +tp3033 +a(g701 +g1032 +tp3034 +a(g431 +Vn_row +p3035 +tp3036 +a(g701 +g1036 +tp3037 +a(g431 +Vn_col +p3038 +tp3039 +a(g701 +g1061 +tp3040 +a(g701 +g1061 +tp3041 +a(g822 +g1322 +tp3042 +a(g408 +g1699 +tp3043 +a(g822 +g1322 +tp3044 +a(g431 +Vzzero +p3045 +tp3046 +a(g822 +V\u000a +p3047 +tp3048 +a(g740 +Vend +p3049 +tp3050 +a(g740 +Vif\u000a\u000a +p3051 +tp3052 +a(g740 +Vcall +p3053 +tp3054 +a(g431 +Vpsb_csmm +p3055 +tp3056 +a(g701 +g1032 +tp3057 +a(g431 +Vzone +p3058 +tp3059 +a(g701 +g1036 +tp3060 +a(g431 +Vbaseprecv +p3061 +tp3062 +a(g701 +g1032 +tp3063 +a(g431 +Vilev +p3064 +tp3065 +a(g701 +g1061 +tp3066 +a(g701 +g2163 +tp3067 +a(g431 +Vav +p3068 +tp3069 +a(g701 +g1032 +tp3070 +a(g431 +Vsm_pr_t_ +p3071 +tp3072 +a(g701 +g1061 +tp3073 +a(g701 +g1036 +tp3074 +a(g431 +Vmlprec_wrk +p3075 +tp3076 +a(g701 +g1032 +tp3077 +a(g431 +Vilev +p3078 +tp3079 +a(g408 +g2525 +tp3080 +a(g32 +g2064 +tp3081 +a(g701 +g1061 +tp3082 +a(g701 +g2163 +tp3083 +a(g431 +Vx2l +p3084 +tp3085 +a(g701 +g1036 +tp3086 +a(g701 +g1531 +tp3087 +a(g822 +V\u000a +p3088 +tp3089 +a(g701 +g1531 +tp3090 +a(g822 +g1322 +tp3091 +a(g431 +Vzzero +p3092 +tp3093 +a(g701 +g1036 +tp3094 +a(g431 +Vmlprec_wrk +p3095 +tp3096 +a(g701 +g1032 +tp3097 +a(g431 +Vilev +p3098 +tp3099 +a(g701 +g1061 +tp3100 +a(g701 +g2163 +tp3101 +a(g431 +Vx2l +p3102 +tp3103 +a(g701 +g1036 +tp3104 +a(g431 +Vinfo +p3105 +tp3106 +a(g701 +g1061 +tp3107 +a(g822 +V\u000a +p3108 +tp3109 +a(g740 +Vif +p3110 +tp3111 +a(g701 +g1032 +tp3112 +a(g431 +Vinfo +p3113 +tp3114 +a(g822 +g1322 +tp3115 +a(g408 +g2229 +tp3116 +a(g408 +g1699 +tp3117 +a(g32 +g2144 +tp3118 +a(g701 +g1061 +tp3119 +a(g822 +g1322 +tp3120 +a(g740 +Vgoto +p3121 +tp3122 +a(g32 +V9999 +p3123 +tp3124 +a(g822 +V\u000a\u000a +p3125 +tp3126 +a(g740 +Velse +p3127 +tp3128 +a(g822 +V\u000a +p3129 +tp3130 +a(g7 +V!\u000a +p3131 +tp3132 +a(g822 +V +p3133 +tp3134 +a(g7 +V! Raw aggregation, may take shortcut\u000a +p3135 +tp3136 +a(g822 +V +p3137 +tp3138 +a(g7 +V!\u000a +p3139 +tp3140 +a(g822 +V +p3141 +tp3142 +a(g740 +Vdo +p3143 +tp3144 +a(g431 +g1592 +tp3145 +a(g408 +g1699 +tp3146 +a(g32 +g2064 +tp3147 +a(g701 +g1036 +tp3148 +a(g431 +Vn_row +p3149 +tp3150 +a(g822 +V\u000a +p3151 +tp3152 +a(g431 +Vmlprec_wrk +p3153 +tp3154 +a(g701 +g1032 +tp3155 +a(g431 +Vilev +p3156 +tp3157 +a(g701 +g1061 +tp3158 +a(g701 +g2163 +tp3159 +a(g431 +Vx2l +p3160 +tp3161 +a(g701 +g1032 +tp3162 +a(g431 +Vbaseprecv +p3163 +tp3164 +a(g701 +g1032 +tp3165 +a(g431 +Vilev +p3166 +tp3167 +a(g701 +g1061 +tp3168 +a(g701 +g2163 +tp3169 +a(g431 +Vmlia +p3170 +tp3171 +a(g701 +g1032 +tp3172 +a(g431 +g1592 +tp3173 +a(g701 +g1061 +tp3174 +a(g701 +g1061 +tp3175 +a(g822 +g1322 +tp3176 +a(g408 +g1699 +tp3177 +a(g822 +g1322 +tp3178 +a(g701 +g1531 +tp3179 +a(g822 +V\u000a +p3180 +tp3181 +a(g701 +g1531 +tp3182 +a(g822 +V +p3183 +tp3184 +a(g431 +Vmlprec_wrk +p3185 +tp3186 +a(g701 +g1032 +tp3187 +a(g431 +Vilev +p3188 +tp3189 +a(g701 +g1061 +tp3190 +a(g701 +g2163 +tp3191 +a(g431 +Vx2l +p3192 +tp3193 +a(g701 +g1032 +tp3194 +a(g431 +Vbaseprecv +p3195 +tp3196 +a(g701 +g1032 +tp3197 +a(g431 +Vilev +p3198 +tp3199 +a(g701 +g1061 +tp3200 +a(g701 +g2163 +tp3201 +a(g431 +Vmlia +p3202 +tp3203 +a(g701 +g1032 +tp3204 +a(g431 +g1592 +tp3205 +a(g701 +g1061 +tp3206 +a(g701 +g1061 +tp3207 +a(g822 +g1322 +tp3208 +a(g408 +g2837 +tp3209 +a(g822 +g1322 +tp3210 +a(g701 +g1531 +tp3211 +a(g822 +V\u000a +p3212 +tp3213 +a(g701 +g1531 +tp3214 +a(g822 +V +p3215 +tp3216 +a(g431 +Vmlprec_wrk +p3217 +tp3218 +a(g701 +g1032 +tp3219 +a(g431 +Vilev +p3220 +tp3221 +a(g408 +g2525 +tp3222 +a(g32 +g2064 +tp3223 +a(g701 +g1061 +tp3224 +a(g701 +g2163 +tp3225 +a(g431 +Vx2l +p3226 +tp3227 +a(g701 +g1032 +tp3228 +a(g431 +g1592 +tp3229 +a(g701 +g1061 +tp3230 +a(g822 +V\u000a +p3231 +tp3232 +a(g740 +Vend +p3233 +tp3234 +a(g740 +Vdo\u000a\u000a +p3235 +tp3236 +a(g740 +Vend +p3237 +tp3238 +a(g740 +Vif\u000a\u000a +p3239 +tp3240 +a(g740 +Vif +p3241 +tp3242 +a(g822 +g1322 +tp3243 +a(g701 +g1032 +tp3244 +a(g431 +Vbaseprecv +p3245 +tp3246 +a(g701 +g1032 +tp3247 +a(g431 +Vilev +p3248 +tp3249 +a(g701 +g1061 +tp3250 +a(g701 +g2163 +tp3251 +a(g431 +Viprcparm +p3252 +tp3253 +a(g701 +g1032 +tp3254 +a(g431 +Vcoarse_mat_ +p3255 +tp3256 +a(g701 +g1061 +tp3257 +a(g408 +V== +p3258 +tp3259 +a(g431 +Vmat_repl_ +p3260 +tp3261 +a(g701 +g1061 +tp3262 +a(g822 +g1322 +tp3263 +a(g740 +VThen\u000a +p3264 +tp3265 +a(g740 +Vcall +p3266 +tp3267 +a(g431 +Vpsb_sum +p3268 +tp3269 +a(g701 +g1032 +tp3270 +a(g431 +Victxt +p3271 +tp3272 +a(g701 +g1036 +tp3273 +a(g431 +Vmlprec_wrk +p3274 +tp3275 +a(g701 +g1032 +tp3276 +a(g431 +Vilev +p3277 +tp3278 +a(g701 +g1061 +tp3279 +a(g701 +g2163 +tp3280 +a(g431 +Vx2l +p3281 +tp3282 +a(g701 +g1032 +tp3283 +a(g32 +g2064 +tp3284 +a(g701 +g1349 +tp3285 +a(g431 +Vnrg +p3286 +tp3287 +a(g701 +g1061 +tp3288 +a(g701 +g1061 +tp3289 +a(g822 +V\u000a +p3290 +tp3291 +a(g740 +Velse +p3292 +tp3293 +a(g740 +Vif +p3294 +tp3295 +a(g822 +g1322 +tp3296 +a(g701 +g1032 +tp3297 +a(g431 +Vbaseprecv +p3298 +tp3299 +a(g701 +g1032 +tp3300 +a(g431 +Vilev +p3301 +tp3302 +a(g701 +g1061 +tp3303 +a(g701 +g2163 +tp3304 +a(g431 +Viprcparm +p3305 +tp3306 +a(g701 +g1032 +tp3307 +a(g431 +Vcoarse_mat_ +p3308 +tp3309 +a(g701 +g1061 +tp3310 +a(g822 +g1322 +tp3311 +a(g408 +g2229 +tp3312 +a(g408 +g1699 +tp3313 +a(g822 +g1322 +tp3314 +a(g431 +Vmat_distr_ +p3315 +tp3316 +a(g701 +g1061 +tp3317 +a(g822 +g1322 +tp3318 +a(g740 +VThen\u000a +p3319 +tp3320 +a(g740 +Vwrite +p3321 +tp3322 +a(g701 +g1032 +tp3323 +a(g32 +g2144 +tp3324 +a(g701 +g1036 +tp3325 +a(g408 +V* +p3326 +tp3327 +a(g701 +g1061 +tp3328 +a(g822 +g1322 +tp3329 +a(g225 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p3330 +tp3331 +a(g701 +g1036 +tp3332 +a(g701 +g1531 +tp3333 +a(g822 +V\u000a +p3334 +tp3335 +a(g701 +g1531 +tp3336 +a(g822 +g1322 +tp3337 +a(g431 +Vbaseprecv +p3338 +tp3339 +a(g701 +g1032 +tp3340 +a(g431 +Vilev +p3341 +tp3342 +a(g701 +g1061 +tp3343 +a(g701 +g2163 +tp3344 +a(g431 +Viprcparm +p3345 +tp3346 +a(g701 +g1032 +tp3347 +a(g431 +Vcoarse_mat_ +p3348 +tp3349 +a(g701 +g1061 +tp3350 +a(g822 +V\u000a +p3351 +tp3352 +a(g431 +Vendif +p3353 +tp3354 +a(g822 +V\u000a\u000a +p3355 +tp3356 +a(g740 +Vcall +p3357 +tp3358 +a(g431 +Vpsb_baseprc_aply +p3359 +tp3360 +a(g701 +g1032 +tp3361 +a(g431 +Vzone +p3362 +tp3363 +a(g701 +g1036 +tp3364 +a(g431 +Vbaseprecv +p3365 +tp3366 +a(g701 +g1032 +tp3367 +a(g431 +Vilev +p3368 +tp3369 +a(g701 +g1061 +tp3370 +a(g701 +g1036 +tp3371 +a(g701 +g1531 +tp3372 +a(g822 +V\u000a +p3373 +tp3374 +a(g701 +g1531 +tp3375 +a(g822 +g1322 +tp3376 +a(g431 +Vmlprec_wrk +p3377 +tp3378 +a(g701 +g1032 +tp3379 +a(g431 +Vilev +p3380 +tp3381 +a(g701 +g1061 +tp3382 +a(g701 +g2163 +tp3383 +a(g431 +Vx2l +p3384 +tp3385 +a(g701 +g1036 +tp3386 +a(g431 +Vzzero +p3387 +tp3388 +a(g701 +g1036 +tp3389 +a(g431 +Vmlprec_wrk +p3390 +tp3391 +a(g701 +g1032 +tp3392 +a(g431 +Vilev +p3393 +tp3394 +a(g701 +g1061 +tp3395 +a(g701 +g2163 +tp3396 +a(g431 +Vy2l +p3397 +tp3398 +a(g701 +g1036 +tp3399 +a(g701 +g1531 +tp3400 +a(g822 +V\u000a +p3401 +tp3402 +a(g701 +g1531 +tp3403 +a(g822 +g1322 +tp3404 +a(g431 +Vbaseprecv +p3405 +tp3406 +a(g701 +g1032 +tp3407 +a(g431 +Vilev +p3408 +tp3409 +a(g701 +g1061 +tp3410 +a(g701 +g2163 +tp3411 +a(g431 +Vdesc_data +p3412 +tp3413 +a(g701 +g1036 +tp3414 +a(g822 +g1322 +tp3415 +a(g225 +V'N' +p3416 +tp3417 +a(g701 +g1036 +tp3418 +a(g431 +Vwork +p3419 +tp3420 +a(g701 +g1036 +tp3421 +a(g431 +Vinfo +p3422 +tp3423 +a(g701 +g1061 +tp3424 +a(g822 +V\u000a\u000a +p3425 +tp3426 +a(g431 +Venddo +p3427 +tp3428 +a(g822 +V\u000a\u000a +p3429 +tp3430 +a(g740 +Vdo +p3431 +tp3432 +a(g431 +Vilev +p3433 +tp3434 +a(g822 +g1322 +tp3435 +a(g408 +g1699 +tp3436 +a(g431 +Vnlev +p3437 +tp3438 +a(g701 +g1036 +tp3439 +a(g32 +g2275 +tp3440 +a(g701 +g1036 +tp3441 +a(g408 +g2525 +tp3442 +a(g32 +g2064 +tp3443 +a(g822 +V\u000a\u000a +p3444 +tp3445 +a(g431 +Vismth +p3446 +tp3447 +a(g408 +g1699 +tp3448 +a(g431 +Vbaseprecv +p3449 +tp3450 +a(g701 +g1032 +tp3451 +a(g431 +Vilev +p3452 +tp3453 +a(g701 +g1061 +tp3454 +a(g701 +g2163 +tp3455 +a(g431 +Viprcparm +p3456 +tp3457 +a(g701 +g1032 +tp3458 +a(g431 +Vsmth_kind_ +p3459 +tp3460 +a(g701 +g1061 +tp3461 +a(g822 +V\u000a +p3462 +tp3463 +a(g431 +Vn_row +p3464 +tp3465 +a(g822 +g1322 +tp3466 +a(g408 +g1699 +tp3467 +a(g822 +g1322 +tp3468 +a(g431 +Vbaseprecv +p3469 +tp3470 +a(g701 +g1032 +tp3471 +a(g431 +Vilev +p3472 +tp3473 +a(g408 +g2525 +tp3474 +a(g32 +g2064 +tp3475 +a(g701 +g1061 +tp3476 +a(g701 +g2163 +tp3477 +a(g431 +Vbase_desc +p3478 +tp3479 +a(g701 +g2163 +tp3480 +a(g431 +Vmatrix_data +p3481 +tp3482 +a(g701 +g1032 +tp3483 +a(g431 +Vpsb_n_row_ +p3484 +tp3485 +a(g701 +g1061 +tp3486 +a(g822 +V\u000a +p3487 +tp3488 +a(g431 +Vn_col +p3489 +tp3490 +a(g822 +g1322 +tp3491 +a(g408 +g1699 +tp3492 +a(g822 +g1322 +tp3493 +a(g431 +Vbaseprecv +p3494 +tp3495 +a(g701 +g1032 +tp3496 +a(g431 +Vilev +p3497 +tp3498 +a(g408 +g2525 +tp3499 +a(g32 +g2064 +tp3500 +a(g701 +g1061 +tp3501 +a(g701 +g2163 +tp3502 +a(g431 +Vdesc_data +p3503 +tp3504 +a(g701 +g2163 +tp3505 +a(g431 +Vmatrix_data +p3506 +tp3507 +a(g701 +g1032 +tp3508 +a(g431 +Vpsb_n_col_ +p3509 +tp3510 +a(g701 +g1061 +tp3511 +a(g822 +V\u000a +p3512 +tp3513 +a(g431 +Vnr2l +p3514 +tp3515 +a(g822 +V +p3516 +tp3517 +a(g408 +g1699 +tp3518 +a(g822 +g1322 +tp3519 +a(g431 +Vbaseprecv +p3520 +tp3521 +a(g701 +g1032 +tp3522 +a(g431 +Vilev +p3523 +tp3524 +a(g701 +g1061 +tp3525 +a(g701 +g2163 +tp3526 +a(g431 +Vdesc_data +p3527 +tp3528 +a(g701 +g2163 +tp3529 +a(g431 +Vmatrix_data +p3530 +tp3531 +a(g701 +g1032 +tp3532 +a(g431 +Vpsb_n_col_ +p3533 +tp3534 +a(g701 +g1061 +tp3535 +a(g822 +V\u000a +p3536 +tp3537 +a(g431 +Vnrg +p3538 +tp3539 +a(g822 +V +p3540 +tp3541 +a(g408 +g1699 +tp3542 +a(g822 +g1322 +tp3543 +a(g431 +Vbaseprecv +p3544 +tp3545 +a(g701 +g1032 +tp3546 +a(g431 +Vilev +p3547 +tp3548 +a(g701 +g1061 +tp3549 +a(g701 +g2163 +tp3550 +a(g431 +Vdesc_data +p3551 +tp3552 +a(g701 +g2163 +tp3553 +a(g431 +Vmatrix_data +p3554 +tp3555 +a(g701 +g1032 +tp3556 +a(g431 +Vpsb_n_row_ +p3557 +tp3558 +a(g701 +g1061 +tp3559 +a(g822 +V\u000a\u000a +p3560 +tp3561 +a(g740 +Vif +p3562 +tp3563 +a(g822 +g1322 +tp3564 +a(g701 +g1032 +tp3565 +a(g431 +Vismth +p3566 +tp3567 +a(g822 +V +p3568 +tp3569 +a(g408 +g2229 +tp3570 +a(g408 +g1699 +tp3571 +a(g822 +g1322 +tp3572 +a(g431 +Vno_smth_ +p3573 +tp3574 +a(g701 +g1061 +tp3575 +a(g822 +g1322 +tp3576 +a(g740 +Vthen\u000a\u000a +p3577 +tp3578 +a(g740 +Vcall +p3579 +tp3580 +a(g431 +Vpsb_csmm +p3581 +tp3582 +a(g701 +g1032 +tp3583 +a(g431 +Vzone +p3584 +tp3585 +a(g701 +g1036 +tp3586 +a(g431 +Vbaseprecv +p3587 +tp3588 +a(g701 +g1032 +tp3589 +a(g431 +Vilev +p3590 +tp3591 +a(g701 +g1061 +tp3592 +a(g701 +g2163 +tp3593 +a(g431 +Vav +p3594 +tp3595 +a(g701 +g1032 +tp3596 +a(g431 +Vsm_pr_ +p3597 +tp3598 +a(g701 +g1061 +tp3599 +a(g701 +g1036 +tp3600 +a(g431 +Vmlprec_wrk +p3601 +tp3602 +a(g701 +g1032 +tp3603 +a(g431 +Vilev +p3604 +tp3605 +a(g701 +g1061 +tp3606 +a(g701 +g2163 +tp3607 +a(g431 +Vy2l +p3608 +tp3609 +a(g701 +g1036 +tp3610 +a(g701 +g1531 +tp3611 +a(g822 +V\u000a +p3612 +tp3613 +a(g701 +g1531 +tp3614 +a(g822 +g1322 +tp3615 +a(g431 +Vzone +p3616 +tp3617 +a(g701 +g1036 +tp3618 +a(g431 +Vmlprec_wrk +p3619 +tp3620 +a(g701 +g1032 +tp3621 +a(g431 +Vilev +p3622 +tp3623 +a(g408 +g2525 +tp3624 +a(g32 +g2064 +tp3625 +a(g701 +g1061 +tp3626 +a(g701 +g2163 +tp3627 +a(g431 +Vy2l +p3628 +tp3629 +a(g701 +g1036 +tp3630 +a(g431 +Vinfo +p3631 +tp3632 +a(g701 +g1061 +tp3633 +a(g822 +V\u000a +p3634 +tp3635 +a(g740 +Vif +p3636 +tp3637 +a(g701 +g1032 +tp3638 +a(g431 +Vinfo +p3639 +tp3640 +a(g822 +g1322 +tp3641 +a(g408 +g2229 +tp3642 +a(g408 +g1699 +tp3643 +a(g32 +g2144 +tp3644 +a(g701 +g1061 +tp3645 +a(g822 +g1322 +tp3646 +a(g740 +Vgoto +p3647 +tp3648 +a(g32 +V9999 +p3649 +tp3650 +a(g822 +V\u000a\u000a +p3651 +tp3652 +a(g740 +Velse\u000a\u000a +p3653 +tp3654 +a(g740 +Vdo +p3655 +tp3656 +a(g431 +g1592 +tp3657 +a(g408 +g1699 +tp3658 +a(g32 +g2064 +tp3659 +a(g701 +g1036 +tp3660 +a(g822 +g1322 +tp3661 +a(g431 +Vn_row +p3662 +tp3663 +a(g822 +V\u000a +p3664 +tp3665 +a(g431 +Vmlprec_wrk +p3666 +tp3667 +a(g701 +g1032 +tp3668 +a(g431 +Vilev +p3669 +tp3670 +a(g408 +g2525 +tp3671 +a(g32 +g2064 +tp3672 +a(g701 +g1061 +tp3673 +a(g701 +g2163 +tp3674 +a(g431 +Vy2l +p3675 +tp3676 +a(g701 +g1032 +tp3677 +a(g431 +g1592 +tp3678 +a(g701 +g1061 +tp3679 +a(g822 +g1322 +tp3680 +a(g408 +g1699 +tp3681 +a(g822 +g1322 +tp3682 +a(g431 +Vmlprec_wrk +p3683 +tp3684 +a(g701 +g1032 +tp3685 +a(g431 +Vilev +p3686 +tp3687 +a(g408 +g2525 +tp3688 +a(g32 +g2064 +tp3689 +a(g701 +g1061 +tp3690 +a(g701 +g2163 +tp3691 +a(g431 +Vy2l +p3692 +tp3693 +a(g701 +g1032 +tp3694 +a(g431 +g1592 +tp3695 +a(g701 +g1061 +tp3696 +a(g822 +g1322 +tp3697 +a(g408 +g2837 +tp3698 +a(g822 +g1322 +tp3699 +a(g701 +g1531 +tp3700 +a(g822 +V\u000a +p3701 +tp3702 +a(g701 +g1531 +tp3703 +a(g822 +V +p3704 +tp3705 +a(g431 +Vmlprec_wrk +p3706 +tp3707 +a(g701 +g1032 +tp3708 +a(g431 +Vilev +p3709 +tp3710 +a(g701 +g1061 +tp3711 +a(g701 +g2163 +tp3712 +a(g431 +Vy2l +p3713 +tp3714 +a(g701 +g1032 +tp3715 +a(g431 +Vbaseprecv +p3716 +tp3717 +a(g701 +g1032 +tp3718 +a(g431 +Vilev +p3719 +tp3720 +a(g701 +g1061 +tp3721 +a(g701 +g2163 +tp3722 +a(g431 +Vmlia +p3723 +tp3724 +a(g701 +g1032 +tp3725 +a(g431 +g1592 +tp3726 +a(g701 +g1061 +tp3727 +a(g701 +g1061 +tp3728 +a(g822 +V\u000a +p3729 +tp3730 +a(g431 +Venddo +p3731 +tp3732 +a(g822 +V\u000a\u000a +p3733 +tp3734 +a(g740 +Vend +p3735 +tp3736 +a(g740 +Vif\u000a +p3737 +tp3738 +a(g740 +Vend +p3739 +tp3740 +a(g740 +Vdo\u000a\u000a +p3741 +tp3742 +a(g740 +Vcall +p3743 +tp3744 +a(g431 +Vpsb_geaxpby +p3745 +tp3746 +a(g701 +g1032 +tp3747 +a(g431 +Valpha +p3748 +tp3749 +a(g701 +g1036 +tp3750 +a(g431 +Vmlprec_wrk +p3751 +tp3752 +a(g701 +g1032 +tp3753 +a(g32 +g2064 +tp3754 +a(g701 +g1061 +tp3755 +a(g701 +g2163 +tp3756 +a(g431 +Vy2l +p3757 +tp3758 +a(g701 +g1036 +tp3759 +a(g431 +Vzone +p3760 +tp3761 +a(g701 +g1036 +tp3762 +a(g431 +g1047 +tp3763 +a(g701 +g1036 +tp3764 +a(g431 +Vbaseprecv +p3765 +tp3766 +a(g701 +g1032 +tp3767 +a(g32 +g2064 +tp3768 +a(g701 +g1061 +tp3769 +a(g701 +g2163 +tp3770 +a(g431 +Vbase_desc +p3771 +tp3772 +a(g701 +g1036 +tp3773 +a(g431 +Vinfo +p3774 +tp3775 +a(g701 +g1061 +tp3776 +a(g822 +V\u000a +p3777 +tp3778 +a(g740 +Vif +p3779 +tp3780 +a(g701 +g1032 +tp3781 +a(g431 +Vinfo +p3782 +tp3783 +a(g822 +g1322 +tp3784 +a(g408 +g2229 +tp3785 +a(g408 +g1699 +tp3786 +a(g32 +g2144 +tp3787 +a(g701 +g1061 +tp3788 +a(g822 +g1322 +tp3789 +a(g740 +Vgoto +p3790 +tp3791 +a(g32 +V9999 +p3792 +tp3793 +a(g822 +V\u000a\u000a\u000a +p3794 +tp3795 +a(g740 +Vcase +p3796 +tp3797 +a(g701 +g1032 +tp3798 +a(g431 +Vmult_ml_prec_ +p3799 +tp3800 +a(g701 +g1061 +tp3801 +a(g822 +V\u000a\u000a +p3802 +tp3803 +a(g7 +V!\u000a +p3804 +tp3805 +a(g822 +V +p3806 +tp3807 +a(g7 +V! Multiplicative multilevel\u000a +p3808 +tp3809 +a(g822 +V +p3810 +tp3811 +a(g7 +V! Pre/post smoothing versions.\u000a +p3812 +tp3813 +a(g822 +V +p3814 +tp3815 +a(g7 +V!\u000a +p3816 +tp3817 +a(g822 +V\u000a +p3818 +tp3819 +a(g740 +Vselect +p3820 +tp3821 +a(g740 +Vcase +p3822 +tp3823 +a(g701 +g1032 +tp3824 +a(g431 +Vbaseprecv +p3825 +tp3826 +a(g701 +g1032 +tp3827 +a(g32 +g2275 +tp3828 +a(g701 +g1061 +tp3829 +a(g701 +g2163 +tp3830 +a(g431 +Viprcparm +p3831 +tp3832 +a(g701 +g1032 +tp3833 +a(g431 +Vsmth_pos_ +p3834 +tp3835 +a(g701 +g1061 +tp3836 +a(g701 +g1061 +tp3837 +a(g822 +V\u000a\u000a +p3838 +tp3839 +a(g740 +Vcase +p3840 +tp3841 +a(g701 +g1032 +tp3842 +a(g431 +Vpost_smooth_ +p3843 +tp3844 +a(g701 +g1061 +tp3845 +a(g822 +V\u000a\u000a\u000a +p3846 +tp3847 +a(g7 +V!\u000a +p3848 +tp3849 +a(g822 +V +p3850 +tp3851 +a(g7 +V! Post smoothing.\u000a +p3852 +tp3853 +a(g822 +V +p3854 +tp3855 +a(g7 +V! 1. X(1) = Xext\u000a +p3856 +tp3857 +a(g822 +V +p3858 +tp3859 +a(g7 +V! 2. DO ILEV=2, NLEV :: X(ILEV) = AV(PR_SM_T_,ILEV)*X(ILEV-1)\u000a +p3860 +tp3861 +a(g822 +V +p3862 +tp3863 +a(g7 +V! 3. Y(NLEV) = (K(NLEV)**(-1))*X(NLEV)\u000a +p3864 +tp3865 +a(g822 +V +p3866 +tp3867 +a(g7 +V! 4. DO ILEV=NLEV-1,1,-1\u000a +p3868 +tp3869 +a(g822 +V +p3870 +tp3871 +a(g7 +V! Y(ILEV) = AV(PR_SM_,ILEV+1)*Y(ILEV+1)\u000a +p3872 +tp3873 +a(g822 +V +p3874 +tp3875 +a(g7 +V! Y(ILEV) = Y(ILEV) + (K(ILEV)**(-1))*(X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p3876 +tp3877 +a(g822 +V +p3878 +tp3879 +a(g7 +V!\u000a +p3880 +tp3881 +a(g822 +V +p3882 +tp3883 +a(g7 +V! 5. Yext = beta*Yext + Y(1)\u000a +p3884 +tp3885 +a(g822 +V +p3886 +tp3887 +a(g7 +V!\u000a +p3888 +tp3889 +a(g822 +V +p3890 +tp3891 +a(g7 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p3892 +tp3893 +a(g822 +V +p3894 +tp3895 +a(g7 +V! 1..NLEV <=> (j) <-> 0\u000a +p3896 +tp3897 +a(g822 +V +p3898 +tp3899 +a(g7 +V!\u000a +p3900 +tp3901 +a(g822 +V +p3902 +tp3903 +a(g7 +V! Also: post smoothing is not spelled out in detail in DD.\u000a +p3904 +tp3905 +a(g822 +V +p3906 +tp3907 +a(g7 +V!\u000a +p3908 +tp3909 +a(g822 +V +p3910 +tp3911 +a(g7 +V!\u000a +p3912 +tp3913 +a(g822 +V\u000a\u000a +p3914 +tp3915 +a(g431 +Vn_col +p3916 +tp3917 +a(g822 +g1322 +tp3918 +a(g408 +g1699 +tp3919 +a(g822 +g1322 +tp3920 +a(g431 +Vdesc_data +p3921 +tp3922 +a(g701 +g2163 +tp3923 +a(g431 +Vmatrix_data +p3924 +tp3925 +a(g701 +g1032 +tp3926 +a(g431 +Vpsb_n_col_ +p3927 +tp3928 +a(g701 +g1061 +tp3929 +a(g822 +V\u000a +p3930 +tp3931 +a(g431 +Vnr2l +p3932 +tp3933 +a(g822 +V +p3934 +tp3935 +a(g408 +g1699 +tp3936 +a(g822 +g1322 +tp3937 +a(g431 +Vbaseprecv +p3938 +tp3939 +a(g701 +g1032 +tp3940 +a(g32 +g2064 +tp3941 +a(g701 +g1061 +tp3942 +a(g701 +g2163 +tp3943 +a(g431 +Vdesc_data +p3944 +tp3945 +a(g701 +g2163 +tp3946 +a(g431 +Vmatrix_data +p3947 +tp3948 +a(g701 +g1032 +tp3949 +a(g431 +Vpsb_n_col_ +p3950 +tp3951 +a(g701 +g1061 +tp3952 +a(g822 +V\u000a\u000a +p3953 +tp3954 +a(g740 +Vallocate +p3955 +tp3956 +a(g701 +g1032 +tp3957 +a(g431 +Vmlprec_wrk +p3958 +tp3959 +a(g701 +g1032 +tp3960 +a(g32 +g2064 +tp3961 +a(g701 +g1061 +tp3962 +a(g701 +g2163 +tp3963 +a(g431 +Vx2l +p3964 +tp3965 +a(g701 +g1032 +tp3966 +a(g431 +Vnr2l +p3967 +tp3968 +a(g701 +g1061 +tp3969 +a(g701 +g1036 +tp3970 +a(g431 +Vmlprec_wrk +p3971 +tp3972 +a(g701 +g1032 +tp3973 +a(g32 +g2064 +tp3974 +a(g701 +g1061 +tp3975 +a(g701 +g2163 +tp3976 +a(g431 +Vy2l +p3977 +tp3978 +a(g701 +g1032 +tp3979 +a(g431 +Vnr2l +p3980 +tp3981 +a(g701 +g1061 +tp3982 +a(g701 +g1036 +tp3983 +a(g822 +g1322 +tp3984 +a(g701 +g1531 +tp3985 +a(g822 +V\u000a +p3986 +tp3987 +a(g701 +g1531 +tp3988 +a(g822 +g1322 +tp3989 +a(g431 +Vmlprec_wrk +p3990 +tp3991 +a(g701 +g1032 +tp3992 +a(g32 +g2064 +tp3993 +a(g701 +g1061 +tp3994 +a(g701 +g2163 +tp3995 +a(g431 +Vtx +p3996 +tp3997 +a(g701 +g1032 +tp3998 +a(g431 +Vnr2l +p3999 +tp4000 +a(g701 +g1061 +tp4001 +a(g701 +g1036 +tp4002 +a(g822 +g1322 +tp4003 +a(g677 +Vstat +p4004 +tp4005 +a(g408 +g1699 +tp4006 +a(g431 +Vinfo +p4007 +tp4008 +a(g701 +g1061 +tp4009 +a(g822 +V\u000a +p4010 +tp4011 +a(g431 +Vmlprec_wrk +p4012 +tp4013 +a(g701 +g1032 +tp4014 +a(g32 +g2064 +tp4015 +a(g701 +g1061 +tp4016 +a(g701 +g2163 +tp4017 +a(g431 +Vx2l +p4018 +tp4019 +a(g701 +g1032 +tp4020 +a(g701 +g1349 +tp4021 +a(g701 +g1061 +tp4022 +a(g822 +g1322 +tp4023 +a(g408 +g1699 +tp4024 +a(g822 +g1322 +tp4025 +a(g431 +Vzzero +p4026 +tp4027 +a(g822 +V\u000a +p4028 +tp4029 +a(g431 +Vmlprec_wrk +p4030 +tp4031 +a(g701 +g1032 +tp4032 +a(g32 +g2064 +tp4033 +a(g701 +g1061 +tp4034 +a(g701 +g2163 +tp4035 +a(g431 +Vy2l +p4036 +tp4037 +a(g701 +g1032 +tp4038 +a(g701 +g1349 +tp4039 +a(g701 +g1061 +tp4040 +a(g822 +g1322 +tp4041 +a(g408 +g1699 +tp4042 +a(g822 +g1322 +tp4043 +a(g431 +Vzzero +p4044 +tp4045 +a(g822 +V\u000a +p4046 +tp4047 +a(g431 +Vmlprec_wrk +p4048 +tp4049 +a(g701 +g1032 +tp4050 +a(g32 +g2064 +tp4051 +a(g701 +g1061 +tp4052 +a(g701 +g2163 +tp4053 +a(g431 +Vtx +p4054 +tp4055 +a(g701 +g1032 +tp4056 +a(g701 +g1349 +tp4057 +a(g701 +g1061 +tp4058 +a(g822 +g1322 +tp4059 +a(g408 +g1699 +tp4060 +a(g822 +g1322 +tp4061 +a(g431 +Vzzero +p4062 +tp4063 +a(g822 +V\u000a\u000a +p4064 +tp4065 +a(g740 +Vcall +p4066 +tp4067 +a(g431 +Vpsb_geaxpby +p4068 +tp4069 +a(g701 +g1032 +tp4070 +a(g431 +Vzone +p4071 +tp4072 +a(g701 +g1036 +tp4073 +a(g431 +g1041 +tp4074 +a(g701 +g1036 +tp4075 +a(g431 +Vzzero +p4076 +tp4077 +a(g701 +g1036 +tp4078 +a(g431 +Vmlprec_wrk +p4079 +tp4080 +a(g701 +g1032 +tp4081 +a(g32 +g2064 +tp4082 +a(g701 +g1061 +tp4083 +a(g701 +g2163 +tp4084 +a(g431 +Vtx +p4085 +tp4086 +a(g701 +g1036 +tp4087 +a(g701 +g1531 +tp4088 +a(g822 +V\u000a +p4089 +tp4090 +a(g701 +g1531 +tp4091 +a(g822 +g1322 +tp4092 +a(g431 +Vbaseprecv +p4093 +tp4094 +a(g701 +g1032 +tp4095 +a(g32 +g2064 +tp4096 +a(g701 +g1061 +tp4097 +a(g701 +g2163 +tp4098 +a(g431 +Vbase_desc +p4099 +tp4100 +a(g701 +g1036 +tp4101 +a(g431 +Vinfo +p4102 +tp4103 +a(g701 +g1061 +tp4104 +a(g822 +V\u000a +p4105 +tp4106 +a(g740 +Vcall +p4107 +tp4108 +a(g431 +Vpsb_geaxpby +p4109 +tp4110 +a(g701 +g1032 +tp4111 +a(g431 +Vzone +p4112 +tp4113 +a(g701 +g1036 +tp4114 +a(g431 +g1041 +tp4115 +a(g701 +g1036 +tp4116 +a(g431 +Vzzero +p4117 +tp4118 +a(g701 +g1036 +tp4119 +a(g431 +Vmlprec_wrk +p4120 +tp4121 +a(g701 +g1032 +tp4122 +a(g32 +g2064 +tp4123 +a(g701 +g1061 +tp4124 +a(g701 +g2163 +tp4125 +a(g431 +Vx2l +p4126 +tp4127 +a(g701 +g1036 +tp4128 +a(g701 +g1531 +tp4129 +a(g822 +V\u000a +p4130 +tp4131 +a(g701 +g1531 +tp4132 +a(g822 +g1322 +tp4133 +a(g431 +Vbaseprecv +p4134 +tp4135 +a(g701 +g1032 +tp4136 +a(g32 +g2064 +tp4137 +a(g701 +g1061 +tp4138 +a(g701 +g2163 +tp4139 +a(g431 +Vbase_desc +p4140 +tp4141 +a(g701 +g1036 +tp4142 +a(g431 +Vinfo +p4143 +tp4144 +a(g701 +g1061 +tp4145 +a(g822 +V\u000a\u000a +p4146 +tp4147 +a(g740 +Vdo +p4148 +tp4149 +a(g431 +Vilev +p4150 +tp4151 +a(g408 +g1699 +tp4152 +a(g32 +g2275 +tp4153 +a(g701 +g1036 +tp4154 +a(g822 +g1322 +tp4155 +a(g431 +Vnlev +p4156 +tp4157 +a(g822 +V\u000a +p4158 +tp4159 +a(g431 +Vn_row +p4160 +tp4161 +a(g822 +g1322 +tp4162 +a(g408 +g1699 +tp4163 +a(g822 +g1322 +tp4164 +a(g431 +Vbaseprecv +p4165 +tp4166 +a(g701 +g1032 +tp4167 +a(g431 +Vilev +p4168 +tp4169 +a(g408 +g2525 +tp4170 +a(g32 +g2064 +tp4171 +a(g701 +g1061 +tp4172 +a(g701 +g2163 +tp4173 +a(g431 +Vbase_desc +p4174 +tp4175 +a(g701 +g2163 +tp4176 +a(g431 +Vmatrix_data +p4177 +tp4178 +a(g701 +g1032 +tp4179 +a(g431 +Vpsb_n_row_ +p4180 +tp4181 +a(g701 +g1061 +tp4182 +a(g822 +V\u000a +p4183 +tp4184 +a(g431 +Vn_col +p4185 +tp4186 +a(g822 +g1322 +tp4187 +a(g408 +g1699 +tp4188 +a(g822 +g1322 +tp4189 +a(g431 +Vbaseprecv +p4190 +tp4191 +a(g701 +g1032 +tp4192 +a(g431 +Vilev +p4193 +tp4194 +a(g408 +g2525 +tp4195 +a(g32 +g2064 +tp4196 +a(g701 +g1061 +tp4197 +a(g701 +g2163 +tp4198 +a(g431 +Vdesc_data +p4199 +tp4200 +a(g701 +g2163 +tp4201 +a(g431 +Vmatrix_data +p4202 +tp4203 +a(g701 +g1032 +tp4204 +a(g431 +Vpsb_n_col_ +p4205 +tp4206 +a(g701 +g1061 +tp4207 +a(g822 +V\u000a +p4208 +tp4209 +a(g431 +Vnr2l +p4210 +tp4211 +a(g822 +V +p4212 +tp4213 +a(g408 +g1699 +tp4214 +a(g822 +g1322 +tp4215 +a(g431 +Vbaseprecv +p4216 +tp4217 +a(g701 +g1032 +tp4218 +a(g431 +Vilev +p4219 +tp4220 +a(g701 +g1061 +tp4221 +a(g701 +g2163 +tp4222 +a(g431 +Vdesc_data +p4223 +tp4224 +a(g701 +g2163 +tp4225 +a(g431 +Vmatrix_data +p4226 +tp4227 +a(g701 +g1032 +tp4228 +a(g431 +Vpsb_n_col_ +p4229 +tp4230 +a(g701 +g1061 +tp4231 +a(g822 +V\u000a +p4232 +tp4233 +a(g431 +Vnrg +p4234 +tp4235 +a(g822 +V +p4236 +tp4237 +a(g408 +g1699 +tp4238 +a(g822 +g1322 +tp4239 +a(g431 +Vbaseprecv +p4240 +tp4241 +a(g701 +g1032 +tp4242 +a(g431 +Vilev +p4243 +tp4244 +a(g701 +g1061 +tp4245 +a(g701 +g2163 +tp4246 +a(g431 +Vdesc_data +p4247 +tp4248 +a(g701 +g2163 +tp4249 +a(g431 +Vmatrix_data +p4250 +tp4251 +a(g701 +g1032 +tp4252 +a(g431 +Vpsb_n_row_ +p4253 +tp4254 +a(g701 +g1061 +tp4255 +a(g822 +V\u000a +p4256 +tp4257 +a(g431 +Vismth +p4258 +tp4259 +a(g822 +g1322 +tp4260 +a(g408 +g1699 +tp4261 +a(g822 +g1322 +tp4262 +a(g431 +Vbaseprecv +p4263 +tp4264 +a(g701 +g1032 +tp4265 +a(g431 +Vilev +p4266 +tp4267 +a(g701 +g1061 +tp4268 +a(g701 +g2163 +tp4269 +a(g431 +Viprcparm +p4270 +tp4271 +a(g701 +g1032 +tp4272 +a(g431 +Vsmth_kind_ +p4273 +tp4274 +a(g701 +g1061 +tp4275 +a(g822 +V\u000a\u000a +p4276 +tp4277 +a(g740 +Vallocate +p4278 +tp4279 +a(g701 +g1032 +tp4280 +a(g431 +Vmlprec_wrk +p4281 +tp4282 +a(g701 +g1032 +tp4283 +a(g431 +Vilev +p4284 +tp4285 +a(g701 +g1061 +tp4286 +a(g701 +g2163 +tp4287 +a(g431 +Vtx +p4288 +tp4289 +a(g701 +g1032 +tp4290 +a(g431 +Vnr2l +p4291 +tp4292 +a(g701 +g1061 +tp4293 +a(g701 +g1036 +tp4294 +a(g431 +Vmlprec_wrk +p4295 +tp4296 +a(g701 +g1032 +tp4297 +a(g431 +Vilev +p4298 +tp4299 +a(g701 +g1061 +tp4300 +a(g701 +g2163 +tp4301 +a(g431 +Vy2l +p4302 +tp4303 +a(g701 +g1032 +tp4304 +a(g431 +Vnr2l +p4305 +tp4306 +a(g701 +g1061 +tp4307 +a(g701 +g1036 +tp4308 +a(g701 +g1531 +tp4309 +a(g822 +V\u000a +p4310 +tp4311 +a(g701 +g1531 +tp4312 +a(g822 +V +p4313 +tp4314 +a(g431 +Vmlprec_wrk +p4315 +tp4316 +a(g701 +g1032 +tp4317 +a(g431 +Vilev +p4318 +tp4319 +a(g701 +g1061 +tp4320 +a(g701 +g2163 +tp4321 +a(g431 +Vx2l +p4322 +tp4323 +a(g701 +g1032 +tp4324 +a(g431 +Vnr2l +p4325 +tp4326 +a(g701 +g1061 +tp4327 +a(g701 +g1036 +tp4328 +a(g822 +g1322 +tp4329 +a(g677 +Vstat +p4330 +tp4331 +a(g408 +g1699 +tp4332 +a(g431 +Vinfo +p4333 +tp4334 +a(g701 +g1061 +tp4335 +a(g822 +V\u000a\u000a +p4336 +tp4337 +a(g740 +Vif +p4338 +tp4339 +a(g822 +g1322 +tp4340 +a(g701 +g1032 +tp4341 +a(g431 +Vinfo +p4342 +tp4343 +a(g822 +g1322 +tp4344 +a(g408 +g2229 +tp4345 +a(g408 +g1699 +tp4346 +a(g822 +g1322 +tp4347 +a(g32 +g2144 +tp4348 +a(g701 +g1061 +tp4349 +a(g822 +g1322 +tp4350 +a(g740 +Vthen\u000a +p4351 +tp4352 +a(g740 +Vcall +p4353 +tp4354 +a(g431 +Vpsb_errpush +p4355 +tp4356 +a(g701 +g1032 +tp4357 +a(g32 +V4010 +p4358 +tp4359 +a(g701 +g1036 +tp4360 +a(g431 +Vname +p4361 +tp4362 +a(g701 +g1036 +tp4363 +a(g431 +Va_err +p4364 +tp4365 +a(g408 +g1699 +tp4366 +a(g225 +V'Allocate' +p4367 +tp4368 +a(g701 +g1061 +tp4369 +a(g822 +V\u000a +p4370 +tp4371 +a(g740 +Vgoto +p4372 +tp4373 +a(g32 +V9999 +p4374 +tp4375 +a(g822 +V\u000a +p4376 +tp4377 +a(g740 +Vend +p4378 +tp4379 +a(g740 +Vif\u000a\u000a +p4380 +tp4381 +a(g431 +Vmlprec_wrk +p4382 +tp4383 +a(g701 +g1032 +tp4384 +a(g431 +Vilev +p4385 +tp4386 +a(g701 +g1061 +tp4387 +a(g701 +g2163 +tp4388 +a(g431 +Vx2l +p4389 +tp4390 +a(g701 +g1032 +tp4391 +a(g701 +g1349 +tp4392 +a(g701 +g1061 +tp4393 +a(g822 +g1322 +tp4394 +a(g408 +g1699 +tp4395 +a(g822 +g1322 +tp4396 +a(g431 +Vzzero +p4397 +tp4398 +a(g822 +V\u000a +p4399 +tp4400 +a(g431 +Vmlprec_wrk +p4401 +tp4402 +a(g701 +g1032 +tp4403 +a(g431 +Vilev +p4404 +tp4405 +a(g701 +g1061 +tp4406 +a(g701 +g2163 +tp4407 +a(g431 +Vy2l +p4408 +tp4409 +a(g701 +g1032 +tp4410 +a(g701 +g1349 +tp4411 +a(g701 +g1061 +tp4412 +a(g822 +g1322 +tp4413 +a(g408 +g1699 +tp4414 +a(g822 +g1322 +tp4415 +a(g431 +Vzzero +p4416 +tp4417 +a(g822 +V\u000a +p4418 +tp4419 +a(g431 +Vmlprec_wrk +p4420 +tp4421 +a(g701 +g1032 +tp4422 +a(g431 +Vilev +p4423 +tp4424 +a(g701 +g1061 +tp4425 +a(g701 +g2163 +tp4426 +a(g431 +Vtx +p4427 +tp4428 +a(g701 +g1032 +tp4429 +a(g701 +g1349 +tp4430 +a(g701 +g1061 +tp4431 +a(g822 +g1322 +tp4432 +a(g408 +g1699 +tp4433 +a(g822 +g1322 +tp4434 +a(g431 +Vzzero +p4435 +tp4436 +a(g822 +V\u000a +p4437 +tp4438 +a(g740 +Vif +p4439 +tp4440 +a(g822 +g1322 +tp4441 +a(g701 +g1032 +tp4442 +a(g431 +Vismth +p4443 +tp4444 +a(g822 +V +p4445 +tp4446 +a(g408 +g2229 +tp4447 +a(g408 +g1699 +tp4448 +a(g822 +g1322 +tp4449 +a(g431 +Vno_smth_ +p4450 +tp4451 +a(g701 +g1061 +tp4452 +a(g822 +g1322 +tp4453 +a(g740 +Vthen +p4454 +tp4455 +a(g822 +V\u000a +p4456 +tp4457 +a(g7 +V!\u000a +p4458 +tp4459 +a(g822 +V +p4460 +tp4461 +a(g7 +V! Smoothed aggregation\u000a +p4462 +tp4463 +a(g822 +V +p4464 +tp4465 +a(g7 +V!\u000a +p4466 +tp4467 +a(g822 +V +p4468 +tp4469 +a(g740 +Vif +p4470 +tp4471 +a(g822 +g1322 +tp4472 +a(g701 +g1032 +tp4473 +a(g431 +Vbaseprecv +p4474 +tp4475 +a(g701 +g1032 +tp4476 +a(g431 +Vilev +p4477 +tp4478 +a(g701 +g1061 +tp4479 +a(g701 +g2163 +tp4480 +a(g431 +Viprcparm +p4481 +tp4482 +a(g701 +g1032 +tp4483 +a(g431 +Vglb_smth_ +p4484 +tp4485 +a(g701 +g1061 +tp4486 +a(g822 +g1322 +tp4487 +a(g408 +g1794 +tp4488 +a(g32 +g2144 +tp4489 +a(g701 +g1061 +tp4490 +a(g822 +g1322 +tp4491 +a(g740 +Vthen\u000a +p4492 +tp4493 +a(g740 +Vcall +p4494 +tp4495 +a(g431 +Vpsb_halo +p4496 +tp4497 +a(g701 +g1032 +tp4498 +a(g431 +Vmlprec_wrk +p4499 +tp4500 +a(g701 +g1032 +tp4501 +a(g431 +Vilev +p4502 +tp4503 +a(g408 +g2525 +tp4504 +a(g32 +g2064 +tp4505 +a(g701 +g1061 +tp4506 +a(g701 +g2163 +tp4507 +a(g431 +Vx2l +p4508 +tp4509 +a(g701 +g1036 +tp4510 +a(g701 +g1531 +tp4511 +a(g822 +V\u000a +p4512 +tp4513 +a(g701 +g1531 +tp4514 +a(g822 +V +p4515 +tp4516 +a(g431 +Vbaseprecv +p4517 +tp4518 +a(g701 +g1032 +tp4519 +a(g431 +Vilev +p4520 +tp4521 +a(g408 +g2525 +tp4522 +a(g32 +g2064 +tp4523 +a(g701 +g1061 +tp4524 +a(g701 +g2163 +tp4525 +a(g431 +Vbase_desc +p4526 +tp4527 +a(g701 +g1036 +tp4528 +a(g431 +Vinfo +p4529 +tp4530 +a(g701 +g1036 +tp4531 +a(g431 +Vwork +p4532 +tp4533 +a(g408 +g1699 +tp4534 +a(g431 +Vwork +p4535 +tp4536 +a(g701 +g1061 +tp4537 +a(g822 +V\u000a +p4538 +tp4539 +a(g740 +Vif +p4540 +tp4541 +a(g701 +g1032 +tp4542 +a(g431 +Vinfo +p4543 +tp4544 +a(g822 +g1322 +tp4545 +a(g408 +g2229 +tp4546 +a(g408 +g1699 +tp4547 +a(g32 +g2144 +tp4548 +a(g701 +g1061 +tp4549 +a(g822 +g1322 +tp4550 +a(g740 +Vgoto +p4551 +tp4552 +a(g32 +V9999 +p4553 +tp4554 +a(g822 +V\u000a +p4555 +tp4556 +a(g740 +Velse\u000a +p4557 +tp4558 +a(g431 +Vmlprec_wrk +p4559 +tp4560 +a(g701 +g1032 +tp4561 +a(g431 +Vilev +p4562 +tp4563 +a(g408 +g2525 +tp4564 +a(g32 +g2064 +tp4565 +a(g701 +g1061 +tp4566 +a(g701 +g2163 +tp4567 +a(g431 +Vx2l +p4568 +tp4569 +a(g701 +g1032 +tp4570 +a(g431 +Vn_row +p4571 +tp4572 +a(g408 +g2837 +tp4573 +a(g32 +g2064 +tp4574 +a(g701 +g1349 +tp4575 +a(g677 +Vmax +p4576 +tp4577 +a(g701 +g1032 +tp4578 +a(g431 +Vn_row +p4579 +tp4580 +a(g701 +g1036 +tp4581 +a(g431 +Vn_col +p4582 +tp4583 +a(g701 +g1061 +tp4584 +a(g701 +g1061 +tp4585 +a(g822 +g1322 +tp4586 +a(g408 +g1699 +tp4587 +a(g822 +g1322 +tp4588 +a(g431 +Vzzero +p4589 +tp4590 +a(g822 +V\u000a +p4591 +tp4592 +a(g740 +Vend +p4593 +tp4594 +a(g740 +Vif\u000a\u000a +p4595 +tp4596 +a(g740 +Vcall +p4597 +tp4598 +a(g431 +Vpsb_csmm +p4599 +tp4600 +a(g701 +g1032 +tp4601 +a(g431 +Vzone +p4602 +tp4603 +a(g701 +g1036 +tp4604 +a(g431 +Vbaseprecv +p4605 +tp4606 +a(g701 +g1032 +tp4607 +a(g431 +Vilev +p4608 +tp4609 +a(g701 +g1061 +tp4610 +a(g701 +g2163 +tp4611 +a(g431 +Vav +p4612 +tp4613 +a(g701 +g1032 +tp4614 +a(g431 +Vsm_pr_t_ +p4615 +tp4616 +a(g701 +g1061 +tp4617 +a(g701 +g1036 +tp4618 +a(g431 +Vmlprec_wrk +p4619 +tp4620 +a(g701 +g1032 +tp4621 +a(g431 +Vilev +p4622 +tp4623 +a(g408 +g2525 +tp4624 +a(g32 +g2064 +tp4625 +a(g701 +g1061 +tp4626 +a(g701 +g2163 +tp4627 +a(g431 +Vx2l +p4628 +tp4629 +a(g701 +g1036 +tp4630 +a(g822 +g1322 +tp4631 +a(g701 +g1531 +tp4632 +a(g822 +V\u000a +p4633 +tp4634 +a(g701 +g1531 +tp4635 +a(g822 +g1322 +tp4636 +a(g431 +Vzzero +p4637 +tp4638 +a(g701 +g1036 +tp4639 +a(g431 +Vmlprec_wrk +p4640 +tp4641 +a(g701 +g1032 +tp4642 +a(g431 +Vilev +p4643 +tp4644 +a(g701 +g1061 +tp4645 +a(g701 +g2163 +tp4646 +a(g431 +Vx2l +p4647 +tp4648 +a(g701 +g1036 +tp4649 +a(g431 +Vinfo +p4650 +tp4651 +a(g701 +g1061 +tp4652 +a(g822 +V\u000a +p4653 +tp4654 +a(g740 +Vif +p4655 +tp4656 +a(g701 +g1032 +tp4657 +a(g431 +Vinfo +p4658 +tp4659 +a(g822 +g1322 +tp4660 +a(g408 +g2229 +tp4661 +a(g408 +g1699 +tp4662 +a(g32 +g2144 +tp4663 +a(g701 +g1061 +tp4664 +a(g822 +g1322 +tp4665 +a(g740 +Vgoto +p4666 +tp4667 +a(g32 +V9999 +p4668 +tp4669 +a(g822 +V\u000a\u000a +p4670 +tp4671 +a(g740 +Velse +p4672 +tp4673 +a(g822 +V\u000a +p4674 +tp4675 +a(g7 +V!\u000a +p4676 +tp4677 +a(g822 +V +p4678 +tp4679 +a(g7 +V! Raw aggregation, may take shortcut\u000a +p4680 +tp4681 +a(g822 +V +p4682 +tp4683 +a(g7 +V!\u000a +p4684 +tp4685 +a(g822 +V +p4686 +tp4687 +a(g740 +Vdo +p4688 +tp4689 +a(g431 +g1592 +tp4690 +a(g408 +g1699 +tp4691 +a(g32 +g2064 +tp4692 +a(g701 +g1036 +tp4693 +a(g431 +Vn_row +p4694 +tp4695 +a(g822 +V\u000a +p4696 +tp4697 +a(g431 +Vmlprec_wrk +p4698 +tp4699 +a(g701 +g1032 +tp4700 +a(g431 +Vilev +p4701 +tp4702 +a(g701 +g1061 +tp4703 +a(g701 +g2163 +tp4704 +a(g431 +Vx2l +p4705 +tp4706 +a(g701 +g1032 +tp4707 +a(g431 +Vbaseprecv +p4708 +tp4709 +a(g701 +g1032 +tp4710 +a(g431 +Vilev +p4711 +tp4712 +a(g701 +g1061 +tp4713 +a(g701 +g2163 +tp4714 +a(g431 +Vmlia +p4715 +tp4716 +a(g701 +g1032 +tp4717 +a(g431 +g1592 +tp4718 +a(g701 +g1061 +tp4719 +a(g701 +g1061 +tp4720 +a(g822 +g1322 +tp4721 +a(g408 +g1699 +tp4722 +a(g822 +g1322 +tp4723 +a(g701 +g1531 +tp4724 +a(g822 +V\u000a +p4725 +tp4726 +a(g701 +g1531 +tp4727 +a(g822 +g1322 +tp4728 +a(g431 +Vmlprec_wrk +p4729 +tp4730 +a(g701 +g1032 +tp4731 +a(g431 +Vilev +p4732 +tp4733 +a(g701 +g1061 +tp4734 +a(g701 +g2163 +tp4735 +a(g431 +Vx2l +p4736 +tp4737 +a(g701 +g1032 +tp4738 +a(g431 +Vbaseprecv +p4739 +tp4740 +a(g701 +g1032 +tp4741 +a(g431 +Vilev +p4742 +tp4743 +a(g701 +g1061 +tp4744 +a(g701 +g2163 +tp4745 +a(g431 +Vmlia +p4746 +tp4747 +a(g701 +g1032 +tp4748 +a(g431 +g1592 +tp4749 +a(g701 +g1061 +tp4750 +a(g701 +g1061 +tp4751 +a(g822 +g1322 +tp4752 +a(g408 +g2837 +tp4753 +a(g822 +g1322 +tp4754 +a(g701 +g1531 +tp4755 +a(g822 +V\u000a +p4756 +tp4757 +a(g701 +g1531 +tp4758 +a(g822 +g1322 +tp4759 +a(g431 +Vmlprec_wrk +p4760 +tp4761 +a(g701 +g1032 +tp4762 +a(g431 +Vilev +p4763 +tp4764 +a(g408 +g2525 +tp4765 +a(g32 +g2064 +tp4766 +a(g701 +g1061 +tp4767 +a(g701 +g2163 +tp4768 +a(g431 +Vx2l +p4769 +tp4770 +a(g701 +g1032 +tp4771 +a(g431 +g1592 +tp4772 +a(g701 +g1061 +tp4773 +a(g822 +V\u000a +p4774 +tp4775 +a(g740 +Vend +p4776 +tp4777 +a(g740 +Vdo\u000a +p4778 +tp4779 +a(g740 +Vend +p4780 +tp4781 +a(g740 +Vif\u000a\u000a +p4782 +tp4783 +a(g740 +Vif +p4784 +tp4785 +a(g822 +g1322 +tp4786 +a(g701 +g1032 +tp4787 +a(g431 +Vbaseprecv +p4788 +tp4789 +a(g701 +g1032 +tp4790 +a(g431 +Vilev +p4791 +tp4792 +a(g701 +g1061 +tp4793 +a(g701 +g2163 +tp4794 +a(g431 +Viprcparm +p4795 +tp4796 +a(g701 +g1032 +tp4797 +a(g431 +Vcoarse_mat_ +p4798 +tp4799 +a(g701 +g1061 +tp4800 +a(g408 +V== +p4801 +tp4802 +a(g431 +Vmat_repl_ +p4803 +tp4804 +a(g701 +g1061 +tp4805 +a(g822 +g1322 +tp4806 +a(g740 +VThen\u000a +p4807 +tp4808 +a(g740 +Vcall +p4809 +tp4810 +a(g431 +Vpsb_sum +p4811 +tp4812 +a(g701 +g1032 +tp4813 +a(g431 +Victxt +p4814 +tp4815 +a(g701 +g1036 +tp4816 +a(g431 +Vmlprec_wrk +p4817 +tp4818 +a(g701 +g1032 +tp4819 +a(g431 +Vilev +p4820 +tp4821 +a(g701 +g1061 +tp4822 +a(g701 +g2163 +tp4823 +a(g431 +Vx2l +p4824 +tp4825 +a(g701 +g1032 +tp4826 +a(g32 +g2064 +tp4827 +a(g701 +g1349 +tp4828 +a(g431 +Vnrg +p4829 +tp4830 +a(g701 +g1061 +tp4831 +a(g701 +g1061 +tp4832 +a(g822 +V\u000a +p4833 +tp4834 +a(g740 +Velse +p4835 +tp4836 +a(g740 +Vif +p4837 +tp4838 +a(g822 +g1322 +tp4839 +a(g701 +g1032 +tp4840 +a(g431 +Vbaseprecv +p4841 +tp4842 +a(g701 +g1032 +tp4843 +a(g431 +Vilev +p4844 +tp4845 +a(g701 +g1061 +tp4846 +a(g701 +g2163 +tp4847 +a(g431 +Viprcparm +p4848 +tp4849 +a(g701 +g1032 +tp4850 +a(g431 +Vcoarse_mat_ +p4851 +tp4852 +a(g701 +g1061 +tp4853 +a(g822 +g1322 +tp4854 +a(g408 +g2229 +tp4855 +a(g408 +g1699 +tp4856 +a(g822 +g1322 +tp4857 +a(g431 +Vmat_distr_ +p4858 +tp4859 +a(g701 +g1061 +tp4860 +a(g822 +g1322 +tp4861 +a(g740 +VThen\u000a +p4862 +tp4863 +a(g740 +Vwrite +p4864 +tp4865 +a(g701 +g1032 +tp4866 +a(g32 +g2144 +tp4867 +a(g701 +g1036 +tp4868 +a(g408 +g3326 +tp4869 +a(g701 +g1061 +tp4870 +a(g822 +g1322 +tp4871 +a(g225 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p4872 +tp4873 +a(g701 +g1036 +tp4874 +a(g701 +g1531 +tp4875 +a(g822 +V\u000a +p4876 +tp4877 +a(g701 +g1531 +tp4878 +a(g822 +g1322 +tp4879 +a(g431 +Vbaseprecv +p4880 +tp4881 +a(g701 +g1032 +tp4882 +a(g431 +Vilev +p4883 +tp4884 +a(g701 +g1061 +tp4885 +a(g701 +g2163 +tp4886 +a(g431 +Viprcparm +p4887 +tp4888 +a(g701 +g1032 +tp4889 +a(g431 +Vcoarse_mat_ +p4890 +tp4891 +a(g701 +g1061 +tp4892 +a(g822 +V\u000a +p4893 +tp4894 +a(g431 +Vendif +p4895 +tp4896 +a(g822 +V\u000a +p4897 +tp4898 +a(g740 +Vcall +p4899 +tp4900 +a(g431 +Vpsb_geaxpby +p4901 +tp4902 +a(g701 +g1032 +tp4903 +a(g431 +Vzone +p4904 +tp4905 +a(g701 +g1036 +tp4906 +a(g431 +Vmlprec_wrk +p4907 +tp4908 +a(g701 +g1032 +tp4909 +a(g431 +Vilev +p4910 +tp4911 +a(g701 +g1061 +tp4912 +a(g701 +g2163 +tp4913 +a(g431 +Vx2l +p4914 +tp4915 +a(g701 +g1036 +tp4916 +a(g431 +Vzzero +p4917 +tp4918 +a(g701 +g1036 +tp4919 +a(g431 +Vmlprec_wrk +p4920 +tp4921 +a(g701 +g1032 +tp4922 +a(g431 +Vilev +p4923 +tp4924 +a(g701 +g1061 +tp4925 +a(g701 +g2163 +tp4926 +a(g431 +Vtx +p4927 +tp4928 +a(g701 +g1036 +tp4929 +a(g701 +g1531 +tp4930 +a(g822 +V\u000a +p4931 +tp4932 +a(g701 +g1531 +tp4933 +a(g822 +g1322 +tp4934 +a(g431 +Vbaseprecv +p4935 +tp4936 +a(g701 +g1032 +tp4937 +a(g431 +Vilev +p4938 +tp4939 +a(g701 +g1061 +tp4940 +a(g701 +g2163 +tp4941 +a(g431 +Vbase_desc +p4942 +tp4943 +a(g701 +g1036 +tp4944 +a(g431 +Vinfo +p4945 +tp4946 +a(g701 +g1061 +tp4947 +a(g822 +V\u000a +p4948 +tp4949 +a(g740 +Vif +p4950 +tp4951 +a(g701 +g1032 +tp4952 +a(g431 +Vinfo +p4953 +tp4954 +a(g822 +g1322 +tp4955 +a(g408 +g2229 +tp4956 +a(g408 +g1699 +tp4957 +a(g32 +g2144 +tp4958 +a(g701 +g1061 +tp4959 +a(g822 +g1322 +tp4960 +a(g740 +Vgoto +p4961 +tp4962 +a(g32 +V9999 +p4963 +tp4964 +a(g822 +V\u000a\u000a +p4965 +tp4966 +a(g431 +Venddo +p4967 +tp4968 +a(g822 +V\u000a\u000a\u000a +p4969 +tp4970 +a(g740 +Vcall +p4971 +tp4972 +a(g431 +Vpsb_baseprc_aply +p4973 +tp4974 +a(g701 +g1032 +tp4975 +a(g431 +Vzone +p4976 +tp4977 +a(g701 +g1036 +tp4978 +a(g431 +Vbaseprecv +p4979 +tp4980 +a(g701 +g1032 +tp4981 +a(g431 +Vnlev +p4982 +tp4983 +a(g701 +g1061 +tp4984 +a(g701 +g1036 +tp4985 +a(g431 +Vmlprec_wrk +p4986 +tp4987 +a(g701 +g1032 +tp4988 +a(g431 +Vnlev +p4989 +tp4990 +a(g701 +g1061 +tp4991 +a(g701 +g2163 +tp4992 +a(g431 +Vx2l +p4993 +tp4994 +a(g701 +g1036 +tp4995 +a(g822 +g1322 +tp4996 +a(g701 +g1531 +tp4997 +a(g822 +V\u000a +p4998 +tp4999 +a(g701 +g1531 +tp5000 +a(g822 +g1322 +tp5001 +a(g431 +Vzzero +p5002 +tp5003 +a(g701 +g1036 +tp5004 +a(g822 +g1322 +tp5005 +a(g431 +Vmlprec_wrk +p5006 +tp5007 +a(g701 +g1032 +tp5008 +a(g431 +Vnlev +p5009 +tp5010 +a(g701 +g1061 +tp5011 +a(g701 +g2163 +tp5012 +a(g431 +Vy2l +p5013 +tp5014 +a(g701 +g1036 +tp5015 +a(g431 +Vbaseprecv +p5016 +tp5017 +a(g701 +g1032 +tp5018 +a(g431 +Vnlev +p5019 +tp5020 +a(g701 +g1061 +tp5021 +a(g701 +g2163 +tp5022 +a(g431 +Vdesc_data +p5023 +tp5024 +a(g701 +g1036 +tp5025 +a(g225 +V'N' +p5026 +tp5027 +a(g701 +g1036 +tp5028 +a(g431 +Vwork +p5029 +tp5030 +a(g701 +g1036 +tp5031 +a(g431 +Vinfo +p5032 +tp5033 +a(g701 +g1061 +tp5034 +a(g822 +V\u000a\u000a +p5035 +tp5036 +a(g740 +Vif +p5037 +tp5038 +a(g701 +g1032 +tp5039 +a(g431 +Vinfo +p5040 +tp5041 +a(g822 +g1322 +tp5042 +a(g408 +g2229 +tp5043 +a(g408 +g1699 +tp5044 +a(g32 +g2144 +tp5045 +a(g701 +g1061 +tp5046 +a(g822 +g1322 +tp5047 +a(g740 +Vgoto +p5048 +tp5049 +a(g32 +V9999 +p5050 +tp5051 +a(g822 +V\u000a\u000a\u000a +p5052 +tp5053 +a(g740 +Vdo +p5054 +tp5055 +a(g431 +Vilev +p5056 +tp5057 +a(g408 +g1699 +tp5058 +a(g431 +Vnlev +p5059 +tp5060 +a(g408 +g2525 +tp5061 +a(g32 +g2064 +tp5062 +a(g701 +g1036 +tp5063 +a(g822 +g1322 +tp5064 +a(g32 +g2064 +tp5065 +a(g701 +g1036 +tp5066 +a(g822 +g1322 +tp5067 +a(g408 +g2525 +tp5068 +a(g32 +g2064 +tp5069 +a(g822 +V\u000a +p5070 +tp5071 +a(g431 +Vismth +p5072 +tp5073 +a(g822 +g1322 +tp5074 +a(g408 +g1699 +tp5075 +a(g822 +g1322 +tp5076 +a(g431 +Vbaseprecv +p5077 +tp5078 +a(g701 +g1032 +tp5079 +a(g431 +Vilev +p5080 +tp5081 +a(g408 +g2837 +tp5082 +a(g32 +g2064 +tp5083 +a(g701 +g1061 +tp5084 +a(g701 +g2163 +tp5085 +a(g431 +Viprcparm +p5086 +tp5087 +a(g701 +g1032 +tp5088 +a(g431 +Vsmth_kind_ +p5089 +tp5090 +a(g701 +g1061 +tp5091 +a(g822 +V\u000a +p5092 +tp5093 +a(g740 +Vif +p5094 +tp5095 +a(g822 +g1322 +tp5096 +a(g701 +g1032 +tp5097 +a(g431 +Vismth +p5098 +tp5099 +a(g822 +V +p5100 +tp5101 +a(g408 +g2229 +tp5102 +a(g408 +g1699 +tp5103 +a(g822 +g1322 +tp5104 +a(g431 +Vno_smth_ +p5105 +tp5106 +a(g701 +g1061 +tp5107 +a(g822 +g1322 +tp5108 +a(g740 +Vthen\u000a +p5109 +tp5110 +a(g740 +Vif +p5111 +tp5112 +a(g822 +g1322 +tp5113 +a(g701 +g1032 +tp5114 +a(g431 +Vismth +p5115 +tp5116 +a(g822 +g1322 +tp5117 +a(g408 +V== +p5118 +tp5119 +a(g822 +g1322 +tp5120 +a(g431 +Vsmth_omg_ +p5121 +tp5122 +a(g701 +g1061 +tp5123 +a(g822 +g1322 +tp5124 +a(g701 +g1531 +tp5125 +a(g822 +V\u000a +p5126 +tp5127 +a(g701 +g1531 +tp5128 +a(g822 +g1322 +tp5129 +a(g740 +Vcall +p5130 +tp5131 +a(g431 +Vpsb_halo +p5132 +tp5133 +a(g701 +g1032 +tp5134 +a(g431 +Vmlprec_wrk +p5135 +tp5136 +a(g701 +g1032 +tp5137 +a(g431 +Vilev +p5138 +tp5139 +a(g408 +g2837 +tp5140 +a(g32 +g2064 +tp5141 +a(g701 +g1061 +tp5142 +a(g701 +g2163 +tp5143 +a(g431 +Vy2l +p5144 +tp5145 +a(g701 +g1036 +tp5146 +a(g431 +Vbaseprecv +p5147 +tp5148 +a(g701 +g1032 +tp5149 +a(g431 +Vilev +p5150 +tp5151 +a(g408 +g2837 +tp5152 +a(g32 +g2064 +tp5153 +a(g701 +g1061 +tp5154 +a(g701 +g2163 +tp5155 +a(g431 +Vdesc_data +p5156 +tp5157 +a(g701 +g1036 +tp5158 +a(g701 +g1531 +tp5159 +a(g822 +V\u000a +p5160 +tp5161 +a(g701 +g1531 +tp5162 +a(g822 +V +p5163 +tp5164 +a(g431 +Vinfo +p5165 +tp5166 +a(g701 +g1036 +tp5167 +a(g431 +Vwork +p5168 +tp5169 +a(g408 +g1699 +tp5170 +a(g431 +Vwork +p5171 +tp5172 +a(g701 +g1061 +tp5173 +a(g822 +V\u000a +p5174 +tp5175 +a(g740 +Vcall +p5176 +tp5177 +a(g431 +Vpsb_csmm +p5178 +tp5179 +a(g701 +g1032 +tp5180 +a(g431 +Vzone +p5181 +tp5182 +a(g701 +g1036 +tp5183 +a(g431 +Vbaseprecv +p5184 +tp5185 +a(g701 +g1032 +tp5186 +a(g431 +Vilev +p5187 +tp5188 +a(g408 +g2837 +tp5189 +a(g32 +g2064 +tp5190 +a(g701 +g1061 +tp5191 +a(g701 +g2163 +tp5192 +a(g431 +Vav +p5193 +tp5194 +a(g701 +g1032 +tp5195 +a(g431 +Vsm_pr_ +p5196 +tp5197 +a(g701 +g1061 +tp5198 +a(g701 +g1036 +tp5199 +a(g431 +Vmlprec_wrk +p5200 +tp5201 +a(g701 +g1032 +tp5202 +a(g431 +Vilev +p5203 +tp5204 +a(g408 +g2837 +tp5205 +a(g32 +g2064 +tp5206 +a(g701 +g1061 +tp5207 +a(g701 +g2163 +tp5208 +a(g431 +Vy2l +p5209 +tp5210 +a(g701 +g1036 +tp5211 +a(g701 +g1531 +tp5212 +a(g822 +V\u000a +p5213 +tp5214 +a(g701 +g1531 +tp5215 +a(g822 +V +p5216 +tp5217 +a(g431 +Vzzero +p5218 +tp5219 +a(g701 +g1036 +tp5220 +a(g431 +Vmlprec_wrk +p5221 +tp5222 +a(g701 +g1032 +tp5223 +a(g431 +Vilev +p5224 +tp5225 +a(g701 +g1061 +tp5226 +a(g701 +g2163 +tp5227 +a(g431 +Vy2l +p5228 +tp5229 +a(g701 +g1036 +tp5230 +a(g431 +Vinfo +p5231 +tp5232 +a(g701 +g1061 +tp5233 +a(g822 +V\u000a +p5234 +tp5235 +a(g740 +Vif +p5236 +tp5237 +a(g701 +g1032 +tp5238 +a(g431 +Vinfo +p5239 +tp5240 +a(g822 +g1322 +tp5241 +a(g408 +g2229 +tp5242 +a(g408 +g1699 +tp5243 +a(g32 +g2144 +tp5244 +a(g701 +g1061 +tp5245 +a(g822 +g1322 +tp5246 +a(g740 +Vgoto +p5247 +tp5248 +a(g32 +V9999 +p5249 +tp5250 +a(g822 +V\u000a\u000a +p5251 +tp5252 +a(g740 +Velse\u000a +p5253 +tp5254 +a(g431 +Vn_row +p5255 +tp5256 +a(g822 +g1322 +tp5257 +a(g408 +g1699 +tp5258 +a(g822 +g1322 +tp5259 +a(g431 +Vbaseprecv +p5260 +tp5261 +a(g701 +g1032 +tp5262 +a(g431 +Vilev +p5263 +tp5264 +a(g701 +g1061 +tp5265 +a(g701 +g2163 +tp5266 +a(g431 +Vbase_desc +p5267 +tp5268 +a(g701 +g2163 +tp5269 +a(g431 +Vmatrix_data +p5270 +tp5271 +a(g701 +g1032 +tp5272 +a(g431 +Vpsb_n_row_ +p5273 +tp5274 +a(g701 +g1061 +tp5275 +a(g822 +V\u000a +p5276 +tp5277 +a(g431 +Vmlprec_wrk +p5278 +tp5279 +a(g701 +g1032 +tp5280 +a(g431 +Vilev +p5281 +tp5282 +a(g701 +g1061 +tp5283 +a(g701 +g2163 +tp5284 +a(g431 +Vy2l +p5285 +tp5286 +a(g701 +g1032 +tp5287 +a(g701 +g1349 +tp5288 +a(g701 +g1061 +tp5289 +a(g822 +g1322 +tp5290 +a(g408 +g1699 +tp5291 +a(g822 +g1322 +tp5292 +a(g431 +Vzzero +p5293 +tp5294 +a(g822 +V\u000a +p5295 +tp5296 +a(g740 +Vdo +p5297 +tp5298 +a(g431 +g1592 +tp5299 +a(g408 +g1699 +tp5300 +a(g32 +g2064 +tp5301 +a(g701 +g1036 +tp5302 +a(g822 +g1322 +tp5303 +a(g431 +Vn_row +p5304 +tp5305 +a(g822 +V\u000a +p5306 +tp5307 +a(g431 +Vmlprec_wrk +p5308 +tp5309 +a(g701 +g1032 +tp5310 +a(g431 +Vilev +p5311 +tp5312 +a(g701 +g1061 +tp5313 +a(g701 +g2163 +tp5314 +a(g431 +Vy2l +p5315 +tp5316 +a(g701 +g1032 +tp5317 +a(g431 +g1592 +tp5318 +a(g701 +g1061 +tp5319 +a(g822 +g1322 +tp5320 +a(g408 +g1699 +tp5321 +a(g822 +g1322 +tp5322 +a(g431 +Vmlprec_wrk +p5323 +tp5324 +a(g701 +g1032 +tp5325 +a(g431 +Vilev +p5326 +tp5327 +a(g701 +g1061 +tp5328 +a(g701 +g2163 +tp5329 +a(g431 +Vy2l +p5330 +tp5331 +a(g701 +g1032 +tp5332 +a(g431 +g1592 +tp5333 +a(g701 +g1061 +tp5334 +a(g822 +g1322 +tp5335 +a(g408 +g2837 +tp5336 +a(g822 +g1322 +tp5337 +a(g701 +g1531 +tp5338 +a(g822 +V\u000a +p5339 +tp5340 +a(g701 +g1531 +tp5341 +a(g822 +g1322 +tp5342 +a(g431 +Vmlprec_wrk +p5343 +tp5344 +a(g701 +g1032 +tp5345 +a(g431 +Vilev +p5346 +tp5347 +a(g408 +g2837 +tp5348 +a(g32 +g2064 +tp5349 +a(g701 +g1061 +tp5350 +a(g701 +g2163 +tp5351 +a(g431 +Vy2l +p5352 +tp5353 +a(g701 +g1032 +tp5354 +a(g431 +Vbaseprecv +p5355 +tp5356 +a(g701 +g1032 +tp5357 +a(g431 +Vilev +p5358 +tp5359 +a(g408 +g2837 +tp5360 +a(g32 +g2064 +tp5361 +a(g701 +g1061 +tp5362 +a(g701 +g2163 +tp5363 +a(g431 +Vmlia +p5364 +tp5365 +a(g701 +g1032 +tp5366 +a(g431 +g1592 +tp5367 +a(g701 +g1061 +tp5368 +a(g701 +g1061 +tp5369 +a(g822 +V\u000a +p5370 +tp5371 +a(g431 +Venddo +p5372 +tp5373 +a(g822 +V\u000a\u000a +p5374 +tp5375 +a(g740 +Vend +p5376 +tp5377 +a(g740 +Vif\u000a\u000a +p5378 +tp5379 +a(g740 +Vcall +p5380 +tp5381 +a(g431 +Vpsb_spmm +p5382 +tp5383 +a(g701 +g1032 +tp5384 +a(g408 +g2525 +tp5385 +a(g431 +Vzone +p5386 +tp5387 +a(g701 +g1036 +tp5388 +a(g431 +Vbaseprecv +p5389 +tp5390 +a(g701 +g1032 +tp5391 +a(g431 +Vilev +p5392 +tp5393 +a(g701 +g1061 +tp5394 +a(g701 +g2163 +tp5395 +a(g431 +Vbase_a +p5396 +tp5397 +a(g701 +g1036 +tp5398 +a(g431 +Vmlprec_wrk +p5399 +tp5400 +a(g701 +g1032 +tp5401 +a(g431 +Vilev +p5402 +tp5403 +a(g701 +g1061 +tp5404 +a(g701 +g2163 +tp5405 +a(g431 +Vy2l +p5406 +tp5407 +a(g701 +g1036 +tp5408 +a(g701 +g1531 +tp5409 +a(g822 +V\u000a +p5410 +tp5411 +a(g701 +g1531 +tp5412 +a(g822 +V +p5413 +tp5414 +a(g431 +Vzone +p5415 +tp5416 +a(g701 +g1036 +tp5417 +a(g431 +Vmlprec_wrk +p5418 +tp5419 +a(g701 +g1032 +tp5420 +a(g431 +Vilev +p5421 +tp5422 +a(g701 +g1061 +tp5423 +a(g701 +g2163 +tp5424 +a(g431 +Vtx +p5425 +tp5426 +a(g701 +g1036 +tp5427 +a(g431 +Vbaseprecv +p5428 +tp5429 +a(g701 +g1032 +tp5430 +a(g431 +Vilev +p5431 +tp5432 +a(g701 +g1061 +tp5433 +a(g701 +g2163 +tp5434 +a(g431 +Vbase_desc +p5435 +tp5436 +a(g701 +g1036 +tp5437 +a(g431 +Vinfo +p5438 +tp5439 +a(g701 +g1036 +tp5440 +a(g431 +Vwork +p5441 +tp5442 +a(g408 +g1699 +tp5443 +a(g431 +Vwork +p5444 +tp5445 +a(g701 +g1061 +tp5446 +a(g822 +V\u000a\u000a +p5447 +tp5448 +a(g740 +Vif +p5449 +tp5450 +a(g701 +g1032 +tp5451 +a(g431 +Vinfo +p5452 +tp5453 +a(g822 +g1322 +tp5454 +a(g408 +g2229 +tp5455 +a(g408 +g1699 +tp5456 +a(g32 +g2144 +tp5457 +a(g701 +g1061 +tp5458 +a(g822 +g1322 +tp5459 +a(g740 +Vgoto +p5460 +tp5461 +a(g32 +V9999 +p5462 +tp5463 +a(g822 +V\u000a\u000a +p5464 +tp5465 +a(g740 +Vcall +p5466 +tp5467 +a(g431 +Vpsb_baseprc_aply +p5468 +tp5469 +a(g701 +g1032 +tp5470 +a(g431 +Vzone +p5471 +tp5472 +a(g701 +g1036 +tp5473 +a(g431 +Vbaseprecv +p5474 +tp5475 +a(g701 +g1032 +tp5476 +a(g431 +Vilev +p5477 +tp5478 +a(g701 +g1061 +tp5479 +a(g701 +g1036 +tp5480 +a(g431 +Vmlprec_wrk +p5481 +tp5482 +a(g701 +g1032 +tp5483 +a(g431 +Vilev +p5484 +tp5485 +a(g701 +g1061 +tp5486 +a(g701 +g2163 +tp5487 +a(g431 +Vtx +p5488 +tp5489 +a(g701 +g1036 +tp5490 +a(g701 +g1531 +tp5491 +a(g822 +V\u000a +p5492 +tp5493 +a(g701 +g1531 +tp5494 +a(g822 +g1322 +tp5495 +a(g431 +Vzone +p5496 +tp5497 +a(g701 +g1036 +tp5498 +a(g431 +Vmlprec_wrk +p5499 +tp5500 +a(g701 +g1032 +tp5501 +a(g431 +Vilev +p5502 +tp5503 +a(g701 +g1061 +tp5504 +a(g701 +g2163 +tp5505 +a(g431 +Vy2l +p5506 +tp5507 +a(g701 +g1036 +tp5508 +a(g431 +Vbaseprecv +p5509 +tp5510 +a(g701 +g1032 +tp5511 +a(g431 +Vilev +p5512 +tp5513 +a(g701 +g1061 +tp5514 +a(g701 +g2163 +tp5515 +a(g431 +Vbase_desc +p5516 +tp5517 +a(g701 +g1036 +tp5518 +a(g822 +g1322 +tp5519 +a(g431 +Vtrans +p5520 +tp5521 +a(g701 +g1036 +tp5522 +a(g822 +g1322 +tp5523 +a(g431 +Vwork +p5524 +tp5525 +a(g701 +g1036 +tp5526 +a(g431 +Vinfo +p5527 +tp5528 +a(g701 +g1061 +tp5529 +a(g822 +V\u000a\u000a +p5530 +tp5531 +a(g740 +Vif +p5532 +tp5533 +a(g701 +g1032 +tp5534 +a(g431 +Vinfo +p5535 +tp5536 +a(g822 +g1322 +tp5537 +a(g408 +g2229 +tp5538 +a(g408 +g1699 +tp5539 +a(g32 +g2144 +tp5540 +a(g701 +g1061 +tp5541 +a(g822 +g1322 +tp5542 +a(g740 +Vgoto +p5543 +tp5544 +a(g32 +V9999 +p5545 +tp5546 +a(g822 +V\u000a\u000a +p5547 +tp5548 +a(g431 +Venddo +p5549 +tp5550 +a(g822 +V\u000a\u000a +p5551 +tp5552 +a(g740 +Vcall +p5553 +tp5554 +a(g431 +Vpsb_geaxpby +p5555 +tp5556 +a(g701 +g1032 +tp5557 +a(g431 +Valpha +p5558 +tp5559 +a(g701 +g1036 +tp5560 +a(g431 +Vmlprec_wrk +p5561 +tp5562 +a(g701 +g1032 +tp5563 +a(g32 +g2064 +tp5564 +a(g701 +g1061 +tp5565 +a(g701 +g2163 +tp5566 +a(g431 +Vy2l +p5567 +tp5568 +a(g701 +g1036 +tp5569 +a(g431 +Vbeta +p5570 +tp5571 +a(g701 +g1036 +tp5572 +a(g431 +g1047 +tp5573 +a(g701 +g1036 +tp5574 +a(g431 +Vbaseprecv +p5575 +tp5576 +a(g701 +g1032 +tp5577 +a(g32 +g2064 +tp5578 +a(g701 +g1061 +tp5579 +a(g701 +g2163 +tp5580 +a(g431 +Vbase_desc +p5581 +tp5582 +a(g701 +g1036 +tp5583 +a(g431 +Vinfo +p5584 +tp5585 +a(g701 +g1061 +tp5586 +a(g822 +V\u000a\u000a +p5587 +tp5588 +a(g740 +Vif +p5589 +tp5590 +a(g701 +g1032 +tp5591 +a(g431 +Vinfo +p5592 +tp5593 +a(g822 +g1322 +tp5594 +a(g408 +g2229 +tp5595 +a(g408 +g1699 +tp5596 +a(g32 +g2144 +tp5597 +a(g701 +g1061 +tp5598 +a(g822 +g1322 +tp5599 +a(g740 +Vgoto +p5600 +tp5601 +a(g32 +V9999 +p5602 +tp5603 +a(g822 +V\u000a\u000a\u000a +p5604 +tp5605 +a(g740 +Vcase +p5606 +tp5607 +a(g701 +g1032 +tp5608 +a(g431 +Vpre_smooth_ +p5609 +tp5610 +a(g701 +g1061 +tp5611 +a(g822 +V\u000a\u000a\u000a +p5612 +tp5613 +a(g7 +V!\u000a +p5614 +tp5615 +a(g822 +V +p5616 +tp5617 +a(g7 +V! Pre smoothing.\u000a +p5618 +tp5619 +a(g822 +V +p5620 +tp5621 +a(g7 +V! 1. X(1) = Xext\u000a +p5622 +tp5623 +a(g822 +V +p5624 +tp5625 +a(g7 +V! 2. Y(1) = (K(1)**(-1))*X(1)\u000a +p5626 +tp5627 +a(g822 +V +p5628 +tp5629 +a(g7 +V! 3. TX(1) = X(1) - A(1)*Y(1)\u000a +p5630 +tp5631 +a(g822 +V +p5632 +tp5633 +a(g7 +V! 4. DO ILEV=2, NLEV\u000a +p5634 +tp5635 +a(g822 +V +p5636 +tp5637 +a(g7 +V! X(ILEV) = AV(PR_SM_T_,ILEV)*TX(ILEV-1)\u000a +p5638 +tp5639 +a(g822 +V +p5640 +tp5641 +a(g7 +V! Y(ILEV) = (K(ILEV)**(-1))*X(ILEV)\u000a +p5642 +tp5643 +a(g822 +V +p5644 +tp5645 +a(g7 +V! TX(ILEV) = (X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p5646 +tp5647 +a(g822 +V +p5648 +tp5649 +a(g7 +V! 5. DO ILEV=NLEV-1,1,-1\u000a +p5650 +tp5651 +a(g822 +V +p5652 +tp5653 +a(g7 +V! Y(ILEV) = Y(ILEV) + AV(PR_SM_,ILEV+1)*Y(ILEV+1)\u000a +p5654 +tp5655 +a(g822 +V +p5656 +tp5657 +a(g7 +V! 6. Yext = beta*Yext + Y(1)\u000a +p5658 +tp5659 +a(g822 +V +p5660 +tp5661 +a(g7 +V!\u000a +p5662 +tp5663 +a(g822 +V +p5664 +tp5665 +a(g7 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p5666 +tp5667 +a(g822 +V +p5668 +tp5669 +a(g7 +V! 1..NLEV <=> (j) <-> 0\u000a +p5670 +tp5671 +a(g822 +V +p5672 +tp5673 +a(g7 +V!\u000a +p5674 +tp5675 +a(g822 +V +p5676 +tp5677 +a(g7 +V!\u000a +p5678 +tp5679 +a(g822 +V\u000a +p5680 +tp5681 +a(g431 +Vn_col +p5682 +tp5683 +a(g822 +g1322 +tp5684 +a(g408 +g1699 +tp5685 +a(g822 +g1322 +tp5686 +a(g431 +Vdesc_data +p5687 +tp5688 +a(g701 +g2163 +tp5689 +a(g431 +Vmatrix_data +p5690 +tp5691 +a(g701 +g1032 +tp5692 +a(g431 +Vpsb_n_col_ +p5693 +tp5694 +a(g701 +g1061 +tp5695 +a(g822 +V\u000a +p5696 +tp5697 +a(g431 +Vnr2l +p5698 +tp5699 +a(g822 +V +p5700 +tp5701 +a(g408 +g1699 +tp5702 +a(g822 +g1322 +tp5703 +a(g431 +Vbaseprecv +p5704 +tp5705 +a(g701 +g1032 +tp5706 +a(g32 +g2064 +tp5707 +a(g701 +g1061 +tp5708 +a(g701 +g2163 +tp5709 +a(g431 +Vdesc_data +p5710 +tp5711 +a(g701 +g2163 +tp5712 +a(g431 +Vmatrix_data +p5713 +tp5714 +a(g701 +g1032 +tp5715 +a(g431 +Vpsb_n_col_ +p5716 +tp5717 +a(g701 +g1061 +tp5718 +a(g822 +V\u000a\u000a +p5719 +tp5720 +a(g740 +Vallocate +p5721 +tp5722 +a(g701 +g1032 +tp5723 +a(g431 +Vmlprec_wrk +p5724 +tp5725 +a(g701 +g1032 +tp5726 +a(g32 +g2064 +tp5727 +a(g701 +g1061 +tp5728 +a(g701 +g2163 +tp5729 +a(g431 +Vx2l +p5730 +tp5731 +a(g701 +g1032 +tp5732 +a(g431 +Vnr2l +p5733 +tp5734 +a(g701 +g1061 +tp5735 +a(g701 +g1036 +tp5736 +a(g431 +Vmlprec_wrk +p5737 +tp5738 +a(g701 +g1032 +tp5739 +a(g32 +g2064 +tp5740 +a(g701 +g1061 +tp5741 +a(g701 +g2163 +tp5742 +a(g431 +Vy2l +p5743 +tp5744 +a(g701 +g1032 +tp5745 +a(g431 +Vnr2l +p5746 +tp5747 +a(g701 +g1061 +tp5748 +a(g701 +g1036 +tp5749 +a(g822 +g1322 +tp5750 +a(g701 +g1531 +tp5751 +a(g822 +V\u000a +p5752 +tp5753 +a(g701 +g1531 +tp5754 +a(g822 +g1322 +tp5755 +a(g431 +Vmlprec_wrk +p5756 +tp5757 +a(g701 +g1032 +tp5758 +a(g32 +g2064 +tp5759 +a(g701 +g1061 +tp5760 +a(g701 +g2163 +tp5761 +a(g431 +Vtx +p5762 +tp5763 +a(g701 +g1032 +tp5764 +a(g431 +Vnr2l +p5765 +tp5766 +a(g701 +g1061 +tp5767 +a(g701 +g1036 +tp5768 +a(g822 +g1322 +tp5769 +a(g677 +Vstat +p5770 +tp5771 +a(g408 +g1699 +tp5772 +a(g431 +Vinfo +p5773 +tp5774 +a(g701 +g1061 +tp5775 +a(g822 +V\u000a +p5776 +tp5777 +a(g740 +Vif +p5778 +tp5779 +a(g822 +g1322 +tp5780 +a(g701 +g1032 +tp5781 +a(g431 +Vinfo +p5782 +tp5783 +a(g822 +g1322 +tp5784 +a(g408 +g2229 +tp5785 +a(g408 +g1699 +tp5786 +a(g822 +g1322 +tp5787 +a(g32 +g2144 +tp5788 +a(g701 +g1061 +tp5789 +a(g822 +g1322 +tp5790 +a(g740 +Vthen\u000a +p5791 +tp5792 +a(g740 +Vcall +p5793 +tp5794 +a(g431 +Vpsb_errpush +p5795 +tp5796 +a(g701 +g1032 +tp5797 +a(g32 +V4010 +p5798 +tp5799 +a(g701 +g1036 +tp5800 +a(g431 +Vname +p5801 +tp5802 +a(g701 +g1036 +tp5803 +a(g431 +Va_err +p5804 +tp5805 +a(g408 +g1699 +tp5806 +a(g225 +V'Allocate' +p5807 +tp5808 +a(g701 +g1061 +tp5809 +a(g822 +V\u000a +p5810 +tp5811 +a(g740 +Vgoto +p5812 +tp5813 +a(g32 +V9999 +p5814 +tp5815 +a(g822 +V\u000a +p5816 +tp5817 +a(g740 +Vend +p5818 +tp5819 +a(g740 +Vif\u000a\u000a +p5820 +tp5821 +a(g431 +Vmlprec_wrk +p5822 +tp5823 +a(g701 +g1032 +tp5824 +a(g32 +g2064 +tp5825 +a(g701 +g1061 +tp5826 +a(g701 +g2163 +tp5827 +a(g431 +Vy2l +p5828 +tp5829 +a(g701 +g1032 +tp5830 +a(g701 +g1349 +tp5831 +a(g701 +g1061 +tp5832 +a(g822 +g1322 +tp5833 +a(g408 +g1699 +tp5834 +a(g822 +g1322 +tp5835 +a(g431 +Vzzero +p5836 +tp5837 +a(g822 +V\u000a\u000a\u000a +p5838 +tp5839 +a(g431 +Vmlprec_wrk +p5840 +tp5841 +a(g701 +g1032 +tp5842 +a(g32 +g2064 +tp5843 +a(g701 +g1061 +tp5844 +a(g701 +g2163 +tp5845 +a(g431 +Vx2l +p5846 +tp5847 +a(g701 +g1032 +tp5848 +a(g701 +g1349 +tp5849 +a(g701 +g1061 +tp5850 +a(g822 +g1322 +tp5851 +a(g408 +g1699 +tp5852 +a(g822 +g1322 +tp5853 +a(g431 +g1041 +tp5854 +a(g822 +V\u000a\u000a +p5855 +tp5856 +a(g740 +Vcall +p5857 +tp5858 +a(g431 +Vpsb_baseprc_aply +p5859 +tp5860 +a(g701 +g1032 +tp5861 +a(g431 +Vzone +p5862 +tp5863 +a(g701 +g1036 +tp5864 +a(g431 +Vbaseprecv +p5865 +tp5866 +a(g701 +g1032 +tp5867 +a(g32 +g2064 +tp5868 +a(g701 +g1061 +tp5869 +a(g701 +g1036 +tp5870 +a(g431 +Vmlprec_wrk +p5871 +tp5872 +a(g701 +g1032 +tp5873 +a(g32 +g2064 +tp5874 +a(g701 +g1061 +tp5875 +a(g701 +g2163 +tp5876 +a(g431 +Vx2l +p5877 +tp5878 +a(g701 +g1036 +tp5879 +a(g701 +g1531 +tp5880 +a(g822 +V\u000a +p5881 +tp5882 +a(g701 +g1531 +tp5883 +a(g822 +V +p5884 +tp5885 +a(g431 +Vzzero +p5886 +tp5887 +a(g701 +g1036 +tp5888 +a(g431 +Vmlprec_wrk +p5889 +tp5890 +a(g701 +g1032 +tp5891 +a(g32 +g2064 +tp5892 +a(g701 +g1061 +tp5893 +a(g701 +g2163 +tp5894 +a(g431 +Vy2l +p5895 +tp5896 +a(g701 +g1036 +tp5897 +a(g701 +g1531 +tp5898 +a(g822 +V\u000a +p5899 +tp5900 +a(g701 +g1531 +tp5901 +a(g822 +V +p5902 +tp5903 +a(g431 +Vbaseprecv +p5904 +tp5905 +a(g701 +g1032 +tp5906 +a(g32 +g2064 +tp5907 +a(g701 +g1061 +tp5908 +a(g701 +g2163 +tp5909 +a(g431 +Vbase_desc +p5910 +tp5911 +a(g701 +g1036 +tp5912 +a(g701 +g1531 +tp5913 +a(g822 +V\u000a +p5914 +tp5915 +a(g701 +g1531 +tp5916 +a(g822 +V +p5917 +tp5918 +a(g431 +Vtrans +p5919 +tp5920 +a(g701 +g1036 +tp5921 +a(g431 +Vwork +p5922 +tp5923 +a(g701 +g1036 +tp5924 +a(g431 +Vinfo +p5925 +tp5926 +a(g701 +g1061 +tp5927 +a(g822 +V\u000a\u000a +p5928 +tp5929 +a(g740 +Vif +p5930 +tp5931 +a(g701 +g1032 +tp5932 +a(g431 +Vinfo +p5933 +tp5934 +a(g822 +g1322 +tp5935 +a(g408 +g2229 +tp5936 +a(g408 +g1699 +tp5937 +a(g32 +g2144 +tp5938 +a(g701 +g1061 +tp5939 +a(g822 +g1322 +tp5940 +a(g740 +Vgoto +p5941 +tp5942 +a(g32 +V9999 +p5943 +tp5944 +a(g822 +V\u000a\u000a +p5945 +tp5946 +a(g431 +Vmlprec_wrk +p5947 +tp5948 +a(g701 +g1032 +tp5949 +a(g32 +g2064 +tp5950 +a(g701 +g1061 +tp5951 +a(g701 +g2163 +tp5952 +a(g431 +Vtx +p5953 +tp5954 +a(g822 +g1322 +tp5955 +a(g408 +g1699 +tp5956 +a(g822 +g1322 +tp5957 +a(g431 +Vmlprec_wrk +p5958 +tp5959 +a(g701 +g1032 +tp5960 +a(g32 +g2064 +tp5961 +a(g701 +g1061 +tp5962 +a(g701 +g2163 +tp5963 +a(g431 +Vx2l +p5964 +tp5965 +a(g822 +V\u000a\u000a +p5966 +tp5967 +a(g740 +Vcall +p5968 +tp5969 +a(g431 +Vpsb_spmm +p5970 +tp5971 +a(g701 +g1032 +tp5972 +a(g408 +g2525 +tp5973 +a(g431 +Vzone +p5974 +tp5975 +a(g701 +g1036 +tp5976 +a(g431 +Vbaseprecv +p5977 +tp5978 +a(g701 +g1032 +tp5979 +a(g32 +g2064 +tp5980 +a(g701 +g1061 +tp5981 +a(g701 +g2163 +tp5982 +a(g431 +Vbase_a +p5983 +tp5984 +a(g701 +g1036 +tp5985 +a(g431 +Vmlprec_wrk +p5986 +tp5987 +a(g701 +g1032 +tp5988 +a(g32 +g2064 +tp5989 +a(g701 +g1061 +tp5990 +a(g701 +g2163 +tp5991 +a(g431 +Vy2l +p5992 +tp5993 +a(g701 +g1036 +tp5994 +a(g701 +g1531 +tp5995 +a(g822 +V\u000a +p5996 +tp5997 +a(g701 +g1531 +tp5998 +a(g822 +g1322 +tp5999 +a(g431 +Vzone +p6000 +tp6001 +a(g701 +g1036 +tp6002 +a(g431 +Vmlprec_wrk +p6003 +tp6004 +a(g701 +g1032 +tp6005 +a(g32 +g2064 +tp6006 +a(g701 +g1061 +tp6007 +a(g701 +g2163 +tp6008 +a(g431 +Vtx +p6009 +tp6010 +a(g701 +g1036 +tp6011 +a(g431 +Vbaseprecv +p6012 +tp6013 +a(g701 +g1032 +tp6014 +a(g32 +g2064 +tp6015 +a(g701 +g1061 +tp6016 +a(g701 +g2163 +tp6017 +a(g431 +Vbase_desc +p6018 +tp6019 +a(g701 +g1036 +tp6020 +a(g431 +Vinfo +p6021 +tp6022 +a(g701 +g1036 +tp6023 +a(g431 +Vwork +p6024 +tp6025 +a(g408 +g1699 +tp6026 +a(g431 +Vwork +p6027 +tp6028 +a(g701 +g1061 +tp6029 +a(g822 +V\u000a +p6030 +tp6031 +a(g740 +Vif +p6032 +tp6033 +a(g701 +g1032 +tp6034 +a(g431 +Vinfo +p6035 +tp6036 +a(g822 +g1322 +tp6037 +a(g408 +g2229 +tp6038 +a(g408 +g1699 +tp6039 +a(g32 +g2144 +tp6040 +a(g701 +g1061 +tp6041 +a(g822 +g1322 +tp6042 +a(g740 +Vgoto +p6043 +tp6044 +a(g32 +V9999 +p6045 +tp6046 +a(g822 +V\u000a\u000a +p6047 +tp6048 +a(g740 +Vdo +p6049 +tp6050 +a(g431 +Vilev +p6051 +tp6052 +a(g822 +g1322 +tp6053 +a(g408 +g1699 +tp6054 +a(g822 +g1322 +tp6055 +a(g32 +g2275 +tp6056 +a(g701 +g1036 +tp6057 +a(g822 +g1322 +tp6058 +a(g431 +Vnlev +p6059 +tp6060 +a(g822 +V\u000a +p6061 +tp6062 +a(g431 +Vn_row +p6063 +tp6064 +a(g822 +g1322 +tp6065 +a(g408 +g1699 +tp6066 +a(g822 +g1322 +tp6067 +a(g431 +Vbaseprecv +p6068 +tp6069 +a(g701 +g1032 +tp6070 +a(g431 +Vilev +p6071 +tp6072 +a(g408 +g2525 +tp6073 +a(g32 +g2064 +tp6074 +a(g701 +g1061 +tp6075 +a(g701 +g2163 +tp6076 +a(g431 +Vbase_desc +p6077 +tp6078 +a(g701 +g2163 +tp6079 +a(g431 +Vmatrix_data +p6080 +tp6081 +a(g701 +g1032 +tp6082 +a(g431 +Vpsb_n_row_ +p6083 +tp6084 +a(g701 +g1061 +tp6085 +a(g822 +V\u000a +p6086 +tp6087 +a(g431 +Vn_col +p6088 +tp6089 +a(g822 +g1322 +tp6090 +a(g408 +g1699 +tp6091 +a(g822 +g1322 +tp6092 +a(g431 +Vbaseprecv +p6093 +tp6094 +a(g701 +g1032 +tp6095 +a(g431 +Vilev +p6096 +tp6097 +a(g408 +g2525 +tp6098 +a(g32 +g2064 +tp6099 +a(g701 +g1061 +tp6100 +a(g701 +g2163 +tp6101 +a(g431 +Vdesc_data +p6102 +tp6103 +a(g701 +g2163 +tp6104 +a(g431 +Vmatrix_data +p6105 +tp6106 +a(g701 +g1032 +tp6107 +a(g431 +Vpsb_n_col_ +p6108 +tp6109 +a(g701 +g1061 +tp6110 +a(g822 +V\u000a +p6111 +tp6112 +a(g431 +Vnr2l +p6113 +tp6114 +a(g822 +V +p6115 +tp6116 +a(g408 +g1699 +tp6117 +a(g822 +g1322 +tp6118 +a(g431 +Vbaseprecv +p6119 +tp6120 +a(g701 +g1032 +tp6121 +a(g431 +Vilev +p6122 +tp6123 +a(g701 +g1061 +tp6124 +a(g701 +g2163 +tp6125 +a(g431 +Vdesc_data +p6126 +tp6127 +a(g701 +g2163 +tp6128 +a(g431 +Vmatrix_data +p6129 +tp6130 +a(g701 +g1032 +tp6131 +a(g431 +Vpsb_n_col_ +p6132 +tp6133 +a(g701 +g1061 +tp6134 +a(g822 +V\u000a +p6135 +tp6136 +a(g431 +Vnrg +p6137 +tp6138 +a(g822 +V +p6139 +tp6140 +a(g408 +g1699 +tp6141 +a(g822 +g1322 +tp6142 +a(g431 +Vbaseprecv +p6143 +tp6144 +a(g701 +g1032 +tp6145 +a(g431 +Vilev +p6146 +tp6147 +a(g701 +g1061 +tp6148 +a(g701 +g2163 +tp6149 +a(g431 +Vdesc_data +p6150 +tp6151 +a(g701 +g2163 +tp6152 +a(g431 +Vmatrix_data +p6153 +tp6154 +a(g701 +g1032 +tp6155 +a(g431 +Vpsb_n_row_ +p6156 +tp6157 +a(g701 +g1061 +tp6158 +a(g822 +V\u000a +p6159 +tp6160 +a(g431 +Vismth +p6161 +tp6162 +a(g822 +g1322 +tp6163 +a(g408 +g1699 +tp6164 +a(g822 +g1322 +tp6165 +a(g431 +Vbaseprecv +p6166 +tp6167 +a(g701 +g1032 +tp6168 +a(g431 +Vilev +p6169 +tp6170 +a(g701 +g1061 +tp6171 +a(g701 +g2163 +tp6172 +a(g431 +Viprcparm +p6173 +tp6174 +a(g701 +g1032 +tp6175 +a(g431 +Vsmth_kind_ +p6176 +tp6177 +a(g701 +g1061 +tp6178 +a(g822 +V\u000a +p6179 +tp6180 +a(g740 +Vallocate +p6181 +tp6182 +a(g701 +g1032 +tp6183 +a(g431 +Vmlprec_wrk +p6184 +tp6185 +a(g701 +g1032 +tp6186 +a(g431 +Vilev +p6187 +tp6188 +a(g701 +g1061 +tp6189 +a(g701 +g2163 +tp6190 +a(g431 +Vtx +p6191 +tp6192 +a(g701 +g1032 +tp6193 +a(g431 +Vnr2l +p6194 +tp6195 +a(g701 +g1061 +tp6196 +a(g701 +g1036 +tp6197 +a(g431 +Vmlprec_wrk +p6198 +tp6199 +a(g701 +g1032 +tp6200 +a(g431 +Vilev +p6201 +tp6202 +a(g701 +g1061 +tp6203 +a(g701 +g2163 +tp6204 +a(g431 +Vy2l +p6205 +tp6206 +a(g701 +g1032 +tp6207 +a(g431 +Vnr2l +p6208 +tp6209 +a(g701 +g1061 +tp6210 +a(g701 +g1036 +tp6211 +a(g701 +g1531 +tp6212 +a(g822 +V\u000a +p6213 +tp6214 +a(g701 +g1531 +tp6215 +a(g822 +V +p6216 +tp6217 +a(g431 +Vmlprec_wrk +p6218 +tp6219 +a(g701 +g1032 +tp6220 +a(g431 +Vilev +p6221 +tp6222 +a(g701 +g1061 +tp6223 +a(g701 +g2163 +tp6224 +a(g431 +Vx2l +p6225 +tp6226 +a(g701 +g1032 +tp6227 +a(g431 +Vnr2l +p6228 +tp6229 +a(g701 +g1061 +tp6230 +a(g701 +g1036 +tp6231 +a(g822 +g1322 +tp6232 +a(g677 +Vstat +p6233 +tp6234 +a(g408 +g1699 +tp6235 +a(g431 +Vinfo +p6236 +tp6237 +a(g701 +g1061 +tp6238 +a(g822 +V\u000a\u000a\u000a +p6239 +tp6240 +a(g740 +Vif +p6241 +tp6242 +a(g822 +g1322 +tp6243 +a(g701 +g1032 +tp6244 +a(g431 +Vinfo +p6245 +tp6246 +a(g822 +g1322 +tp6247 +a(g408 +g2229 +tp6248 +a(g408 +g1699 +tp6249 +a(g822 +g1322 +tp6250 +a(g32 +g2144 +tp6251 +a(g701 +g1061 +tp6252 +a(g822 +g1322 +tp6253 +a(g740 +Vthen\u000a +p6254 +tp6255 +a(g740 +Vcall +p6256 +tp6257 +a(g431 +Vpsb_errpush +p6258 +tp6259 +a(g701 +g1032 +tp6260 +a(g32 +V4010 +p6261 +tp6262 +a(g701 +g1036 +tp6263 +a(g431 +Vname +p6264 +tp6265 +a(g701 +g1036 +tp6266 +a(g431 +Va_err +p6267 +tp6268 +a(g408 +g1699 +tp6269 +a(g225 +V'Allocate' +p6270 +tp6271 +a(g701 +g1061 +tp6272 +a(g822 +V\u000a +p6273 +tp6274 +a(g740 +Vgoto +p6275 +tp6276 +a(g32 +V9999 +p6277 +tp6278 +a(g822 +V\u000a +p6279 +tp6280 +a(g740 +Vend +p6281 +tp6282 +a(g740 +Vif\u000a\u000a +p6283 +tp6284 +a(g431 +Vmlprec_wrk +p6285 +tp6286 +a(g701 +g1032 +tp6287 +a(g431 +Vilev +p6288 +tp6289 +a(g701 +g1061 +tp6290 +a(g701 +g2163 +tp6291 +a(g431 +Vx2l +p6292 +tp6293 +a(g701 +g1032 +tp6294 +a(g701 +g1349 +tp6295 +a(g701 +g1061 +tp6296 +a(g822 +g1322 +tp6297 +a(g408 +g1699 +tp6298 +a(g822 +g1322 +tp6299 +a(g431 +Vzzero +p6300 +tp6301 +a(g822 +V\u000a +p6302 +tp6303 +a(g431 +Vmlprec_wrk +p6304 +tp6305 +a(g701 +g1032 +tp6306 +a(g431 +Vilev +p6307 +tp6308 +a(g701 +g1061 +tp6309 +a(g701 +g2163 +tp6310 +a(g431 +Vy2l +p6311 +tp6312 +a(g701 +g1032 +tp6313 +a(g701 +g1349 +tp6314 +a(g701 +g1061 +tp6315 +a(g822 +g1322 +tp6316 +a(g408 +g1699 +tp6317 +a(g822 +g1322 +tp6318 +a(g431 +Vzzero +p6319 +tp6320 +a(g822 +V\u000a +p6321 +tp6322 +a(g431 +Vmlprec_wrk +p6323 +tp6324 +a(g701 +g1032 +tp6325 +a(g431 +Vilev +p6326 +tp6327 +a(g701 +g1061 +tp6328 +a(g701 +g2163 +tp6329 +a(g431 +Vtx +p6330 +tp6331 +a(g701 +g1032 +tp6332 +a(g701 +g1349 +tp6333 +a(g701 +g1061 +tp6334 +a(g822 +g1322 +tp6335 +a(g408 +g1699 +tp6336 +a(g822 +g1322 +tp6337 +a(g431 +Vzzero +p6338 +tp6339 +a(g822 +V\u000a\u000a\u000a +p6340 +tp6341 +a(g740 +Vif +p6342 +tp6343 +a(g822 +g1322 +tp6344 +a(g701 +g1032 +tp6345 +a(g431 +Vismth +p6346 +tp6347 +a(g822 +V +p6348 +tp6349 +a(g408 +g2229 +tp6350 +a(g408 +g1699 +tp6351 +a(g822 +g1322 +tp6352 +a(g431 +Vno_smth_ +p6353 +tp6354 +a(g701 +g1061 +tp6355 +a(g822 +g1322 +tp6356 +a(g740 +Vthen +p6357 +tp6358 +a(g822 +V\u000a +p6359 +tp6360 +a(g7 +V!\u000a +p6361 +tp6362 +a(g822 +V +p6363 +tp6364 +a(g7 +V!Smoothed Aggregation\u000a +p6365 +tp6366 +a(g822 +V +p6367 +tp6368 +a(g7 +V!\u000a +p6369 +tp6370 +a(g822 +V +p6371 +tp6372 +a(g740 +Vif +p6373 +tp6374 +a(g822 +g1322 +tp6375 +a(g701 +g1032 +tp6376 +a(g431 +Vbaseprecv +p6377 +tp6378 +a(g701 +g1032 +tp6379 +a(g431 +Vilev +p6380 +tp6381 +a(g701 +g1061 +tp6382 +a(g701 +g2163 +tp6383 +a(g431 +Viprcparm +p6384 +tp6385 +a(g701 +g1032 +tp6386 +a(g431 +Vglb_smth_ +p6387 +tp6388 +a(g701 +g1061 +tp6389 +a(g822 +g1322 +tp6390 +a(g408 +g1794 +tp6391 +a(g32 +g2144 +tp6392 +a(g701 +g1061 +tp6393 +a(g822 +g1322 +tp6394 +a(g740 +Vthen\u000a\u000a +p6395 +tp6396 +a(g740 +Vcall +p6397 +tp6398 +a(g431 +Vpsb_halo +p6399 +tp6400 +a(g701 +g1032 +tp6401 +a(g431 +Vmlprec_wrk +p6402 +tp6403 +a(g701 +g1032 +tp6404 +a(g431 +Vilev +p6405 +tp6406 +a(g408 +g2525 +tp6407 +a(g32 +g2064 +tp6408 +a(g701 +g1061 +tp6409 +a(g701 +g2163 +tp6410 +a(g431 +Vtx +p6411 +tp6412 +a(g701 +g1036 +tp6413 +a(g431 +Vbaseprecv +p6414 +tp6415 +a(g701 +g1032 +tp6416 +a(g431 +Vilev +p6417 +tp6418 +a(g408 +g2525 +tp6419 +a(g32 +g2064 +tp6420 +a(g701 +g1061 +tp6421 +a(g701 +g2163 +tp6422 +a(g431 +Vbase_desc +p6423 +tp6424 +a(g701 +g1036 +tp6425 +a(g701 +g1531 +tp6426 +a(g822 +V\u000a +p6427 +tp6428 +a(g701 +g1531 +tp6429 +a(g822 +g1322 +tp6430 +a(g431 +Vinfo +p6431 +tp6432 +a(g701 +g1036 +tp6433 +a(g431 +Vwork +p6434 +tp6435 +a(g408 +g1699 +tp6436 +a(g431 +Vwork +p6437 +tp6438 +a(g701 +g1061 +tp6439 +a(g822 +V\u000a +p6440 +tp6441 +a(g740 +Vif +p6442 +tp6443 +a(g701 +g1032 +tp6444 +a(g431 +Vinfo +p6445 +tp6446 +a(g822 +g1322 +tp6447 +a(g408 +g2229 +tp6448 +a(g408 +g1699 +tp6449 +a(g32 +g2144 +tp6450 +a(g701 +g1061 +tp6451 +a(g822 +g1322 +tp6452 +a(g740 +Vgoto +p6453 +tp6454 +a(g32 +V9999 +p6455 +tp6456 +a(g822 +V\u000a +p6457 +tp6458 +a(g740 +Velse\u000a +p6459 +tp6460 +a(g431 +Vmlprec_wrk +p6461 +tp6462 +a(g701 +g1032 +tp6463 +a(g431 +Vilev +p6464 +tp6465 +a(g408 +g2525 +tp6466 +a(g32 +g2064 +tp6467 +a(g701 +g1061 +tp6468 +a(g701 +g2163 +tp6469 +a(g431 +Vtx +p6470 +tp6471 +a(g701 +g1032 +tp6472 +a(g431 +Vn_row +p6473 +tp6474 +a(g408 +g2837 +tp6475 +a(g32 +g2064 +tp6476 +a(g701 +g1349 +tp6477 +a(g677 +Vmax +p6478 +tp6479 +a(g701 +g1032 +tp6480 +a(g431 +Vn_row +p6481 +tp6482 +a(g701 +g1036 +tp6483 +a(g431 +Vn_col +p6484 +tp6485 +a(g701 +g1061 +tp6486 +a(g701 +g1061 +tp6487 +a(g822 +g1322 +tp6488 +a(g408 +g1699 +tp6489 +a(g822 +g1322 +tp6490 +a(g431 +Vzzero +p6491 +tp6492 +a(g822 +V\u000a +p6493 +tp6494 +a(g740 +Vend +p6495 +tp6496 +a(g740 +Vif\u000a\u000a +p6497 +tp6498 +a(g740 +Vcall +p6499 +tp6500 +a(g431 +Vpsb_csmm +p6501 +tp6502 +a(g701 +g1032 +tp6503 +a(g431 +Vzone +p6504 +tp6505 +a(g701 +g1036 +tp6506 +a(g431 +Vbaseprecv +p6507 +tp6508 +a(g701 +g1032 +tp6509 +a(g431 +Vilev +p6510 +tp6511 +a(g701 +g1061 +tp6512 +a(g701 +g2163 +tp6513 +a(g431 +Vav +p6514 +tp6515 +a(g701 +g1032 +tp6516 +a(g431 +Vsm_pr_t_ +p6517 +tp6518 +a(g701 +g1061 +tp6519 +a(g701 +g1036 +tp6520 +a(g431 +Vmlprec_wrk +p6521 +tp6522 +a(g701 +g1032 +tp6523 +a(g431 +Vilev +p6524 +tp6525 +a(g408 +g2525 +tp6526 +a(g32 +g2064 +tp6527 +a(g701 +g1061 +tp6528 +a(g701 +g2163 +tp6529 +a(g431 +Vtx +p6530 +tp6531 +a(g701 +g1036 +tp6532 +a(g431 +Vzzero +p6533 +tp6534 +a(g701 +g1036 +tp6535 +a(g701 +g1531 +tp6536 +a(g822 +V\u000a +p6537 +tp6538 +a(g701 +g1531 +tp6539 +a(g822 +g1322 +tp6540 +a(g431 +Vmlprec_wrk +p6541 +tp6542 +a(g701 +g1032 +tp6543 +a(g431 +Vilev +p6544 +tp6545 +a(g701 +g1061 +tp6546 +a(g701 +g2163 +tp6547 +a(g431 +Vx2l +p6548 +tp6549 +a(g701 +g1036 +tp6550 +a(g431 +Vinfo +p6551 +tp6552 +a(g701 +g1061 +tp6553 +a(g822 +V\u000a +p6554 +tp6555 +a(g740 +Vif +p6556 +tp6557 +a(g701 +g1032 +tp6558 +a(g431 +Vinfo +p6559 +tp6560 +a(g822 +g1322 +tp6561 +a(g408 +g2229 +tp6562 +a(g408 +g1699 +tp6563 +a(g32 +g2144 +tp6564 +a(g701 +g1061 +tp6565 +a(g822 +g1322 +tp6566 +a(g740 +Vgoto +p6567 +tp6568 +a(g32 +V9999 +p6569 +tp6570 +a(g822 +V\u000a\u000a +p6571 +tp6572 +a(g740 +Velse +p6573 +tp6574 +a(g822 +V\u000a +p6575 +tp6576 +a(g7 +V!\u000a +p6577 +tp6578 +a(g822 +V +p6579 +tp6580 +a(g7 +V! Raw aggregation, may take shortcuts\u000a +p6581 +tp6582 +a(g822 +V +p6583 +tp6584 +a(g7 +V!\u000a +p6585 +tp6586 +a(g822 +V +p6587 +tp6588 +a(g431 +Vmlprec_wrk +p6589 +tp6590 +a(g701 +g1032 +tp6591 +a(g431 +Vilev +p6592 +tp6593 +a(g701 +g1061 +tp6594 +a(g701 +g2163 +tp6595 +a(g431 +Vx2l +p6596 +tp6597 +a(g822 +g1322 +tp6598 +a(g408 +g1699 +tp6599 +a(g822 +g1322 +tp6600 +a(g431 +Vzzero +p6601 +tp6602 +a(g822 +V\u000a +p6603 +tp6604 +a(g740 +Vdo +p6605 +tp6606 +a(g431 +g1592 +tp6607 +a(g408 +g1699 +tp6608 +a(g32 +g2064 +tp6609 +a(g701 +g1036 +tp6610 +a(g431 +Vn_row +p6611 +tp6612 +a(g822 +V\u000a +p6613 +tp6614 +a(g431 +Vmlprec_wrk +p6615 +tp6616 +a(g701 +g1032 +tp6617 +a(g431 +Vilev +p6618 +tp6619 +a(g701 +g1061 +tp6620 +a(g701 +g2163 +tp6621 +a(g431 +Vx2l +p6622 +tp6623 +a(g701 +g1032 +tp6624 +a(g431 +Vbaseprecv +p6625 +tp6626 +a(g701 +g1032 +tp6627 +a(g431 +Vilev +p6628 +tp6629 +a(g701 +g1061 +tp6630 +a(g701 +g2163 +tp6631 +a(g431 +Vmlia +p6632 +tp6633 +a(g701 +g1032 +tp6634 +a(g431 +g1592 +tp6635 +a(g701 +g1061 +tp6636 +a(g701 +g1061 +tp6637 +a(g822 +g1322 +tp6638 +a(g408 +g1699 +tp6639 +a(g822 +g1322 +tp6640 +a(g701 +g1531 +tp6641 +a(g822 +V\u000a +p6642 +tp6643 +a(g701 +g1531 +tp6644 +a(g822 +g1322 +tp6645 +a(g431 +Vmlprec_wrk +p6646 +tp6647 +a(g701 +g1032 +tp6648 +a(g431 +Vilev +p6649 +tp6650 +a(g701 +g1061 +tp6651 +a(g701 +g2163 +tp6652 +a(g431 +Vx2l +p6653 +tp6654 +a(g701 +g1032 +tp6655 +a(g431 +Vbaseprecv +p6656 +tp6657 +a(g701 +g1032 +tp6658 +a(g431 +Vilev +p6659 +tp6660 +a(g701 +g1061 +tp6661 +a(g701 +g2163 +tp6662 +a(g431 +Vmlia +p6663 +tp6664 +a(g701 +g1032 +tp6665 +a(g431 +g1592 +tp6666 +a(g701 +g1061 +tp6667 +a(g701 +g1061 +tp6668 +a(g822 +g1322 +tp6669 +a(g408 +g2837 +tp6670 +a(g822 +g1322 +tp6671 +a(g701 +g1531 +tp6672 +a(g822 +V\u000a +p6673 +tp6674 +a(g701 +g1531 +tp6675 +a(g822 +V +p6676 +tp6677 +a(g431 +Vmlprec_wrk +p6678 +tp6679 +a(g701 +g1032 +tp6680 +a(g431 +Vilev +p6681 +tp6682 +a(g408 +g2525 +tp6683 +a(g32 +g2064 +tp6684 +a(g701 +g1061 +tp6685 +a(g701 +g2163 +tp6686 +a(g431 +Vtx +p6687 +tp6688 +a(g701 +g1032 +tp6689 +a(g431 +g1592 +tp6690 +a(g701 +g1061 +tp6691 +a(g822 +V\u000a +p6692 +tp6693 +a(g740 +Vend +p6694 +tp6695 +a(g740 +Vdo\u000a +p6696 +tp6697 +a(g740 +Vend +p6698 +tp6699 +a(g740 +Vif\u000a\u000a +p6700 +tp6701 +a(g740 +Vif +p6702 +tp6703 +a(g822 +g1322 +tp6704 +a(g701 +g1032 +tp6705 +a(g431 +Vbaseprecv +p6706 +tp6707 +a(g701 +g1032 +tp6708 +a(g431 +Vilev +p6709 +tp6710 +a(g701 +g1061 +tp6711 +a(g701 +g2163 +tp6712 +a(g431 +Viprcparm +p6713 +tp6714 +a(g701 +g1032 +tp6715 +a(g431 +Vcoarse_mat_ +p6716 +tp6717 +a(g701 +g1061 +tp6718 +a(g408 +V== +p6719 +tp6720 +a(g431 +Vmat_repl_ +p6721 +tp6722 +a(g701 +g1061 +tp6723 +a(g822 +g1322 +tp6724 +a(g740 +Vthen\u000a +p6725 +tp6726 +a(g740 +Vcall +p6727 +tp6728 +a(g431 +Vpsb_sum +p6729 +tp6730 +a(g701 +g1032 +tp6731 +a(g431 +Victxt +p6732 +tp6733 +a(g701 +g1036 +tp6734 +a(g431 +Vmlprec_wrk +p6735 +tp6736 +a(g701 +g1032 +tp6737 +a(g431 +Vilev +p6738 +tp6739 +a(g701 +g1061 +tp6740 +a(g701 +g2163 +tp6741 +a(g431 +Vx2l +p6742 +tp6743 +a(g701 +g1032 +tp6744 +a(g32 +g2064 +tp6745 +a(g701 +g1349 +tp6746 +a(g431 +Vnrg +p6747 +tp6748 +a(g701 +g1061 +tp6749 +a(g701 +g1061 +tp6750 +a(g822 +V\u000a +p6751 +tp6752 +a(g740 +Velse +p6753 +tp6754 +a(g740 +Vif +p6755 +tp6756 +a(g822 +g1322 +tp6757 +a(g701 +g1032 +tp6758 +a(g431 +Vbaseprecv +p6759 +tp6760 +a(g701 +g1032 +tp6761 +a(g431 +Vilev +p6762 +tp6763 +a(g701 +g1061 +tp6764 +a(g701 +g2163 +tp6765 +a(g431 +Viprcparm +p6766 +tp6767 +a(g701 +g1032 +tp6768 +a(g431 +Vcoarse_mat_ +p6769 +tp6770 +a(g701 +g1061 +tp6771 +a(g822 +g1322 +tp6772 +a(g408 +g2229 +tp6773 +a(g408 +g1699 +tp6774 +a(g822 +g1322 +tp6775 +a(g431 +Vmat_distr_ +p6776 +tp6777 +a(g701 +g1061 +tp6778 +a(g822 +g1322 +tp6779 +a(g740 +Vthen\u000a +p6780 +tp6781 +a(g740 +Vwrite +p6782 +tp6783 +a(g701 +g1032 +tp6784 +a(g32 +g2144 +tp6785 +a(g701 +g1036 +tp6786 +a(g408 +g3326 +tp6787 +a(g701 +g1061 +tp6788 +a(g822 +g1322 +tp6789 +a(g225 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p6790 +tp6791 +a(g701 +g1036 +tp6792 +a(g701 +g1531 +tp6793 +a(g822 +V\u000a +p6794 +tp6795 +a(g701 +g1531 +tp6796 +a(g822 +g1322 +tp6797 +a(g431 +Vbaseprecv +p6798 +tp6799 +a(g701 +g1032 +tp6800 +a(g431 +Vilev +p6801 +tp6802 +a(g701 +g1061 +tp6803 +a(g701 +g2163 +tp6804 +a(g431 +Viprcparm +p6805 +tp6806 +a(g701 +g1032 +tp6807 +a(g431 +Vcoarse_mat_ +p6808 +tp6809 +a(g701 +g1061 +tp6810 +a(g822 +V\u000a +p6811 +tp6812 +a(g431 +Vendif +p6813 +tp6814 +a(g822 +V\u000a\u000a\u000a +p6815 +tp6816 +a(g740 +Vcall +p6817 +tp6818 +a(g431 +Vpsb_baseprc_aply +p6819 +tp6820 +a(g701 +g1032 +tp6821 +a(g431 +Vzone +p6822 +tp6823 +a(g701 +g1036 +tp6824 +a(g431 +Vbaseprecv +p6825 +tp6826 +a(g701 +g1032 +tp6827 +a(g431 +Vilev +p6828 +tp6829 +a(g701 +g1061 +tp6830 +a(g701 +g1036 +tp6831 +a(g431 +Vmlprec_wrk +p6832 +tp6833 +a(g701 +g1032 +tp6834 +a(g431 +Vilev +p6835 +tp6836 +a(g701 +g1061 +tp6837 +a(g701 +g2163 +tp6838 +a(g431 +Vx2l +p6839 +tp6840 +a(g701 +g1036 +tp6841 +a(g701 +g1531 +tp6842 +a(g822 +V\u000a +p6843 +tp6844 +a(g701 +g1531 +tp6845 +a(g822 +g1322 +tp6846 +a(g431 +Vzzero +p6847 +tp6848 +a(g701 +g1036 +tp6849 +a(g431 +Vmlprec_wrk +p6850 +tp6851 +a(g701 +g1032 +tp6852 +a(g431 +Vilev +p6853 +tp6854 +a(g701 +g1061 +tp6855 +a(g701 +g2163 +tp6856 +a(g431 +Vy2l +p6857 +tp6858 +a(g701 +g1036 +tp6859 +a(g431 +Vbaseprecv +p6860 +tp6861 +a(g701 +g1032 +tp6862 +a(g431 +Vilev +p6863 +tp6864 +a(g701 +g1061 +tp6865 +a(g701 +g2163 +tp6866 +a(g431 +Vdesc_data +p6867 +tp6868 +a(g701 +g1036 +tp6869 +a(g822 +g1322 +tp6870 +a(g225 +V'N' +p6871 +tp6872 +a(g701 +g1036 +tp6873 +a(g431 +Vwork +p6874 +tp6875 +a(g701 +g1036 +tp6876 +a(g431 +Vinfo +p6877 +tp6878 +a(g701 +g1061 +tp6879 +a(g822 +V\u000a\u000a +p6880 +tp6881 +a(g740 +Vif +p6882 +tp6883 +a(g701 +g1032 +tp6884 +a(g431 +Vinfo +p6885 +tp6886 +a(g822 +g1322 +tp6887 +a(g408 +g2229 +tp6888 +a(g408 +g1699 +tp6889 +a(g32 +g2144 +tp6890 +a(g701 +g1061 +tp6891 +a(g822 +g1322 +tp6892 +a(g740 +Vgoto +p6893 +tp6894 +a(g32 +V9999 +p6895 +tp6896 +a(g822 +V\u000a\u000a +p6897 +tp6898 +a(g740 +Vif +p6899 +tp6900 +a(g701 +g1032 +tp6901 +a(g431 +Vilev +p6902 +tp6903 +a(g822 +g1322 +tp6904 +a(g408 +V< +p6905 +tp6906 +a(g822 +g1322 +tp6907 +a(g431 +Vnlev +p6908 +tp6909 +a(g701 +g1061 +tp6910 +a(g822 +g1322 +tp6911 +a(g740 +Vthen\u000a +p6912 +tp6913 +a(g431 +Vmlprec_wrk +p6914 +tp6915 +a(g701 +g1032 +tp6916 +a(g431 +Vilev +p6917 +tp6918 +a(g701 +g1061 +tp6919 +a(g701 +g2163 +tp6920 +a(g431 +Vtx +p6921 +tp6922 +a(g822 +g1322 +tp6923 +a(g408 +g1699 +tp6924 +a(g822 +g1322 +tp6925 +a(g431 +Vmlprec_wrk +p6926 +tp6927 +a(g701 +g1032 +tp6928 +a(g431 +Vilev +p6929 +tp6930 +a(g701 +g1061 +tp6931 +a(g701 +g2163 +tp6932 +a(g431 +Vx2l +p6933 +tp6934 +a(g822 +V\u000a +p6935 +tp6936 +a(g740 +Vcall +p6937 +tp6938 +a(g431 +Vpsb_spmm +p6939 +tp6940 +a(g701 +g1032 +tp6941 +a(g408 +g2525 +tp6942 +a(g431 +Vzone +p6943 +tp6944 +a(g701 +g1036 +tp6945 +a(g431 +Vbaseprecv +p6946 +tp6947 +a(g701 +g1032 +tp6948 +a(g431 +Vilev +p6949 +tp6950 +a(g701 +g1061 +tp6951 +a(g701 +g2163 +tp6952 +a(g431 +Vbase_a +p6953 +tp6954 +a(g701 +g1036 +tp6955 +a(g431 +Vmlprec_wrk +p6956 +tp6957 +a(g701 +g1032 +tp6958 +a(g431 +Vilev +p6959 +tp6960 +a(g701 +g1061 +tp6961 +a(g701 +g2163 +tp6962 +a(g431 +Vy2l +p6963 +tp6964 +a(g701 +g1036 +tp6965 +a(g701 +g1531 +tp6966 +a(g822 +V\u000a +p6967 +tp6968 +a(g701 +g1531 +tp6969 +a(g822 +g1322 +tp6970 +a(g431 +Vzone +p6971 +tp6972 +a(g701 +g1036 +tp6973 +a(g431 +Vmlprec_wrk +p6974 +tp6975 +a(g701 +g1032 +tp6976 +a(g431 +Vilev +p6977 +tp6978 +a(g701 +g1061 +tp6979 +a(g701 +g2163 +tp6980 +a(g431 +Vtx +p6981 +tp6982 +a(g701 +g1036 +tp6983 +a(g431 +Vbaseprecv +p6984 +tp6985 +a(g701 +g1032 +tp6986 +a(g431 +Vilev +p6987 +tp6988 +a(g701 +g1061 +tp6989 +a(g701 +g2163 +tp6990 +a(g431 +Vbase_desc +p6991 +tp6992 +a(g701 +g1036 +tp6993 +a(g431 +Vinfo +p6994 +tp6995 +a(g701 +g1036 +tp6996 +a(g431 +Vwork +p6997 +tp6998 +a(g408 +g1699 +tp6999 +a(g431 +Vwork +p7000 +tp7001 +a(g701 +g1061 +tp7002 +a(g822 +V\u000a +p7003 +tp7004 +a(g740 +Vif +p7005 +tp7006 +a(g701 +g1032 +tp7007 +a(g431 +Vinfo +p7008 +tp7009 +a(g822 +g1322 +tp7010 +a(g408 +g2229 +tp7011 +a(g408 +g1699 +tp7012 +a(g32 +g2144 +tp7013 +a(g701 +g1061 +tp7014 +a(g822 +g1322 +tp7015 +a(g740 +Vgoto +p7016 +tp7017 +a(g32 +V9999 +p7018 +tp7019 +a(g822 +V\u000a +p7020 +tp7021 +a(g431 +Vendif +p7022 +tp7023 +a(g822 +V\u000a\u000a +p7024 +tp7025 +a(g431 +Venddo +p7026 +tp7027 +a(g822 +V\u000a\u000a +p7028 +tp7029 +a(g740 +Vdo +p7030 +tp7031 +a(g431 +Vilev +p7032 +tp7033 +a(g822 +g1322 +tp7034 +a(g408 +g1699 +tp7035 +a(g822 +g1322 +tp7036 +a(g431 +Vnlev +p7037 +tp7038 +a(g408 +g2525 +tp7039 +a(g32 +g2064 +tp7040 +a(g701 +g1036 +tp7041 +a(g822 +g1322 +tp7042 +a(g32 +g2064 +tp7043 +a(g701 +g1036 +tp7044 +a(g822 +g1322 +tp7045 +a(g408 +g2525 +tp7046 +a(g32 +g2064 +tp7047 +a(g822 +V\u000a\u000a +p7048 +tp7049 +a(g431 +Vismth +p7050 +tp7051 +a(g408 +g1699 +tp7052 +a(g431 +Vbaseprecv +p7053 +tp7054 +a(g701 +g1032 +tp7055 +a(g431 +Vilev +p7056 +tp7057 +a(g408 +g2837 +tp7058 +a(g32 +g2064 +tp7059 +a(g701 +g1061 +tp7060 +a(g701 +g2163 +tp7061 +a(g431 +Viprcparm +p7062 +tp7063 +a(g701 +g1032 +tp7064 +a(g431 +Vsmth_kind_ +p7065 +tp7066 +a(g701 +g1061 +tp7067 +a(g822 +V\u000a\u000a +p7068 +tp7069 +a(g740 +Vif +p7070 +tp7071 +a(g822 +g1322 +tp7072 +a(g701 +g1032 +tp7073 +a(g431 +Vismth +p7074 +tp7075 +a(g822 +V +p7076 +tp7077 +a(g408 +g2229 +tp7078 +a(g408 +g1699 +tp7079 +a(g822 +g1322 +tp7080 +a(g431 +Vno_smth_ +p7081 +tp7082 +a(g701 +g1061 +tp7083 +a(g822 +g1322 +tp7084 +a(g740 +Vthen\u000a\u000a +p7085 +tp7086 +a(g740 +Vif +p7087 +tp7088 +a(g822 +g1322 +tp7089 +a(g701 +g1032 +tp7090 +a(g431 +Vismth +p7091 +tp7092 +a(g822 +g1322 +tp7093 +a(g408 +V== +p7094 +tp7095 +a(g822 +g1322 +tp7096 +a(g431 +Vsmth_omg_ +p7097 +tp7098 +a(g701 +g1061 +tp7099 +a(g822 +g1322 +tp7100 +a(g701 +g1531 +tp7101 +a(g822 +V\u000a +p7102 +tp7103 +a(g701 +g1531 +tp7104 +a(g822 +g1322 +tp7105 +a(g740 +Vcall +p7106 +tp7107 +a(g431 +Vpsb_halo +p7108 +tp7109 +a(g701 +g1032 +tp7110 +a(g431 +Vmlprec_wrk +p7111 +tp7112 +a(g701 +g1032 +tp7113 +a(g431 +Vilev +p7114 +tp7115 +a(g408 +g2837 +tp7116 +a(g32 +g2064 +tp7117 +a(g701 +g1061 +tp7118 +a(g701 +g2163 +tp7119 +a(g431 +Vy2l +p7120 +tp7121 +a(g701 +g1036 +tp7122 +a(g701 +g1531 +tp7123 +a(g822 +V\u000a +p7124 +tp7125 +a(g701 +g1531 +tp7126 +a(g822 +g1322 +tp7127 +a(g431 +Vbaseprecv +p7128 +tp7129 +a(g701 +g1032 +tp7130 +a(g431 +Vilev +p7131 +tp7132 +a(g408 +g2837 +tp7133 +a(g32 +g2064 +tp7134 +a(g701 +g1061 +tp7135 +a(g701 +g2163 +tp7136 +a(g431 +Vdesc_data +p7137 +tp7138 +a(g701 +g1036 +tp7139 +a(g431 +Vinfo +p7140 +tp7141 +a(g701 +g1036 +tp7142 +a(g431 +Vwork +p7143 +tp7144 +a(g408 +g1699 +tp7145 +a(g431 +Vwork +p7146 +tp7147 +a(g701 +g1061 +tp7148 +a(g822 +V\u000a +p7149 +tp7150 +a(g740 +Vcall +p7151 +tp7152 +a(g431 +Vpsb_csmm +p7153 +tp7154 +a(g701 +g1032 +tp7155 +a(g431 +Vzone +p7156 +tp7157 +a(g701 +g1036 +tp7158 +a(g431 +Vbaseprecv +p7159 +tp7160 +a(g701 +g1032 +tp7161 +a(g431 +Vilev +p7162 +tp7163 +a(g408 +g2837 +tp7164 +a(g32 +g2064 +tp7165 +a(g701 +g1061 +tp7166 +a(g701 +g2163 +tp7167 +a(g431 +Vav +p7168 +tp7169 +a(g701 +g1032 +tp7170 +a(g431 +Vsm_pr_ +p7171 +tp7172 +a(g701 +g1061 +tp7173 +a(g701 +g1036 +tp7174 +a(g431 +Vmlprec_wrk +p7175 +tp7176 +a(g701 +g1032 +tp7177 +a(g431 +Vilev +p7178 +tp7179 +a(g408 +g2837 +tp7180 +a(g32 +g2064 +tp7181 +a(g701 +g1061 +tp7182 +a(g701 +g2163 +tp7183 +a(g431 +Vy2l +p7184 +tp7185 +a(g701 +g1036 +tp7186 +a(g701 +g1531 +tp7187 +a(g822 +V\u000a +p7188 +tp7189 +a(g701 +g1531 +tp7190 +a(g822 +g1322 +tp7191 +a(g431 +Vzone +p7192 +tp7193 +a(g701 +g1036 +tp7194 +a(g431 +Vmlprec_wrk +p7195 +tp7196 +a(g701 +g1032 +tp7197 +a(g431 +Vilev +p7198 +tp7199 +a(g701 +g1061 +tp7200 +a(g701 +g2163 +tp7201 +a(g431 +Vy2l +p7202 +tp7203 +a(g701 +g1036 +tp7204 +a(g431 +Vinfo +p7205 +tp7206 +a(g701 +g1061 +tp7207 +a(g822 +V\u000a\u000a +p7208 +tp7209 +a(g740 +Vif +p7210 +tp7211 +a(g701 +g1032 +tp7212 +a(g431 +Vinfo +p7213 +tp7214 +a(g822 +g1322 +tp7215 +a(g408 +g2229 +tp7216 +a(g408 +g1699 +tp7217 +a(g32 +g2144 +tp7218 +a(g701 +g1061 +tp7219 +a(g822 +g1322 +tp7220 +a(g740 +Vgoto +p7221 +tp7222 +a(g32 +V9999 +p7223 +tp7224 +a(g822 +V\u000a\u000a +p7225 +tp7226 +a(g740 +Velse\u000a\u000a +p7227 +tp7228 +a(g431 +Vn_row +p7229 +tp7230 +a(g822 +g1322 +tp7231 +a(g408 +g1699 +tp7232 +a(g822 +g1322 +tp7233 +a(g431 +Vbaseprecv +p7234 +tp7235 +a(g701 +g1032 +tp7236 +a(g431 +Vilev +p7237 +tp7238 +a(g408 +g2837 +tp7239 +a(g32 +g2064 +tp7240 +a(g701 +g1061 +tp7241 +a(g701 +g2163 +tp7242 +a(g431 +Vbase_desc +p7243 +tp7244 +a(g701 +g2163 +tp7245 +a(g431 +Vmatrix_data +p7246 +tp7247 +a(g701 +g1032 +tp7248 +a(g431 +Vpsb_n_row_ +p7249 +tp7250 +a(g701 +g1061 +tp7251 +a(g822 +V\u000a +p7252 +tp7253 +a(g740 +Vdo +p7254 +tp7255 +a(g431 +g1592 +tp7256 +a(g408 +g1699 +tp7257 +a(g32 +g2064 +tp7258 +a(g701 +g1036 +tp7259 +a(g822 +g1322 +tp7260 +a(g431 +Vn_row +p7261 +tp7262 +a(g822 +V\u000a +p7263 +tp7264 +a(g431 +Vmlprec_wrk +p7265 +tp7266 +a(g701 +g1032 +tp7267 +a(g431 +Vilev +p7268 +tp7269 +a(g701 +g1061 +tp7270 +a(g701 +g2163 +tp7271 +a(g431 +Vy2l +p7272 +tp7273 +a(g701 +g1032 +tp7274 +a(g431 +g1592 +tp7275 +a(g701 +g1061 +tp7276 +a(g822 +g1322 +tp7277 +a(g408 +g1699 +tp7278 +a(g822 +g1322 +tp7279 +a(g431 +Vmlprec_wrk +p7280 +tp7281 +a(g701 +g1032 +tp7282 +a(g431 +Vilev +p7283 +tp7284 +a(g701 +g1061 +tp7285 +a(g701 +g2163 +tp7286 +a(g431 +Vy2l +p7287 +tp7288 +a(g701 +g1032 +tp7289 +a(g431 +g1592 +tp7290 +a(g701 +g1061 +tp7291 +a(g822 +g1322 +tp7292 +a(g408 +g2837 +tp7293 +a(g822 +g1322 +tp7294 +a(g701 +g1531 +tp7295 +a(g822 +V\u000a +p7296 +tp7297 +a(g701 +g1531 +tp7298 +a(g822 +g1322 +tp7299 +a(g431 +Vmlprec_wrk +p7300 +tp7301 +a(g701 +g1032 +tp7302 +a(g431 +Vilev +p7303 +tp7304 +a(g408 +g2837 +tp7305 +a(g32 +g2064 +tp7306 +a(g701 +g1061 +tp7307 +a(g701 +g2163 +tp7308 +a(g431 +Vy2l +p7309 +tp7310 +a(g701 +g1032 +tp7311 +a(g431 +Vbaseprecv +p7312 +tp7313 +a(g701 +g1032 +tp7314 +a(g431 +Vilev +p7315 +tp7316 +a(g408 +g2837 +tp7317 +a(g32 +g2064 +tp7318 +a(g701 +g1061 +tp7319 +a(g701 +g2163 +tp7320 +a(g431 +Vmlia +p7321 +tp7322 +a(g701 +g1032 +tp7323 +a(g431 +g1592 +tp7324 +a(g701 +g1061 +tp7325 +a(g701 +g1061 +tp7326 +a(g822 +V\u000a +p7327 +tp7328 +a(g431 +Venddo +p7329 +tp7330 +a(g822 +V\u000a\u000a +p7331 +tp7332 +a(g740 +Vend +p7333 +tp7334 +a(g740 +Vif\u000a\u000a +p7335 +tp7336 +a(g431 +Venddo +p7337 +tp7338 +a(g822 +V\u000a\u000a +p7339 +tp7340 +a(g740 +Vcall +p7341 +tp7342 +a(g431 +Vpsb_geaxpby +p7343 +tp7344 +a(g701 +g1032 +tp7345 +a(g431 +Valpha +p7346 +tp7347 +a(g701 +g1036 +tp7348 +a(g431 +Vmlprec_wrk +p7349 +tp7350 +a(g701 +g1032 +tp7351 +a(g32 +g2064 +tp7352 +a(g701 +g1061 +tp7353 +a(g701 +g2163 +tp7354 +a(g431 +Vy2l +p7355 +tp7356 +a(g701 +g1036 +tp7357 +a(g431 +Vbeta +p7358 +tp7359 +a(g701 +g1036 +tp7360 +a(g431 +g1047 +tp7361 +a(g701 +g1036 +tp7362 +a(g701 +g1531 +tp7363 +a(g822 +V\u000a +p7364 +tp7365 +a(g701 +g1531 +tp7366 +a(g822 +V +p7367 +tp7368 +a(g431 +Vbaseprecv +p7369 +tp7370 +a(g701 +g1032 +tp7371 +a(g32 +g2064 +tp7372 +a(g701 +g1061 +tp7373 +a(g701 +g2163 +tp7374 +a(g431 +Vbase_desc +p7375 +tp7376 +a(g701 +g1036 +tp7377 +a(g431 +Vinfo +p7378 +tp7379 +a(g701 +g1061 +tp7380 +a(g822 +V\u000a\u000a +p7381 +tp7382 +a(g740 +Vif +p7383 +tp7384 +a(g701 +g1032 +tp7385 +a(g431 +Vinfo +p7386 +tp7387 +a(g822 +g1322 +tp7388 +a(g408 +g2229 +tp7389 +a(g408 +g1699 +tp7390 +a(g32 +g2144 +tp7391 +a(g701 +g1061 +tp7392 +a(g822 +g1322 +tp7393 +a(g740 +Vgoto +p7394 +tp7395 +a(g32 +V9999 +p7396 +tp7397 +a(g822 +V\u000a\u000a\u000a\u000a +p7398 +tp7399 +a(g740 +Vcase +p7400 +tp7401 +a(g701 +g1032 +tp7402 +a(g431 +Vsmooth_both_ +p7403 +tp7404 +a(g701 +g1061 +tp7405 +a(g822 +V\u000a\u000a +p7406 +tp7407 +a(g7 +V!\u000a +p7408 +tp7409 +a(g822 +V +p7410 +tp7411 +a(g7 +V! Symmetrized smoothing.\u000a +p7412 +tp7413 +a(g822 +V +p7414 +tp7415 +a(g7 +V! 1. X(1) = Xext\u000a +p7416 +tp7417 +a(g822 +V +p7418 +tp7419 +a(g7 +V! 2. Y(1) = (K(1)**(-1))*X(1)\u000a +p7420 +tp7421 +a(g822 +V +p7422 +tp7423 +a(g7 +V! 3. TX(1) = X(1) - A(1)*Y(1)\u000a +p7424 +tp7425 +a(g822 +V +p7426 +tp7427 +a(g7 +V! 4. DO ILEV=2, NLEV\u000a +p7428 +tp7429 +a(g822 +V +p7430 +tp7431 +a(g7 +V! X(ILEV) = AV(PR_SM_T_,ILEV)*TX(ILEV-1)\u000a +p7432 +tp7433 +a(g822 +V +p7434 +tp7435 +a(g7 +V! Y(ILEV) = (K(ILEV)**(-1))*X(ILEV)\u000a +p7436 +tp7437 +a(g822 +V +p7438 +tp7439 +a(g7 +V! TX(ILEV) = (X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p7440 +tp7441 +a(g822 +V +p7442 +tp7443 +a(g7 +V! 5. DO ILEV=NLEV-1,1,-1\u000a +p7444 +tp7445 +a(g822 +V +p7446 +tp7447 +a(g7 +V! Y(ILEV) = Y(ILEV) + AV(PR_SM_,ILEV+1)*Y(ILEV+1)\u000a +p7448 +tp7449 +a(g822 +V +p7450 +tp7451 +a(g7 +V! Y(ILEV) = Y(ILEV) + (K(ILEV)**(-1))*(X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p7452 +tp7453 +a(g822 +V +p7454 +tp7455 +a(g7 +V! 6. Yext = beta*Yext + Y(1)\u000a +p7456 +tp7457 +a(g822 +V +p7458 +tp7459 +a(g7 +V!\u000a +p7460 +tp7461 +a(g822 +V +p7462 +tp7463 +a(g7 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p7464 +tp7465 +a(g822 +V +p7466 +tp7467 +a(g7 +V! 1..NLEV <=> (j) <-> 0\u000a +p7468 +tp7469 +a(g822 +V +p7470 +tp7471 +a(g7 +V!\u000a +p7472 +tp7473 +a(g822 +V +p7474 +tp7475 +a(g7 +V!\u000a +p7476 +tp7477 +a(g822 +V +p7478 +tp7479 +a(g431 +Vn_col +p7480 +tp7481 +a(g822 +g1322 +tp7482 +a(g408 +g1699 +tp7483 +a(g822 +g1322 +tp7484 +a(g431 +Vdesc_data +p7485 +tp7486 +a(g701 +g2163 +tp7487 +a(g431 +Vmatrix_data +p7488 +tp7489 +a(g701 +g1032 +tp7490 +a(g431 +Vpsb_n_col_ +p7491 +tp7492 +a(g701 +g1061 +tp7493 +a(g822 +V\u000a +p7494 +tp7495 +a(g431 +Vnr2l +p7496 +tp7497 +a(g822 +V +p7498 +tp7499 +a(g408 +g1699 +tp7500 +a(g822 +g1322 +tp7501 +a(g431 +Vbaseprecv +p7502 +tp7503 +a(g701 +g1032 +tp7504 +a(g32 +g2064 +tp7505 +a(g701 +g1061 +tp7506 +a(g701 +g2163 +tp7507 +a(g431 +Vdesc_data +p7508 +tp7509 +a(g701 +g2163 +tp7510 +a(g431 +Vmatrix_data +p7511 +tp7512 +a(g701 +g1032 +tp7513 +a(g431 +Vpsb_n_col_ +p7514 +tp7515 +a(g701 +g1061 +tp7516 +a(g822 +V\u000a\u000a +p7517 +tp7518 +a(g740 +Vallocate +p7519 +tp7520 +a(g701 +g1032 +tp7521 +a(g431 +Vmlprec_wrk +p7522 +tp7523 +a(g701 +g1032 +tp7524 +a(g32 +g2064 +tp7525 +a(g701 +g1061 +tp7526 +a(g701 +g2163 +tp7527 +a(g431 +Vx2l +p7528 +tp7529 +a(g701 +g1032 +tp7530 +a(g431 +Vnr2l +p7531 +tp7532 +a(g701 +g1061 +tp7533 +a(g701 +g1036 +tp7534 +a(g431 +Vmlprec_wrk +p7535 +tp7536 +a(g701 +g1032 +tp7537 +a(g32 +g2064 +tp7538 +a(g701 +g1061 +tp7539 +a(g701 +g2163 +tp7540 +a(g431 +Vy2l +p7541 +tp7542 +a(g701 +g1032 +tp7543 +a(g431 +Vnr2l +p7544 +tp7545 +a(g701 +g1061 +tp7546 +a(g701 +g1036 +tp7547 +a(g822 +g1322 +tp7548 +a(g701 +g1531 +tp7549 +a(g822 +V\u000a +p7550 +tp7551 +a(g701 +g1531 +tp7552 +a(g822 +g1322 +tp7553 +a(g431 +Vmlprec_wrk +p7554 +tp7555 +a(g701 +g1032 +tp7556 +a(g32 +g2064 +tp7557 +a(g701 +g1061 +tp7558 +a(g701 +g2163 +tp7559 +a(g431 +Vty +p7560 +tp7561 +a(g701 +g1032 +tp7562 +a(g431 +Vnr2l +p7563 +tp7564 +a(g701 +g1061 +tp7565 +a(g701 +g1036 +tp7566 +a(g822 +g1322 +tp7567 +a(g431 +Vmlprec_wrk +p7568 +tp7569 +a(g701 +g1032 +tp7570 +a(g32 +g2064 +tp7571 +a(g701 +g1061 +tp7572 +a(g701 +g2163 +tp7573 +a(g431 +Vtx +p7574 +tp7575 +a(g701 +g1032 +tp7576 +a(g431 +Vnr2l +p7577 +tp7578 +a(g701 +g1061 +tp7579 +a(g701 +g1036 +tp7580 +a(g822 +g1322 +tp7581 +a(g677 +Vstat +p7582 +tp7583 +a(g408 +g1699 +tp7584 +a(g431 +Vinfo +p7585 +tp7586 +a(g701 +g1061 +tp7587 +a(g822 +V\u000a\u000a +p7588 +tp7589 +a(g431 +Vmlprec_wrk +p7590 +tp7591 +a(g701 +g1032 +tp7592 +a(g32 +g2064 +tp7593 +a(g701 +g1061 +tp7594 +a(g701 +g2163 +tp7595 +a(g431 +Vx2l +p7596 +tp7597 +a(g701 +g1032 +tp7598 +a(g701 +g1349 +tp7599 +a(g701 +g1061 +tp7600 +a(g822 +g1322 +tp7601 +a(g408 +g1699 +tp7602 +a(g822 +g1322 +tp7603 +a(g431 +Vzzero +p7604 +tp7605 +a(g822 +V\u000a +p7606 +tp7607 +a(g431 +Vmlprec_wrk +p7608 +tp7609 +a(g701 +g1032 +tp7610 +a(g32 +g2064 +tp7611 +a(g701 +g1061 +tp7612 +a(g701 +g2163 +tp7613 +a(g431 +Vy2l +p7614 +tp7615 +a(g701 +g1032 +tp7616 +a(g701 +g1349 +tp7617 +a(g701 +g1061 +tp7618 +a(g822 +g1322 +tp7619 +a(g408 +g1699 +tp7620 +a(g822 +g1322 +tp7621 +a(g431 +Vzzero +p7622 +tp7623 +a(g822 +V\u000a +p7624 +tp7625 +a(g431 +Vmlprec_wrk +p7626 +tp7627 +a(g701 +g1032 +tp7628 +a(g32 +g2064 +tp7629 +a(g701 +g1061 +tp7630 +a(g701 +g2163 +tp7631 +a(g431 +Vtx +p7632 +tp7633 +a(g701 +g1032 +tp7634 +a(g701 +g1349 +tp7635 +a(g701 +g1061 +tp7636 +a(g822 +g1322 +tp7637 +a(g408 +g1699 +tp7638 +a(g822 +g1322 +tp7639 +a(g431 +Vzzero +p7640 +tp7641 +a(g822 +V\u000a +p7642 +tp7643 +a(g431 +Vmlprec_wrk +p7644 +tp7645 +a(g701 +g1032 +tp7646 +a(g32 +g2064 +tp7647 +a(g701 +g1061 +tp7648 +a(g701 +g2163 +tp7649 +a(g431 +Vty +p7650 +tp7651 +a(g701 +g1032 +tp7652 +a(g701 +g1349 +tp7653 +a(g701 +g1061 +tp7654 +a(g822 +g1322 +tp7655 +a(g408 +g1699 +tp7656 +a(g822 +g1322 +tp7657 +a(g431 +Vzzero +p7658 +tp7659 +a(g822 +V\u000a\u000a\u000a +p7660 +tp7661 +a(g740 +Vif +p7662 +tp7663 +a(g822 +g1322 +tp7664 +a(g701 +g1032 +tp7665 +a(g431 +Vinfo +p7666 +tp7667 +a(g822 +g1322 +tp7668 +a(g408 +g2229 +tp7669 +a(g408 +g1699 +tp7670 +a(g822 +g1322 +tp7671 +a(g32 +g2144 +tp7672 +a(g701 +g1061 +tp7673 +a(g822 +g1322 +tp7674 +a(g740 +Vthen\u000a +p7675 +tp7676 +a(g740 +Vcall +p7677 +tp7678 +a(g431 +Vpsb_errpush +p7679 +tp7680 +a(g701 +g1032 +tp7681 +a(g32 +V4010 +p7682 +tp7683 +a(g701 +g1036 +tp7684 +a(g431 +Vname +p7685 +tp7686 +a(g701 +g1036 +tp7687 +a(g431 +Va_err +p7688 +tp7689 +a(g408 +g1699 +tp7690 +a(g225 +V'Allocate' +p7691 +tp7692 +a(g701 +g1061 +tp7693 +a(g822 +V\u000a +p7694 +tp7695 +a(g740 +Vgoto +p7696 +tp7697 +a(g32 +V9999 +p7698 +tp7699 +a(g822 +V\u000a +p7700 +tp7701 +a(g740 +Vend +p7702 +tp7703 +a(g740 +Vif\u000a\u000a +p7704 +tp7705 +a(g740 +Vcall +p7706 +tp7707 +a(g431 +Vpsb_geaxpby +p7708 +tp7709 +a(g701 +g1032 +tp7710 +a(g431 +Vzone +p7711 +tp7712 +a(g701 +g1036 +tp7713 +a(g431 +g1041 +tp7714 +a(g701 +g1036 +tp7715 +a(g431 +Vzzero +p7716 +tp7717 +a(g701 +g1036 +tp7718 +a(g431 +Vmlprec_wrk +p7719 +tp7720 +a(g701 +g1032 +tp7721 +a(g32 +g2064 +tp7722 +a(g701 +g1061 +tp7723 +a(g701 +g2163 +tp7724 +a(g431 +Vx2l +p7725 +tp7726 +a(g701 +g1036 +tp7727 +a(g701 +g1531 +tp7728 +a(g822 +V\u000a +p7729 +tp7730 +a(g701 +g1531 +tp7731 +a(g822 +g1322 +tp7732 +a(g431 +Vbaseprecv +p7733 +tp7734 +a(g701 +g1032 +tp7735 +a(g32 +g2064 +tp7736 +a(g701 +g1061 +tp7737 +a(g701 +g2163 +tp7738 +a(g431 +Vbase_desc +p7739 +tp7740 +a(g701 +g1036 +tp7741 +a(g431 +Vinfo +p7742 +tp7743 +a(g701 +g1061 +tp7744 +a(g822 +V\u000a +p7745 +tp7746 +a(g740 +Vcall +p7747 +tp7748 +a(g431 +Vpsb_geaxpby +p7749 +tp7750 +a(g701 +g1032 +tp7751 +a(g431 +Vzone +p7752 +tp7753 +a(g701 +g1036 +tp7754 +a(g431 +g1041 +tp7755 +a(g701 +g1036 +tp7756 +a(g431 +Vzzero +p7757 +tp7758 +a(g701 +g1036 +tp7759 +a(g431 +Vmlprec_wrk +p7760 +tp7761 +a(g701 +g1032 +tp7762 +a(g32 +g2064 +tp7763 +a(g701 +g1061 +tp7764 +a(g701 +g2163 +tp7765 +a(g431 +Vtx +p7766 +tp7767 +a(g701 +g1036 +tp7768 +a(g701 +g1531 +tp7769 +a(g822 +V\u000a +p7770 +tp7771 +a(g701 +g1531 +tp7772 +a(g822 +g1322 +tp7773 +a(g431 +Vbaseprecv +p7774 +tp7775 +a(g701 +g1032 +tp7776 +a(g32 +g2064 +tp7777 +a(g701 +g1061 +tp7778 +a(g701 +g2163 +tp7779 +a(g431 +Vbase_desc +p7780 +tp7781 +a(g701 +g1036 +tp7782 +a(g431 +Vinfo +p7783 +tp7784 +a(g701 +g1061 +tp7785 +a(g822 +V\u000a\u000a +p7786 +tp7787 +a(g740 +Vcall +p7788 +tp7789 +a(g431 +Vpsb_baseprc_aply +p7790 +tp7791 +a(g701 +g1032 +tp7792 +a(g431 +Vzone +p7793 +tp7794 +a(g701 +g1036 +tp7795 +a(g431 +Vbaseprecv +p7796 +tp7797 +a(g701 +g1032 +tp7798 +a(g32 +g2064 +tp7799 +a(g701 +g1061 +tp7800 +a(g701 +g1036 +tp7801 +a(g431 +Vmlprec_wrk +p7802 +tp7803 +a(g701 +g1032 +tp7804 +a(g32 +g2064 +tp7805 +a(g701 +g1061 +tp7806 +a(g701 +g2163 +tp7807 +a(g431 +Vx2l +p7808 +tp7809 +a(g701 +g1036 +tp7810 +a(g701 +g1531 +tp7811 +a(g822 +V\u000a +p7812 +tp7813 +a(g701 +g1531 +tp7814 +a(g822 +V +p7815 +tp7816 +a(g431 +Vzzero +p7817 +tp7818 +a(g701 +g1036 +tp7819 +a(g431 +Vmlprec_wrk +p7820 +tp7821 +a(g701 +g1032 +tp7822 +a(g32 +g2064 +tp7823 +a(g701 +g1061 +tp7824 +a(g701 +g2163 +tp7825 +a(g431 +Vy2l +p7826 +tp7827 +a(g701 +g1036 +tp7828 +a(g701 +g1531 +tp7829 +a(g822 +V\u000a +p7830 +tp7831 +a(g701 +g1531 +tp7832 +a(g822 +V +p7833 +tp7834 +a(g431 +Vbaseprecv +p7835 +tp7836 +a(g701 +g1032 +tp7837 +a(g32 +g2064 +tp7838 +a(g701 +g1061 +tp7839 +a(g701 +g2163 +tp7840 +a(g431 +Vbase_desc +p7841 +tp7842 +a(g701 +g1036 +tp7843 +a(g701 +g1531 +tp7844 +a(g822 +V\u000a +p7845 +tp7846 +a(g701 +g1531 +tp7847 +a(g822 +V +p7848 +tp7849 +a(g431 +Vtrans +p7850 +tp7851 +a(g701 +g1036 +tp7852 +a(g431 +Vwork +p7853 +tp7854 +a(g701 +g1036 +tp7855 +a(g431 +Vinfo +p7856 +tp7857 +a(g701 +g1061 +tp7858 +a(g822 +V\u000a\u000a +p7859 +tp7860 +a(g740 +Vif +p7861 +tp7862 +a(g701 +g1032 +tp7863 +a(g431 +Vinfo +p7864 +tp7865 +a(g822 +g1322 +tp7866 +a(g408 +g2229 +tp7867 +a(g408 +g1699 +tp7868 +a(g32 +g2144 +tp7869 +a(g701 +g1061 +tp7870 +a(g822 +g1322 +tp7871 +a(g740 +Vgoto +p7872 +tp7873 +a(g32 +V9999 +p7874 +tp7875 +a(g822 +V\u000a\u000a +p7876 +tp7877 +a(g431 +Vmlprec_wrk +p7878 +tp7879 +a(g701 +g1032 +tp7880 +a(g32 +g2064 +tp7881 +a(g701 +g1061 +tp7882 +a(g701 +g2163 +tp7883 +a(g431 +Vty +p7884 +tp7885 +a(g822 +g1322 +tp7886 +a(g408 +g1699 +tp7887 +a(g822 +g1322 +tp7888 +a(g431 +Vmlprec_wrk +p7889 +tp7890 +a(g701 +g1032 +tp7891 +a(g32 +g2064 +tp7892 +a(g701 +g1061 +tp7893 +a(g701 +g2163 +tp7894 +a(g431 +Vx2l +p7895 +tp7896 +a(g822 +V\u000a\u000a +p7897 +tp7898 +a(g740 +Vcall +p7899 +tp7900 +a(g431 +Vpsb_spmm +p7901 +tp7902 +a(g701 +g1032 +tp7903 +a(g408 +g2525 +tp7904 +a(g431 +Vzone +p7905 +tp7906 +a(g701 +g1036 +tp7907 +a(g431 +Vbaseprecv +p7908 +tp7909 +a(g701 +g1032 +tp7910 +a(g32 +g2064 +tp7911 +a(g701 +g1061 +tp7912 +a(g701 +g2163 +tp7913 +a(g431 +Vbase_a +p7914 +tp7915 +a(g701 +g1036 +tp7916 +a(g431 +Vmlprec_wrk +p7917 +tp7918 +a(g701 +g1032 +tp7919 +a(g32 +g2064 +tp7920 +a(g701 +g1061 +tp7921 +a(g701 +g2163 +tp7922 +a(g431 +Vy2l +p7923 +tp7924 +a(g701 +g1036 +tp7925 +a(g701 +g1531 +tp7926 +a(g822 +V\u000a +p7927 +tp7928 +a(g701 +g1531 +tp7929 +a(g822 +g1322 +tp7930 +a(g431 +Vzone +p7931 +tp7932 +a(g701 +g1036 +tp7933 +a(g431 +Vmlprec_wrk +p7934 +tp7935 +a(g701 +g1032 +tp7936 +a(g32 +g2064 +tp7937 +a(g701 +g1061 +tp7938 +a(g701 +g2163 +tp7939 +a(g431 +Vty +p7940 +tp7941 +a(g701 +g1036 +tp7942 +a(g431 +Vbaseprecv +p7943 +tp7944 +a(g701 +g1032 +tp7945 +a(g32 +g2064 +tp7946 +a(g701 +g1061 +tp7947 +a(g701 +g2163 +tp7948 +a(g431 +Vbase_desc +p7949 +tp7950 +a(g701 +g1036 +tp7951 +a(g431 +Vinfo +p7952 +tp7953 +a(g701 +g1036 +tp7954 +a(g431 +Vwork +p7955 +tp7956 +a(g408 +g1699 +tp7957 +a(g431 +Vwork +p7958 +tp7959 +a(g701 +g1061 +tp7960 +a(g822 +V\u000a +p7961 +tp7962 +a(g740 +Vif +p7963 +tp7964 +a(g701 +g1032 +tp7965 +a(g431 +Vinfo +p7966 +tp7967 +a(g822 +g1322 +tp7968 +a(g408 +g2229 +tp7969 +a(g408 +g1699 +tp7970 +a(g32 +g2144 +tp7971 +a(g701 +g1061 +tp7972 +a(g822 +g1322 +tp7973 +a(g740 +Vgoto +p7974 +tp7975 +a(g32 +V9999 +p7976 +tp7977 +a(g822 +V\u000a\u000a +p7978 +tp7979 +a(g740 +Vdo +p7980 +tp7981 +a(g431 +Vilev +p7982 +tp7983 +a(g822 +g1322 +tp7984 +a(g408 +g1699 +tp7985 +a(g822 +g1322 +tp7986 +a(g32 +g2275 +tp7987 +a(g701 +g1036 +tp7988 +a(g822 +g1322 +tp7989 +a(g431 +Vnlev +p7990 +tp7991 +a(g822 +V\u000a +p7992 +tp7993 +a(g431 +Vn_row +p7994 +tp7995 +a(g822 +g1322 +tp7996 +a(g408 +g1699 +tp7997 +a(g822 +g1322 +tp7998 +a(g431 +Vbaseprecv +p7999 +tp8000 +a(g701 +g1032 +tp8001 +a(g431 +Vilev +p8002 +tp8003 +a(g408 +g2525 +tp8004 +a(g32 +g2064 +tp8005 +a(g701 +g1061 +tp8006 +a(g701 +g2163 +tp8007 +a(g431 +Vbase_desc +p8008 +tp8009 +a(g701 +g2163 +tp8010 +a(g431 +Vmatrix_data +p8011 +tp8012 +a(g701 +g1032 +tp8013 +a(g431 +Vpsb_n_row_ +p8014 +tp8015 +a(g701 +g1061 +tp8016 +a(g822 +V\u000a +p8017 +tp8018 +a(g431 +Vn_col +p8019 +tp8020 +a(g822 +g1322 +tp8021 +a(g408 +g1699 +tp8022 +a(g822 +g1322 +tp8023 +a(g431 +Vbaseprecv +p8024 +tp8025 +a(g701 +g1032 +tp8026 +a(g431 +Vilev +p8027 +tp8028 +a(g408 +g2525 +tp8029 +a(g32 +g2064 +tp8030 +a(g701 +g1061 +tp8031 +a(g701 +g2163 +tp8032 +a(g431 +Vdesc_data +p8033 +tp8034 +a(g701 +g2163 +tp8035 +a(g431 +Vmatrix_data +p8036 +tp8037 +a(g701 +g1032 +tp8038 +a(g431 +Vpsb_n_col_ +p8039 +tp8040 +a(g701 +g1061 +tp8041 +a(g822 +V\u000a +p8042 +tp8043 +a(g431 +Vnr2l +p8044 +tp8045 +a(g822 +V +p8046 +tp8047 +a(g408 +g1699 +tp8048 +a(g822 +g1322 +tp8049 +a(g431 +Vbaseprecv +p8050 +tp8051 +a(g701 +g1032 +tp8052 +a(g431 +Vilev +p8053 +tp8054 +a(g701 +g1061 +tp8055 +a(g701 +g2163 +tp8056 +a(g431 +Vdesc_data +p8057 +tp8058 +a(g701 +g2163 +tp8059 +a(g431 +Vmatrix_data +p8060 +tp8061 +a(g701 +g1032 +tp8062 +a(g431 +Vpsb_n_col_ +p8063 +tp8064 +a(g701 +g1061 +tp8065 +a(g822 +V\u000a +p8066 +tp8067 +a(g431 +Vnrg +p8068 +tp8069 +a(g822 +V +p8070 +tp8071 +a(g408 +g1699 +tp8072 +a(g822 +g1322 +tp8073 +a(g431 +Vbaseprecv +p8074 +tp8075 +a(g701 +g1032 +tp8076 +a(g431 +Vilev +p8077 +tp8078 +a(g701 +g1061 +tp8079 +a(g701 +g2163 +tp8080 +a(g431 +Vdesc_data +p8081 +tp8082 +a(g701 +g2163 +tp8083 +a(g431 +Vmatrix_data +p8084 +tp8085 +a(g701 +g1032 +tp8086 +a(g431 +Vpsb_n_row_ +p8087 +tp8088 +a(g701 +g1061 +tp8089 +a(g822 +V\u000a +p8090 +tp8091 +a(g431 +Vismth +p8092 +tp8093 +a(g408 +g1699 +tp8094 +a(g431 +Vbaseprecv +p8095 +tp8096 +a(g701 +g1032 +tp8097 +a(g431 +Vilev +p8098 +tp8099 +a(g701 +g1061 +tp8100 +a(g701 +g2163 +tp8101 +a(g431 +Viprcparm +p8102 +tp8103 +a(g701 +g1032 +tp8104 +a(g431 +Vsmth_kind_ +p8105 +tp8106 +a(g701 +g1061 +tp8107 +a(g822 +V\u000a +p8108 +tp8109 +a(g740 +Vallocate +p8110 +tp8111 +a(g701 +g1032 +tp8112 +a(g431 +Vmlprec_wrk +p8113 +tp8114 +a(g701 +g1032 +tp8115 +a(g431 +Vilev +p8116 +tp8117 +a(g701 +g1061 +tp8118 +a(g701 +g2163 +tp8119 +a(g431 +Vty +p8120 +tp8121 +a(g701 +g1032 +tp8122 +a(g431 +Vnr2l +p8123 +tp8124 +a(g701 +g1061 +tp8125 +a(g701 +g1036 +tp8126 +a(g431 +Vmlprec_wrk +p8127 +tp8128 +a(g701 +g1032 +tp8129 +a(g431 +Vilev +p8130 +tp8131 +a(g701 +g1061 +tp8132 +a(g701 +g2163 +tp8133 +a(g431 +Vy2l +p8134 +tp8135 +a(g701 +g1032 +tp8136 +a(g431 +Vnr2l +p8137 +tp8138 +a(g701 +g1061 +tp8139 +a(g701 +g1036 +tp8140 +a(g701 +g1531 +tp8141 +a(g822 +V\u000a +p8142 +tp8143 +a(g701 +g1531 +tp8144 +a(g822 +V +p8145 +tp8146 +a(g431 +Vmlprec_wrk +p8147 +tp8148 +a(g701 +g1032 +tp8149 +a(g431 +Vilev +p8150 +tp8151 +a(g701 +g1061 +tp8152 +a(g701 +g2163 +tp8153 +a(g431 +Vx2l +p8154 +tp8155 +a(g701 +g1032 +tp8156 +a(g431 +Vnr2l +p8157 +tp8158 +a(g701 +g1061 +tp8159 +a(g701 +g1036 +tp8160 +a(g822 +g1322 +tp8161 +a(g677 +Vstat +p8162 +tp8163 +a(g408 +g1699 +tp8164 +a(g431 +Vinfo +p8165 +tp8166 +a(g701 +g1061 +tp8167 +a(g822 +V\u000a\u000a +p8168 +tp8169 +a(g431 +Vmlprec_wrk +p8170 +tp8171 +a(g701 +g1032 +tp8172 +a(g431 +Vilev +p8173 +tp8174 +a(g701 +g1061 +tp8175 +a(g701 +g2163 +tp8176 +a(g431 +Vx2l +p8177 +tp8178 +a(g701 +g1032 +tp8179 +a(g701 +g1349 +tp8180 +a(g701 +g1061 +tp8181 +a(g822 +g1322 +tp8182 +a(g408 +g1699 +tp8183 +a(g822 +g1322 +tp8184 +a(g431 +Vzzero +p8185 +tp8186 +a(g822 +V\u000a +p8187 +tp8188 +a(g431 +Vmlprec_wrk +p8189 +tp8190 +a(g701 +g1032 +tp8191 +a(g431 +Vilev +p8192 +tp8193 +a(g701 +g1061 +tp8194 +a(g701 +g2163 +tp8195 +a(g431 +Vy2l +p8196 +tp8197 +a(g701 +g1032 +tp8198 +a(g701 +g1349 +tp8199 +a(g701 +g1061 +tp8200 +a(g822 +g1322 +tp8201 +a(g408 +g1699 +tp8202 +a(g822 +g1322 +tp8203 +a(g431 +Vzzero +p8204 +tp8205 +a(g822 +V\u000a +p8206 +tp8207 +a(g431 +Vmlprec_wrk +p8208 +tp8209 +a(g701 +g1032 +tp8210 +a(g431 +Vilev +p8211 +tp8212 +a(g701 +g1061 +tp8213 +a(g701 +g2163 +tp8214 +a(g431 +Vtx +p8215 +tp8216 +a(g701 +g1032 +tp8217 +a(g701 +g1349 +tp8218 +a(g701 +g1061 +tp8219 +a(g822 +g1322 +tp8220 +a(g408 +g1699 +tp8221 +a(g822 +g1322 +tp8222 +a(g431 +Vzzero +p8223 +tp8224 +a(g822 +V\u000a +p8225 +tp8226 +a(g431 +Vmlprec_wrk +p8227 +tp8228 +a(g701 +g1032 +tp8229 +a(g431 +Vilev +p8230 +tp8231 +a(g701 +g1061 +tp8232 +a(g701 +g2163 +tp8233 +a(g431 +Vty +p8234 +tp8235 +a(g701 +g1032 +tp8236 +a(g701 +g1349 +tp8237 +a(g701 +g1061 +tp8238 +a(g822 +g1322 +tp8239 +a(g408 +g1699 +tp8240 +a(g822 +g1322 +tp8241 +a(g431 +Vzzero +p8242 +tp8243 +a(g822 +V\u000a\u000a\u000a +p8244 +tp8245 +a(g740 +Vif +p8246 +tp8247 +a(g822 +g1322 +tp8248 +a(g701 +g1032 +tp8249 +a(g431 +Vinfo +p8250 +tp8251 +a(g822 +g1322 +tp8252 +a(g408 +g2229 +tp8253 +a(g408 +g1699 +tp8254 +a(g822 +g1322 +tp8255 +a(g32 +g2144 +tp8256 +a(g701 +g1061 +tp8257 +a(g822 +g1322 +tp8258 +a(g740 +Vthen\u000a +p8259 +tp8260 +a(g740 +Vcall +p8261 +tp8262 +a(g431 +Vpsb_errpush +p8263 +tp8264 +a(g701 +g1032 +tp8265 +a(g32 +V4010 +p8266 +tp8267 +a(g701 +g1036 +tp8268 +a(g431 +Vname +p8269 +tp8270 +a(g701 +g1036 +tp8271 +a(g431 +Va_err +p8272 +tp8273 +a(g408 +g1699 +tp8274 +a(g225 +V'Allocate' +p8275 +tp8276 +a(g701 +g1061 +tp8277 +a(g822 +V\u000a +p8278 +tp8279 +a(g740 +Vgoto +p8280 +tp8281 +a(g32 +V9999 +p8282 +tp8283 +a(g822 +V\u000a +p8284 +tp8285 +a(g740 +Vend +p8286 +tp8287 +a(g740 +Vif\u000a\u000a\u000a +p8288 +tp8289 +a(g740 +Vif +p8290 +tp8291 +a(g822 +g1322 +tp8292 +a(g701 +g1032 +tp8293 +a(g431 +Vismth +p8294 +tp8295 +a(g822 +V +p8296 +tp8297 +a(g408 +g2229 +tp8298 +a(g408 +g1699 +tp8299 +a(g822 +g1322 +tp8300 +a(g431 +Vno_smth_ +p8301 +tp8302 +a(g701 +g1061 +tp8303 +a(g822 +g1322 +tp8304 +a(g740 +Vthen +p8305 +tp8306 +a(g822 +V\u000a +p8307 +tp8308 +a(g7 +V!\u000a +p8309 +tp8310 +a(g822 +V +p8311 +tp8312 +a(g7 +V!Smoothed Aggregation\u000a +p8313 +tp8314 +a(g822 +V +p8315 +tp8316 +a(g7 +V!\u000a +p8317 +tp8318 +a(g822 +V +p8319 +tp8320 +a(g740 +Vif +p8321 +tp8322 +a(g822 +g1322 +tp8323 +a(g701 +g1032 +tp8324 +a(g431 +Vbaseprecv +p8325 +tp8326 +a(g701 +g1032 +tp8327 +a(g431 +Vilev +p8328 +tp8329 +a(g701 +g1061 +tp8330 +a(g701 +g2163 +tp8331 +a(g431 +Viprcparm +p8332 +tp8333 +a(g701 +g1032 +tp8334 +a(g431 +Vglb_smth_ +p8335 +tp8336 +a(g701 +g1061 +tp8337 +a(g822 +g1322 +tp8338 +a(g408 +g1794 +tp8339 +a(g32 +g2144 +tp8340 +a(g701 +g1061 +tp8341 +a(g822 +g1322 +tp8342 +a(g740 +Vthen\u000a\u000a +p8343 +tp8344 +a(g740 +Vcall +p8345 +tp8346 +a(g431 +Vpsb_halo +p8347 +tp8348 +a(g701 +g1032 +tp8349 +a(g431 +Vmlprec_wrk +p8350 +tp8351 +a(g701 +g1032 +tp8352 +a(g431 +Vilev +p8353 +tp8354 +a(g408 +g2525 +tp8355 +a(g32 +g2064 +tp8356 +a(g701 +g1061 +tp8357 +a(g701 +g2163 +tp8358 +a(g431 +Vty +p8359 +tp8360 +a(g701 +g1036 +tp8361 +a(g431 +Vbaseprecv +p8362 +tp8363 +a(g701 +g1032 +tp8364 +a(g431 +Vilev +p8365 +tp8366 +a(g408 +g2525 +tp8367 +a(g32 +g2064 +tp8368 +a(g701 +g1061 +tp8369 +a(g701 +g2163 +tp8370 +a(g431 +Vbase_desc +p8371 +tp8372 +a(g701 +g1036 +tp8373 +a(g701 +g1531 +tp8374 +a(g822 +V\u000a +p8375 +tp8376 +a(g701 +g1531 +tp8377 +a(g822 +g1322 +tp8378 +a(g431 +Vinfo +p8379 +tp8380 +a(g701 +g1036 +tp8381 +a(g431 +Vwork +p8382 +tp8383 +a(g408 +g1699 +tp8384 +a(g431 +Vwork +p8385 +tp8386 +a(g701 +g1061 +tp8387 +a(g822 +V\u000a +p8388 +tp8389 +a(g740 +Vif +p8390 +tp8391 +a(g701 +g1032 +tp8392 +a(g431 +Vinfo +p8393 +tp8394 +a(g822 +g1322 +tp8395 +a(g408 +g2229 +tp8396 +a(g408 +g1699 +tp8397 +a(g32 +g2144 +tp8398 +a(g701 +g1061 +tp8399 +a(g822 +g1322 +tp8400 +a(g740 +Vgoto +p8401 +tp8402 +a(g32 +V9999 +p8403 +tp8404 +a(g822 +V\u000a +p8405 +tp8406 +a(g740 +Velse\u000a +p8407 +tp8408 +a(g431 +Vmlprec_wrk +p8409 +tp8410 +a(g701 +g1032 +tp8411 +a(g431 +Vilev +p8412 +tp8413 +a(g408 +g2525 +tp8414 +a(g32 +g2064 +tp8415 +a(g701 +g1061 +tp8416 +a(g701 +g2163 +tp8417 +a(g431 +Vty +p8418 +tp8419 +a(g701 +g1032 +tp8420 +a(g431 +Vn_row +p8421 +tp8422 +a(g408 +g2837 +tp8423 +a(g32 +g2064 +tp8424 +a(g701 +g1349 +tp8425 +a(g677 +Vmax +p8426 +tp8427 +a(g701 +g1032 +tp8428 +a(g431 +Vn_row +p8429 +tp8430 +a(g701 +g1036 +tp8431 +a(g431 +Vn_col +p8432 +tp8433 +a(g701 +g1061 +tp8434 +a(g701 +g1061 +tp8435 +a(g822 +g1322 +tp8436 +a(g408 +g1699 +tp8437 +a(g822 +g1322 +tp8438 +a(g431 +Vzzero +p8439 +tp8440 +a(g822 +V\u000a +p8441 +tp8442 +a(g740 +Vend +p8443 +tp8444 +a(g740 +Vif\u000a\u000a +p8445 +tp8446 +a(g740 +Vcall +p8447 +tp8448 +a(g431 +Vpsb_csmm +p8449 +tp8450 +a(g701 +g1032 +tp8451 +a(g431 +Vzone +p8452 +tp8453 +a(g701 +g1036 +tp8454 +a(g431 +Vbaseprecv +p8455 +tp8456 +a(g701 +g1032 +tp8457 +a(g431 +Vilev +p8458 +tp8459 +a(g701 +g1061 +tp8460 +a(g701 +g2163 +tp8461 +a(g431 +Vav +p8462 +tp8463 +a(g701 +g1032 +tp8464 +a(g431 +Vsm_pr_t_ +p8465 +tp8466 +a(g701 +g1061 +tp8467 +a(g701 +g1036 +tp8468 +a(g431 +Vmlprec_wrk +p8469 +tp8470 +a(g701 +g1032 +tp8471 +a(g431 +Vilev +p8472 +tp8473 +a(g408 +g2525 +tp8474 +a(g32 +g2064 +tp8475 +a(g701 +g1061 +tp8476 +a(g701 +g2163 +tp8477 +a(g431 +Vty +p8478 +tp8479 +a(g701 +g1036 +tp8480 +a(g431 +Vzzero +p8481 +tp8482 +a(g701 +g1036 +tp8483 +a(g701 +g1531 +tp8484 +a(g822 +V\u000a +p8485 +tp8486 +a(g701 +g1531 +tp8487 +a(g822 +g1322 +tp8488 +a(g431 +Vmlprec_wrk +p8489 +tp8490 +a(g701 +g1032 +tp8491 +a(g431 +Vilev +p8492 +tp8493 +a(g701 +g1061 +tp8494 +a(g701 +g2163 +tp8495 +a(g431 +Vx2l +p8496 +tp8497 +a(g701 +g1036 +tp8498 +a(g431 +Vinfo +p8499 +tp8500 +a(g701 +g1061 +tp8501 +a(g822 +V\u000a +p8502 +tp8503 +a(g740 +Vif +p8504 +tp8505 +a(g701 +g1032 +tp8506 +a(g431 +Vinfo +p8507 +tp8508 +a(g822 +g1322 +tp8509 +a(g408 +g2229 +tp8510 +a(g408 +g1699 +tp8511 +a(g32 +g2144 +tp8512 +a(g701 +g1061 +tp8513 +a(g822 +g1322 +tp8514 +a(g740 +Vgoto +p8515 +tp8516 +a(g32 +V9999 +p8517 +tp8518 +a(g822 +V\u000a\u000a +p8519 +tp8520 +a(g740 +Velse +p8521 +tp8522 +a(g822 +V\u000a +p8523 +tp8524 +a(g7 +V!\u000a +p8525 +tp8526 +a(g822 +V +p8527 +tp8528 +a(g7 +V! Raw aggregation, may take shortcuts\u000a +p8529 +tp8530 +a(g822 +V +p8531 +tp8532 +a(g7 +V!\u000a +p8533 +tp8534 +a(g822 +V +p8535 +tp8536 +a(g431 +Vmlprec_wrk +p8537 +tp8538 +a(g701 +g1032 +tp8539 +a(g431 +Vilev +p8540 +tp8541 +a(g701 +g1061 +tp8542 +a(g701 +g2163 +tp8543 +a(g431 +Vx2l +p8544 +tp8545 +a(g822 +g1322 +tp8546 +a(g408 +g1699 +tp8547 +a(g822 +g1322 +tp8548 +a(g431 +Vzzero +p8549 +tp8550 +a(g822 +V\u000a +p8551 +tp8552 +a(g740 +Vdo +p8553 +tp8554 +a(g431 +g1592 +tp8555 +a(g408 +g1699 +tp8556 +a(g32 +g2064 +tp8557 +a(g701 +g1036 +tp8558 +a(g431 +Vn_row +p8559 +tp8560 +a(g822 +V\u000a +p8561 +tp8562 +a(g431 +Vmlprec_wrk +p8563 +tp8564 +a(g701 +g1032 +tp8565 +a(g431 +Vilev +p8566 +tp8567 +a(g701 +g1061 +tp8568 +a(g701 +g2163 +tp8569 +a(g431 +Vx2l +p8570 +tp8571 +a(g701 +g1032 +tp8572 +a(g431 +Vbaseprecv +p8573 +tp8574 +a(g701 +g1032 +tp8575 +a(g431 +Vilev +p8576 +tp8577 +a(g701 +g1061 +tp8578 +a(g701 +g2163 +tp8579 +a(g431 +Vmlia +p8580 +tp8581 +a(g701 +g1032 +tp8582 +a(g431 +g1592 +tp8583 +a(g701 +g1061 +tp8584 +a(g701 +g1061 +tp8585 +a(g822 +g1322 +tp8586 +a(g408 +g1699 +tp8587 +a(g822 +g1322 +tp8588 +a(g701 +g1531 +tp8589 +a(g822 +V\u000a +p8590 +tp8591 +a(g701 +g1531 +tp8592 +a(g822 +g1322 +tp8593 +a(g431 +Vmlprec_wrk +p8594 +tp8595 +a(g701 +g1032 +tp8596 +a(g431 +Vilev +p8597 +tp8598 +a(g701 +g1061 +tp8599 +a(g701 +g2163 +tp8600 +a(g431 +Vx2l +p8601 +tp8602 +a(g701 +g1032 +tp8603 +a(g431 +Vbaseprecv +p8604 +tp8605 +a(g701 +g1032 +tp8606 +a(g431 +Vilev +p8607 +tp8608 +a(g701 +g1061 +tp8609 +a(g701 +g2163 +tp8610 +a(g431 +Vmlia +p8611 +tp8612 +a(g701 +g1032 +tp8613 +a(g431 +g1592 +tp8614 +a(g701 +g1061 +tp8615 +a(g701 +g1061 +tp8616 +a(g822 +g1322 +tp8617 +a(g408 +g2837 +tp8618 +a(g822 +g1322 +tp8619 +a(g701 +g1531 +tp8620 +a(g822 +V\u000a +p8621 +tp8622 +a(g701 +g1531 +tp8623 +a(g822 +V +p8624 +tp8625 +a(g431 +Vmlprec_wrk +p8626 +tp8627 +a(g701 +g1032 +tp8628 +a(g431 +Vilev +p8629 +tp8630 +a(g408 +g2525 +tp8631 +a(g32 +g2064 +tp8632 +a(g701 +g1061 +tp8633 +a(g701 +g2163 +tp8634 +a(g431 +Vty +p8635 +tp8636 +a(g701 +g1032 +tp8637 +a(g431 +g1592 +tp8638 +a(g701 +g1061 +tp8639 +a(g822 +V\u000a +p8640 +tp8641 +a(g740 +Vend +p8642 +tp8643 +a(g740 +Vdo\u000a +p8644 +tp8645 +a(g740 +Vend +p8646 +tp8647 +a(g740 +Vif\u000a\u000a +p8648 +tp8649 +a(g740 +Vif +p8650 +tp8651 +a(g822 +g1322 +tp8652 +a(g701 +g1032 +tp8653 +a(g431 +Vbaseprecv +p8654 +tp8655 +a(g701 +g1032 +tp8656 +a(g431 +Vilev +p8657 +tp8658 +a(g701 +g1061 +tp8659 +a(g701 +g2163 +tp8660 +a(g431 +Viprcparm +p8661 +tp8662 +a(g701 +g1032 +tp8663 +a(g431 +Vcoarse_mat_ +p8664 +tp8665 +a(g701 +g1061 +tp8666 +a(g408 +V== +p8667 +tp8668 +a(g431 +Vmat_repl_ +p8669 +tp8670 +a(g701 +g1061 +tp8671 +a(g822 +g1322 +tp8672 +a(g740 +Vthen\u000a +p8673 +tp8674 +a(g740 +Vcall +p8675 +tp8676 +a(g431 +Vpsb_sum +p8677 +tp8678 +a(g701 +g1032 +tp8679 +a(g431 +Victxt +p8680 +tp8681 +a(g701 +g1036 +tp8682 +a(g431 +Vmlprec_wrk +p8683 +tp8684 +a(g701 +g1032 +tp8685 +a(g431 +Vilev +p8686 +tp8687 +a(g701 +g1061 +tp8688 +a(g701 +g2163 +tp8689 +a(g431 +Vx2l +p8690 +tp8691 +a(g701 +g1032 +tp8692 +a(g32 +g2064 +tp8693 +a(g701 +g1349 +tp8694 +a(g431 +Vnrg +p8695 +tp8696 +a(g701 +g1061 +tp8697 +a(g701 +g1061 +tp8698 +a(g822 +V\u000a +p8699 +tp8700 +a(g740 +Velse +p8701 +tp8702 +a(g740 +Vif +p8703 +tp8704 +a(g822 +g1322 +tp8705 +a(g701 +g1032 +tp8706 +a(g431 +Vbaseprecv +p8707 +tp8708 +a(g701 +g1032 +tp8709 +a(g431 +Vilev +p8710 +tp8711 +a(g701 +g1061 +tp8712 +a(g701 +g2163 +tp8713 +a(g431 +Viprcparm +p8714 +tp8715 +a(g701 +g1032 +tp8716 +a(g431 +Vcoarse_mat_ +p8717 +tp8718 +a(g701 +g1061 +tp8719 +a(g822 +g1322 +tp8720 +a(g408 +g2229 +tp8721 +a(g408 +g1699 +tp8722 +a(g822 +g1322 +tp8723 +a(g431 +Vmat_distr_ +p8724 +tp8725 +a(g701 +g1061 +tp8726 +a(g822 +g1322 +tp8727 +a(g740 +Vthen\u000a +p8728 +tp8729 +a(g740 +Vwrite +p8730 +tp8731 +a(g701 +g1032 +tp8732 +a(g32 +g2144 +tp8733 +a(g701 +g1036 +tp8734 +a(g408 +g3326 +tp8735 +a(g701 +g1061 +tp8736 +a(g822 +g1322 +tp8737 +a(g225 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p8738 +tp8739 +a(g701 +g1036 +tp8740 +a(g701 +g1531 +tp8741 +a(g822 +V\u000a +p8742 +tp8743 +a(g701 +g1531 +tp8744 +a(g822 +g1322 +tp8745 +a(g431 +Vbaseprecv +p8746 +tp8747 +a(g701 +g1032 +tp8748 +a(g431 +Vilev +p8749 +tp8750 +a(g701 +g1061 +tp8751 +a(g701 +g2163 +tp8752 +a(g431 +Viprcparm +p8753 +tp8754 +a(g701 +g1032 +tp8755 +a(g431 +Vcoarse_mat_ +p8756 +tp8757 +a(g701 +g1061 +tp8758 +a(g822 +V\u000a +p8759 +tp8760 +a(g431 +Vendif +p8761 +tp8762 +a(g822 +V\u000a\u000a +p8763 +tp8764 +a(g740 +Vcall +p8765 +tp8766 +a(g431 +Vpsb_geaxpby +p8767 +tp8768 +a(g701 +g1032 +tp8769 +a(g431 +Vzone +p8770 +tp8771 +a(g701 +g1036 +tp8772 +a(g431 +Vmlprec_wrk +p8773 +tp8774 +a(g701 +g1032 +tp8775 +a(g431 +Vilev +p8776 +tp8777 +a(g701 +g1061 +tp8778 +a(g701 +g2163 +tp8779 +a(g431 +Vx2l +p8780 +tp8781 +a(g701 +g1036 +tp8782 +a(g431 +Vzzero +p8783 +tp8784 +a(g701 +g1036 +tp8785 +a(g431 +Vmlprec_wrk +p8786 +tp8787 +a(g701 +g1032 +tp8788 +a(g431 +Vilev +p8789 +tp8790 +a(g701 +g1061 +tp8791 +a(g701 +g2163 +tp8792 +a(g431 +Vtx +p8793 +tp8794 +a(g701 +g1036 +tp8795 +a(g701 +g1531 +tp8796 +a(g822 +V\u000a +p8797 +tp8798 +a(g701 +g1531 +tp8799 +a(g822 +g1322 +tp8800 +a(g431 +Vbaseprecv +p8801 +tp8802 +a(g701 +g1032 +tp8803 +a(g431 +Vilev +p8804 +tp8805 +a(g701 +g1061 +tp8806 +a(g701 +g2163 +tp8807 +a(g431 +Vbase_desc +p8808 +tp8809 +a(g701 +g1036 +tp8810 +a(g431 +Vinfo +p8811 +tp8812 +a(g701 +g1061 +tp8813 +a(g822 +V\u000a +p8814 +tp8815 +a(g740 +Vif +p8816 +tp8817 +a(g701 +g1032 +tp8818 +a(g431 +Vinfo +p8819 +tp8820 +a(g822 +g1322 +tp8821 +a(g408 +g2229 +tp8822 +a(g408 +g1699 +tp8823 +a(g32 +g2144 +tp8824 +a(g701 +g1061 +tp8825 +a(g822 +g1322 +tp8826 +a(g740 +Vgoto +p8827 +tp8828 +a(g32 +V9999 +p8829 +tp8830 +a(g822 +V\u000a\u000a +p8831 +tp8832 +a(g740 +Vcall +p8833 +tp8834 +a(g431 +Vpsb_baseprc_aply +p8835 +tp8836 +a(g701 +g1032 +tp8837 +a(g431 +Vzone +p8838 +tp8839 +a(g701 +g1036 +tp8840 +a(g431 +Vbaseprecv +p8841 +tp8842 +a(g701 +g1032 +tp8843 +a(g431 +Vilev +p8844 +tp8845 +a(g701 +g1061 +tp8846 +a(g701 +g1036 +tp8847 +a(g431 +Vmlprec_wrk +p8848 +tp8849 +a(g701 +g1032 +tp8850 +a(g431 +Vilev +p8851 +tp8852 +a(g701 +g1061 +tp8853 +a(g701 +g2163 +tp8854 +a(g431 +Vx2l +p8855 +tp8856 +a(g701 +g1036 +tp8857 +a(g701 +g1531 +tp8858 +a(g822 +V\u000a +p8859 +tp8860 +a(g701 +g1531 +tp8861 +a(g822 +g1322 +tp8862 +a(g431 +Vzzero +p8863 +tp8864 +a(g701 +g1036 +tp8865 +a(g431 +Vmlprec_wrk +p8866 +tp8867 +a(g701 +g1032 +tp8868 +a(g431 +Vilev +p8869 +tp8870 +a(g701 +g1061 +tp8871 +a(g701 +g2163 +tp8872 +a(g431 +Vy2l +p8873 +tp8874 +a(g701 +g1036 +tp8875 +a(g431 +Vbaseprecv +p8876 +tp8877 +a(g701 +g1032 +tp8878 +a(g431 +Vilev +p8879 +tp8880 +a(g701 +g1061 +tp8881 +a(g701 +g2163 +tp8882 +a(g431 +Vdesc_data +p8883 +tp8884 +a(g701 +g1036 +tp8885 +a(g822 +g1322 +tp8886 +a(g225 +V'N' +p8887 +tp8888 +a(g701 +g1036 +tp8889 +a(g431 +Vwork +p8890 +tp8891 +a(g701 +g1036 +tp8892 +a(g431 +Vinfo +p8893 +tp8894 +a(g701 +g1061 +tp8895 +a(g822 +V\u000a\u000a +p8896 +tp8897 +a(g740 +Vif +p8898 +tp8899 +a(g701 +g1032 +tp8900 +a(g431 +Vinfo +p8901 +tp8902 +a(g822 +g1322 +tp8903 +a(g408 +g2229 +tp8904 +a(g408 +g1699 +tp8905 +a(g32 +g2144 +tp8906 +a(g701 +g1061 +tp8907 +a(g822 +g1322 +tp8908 +a(g740 +Vgoto +p8909 +tp8910 +a(g32 +V9999 +p8911 +tp8912 +a(g822 +V\u000a\u000a +p8913 +tp8914 +a(g740 +Vif +p8915 +tp8916 +a(g701 +g1032 +tp8917 +a(g431 +Vilev +p8918 +tp8919 +a(g822 +g1322 +tp8920 +a(g408 +g6905 +tp8921 +a(g822 +g1322 +tp8922 +a(g431 +Vnlev +p8923 +tp8924 +a(g701 +g1061 +tp8925 +a(g822 +g1322 +tp8926 +a(g740 +Vthen\u000a +p8927 +tp8928 +a(g431 +Vmlprec_wrk +p8929 +tp8930 +a(g701 +g1032 +tp8931 +a(g431 +Vilev +p8932 +tp8933 +a(g701 +g1061 +tp8934 +a(g701 +g2163 +tp8935 +a(g431 +Vty +p8936 +tp8937 +a(g822 +g1322 +tp8938 +a(g408 +g1699 +tp8939 +a(g822 +g1322 +tp8940 +a(g431 +Vmlprec_wrk +p8941 +tp8942 +a(g701 +g1032 +tp8943 +a(g431 +Vilev +p8944 +tp8945 +a(g701 +g1061 +tp8946 +a(g701 +g2163 +tp8947 +a(g431 +Vx2l +p8948 +tp8949 +a(g822 +V\u000a +p8950 +tp8951 +a(g740 +Vcall +p8952 +tp8953 +a(g431 +Vpsb_spmm +p8954 +tp8955 +a(g701 +g1032 +tp8956 +a(g408 +g2525 +tp8957 +a(g431 +Vzone +p8958 +tp8959 +a(g701 +g1036 +tp8960 +a(g431 +Vbaseprecv +p8961 +tp8962 +a(g701 +g1032 +tp8963 +a(g431 +Vilev +p8964 +tp8965 +a(g701 +g1061 +tp8966 +a(g701 +g2163 +tp8967 +a(g431 +Vbase_a +p8968 +tp8969 +a(g701 +g1036 +tp8970 +a(g431 +Vmlprec_wrk +p8971 +tp8972 +a(g701 +g1032 +tp8973 +a(g431 +Vilev +p8974 +tp8975 +a(g701 +g1061 +tp8976 +a(g701 +g2163 +tp8977 +a(g431 +Vy2l +p8978 +tp8979 +a(g701 +g1036 +tp8980 +a(g701 +g1531 +tp8981 +a(g822 +V\u000a +p8982 +tp8983 +a(g701 +g1531 +tp8984 +a(g822 +g1322 +tp8985 +a(g431 +Vzone +p8986 +tp8987 +a(g701 +g1036 +tp8988 +a(g431 +Vmlprec_wrk +p8989 +tp8990 +a(g701 +g1032 +tp8991 +a(g431 +Vilev +p8992 +tp8993 +a(g701 +g1061 +tp8994 +a(g701 +g2163 +tp8995 +a(g431 +Vty +p8996 +tp8997 +a(g701 +g1036 +tp8998 +a(g431 +Vbaseprecv +p8999 +tp9000 +a(g701 +g1032 +tp9001 +a(g431 +Vilev +p9002 +tp9003 +a(g701 +g1061 +tp9004 +a(g701 +g2163 +tp9005 +a(g431 +Vbase_desc +p9006 +tp9007 +a(g701 +g1036 +tp9008 +a(g431 +Vinfo +p9009 +tp9010 +a(g701 +g1036 +tp9011 +a(g431 +Vwork +p9012 +tp9013 +a(g408 +g1699 +tp9014 +a(g431 +Vwork +p9015 +tp9016 +a(g701 +g1061 +tp9017 +a(g822 +V\u000a +p9018 +tp9019 +a(g740 +Vif +p9020 +tp9021 +a(g701 +g1032 +tp9022 +a(g431 +Vinfo +p9023 +tp9024 +a(g822 +g1322 +tp9025 +a(g408 +g2229 +tp9026 +a(g408 +g1699 +tp9027 +a(g32 +g2144 +tp9028 +a(g701 +g1061 +tp9029 +a(g822 +g1322 +tp9030 +a(g740 +Vgoto +p9031 +tp9032 +a(g32 +V9999 +p9033 +tp9034 +a(g822 +V\u000a +p9035 +tp9036 +a(g431 +Vendif +p9037 +tp9038 +a(g822 +V\u000a\u000a +p9039 +tp9040 +a(g431 +Venddo +p9041 +tp9042 +a(g822 +V\u000a\u000a\u000a +p9043 +tp9044 +a(g740 +Vdo +p9045 +tp9046 +a(g431 +Vilev +p9047 +tp9048 +a(g408 +g1699 +tp9049 +a(g431 +Vnlev +p9050 +tp9051 +a(g408 +g2525 +tp9052 +a(g32 +g2064 +tp9053 +a(g701 +g1036 +tp9054 +a(g822 +g1322 +tp9055 +a(g32 +g2064 +tp9056 +a(g701 +g1036 +tp9057 +a(g822 +g1322 +tp9058 +a(g408 +g2525 +tp9059 +a(g32 +g2064 +tp9060 +a(g822 +V\u000a\u000a +p9061 +tp9062 +a(g431 +Vismth +p9063 +tp9064 +a(g408 +g1699 +tp9065 +a(g431 +Vbaseprecv +p9066 +tp9067 +a(g701 +g1032 +tp9068 +a(g431 +Vilev +p9069 +tp9070 +a(g408 +g2837 +tp9071 +a(g32 +g2064 +tp9072 +a(g701 +g1061 +tp9073 +a(g701 +g2163 +tp9074 +a(g431 +Viprcparm +p9075 +tp9076 +a(g701 +g1032 +tp9077 +a(g431 +Vsmth_kind_ +p9078 +tp9079 +a(g701 +g1061 +tp9080 +a(g822 +V\u000a +p9081 +tp9082 +a(g740 +Vif +p9083 +tp9084 +a(g822 +g1322 +tp9085 +a(g701 +g1032 +tp9086 +a(g431 +Vismth +p9087 +tp9088 +a(g822 +V +p9089 +tp9090 +a(g408 +g2229 +tp9091 +a(g408 +g1699 +tp9092 +a(g822 +g1322 +tp9093 +a(g431 +Vno_smth_ +p9094 +tp9095 +a(g701 +g1061 +tp9096 +a(g822 +g1322 +tp9097 +a(g740 +Vthen\u000a +p9098 +tp9099 +a(g740 +Vif +p9100 +tp9101 +a(g822 +g1322 +tp9102 +a(g701 +g1032 +tp9103 +a(g431 +Vismth +p9104 +tp9105 +a(g822 +g1322 +tp9106 +a(g408 +V== +p9107 +tp9108 +a(g822 +g1322 +tp9109 +a(g431 +Vsmth_omg_ +p9110 +tp9111 +a(g701 +g1061 +tp9112 +a(g822 +g1322 +tp9113 +a(g701 +g1531 +tp9114 +a(g822 +V\u000a +p9115 +tp9116 +a(g701 +g1531 +tp9117 +a(g822 +g1322 +tp9118 +a(g740 +Vcall +p9119 +tp9120 +a(g431 +Vpsb_halo +p9121 +tp9122 +a(g701 +g1032 +tp9123 +a(g431 +Vmlprec_wrk +p9124 +tp9125 +a(g701 +g1032 +tp9126 +a(g431 +Vilev +p9127 +tp9128 +a(g408 +g2837 +tp9129 +a(g32 +g2064 +tp9130 +a(g701 +g1061 +tp9131 +a(g701 +g2163 +tp9132 +a(g431 +Vy2l +p9133 +tp9134 +a(g701 +g1036 +tp9135 +a(g431 +Vbaseprecv +p9136 +tp9137 +a(g701 +g1032 +tp9138 +a(g431 +Vilev +p9139 +tp9140 +a(g408 +g2837 +tp9141 +a(g32 +g2064 +tp9142 +a(g701 +g1061 +tp9143 +a(g701 +g2163 +tp9144 +a(g431 +Vdesc_data +p9145 +tp9146 +a(g701 +g1036 +tp9147 +a(g701 +g1531 +tp9148 +a(g822 +V\u000a +p9149 +tp9150 +a(g701 +g1531 +tp9151 +a(g822 +V +p9152 +tp9153 +a(g431 +Vinfo +p9154 +tp9155 +a(g701 +g1036 +tp9156 +a(g431 +Vwork +p9157 +tp9158 +a(g408 +g1699 +tp9159 +a(g431 +Vwork +p9160 +tp9161 +a(g701 +g1061 +tp9162 +a(g822 +V\u000a +p9163 +tp9164 +a(g740 +Vcall +p9165 +tp9166 +a(g431 +Vpsb_csmm +p9167 +tp9168 +a(g701 +g1032 +tp9169 +a(g431 +Vzone +p9170 +tp9171 +a(g701 +g1036 +tp9172 +a(g431 +Vbaseprecv +p9173 +tp9174 +a(g701 +g1032 +tp9175 +a(g431 +Vilev +p9176 +tp9177 +a(g408 +g2837 +tp9178 +a(g32 +g2064 +tp9179 +a(g701 +g1061 +tp9180 +a(g701 +g2163 +tp9181 +a(g431 +Vav +p9182 +tp9183 +a(g701 +g1032 +tp9184 +a(g431 +Vsm_pr_ +p9185 +tp9186 +a(g701 +g1061 +tp9187 +a(g701 +g1036 +tp9188 +a(g431 +Vmlprec_wrk +p9189 +tp9190 +a(g701 +g1032 +tp9191 +a(g431 +Vilev +p9192 +tp9193 +a(g408 +g2837 +tp9194 +a(g32 +g2064 +tp9195 +a(g701 +g1061 +tp9196 +a(g701 +g2163 +tp9197 +a(g431 +Vy2l +p9198 +tp9199 +a(g701 +g1036 +tp9200 +a(g701 +g1531 +tp9201 +a(g822 +V\u000a +p9202 +tp9203 +a(g701 +g1531 +tp9204 +a(g822 +V +p9205 +tp9206 +a(g431 +Vzone +p9207 +tp9208 +a(g701 +g1036 +tp9209 +a(g431 +Vmlprec_wrk +p9210 +tp9211 +a(g701 +g1032 +tp9212 +a(g431 +Vilev +p9213 +tp9214 +a(g701 +g1061 +tp9215 +a(g701 +g2163 +tp9216 +a(g431 +Vy2l +p9217 +tp9218 +a(g701 +g1036 +tp9219 +a(g431 +Vinfo +p9220 +tp9221 +a(g701 +g1061 +tp9222 +a(g822 +V\u000a +p9223 +tp9224 +a(g740 +Vif +p9225 +tp9226 +a(g701 +g1032 +tp9227 +a(g431 +Vinfo +p9228 +tp9229 +a(g822 +g1322 +tp9230 +a(g408 +g2229 +tp9231 +a(g408 +g1699 +tp9232 +a(g32 +g2144 +tp9233 +a(g701 +g1061 +tp9234 +a(g822 +g1322 +tp9235 +a(g740 +Vgoto +p9236 +tp9237 +a(g32 +V9999 +p9238 +tp9239 +a(g822 +V\u000a\u000a +p9240 +tp9241 +a(g740 +Velse\u000a +p9242 +tp9243 +a(g431 +Vn_row +p9244 +tp9245 +a(g822 +g1322 +tp9246 +a(g408 +g1699 +tp9247 +a(g822 +g1322 +tp9248 +a(g431 +Vbaseprecv +p9249 +tp9250 +a(g701 +g1032 +tp9251 +a(g431 +Vilev +p9252 +tp9253 +a(g701 +g1061 +tp9254 +a(g701 +g2163 +tp9255 +a(g431 +Vbase_desc +p9256 +tp9257 +a(g701 +g2163 +tp9258 +a(g431 +Vmatrix_data +p9259 +tp9260 +a(g701 +g1032 +tp9261 +a(g431 +Vpsb_n_row_ +p9262 +tp9263 +a(g701 +g1061 +tp9264 +a(g822 +V\u000a +p9265 +tp9266 +a(g740 +Vdo +p9267 +tp9268 +a(g431 +g1592 +tp9269 +a(g408 +g1699 +tp9270 +a(g32 +g2064 +tp9271 +a(g701 +g1036 +tp9272 +a(g822 +g1322 +tp9273 +a(g431 +Vn_row +p9274 +tp9275 +a(g822 +V\u000a +p9276 +tp9277 +a(g431 +Vmlprec_wrk +p9278 +tp9279 +a(g701 +g1032 +tp9280 +a(g431 +Vilev +p9281 +tp9282 +a(g701 +g1061 +tp9283 +a(g701 +g2163 +tp9284 +a(g431 +Vy2l +p9285 +tp9286 +a(g701 +g1032 +tp9287 +a(g431 +g1592 +tp9288 +a(g701 +g1061 +tp9289 +a(g822 +g1322 +tp9290 +a(g408 +g1699 +tp9291 +a(g822 +g1322 +tp9292 +a(g431 +Vmlprec_wrk +p9293 +tp9294 +a(g701 +g1032 +tp9295 +a(g431 +Vilev +p9296 +tp9297 +a(g701 +g1061 +tp9298 +a(g701 +g2163 +tp9299 +a(g431 +Vy2l +p9300 +tp9301 +a(g701 +g1032 +tp9302 +a(g431 +g1592 +tp9303 +a(g701 +g1061 +tp9304 +a(g822 +g1322 +tp9305 +a(g408 +g2837 +tp9306 +a(g822 +g1322 +tp9307 +a(g701 +g1531 +tp9308 +a(g822 +V\u000a +p9309 +tp9310 +a(g701 +g1531 +tp9311 +a(g822 +g1322 +tp9312 +a(g431 +Vmlprec_wrk +p9313 +tp9314 +a(g701 +g1032 +tp9315 +a(g431 +Vilev +p9316 +tp9317 +a(g408 +g2837 +tp9318 +a(g32 +g2064 +tp9319 +a(g701 +g1061 +tp9320 +a(g701 +g2163 +tp9321 +a(g431 +Vy2l +p9322 +tp9323 +a(g701 +g1032 +tp9324 +a(g431 +Vbaseprecv +p9325 +tp9326 +a(g701 +g1032 +tp9327 +a(g431 +Vilev +p9328 +tp9329 +a(g408 +g2837 +tp9330 +a(g32 +g2064 +tp9331 +a(g701 +g1061 +tp9332 +a(g701 +g2163 +tp9333 +a(g431 +Vmlia +p9334 +tp9335 +a(g701 +g1032 +tp9336 +a(g431 +g1592 +tp9337 +a(g701 +g1061 +tp9338 +a(g701 +g1061 +tp9339 +a(g822 +V\u000a +p9340 +tp9341 +a(g431 +Venddo +p9342 +tp9343 +a(g822 +V\u000a\u000a +p9344 +tp9345 +a(g740 +Vend +p9346 +tp9347 +a(g740 +Vif\u000a\u000a +p9348 +tp9349 +a(g740 +Vcall +p9350 +tp9351 +a(g431 +Vpsb_spmm +p9352 +tp9353 +a(g701 +g1032 +tp9354 +a(g408 +g2525 +tp9355 +a(g431 +Vzone +p9356 +tp9357 +a(g701 +g1036 +tp9358 +a(g431 +Vbaseprecv +p9359 +tp9360 +a(g701 +g1032 +tp9361 +a(g431 +Vilev +p9362 +tp9363 +a(g701 +g1061 +tp9364 +a(g701 +g2163 +tp9365 +a(g431 +Vbase_a +p9366 +tp9367 +a(g701 +g1036 +tp9368 +a(g431 +Vmlprec_wrk +p9369 +tp9370 +a(g701 +g1032 +tp9371 +a(g431 +Vilev +p9372 +tp9373 +a(g701 +g1061 +tp9374 +a(g701 +g2163 +tp9375 +a(g431 +Vy2l +p9376 +tp9377 +a(g701 +g1036 +tp9378 +a(g701 +g1531 +tp9379 +a(g822 +V\u000a +p9380 +tp9381 +a(g701 +g1531 +tp9382 +a(g822 +V +p9383 +tp9384 +a(g431 +Vzone +p9385 +tp9386 +a(g701 +g1036 +tp9387 +a(g431 +Vmlprec_wrk +p9388 +tp9389 +a(g701 +g1032 +tp9390 +a(g431 +Vilev +p9391 +tp9392 +a(g701 +g1061 +tp9393 +a(g701 +g2163 +tp9394 +a(g431 +Vtx +p9395 +tp9396 +a(g701 +g1036 +tp9397 +a(g431 +Vbaseprecv +p9398 +tp9399 +a(g701 +g1032 +tp9400 +a(g431 +Vilev +p9401 +tp9402 +a(g701 +g1061 +tp9403 +a(g701 +g2163 +tp9404 +a(g431 +Vbase_desc +p9405 +tp9406 +a(g701 +g1036 +tp9407 +a(g431 +Vinfo +p9408 +tp9409 +a(g701 +g1036 +tp9410 +a(g431 +Vwork +p9411 +tp9412 +a(g408 +g1699 +tp9413 +a(g431 +Vwork +p9414 +tp9415 +a(g701 +g1061 +tp9416 +a(g822 +V\u000a\u000a +p9417 +tp9418 +a(g740 +Vif +p9419 +tp9420 +a(g701 +g1032 +tp9421 +a(g431 +Vinfo +p9422 +tp9423 +a(g822 +g1322 +tp9424 +a(g408 +g2229 +tp9425 +a(g408 +g1699 +tp9426 +a(g32 +g2144 +tp9427 +a(g701 +g1061 +tp9428 +a(g822 +g1322 +tp9429 +a(g740 +Vgoto +p9430 +tp9431 +a(g32 +V9999 +p9432 +tp9433 +a(g822 +V\u000a\u000a +p9434 +tp9435 +a(g740 +Vcall +p9436 +tp9437 +a(g431 +Vpsb_baseprc_aply +p9438 +tp9439 +a(g701 +g1032 +tp9440 +a(g431 +Vzone +p9441 +tp9442 +a(g701 +g1036 +tp9443 +a(g431 +Vbaseprecv +p9444 +tp9445 +a(g701 +g1032 +tp9446 +a(g431 +Vilev +p9447 +tp9448 +a(g701 +g1061 +tp9449 +a(g701 +g1036 +tp9450 +a(g431 +Vmlprec_wrk +p9451 +tp9452 +a(g701 +g1032 +tp9453 +a(g431 +Vilev +p9454 +tp9455 +a(g701 +g1061 +tp9456 +a(g701 +g2163 +tp9457 +a(g431 +Vtx +p9458 +tp9459 +a(g701 +g1036 +tp9460 +a(g701 +g1531 +tp9461 +a(g822 +V\u000a +p9462 +tp9463 +a(g701 +g1531 +tp9464 +a(g822 +g1322 +tp9465 +a(g431 +Vzone +p9466 +tp9467 +a(g701 +g1036 +tp9468 +a(g431 +Vmlprec_wrk +p9469 +tp9470 +a(g701 +g1032 +tp9471 +a(g431 +Vilev +p9472 +tp9473 +a(g701 +g1061 +tp9474 +a(g701 +g2163 +tp9475 +a(g431 +Vy2l +p9476 +tp9477 +a(g701 +g1036 +tp9478 +a(g431 +Vbaseprecv +p9479 +tp9480 +a(g701 +g1032 +tp9481 +a(g431 +Vilev +p9482 +tp9483 +a(g701 +g1061 +tp9484 +a(g701 +g2163 +tp9485 +a(g431 +Vbase_desc +p9486 +tp9487 +a(g701 +g1036 +tp9488 +a(g822 +g1322 +tp9489 +a(g431 +Vtrans +p9490 +tp9491 +a(g701 +g1036 +tp9492 +a(g822 +g1322 +tp9493 +a(g431 +Vwork +p9494 +tp9495 +a(g701 +g1036 +tp9496 +a(g431 +Vinfo +p9497 +tp9498 +a(g701 +g1061 +tp9499 +a(g822 +V\u000a\u000a +p9500 +tp9501 +a(g740 +Vif +p9502 +tp9503 +a(g701 +g1032 +tp9504 +a(g431 +Vinfo +p9505 +tp9506 +a(g822 +g1322 +tp9507 +a(g408 +g2229 +tp9508 +a(g408 +g1699 +tp9509 +a(g32 +g2144 +tp9510 +a(g701 +g1061 +tp9511 +a(g822 +g1322 +tp9512 +a(g740 +Vgoto +p9513 +tp9514 +a(g32 +V9999 +p9515 +tp9516 +a(g822 +V\u000a\u000a +p9517 +tp9518 +a(g431 +Venddo +p9519 +tp9520 +a(g822 +V\u000a\u000a +p9521 +tp9522 +a(g740 +Vcall +p9523 +tp9524 +a(g431 +Vpsb_geaxpby +p9525 +tp9526 +a(g701 +g1032 +tp9527 +a(g431 +Valpha +p9528 +tp9529 +a(g701 +g1036 +tp9530 +a(g431 +Vmlprec_wrk +p9531 +tp9532 +a(g701 +g1032 +tp9533 +a(g32 +g2064 +tp9534 +a(g701 +g1061 +tp9535 +a(g701 +g2163 +tp9536 +a(g431 +Vy2l +p9537 +tp9538 +a(g701 +g1036 +tp9539 +a(g431 +Vbeta +p9540 +tp9541 +a(g701 +g1036 +tp9542 +a(g431 +g1047 +tp9543 +a(g701 +g1036 +tp9544 +a(g701 +g1531 +tp9545 +a(g822 +V\u000a +p9546 +tp9547 +a(g701 +g1531 +tp9548 +a(g822 +V +p9549 +tp9550 +a(g431 +Vbaseprecv +p9551 +tp9552 +a(g701 +g1032 +tp9553 +a(g32 +g2064 +tp9554 +a(g701 +g1061 +tp9555 +a(g701 +g2163 +tp9556 +a(g431 +Vbase_desc +p9557 +tp9558 +a(g701 +g1036 +tp9559 +a(g431 +Vinfo +p9560 +tp9561 +a(g701 +g1061 +tp9562 +a(g822 +V\u000a\u000a +p9563 +tp9564 +a(g740 +Vif +p9565 +tp9566 +a(g701 +g1032 +tp9567 +a(g431 +Vinfo +p9568 +tp9569 +a(g822 +g1322 +tp9570 +a(g408 +g2229 +tp9571 +a(g408 +g1699 +tp9572 +a(g32 +g2144 +tp9573 +a(g701 +g1061 +tp9574 +a(g822 +g1322 +tp9575 +a(g740 +Vgoto +p9576 +tp9577 +a(g32 +V9999 +p9578 +tp9579 +a(g822 +V\u000a\u000a\u000a +p9580 +tp9581 +a(g740 +Vcase +p9582 +tp9583 +a(g431 +Vdefault +p9584 +tp9585 +a(g822 +V\u000a\u000a +p9586 +tp9587 +a(g740 +Vcall +p9588 +tp9589 +a(g431 +Vpsb_errpush +p9590 +tp9591 +a(g701 +g1032 +tp9592 +a(g32 +V4013 +p9593 +tp9594 +a(g701 +g1036 +tp9595 +a(g431 +Vname +p9596 +tp9597 +a(g701 +g1036 +tp9598 +a(g431 +Va_err +p9599 +tp9600 +a(g408 +g1699 +tp9601 +a(g225 +V'wrong smooth_pos' +p9602 +tp9603 +a(g701 +g1036 +tp9604 +a(g701 +g1531 +tp9605 +a(g822 +V\u000a +p9606 +tp9607 +a(g701 +g1531 +tp9608 +a(g822 +V +p9609 +tp9610 +a(g431 +Vi_Err +p9611 +tp9612 +a(g408 +g1699 +tp9613 +a(g701 +g1032 +tp9614 +a(g408 +g2229 +tp9615 +a(g431 +Vbaseprecv +p9616 +tp9617 +a(g701 +g1032 +tp9618 +a(g32 +g2275 +tp9619 +a(g701 +g1061 +tp9620 +a(g701 +g2163 +tp9621 +a(g431 +Viprcparm +p9622 +tp9623 +a(g701 +g1032 +tp9624 +a(g431 +Vsmth_pos_ +p9625 +tp9626 +a(g701 +g1061 +tp9627 +a(g701 +g1036 +tp9628 +a(g32 +g2144 +tp9629 +a(g701 +g1036 +tp9630 +a(g32 +g2144 +tp9631 +a(g701 +g1036 +tp9632 +a(g32 +g2144 +tp9633 +a(g701 +g1036 +tp9634 +a(g32 +g2144 +tp9635 +a(g408 +g2229 +tp9636 +a(g701 +g1061 +tp9637 +a(g701 +g1061 +tp9638 +a(g822 +V\u000a +p9639 +tp9640 +a(g740 +Vgoto +p9641 +tp9642 +a(g32 +V9999 +p9643 +tp9644 +a(g822 +V\u000a\u000a +p9645 +tp9646 +a(g740 +Vend +p9647 +tp9648 +a(g740 +Vselect\u000a\u000a +p9649 +tp9650 +a(g740 +Vcase +p9651 +tp9652 +a(g431 +Vdefault +p9653 +tp9654 +a(g822 +V\u000a +p9655 +tp9656 +a(g740 +Vcall +p9657 +tp9658 +a(g431 +Vpsb_errpush +p9659 +tp9660 +a(g701 +g1032 +tp9661 +a(g32 +V4013 +p9662 +tp9663 +a(g701 +g1036 +tp9664 +a(g431 +Vname +p9665 +tp9666 +a(g701 +g1036 +tp9667 +a(g431 +Va_err +p9668 +tp9669 +a(g408 +g1699 +tp9670 +a(g225 +V'wrong mltype' +p9671 +tp9672 +a(g701 +g1036 +tp9673 +a(g701 +g1531 +tp9674 +a(g822 +V\u000a +p9675 +tp9676 +a(g701 +g1531 +tp9677 +a(g822 +V +p9678 +tp9679 +a(g431 +Vi_Err +p9680 +tp9681 +a(g408 +g1699 +tp9682 +a(g701 +g1032 +tp9683 +a(g408 +g2229 +tp9684 +a(g431 +Vbaseprecv +p9685 +tp9686 +a(g701 +g1032 +tp9687 +a(g32 +g2275 +tp9688 +a(g701 +g1061 +tp9689 +a(g701 +g2163 +tp9690 +a(g431 +Viprcparm +p9691 +tp9692 +a(g701 +g1032 +tp9693 +a(g431 +Vml_type_ +p9694 +tp9695 +a(g701 +g1061 +tp9696 +a(g701 +g1036 +tp9697 +a(g32 +g2144 +tp9698 +a(g701 +g1036 +tp9699 +a(g32 +g2144 +tp9700 +a(g701 +g1036 +tp9701 +a(g32 +g2144 +tp9702 +a(g701 +g1036 +tp9703 +a(g32 +g2144 +tp9704 +a(g408 +g2229 +tp9705 +a(g701 +g1061 +tp9706 +a(g701 +g1061 +tp9707 +a(g822 +V\u000a +p9708 +tp9709 +a(g740 +Vgoto +p9710 +tp9711 +a(g32 +V9999 +p9712 +tp9713 +a(g822 +V\u000a\u000a +p9714 +tp9715 +a(g740 +Vend +p9716 +tp9717 +a(g740 +Vselect\u000a\u000a\u000a +p9718 +tp9719 +a(g740 +Vcall +p9720 +tp9721 +a(g431 +Vmlprec_wrk_free +p9722 +tp9723 +a(g701 +g1032 +tp9724 +a(g431 +Vmlprec_wrk +p9725 +tp9726 +a(g701 +g1061 +tp9727 +a(g822 +V\u000a +p9728 +tp9729 +a(g740 +Vdeallocate +p9730 +tp9731 +a(g701 +g1032 +tp9732 +a(g431 +Vmlprec_wrk +p9733 +tp9734 +a(g701 +g1061 +tp9735 +a(g822 +V\u000a\u000a +p9736 +tp9737 +a(g740 +Vcall +p9738 +tp9739 +a(g431 +Vpsb_erractionrestore +p9740 +tp9741 +a(g701 +g1032 +tp9742 +a(g431 +Verr_act +p9743 +tp9744 +a(g701 +g1061 +tp9745 +a(g822 +V\u000a +p9746 +tp9747 +a(g740 +Vreturn\u000a\u000a +p9748 +tp9749 +a(g32 +V9999 +p9750 +tp9751 +a(g822 +g1322 +tp9752 +a(g740 +Vcontinue\u000a +p9753 +tp9754 +a(g740 +Vcall +p9755 +tp9756 +a(g431 +Vpsb_errpush +p9757 +tp9758 +a(g701 +g1032 +tp9759 +a(g431 +Vinfo +p9760 +tp9761 +a(g701 +g1036 +tp9762 +a(g431 +Vname +p9763 +tp9764 +a(g701 +g1061 +tp9765 +a(g822 +V\u000a +p9766 +tp9767 +a(g740 +Vcall +p9768 +tp9769 +a(g431 +Vpsb_erractionrestore +p9770 +tp9771 +a(g701 +g1032 +tp9772 +a(g431 +Verr_act +p9773 +tp9774 +a(g701 +g1061 +tp9775 +a(g822 +V\u000a +p9776 +tp9777 +a(g740 +Vif +p9778 +tp9779 +a(g822 +g1322 +tp9780 +a(g701 +g1032 +tp9781 +a(g431 +Verr_act +p9782 +tp9783 +a(g701 +g1701 +tp9784 +a(g431 +Veq +p9785 +tp9786 +a(g701 +g1701 +tp9787 +a(g431 +Vact_abort +p9788 +tp9789 +a(g701 +g1061 +tp9790 +a(g822 +g1322 +tp9791 +a(g740 +Vthen\u000a +p9792 +tp9793 +a(g740 +Vcall +p9794 +tp9795 +a(g431 +Vpsb_error +p9796 +tp9797 +a(g701 +g1032 +tp9798 +a(g701 +g1061 +tp9799 +a(g822 +V\u000a +p9800 +tp9801 +a(g740 +Vreturn\u000a +p9802 +tp9803 +a(g740 +Vend +p9804 +tp9805 +a(g740 +Vif\u000a +p9806 +tp9807 +a(g740 +Vreturn\u000a\u000a +p9808 +tp9809 +a(g740 +Vcontains\u000a +p9810 +tp9811 +a(g740 +Vsubroutine +p9812 +tp9813 +a(g431 +Vmlprec_wrk_free +p9814 +tp9815 +a(g701 +g1032 +tp9816 +a(g431 +Vwrk +p9817 +tp9818 +a(g701 +g1061 +tp9819 +a(g822 +V\u000a +p9820 +tp9821 +a(g740 +Vtype +p9822 +tp9823 +a(g701 +g1032 +tp9824 +a(g431 +Vpsb_mlprec_wrk_type +p9825 +tp9826 +a(g701 +g1061 +tp9827 +a(g822 +g1322 +tp9828 +a(g805 +V:: +p9829 +tp9830 +a(g822 +g1322 +tp9831 +a(g431 +Vwrk +p9832 +tp9833 +a(g701 +g1032 +tp9834 +a(g701 +g1349 +tp9835 +a(g701 +g1061 +tp9836 +a(g822 +V\u000a +p9837 +tp9838 +a(g7 +V! This will not be needed when we have allocatables, as\u000a +p9839 +tp9840 +a(g822 +V +p9841 +tp9842 +a(g7 +V! it is sufficient to deallocate the container, and\u000a +p9843 +tp9844 +a(g822 +V +p9845 +tp9846 +a(g7 +V! the compiler is supposed to recursively deallocate the\u000a +p9847 +tp9848 +a(g822 +V +p9849 +tp9850 +a(g7 +V! various components.\u000a +p9851 +tp9852 +a(g822 +V +p9853 +tp9854 +a(g744 +Vinteger +p9855 +tp9856 +a(g431 +g1592 +tp9857 +a(g822 +V\u000a\u000a +p9858 +tp9859 +a(g740 +Vdo +p9860 +tp9861 +a(g431 +g1592 +tp9862 +a(g408 +g1699 +tp9863 +a(g32 +g2064 +tp9864 +a(g701 +g1036 +tp9865 +a(g822 +g1322 +tp9866 +a(g431 +Vsize +p9867 +tp9868 +a(g701 +g1032 +tp9869 +a(g431 +Vwrk +p9870 +tp9871 +a(g701 +g1061 +tp9872 +a(g822 +V\u000a +p9873 +tp9874 +a(g740 +Vif +p9875 +tp9876 +a(g822 +g1322 +tp9877 +a(g701 +g1032 +tp9878 +a(g677 +Vassociated +p9879 +tp9880 +a(g701 +g1032 +tp9881 +a(g431 +Vwrk +p9882 +tp9883 +a(g701 +g1032 +tp9884 +a(g431 +g1592 +tp9885 +a(g701 +g1061 +tp9886 +a(g701 +g2163 +tp9887 +a(g431 +Vtx +p9888 +tp9889 +a(g701 +g1061 +tp9890 +a(g701 +g1061 +tp9891 +a(g822 +V +p9892 +tp9893 +a(g740 +Vdeallocate +p9894 +tp9895 +a(g701 +g1032 +tp9896 +a(g431 +Vwrk +p9897 +tp9898 +a(g701 +g1032 +tp9899 +a(g431 +g1592 +tp9900 +a(g701 +g1061 +tp9901 +a(g701 +g2163 +tp9902 +a(g431 +Vtx +p9903 +tp9904 +a(g701 +g1061 +tp9905 +a(g822 +V\u000a +p9906 +tp9907 +a(g740 +Vif +p9908 +tp9909 +a(g822 +g1322 +tp9910 +a(g701 +g1032 +tp9911 +a(g677 +Vassociated +p9912 +tp9913 +a(g701 +g1032 +tp9914 +a(g431 +Vwrk +p9915 +tp9916 +a(g701 +g1032 +tp9917 +a(g431 +g1592 +tp9918 +a(g701 +g1061 +tp9919 +a(g701 +g2163 +tp9920 +a(g431 +Vty +p9921 +tp9922 +a(g701 +g1061 +tp9923 +a(g701 +g1061 +tp9924 +a(g822 +V +p9925 +tp9926 +a(g740 +Vdeallocate +p9927 +tp9928 +a(g701 +g1032 +tp9929 +a(g431 +Vwrk +p9930 +tp9931 +a(g701 +g1032 +tp9932 +a(g431 +g1592 +tp9933 +a(g701 +g1061 +tp9934 +a(g701 +g2163 +tp9935 +a(g431 +Vty +p9936 +tp9937 +a(g701 +g1061 +tp9938 +a(g822 +V\u000a +p9939 +tp9940 +a(g740 +Vif +p9941 +tp9942 +a(g822 +g1322 +tp9943 +a(g701 +g1032 +tp9944 +a(g677 +Vassociated +p9945 +tp9946 +a(g701 +g1032 +tp9947 +a(g431 +Vwrk +p9948 +tp9949 +a(g701 +g1032 +tp9950 +a(g431 +g1592 +tp9951 +a(g701 +g1061 +tp9952 +a(g701 +g2163 +tp9953 +a(g431 +Vx2l +p9954 +tp9955 +a(g701 +g1061 +tp9956 +a(g701 +g1061 +tp9957 +a(g822 +g1322 +tp9958 +a(g740 +Vdeallocate +p9959 +tp9960 +a(g701 +g1032 +tp9961 +a(g431 +Vwrk +p9962 +tp9963 +a(g701 +g1032 +tp9964 +a(g431 +g1592 +tp9965 +a(g701 +g1061 +tp9966 +a(g701 +g2163 +tp9967 +a(g431 +Vx2l +p9968 +tp9969 +a(g701 +g1061 +tp9970 +a(g822 +V\u000a +p9971 +tp9972 +a(g740 +Vif +p9973 +tp9974 +a(g822 +g1322 +tp9975 +a(g701 +g1032 +tp9976 +a(g677 +Vassociated +p9977 +tp9978 +a(g701 +g1032 +tp9979 +a(g431 +Vwrk +p9980 +tp9981 +a(g701 +g1032 +tp9982 +a(g431 +g1592 +tp9983 +a(g701 +g1061 +tp9984 +a(g701 +g2163 +tp9985 +a(g431 +Vy2l +p9986 +tp9987 +a(g701 +g1061 +tp9988 +a(g701 +g1061 +tp9989 +a(g822 +g1322 +tp9990 +a(g740 +Vdeallocate +p9991 +tp9992 +a(g701 +g1032 +tp9993 +a(g431 +Vwrk +p9994 +tp9995 +a(g701 +g1032 +tp9996 +a(g431 +g1592 +tp9997 +a(g701 +g1061 +tp9998 +a(g701 +g2163 +tp9999 +a(g431 +Vy2l +p10000 +tp10001 +a(g701 +g1061 +tp10002 +a(g822 +V\u000a +p10003 +tp10004 +a(g740 +Vif +p10005 +tp10006 +a(g822 +g1322 +tp10007 +a(g701 +g1032 +tp10008 +a(g677 +Vassociated +p10009 +tp10010 +a(g701 +g1032 +tp10011 +a(g431 +Vwrk +p10012 +tp10013 +a(g701 +g1032 +tp10014 +a(g431 +g1592 +tp10015 +a(g701 +g1061 +tp10016 +a(g701 +g2163 +tp10017 +a(g431 +Vb2l +p10018 +tp10019 +a(g701 +g1061 +tp10020 +a(g701 +g1061 +tp10021 +a(g822 +g1322 +tp10022 +a(g740 +Vdeallocate +p10023 +tp10024 +a(g701 +g1032 +tp10025 +a(g431 +Vwrk +p10026 +tp10027 +a(g701 +g1032 +tp10028 +a(g431 +g1592 +tp10029 +a(g701 +g1061 +tp10030 +a(g701 +g2163 +tp10031 +a(g431 +Vb2l +p10032 +tp10033 +a(g701 +g1061 +tp10034 +a(g822 +V\u000a +p10035 +tp10036 +a(g740 +Vif +p10037 +tp10038 +a(g822 +g1322 +tp10039 +a(g701 +g1032 +tp10040 +a(g677 +Vassociated +p10041 +tp10042 +a(g701 +g1032 +tp10043 +a(g431 +Vwrk +p10044 +tp10045 +a(g701 +g1032 +tp10046 +a(g431 +g1592 +tp10047 +a(g701 +g1061 +tp10048 +a(g701 +g2163 +tp10049 +a(g431 +Vtty +p10050 +tp10051 +a(g701 +g1061 +tp10052 +a(g701 +g1061 +tp10053 +a(g822 +g1322 +tp10054 +a(g740 +Vdeallocate +p10055 +tp10056 +a(g701 +g1032 +tp10057 +a(g431 +Vwrk +p10058 +tp10059 +a(g701 +g1032 +tp10060 +a(g431 +g1592 +tp10061 +a(g701 +g1061 +tp10062 +a(g701 +g2163 +tp10063 +a(g431 +Vtty +p10064 +tp10065 +a(g701 +g1061 +tp10066 +a(g822 +V\u000a +p10067 +tp10068 +a(g740 +Vend +p10069 +tp10070 +a(g740 +Vdo\u000a +p10071 +tp10072 +a(g740 +Vend +p10073 +tp10074 +a(g740 +Vsubroutine +p10075 +tp10076 +a(g431 +Vmlprec_wrk_free +p10077 +tp10078 +a(g822 +V\u000a\u000a +p10079 +tp10080 +a(g740 +Vend +p10081 +tp10082 +a(g740 +Vsubroutine +p10083 +tp10084 +a(g431 +Vpsb_zmlprc_aply +p10085 +tp10086 +a(g822 +V\u000a +p10087 +tp10088 +a. \ No newline at end of file diff --git a/tests/run.py b/tests/run.py index 2e962f2..edebc7a 100644 --- a/tests/run.py +++ b/tests/run.py @@ -8,7 +8,7 @@ python run.py [testfile ...] - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/string_asserts.py b/tests/string_asserts.py index 05e95e6..a02c52b 100644 --- a/tests/string_asserts.py +++ b/tests/string_asserts.py @@ -3,7 +3,7 @@ Pygments string assert utility ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_asm.py b/tests/test_asm.py index 8eaed24..30a008a 100644 --- a/tests/test_asm.py +++ b/tests/test_asm.py @@ -3,7 +3,7 @@ Basic ColdfusionHtmlLexer Test ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_basic_api.py b/tests/test_basic_api.py index ac3b4a5..b1b6926 100644 --- a/tests/test_basic_api.py +++ b/tests/test_basic_api.py @@ -3,7 +3,7 @@ Pygments basic API tests ~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_bibtex.py b/tests/test_bibtex.py index 5ad92db..2f1c395 100644 --- a/tests/test_bibtex.py +++ b/tests/test_bibtex.py @@ -3,7 +3,7 @@ BibTeX Test ~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_cfm.py b/tests/test_cfm.py index 0ff1b16..e7147a6 100644 --- a/tests/test_cfm.py +++ b/tests/test_cfm.py @@ -3,7 +3,7 @@ Basic ColdfusionHtmlLexer Test ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_clexer.py b/tests/test_clexer.py index 5095b79..64b765e 100644 --- a/tests/test_clexer.py +++ b/tests/test_clexer.py @@ -3,7 +3,7 @@ Basic CLexer Test ~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_cmdline.py b/tests/test_cmdline.py index a55e30e..169d690 100644 --- a/tests/test_cmdline.py +++ b/tests/test_cmdline.py @@ -3,7 +3,7 @@ Command line test ~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_csound.py b/tests/test_csound.py index d493bd0..8a25391 100644 --- a/tests/test_csound.py +++ b/tests/test_csound.py @@ -3,7 +3,7 @@ Csound lexer tests ~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_examplefiles.py b/tests/test_examplefiles.py index 2fae112..e208403 100644 --- a/tests/test_examplefiles.py +++ b/tests/test_examplefiles.py @@ -3,7 +3,7 @@ Pygments tests with example files ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_html_formatter.py b/tests/test_html_formatter.py index 670a5be..37efd6f 100644 --- a/tests/test_html_formatter.py +++ b/tests/test_html_formatter.py @@ -3,7 +3,7 @@ Pygments HTML formatter tests ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_inherit.py b/tests/test_inherit.py index 5da57dd..38acf32 100644 --- a/tests/test_inherit.py +++ b/tests/test_inherit.py @@ -3,7 +3,7 @@ Tests for inheritance in RegexLexer ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_irc_formatter.py b/tests/test_irc_formatter.py index 3b34f0b..18bcd58 100644 --- a/tests/test_irc_formatter.py +++ b/tests/test_irc_formatter.py @@ -3,7 +3,7 @@ Pygments IRC formatter tests ~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_java.py b/tests/test_java.py index 6e5e899..5f52085 100644 --- a/tests/test_java.py +++ b/tests/test_java.py @@ -3,7 +3,7 @@ Basic JavaLexer Test ~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_javascript.py b/tests/test_javascript.py index 21dff7c..a2dfb7e 100644 --- a/tests/test_javascript.py +++ b/tests/test_javascript.py @@ -3,7 +3,7 @@ Javascript tests ~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_julia.py b/tests/test_julia.py index ed46f27..eda04b1 100644 --- a/tests/test_julia.py +++ b/tests/test_julia.py @@ -3,7 +3,7 @@ Julia Tests ~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_kotlin.py b/tests/test_kotlin.py index 7c733ad..417d0d9 100644 --- a/tests/test_kotlin.py +++ b/tests/test_kotlin.py @@ -3,7 +3,7 @@ Basic JavaLexer Test ~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_latex_formatter.py b/tests/test_latex_formatter.py index ebed796..aa4ac3b 100644 --- a/tests/test_latex_formatter.py +++ b/tests/test_latex_formatter.py @@ -3,7 +3,7 @@ Pygments LaTeX formatter tests ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_lexers_other.py b/tests/test_lexers_other.py index 3716fb7..8d53c54 100644 --- a/tests/test_lexers_other.py +++ b/tests/test_lexers_other.py @@ -3,7 +3,7 @@ Tests for other lexers ~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ import glob diff --git a/tests/test_markdown_lexer.py b/tests/test_markdown_lexer.py index 16d1f28..c143586 100644 --- a/tests/test_markdown_lexer.py +++ b/tests/test_markdown_lexer.py @@ -3,7 +3,7 @@ Pygments regex lexer tests ~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ import unittest diff --git a/tests/test_modeline.py b/tests/test_modeline.py index efe038d..6e1f16a 100644 --- a/tests/test_modeline.py +++ b/tests/test_modeline.py @@ -3,7 +3,7 @@ Tests for the vim modeline feature ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_objectiveclexer.py b/tests/test_objectiveclexer.py index faadb08..3db6a9e 100644 --- a/tests/test_objectiveclexer.py +++ b/tests/test_objectiveclexer.py @@ -3,7 +3,7 @@ Basic CLexer Test ~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_perllexer.py b/tests/test_perllexer.py index 102f0a9..30f9eca 100644 --- a/tests/test_perllexer.py +++ b/tests/test_perllexer.py @@ -3,7 +3,7 @@ Pygments regex lexer tests ~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_php.py b/tests/test_php.py index b411738..bb047b9 100644 --- a/tests/test_php.py +++ b/tests/test_php.py @@ -3,7 +3,7 @@ PHP Tests ~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_praat.py b/tests/test_praat.py index 1ca97d1..9bf3ce7 100644 --- a/tests/test_praat.py +++ b/tests/test_praat.py @@ -3,7 +3,7 @@ Praat lexer tests ~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_properties.py b/tests/test_properties.py index 562778b..aaa8ce2 100644 --- a/tests/test_properties.py +++ b/tests/test_properties.py @@ -3,7 +3,7 @@ Properties Tests ~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_python.py b/tests/test_python.py index 6445022..b9c6c49 100644 --- a/tests/test_python.py +++ b/tests/test_python.py @@ -3,7 +3,7 @@ Python Tests ~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_qbasiclexer.py b/tests/test_qbasiclexer.py index 0ea221a..f40b8b6 100644 --- a/tests/test_qbasiclexer.py +++ b/tests/test_qbasiclexer.py @@ -3,7 +3,7 @@ Tests for QBasic ~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_regexlexer.py b/tests/test_regexlexer.py index 778f3d0..adc05a9 100644 --- a/tests/test_regexlexer.py +++ b/tests/test_regexlexer.py @@ -3,7 +3,7 @@ Pygments regex lexer tests ~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_regexopt.py b/tests/test_regexopt.py index 5cfb62a..9c44f49 100644 --- a/tests/test_regexopt.py +++ b/tests/test_regexopt.py @@ -3,7 +3,7 @@ Tests for pygments.regexopt ~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_rtf_formatter.py b/tests/test_rtf_formatter.py index 44da576..80ce01f 100644 --- a/tests/test_rtf_formatter.py +++ b/tests/test_rtf_formatter.py @@ -3,7 +3,7 @@ Pygments RTF formatter tests ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_ruby.py b/tests/test_ruby.py index b7d4110..45a7746 100644 --- a/tests/test_ruby.py +++ b/tests/test_ruby.py @@ -3,7 +3,7 @@ Basic RubyLexer Test ~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_shell.py b/tests/test_shell.py index 1121240..6b24eb4 100644 --- a/tests/test_shell.py +++ b/tests/test_shell.py @@ -3,7 +3,7 @@ Basic Shell Tests ~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_smarty.py b/tests/test_smarty.py index e1e079d..fb15f7f 100644 --- a/tests/test_smarty.py +++ b/tests/test_smarty.py @@ -3,7 +3,7 @@ Basic SmartyLexer Test ~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_string_asserts.py b/tests/test_string_asserts.py index 5e9e561..737ba20 100644 --- a/tests/test_string_asserts.py +++ b/tests/test_string_asserts.py @@ -3,7 +3,7 @@ Pygments string assert utility tests ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_terminal_formatter.py b/tests/test_terminal_formatter.py index acc1516..e5a1343 100644 --- a/tests/test_terminal_formatter.py +++ b/tests/test_terminal_formatter.py @@ -3,7 +3,7 @@ Pygments terminal formatter tests ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_textfmts.py b/tests/test_textfmts.py index 453dd61..8a1b8ed 100644 --- a/tests/test_textfmts.py +++ b/tests/test_textfmts.py @@ -3,7 +3,7 @@ Basic Tests for textfmts ~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_token.py b/tests/test_token.py index 9452237..fdbcabd 100644 --- a/tests/test_token.py +++ b/tests/test_token.py @@ -3,7 +3,7 @@ Test suite for the token module ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_unistring.py b/tests/test_unistring.py index c56b68c..82d74ed 100644 --- a/tests/test_unistring.py +++ b/tests/test_unistring.py @@ -3,7 +3,7 @@ Test suite for the unistring module ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_using_api.py b/tests/test_using_api.py index 7517ce7..2ab70d0 100644 --- a/tests/test_using_api.py +++ b/tests/test_using_api.py @@ -3,7 +3,7 @@ Pygments tests for using() ~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tests/test_util.py b/tests/test_util.py index cdb58b3..646a403 100644 --- a/tests/test_util.py +++ b/tests/test_util.py @@ -3,7 +3,7 @@ Test suite for the util module ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - :copyright: Copyright 2006-2017 by the Pygments team, see AUTHORS. + :copyright: Copyright 2006-2019 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ diff --git a/tox.ini b/tox.ini new file mode 100644 index 0000000..2c63c29 --- /dev/null +++ b/tox.ini @@ -0,0 +1,7 @@ +[tox] +envlist = py27, py35, py36, py37 +[testenv] +deps = + nose + coverage +commands = python -d tests/run.py {posargs} -- 2.34.1
  7. 9v&l8SZV($M0 z_^kr+XGoeBkal$ss{%NAm~!uE0zJUSzvjS*S#Y=qaBYJ>p1#R}I1K|a&7VOB0xOgw z5MiePwj()#fexeU&&M zxfTmb$Ua$oz5|kRoHN@3x6d=Ned-nwmw)qP#{iG&TAqB-b$X(x08CE@^>qKpJ&!`& z>j-s#1nhQX3z2{qK|a}Ti4AOMQUXpv&|DgDgGS!h-IWG(u#@xm1^%BhX4@u#>mYL?s{$3F)F!eUW_211cA(Tp=B_FrBmorimx@4lb{>QkSPzTkE zu3ZjBxc)oQvScg~D5E{|^SR4cRNqm)Y187ZV3tQw^(jg9#hYP1i|pB;(-y72hrKgV zm@HcVB)S$x>wkc5r*O?_qqIU0XZ6ow78xy_f&(S!$Ab8+-vgc;&cz|>SN;r|yr9dz z(aa+CWc~^Cx%H&J?RCLGPlu#wK;tE*HOY*9YdX&vSX4or=68;xa2`hCW&R903Rs&QQ6Tds3TD{MVE*4$eGNcM zyntapIbq8qBG}N2eegt#c^!7@?*^nH3DRZw$0GdcOJlfRst=M~h;V5KeK;seRjq@s=>J>DOScTBjUxxGHwDO5YFMy_ zC30*rv|#OgUx3P{*37AO;X1dK&06Pf{+QDuYQt(dUDn-wl1h!x!RbvKzhAcT`{0jf z9;apW_ha(bETea>r5bs8HKl@8^dzsQU%D(aNnVZqhAg7*6|vGJul_oM6Esl7*3iGg z8Hv%22JmWGcRkK0*U;7P9B43H5KnSBIeE3q!3eMZDq2>&+J-V({;zVEuXweieA9`= zqhQwXYWXS2s~gEBbOI^5gpL)lxc1}hv5CxNaqa1^_x4f!AjK3&n#LsN^-#6pZ2~*C zt3?ulZf($n;}m*7`H( z$Y33FM25`giA*k<-5cPyicJZUrp4xPMWb~eiM}6Aew!rU`fbWtx%5ySl-BgH?dWVl zZ1q=<<2ZnEtok$PI3gZ7;z;IA9L+eKLDc`3hfz84SWEQB;X3;U9EIdv=4)wm;--V5Ijn8RKljaOpZ+!x?rDfDtTgyOmHux_--rs(CBgwpvin5pmQ<(_XPN@ zpnfMLO@n$b#q@jolYD+A|E4LQ^$mkBK85BB;*)-d82K?o{^R}(8hNZi4&=$aLEemR z8QlCttcAshEpGlAIhEoXdUtO>^Ul#7BekynN_}8#3tdds+YeWhb+ncEB7L}aAJ-{I zwuo|1y>p~mp)2!od9=S;8XCfP4Gort`|yuE~^d3Eq)B6Qk zFvn;f|QHz^<9kz_XaVcOtJA|sMy4bjgB+NhBo-GexeZ#b}$wuP6kQ6VXQPSIofO^O{$KwxfO(xdZjwf z;EY6d8xy5EF6DAU9aO5rYtZG^tBy|wc&%W506j>7*}8<67uCe$m_dmSx}?{D zOg|OGm;I$P$k!mqkNPuckkQ>7Ad~q#Am>sXKM(L*!TdBNO@q0ahq0eWdj;`7eh(Px z*CEt@@@LRcqsuvlWvd?i1kDqqvi$ z923VxQ8t-jEbOd#CsZ&#AP4ZtC5~)18jV@?YH7GOSgPZD;C9{s<2s;I5Qj6KG#A~_ zB%e$@Ykq@9-q&yyp>)iR=?yoNP`(0cHgSZqOE&bC!Asc5M@uE8wCyxcDrZH_K(lP- zxcLw_hEUd~Xk~>nQY*T1qG;u-xSUW26|HnR7@?Jyp=CLVMzQ1(2x?XkH{^Ce5le>y zrd^9(Fl&gV{1ieg(QAuX_OW*+43kAH--E7&5zBX?+bN7^)+lw8m3aPTWqe8dUooQ! zS|l9@O;8e8`SW|jlf_LqWPRM9K~Kx*zHfB1XeOC|J9^%Fn)&SjuND9K26~VJx|3%9 z7iN%P$6x`sfQcd1pSoNE(>hbeRIw4uZ3fzmgoyH)AyC_%rBeA}TqeN#;#7&A^$# zWba1cEuv^GmcJB_p>%JMWcGNq{!8}?$thW1EHpA!?J2_>8hXpc#rk~&E4z+bVph9T zA3a@(7+R&rSGawJ>)=h331e%H$9s_0tK2%bT52EUcP1W_r6+!lu7&A| zr_t>c&IRvhU0L4}7ZQKQ95QSw0f$M@Z?LfEFQ2E7yKx}#$_7hQepeXrdl2!3{tOy%^gRdS zWIhk#x#Yx20e&mhb|NHA!~DRgoalrFKRMkb6~z8&Nj2|GPr$(v=b|qKvDxoEhv{t? zrVaiKI!svI9AP5!CQN2P%+Lu>s(z%Om9uohiSoEQt$73QFEXIDj^egTeV|wy8yy{~ z*6HEGo`F(j7~bPn-riFlt>cT?cY(DXE(arA_Dg74PSq|%qn-8_x!q7i*5QU}@8Sz!)(~0wDTK(P z?-r4LguOGtm@Fc@#~Xqf)<4nhbRydW&-hb-c$-qp1LKCsVge46{H-A7`^)F~`U5z4 zwfi&Z`5GPejdK=}CG&q*v4II`CtO~%Hq?{WvujN5<--WA!4ec`I0fzShQi z28yNHYK>g(d_bg8uusQ4sz&FRfo=M8V{`YY z+=uIKJEvCG2bor?(@ywg1?~iO6^kdA`$}Vj^&-5#ySw|8(qOIJ-QCsJ*43q7T21d` zUcI`Aihw4ufh)O`nOur&HrME1#G_b0wKAeSF_Sli>Tyq~fba}l4gKknfOJ==h5dXmt0SN})0(5Tw5d zfa*QQetTng>-@i1vIn$$U3liu&C|()i1!bv)a*AFLgP^j2+Z!>;u%BLQvE%xktC=A z`g;#Ywh;aOzW|1{tMpKd_4h*t@azcP4uO#!Av@hxyXSm1p#5A9hV>MuSD7-45NavF zp<2@OWiADr1hJX8rGQSUw}aOJ((Z?{%rr0l_lj7-VN7hWCB(EIU<9?I9u@RQo^EJZ zI1lg&BQvr4p*C|yu(RlluT7Nd@8fcEs(zP)5!HV#T9(sL1j;Bt_1xtvx$h|7v}th` zm^E@=ehQKM=(HvG&t~sT6edgV-;Az>?OVMO-A>V=?UEAUF*soF@HnPyz&tmIdoi<& zTQV&NPbMpfcly2JDeF-jLEq-jpcN2SBZmSa^EaaRt>4Z1T!7a~oqh&ANCE#Pw3{Wb zf7-E){?_1)c$?OO!m3xtdf?Ry^ly4k7X4f=!S9gE6{)XdNitH$;T9NO%Zg?pd4|=c zU1U_*s!;ca-hR3imeAS;pHwJ_?M`IxKc^X5m#fskq_^q15uOMk!bBLa( z>nOU8-zAqW^mS6YBfE0xSt%$jHvzHx8^PIv_@}>m9LL8oj(_uK&~ZdOa>S9$n>d;w zK4V$qI)t275OCB!b8L&HKUU;EvM0$iK9Zh#Nbg{T&04Z(?IFFzU_EQ#Z}yNLdJQWj z_Pwx;&_jBAR(g*%C-eqjYHgTPdPV$HE%%L8D?7z;SW4oAo-7+Moo%1cvm{ac2WVt* zlr!4`x6iL&`_wJM*YFfyc1-)}g=O;POQ7}>$Cp=0ipr~2!NmE<%+0f?z2+J8e3yGP zGBrb`>j0(Q$+B0Pu*smxB>1T}8`sqIYA;BRJHsAa2g> zh~m@^M@+jHmw{QsspY2-PL1AMoO+18GvSylPW>!!d|oHz>hSQ;r?%b1`Rhlo&#<&p9k(->~!Xvf?M@8NSX%t z0nsfoKD3l%q_IsmPN`XF>hGpY9E6S(#0&hcbBw-)G1||cLB|N|nj=PJ-o(fZgc;29 zUDy^aB4`oMeXR{O2FM)kO8eO$^b(2Ci}86jVQ zTU{pYd=*qIi+0+aAF7U{CtoCcV9G0!FW-tU{Z?-fM#evYJb!AX2I+TRuPHt>vzA=y zxggF^p?K>p5Lr$pUI8H;GEg=a4O*{&puM_Id<8_c3{SO}d!4U;P+8>bA1Djmcc!xN z-DWj_NI`?$FV~xt50Ug8Hwe!@cXRQq(Q>t7CLGibQc z@f>iI`8;sv(jeyt_^kjx7m}s{-pmC`LujucF7tc9Q2z{}?)PWVP@~H^peFMMYBSWu z=@brDZ<2%6pHxTCGqEKl&O#}HH>Y;TdBPd67hqqt8hPY;WT>Cse_R_a_bh{)%ix}P zd>OJ?;GUrko5L*)^Bq9+287m{38M?$r?kc&J^v+GP`q}=OPULJdg7LyOeIkku8`XY%KSctL0(15&^kxWoUG;JOsxqvEkMS zDz(+chwnS1TrU;RqRWJfr<8gsgO&P@;^H&TI%P?5Mb}YnXOESuJC>bWDQ{Z_i`Bz5 zurowlxwr`$ccl+*5JTqt@Mk)T=a#E@2ouiGEiZN!UtSs>D^+(CR~)r`dD~)NfS14y zdAVGC_DHf+Ct?~T9`y|pym9ro>M57Xvw7$*G~@QhQMp?Md(cE)2U21E z@0ry8_kuG==+edMz8a1Bmx*DC$P*aOWm?#wh?AMsNRVnIBGf2?UCY)$I7Q4huO z+s%<}h!u&oHP&~HyUv6MHoDf*u`4(;EB%HiCF>(J8&-O85b3MH8SB20!NHMjIG~h< zcN9nZ=y(3I?XvQYZ6noQ7-@!JoPqU=GQ1v_j&pUG$1dT7OtmruM~%0Z;aj+Mv;&{p zw8ok?7`z56TdFXgw8aQv@qk zxb31Sgu?%;+nAbuAq8B7e>u!hm2xf7FisesJsrLA znlTXXeKU38S@0)R2R?@o&+ummg!p`di|PrvrgjyCu= z)(HMhb%KwwR`7*&OhOzX!*`fJ8qCc8g5fLrGo*$ueF75Opi_w_ez6;I%ok%1lh1Qd zuQY5h-2!q`wH)qPu1U|Axg35PRMxp1t`-rqmc#c?Sq`rnCaza}U5nwWgz>%M>cIF) zSJheyPj@h}5H6iDAT|!6h44(2ku-;;7bDhR)8OY;H3cG%o6xZ&|X2j*zW;D zJq4jY)}KK`jV|Yan#>!h&5)O|1pbKX2$Dla&vCE9rRt6FB&~cjos>B}HBrOosy7zb zo^f*Vq&1t@o~oZ(?}Mkd&2gJ%vvZRc7fXmQ#6Lk3B#g%l_H+bWSI>u{qQj0sJRQYM zI=mHpcG6)*F2+Yz58K%5+wn*0b3kGW>rxq;Cq2{ILX&i-5gg4J-MOAK(hR$xwRGn$ zww{yjxZH}+og2}zoF^GPZsSC?-k-Z{MRXixoAxTM0keka$WKY4BOZn!Ao9jlbl74x zuV?QJ?vurAzKE`cF`F--+bQGy9@XABoB0kVmr=rBIY5GbD2ONgCG*fT8;726`7`Ko z9$oc~P}U|sng1O6+j<7`#{jR@g!()5AO&E2f$GKt#(Uht7{eP^;de%v-nfbx3StT* z@`RXy-W!45&7VO7jV|W^n#|_`Iv00Y7~r>p`h^Hw3etgTjo;MR-qP-aw zF=+)CFEZItgtxy|hTysu-sz>fbQA|F{R4yWf7_rr2Aq5xv7jcvx%S*jud89xN8qH!=n*?r{B1_baNfUjw^78{5`xufyIJq^|KM zd=Kp2bX7aym9+SdS~>~=;4T}&E#bl1vW=bP?e$vMKz(Qs4n?%#B7XOV5^Nr$yxTZlScM%=>vzS$%z=?tqJxP8Bhqcy4WCLK#lWh+@P_=QCtyon9mFwuw zJ=u|T9sP;-+RQ1Ar``F{$-#F$k$y`upIvoaPc#uPTz=5eFajPn`IIAD$ipW83i;$j zOH$jzW41{n@d3GuR!a$vqD@;B&q2976sk`lO9|+&wUqD|2keuzlyD3n zl{Gw|+e&_|Ku}ZU*Iu>J!7;p~6E;cYbjf3^Scmy!v?9qkWHLoTyv*+lkNgMYAhgz> zK?^Q)-Zx%Ziwk6a89Ltj#f6>#uNCCo=s^nPN5bO5Cc3d_V@I)TBM71TNEL48>Dmao z1E+2LXL)#QrJB5RxrTOSNS2TlScmW%;ea*rm0b{H{wi~1ix}C<{TXy*5u+TDCG&YA zn`<@WmH@w1Y;S_3X|cV8mMb=O%1$Lez@b3k&&#$0&#uvH-pm*LF9;$h;s(LrsCJQD z9l1!BFfqkx_B^qLaN88`MO+Kw-Tp{$lUs;Q?p}We-Q+NIIhq`qH%-n=C>g6a9asx% zvBX-vS%@1_(DQZk!1aTT(aUXA-wsG=aC;0(EQl}03QlK^Ff}lOharO6XHc0J0DPy5U8Gw5O`8y?tisAnUYSOEwS_kA6e()_J!qCle>$q(cVE#)3if z=r^1)!(p4Bt-*)4)${E-9UXSV(`eOYHS085^ACBiCN(2Zxz)_!_ zC!azGhj*Bw==-5k^|G;1J!Fr^gc(?lgmwa>!xH%8VVZP8?g&iYq7!nPU?t=7L9eXq z?Q@=x>+S2Z3?%g(dJ1Em_rdVJB37UuH1lZq=?IH8Aw&l-dnAf&7@x`+X#je0LgXc$ z{)S$_C*<5ea&Sp)UBD&e6nZY#B0}%wXjw@I8^mb&1G&prYR^%=>A+$wnB{J&J|)#& z^5JkC!y-G$SPM)3tz&mhKqgE6y&hf5SRJzD-__`LiWZqxqmC9=ez##389tqYBPZy` zg1Fu90ke$dIM?0k&!Bl4y6l@4Ezw8jUxPlkUi5uDz-uK8K7t;k0NoCvuX?$>r_~Ah zBbZr2zPC&Yx39{S41L34r63;ld&q%Ufr0pfKZ6bgRw+jy$b6narXyPyEOF=y6_nyPF62tU+e+C^UtZt4lk$Dp) zGjwWhqX`_2BI;rDE3g*UG-m0V)8#yko6+5yHmuor_UXKdf$#C&wvskdH*Z`918k=a zI6hh)V>9Lf**0UVxA6h6SV^9G0qAX5;hKt9lP@)v6gAb)gH&c;r zhl)A(hZIvE-*MBWo5!kfaAX{6!ZI)pH4(AWq?&jWH-S*fuC|PBxm=5=i3VC$YQhFF+8IBXyL_c49OavKEM5<0dE``|l4?S{3g*X%ctEFdAYCuF z?5<<)4E~cP9v(y2!oZ#K-oijnl?fQ<#x$L!Af`bw zPqZ296A|k@{24UX=yeXP$$TEHbLoRa1N>HK7ZJJ?wB-vCWXp}ea6x{X6bcJzBPJ`4 zCYAvyIf~*~bh99i@mGu^_)?7Ea(@OLK|~@)1j)RKpcyAKq{D-%bLquFOFFDEB)@wz zjV381S3*mIp%-WouYTs#3+Eu9mWqk$g~g#h=wMEhKF3tTB_8xyC8*p|B`k2N1XXWa z8($)2&7qSFXqz7(tL_R0mbZWC^+krf_q z<<&K8MG;{XsJSjOUP|M>b(6!olg>JwHnNh}B`YqOCkyvV@e}MK@Bp``hhYWqV&flS z^49EO9k~(X?G>Gv2sW|cU2F2mjxHJ1t}6Zj=YX`0r0zstVJP(OVzt>unv|Wp->yfR zP;IAV=RXions7<>T#%5R`?@QxXV|o#Il?sV&dKeblAbR2BGU7p=)V**HjdHa56WG< zQl5_DO$QhM2g>G-sy-!^XQSXmFuIe4)v(0qUma1IEHSzo!1KrxeUR~3#5skvPo)kc zn++dM5iiFaGJGllM^64$5U2agXBx5rXT($e88o>@hkcW$B}K{n(dcsPrRYF_*Ge3f z(SsD2_rZNE+QzD`lz+;J*V=5y{1WtbN)7*k#PDB8%lJ3krV8Sfeor|dXJbIN`ZMT& zVAXO2gv{p&NG`p4dw}06Bs(E#T1fZ_N}MZ_(v%;T#Gm!B8vT-EBJRU-3gQEP&o~4d zF$C}NXV4+Qs^ka(nKvOY!()cvT#mJ{RGuX`m#isvZzQsDyrs8j?C9zl86ts6Gq4es zd#ov1trkB)g})ptA}S=kW#~9eC0~qrn$5oD0aNQom08Nig0nidZbX;kx-t4PKMMxw zAPYt{c=Bx2;4cyzNKa!i3Arc>2e~+1zU1CgPUb5Iu9@-XHh2!UK^=9UJcMh0z4DOL zWXnTN1{I6%IMHw1vna58-hmN_9smlEhyV1ohm`H2zd=5Wd%dDrc?dOe$-`G@(0#pG z$wLR}NRMu&JnRGl7w9x1^qIzRfHZ}?EHB4&IDkx*!io_wb+nlw;gm_ag^=ob_#8rQ!V$x6Gr9U zWwjl(dU+_$)8Bx3Wy}&uIdplyn8 z0=!nxzZX47gB}?>qA&lddoqng`V{7s(9c#W=}*nDlE$2b4Y=CD?;c0t5{$wp{TXx= zus%7WK<4vAAs0>met_R94&Q~OX>mAMKhA@(F#qn99U{rw4>D;S={14$q%wWyS#+%+ ze&w$WN9|IK+AsVWbkwl+Iig19P1MXdn!)U=SPM6^Uy01#dNo^QM+7c&Q_sJtwCfA3GlMS$d$iSv>$Xap{3x4Z3d(PD1Svy885grSZ3%Sw1kQG*9k84hfAB_TBpdh zRzYdG!-?Cl^}|yLp3Z|V<4(}cn7lPRLCZI)s>sSMrq_tzWmIYL24Q(b+HasOB`#zv zDKOD*81cNjLbGFbnpByeK$xrvIap9w@=-@W6CsaX*+ff>VA<3eHCI>JtT2bdv}xgEO@{ zvG`&3*+givWa#hEwJ;g_EV`Ydh4!ziilY{l-DnG33m^f^5u7dm5m2r7%k!gHE#Jgy2~#rv4A!Fc!t@}2HT9anfsiyU7<5E&d*WX9 zPRt^q$5V}vfN_?m8Zisp+30tIu^vUNkMw8ILI%Cgfi;=WgLN+Xd3u1~N`9_~q-khR zJ#%EZoOsvJ6#Q1p6ZwJB7TsvBATIGcz(`+?NMGR3ppiz8b0AIT4bo;L%#e(~P@OW1ah~t0tLbGlWnSlrp_Zd=TT+(lYY)TGv-K+C64$Fo zHxrSVke!bq)bZ+6kv1&9fxq+Ypj!g`o)EUTqk{=yE8PbD=ejH}9_!2o%$=%+ok}<3 zU02P1($QoB)a=I{*+SIphasP(X1BIj&2H78`-Uf_W*uxPJ-V4{_NP!WrsAcSOqDUd9kk^29K$`9hRt#^Az!6K2Fk{vgD4S6y>c%$ z5;1Y_rA+Qol-#>f{pC8yxX?@?8$ms9?>zHm#kC_tqotm@x_(%Xx&bM9ju126N>lGN z_~W6yBv$vtd9uHjgM z5Lwe~uuwgmGm;E?Lqez~Z`uF=bkyBHa-eChJ(f$zDNkLlMdaxsv@E%F0?4Qj>vH>` z1ggUa(^*9k%o>3zKRE>IK8`jN)uvbzOPe0T?wX)XmNwmju7zpSOVRBVZQ5QP?g3c} zxA($1Bpg3v6Ed2#ikW3FlW94cf|3jH__28}(<VP3H4p zolA>86yUc)`?HWV6>ZX@`NZhA(OyA()9(R8eGNkWHGc*THM*PwYBF!2HltpK7(E|r zVa-C87+s0C1Hzsnkccy1rpEx@pTox@wh#B?{v~c-$~POBGm29%v(-4|XQ=)kVg*Lk z<)Xmy^lUaK=AJ2$CbGYJu-2k6VMgl3d1@Qes;eysu_|#vSa1R)-Q~lJaH533)1VqA z+;IGq(0OflL%9i^C%plkH-m#=#s>49BLtZZg&Xg+*m!kw@r%9{-Xo1Gx>Oq97N$0p zJkm%exRw<1BN3d~14{HcXh?c=GezGy zP%)?IQ$#sS^rh|zj%*o$x8DU`%%}<)pYls?VJaUi`R8>vp#i092HD0!TLYr%GOP*C z(+t;3+pRt>6DtKM6T?dZBNDB7{kI>9B>5oQMqSsFH=V9W%+%kx8;L8hO~Ydko-TpX z6gMWfVe-~!y;a)dmsLxn175kOst20y$OK5Wa59~Z<&h~P6oa-T%LuGBSw=A(fS2RXIZgZc$D9yM&H> zHI|*wk{JSIK5nTqnuP%)>XRP=j% zLT|P1$?Jz}_0n)pxt2pdEc42TsMY2r#J+AfsVg@lBc9^c63WoelE=4x#2Ja7esCgX z#BaE)P$$)+buK3(GU7kcvLrxh$nv;Fiso;*T~SiP;fm=8;t4Qoq=fvGloH~rFttV= zv_t!pW`TG}h5j7OD52lW zPR5uwV%ZDg6@IrE{dXYxoBSCx`dE(~=#%+8=;sm=^#H#W{+C12H2j+p64#@>g1FZ2 z0Ym*xg!*cK1`Rd3oC9hyZ=g0qUxtv_8*5>)c1uW{F)ks&&ABNt@!ptQ6D}q`?1@Ut z#01u?MPg#lO7Ag7N8kaihOH%v@OfIb+&5OO>=X}Su?Za^odF#&7oIFpluXCV3@?r! zp&iFVl-b<43%m!rfNpfVuAcabqd5fVi6V^1h|0C~B;3O%k{Bd9oW||phIEwoy25`=gyLzgs=KxWZ1wl*qa8OWWMFo}hSUg}^JXTlnL_9$8zyohwSJz{`*Z+GjB3?vh zMr1_RRByY#Kc5d%U6m0pUVL91-)}@@Chbuz!{puBqdJ$|X0Jn3rj8?QRjta7G;LV5 z;^xzG6YB#bocE@>LoCjAtTit~5RLiVtql?naM{QiN!ENgr8STDU?LR5{I)k8HxLqg z{akKN-Dz_;raL#IWx<=R5Xb!(%k760ofbcI2aeW(St&YinYItSIs^WD!5;Z@T76oc z4HO^kV#*Y2XeiT5xe7XjQ>9E_iLQky)0@z3hcKc|D%~}cs`L$*RfIU1lA{|@qC)gW zzemhc-is6FZT<|3sACm;bE~09$@~rId-oOT0|8!3MRXr}-~b+98V0w~i3h-76HG$? zY0NC4mr3C?B&B5N>D6NiD~0H@eh)bi@54ZR(w{*E0;`lG5M(}2AaaS*9|!m?L-7Mh z>I%i->X|OFks$r-mx48&8kQYbIabl1(1k+u2fx!Co%dsO{@b5HMF(q{BRXVWM@NsU z8G80*SPNtRGxY4a;;ba1s0mGM8%GZ`>d0iP(rnSA>h)%r^VH-~Z;BTk*Q_Pl01GZz zg>7DvfN{WR_B;{F%o=8uY)zRFTVY2UTVe6aoBLl_v7}@@CJQ*>Ja2ld9xb>GDJ zc)ihT7NI?j6yXhZgB5zR#dvkRQfyY>EsW(>WvDn_E5kz@uxlbiA1$|<^3dh{_THG_ zibfl;*})Tu@xy5NZsLb>;9MANW{xb}@IbtN@i%#=A5M9?_rU1Nl1!7my~oKQfYxHT ze$>nds0GHW6d=c6Xvr2L$DhYo5=akrD#xLgwgaOND$spnp_JnmUgvJTnR2`h6|-*d zNv)D4$B%Z&@ri-mVIo`>vUhuk$EIWmly6AyOgB@4TezgrY#&L2Tihs!Lz8vX5{X!G zL(gV?-1(uiP?ukw%n9Q|dbXJbtXVVgZh;99gB!B&79KM;7JfZLM5UyAt!@CS+1W?~Kiz1JXZs}ODQyUG#y zBt~SNKZA-0)-6Xw$b6oNX_}NW&6h0m z@TJ@YYV47yS{5H-F`*A^wyl=|qT3Tr#YOYU{UJ`M2+p9yx=@-G@AAYzDP);h=;EV{ zXQL)6Z3<&_J}Hck%|uMN%F1{K8Q1K&%|E16@CUH0lnNFefQYg^I3|g0W-H;A`&n$c zs;$H%?=2!2Rd}5j2;AD%-jO;ymKz>SJU-E=6zc;~Ww6y-Z&WK|^y|>>vGQnja9IyM zy0CYk+{CnSZ`t5PqXB7(!16Unf%9f4)+_3C2_bfb}rOUvkCPtD$so+vlQwU zO6l%%nL>T;n;k-3s-3;l&?~U-tf`MR>j5t~hoqp(PPWPJQqoT6>TG`6REHYvM1U@= zeT?JX--8>!cxZNqFLY-TDB zSVRd5(Wu`Oo-4kJ!&cRwL2*xX-8aG+%8blkj(&GvncW=VwNwE&q6ZGZjVDxU4SXAB z5Kt!{T1l4*(Hs1wGsur4$glHfP#~kbIY1`!c|gu3w(bw`Tf+Q)Na}+5D7E7Vb3|`* zq*ALjE6pZ6b33iNj&LPa_l3`*FYp>QzxN!buVI)z;m@GLgw@RvCNi(Xq({RH z9d;Sk!XSW#4x`7p5V5TShi<_0oT@u2NSSe_E-qTK1V)f0OBNUF6Rq)yRT~9I7^VX3f;RDFL#dB%OTD;+O8ZcF&@b8SVY08!B?sa9HCY5e@vMAMlZ9%ThpM z%x@oVO*n?_2<2C#2!*x0b>ab`OcIpJ{gK#5uRP zvgh3M;2-naD!c~Rg9hrM%VSl3KjPjQ;VKh?2fQVF=0WZft%WMUOZ9Ma*%LhjzT6b8 zgMY6jE_*us1sxZ6`MwpedL~|xw4R=ljd4c=o?tB7CV3;i)%8EuYN z;JJ^KY!`iEoR$?Ysf=RsWWe5!MT&r(FABhaWA@<(G7nFvP}VUG7++F~+vX6ryXI{n zy3davHzRzPe39ccODd+@m5u$m`&q* z9t--BOk|d)Vl^F8CaCv;U_5IIQ2QMsuh{O0y$a5(o4MJ6UMDv@60d?Yvz{lM&s466eh3z)ke$*hE#M@U=ZjmMt8> zU05brz6ffcMV31|q19|@tYWF?n~+X@Qbzw){$}*@75alvJ|?N=h0?hY|e@Ii&?6fNcO{ z>Ew`B8zC{)SKJ^bl+;a}k(m7SL&4#GQ^;A4VL`1`gdJBov|zLly`Ia+sibVq#gx=5 z&@wA21!BDPx92WhDk)3px=TjagIVrx@>^O-wJm3~pvTAd0wz`4+)e)I0ZS@Is zElgW|4Bd86{8<>7Tec7Kuku7xFH{luk z0A&6n=ymt?)UN`(mNfYn=z#-ldIdF{7eJxZgePo>uBw&nw7VE{e2q1vR0$b)@fz!x z4PX|K&(jt-3V*~X?CZ~IXCD^WbJz zUIMk=<3XbxcSietAehE?LPgz`VmqM%*SLN(0Y{eh5O6|et0kMcDC;_*GQe2U47M+D z3O65#UJ97C=g9b$hlVOcd!p;{w`q=BN%lQdg6?a#Qm9xaRCl}06e@e5VpgFdnO>Gq zalOl_S#E~u6j{dJoq|cda0-eyWJ;EkDU~7|(onXKF;oh@FB!%@RwufWDjkUv)DAdC zYVFXuCYh^8lfDc3)X19nm3v0?AU4xN^nj<8E7fryChv~wSP1U0u-C6zm^ zIMBxs#W72p=umKc6VV77FB5{}an4AC!(l1Gkz&k%1P}Q3iyTuL?VjQia>@;xYcaX; zb+jz$DkB&#{CBwvmukaOxb9lfm%*%78{(TqZKPfgg`-PMY+w}(vGEwYtD`YhVxw?3 zHeUBkfo@CQbuc7#@vdoD=lC=mos8*4;OH{POk62M3;kvDq(2AepA-EV6vaYkeN&Yo zG06Npbh!HxV@-h965{jG0|&J|5VQrff6^EhwEHltKzoi%xTJ*X81{C`LLs``?;D3; zE{5P){tPMvSeYClAoF=bkV{`Q0{oUis6$d$5Ejer5C9eqhr_+r%jvK9E(iRSRv!xk zbE+9MI6cQVx)B|RjZJ@5Ii?3SI(>zL}XHAA_~!CDxToS|G6((NM9 z31XWT0Cx%An6e!AM{rY8PF$HgBX3O<65km)raz+$6`*O5Mt3fn1mEQWQf-M)R; zh;ajms))H6f{p^YFiCFvFnjfdW4sSSV=hl$ZTU5|3-Tc>Ahio3iiKT}{S~C#%=Ayt zbdoq`MwnaOLD=e4oP7$8-wb6mgrv&jG}#J{lR?F-Cb*Im&Tm=58KB_4Zpjv+;JyO+ zM8hXqa7d>L4r*z;$KVhJy08CA1!rL??&xMJxLI#=C^*TS&BhH?$4QJ^anbQGfaYMM zI^Kf&b+p9E+HaC2?VXNWki0d4oiNm#-{F*|6V7RH+jB|N(X#p04k#c6 z9_0o?&4F1-xDC))`a&)(r#`f~8PkUw(Xt@EX;kA*)N(r^b)v-y-Cd(gz${O+@|#5` zre3tgJuj{nu|kGgT*pr9I8BvWyct~!Q;RpE+YTvn0P^LEsJ>`Qyf_=(hWSJ|W63y@ zA_d$-;rE3J$8k9Kz0RLO(Oh)iH%%Jqk<4F@j(1-@-XGw#6fW;a4;+xA_RB#1lbBVY z4*OGPLdKosqj?1&{j}dXj=}L5gHQM~s2E^va>Rhl=ZQfs`S`;CzhxA@2T5H~=;ZP5 ze?WVM=y!e(80sQI{cC>)1vR>y18Op_q1Gc|hN`;`Yhg@Nn#^BuBXn%SXkZz_ni(_##MOI470(6_bTxLdzds))Qv0fD&F8JybEI zftkeYJ&+`&`B!39Qkq{>5Tx-OvtQ_FC4Pq?CcEhk$C}>cbt%=}4mR7HC{8<1B=n-d zre?DwTG_^qS{V-j$pOLFzAo#ueK|`^o|Zj0*0N~WGa8k7wb)cwQ|)O>c6%!N20b07 zwm+@6-5nSoLsj!g{>;RNn#QoNX{Z-mv`JpZ^>+BX@%UpV_uzF@8UGsOJszCRVBe`T|k!tSfW-gh%A1Y=&^dJ`)_HH5T)HNgJ zs*qoKRz?=P7X%UpJ6{-?m$KC1y2xj^X@o8~Y=RTG(8(U+jHI{Ep4@ej$GNmnH|5*# zY;MNZMLva=g``V?8t=3}%bB z$78D2KlXb^HdjTrr40NFy6q6-^9P~-!4s;u7m7KG2kYoyC`YYnrP6ZnM6yD3sNXA| zM^@sHHs7B?NkgoLZ}c-(Ldg7|WW->a+GCt*hwxEfzRmcpJ$o(e*umqw^aE#&U$~|; zx2y>8T7ut;_&LDeA67yT(Kz~V7ONy+~@f-D7ew_9B`BQ zJaFe)^tdX(ZwdI7kkkcuuiW|8%{_S|p}vydH(#0~x1xHv@^Fx&CRU;lZTDB3<9iCm zchsLj#TRkP5nnQ|4snrScLd{ zgw9yri7!Gttv{$t6}}#wTGMA50$%SyTCEkB7KCs+ObWL|O-%uYbw~>QBb^WlXL+Bp zM;kWuQ~cMIZn_6cOzCjp2k`A2cOWM5&ul5&dQZpJtJ;fCRICaR6`UqpRB$qjsGw`y zCn@TmwM0EYRD9BsEksoOJLEG&#hIOo3aE)qRGg_m_l*NmR9N_rJGz;o;x|ySNfZ?q zmz%p`WfK-@yCfViVB;X zF;OuaElW|61U24i7v}asiVBMly1hsHfmtmo#J3PpfsPxZVmf=L<1tmDVhy?$wyAnP zy6xb#Jr(YMNow1N*+kULR2;B~62NItzb8C*oQK2Mv-}wp^Fh~rBc357$o#qJclWnc zw+DDFdF>c_-~b%AR4Z4DH)n8O zzaSiVre_6?4a2)1U=_U5z^zj_H`X&)AA`He@IW3sv3vUj?8sKjHSt2T;@XJ@+;P+x zt;55+tF7W-c??dM?S@AS(sl8;gbKals?@Wtg)ZO&@@l(_6YwB!d>%J`r8nQ;ORier z$S6D&4-TuLrSjk)JPHyDh2o*a`c}NDv4?XkY!=60Zx|kKh)095EP69mQIylH!@GS) z%HUId6yKvY07+W)VtMB_xqd$Xilbq7`u}y1p1kh1j$zXM%;^bp@dqz5`}NRJcPI~|Luk{cy)l+lJ&k4J#YX%7o^9C zcp(&knp7TlU{2XfL^}M}cyw|*nZFRd$?q8BzX|cb-Je0>k2T4GKbg;ie=gnep#Z;S z1pXD0x*~A0)E%l#<1rfjWJK|6T8W$(kV|QkptQOleG#24M34BZ$8p?@ar~@5gNh^K zkt2>|UdK_7q#0`EIamv;T9KO<&!CZpSLN|#Q3C9}cueBY6NM%>FM`TcVVfyZCwSxU zJSeM;3zb`JT9|K#O4QQaxIq7t8yE4nLnSgN4}r}T*Nu$}{Yz@oV%9sMwA7}B@FCx( zMH2VS7Q(Hz4_mEjCqAXHvUI9fDR7!>rNGH7n-+A9`ywfY#RyYkY7I~dCtI?a#Lo5j zmlGhL=s3hGF7H$+Kuv5);c^AKum4M>U?Dl~=w>Q~KB(B_ZCdm`bFh|2Cb(|_EGbK! zYlK)jdCUEY3TLF|Q=MFau#-y*byMz7*xZZ>gkiKSsE0V4P;zXA@L=jynMDO#L%`?LDad3K%KZD{i=&WxXGxPwNe*-$)eLe6pArdgoZO#IZ$@V+JSYL@)KjF`yutu+QU`^)pV4aKm|4)G5674@i zQWx5DB#Q^c(-gl)UsROP{D}h)9sDjR0nXyVho_S<_%A^4=lC-y@Uap(z$fz>d_A~j z5cXRUCo5sUVhOCA_dl~fP^3Ga>a`-?dWFwSE-rfJn)QqM)`szkfm(Io>e4`cqBaCK zcU1>Q=@io7aJ3iS!?}E*Uf(u4+_-8}-zjIUSbpKAO_%m9Uw82Zt1dk4j1|k*tl6}2 z%f%bF^ufJVJ>rF->RxWXg*|g00har#W6f4$Vz5PzLu~GbSB;MLz^=nceJ5@PzElOH8@g?pf<1#(rlF*E%>oHF(8tc68j0T9b)b$Z0IXqw5dSN`$Ev%E^d76C zSZ7qvEQw2x(f?KWRC7Jb9kYb0In~n?m8!|ID3s0yc|5B-ZNU^rE6B(A15`G5yX^_+ z_%PTJ&`#j~EV$3FUgKx+_2K}{4557#wk4THx70#`g`B&io2hF57AiK0s&;+f`Yx$j zWoa)|tv1o2s=bR_L8x4ms`gIKNX=)*yufGp1_af|jMKWi;a*^P{;7 zm#WrMxNg(Y?O>MYEcq=&)uP9Ss=b4~(}9>ORr>_G7N%;yj&3_7*R)zQrD}hM>7}a0 z%N(MJt`wr5`^)ATp@xIgPyHDb*+*x6p@dDq}@)PYs@cW1*Y6i3V8K>VyG0d=+Ry4=7^-=Nf((^4onP z1V&>gXq%{+r49h7KRuRHkf)t>7BhkI3fBENvoyY`)l%Ere`d%~;YT)$E*X zTJQU4D$spxSCUQ3oat`6nPl^wP_fA*n-k@3kxgl7Z^$N_=#XrFj9Wn{i<4yY!<>bJX1rs5D|g|NY+4G}Z8~}Y%xbbJzJ-uY^w=Pq z53+YU5K~1q|A4NAko+#v^q0b5MTgG7vBz46> zy%v^mjGwB4pVG|qSh?R~Pp{UrJ*hZ^(Kd7q-iqk23`gx6j9SH?K}8K~pCf8yUPn!j zml^!^zhqal@YiKXKl|5Dlw)V80KHPZF^rE;PjS}Fr_bGtco_sVzJYOcMnNhx)4&%! zcoFgY2M?HPUxBiU4TOW`1_B-kla(=d0nkktcg!bEw+mJTgj>9nPeUtDN^SWywLJf3 zw4Yj@7o`H{&v7Sr641jVdKL{; zww5Pqt<{`KzR+yZ$hBPT5w2ndPS>l1(U%YaF&P|Y_cYO>AI0{O%>zbW2FQLPAl-{# z=){|duSYyTM;S(LRjvsv4ZXU45)gw19kZ${o4f!XJ|#T7TY1=?rNX9K-*k+kwn0O{ zuL6L$OIx4c?#N0cIXy!lrn?S5a`#}rkuGhwITz1%?Kn>(a0YJVE42y=8 zZFq;-@;)u%%ANL#8*#sXsM^H4=!UKm&+TvO9=ohKimx0SsKCZ~tqOm^t$HGn=%U4) zBlRZSp%?GB%@I5mP#mjMPVVNFp;Ga}-9_1{i{)BP+JeCi?lLsf_4I717l$jjU$2UV zIjd@Y^sxm9|-`(L1C|FEV!v!#?FIhZXnMzibGxHDC)NsU=k z?+6bK(WMx=LbNUbZuJh$8xiic0&dng?G0V+DY6yLZ=c?oS>5V7wg8oUj;JKh-R*ga zj;$g(H`YgW;nd{&1F*#=FH4)>o~6bMZOHhIQ)Z91Dq1(|Xhmnd#(3N5OFA2HFjr!* z*^7Y&`0bSY!JUDkwc8UdygM>l3qZDK(BQo%dIo&CDOv~rUQ4p!bofh7c5MxQcC>I7 z6(d-7IyH;ZkcYFV*n!K=v>l8qelHx`=+lsL9oe!R<}NC89r^75>*TE?uiUU{(;Apj z^Q%| z!ZD=uc*83duf^eXx<7+jEkpNxBb~9*Oy++fBh}@V=92=vmMfemAa)MW@mPZe?o%;~ z=p%4g9}_UnbgY50!1A!)3C8+$i1jLe28A_xodat!p9kw)>&blqeoM4BLsA#o^X2-m zY{BUT-UcXaVrL*?9ZSAffdRT2r{n&p@+VVCE}mSK4MuS zUQ0{Fa^{OIk^+dO(TO2kDyG+MF2Xm7Lqd6q9fWF6O&;>+<+b_k>1fYr&Eh*Caswi1 ztRu!3k5B3ka6yG@f0U0vd@%oGJwHa4A-3+#78U*BH&EPgO+R|XG-pee4>RDXSk!&GbK<<+X3b~ z6zIOeLTUjEg>gqWQw#hADmHmq;M5KE`j|LRl}8R_yr3XquyaK)FJ-AiQScXT8lh}k z9yIwQXQVdu?#UGebKk34aHyN|poz`Rm?-!&)#$XEFAn7Gmfry{5hiaH-=r!f)*V?t3UwZSErS;Q`#mV*~j zGFX}Pd&Tp}TX9Ic*q=dBC9H;T^fUAUnO}+C1MldvKBxqEEg9?*;r0E3(B2yvS>Gy--z6;@Q`ZFlF(eWH`lleSw=aL9}0{oVM|2-si0j^$9 zfcS~#o_s-pzLM4t>SY5ujXSqutGV)UkfXO_B?{5q{%Ui4@5cDP*`Gnh7jeoFUox-b zs|VK%~7_8se-Z@<;51#y)PHS*GJErnbqRhC`IJtjAK#?kVJvwnhZ9L+^S*Z=v zf)E@9DLCTwH01`?ASpMFaN#3dWwFtt%^G?m{%cBdd>ac)NeGN z%T;Z~Cn#2yPV)*1PLnMtIGIII&^7LlBq;WIAI2qq0wzFE{K?l;=D?G7$C~iCTij0H zb2CH&kb&30LOw%K{8Oib0%~Ft6#t|^_l*HkP*}K+JGz;IVhP|ld4i&JS!LI*JW}FB z_s#;F>Rd$3Hf(e#AI=B7%uzJn0!?k2*i$%%Gm?Dx@Z`#eja*tz`CxN1CLhj2%YqIW zaK=05mAOlo!ogCyZr9NnU{(tU@hwC+pv#7EIE%g0k(essunS#F3}a>^LboNAnn1T5 z-1UG4^fTZ;_>ttVH(@>zuvjtf#SN!QOx%z*lP=?n7fD4#%jh^tr4EACOVyf?Y$Vb_xm%bupt0B!baxvge{lscr3tg8MrS&Qdi*S%8dsMURqWhU|dzqGxi%t zC;AB%Qiy)!cZ>0VAL9Q#e+Gp=)*}c0WM1R1ht3RHP{&$WWx*A*@nqp;02A|FJm!tK z!FvJfyc^+q{7-oYys?*_?Z36&=qVR>!rR}Az^>p)L~sUisJfM2*WNN-F0ixO8i7|8 zG>eUT9sb=~pMcZG=8Fh=#B+a|>cKzb_3?>Xxk2wTShsvrFC9-F0`dkA{lS+Qw93tG z<~s}EG-9(jT$`xyehEHm2%dH{WcXv;{m_KVaE1}yq9ADQqPT!r;73Qbq3MWYLbn|7 z{_MeD=@yY!D3&9PEs|IyOwqDG8IB*jKRP3b3EeUs4I7F@eNSnXqp_TnRuQEGjsKB& ztpy(r(a+oYf2?WnnDGCfD#JL2aW+Se%;AQI!256rRD+IBU-Avk#$rWsw~5na>q|~% z(U)|MgGl=F982E}(3fXgvYC9&^~9Z18B5}%4|b|Ap(Zwc`Je*bH%;USo$el$X*^Md*L3N-pc4eWoW1}#LqEn>MF}z zH0yCLn)*~O9%(U`9JaxYkwqhgktJrI9a*e4iv{?LMoQ|3cCQfb;9Ie86ra3z3Ea|C zgr!1wl}~X|b9n1w^W{EjqRW|!dtLM@>;i@8m7X4i)#!zEH(~OVg}ROQ?!D}%Q1!5O zqH^8^3#j^@_99EWDA_Ef*DKI>82TP3wb*jSJJGUGU3N6%j(jG!BXZ@!;)w3% z(OqCxuUv?4>6MH2Ar>6>#9q>l)xq&(ofuEv#_sCKOx04xkI=QSrHt>R+YVhl4F(p` zbo7zP-(q?ZM7qo|i|7ixOxIsF6Oe~+F8qx@gVJE=tZ&*hmM+NrchTYQFI^Nq5Df9b zkkkb+o`kfZd@^PcD8oreOu#tPNl404h!*;tV5~ohSfA+6ps+@-b6`#8^I)B8z2Tw& zzvX(v1(4K*_Kc17u}V*(Nw=rtuWE=CUl{G^3N%-Up6z#lk^U4SeVIRlLK;2Jfi#)d zNb8|3V`br6vJ(I>aEc!8n=nXxX7zW8>hHjhp!o_pfx2nuGYEt#+E+tKcP+!HyM~!` z_wT`HE8Ue-eiq$5#zl8WDmCK~wu;ZSEreH=vUhaoxYR6!4j7a-+tC#16;5eD+e;17 zi^Q^b7^oL=U?7&gFv|4eJ0s+TtS0nBQ$D!y6BYQYL%oUURm47&Oyc31~xs_5#$_j$Xe+IgD? zNnNx1k+9G_vJ8fYWyNjy&(-1^j@T4FO=1_I6%o;lOdR2e(iftW{C@J>_bASNMSliG z0}WPT1-qx;nM`~a^d**X_JaHxlyk=jBS;Df>epCUl;M+F}$FhtiXbo-$A za~G`cSDL*m7w#bI|zSC|KKm}9)2P3mXxxbQ@+8c%QbFf?Y}0xfWP`10k`$Xu&ry~S+yN=V83iEQr0l*(_=e?e^0 zXvRD0A-SE9g2m#5ZsXB^f(Kf#h;M1Z63v2SvBh-s+)yXKz>3fitphPt>SQ?}SP&$>Y&4i!6UMo^%^{AfM)&Prs#f2Zu)dIAwuZN!GVk@aUr_E?;+2}-^C&ATz>{N zA7hn#qo1Km$oyigL-%#b3j(~BOznB-fg=#dlP*#ClfcM~$SB$p5jhssg3gKGU<_10 z7;E(YGT`8azd9VXCoyW<{25f#u>LusM&|QGEf>RX2ly@Hb~7Y(#qEqFA2y&*Q)3mB zG_O9bBRh&scbHrE**9So3(*~ZP&oSE!|1=>pFu?*QOps2GOwerNAnC0{WPqFmqRz< zF@N~P!Rnx*&|=V&@A`{#=#0b~y??`U3(kn@J{I=bb*sSkhek<4!p=)WpW2f2=_FwMfaKEmOa{ zMP_p7|AC549*16fA-)eYls%^&heNKsPB znCEjwYCON29LBtWO9>_Pk};!ux9qbI9G-^%3_?kM#;EhbScI76O+e#4b46}HBx$z5 zq#Jc~0GQRJS$qp2&FHg1n&+~2Iu=t!n%ARiVJA@5q1z6wb{xEP7T0&7mnL(A zuO%7Vi5@rtb0DqeLu}x4aC9gch*w}%5r{dss16B5I)=TSvQUWHe&09*KgST<% z^4R5TtFRV~>lmS2_9W|RgHqg1=Y00Sq@fNu?Mi^n?CSA`Xtt;Xr|sp8)R1Nrpo{gJ zXTeqoOmrsX6TzdIYd;_mZaAZt!s=9e&W=h0cjL==qv4+D zdi-q~)WpU__fw$z+Mr~jmWkBe1~ZxHnNYFGTTxxLp$|ltd6=NMNW5DBUS?+HoN#1% zq4h#3WvX*NdXU9dhm>?9H;houCzn~D$r-6d9XvUd^hz!z)IGV(YI83}N!O!gF}Ygc zjCa;3w-=I?TD;KhJh~XnYE~+~g|Jd|-C(7cuy;BVQ^iVeMAtHg7Ia(A$Je9V4r(+L z7LMR>LXwQW7PE+$sT3Tjh%OeQTm2sJEISK_tv&t>YL-QpeIuN~LCO4e=yUfu==%e_ zmO$T&9yowD?zGZp(LatE1kA~M&*)MiddOcogFG8S{+K_50vX-S0Wz7-19C2Y`DB3K z66SA1QWwlK=`O!~xB2}y+ABoA_Itok_aM~2@Mlm^qsuvhC0;;20T>*bJ3pmNE84Oo!n+m{){hu1tv^kdT3Ir)?CXC;jem6b{2Ee9NCfMFH!R zBMM|bPZV;|(7y!uE#vSfNa~8iA@U|K-9yr=oCl@Ss6|f86%J2y$Ooa=LUa&(c=|9$ z`?5v*B`h>&?55j}Qhu+`fTJcBM8_hB8g7Vf}OyYG7bEaIE`c<((skw)tj zf!0d=Fp3Lfr$-@f%2OXZ)elZ*eV2M86Q{{u;pAkN6;8Uw^`nXJ5=&$Q*y$Qewh(su zbjFfqvST`Br%)5y3g==UhHlC^mpPaDNUCYCbFO-r z-9Z|ZIyqPRa&9M~oKRBM>p3Geuw|1&S#RM|Lfw;;)#hG|vfhA}#U$&(G~R*VlG_!@ zTrIBXwjaG1%xdN;zJ)MX^xt5v*Rgjx98<+yKZ34>G1m{G+YXvE6F8D()$KS~L%s+rWcb|{`EWm5YgPuYU96(!FJ^zdu z1kA}*Pr6iy{^Bp4K|T>d{-ZyG0vX-S0Wz7-19C39dGv>Z+w&2S)CF@VtDeizULorB zd%#eigitT`XHZb1%Q>JX^BQVBl4bD7`>-t;#LnQ6EA?KvvFd3dmZ>Gs4sz;oVw1pi z1AZ8eg)z>Bh_I@Yk8$daa5v-RG}(-klUW!iUE}%@;~ccKfdIyNl_gsU<9t42Ni)#m zP8lcE#Kt%mE6{yyRWeS?gy?RonT+#QP_fBloXh)a6OB>4vwkm6cgF2>&M*&58iGln z6K44qZV#cYiklHuqx8@=mlYX3iv*@ecZt+%8B)X>mcf z?dbJjRx?WRErd~`-v*<+oxRgxm?}p34RkGxQ9h1tJBZHQCiXSBzu$Uq(oZp`h+85d zhb^Ks@D6FeKRh2V$ARl7{tRk9#tQhxID=o3`Nz=p?(@sp9}b3nCM0#iJ_FV{o2f^< z9f>(4!KCYqa$996L`VBQV4znZ(1-goD4@~h96*!#JV58-m}ds~EvfuzkkkcrCmeG< z+ABoQ^n1WiuSBTV`ZFk~(d8UalX(rb9@{cF=9gp_P)`LlIHs{#Zay=L=DDJH;Foo0 zJtHx<)u<-Wi|`{ilROo1RG#~phLcFggKXJE=lpTD zVWUIp_;1_*Lb;iwjvwTV)NeWCV$|`&Xj#mO28{8}_|4pmxc` zIuVB}qU(jI2a5H&fEBfYYJK6xh(-+OA2!$0_T7mceVwX@P`HT(=o5;Bd|LzQi_D^4(*P| zZ4{z2{qAuT&c!I4>d&B}fc41{1u~x}3c0A{M_O2fP3 z=_?$bsEWlFqG7+I9Fg-dB7^=6Dk50391$V&IwE>p%wU{9m)*$1I1ksWn%E3eB-43a zV|25?=;ip4n^#_dXes}ayzHq{~He1e-rD5aB(^J|=u+Ed@;FwUQHDWUF3#%Xge#yG!$ zmc?wEMl;@1f1le4Njxo1=r$fb3T8F&6yHLKCwguW&#$m|IuKJuJPZGZlTYSmlDh5Z zU`Xnkf%nI`!?FW@GUgF6<0Krah_1q$r~E$fRL=z)WDTDQRaFoVE3xdl#_3en~M(i!AuBFNA3XHX!cyE#B6^Laqd#VNN3 z_$^@`gQPB)J6WE*0qqr{>-`=u)R!XEFY#wkP@~H^peFMgYCV=^ki|Jz3xmfQ%accF zvWW9p`eq+@0^RHHLi>g2Es0_>#~{XQBjTkzOwz^lD}?=z-Q=C>I9YU?vMobGFZ0?XMx^5ERtU&j*JjoO-6P&x{WirL@LB+C|Vn^>? ztHBPoe*ak3d)K=1fc*4(*U*8G_pXtnsrRl4r!=7LBWsmiaNh*IZCJk74Bi<64`DLj zEi4n+UVhg38`ak7@c{;P`Pjrwq-JUUt(8y2TRL5Lj~H>vwo>Iw`5vp$NWVbs6hKKt=SPjmv-4esi0f)mlp;GawPWnUl=b7JA);Vtny=g{rZGPTf) z@Mb`HY5`&|-|W1UVkKHi#$z223V5tT`gsp{p`>l3WgYqo4a@r`=N{8Yhk|Ys;$qCV z?$(xgw%Dbdkw*PWfS{v_s}`SrwwSogv8FEY0xl(|ptHFb6LcHUvLLM{j`8BR6hUlMDds5VKoeO_Y8JeM`fzi-A(9Pn7X?G-F8S0IfhWI z_-J5sE9Ma4lL-d{d5yXPaxc&$H!V#4UKkB=D2j?BLdUGBcJyDz|NDLLMU z9=Kq(9zJ;pb4Y3DJbdygzXuHTl?e35{TUR{=yDFA$$TE5bE&%@1o$nXeiD+ppzcK7 z{T}TVqTl*GV5nb!Q2)xGK|zf!=YX2bYpC_`W|@McSBuvYy##Av%r=I)TO6leG_{!| zpja!94NsJZ^<8s0a_F()$d3_@hvSFghE-v1YB@Di72udu|rU6rz(EOPX^AI@f>JV<-a(bYI()`p+^2 zy4z-^{(BBo%&Pw+K{F{cR2_#&rou(nd$&i+wOXrO+ZLt)Wl4MYlC%Y>Tkez-h7R;Q zoYI2AISp?6_!??Kl7gVfdZDR+*fMn%lOCCr1R;tyN@{j@fJZVSY-Wo_3L{I*{yMT) zNiG5WqLGsNp}mAg67i<(KCWqbY^d0%K;x|p@le8h^?9=BvE;?r@C(t4JpBeM&jLnb+HsPmlh=Gd59pHo3H~tqLUNx|A;^Z4bBNo z@&?XGBhk*3COOL46Zl^2tRx(IFkgt?#iixcCN?)?+T@LBS+K4Iq;U@(%j5Sv!p*{?=2&iX>1dMZ=vlOBu{7x{|V~F*k{tOCh^g0LDWIhkpxwOh@0e(xZ zvI>&A&{j|HP%S)twrQSx@`p;H7I;#>b3CGTSYRQ##P1@gx|i;^5*`fEEV&ryZebZ`>b*k(WtdCkCK4v$%fT0*v1*waP@F zTSNm}go=SrjOiEG@!*^iW1J>?Gmeu%)sh=^bd76CaQ(dnt^hIiDoeHyF?KVz5Sm?E zor*E2iS5`{OM&j|TT+Z!CVO{uGsW10P%*0*ljL;oB+@A?6!I|YMNS4(ired)QXiN! z)FG$-BDafBvMLX5JmE?Cvq6HB@M+OdKL}}rsNWXtPn_P_p+u{BUieF%bd}E-&tI7N{tU~vB^%((P zOQv@!df*T-bTerR_De8_fPFe_0JJn25O<$KhC;N??*RjS4FY|!KZ61qUCseCna=}s zE>gWUz;6llAS87`eXyj=-0;(^dVVsAVr}UQy4_!mWfr1celIy3FT!xN{25d@uv$67 zLFRQh^mv%TtM|cLm?T$IwkGjvo)Vc@@7%ew#9)>LtG-In&Ym^(u~wrxFo8>d1G|fT zt;*K&SaCzS)f%amo5c$znypgLWtE+cYO7Tl!z3H4wI)8buT^OTu<~0I4#$s#Eb0&R zS4DU>r`Mg0sN|iVFtz1*5W|2t6LKV;2?70)hRHk#g4F;XZLVs!kI8~qILu4lvpE8K zZMz-&QOv(G`@alYklb(C`_X@~A-YO|z0quPY@du~#_3ffv%1ky2Ssk6h_ zJ<4)2wQnRG(onXS(7us4L&$?jFYMU#${m|twPIo(DwMfs4~cUX?fX2P??Yw( zRo{kZr}vMt6LF`CPW%K-&?mr$XW5x{jE=+P-Pti(ym10N_lw&duu+sgGdjAXr1Pi6 zEczL;Kh$FyfkxiR=FmlmiNOxsJNJprq4PN-jV`yQgzTY~CeGVJ_KO@@8ZIy65^{=H zn`<%gdLddCEZoE}UVMM<;-zr46t6pHbT*jP!c}}r3)l7`7WCr#Kv)Sw$)3yZ>Ud0* zlD!sP3)=^}8r^nDsIiIW=#HdveHG>q!J8oxaNHugR*3%AUq17PSK}=9N`D5$*wJC% zgl8yKGQSgD?!Hp}rvR^|e0n>2;D8yob1XPNh*>1gA_3z}J3y4B5Pit+1Y`ZTi1h>h z3<_)XItSKdJ`dKp#Ol`r{FZ2c6_Ps9?%*Xv|B3br(SP_oV5t8Nq5iQygMu1e&H*)< z*HG*6EklU5uolKtV{GskFQr6MK=T^Y0-iKHsknJY2R=l2&A|_&K=<4R<0vEd8bnU{ zniLet9g0<@Rnk4JC!A^Ga>AL+vJpbXaQ$d@TmY3zjdN-bfT?c8lJQ%kIeidnUUWMNra;#ilK7^@G|YhiLi7PEKzE$PQ9 zkq3sN@VJ^16~ZlzY5N#MRM2U((H&M9A=BxjXrnv0C!^euTnBqxu48D&C56MrO&4xj z&!*LCk1rW_qNs^2x)5#mbO>}5kDHBQ^6rR--ad+sS3OWF5D@7DhjgW6m`RHW^fyFA z91ye8p^Dgp-~^4d2^H~ooRNl=ccoOs;VB+0>aODLyv~89(elk)PEIXhb1j|ugp(kF&9_z?Vm7aJQT?^9_ zpFy`>M7JrbP2uACI(h=LhzQPR2jf;H_{vjFuvaQJiWXn`e5#8YEX9jpYjk zmRI6O&^&1>lDiO9RS!4=#zR;8n#(GK_2IGV)s-ey0q)Kot&bHi=v%XHU9qx#qPn9D zkI`#2i}kH^z22w}SI5e=VxO#QeZ0~rx9W{%spq0P7#XX#;8;IoY`{$fhyj}KMV4$Knr|0lNfh9oPBkCY#HRV~QK0)q0IB&bjKnHp>=TY@Slh=XWgpEQto*dbRVlUb zqm(>??uGO_uuoP@_@V}$q!qAy~@h10B_cCVz}=P-G9q}__k$~(8zw^hcx;!acv z)Lr^D!{SmYW-2Z4(CZL^H#cFYLy7lG1Sx3hN=Ur_OyvCn>mVdT0?xPx2j=!b$~=n)x)Vk}f#S8y6W=T{FRg_Ika3-d zbuo0_Pc7k@DxJ3!;7iVL=0t^VOCG!kk#_LlX^rwu(RP?AMQ3Ar5kb1laT8Yx(YgMz zndW`~XRfpS85GS$XMK~Lq43E3$>?zR72b0Lyp|9@8$ED9Y<+ND6*CBolMk+=OND5g zzjOxqJ_LE#pFx3)?&biQ%;y0)m!f-lfZr14zk#GKn2(P?&R7kUy)B$#nrVf1Y-V~j zo_bR0uJHzR4c>C=uM9`+evH~J{tPN=So<7NBl9|HdW_6afD5n|#>{2tr`LmiYEn8HbE_&$mxW)u!I!U@Nn)z%1Ur+zh$!l6mJ*61%4i(5vj%^u<<#YVXbZ;LBd zb`4g>Th;nlvp59LW*QqVj+Yxv{HzKQ7ApuIhz#1ZXDdAJyjX1(;pjFNT^SMz1Mgm1 zn!`tZ!ZBYqh}i>4l=Qt&hghnv+R{NORJ0E?DSyo07U=#aET8Ugx^hxc<;LBf+;S|4 zW;RytK>vyzNHy7**gxiip`j`^EYR89 z$03v}8{oUNb-2?LTa-2;zv~pb{Mp>@lmj!U9qP>F!PD}3@cc<^#@e^`q!wetYo_Q! z3atGWBx7|}UZnPe{>bA|8^3Y6VIw7VmHh*XeH|DUT`Jq~4zmybB%sr72g7Qp7I`=N zxQtxOvZyY%Z<%XZ+W`RUT9#ZN*t<2RWm_t{TH$LMCn$zmB1+UO!BbUmRjkBjI$y__ zZPhn5^EUOb4D7Zzc6`g7`JdqIX9|pF2>d{Za~XoLNlP`9!SI8 zTXL5!*Doxk>-HSI7|iPR3-K+zei5AjT`qRBCc11aRb0p3=_pLqQpHEmwXiMe52D*H z9gSOZVo>GTJ$eK)h)7MR>l`F;30^esFP#bHr;t%R?9ZSS8M^Bm#f-%YGXDU2-2KIh zp9Od=RrFKnfdgbb;Mz*V{AbJ}V1`GWU;@UO4!BYlc;CF=3C8-*WGtyvuNncyaUBqVjAJqMfY^6^@^I+k9b=+PGyl`wze07NUWghEvE`^4aX2EkwA z&!E7^O5^~a%xm!V@RqUka33}?T6(|{3&?@7_ON)t#)(>O0jiZs16U($zm6?z6-TPW zBQ^NH1-IVphC3?9hJ|c_m4M|>UUxg@dGfk9#&SJ*-EH=K8qUoE&W-qCEQPoyK-?QS zS8$pQ4!wg+z1b%jKwZ}!?=GdAh6oJ?)d z35PV4?E@vn>J^O3*pO3KL|fULvzO-+0~C({lnAw?A`ql8^nTYB9<|{FIcQ*MJZ`j?py+)X1y^d;o&3g0K_F75j zPt#%aGh|z@$28I*AHEkc2^tO(eE40Qk(k(bQhYdle-~6+wF3J^jw~_Jk8lY&d9cm3 z7!Q6AS{Bp3iDA6>hjSM%iLj-3-C3izgIP_4#kVvOw%q&$<5i3qVmx1N@c}WDO*B!F(X`-d(-aX4AA-aAtW~4U_R7bvu6^mQ#qX@O#D~_&SDQ zi$8-30ahhP2*|t+fgbQOsPT7Yw-6jNPK}R<9r&RWMKIevYdy~(?gSd9s|8HE@FQrB zGb!&A2$*uwhlHfOmOj-$x!pIFocp)HKL=_zH!W8E@b{2=ydm)%e>D8Lp=wQx}df) zm*X*mow?AZLUe+^bO!nR2=cN13<_j)HwVaMJ`c#bn9I2VeoN-E8j`wTRu4#%4Li+U z6t#)9e0cYpWIXC;-P)gpr4^!0e*ZWSKfpj->d&A8ffdRT2r{n&p+~w5{_?o&9BNI$ zSlR1+2CRp`c^*8j0BfO*vV86qzWsc2ZTa{xuAQhy@Gz&znM>ojUEo>AkK9D($B3+| zi|=lCeY*lHGRrf2<=qioz4PG)OY8&ge0ZrPn|YwL?RW>vwTvarOiuwda4(fcFNM2B z+H>T4?aM<$m7zV+_4wN~$DI#PDbRgwR5DA;MCop$nauJ%P_fBlmZxm4H(SGS+6NvH z*sRwoVGJ|<*k5Fr7E7Ho%|q?xI%J%m;MNgJ>o$pZHGGsaQnUI)_ZjDxxr9*H#{4jf`12I+1^Uvs7 z#_)n}%X#__=(dA-E*S^fxvV%Y`_1-RFTBDXJE8tf{gNc7b06l==CQ&7kH|nFng@0~ zHQ^ck7dQkS;Lo6Dbga5>v^4lDng6YfGE8fGpaZJ2XApAg__rCqwP&w|6S(;PSAL0+ z@e9|Kn)cEFuVug%A+nBuS^4YPn8D6p=@Q(h;4hs){x1aiEPnEwLlcex>ivOh^opWuwtg7!ZQ`c}U=J=(Wy6(3BU`ozs%?(#Hg{+vq) zbxjgen`<#*`faoozNiPZTTGF43(1ScI^EsHo&NAszA$qRAbO!l<5ai4K85GFqZVr&i zd>)WVhk@z^{ZjtIVfaIAr*J3>LkLbIYG&O=ez!1qjGI!yUVp^Gp&){YQGrMi#X9`4pBr`;617SvU%k1!9i$^ zKZBY{(OKVkWe`X*zZ@OzK7kwz@LDpPe)PZrG2T35LAi-p1j=yp3?^Wl>E;>AQivw} zPB7L7AlBRc85Gv&bq=h_d>*WG@yLG&@LQt&w~*9@wmS0%@TCc)xKn0VFNXtezLvZ* z7J%q(ETIs+)$bF7KL^2olRtw3A1jdqd@`@W*MnOIWn6}}uv5kbnlfHisg?1e2*qbj zRN(o9>&s)q6XoFwuStd^<)#}wgk{3R786CgXAu~v7{hspq4GL*ug}u{%V5j@9OJ!V zwfbU*6Ea|>R)6Q4mYSv(?USu{6 zvx--kE%3WSkL${3<6i{UWm;V0oz*nF%j4 z+YZO#pm;2@pXj)%v_iHQ_qhg6lYOp%lUbhVNY}WnQRw#lLOOH-l>N`Xh&#?T{2ua& zE=Bz7kWMK()P$PHbK#Uj%%1E3zL7yvb_*kMM>mtQF9jSYk+S#g6=hes_kyx(6EF&N zLfJ0>fXuoHOm< zV-|rjQ1%$k;)PC_1s(+EcY?7#3bFoxKZC*=z0QF(na_iDF3SFu0KX;LUxuVEv=wCs zK;4v`8@;CNu>eF*VF~cYCcjS%{?Q2j_x%|Z_*jV?;FEa`z8>5%DEm0pf+#zVSMi&A zwrkGb*J?}*wk8_zc)bK`FRqzrG~kKlwcX2LF&G|*SHrvG>E`$z@oaMWymP!e9-dH6 zPnS{0YsHiIiWh9`TNhg|o`RC~2-fpO3hXTWFvN^|{xucFaTsC+sxNkuR9WT>ckD7r z)rsKqvxpn@idIb zyZjkcJg{at;z8!~#3L6``+R`kG9sUaq^^jllc0&Hq)D4P>X}HWcI#c2IbhL~=tUv= zj^A$%&_WE*H~kq@fUu%D0z~F@fb?LQLFPuV76xT8w(J*cE?3!A9vz39o#4^OO?v3@ z1U>6mV#mv{IdW(m_xlpzxDS39j)ZZiC5Wi1Lvqvp(2bRycsrK7X{WfNv~p!?3DBJ= zz6R({2aACAi(E&V2M>b^CP(*xRltKS*~~q6*WFDAGM+TCE$eU5{ozHEE@DwmLs;sza{&Y;F>vgitOI zUd9=zEuGtat~$gegt{h|2W_s!xatGy>v z;8i$i-R{qzCSY{lH@+E+mCWCSj(4B2elWmm$&VgD4;+v?mJL6LSp?cy%Z8u#JHc3= zf>?jXpFv@bUgyA?%;&)RmTCLIJ{J7f&P7Xq8c+cV`;Q^13wHJXMQreCE_#66;K!?q zfX05)js7oKNFn;O-z^TrsThdg`!lFOU_EjKg3Rkc=z%YTb>4urAhL&JS$qj`qnht} z@pR~-E%>n*Uz@R^I@qW;>swn3#j^*I}TrG>99gj@09r73t+pjGcN z&y!x}H49JJG!4_&Y|}a{$A6i^ax8x2Cb4H=fD|OLBL(ZWz|#e4)n*G%$8M|a-dS%9 zHG$F&)+UDFEhL*Rx^N9WmXR;PZP}H^4p?%HW&Mm!^)~eOjA|2d?70ai^`w8Ba#47^Xs}jpV#iNEs0-@>k07;QC}|sM0fN4QWWLzA1q?=G(iYD&erxxbM(FZp z^YTpLo0_-OSB4O57NS=pEq|&5aZcRY&ZCb?xYg=D&`U)G!k(HBf4IQzUKFXDcQw1=RM}npePwSo`aH+ z`H!O8-Pa+%3GiAH;$NZ%4!GyS%K1=zSrIBLd5%=#cH6zRADiFBqgB)1sv}SAI1; zB=O&!D1=Xyu49nb%R)18;`#`LOJiiX#}y?WMK&qO?97 zg<^+p3H}niooqRr8;0Sjh_4ZBiV1EJtUXW1eEKw%{0=pLHX>%sxsiF=xa}=UMQjGS zqzj<={3;Ks>NK*)Ml9DDb*>Q z)IhiAk?JJTne5u)5@|?vNp^DR)+38X3L{I*k#A&i;;~R8CG|u5a6=Pfh1Mu!CFOHo z=N=P%7n^S(`nIR_qiem8?wgprI~wuqKGS8dRx|?!nsG&n_?rnzY1?THiFFClkO$k0 zb*LrxdrXZMp<+#LWBm^TPZJ^yGv$<)OfRIw08uldFB!KC8cXNrc2{m~+1!n3%YD$Y z5GFQ!<8B<6+YPBPEpF&e8vPzH)f!WLvuMm?Gn-mmYhtwwt@%ew)TT;no{g@BY0Wbc zZwK{0s5!W-*fiUgXj*(@QgkWi7NNBy=E#b4g=mA{FQy>R!P#(~KZ9b$SP|dEY3zZK z`P0z-?rYC#fY(y_Y()e6Vu_pLz*Fx_B8VsqHFw)G5%K| z{yY5{6#iI~9Qc#@Jox9*p0@`0EhBIXBy~k#xv4N?9RYTO@y&9v-z?fQSAI2a_vXu` zd)Yg&Duw7Cf8{yK&&4R;?a!d1jA-SEGMU#=)&p{e_FRRvF!Z3IJ=X~Bi6fHYKdic9 zp(qVkTO$(#rD~njXtO@i7_7jHx`*VwxS4|VGYJRcf^=1XU*7UnVCTki>YhsT@0UG6 zP*OEvL`c@-rDS!1V2W3)UQ)a+@WUu7!L)yFtSHUz+o=mFS^GV7ASG*sTcG>q7GvS! z=AFC%4L2F7%=X1?{z`1~s+IZ0ZTc);TD8hFK5?r|%}+h4d{qgqaaE~7O#ceTDSo~% zK-}(Q$rd7R|HQ4HSmz5n7q`}PcrR3-`$i8bZY^}m9o^J7cPaVrEuN-qERr*JxzYI2-8E7!W6KJ%TcU?AxG=%u8zi3$-bDe9t} zFf@$c;gpgQ&S`MlCy|m7$3J0IjGgw>nu05?(vz-%^bQTu`7zF=hQ?7xNL2uem9gRK zSf#nJI9hIOn;2K)Z*M>zIPtA=ao3CP!hTSQ-s0&-O7px4lXpk+oPGg3<*rt4ta?Uk z)GvNi>_Gx8bvBGr%4Av*VGTkQ(Lokl9ZI8z5VWANJ0Xoe#u;glx-lhV>0REXj$+-3#@T3p3Loq)JqmGbSIBK1ZKGl%WoFFbfgo|xN5>0 z8LH_c?6eNlRH>%Fple~O=}+jkgVN6$fo{2UY||=Fn~5v}))lGXHxSA?W(ue2-RdfY(w#EkWEIVB>9T7O2m~ETZ4QZEKi- zai*tTQI)(AFHIrkMS}y zICh9>G~CfR+ABn3eh(PxYZ23>8eeG8hAW@5c_^sS3j#P(7YVd!nS)3R{-ZWGk!V{f4Vc!gM_4M;> z-Y?eZaLa6d(zXWHat-`^HKJ|Im?PKofu?y0ztlW8H|DM`fTp(B`J*uMGFdL%p*Fw0 zFtED1obW8!^*g{oP}OZVgR0A;dfk(^Ui%umMbTn$lU5S!%$CHh`#Nmhsy!v8WqPM8 ztt_2s;=&x|yo-*HAI5s+4?s@3h{kSm!Oe?*~&ls0lh?p_8kz4xpi{a}AIfQ*bKo zDwWix9m;WEZrG>gFw@GYn#`ym%v@Cc`0@8SAeOhi0B=@&? zpxb%0FPPQbUwlh*|L8X`IYuwXiE?Ii6MRJIwn6fzv3EKeQ$_MGMAyPd{?pNI2k)F_ zA)S|DdJ!zT%pr>CN+H_fFPmqHn{mL}?9ZS$5IXA{+YD+?=FdTgfh=^H+K&f#Ey?C6 zdfSf><}aN=eg%U3Vt)n&GP;`sWHO%zjV|Yan#^mc^%$1H>CeJim|U5gS-W~kWvtSu z4)*kyuuA+o)=-zWy$2HNdy1f@h0O9?e@e9gxY9uz(4FRLr$_$_Eiv5!!n)@_#Ji{OWi{$ZtDdaVVtz7C$3ETC zJOb7O&bDL=Sr0e^@)_#^ukCz2zj9^&8L3oS z$W*Nj+>fq> ztqr^%-F67qMYI7pC_3V@B7JL)S6f@Fjf(gxuZg*s2lD8XXjB9>+y)0*WG_UY_Sb@` z;q5pKe!`zYsWPm#Z^SfK8OZ#-SgY=@GW;OGYbk%9L=POnvaT}x1~b@K8R$|W`mMio z2KkK$@~`|E6v*gq4v@)w9*}dbG92=a;65=QlDc4CNarKt9Yg=5dPjO|@noHdJCy!O zbmXH)CA+^=Mhl<}Pe`Mj*!gFS%WjjM?If?hDatz^rD>;#&w~ zM*j`Qd<%Q0!!lKj`B8K&j4^)^-FA?$^P}d{LB*FN?x4nR&82FtUsf~{s2`I|`ukXz z2>IUI=Ef0`*+TR~f30~)eLD`RPx><`x_~hGMqYzqlljkMZM)B~_xomWaQ}iHID%_s z*aw4c2g9aIh3HU!=?wBa5ajv(3<_j)HwVaMJ`c#b81{+)zon4rMbsQHzbAf|lF>=H zXAyDU)$6=uyWq5{x}JP6N6c*EnqgO6Ni+R-IZOI$^k1>K|6^Y3q3j}TN`>e`f8*m` zb`SQl^ZXf9FT>WFqnD9+-OKdEnW20>D@Q))6e;ENobD)}XYzC;6w_Ylp8>?YYx-xK zKN6&Wu+;wz{gY9W@yY z+W91xmQ(H6+>EK6`_ZzXzHvl(?5CdfSZ)WT#<4h{+j#WPU{-4!@hwE-px1`Rc`tjX zgE3Va=fBXkFpcw{=(dBO9mF(7aiFAV+4l*KoFy@bFQRntm~u$&@rwtu_v2vpmw<}A zUEJ<~74eOEh6*9`KgG&-Uxgg+ucmsI#W4t=1O9pVpanS4BA)Iyo_f;4at;1ml@gxs z2MHPYcG^ZETIqL>qwoQYLa#rAB2ZYL98ng7%m zXaA~;q-8?vS$*F8ie}`@#UYOduquV9-(Pu-@_iWPEBzT%lo72QQ6}>`%6jb0pvga$ zUDI;+(p~b{VXX9I%QQ{1JCgj_w`hpV+&hFNgsN|t<-o(Yj?F$8-jb9 z%FSZ`mio5JSZNd7)YRxN6^mO&;Ci{%tQX-eRomzZR6YGFz_HSHj#LLn@U>KQ4y{=p zg%``xxk=5r)rm;+!S?z$0RB1*n8C5*rz8)x)lxMQHRx)ebl;~r^JY&_)rm)oq4-k+ zckbL-l5i^)RjN0Jmz&2Lu_DpdH|?{}rTY?lKf@XfI5Fi3hpt2WG^+_;Joi{oHEt`L z8;iHX@&Ka|Xb%X*fApXTG=Ovq0zfrC+|h`%-L^A{#rJrNC|H}Ks>Z?TcB)II+Pv3O z8`UOkmPDgiAkV|n!!n2K_2F7YoGV_g3eRj8M;9}?4G(1P%w!gnGRGAj>mI06$3!TgOsZlA9Q<<_5rh6u8MD} z^ZgA-p_`){@P4+`4Q}YTAy@Zf?{qw-O0Hgju7%0f^U!UFUOI9YJj6l{-ph*kMU94H zZq%pNo`qIK5Hm7yI3r3A4-57C$j7R%*;GRh z9O1BTqP+w&2;`GD!_lQe^iqH64Du%sDhAEn$8=Bz3`j zN=$~DJs^2O^@g-UU71YEy(oD>*-&h=gPgis-HX*KMDOtf!4ZE5BmPhR3@YM?T#ks7 zc^z>*>}Dv{GqDyV-*6<2A7$IzoedpD0QTlF8;`J?VoEghPzNX39`}cU6cLvCzp;~( zQM;aghEKKHS?ZUBGWu!WTG{q)lCESnB5v59#)hq$lCQ-|Vr-ei-GyZmjb{ae9hW5d3JD?WpLw^&CcoZ!D$COX2?$iD9zQJV1~SaGZG{F`s6a?Q@OO9 z4B6&pj3J+dmcXYEQRO}zY~o07ZK~%`!gu4 z(d!&olleSY=iJX^BQVB zlw}al%dr;5zJc+8w-fb*CLaU4TpS>W_Zy9l!_)4@TKwjWptRgnqn~4`h3IFA!rjvx zE{>~bR6m9&D!*X?^LhL3vkyP*Eqzn)R6R&++IP}pZNQDgf1#MWk#Ieq`j3nyO*3DG zncrp@~)RiOLYqokFVSrZP5d@#9SKT~-c?H~XB#2VdM@<7yM}p!u3ekgp_c#jQ!YJJD z&!D1!^~n(hGM^_3xk%^Z0e;IkJO)W!aX2crF4{ZDYZ2+S)gxRKREcy@h zr4T*k_nyP_Z4A>7{TWo4u(~cJ0#8oQ@Tlbt?^kSQm9SV*#D8OPmNWRj(m!RN^%OQ&jl^DMw+ZBBEe-^)|f z^AfIgOpwkFj(UP1oxvGtGXBNn5~OupT26vwb2CPe&O*y#_)me#eT2x-b8`D2$&tke z-QJ^BU{;eO@hyZLq2mTQI+eZC@t7)d)I`_9$k8~u?U=cN97)Bkx1q1c^h}PLdXA3h zN+G(zUp9~TKgPl9rTz?Ryhmq!W1K;b$owce+mt;eto{t{s=9Q>uAC$VC)1+UK#Rc8gqs3rW za~AO}gtMUE24`8y-sx~m6=#XiwJ^>yjBYz7)WTr1xpas+-?Ae99aYDove09i#axXR zMEo2XIE)dcEkrN!`^WRZuW)eN<)W<@tTJM{FX5Pf7HDRoE=5AKMo{> zWRgGvGz4V1fItG7$-*KaAc!DK5|Bhh6qw26CNq`E%rN(612>STqSrklDDI-Ds5}&& z``@Qe1>C`h>+_)^D9>HoaN&2(sj5@e-Bn#xH}_`vzt86x=60P^-};`@Ro~NH-Tg&q z>ZAD(de?-V^85qkefiSP!Q4QfB>aPQrp##t+nE#O2M90|-wO|kWA`VF-FLzUI(EoG zLhQ)BiJh4^D}>Sjj>039&M$3=vzGVhFHgbZOL|~?cEtUaQOYIF+7UOq-v*_xK+Uv% zG{qXNO*{WAIOEcOIP5cGKb-l-mgy((W;e?O@}XjEJ^f6c9@upKi|LIIgQ zP%1Nsv-+=?)jE$t>8doXV+rf|N7+qm5z1vZ}MB51#XRwm4C(PSOjh9*s~d(iN$2;*q0-;I30T}!uANLxr3lx z>l3S`h@IHDdTUX`z8S4$;2e!Pe1h6#;>Iv8p2!}@iR?{b1HC-sKtl7KrC-VY)d;`y z`t^%<=};!V67HQz-f3ST`HNu#O)|nwAer2!kesAne-?pT z(fj~3_0hZsi%-n(vRa*;JFb`h!mlRP|AJj*;!k049EF`R3cn8<=qTW55~4uvO%%-3 zSD{2-gro2%(Q|Ai+OOBpe)Vv2=h}osK2b-&v*Zya)!~J>7}dQsLbhjiKQb=~;a()$-TA`o!ygIY+QCwDWZG2+-G- z03BjO5njYA8~hL$QN_ix8>bY7L-8-ZHMrEekvPe7ci^rvXxR;6?(6Ay-a zXOd?k$q$4LG|31zfn;)@LUNKS-RbAidH+vj%|r8CR-H20%evI)R{M0A(<=RL5`J&M z2Gs5uhRCs)g|XN@Y@lO-V@ikxxi_&eQ(}cSeJj%D(Wa-LE?<09`ZWC+D_6od1=kLb z4mV-@e*-tkN!s9TEL)o9l`K+|!WSDm;K>kwtR#LZ{zJ$^3m8o59D+SC1bWaRZk4oh ztOR~;E2Y(Pz}!7)g_ra$7#dH}Rn?dDw6pd_6<&cdUfNVtgVB*LT++Lo4QUBKu)B)t z3hphGkQ%1?YMBdWPEie@XSpKe2<3BvsBymq%?pb4+DSyNjx&>Y~Kq}zA( zZUORZ=^yLPagPC`qcmN^GZ)op(O4R0o37qrZ*=wUDA(0H_wY#7W zd2IR@(;P;8S zoZfs9dX@`okyD=E=?uFm8G@3W4hSaK#b$78B&YlNuR%V7h(AS3k9Y-b5ia(_GG z?!54PC<3)oL_b3W9>Sf%^UrAD7M^qnwmyY>XOa&=k{=BlXp#|b0?Fh)h2$jRx%b1- zc|8}J`e-&+=wx!2wWqlfXQ#h?g--o$5`I2*n29685IGiyVk`~~8|YZzm=a<^?oBMr zlvklZKZ?obQK030j4RE;yhHafo`qby)#&Vg8@G*i%=>vh_m`|%xaxSp>$Ph)UkN_JF8TqFNcA5PmM0N_QOVgl(yocr{%1D z9h%#si|a%?w~^lltl1}2v?EQPYe&%`X#fqldW%~$e+e1#zcLvNneCnGd8#ppM)O3yVZ;I zmxCIMPVK+EXJB+qt)HT3oHW)A4@}et`o_lw$HwRbL(~A<^Sy2LNg#^Ze7M7HKBmNh zuzmw}2Qey^K+b);t}R;auQB1Mi{FSj!7vTq zb4m!893p?=Mq}l5x@CS*YjtbdM1AeXiQ#SH1qcLQA=bc8!^m&fsGv{4AvWsv5DH6;R%>xhBwt43wy@!vu*PB4t%z4q`tOU+c?@B+emkPR&OQa z5&9~e&VtG5oEa9Mg5Ur#pTK{tdC6aA!0E%B9-HU1G7$O`N)9pXx2vou?*q);t0;T* zM)$~a@=*U{aoSV+wWQf~-)X)4XJoJr@MvZU%0o#Led2@S4cjLo~24Q zZ1QAx{5x5o2S3*-C z%?Ia8J$&rVsu#=mF%GP3uf;*2l{qzDkI*vl+VEgFYNue-UKKXbQNz(EM2*~=sF~@o zqS%{27Yq4*nJx1h(;U{Ph;M;V!1mhxrW(*9&jI>J-H($pKY3Vk9F6J4Z{sVo2% zs0uAihg}Kapo&%5!W3%dAh;5v>6#b1min_)4%~&^mTE8w21@At{Ndt!uWAHYU5r*` z^6?3A8lDh31#<<$@VSNR0C|Sb7erPCHB%MzL{7>Rz>i)x$EYmo$CFg<=rRwn9ua42&Y)JT1q}4Jg@IaI z=Vn@H^r1S!(PakLExjU`xSTyJ&w8biF2Ec*(r;H;fF1Zq zHw&<1&)hV2#l|{+`XI6nt9VUt!D0L5*d8TMAg0>6y>|>S7TVhq_f|;wzmY`>;L`$Ud%tU9|`N|)+4m)`AC05VF+P+Du0ymb~0?aW*jm>E#Ql&VJy4=FT=@f zYuG?5QUsr%NXdO2fp@-GyDqWXhfHsouX{rS?-7-e-Inpo= zrh7Tk{r<3lrW=7L&`s`B=uRrz?ux*z2>%i^^%1@&J<4Dudbv`YQ*5I3K=}a2MEnT* z%)}4EC^;M}FdX-X4RkngSP9`E_a+=>2CS&ZR^uovC1_nUFMmx|E{RgWl^zw{IEocl z;lYQVeqP(R=iPaa6;ZyF-_Cn_i z`#$;GFfsz=8enf%GcCH@2RTCGVC+8=2Zj-IXr7Ou*)MFMLxV$12o1S6p)oUIMJ;eM zrlGqQ=sgQ|!K@t~sW)mH8*qDtF6W*}6Nlc~gTFSmHek~ozYHeP%JBI#$>%Bfj|Ipt zpQPrZ4TQ@tM`ra{p15jsr6cwcYV>@ES&SMzkGZ6kYoI+f`lcB>feMBHYfbUU(gDqW zC|Q*(b*!lVWUZ8?FN6_xPnI4dv;E=?BUJxJD$|N*q4WOQ7pJ=y9kr=X-^d9PEBur` zeJvYOW=(WgpT3KGi-oE5sS9RKpS~VF%T>6@DbGwWLb&&s3_(d$2LzMr;+5dmNL2Y( zj6_A;mPBnaIunk`lBi!rXmJwtE`;q-PCZ+^SE+x9W-@4YD-M{T2JmUGFcMyx*WncQ z{jh;vnh|uPW7@z%}ZHss8y=( zFb7GTj3_d3QW!S}Xcz-@eAqw-2nU)FAaZX4WTwLko%#iJ?hG9+mjLUUb@?%AxjLp@ zv(}6J_FS^Q1gW;PtF`kW`I7Zun0~rsjeYKjOV$-*>*;6mbXrc=zZ5Q5Z%Q4N>woj`emI&877>Xzn-rz8cDG^=+b~0s2IgGVeB6ur}CdQg>tL&*4} zTUi-=9tPIE%3v{UTTFb(dBp{5%USz1!OWbOHVcCLIUQn^wkinjWkbrN7j?HFc#wOG zg{cYx7tCBi@E!ClwPlV_UQekS_(O94sv2ig|4IpT%8u%uoGqISg zYGC)@;PO;?5l3IJo(WBTa!I{`cwue*@S24+kg4iL=kmYd$D(XK2%X6|hHW{xg3K~e z3!~C8JfrtK;?i9 zm}jaS$Rrah!XTLIP001RVFS%IqE6tN+^2AzR5`4Vz^&LGf~G#U7vwKmucL*i_~bfp zTP`JzjI`7uF1kI(RlFF1!}hT7P&uZXF{WF?20Et5O+rk`y@{!rZ7Yh6U2zno<8cDb zKd^A6@3rauG7`MeUjErvU$d^hd0=FO9%aC%7T}rmo_>fAH-!JTo+;sW*yQ5n%k-u8 zdc$m=p6A10onihqK=<2tfO7rJKlgBCmv!+;XWcI^xGnRG-sQm$nBR%rl^$-8AYdcPt`t>6fc_y!^K<3wBT%?z}G~B8`OT>P^n%KQ?!7rW$Jl(XM zhaIF>p7lHvU5PW1KKDaYj4D_hTFc*AWx?_|Fwwn&<=AJ^I%s<_WQ3bQGPzG7IjI`?Km=|@^ZTHwkLIIXH3i67 z{Qem2u;0=vGrwGp%#StI0w~_Vg!QwCJrkb}kCwywQVi>Vgbj39k)DLGl6wQFpJ(crXyB?W;0y1lw0-Oc`Et{5D<^ar?Mc zX4LR6{SK=~v9D5zArU}{fv-&3(zN5mN$xlX8C+z)GSN8sUxvxA(=C+MN&`EVYky~z z8hHQTbyEYMa5mK5BlXn-6AgZ#B63epum3GT4yWyF;k}&R+SJ2~flWDU#0pKNhZnLT z>8~5QtA|hJ-eO@YJ?w&+)5Cq}SuXcZV)+arO}s1_htk9j947z8k>Hjm0QFalCPwg< zCSJhkOiU(A6AvM@I89tf*dB?t2R)!S#3yA@7;iya8NO0e4xOM@nYc2Hi6^;N<0Q8! zY@k zGOm|}_2N_)T41Leat4U+3KGXP9NNks-O2*yQW#hl zFX9(Y-^k*n;v#;*Vf%$kX=Xv)(9R>X4Ni^NYCsh&V{Aw{bxU`PmaDn9SeUA4aly?DA+)%Hy(!v>mUgquJzxlbWEspi=257Bu& z6`J~Jp0;9a)KN!R?9XWxseTgrgQr=;2$<@(A=O#fKvRv76R0NlhH5jTRp|Y9V=lS% z{?p*LwEPT(IqP`?g!xG{=qnVb!uQzZi%9FqACEW@skA{DDSu?Y>8Yx7E$|PIYF?22 zPY;KLWIy60*^m=m)zdbg1&+Dxh! z-*LokI$HnT;0QrP{kP3w7E}Md4(zP@@8%BIe>ZE=L#v0X{~S`)AKl9O?+Y-nZq|SB z62WKC7uq_si3=}9Sq`_S{wsQFv;O-Yr$%gHrRu-$up!kOcXqe_dx(3Bg{kU47tCD! zcRza8^of8SB|(yAEAeGd+(^A+Q%5vWzg_*6uYQZcST19!zphcaR;2pW^^mc|R zm%ZE*?lvkN%9+-W1=;`pZ~&<6#6EY#-KL7M%}lx5^r_Twx$ZU)+c0Kc=9Ok;PRj3Ko@C-){KvYo zQMm&1C_a`SMdi@-*AEvIRPiB@jq119G`gnee> z17VaLj!$DaZVnshaNw{K!a?p$ILusFp}CjhC_I|`s_lKM0&XxwKjwY*sxCd}eK(I# z%JptM=Y4M=rrY-f29996pTKxZ+!Gi-#lA{UU`Pbj4|!Mfyqynu|0$B-^oP7%gCaa{_iqPUpicJXHqh+U46)`?EUaTUnLn9e5(}sg3=rDY%H;jU(t}o%#_42TR z7EuU0G{aff_sM+&QFp#@cz*fo2@BCooR#Qy5RGBJPjCtvJ6An)*1uGXJ19WP0&+Q}|AqHN8~_j`5lt z>pgN@xmwvWSx|IUGT1uV5NK7&g#pjOmb&#^m0lu~{}Ms;_Yz zg{S&D&wkdXU+Wpytq!^0t?&i9nsvF}JkLJMc53cLwDP|LPt*Ubk(F+8^cXNYBc^M3 z#wD%8bHPhsb)nlE*c;v6Sm5~PoO^htr&fYd^~h#goav!?zdQHj)Umm4aTIB;%o@(* zdoYuA?o8$_j#Dv9GI28gLunk+d!T}N6kp4aqH^f^7KcMR`RyuekmmsA?$sd2qTFA( zVPK*uzx&;Rr&RI|+pk3SC_tk`Z>Jd95FPbGF*3}Cq<{aXyT!;=+*>F)HB5Dj!v!-} zjI2k`Qf`$<<&}!&!RwMSsCvYK!Q{JG18z+{BL9l1M-aGGkE~^MCLWVjkKByV;_8ui zBW#aEmS1je&@khldyp%8Q~_7Ozu-iPAWup z{!4Ub{|j03&}`k#km+465Ujfv_89>0&oR4?)B%(88NdT}_X?xraC`^Du}9cIhXaR| z5Ds#0!eQpU3PF7nGUpN0wPx)gxW|uJbWSwk4QyTZn8_T7}NEel*IL)|QjQ z0U(WyeeQ@YL=|J3nWC@HPaT&_Ul+ktCJ`t0cQLVbDov)o9>zS$#5(-PN|(4TL_f%n zqH^e3Upu6L->y<$UjvxCr>{>kd$_F4b&)E2k^f@9({1}&JM;L16|o(~eM58}XeoRC z6!>;_CbqF5DZF2HS8>0OdrMT@E|@vReLZ@Xa>7F`FK9G3emoh8(%lXu<~$Iu1-C|b z%fDiDH^R4c_v;y*iOOW@?r$NqINkkqgzZr$Cy2(;YiMKc!kXZ1mgc91eptXdNL!_g zBK-mEL`J%OpPUSW+-2gY;ZgD;@BmJqKMoscb%V4ds2g&B500|)ivC{_sFkAsJ0kD| z)2Zlp|0@TksOafXCgwnkKq@iGKS7dbg$*>x2seRba-TwSlA>Q2fm_kM09o_U{GR;v zeCy26XOg#pdh&*3{gz&bW%-D0;xn**_dLh&E-$1f3TCaG|9^ngOjD;}nq=bCaANTp z^iw>8P6`|7GYB&=;S3`8<_t26U_}x36*a3t!k3=oxX8W%L2nSV^jmZhb}lb1w8>+8 z-XV4wQf_JMTq$N_(+O4aG{?Gd7^oD(K6gYZRx!4@{Y4J-`1U9qD3qI5rjF57mKA|j zrWL39&oR|?a)okOZCY|@EPrQ}1?HA6fub1Dq=pu=uAi^tBCnELW?V69zob1LADr*nEJ%(Tm>WlZX7+a zarM^R0sKKuo#qb1Khe1iZ@MoIz92W5csx8Ro+5vRQ{>;n23idu4WXIT+8jmhAI8yj zzG!*U-=c#z51RUd=PX)|Mgtj;V$ni}GSM6Eok{*RlDr^nph-r!2_%#I6q1vQmUAL- ztD@yBXzHVRxwin2XGpp5uznXT-{^cQ-@!PqgWk2S>8MY0l3t1=!TX28v~vFZ2J`1R zVFR5%7>9)XA@?SK%#>dt*&kHrv`ez*?wj;$CDC8oJTyEwWFDJs)HaSf@0b|jP!#L= zT}RAmc{kEkNU~d`_ZUPv($h7@f^@w$OgYJO?2Y94{Ma#?j#}|HkOURuYFnVcIdzmS zfnEewnM9n}zsJPZsT9gsCD0xE!QWY>K>rL3yn6!Oy5nFy%G$|04wl>YWx91)Jm(J< zzjl=Ry}+q`;zcX#_-yge^G4F(TP7pzk;X~Qs zk@J-LSDaGkg$=Y;K&nDBuO;Zo{Zt%p=LP)<5vWywk3$5WYRoC<&qf2cpr=Fdh3s(e zO!D85yCnq-8VKr*>cAvsCVuZ_U1XdZ;7KAKm0CAU0D`12cAe-}mNT&(PzPd6x0|tBxWZOFYz8#8NHsKLMHCgQvrywKjGVIKB$T@2kY&>S3s7bdP5Xb#ji4>yP4`OSJy zX>UOr51_slY4%<6y+FO)gI}ocdjR!@FzIy37ki^izDLE6(z@uIVg;#CF}AiZ`+g{O zq^`@pMPQYw#OXa9(_1H0C~uY0b_fA~XO&9(TQKnMDQ)Yruk{E@Qxwk~g?Sh$;+z+B>DH}Z0@`6AT zW|x1Y5~ftQ1Bp2g#Qy*cqq^l^F{&HkTdMninI{vK$x_`10aKBx`$uy{HdtD`_Pe$X0;s$Rgg(07KhRDG`I&&gA2n3dX>lFg=R}jfs^}rIFim^s$CX= zT1mrm5P>HsP6hsaG;k|$I+Tga!o4%ebCBdq!UmdTgquJzxlbWENr7J(fm_kM8JhZN z_Ft;iXGB?q=Z;u9T>f5`;{FS&IZwGw=eZ-LKC~w9f@gEuz6NQ_L@P`*XVhHGs8@sy zbVgxh5;BV1n~XA(dxbd9a1@?PwdD=eE8BRA^<2IOR@{>@#}@y%LEDnKQ@41bnH$EQf-+!PzwJ*n~8y9UV@G1Y)Q$Vb=RSNuZVA;$a%Mb6a z0-u`htS=-~*|O53eP1Rjc~!Alw)EN?{<$?~qU0rT8q6WC7}2Ekk(fLw158)&W( zbpqGqK85QfC4EB#ZpHSSpsA1Ty$Tnc8{=gqJh#+F3mL}|j*7SyyUoN0!$3JA2Vz9t z7dFrl!I33Igxs5mm>I7^i7v%a*jHisA&1rh@*ySsLM*Du+RFL_d_=Z(!N9t@*$z?afV6uR?Yce3yF*N``j6z)x3QuTe&#eGjvpY}0BDSls++f;vFl$*i62d4`j zA1zl8P`L6#iuk_hj~r$(-xvKJb4eF{4h12Q@7s1Ft_IFpvmk(t;jz(yHEZf?wuzVG zZ&P5D+i+QXF8pN)i$gW(p$t?y(y<)+Gq6%e_WY}xI&$fxIhRkZj;SzK_6C#X*SK9eFd94i_=#>_Mhvqp;XOjC1 z5q9Tw=F1{bE4p8b2t0HlI%U@Km@cP4oOlDsW!ph-r!2_%#I6q1t! z=Eoy&E1Lfun)+y#Z`|Y5F00Gk=~68>(9*K_vkAmq*jpyP9LC3iI0^&tg|LAR1P&-6 z5aiwj!pwFRy7GJ+gP^zFV>`oCJ80|9cslN-Ad`d z3p$X1C&i32Fd0BEv{{-8denuv@|gS`s1j&}?TF z0OWof;_iF_a90FsrA)ts2t0&43xMyTfx7^pLz(zNxOXP`DM<4DVFOJv!c8EV+^3M7 zQ~>-r0=J_1kI>Xd^LeE2O>(DjZ>E?BWl zhkpVS1!v9)m^o9!20C*v0tuNz?oH;H3A&>LTQA2p z`=!fUE|}O>`53S^AKA8Xwq3F;3G+{~9(&wzB}>KlW?BsDjP`!M=NYM^a}_N`FqN6a z+5J?^ZkXLYw6`#kp+3saS2E||G;>^Af)<))iio~U4)nfOLB z5LJ{pfS7YYd;r{1 zMcQNNMuxJ=iUTUhAQS%xBjt7TES&oO8aB|X5Qi3;C9R^3+&_rp=zP&OZ|CTMWYE+X z5NFXg9}V0^8y(8TQQ_X1eKcQC*z!}z zeb=i{)M-K$S@B#cS8gjh+kqClopx)^)=QABOk5o1mlNr1Or%v|1D!}1kc31c_a>3d zd|pw*{Y0JILx;z9j=B}XwpM7 zhpL7gBF!J&%4+DxFtF}bLvk0|#c6kk+m`m`)p^Q$#K=EC9rd&_qT{ zx8Oj@gPC|q7y+*lt8uz|LD)dcAA}s5*{lkH+z%n<&Q}08MW9yF^hQMBA$kTp@9gIK zRZ5(yim}mp zxd5>0u{kaI2Qx1I7yV`8f5Hfu>NQC9_rnI7YJ{9XHMuubo0+Xb-q&#yZh5~#F0c4R zbH7~N%nQzYP9ADD$4^?cX!GXHefTM>HDiN)!=r1*7Ofj@4sBe$Xywq@`0(1Ti)1pN z+JCv(!_{N_8hP5Xe+8EQS->Uwcd@>faz+OLX{|h`I5q={7Mp2PtEbS`RD7-PwpQ7X zxB@V@dXt!<2MjI;JH-Jg<)%II#i`88VeW%pnVEMfpp*gWZPqu8!-Ep=I$~b&^f41< zIHuOW*0hQG+Km&#+r&Od2Ry^r094`{_@DE3W~lJ=hpS*H#|^=dK~XakBEX{slzO$VCVxot6&iA77Q>F*Rw1_ zlOCFORKeiTI{xTZ77YC`uqg;SlrvWd$5T!B36v6 zy9X0&NILbZ?$!*~a&NIP)!hRZ%v{aTM9)$gRTq7u72r%EWKN zy)(&IAjyw}4K&FJH-ThwpF(m{q0qBybWZOEO?@=)!Oy2-VwWq1*}3CdVitZiseTZ4 z1z$i5gX1VnU=-$s4RjQ6Gzn24_a+KvqN}JOZpJio*AUA~`-pJOqXM8G-i<%dG#mKy zA587hZ_lrFH;=~`_N|pa^7+CjI{!%<``2q9DrzI-3 z3FX|iuk&XY{In_bKfy^6tDu$8|2P|xw!E$zLjO(Ec7hk8!T-_cZ0Vf&GItw`S1EoM z-kjpU6Fo~^Q{Xm#)_x}$fs*_V1Sa9dN5QR;{PM3D$&aut$^Y++&V*&MB>(RaTAbwn zHNy6&&>8e(?*@nF-)S0$%xc8p5_CKhyFjx54qiH5gtOScB7ol_KgNN;Lld4Q`pNwx zIM~jM{)589)NcuR5)$X3+bR0{4AVu?PlwVRlj^a6*tf-viP&f_I~UQzVr~r$ANeW2I7*ifer)?C?OE! z-UPzTb``q+VRdpio*!7z0o~6F`S$D!dJ!_+0pb7hF!Ln*vA-Q5{42+0nA54~eeU}$ zspE1<|00;m?BR@lDQ2|Jp(n2N|CEEF27ltnNq>h( z@kh5(`acK*>z?#K0X25vX`{#jptt%uf5B<{Wx!qzh;)9obJhPbPLo)1t%`v^vmvR@ zH+Q2LFg3vR-E=m`!c;YY3udkc_$!V>)&Ndod9kHhWS?XlsuFPEF!?Wj4ApeS~ z1Q5Jc3H;F!lgX+CmI6}&1+Jy(A|%x#P3OV0(pU%NK1b#%44#foWE}lA985vYGx3Zt zN?vDLIOUxdHqgomhZUL~tvZ3+FT_!FzE0?mK&=GqrHH^23}>A%js}wWVx2&TGBFYE zok@N*k~|tV&?F<=1d_>p3du=z!fPUME1F*gO?@;k&pj{DYd!ZY&jh+Rko!cK^?RXp zxyU%LgWk0)?5Iz3lD->B%EUXvv~vEu2J`2puz}7Wj6*{Hkb9FqW(uz;Zx-Mv?9#zp zbY9hg@}{6Gwx`JXd=Y7zcQ@`1^G`(%_O~O7oQiR^{YdnWQpe~jc#6O(^NDl(^_b&2 ztDd+8&p#XtMHD=Lb(qBzJdc8%Rq$-7Cc8x?`FZ%w;a?} z$^#IGRhK#Bs{P`|x?_r>qMefFWZ=u5Z=)Z6IDrkRqyalJIYr+Ov`ykxVY-SZG6 zJS5{c+cpf8Hj3SjCX#0O%o{dfp6N4hWRi)Ghe0scZ$++e3ma&z5p@FB z5x5oG-+-n*w)f6g5}3f{a%0bOBV9GP4sl?_&#~uB{49)>gK`rF<$uElIw&}}grJan z6BIM;RfzxfI10D;f9^BuqxFg5!CF5uXLif?UtSxjZ>dl8)y^1OQt8jtzCPM_KD;J(!m0qK>n^N>H@^W{Q)cAhzsy zL0bS<_ip^qlO6hX2a$Jt0#9~mZ|zq@^c;e5(`G^R8cyNZN<-b_c_kZ?48Nnh1<_61 zTP#dF(~(4kCBgBF2MGsz!9l6MIk zXp#|b0?Fh)h2*57=+FqUpwVagE=ktL6iT-WB-{rHjJ1< zb1Q~sao9kI28Wms8gg$!V`jgK(&)45ba8C^>crz7dfBwfq4JkKm{a#xKUN{pcJXSNpQR z#{eQ_)XrUyZ*eZfs%a(qzs827Gr!bb(f?!aEf%H{{Vtd}(fZ!lK*Z-XF@Sql7IS4T$=p%(h#Su$J_xHr0>Eb2G=|@pOzsN^a6H0z0c-`a-+)5{$(4c>EVM|Dice)k_Y!=g-B;dg(D3Soi9sW8~C# zMx&8ZGgW-hJ@2pmx@q^KBTz5x)J}6|>AZ+7N>uGMiw#Mz-`CyR=^*Yc7N)A5TrhLB z)9&b5O1~nf`4e_wG6YpWIUtx^7rTL5Q$NYSV(KTvZPiaR7@Y~nWYte+Beb~s=}d&} z5%xXwqAQXfMZJD8n#rKqtvFzU8oGL%8#{$;;3{QeC`lLWeT(ig52t^4&=CwP6EIGQv$DncSz4oK(KNHv+e! z`CZV|NAq0s!YfMda_M5dGRsQ;Ijz#~CgDGU9cJRrFhq{UJs6AI!v;DQIHrVHkb4sg zGv!s3EKkExShavvvYcOjeuTK8b$N%r80-EbNOPxTcE4PfB`95}%(Q+i6e<53rl0PX zV4pkUZb`-15?+q=htyHI?v@mxR3;E7_17_}bsB~5mK+~c|BL%nn`-sX%^d2Vj`68V z>VMDK7|;AA#t8MlyTdF-{r7;KrT)LwuKI_OxYYl*H0hz~L8*U-Sn)@S6 zRRFkP<_drd(6bacB~tSz?PxLvRRK6Kn0y!KfLl`m$iHGL00eGT0Ov6}6OYNN0A7jE z3e%fCPg!?RUXHLmf^;Tc&_8;ErGd=8skfk=3|p=lhfGilctSReg;$UJaU#1RY@j6( zf)CAkRt-SzuSMXUuK_+0fm(^vI}m|~a%T;27aF*006LV3d&0dl$=^kizZ^EuBqQ7e zlF5Av$w@W9LlL+Y%|C;tKAQKW8o)~LauHy^Ld!Y>=CsNOOwK=seZnX1!zei%-@|Y` z8aB}3z+okXgWQ{Nn0c?F47eIc;VuI%=tvpB3-I>rn3}hH0p)ho0f&IEz=TP40QR{f z>VS%|&FnYq=?cgDuB>BI$K|R7ieM^pi1Ye~nAbX!p1763DhERmmB0lKGkb+?&1y~z zj5gqVB?GHR>f5e^6&IMv#4@n6DuEw&xDxoWCOtGms7k;gTKv(itOQ;J1M6NTaFUeU zg%?mn)&q+|^+3UG`&B{agxPj&u#J-?R&=Y{;B{MK^&FD;2CaXgD8bXV!5bj3U z9=Un|zB7x|QU0x2mS8d^!;jFBjApeR2UUctT z}4Nmsku+$mhczc$8ByZ6i+FC9`p4IU_v}EjpXLH(~jI?Fq zq%hH(Q4e889UnH(8HJHa$S87eGRjQu6=l#D)miR%YUHAhltBgEu|37mCCIp4vTa8( zG#HKo6+_tPjwpsI#@6;bwKk=W(N!1~fmLP|XZkNO({*k=aSNmC9SlVjMsIMK#S})b z0XwTO`c;PuqhD##Lvw~Ij2v3cAKl8r=<_hJ?iEIhWyO)QJF4Qd;+C`ai=f=?R@8g# z+!nc?(;>E|P^HhkY)B&e@$QyB4{~p@FjeW}f|)CQzJs2nSk4j3i!2p9e@O0M6+Djq zO@527gIiPZ$iHF=9t3R_Jl|w=CKi)b@a#SZ7pwdoUwdA%Zi&oiR@P;8E9m z;{zpIoALpYHT9ADI{5T&k@jWiK+?XKt2xJ@<#CsZ6=Ap>l|N!s&J7#rsNnb#qC)Od zqLNhgtdGF0;xYtHeR0V@blxjZhjQVPf393L)3t~DAO}ml82it}3&V&xG>>9vwuTLK zXmE%Lp&|DsG-j%-C?9skQP`54r;FC5=J^jQ2we|=l-|fiEj#;8uD2B+=AZr8t6xUf zMyEqKr+BEavFd$+Q0S*W%6{bIAN$ykf6&NFujE4T!g?jw@%llH9CPxOTov3I;4Sw{ zz3`=6RrZj0MIN{3wOpSGFo$;%u2Fqb_2sHeihwE;mQSL;;7O#@_K91W{K&yjL}l_l zhncMae79`A19nzr^7jr`CXZ>-f$-dGx#W{QlzHY1%s<*=ofxzX@{>L4v~oV$Q$FPi z&-UDjM44xM{-FVC68PDk%B&Kql5&VZzg=Y|wddS!R#NIw6a6la$a_n{M@_W9_UkG2 zScGxZW=S<4=(E=&zZM=kbm%Zl098^wnGH$C&)yF*wI4oEx8Uk+^x2fw{{9 z!V5o@G{ zz(W|F3CCnrRs9GpuBy5eVSB`W&lc}KvvD+&L9<(NzyvjbFMouQ@H)54ez4Ash7Gh> zMCb`(k=$Q`xI156y(R*+Qt_`s1Rlbjw}{@329oOHEh0JupT`LI&LmGkl5YwdXp#|b z0?Fh)h2*4S=`#_y70sW7raqeIW zt*ZwVoIg6^YWOU6Yu2XvL}PetbYKm<_j{XoDgHLa^ZZGVCOtGgsFJ{;VEoaoED2V@ zz`A#HWzmJWLpbH$O2yl{jIZ`ffgamQn`MCDT!_`zstj1mhNL^6)ZH>*3-=ZaQ{7o{ z!OWEbL+Dv4N{dfkOQ{NZS#tNP1aNe3a$5|5TT=qazhX)N#B7xSgN)9EVzNqrcO$fl z=>%absq_wn?NOxrPvE(>5Z*fu>Zd-jTK(6=#?@P;3gWA$;&yZ+16XCn0hI>u(Ty-t zUPtEOly+O#K#LxjS;km+y(hGJ5r{{b|T^zWvd zFxM^1EE7KsLt)0}BI7>}8)(K6djjL+K85k5dg1X1+=}ymKvN&*)}tku_~kmm`aZik zGv>64KXW+5UVA}rnV1Xz1Wr;8#GV+4*Vg}QGpY-4zFPrG zm}i4lsW3S6!l%nS0rGn$L&NKaM&SRO4fwlW+c-J`Up!e;TLU}uYnz9gL-=bC&N}M$ zfv&ka?$-q67#Wl$_>WcX_$3(%=2IYPVDQT`438!x$3*XndZP(LGuz5)1EXtdXRKU_ zpKxIwpha_ROg(r#rx2u$mmjLGO$;QPi;bm;i zttq;(Vo#3ctbO4)Gv}pEB0r%5jLa@UeI0T_#*BtQ8~I`=9J?odX_6+j!-@) zV0f8mCHJqSqoaS5-(nrOHPTW36(b!Hv?U#f8J&s6WJ$+c5L%pcycuD8l*+UQoo_{* z@(DDN(b6qAP=XF-;!|M+Jn%I<0qs zrardw7kztWl9wf6VKa?=E)+lSpnRC{i2bntOzay*%%Q1aX!Z&l=+NL06GB7oO=!#n zSRoJJfeGl5hbQq?8@UA5PF6chBvF0iL*sp;_2!~e;ahn6^KZ5ioDVOQG4D$?iEVxZ zI-Y_wT1qazkLJ++VAoo7PLnN7glI)MEx@+kOrx#yTWAUcwZCeIxQp8k_VY3%LGm60 zJ*o1d)H1)Qg{d`dqP}+H#PBw80rsT!6sY|JiZ{BfJ*ULIVY+UY{C1VP{aV1>J>A~BvN4__+7I<_d(fWRSM1Y`i#Fx@TcQJ8kn7j8AxXsJ zyDQgk;ocJEx(jAbuHS&3r7kgS@_9fr>z&D+E3NM6+~l-)J-Fp*QT-L8)e*6!)!)eI zOduvptKW;z;cA z6LSAG1l)O*zEc)W@jnrPhhqFPwS(n3;N7TnY`{E|N+**{>=_2ZT=yc^yN3-l*N8fS zYjU5$b&^V76oFgSL<^BR58H)L832oAi9R>C4WyTYGpFS^!ch@tV7Hk#Jq(m1(uWZ_ zC2XK0f+I_a2)Q>AF*9C;IKNw+ERLsW500I?dusBy zRrP^Y^$p{g)+ws;D8H&KdTd`^&MCWUQ)T`KXGg4jQ`-qX#)gzuXLMI(eu;aFg{ig^ zxM1c~<{ju+s+TgW`4jiPWDrViIzX757asw)Ms3Q!V$>!gx76lsjLrmPvef2p5n7zu z{1w9XEY4G!^?{<=d>q>=wTXv0M1qcFV&{E=ee-&IB2Hfai~xI!+`ElnL-U-qO@Q40 z5&?H!Z5|jNrrx))KQ#4GjB3-taxa=lmhqaf&9l5FjDg9-qA&>N`XuD~=&*s-Hi$Zb zYjU5$b&}d#7J*x-&2ylskL_9Nl`=qRS!VW_gZg@+{gX-be(WI=mxVDg`AF$` zV{7Jy+^@jIi;zJ}U*<3P9vRuGj?(NLM$;>)y_W|_*B5^aPUJP}2-RN`D$iFaOow zitWHl`i^rc`qMP&p$R}KdWZ1vN4HYZ{{#lsJw?BC)#l-KXD99mKC(j2+wR)e^0RZW z=p=3DjVpiQq=;3>O343_4Jn(R1=-Za#{+lWSLbdlOeN%9FmpowPdE-KSqhZqPu9JX z5hxY!Kw$D*{06!=Dqj8-qv8>^rQ(0*h{j~8_(i}})zpHp^(8hW)uZBP(4OEXoGo$% z;@Md|4XtFztVSFzc^s~wg>mqTaTZQqr-Ti(&{;@LO&nc<#ZvU-egVSnyrRD}0=1(1 z5=7vkdpA_{4zf3(jU;=TYQapmj8P`W!Z?`jXCmF}!v>me1Rh#eEV{{k3f)Pfz7>I6 z5q=#s^$~9E`bFN$!rt26YXXzo^-DiHUkVfH_j`)!(8rTYjzAs2lM=r|8!RwO08UF!s43M)NS> z%zlGOEBzV#lW|N6@GD{F=|Mp3k9JHP2^$Iqayu5>JDW{Et!R_bxL5=A^SJmY(;toN z2dU$7Y5yY0mD$4?eI91C&Y_U@U)r}gsQtN3wf5&`j;r}}jL%bI=rIRF5!(OH4zn2T z{|B(MwEv2BwLgr+rTtfE(nE8D(*6#);*V~n_J8ucZfgHNK5-*o402et?x(4$ea&yp zBe*2DqxVk&zU{;2tuOzI(fSD4()!0RIunV>()tq!t>Q9*rS-=UwnuzUZ90_aHE19sC6DtA zD(Db=(lp#VuM4Yiih4=dKr0=D8=B25$w}@<5OL=f{yQU3E5Z3TMBpQN#n@=+U5dA% zfg+iX85v@15Gl*O(2=vr;wba>%S3!ThaVgXzHW6urnJoyR7Z^ z%Zp)cI?h34F*?fmul!=pTDEjtw#gTgv6b-(J_O<#nUw({Eh zlk~NB2XOlm{7&RM|L(Vgs)sG1@|AcwDe{8S(toQZ#awsa8@no9cb5P_t(JFMbGkW> zK`x=C9lgqsa~eJu(@+OKw+nmZoMufFHezEm;>b-FGb$D#d70=f zaOl@z5IN@Xd3g@SCGdU@eji)$j)SR4_|jSFt^~K~XmN7}CR{-kL=-nqbC|^xH&10Q zNf2Gu;o{~pO?qfjRK<-$pZU*_%Hn1N46J)MHjcljJ~B2q+}z4ld}NVxw77k zQK;g_fx_gyxDwp*TBZJqDSi;TRs6hw(V2)$R`K&`gjSg5?D@(nem;S)J-U20-RRh) zPDZ)N^NcONik32Tg@zn9*(MWT598sLWDQPgcZUtMD8vDT=0B?(BKLP7^v;(J)dBL*+(Vms{WL)%HfEi=(mUOe_dv<)Ey^pd21H&_Th$B?N`so1mB(u%aBg z9kY(gA)M6mFFLufcyFR#uZt1)J$g0|%U7M$I&+su8BJqoyV{ATCin$Xc& zb743PbpHeUjky0|hHLr>-2bplAT27!H`8KJkInl5-g@e|T=zeUU@B9I(|Z`xTPIW~ zZ$tVFMin98W?N$bCu_l7#DV5x7--uoRm5;xG+whm@tNRW{6N6IPDMM%X}8jgS+lCijMFGu>6l(Qm5r1DGkv(bM_GDtd2@wd~(Ym;7IXU6wBSOCarB@-K#>GLJa7H(_q; zY|35oUsrtbie|xjxeC3;aMQrV@WASkdJSGFQ=h1h!Yf$XdFjdy>yJ}eycM$--r0!% zSV`Gx z$6{F}8xuxj8$mmQ!CQH%pasaL+m6M3xT>m)2_9*&U_~{)z=N1*_p3sL1T)rI# zO;8h%h+#B5rM(!Zv^ik|Ep!lmg3uxN|4@;F)pncpsVWE?oy0daPLg=OOWJK!v>mUgquJz zxlbWENw{AYfm_l1JZS2pdH>wr8Y|DsV!rgHp8P2>r{!<0bk`-}V zlJx;5$b@6EB^W^q!YR=t0KMW58h))HV}K z!uWV{YvJV98#d4jHx4K?2U?e>$^Cvfgw9`{ULJv3sjG7lfhP=35ql{bxJ4`-%Eaa2 z-kIcABgxMT8)%XdZUV{VK855Y5qnhxZbkDJXzHVRQBlO|%rE{Y!+Up7^ z(aM}kuf?Hc;??27bCh3$QNAv0predzB}AFrn<$$pwn78%gQFmAiL+*21D8Gw({BlZ z=%-I%nU>o&w&UT`4>L-+_*n{gb`F$|>P!o1;nMe=Vg5<|VxJM}*F0xy`Uz~nuuLEy zD#n(ee(z2ll}r5=p;TrNXZ7nbt92fQ(p9Nn$71d8tWy2{1_s_e^}86d_sogaDbly% z3pbXt_I2;foR>C5@6?0zX&0XHjZlz0yGVy|N?@aQINb;7jfhHN@CXh_-Q%Fuyx;I4N zRy4l}n)+zYfAB^ndRfrsAFIrr55*7O=z}KzZ^iyI@xd@+4$WIIH17)==+NL06GB7o zO=!$~SRqf3!BKeR>41HSwqLK({k6?Q!-GTi7f$F?C1V?#jbZr2iTzoV9`jif)?~GQ zSYL~Rb(YS^0MXak_N{k}px zFu}}&{>8u`9v({N!6j;oA*sweJ{R7G=Yr0@P?9TA>sXKdomGn3nFn`U)Lt-@^aYq| zQLCM8pQtrn+7z{i0Bdrxh*gV9)E>x&Bx-N%uBctay~V;*qSgg7Cu$Ey&qmZTp}Z>4 zS#?Ts|4P(4`ZxJ4=7C!yYUN)sq8340qIQ2qXJRo~qV~B6El$*4gs?rTYB$uf<3pv- z!3?8~3>P=yPzgE;uZ#<0;7RMfIBBg58|VcbL5JoxOTd!*l?b}?0`}z*sFkw177=); zb_&=xqk&t%(jnNf7Ve!%z8Oh=Q`kV0jBpc3Cif{MCkfa)B5*63Z-=HnnzQ1iR>#5pxRdooyZ9P)_koR`T)HfZp6TCwK{tx(im=QYkDuZI3rhhS!q7~(LVb)2n zVsE5Z7dYPO=N_i%sg+<98kyFc{93>1`WMq5jciH{5&Lfm!@`vxnM;8dNv_Nt&gTzc zKI;q$C8|=Oj>Xg8S)~G<4+HPsWmVi%AU^<_a%Hu8Q-S5LeI1H7z;lk;l%l5seQin6 zlh}~t&nLPoMVE1JiBi-BGbcq)M$dB1Epo~dht9A|k|8KH>VRN!T^t8)jT)7I#i&ul zZK=_xFgg>C$x@@65L)$Ir1!x#5Vl7m^=yHaSbp?X&gdVkf2F-580Ta|96R!v( z;c4unIE`H!Hqgs5LJ!S$mL?_lR3_zomEQIGhyIel&H@g*f4S~?ziiZM9ur#4vAWH z)TTsz9?;j8M12k$k|w&VyApMndrOq4E|@uq`dsv^Bx-?Ep6f}XZcc`vB&q{~$#rod zxHS@0{uLup5w|5#S1~#hj>(d!Z$xNu67_Wm+oPDWt#Izi&8`b;je(IS{O^c*X3414 z_n-$EKaT;2QBd1V+#JToliR0pa(h?UKrh)ipwJv>30HFeY8*o6h3kJtpjL|NbBMqb z2Kv5snfGs_iR2x=c!+^vp5^zo(IgZ1g+VaapFys_88*;dBkBaM$$bjfN&5A75x5oG zzlNqhwhvYxHMDbI{RAABW$b~K?X_wMwBpE#sfPlzOzZ;x1kPZN+GjCp|B4vB`X(rj zJ|Sx4-bBsJoE4(>l}Ip&S|t~Gx@cWsUvO;>)oZzpqmB!bPECsog%q6IA!-jru5Fa& zonpz`S&xng*fkI*>~lwm+KREw>^JP`{G7o*nOTGu-BVM?<&w2UFqJvPdHs3JYn@5J znaQCe&luaVVQh3{Yi(l#>K%9pY9*{rjpo4MdRnJy@SjcVd+yQg&3Sk+=0+wi!hft3 zslEh#3QQ`neS7&A^T?m5FH0VA#5Uq($wLk^`{kppSa*N|d&C9d3ZpwpXC|Y=CQ^_MP9|8DSa}Z0zc$Cu>rgePK?#o!bAJ~z<#iunMpp2 zX`hKt2hJLV+6(PIfz1z>%jR|lbO{atM<54P^~k79HVE($z9i_&IV;!Ah#OMy%u))@ z7w1~PwcxYC7ARVO+IeN+kCCRRiMjB&=nvSCW}zRH%I5vN4CuwOq6LQ?oM+-!+*e}J z>;jo9ntz0zrT8xpnn&2;7S8E1;>5 z?R`|8tWN?;{c<@iU)sF|6oEWftTO~Q+q-<2@Q7Dn|CxAM7%_+DUJT7OVFMi+9AZLf z$h`@TnL>+t3?~xxiK5+c6xPya6+Wk&1@fmKS5T=;PR39EG)`KysM%~ZhL-k?HP(#w ziSb3J_Ft}4OJi)~#9*DiXJabmsp!V=){=h%5$`K>oqzA)5pg~1WpW1NG+oiM_|X8} z`mKkS1Nqv+eLcgqUwMc_fceJO+^zlPdm3FtNw6%(XJFo8@>#;WML*)~jX!D?J`G+A zxN%~5oB02*Lx>(qad8d&&v`p5CaKQf%M}PSqc{&cB2k$+oX!7@*{t&iSO|Qj=UAB% zR)Uqk zojjpPP<*%j!t+?yCrH1mNe|5ns_=B^8-H{w3(uY>dkRk_#_f{;hMp@t5AqhCjpp#^ zI#YUa#yjdx)z9|TosKuy5Cp6241q0Gof4R#wRJGnk5qK>DGpU86n4F`uwyx^no0f|b5Gl%LE>xW0fbtMfE%E~8~D*-yxXL~9am54c}sGOB|1Bu>FO?e?QWG%dY*82QZopKoyh@0Os@* z=YU&21Jz%Sf^uI^8s+Or915<;s-8TLA)Dw-Rz3MDgces%z5-!;N|(8V8wWRTSY4l3 zSX(Dg#bOonRn)J}grwTKNQ1C~$vM?KkfjRF8DnYw_1=G>1PQM^t zdi%<&KJBG_**)F3Xj5`u%NY=>XU~^630}g6B%{?(i|u~ApSLMFXKdqIYfevWa1m)zIi41Elx`JgblQKK(L|t%Tmwe{!RqkdG-8A z1Zt(>9!3Nnig7=qgXPE2M6wL~8LmbM4iAjxliFb zNipwtM07&$15LebxA8HlUi6oVqr(W8>fa;PM}`eF)d)F(YI1L=Hd9%JCjJ;Elv@+e zM@_t{-e}fNAKlszV}oNOwfV59EvUhJipDoKl?JfwlWyzGfJcKhii5e#cmCVt&Q-Yp>*3-){qF|)-%s0th1hK-t_lO?h)ltVYz`afh~UT)B0}y>M9f@SRBJfV zsjXpCa1_?^WnJc* zWx6IklxeC&aV(AgOsgzWeh33|mMBWSZQnKRg%=G+Jf;w#GPR%WP?^R>n=147oCC1} zPF>ahH5*d$%z(etw za9tZ%URcBbofw3F>s#obb?Wcu>wo3%&)2`JpXHy$Ag#k5Wspt}_*Z_Uceis6ajR2k zGcg>dkF#VC%#wQ8KxYZ^oscEuJ|#<%RPM_naH~9dDKz!v$t<-+1;SO9w>{>d*0uIe z96#|E>>(33gfTJsbCCRPVFOJ*4kCeka&O2t({hENt>Gx#f>v&WrE7N=fi_UORz8Nw z;cZxbK9Z(B(GOLYJF4gf1Q9@sZH|28Am> zqzIvVkHgH?sJ_R!zsy|HBAK--bYUbep_^&aLm8-qu4B#iXJDn!{VNQtO6azGEq?8W zW+U!ed`A?u^Fl0Qh`bh0a7)+XB{aiqYms#=p09=Xs%zoB%`teGW=pfzx)#p*OquQVuS7YloJOppef}*_$Q#x=!*RQ*t|1W z&QE=&dU}YbDCyXa9@dalM7_7ZaNh`OR1OVGY{bT$pBRCBf2>RLMf*&AG7OCQKLq)|BW$4g$B`uPPwrFrPpVn& zkHD=Wa33`FMc}ynRrhsr0m2s;E;W20+i$)LL8{gf}pajIAq_OyP!x zwVtDhwdwee)vbSBw#%l&kw1+%TsEB;Q8uYIp|VN4D(`t;+y}>ae6*e)1cNIs2N7k{ z{th!+q4>(CeV9vH36E^QY&y|ZHXW%+4`re%n;Z+XKNBmR!fa5UV1U)V+iaDSw{QO{qJ=eZ_)Qw+LJyb5i&D z=vl7W7Mb}I^UCDjmCkkaZgN^Y8{8V5EB`9%TrmbyZSEccLbep`a~Pe8!(=Ji>k(R< zqJ1O6_QnYcgPJCodpB;Ol0&?F<=1d_>p3du== z^$!ua70theraqddwXyAe+R@-I6I0=zz}du9FG8w!3L9vu5pn|6sSH$1IB;*m2r6=P*RyT2)a4GVo5r#CO+33M9M{_*H zsP>gqYeM6ytO*@^Mow}>G(r;|?=XwegiD!AT1$>?R}*^nj2x>;4`rUxgpQ@npLvy< za19Ksdzx_ZITM4+#@00wWTF2$sO_tLWjHJErcH5pCFet|?o#4#6B|+@J-wUa@D;LfYV2O>}_+44V#z(X-!soY$z4-O49;mS>s?BAiKIx%wXnDtiCK_>nXM#cen zDhA*;VFMii97;j}$bCuxl9b~f3!}4q7BuyRU=MXo6OtW5P`-#cJ9k`$Xilr}D+fdz zhFxXikT5uo!pRtg1H%S73OJgCD3E&-1vB+kh{_LO=8@XN*=$AbZTu%0Re8>ZXVieS z2EPCAsNo4vmq#tE9km71`KTIPnLJA6_EGSL{;eZ*dBtx&Y;V}d?ZaHE^R@u0PDhF@ zDJotve`oVx&Ta~bj?}J%%Xp@>PfPwC#502fq7m(;B4m6KKjUp++D!+UPlgu%+atDiYs&LY&V781K|G2!GG5$-LnV*G`@g~%c+xr(C#^q(4fKMJphI(; zrEAIkj}dg|b?u(L(NymNO?_1Fja2u++_ta=3fIH?q3A&JzL#llnsW@`Mc__%nRs#- zE=T1oj7lwRpreB0ONa`&Pl-yB*gZW0x2iWzg{Ho^XxWN3_pk4TH;C|U^VV?bCiLav~LDGnZhI&mbH6H8%E;V=CDkY9-0tT3E)sK z{^(Yg0N;gyRh0mC^25+^IpZw%zQ%m0J~mOeJ~_bo?s(^VN48UxUkWH8SbM`mU`t!W zB``y)dXakZOJA@XTyMH&S*n}TR&eM3Y@Pz(q)&V2`jctKRqtGvqr~m#oA9Miyz5-g zm~oBy%o6{?G>4ax1h#Iz%i!TvzL2{ zg{W?JxFF_=!voQ?6upMYeCJP1?pzgyj?T^LDfR)kdp8)%jhZvxBYK859^s_%{n+=}Php{b8&{RApxbh+r$kDGG3&uQ5|G5z9h>;c|L zAI8MwKNrdWV%R{FkAp}cpWGYr&0JSe@|};Puxc%<qu%NXFLZ+LWK zc%X0X1bt`=TA+Hv_r1Y4k4&jIU7K4eYkmVF9xim9|Jv4p{Z+kc!7>FJ8UM6a{Vu?- zuI|WG7Iu59!Y(&9yRt*5#ma794Ca6w{P%&pV74eF&gZ3vKf@Bqzw1{HvK!;gOF}EMsS;gFC?G|&M z{YjT;(nF`ZD&`#G!XMqrV(vT`n6sEu;&=P@tS>&NF|ZoHs}Qf#%lng*9?iLGU#!nA z_-RwI4{$=n%3-D0FJ(j0g`?e5>|@+hEJUT)T@Z7M{c`jy1xbNUo|0%Deqk~KCEFbc zOn!@t!7Wdx>aVhF7b{@K&h1}E*p@=SgwdHuOqN2w5up{PGkab_*hKE$%~Gi&TFXO(fO$7I$dCJj=JZqe&*d9|pl(*OBZ04jX8$5p@FB7L2@@pC5}r>-y;$u{nQeQCSk;UWm- zMN#J;SV>wz?VI_P*Ql2LTS@nyjy;xizr+E&&&9GT9}*J)$3aIVDzk_)dl)lY=Tb=d zn|m*Q<|b}S$!bznu+9W4@q!YX3Iw zDHftq`!0w%wf|1^ECr24CC@=LDSs}xcct_ly_2l&O~9d zl>QG8TAb4VF2eSRDDeifzXBWNHaC69BE1Rcu6ufo0adT<3!O}_{mXr+U2L$jEr z@X7sm5OL=f{`4i$B<~7MeI)M&SL0ng&qEu@^EB0hnQj>)cyoLh2h%-)bng>3&~zj4 z1iHz63f)N}|0xl;mB>F9n)(RWo3W7evcT6HuS`hhv~s_35X5=dNhZz-!(#p$$p4vP z1I<5NpT!pazwmLiX4RhL~ zJ;f`?n8Z=qei-@mYWs!#2_Uq-pNcjGZ9fs9Uu%15D|P*$sOzhTZtHs-Nm1V)9>rfl z=gS$ESErW#yQJ^0!5&NcUgE&(`lYCF8as|bjuvB4nM$1An=rj~LOpTS{d*i45TWkh z;V_F)_iqKe|Hs~U$5&CT?}O4oq<91YF(68j1OX8gyI2s23W8WeNKQzWG*T$`?uti6 z#on->*n97KZP(s=FW26?SN%TEv%9l1YBjPq`%%8em0{6R0j z2u_^V9>LFFbttLCsu@DyT*J^kG|H5p6dU7>H&MMb*OBaLGRlQHImMA2nDr(xk9&nB zOBQ!SbJeKgx=v*}ag&_N-YnVCR41d=m-a>bHXJv#>+9BNB0lU;MwM^O4yVea79)8u9Uy!UC~1#NbPz?ODI8x2H<8B+Mub@ z6U^c$9h*%|VDzlbCS{3PCx;7RbbTy!y)-<7r)x@`gRWJ4F1pUi54}2q+^)7)A!$Z! zH{;z&_p`j1b#J%?M%Bkr)pv$x@KjBab5OO4_f_qWfmvM6omh*2qZe>Fr_kk`BLieE zKJqz(A04eLUgFsydDDuki|57b%wEZ&MT-jRXs#f&;)3*YM!SWpGHNa8#8Np;o!AW{DBc-9 z%!6rzyynUGnkL^J;`6JV#`LZHgh`B`cDLo-#Cd+=6zBJ6N}A&QI`U`jkme>ifj5cQ zEyEqx)%6|dUSruL$#LBg9M|q?vPE%RyC7e{aXqp5j%(WQK%eL-J#4sf9oIA_Pv+Lm z>bP!&ilud2T_Zi4<2oplOz0^N@_HDxy1JXzla9JaWoZ_!K;`#b@KO@1Q zqJ003_HJdT;`y)yjPDw_t4GV#mFMbZg=?8)=;aMV-hgY_d_OiOZ`27y+6&rnTvumM z>XFFst1)P-688C+RUC55c;4uNOx`ly=vKb8JJ#1v!ds;szdB3ck!fr=rlT`=g@W`Y zr~0U@O%xw>-Ao0-N^FkDdJMG~FbI-IxZqILl0bin4X~- z`80FA9DUVvf+qQ@N3mqb$j+qQWKmY+EQ;&7rV+)z&8>q`tnaxxM`=9Qewp=}?6$IA z0k`!~BemaPtIKV@m!dV9O@n=nV!L+yT@*Wm*VMDY+=eCf^`*FPosQ*utxq$z)3#|6 zn`X6?E@nL&E|)P}&g2~WWOxS8x@SegrrUtis^V{__$}|Wei1=xSN)$-f(+_!m+no! ze=viiap!km$@(c~{TY6BqvW%wiCMbb=aZqA#2 z^I2ZZ+9X^8qw2G%>Y?EoJXKTV98|61eO3G8UKVfl7WSpUQa1iYaX6=#1DCkDjLf1?lue;d2=gkO6L2o z25!L;{VqSvX@@EN6R1e$S(et#*60a%3Ei~w*dm?|!d;^=?kcbu{Ze9e#uT_|!k*ak zib{Q#u!=DC)686)rq4uhjSfhYEjR~cdSY)Mqf062g66wMW8`!1)3%Ml&q*Rx|hQtgg|Cs90Lp$h8gsnZL5NZ#eGSP*s_;kvk@{*%2^ulZtM>mD?wSu$tbR zW9D9LI!#pT(cPH2z+_bKxVE*;-0RKtq6+G6$)poB$;`coB|8&yM)fA&*!Sivi)-hm zk;T6!tg}(9Z|6Eksdlb)GY0>p_#RduV9%awr1M*5b=kA8Q?zLI>?;&IgF)5Hw`a?7 zkESzdKVx>M71O0Q4QMH0%=#i+GBe*?%K7F$;Tb#&fK>?_B?A_%ihq&vx4cE$aa`mE zXosYk8X&Dj+lLv_TeSKqW~~=~b))3VsN`6922aTpHwPuF_*|5nlSMl&g50j-Fa}98 zsku28?PQi0vvvuWz^Hm9RlQ?)22a%#IR{m%cwg222$#j8ea`Lv-ojPDqTThMS+pE5 z@*0Zg`37yv73hyD6;3P6TO0kzeQG;z4{YHi)OEWPq8!Dt6hA1wdulZ`^`oa zbws)lNz8nH1BbFT!!vmEIYkc}^#b;(ivPxKB}`At1CRfq$vVYh1WwrU7nb z1B?#O;57iNl0yTi_*@zwC);&;1i9TN*d0kTH9>FhmBD7~AvKc43uX^$+G&?GznI3c z%6QqBRT?g+X_1@QB6GqscrC)3<e*o%b^P!&s^< zbw8AWCv~zV@ildvqp4%~CucMCR_e@?GU-DfW8H^zN^45$b)StbsHvMbip@B-q^f=t zg?9Gar^n0Ub@A$w_$Up0Nl!0X%PE%A-pwk})Kf#pnQF4kE5`mSgLz#}IPS}ePYcP2 zq^2L;o~#pVUo46aKXUn@2p?*nc^Nt2z4R`OFXBg0@H`m5xR=*DOU*Z>sZs>f{JJ#R zqL}7aA)nK~G-cn}!lwD1p3=kaNY^w^V?kuTBeR<3Z=qt@O!J1o>$sh%&wt17`Wc?W zX{@fmri=gVNw&C=7Fp5Wps6MANDz4XCPdVfCvP0Fe4Qp@m4QeRbMyOk^b$CsA;AQ$ zfyjGoSb3~`L~xiYAMV{+j&6sQ7kDQUTWN?iFZ0ct44rBVYo^p|B0#XkRZ(41*;vZA z{5En3&6_pNF~WNM!$QpPW34$}6H;6J3A54o^54IByR^&`Ih(NF&TNtSAsnZ@)4lxH z`K7=VlIqD()+o>0bPkju3viF11sEwPWC*nwX_jj8h)tf!sNv_C6dx|-&9!d5y?&7K zchkfuIA)D8*U8a$ODABG?>3kvJ2PQGk;zxzF6XPeUR#>0`?s#uAI17!n{$-vwI$X~ zKZqnhNyBPzVx5e(hrZS~68r74x;(i9C|Wd6ZeNO>!Levp!LeISWwwv4DrRt6&-vXn zobyx6stLckF){wd*=~M#2G3NbxM34xz-JCp?4K5Uf%T4WZ(5X^5P> zxVs|A?KZ{jNSdiBnzIA(49kmIPlZchRQ)Jb{djl=Pt_DT2UV+hU)BETm&HBXi?s;M zMgbeXyYHTH*7IhOrl(C;w7zCp@THm*nb}tfx*ShXH=Zmdvb)Oi#D`Zgi=+<;mu{9H>dUr(2%%lpfa0T$dxw zRF}DzWpz15LXT-(4%ZU{ zVlr-HGOGK$*;*#!9_BhZnvCfLOfng_W691O7}R9)O?hC>mv?Q(G?(}Lv$X|^^=(Gy zDAi`PX5b!8dNPGF2kgU%MmoO{R+oKv5Jih-A14y~c$b7_s7%*0nC z$nCbrOGuijJ(^=Ce!=o$)@R`o7*)SWRev0w!BaIw&Oy~G-dD9h;AJrr7qAxT&BVYG zJe8)tP?+m&!cZ1F!OOt!DgZ6qe+w9d^E|@ zn2-9+)KBK)2B=)q@i&6`*gs9SC@a=|j4n0xyw+Uv5j9C?KECEDJ*@Y+=3|%)yVea;7s%ynT+Z|pSG3- zS!J#hRY3QFk#qtkS&#>?WM_s2{I{K%Z%>wjlY`vGPAhGIu{oMFK5)dWOxRu zdo^4Lqw9C6>lec_c)F(4Ip|u&=c4PJOvE1}$n9$TJ0#7dZRee)PTx*_62y6bX|U&e z?=*GK`F*|9mbggF>VQ8Xcbn1wd({6L;Tb&rvmQCk$I ztC}e^*Sd1Q7aEi(H$O6kbqiq{JY=r+jb<)er>6wXvvfG_!CT2oI&U;79 zbsdYNM7xFR@T(4?4D zXM{3GdH!MTl#*aVG{+&ij!KF&W;a>VzRG0O0QGx{LlR4?0k73F{AwB-g~qIV%r$a! zM$!qFe+tjw znQs(5Y#I%?Dk}bc%H8s=N^D|eh4(_zObX`*jvJe*dLw3VRJ|sDHagb#kdYj(sR+Nk z(eiiH@`m9VJS|h+9JH+BbJ226PRgVRa=T8-_DGsZ&;5#PYbz^CiW~4~?x@B2em76` zSo&s4>J6xw6PSjwW>c1!H7i_t(@fv9nWl$l@S2I$&7ql8yx&az0GY*?dB?qXytzH# z%k-BogVDmZHPZPrS=0EsTI}d*A^$|0A8B@YuL${xTJz)#^J!M!=X?`s+BJetW2ea$ z#iu#O=u%VDFU|F7GJMYW7fD?-4t2@^KOxp4&Z)tVR>aBlqZ&iFKbb-k4GU;LE5`_TLs zBIX4glUa_M`C_87ig}H78jHO#H9Vm|UcAlD9kbpHxi>uL`%{5eN~%*rS)({5o%|x2>5cqFtwb7an|LF?n~WM-y7h;=bApeA_s!6`-TlWN ziOdO18_Or@wpFeox*H_vL`?EY{>wTz29~eMYc4FQY-%0v!t}0n%%4rWS^5PZ z#R|W+F(20GkC9}j@C=^OMPb7xy?_Uz;zv{9miIv75u|o4SahbxwZB@(6Of+CCLYGilrVRx{LB zUssaqe0U#-bAI<`$0ld}9>0p0idmP33ujuP9b4g&@C;rnur@igf{OQB!5{jvxETek zMPM!pxEaIgW_XsvjzP;IZ)$P%yhgl`KW`W&!{KIj$svcY$Hyr_%zCtmAknr}I#Q!v z9l~4?6BZh%WYdSRO`5O=adLV~;ah6Rs#5xiy z;E8T->}+vqX}ol~bu`bd31y^v5T~=J^sv6^x*%yL$IN{*s|(U$>kKZ4YrOq4*I*1f z^Ni|>+S+(SbW3w+CX=g4Va+!<*G?&^nRe#@XfJrLXYLbC?9RR>qx#c&t!HLJcBnsvr=JWV89|(@rA5J%Uh&JMUdKUio+>EhNejS4#Lxz!O?ruw`%LB zn6*6o>PE>usN`kg89XIZ+#Hmw;&V}QPNwJ$5#)B&d@Yh@QnU9S7r(Eknxo#^TKqJg zNt)&tzuW(dmyKBug$rt0q$gYCzVHlQi?C)nw1|rLTf`p{vzVn*Sc~*#>BtCXsW+M! z%QUx4zBWIi95L&ICgNnCIl1!Xt&gumy?QnI-!)EuRdXg+DS~nOW14J1yE0Rd;kQPY zn!wg=VdHdNPw8Pj)HP1i%%_=qXjbEN@HW|v(`@VG8)jM`kJnY;v$)QBt+5`whgA~o zE9#MPxy^~T9S9fJoC+KEoaoW*KVVcm=k1^}ka0!f&GKll#jo}$Q`tisQ&P1);spIID5V-=nWjGna$uPia^!*C&tt_M@s z?}lgabWN#q(6x%sMb|mGK5e#*+^zql>N07&8K1vh2bYHCdWB11RJ|cp-90>mr)r9v zgQ``$uWEm&%i`0VORWSZqQENj7W8T6IJ2Gesnz-|M~!j@0DRuIG+qk}N#D7}_ia<- z7lt7mG~>r^$545J%O<@pGBGUbRXsDOgCnJXGictL7@}$KleJ!M=ex86_{z&nHPy;< zkz>@d4lo#k7Ib2R5JfoxoA1$jgQb~<68;%?D)L`uIfd7AZEEW%$S|cXx|1UXbiGz` z6ZqpolONnXLG5SlDW>;s%--wumvGNN%c?ilu$B$~Bb#*|x^m85NbVOhdOcaxw1%Mg9Zx zKB<&un)lb3P7&2&-raeT-YSz(y=lV#3-kUibIqv2x{uYQ6E?}bzltTh=6z7DW^B?h z{dmsTcg_1W*Z2Fnbt#H9(4^j5v#_?Vslo>{tD|tdon8s?WDU|8wI@)_7UWEJ*jPwG*crq=Z!TpSzgSV5iWsI^`=zy?%^3cRa4{~ zRITEDRr}*y7Tf+!_vY|cJc z&!+x>qvpV)*d5H76n`MWi>LSlj!3!r15Fz#Ywt2Wek^;u*T=&B0q@x)^ZtdDcTKj= zV@}tm=?@Y7fh*Hwi{cNgM81GOFs}LjK-$Ng#(7E)8#`QoAdUHwxplMp1FxcD+5CZ^ zAB-E3^P2HVvM$q^08%u zIB|c=CO^0oZ{jWxOTMtO-d90lGv(G^QZP3^Bw@BXOe_XAe3*SW^1A~BhJ@UL#hq7^ zIlD+X|p9U#)|b9yME02Z^)fP0U0Fz8Nl*6?JuuVa}x6 z)Yv9Ud0UnHQ-NPjss~AVG0g20h;4BC`7mp@z_~=1U?I2-niCto!S>!L64fVj9mxJB zquiA}Qyj>YU<ZsYna*|Cd*x=gDPmM1R&){jCvge?26`za7bMhN+ zjUczH^P7+~lg_)lpEZ--Q=f$E7W~;8JCjeEhOnOCbz;_I;gXpq*nv&(aCio<30RdJ znn1<-P2i7!S^UY}S&M)#7w{)1%ve(0P`o&=t`YA%&8sQP(;S#rQe2%kHx5&#vAQ&` zxM9mK?&rj$Q#qN^9Jh*wMl8Yi_M&HjCjZk!_T&wxp6TU+SZRJS&8lhe+mI&m_iw&u zO89F<&h`-{3TZIY8Fpf4@YD|*WUIyg$H*XsjEwCA=Mobe>-ia^Idg^$pEF0MWPEXL zUA&%OBE#d%a~m7-aMVy&QPL1E0#=Odk>Uh)9yvq*G7{gZT;FKF^1n&$4 z?1qH+dfesa(@hw9;{8pDSB8Kt~+lq2)QFEkZb`X%>BjLNkxrm=F*YOb60<}huv zE8D13c!jL(&`?O)o@&+IL~EcBt(w$=)aO(`*ZO)UWOecto6oA^yJp#wN2{{9^9n$whgbWTF)&RAD2+;CD+3*GyTud zRElKnRe4Xaw)^{Ks8>yIo?P){J^DSz5xdFHMy_bRV={Zk*l@uj_Kpp_-Z2E7pqRc} zrM`PsgC)D-hMJV6=6YN?QZHzfh=E=JUE>-?*F&1<`q1zysSmj2_DHOgR$O1%>3@gv zoD!mGdpBx(LAYoUwLQqw_DDzDjn!fLzA&o3SESK5u442(vWdP|g;z@YcFXONSeN=v zBe1XWOevs3r<72X#lsxfV0%9>kQQ!>B4i=!tq z+9ifW$>k|GT4JLp>uEM>%z84sj%HGrN~Js&o*|=BGV9)2gn7hT44_dlk(0_soJpo> zA_^D_Azv=t)O{$_S7K`TshcJ&{M0Kd^)o_a3h2_LS^VelJO&5Mz$cCoULrgl$U6)O>7LcW>t#*ifU88o%a;uW8eMx0;ef@H)8V6RN z9W$)Uy6aW{>^Y5*lN#fd(HGWIJ|pC)5ko6KugBa3j_E>jNEkWON}iX&V?Gf(Zs;j2D8gSXniDus=7fh9H-U&K1J{1V&g z5u|pPdzMjx3=P4LNIGo+!sck&1eY?i(**bwrIXZ6X;iOIQ8pgsjTzCernONN%nn}g`&E$`ySyt!vXD!mN&e_fc&JeOm7;f@rRm2z7 z=j~KI534@_^Es<>``W398uuv_uMV)uQH`k=OBbr*D*5s&A& zOJ;1OxJuG`Uea&Qc&77gzEO^)$(4w`T0_yBiXvsh`Wsg1oK^_v!#fjNgFbEznl_zRg^a%^*P-Vmijvv9^6Su> zDbv)UZNOi!hC559O_iVZzA*RdKD<}GyCdv5mC+Hti)GSe_lHa}v`ABzO1~wmY)l%J zMev6T(qxO`4~;;+fIoCVbNwOIB%MEWfT#4ZQP1^<(wKIcTQ}=-D*K~iX`fSR5r1ft zJ*#qXhcZ2FQs9-+d~c|eUqmyVp*qt8qIw#;!{NfcRBbZK46kZEXXprXrKlph&lsc= zG07RKWyy{;=W8|xx+Om1VDG^VjE{fti*giB%Ogc1%^F)X zHj)MW9Tk5WrEYnD=d}n@yUKo<5@b*|pDdRy`8QSNpE9eXay*-^gibo6c0HS(R6@-9 zJX|)@1f^_(Pr@^JO~A_J&;%+zmnO)`)9JWVS;4rtyLJJ*Q30(^p#y@`4^tO-aFmhJwrF@?axr#7F2gY&}1)T3(w2QSun2`{DTrqpOCMzLrDAj zcK#%$^zD4sP`*k!JHKi5vo@~jaOLc9UK5Ag`J0FCdyFn{(}daiUQy}neEgQIvXV3^ zi(uy$rO6h>&fgFD0(QRDd^>;hbauYwDLw4gb?y8#W=rPQ&1&bLgo?GEoj-C$WkppB z?0cAEns4cM4wTVMQ~y%a38LE3uBm^K$tWxHpw=_>Z!}kmDxz!ZrxP*B)W4V|JElxP zmB}~d{W)LVwe{0n-S5xVxhU4R^_`HJn$UAF$46fK&q{~E>4V1Bl% z$Nl9@M`q*M`hpppmU4bKjpY0kv%U(yx|t7ZIW&D1p20IfC~nv&7O?SE{L7TM2PDm;tUi}DFPFx-QN@eHWR-EVM>u^x%kAAGG;I$E*#Ctw|C zUS`G^YV^}c{df|EnTL8_MYo6jF-N3n!3gHzA!)KjF%K6T zU21As)LiorHA!b4F7lKf*3(?`FwIPtxu<0{53fSSTF*Qzn7wCXylzQ!198KqZ;|sJ zj0qIfd=s&2QYplum9m@7pU(KQm&iI`+0-p7)iDKSZz zX7EAPV%A$ZU*9zo(_G*0*VgSQ);ANKqbOz~g$|gBcN*#Zc353z;;$4fnwj_$#m-cu(=wuZ#fh3mhbgVIISX}euMOQI_{S-MY-Ao0P0K%3{*p-|hfBt+3E|Z= zt$7$*bF=UaUTab>IkcvV&!shUvR7wDklSs~=}4NXJqJ0D&$#W7w05j>sW$8eAvwGi z#?W-8e_9n3FlNmQuaarI!`XHv;TgQPqYiRtI~DJ@oj+1%v30+3Z`?Gt?*7|X=Q$I! z^G@lJd9?`CVZ;uit{Up%#Z~$^d`)9RZDT_N&o`9E^BQXA$MMX2bv%xb-{7cAdBwc) zO8jfU@W&emZ2~KMr&p$ca;776DJWYk!;QsRynQd^BCc6!8lBKc1 z^_!`mM#~G*^sERr_&I5^MX|xpFuK&deQa}WaMUE74SuYr^sqa?wZYSv5t;9RtTy;# zs8}`|JliWn*3aq$yj$JS!W zu0Ix3W%Bhm$@%)OKbGeD{{3imK(Xe=bB|K}vBbLRM~38gfUqI~AFY#-*l(HD<)iIN z(W3ciJ5lTmPCN`yr^Jg=Q(}G?K(|DGCj9L4JOJHoiWt_%lg=g?=K8hSR;|07g z6~6;zZu#Z>g%PB7t@V0JkU`O%;ajEG^>NJQ=(>|hW3-(JW{g>OxHLxTr&8(1glF)S zPVsY4x{A+5={Y$`Dw1SHFTfrX)v$#9kvK9gRKd`hv!kK{)RvOoifC%SDT0uZ9Cu$>eQ=6m@8u5Oc$x^Y_3SZ46exH(VIr|L)>fpvq;>O@6h1#0VgDzkSQLBBapAk#6ZWnkOu-c zAm16a%sUGGYI-T&(dT;SzSbx0^<6o;gfUqmy#ks@j%Fyto>O2N^ki8r#J z$*4i)niOwjou-B~G-2k3^go(5)hJnIu8^Z2l1{WFKjZ+G>{?bvgUJ^^IOmJIPDq-I z`?sVu3&r|Qh;x+23F)0icgY?Is}S%&W*c$+)>vI0$k`Mvng?2+o@6pBeGE8&yNCZ!r2CzEshAYIZj`$OD!x`mY zM&+*=p21T-E0KfpRlKi!f8@*Jb(}&y1*V{YIUeH!MKnle!)!=#Ff#n=>u0#5pP~E{ zxIpGPjtiLHH+8R|raalge2Gm9!oQ4U(q#7~OtLh-gnl#i(=54lnzoGKOKg!QTX43| z^kt-RMwgmsu57L^ftsZAC9d?89@ghvUn0%Cm$}bn^(E$^VrjQETw5%gFVR1fFHuuB z&$qI(ZECm|`De~V%J)APSv+TBzqF9M8K0+ zW#si+WOaEGpHj4Fp2Wu#JA-N4c`@%4jtfn#+h3W>^CV0n(}0$Kk6FKm%VErhTR4OL z9G<~5uqb%gWEb!xRQv}NyX8HJb*DsDcW)%kUELcqR~B{a_n0*-Tn?k|TdD3L;Tb%2 zQ}7(rt>SZ0cTUd4qzH1m&cyaenn~g9^`YA)eLK;PXT5>aIq*C7Y!-)|w{QWBrf;LB zr-x_oG);+f(6oy8HSG^|Sv-e#-TMHFao)BZa2?ijrd4iOnA=}*z-A39OA236iycKR zI)IxkpD?3ungKsvd#jz8>wpYVzjA8v=bGZ`j-#yb+zw z|J=rkN^}!FPs!uj%0|58EDyt5{qT`_OKKYVNrEno^?1Azzs^B9Md(XKPN&AZ^7G0X zs};weS5{Y35}riB^B|?()zrH##(%nrVC~P4*4+hs`yzHhRxt@ zIh7T~^>KVsgKvFlSW-(`RZ->8;dACV1|Y}yJz81@e=ur=vZ2WsnkHz520rP4ibbry zHWN-KiCo#d9m;saNoS^UTO-H=w8xTSBH-#6uIXM6WzQs`n*qJqEmfbaVGW46Fp07N*Ws~D(eei@ZejO)uqc5 z9cJ;Ss$YKka@R!2FHCfv7q50dErNs6;s$(%p}4Acd10cfbD1KPJs*c%+{4DYN*t}# zP*YMgx42|Jf5qk6L$10d%M-oIYHAv)YZ~H#AE&fUtW}RmqPnrFXff~8v3aQeIqX z4%;Vs#uryWSNO(6W4)KCeWHD7d~V}Bl-GSxaa}d~3sNvr2WL7r)D@S+S*hiAqI*R( zf_94H)da;wumbY^T zjhEth4Q#0=de_BEYU)ahO5?TYAGl0~6x+dZ{iuZ^Z6TXx*62cy{@dJ$z^!Pzsvx>?2DIasHwqS zS`lw3(`ERcF0;w4f2UZ#{DM*0FO~gwL`R zW4fgV*XQ@Bit&N5I_I`Ri*fuZa$YbEVY*}NX{cy`dYs$+1ay*`k_voW$G`u3aYU$Z zoSU4kN4#ooycD!BCn!!i}<*9}Dt#dWZtC2>z&2)&{K10r-&8ZWC~ekjJOq_a-EEl#7fGVfqmqJ43B zys-k+9%jv4fv<8b1jI4$)|8dS@#QFtVGa1v8vRq7HpPj~@#4D5{CRbVW5T(ce&M+e z7GnP@<2>==Z#=Q){NhR;ef>L+x>VvtbACCq{^H5@#nla!bx1VK8n=JjHdg5YfYS~H zJWvGqehy&dT)@610J{`$Tf8mgYF}484}&2yjwr|311kWhT7ZAe2ehvOEUf|DTg&7< zOQ_>wxY%wNrnamT-fjySdezrfG?YP=$v@ttAG=o-&#y`Tp<8F{MkLm*D#o`Cl7Csz zmA~|;T7nSACCNWs-UB~1>Sb~jrD~LpRK3H8Svz7Bw6-H0h8IO!2NNFe0C@yHdu;&I~ zf`J=?^(P!mF$6V(z$yt(8n|yT4(}#hvkBlz!Zyq%*nbF^VE2u{rV=hNuxw)-ohX)W&FmT9LI9x*bw}E@N#^GIruG@GpY+Eov|Lwr~ z61E{sBqR(RzC8{PAv|s1fgNyoFQNO69<-eV_7@L#Clh?XGuStT3t1V#X*@iIP_`?e zgwSgj4?6I0O~Ngc0XGtk_-|Yq__-G2)`-IU`Js2_#Y!IQ&K)eu# za|t&axO#US3Lf7BOmNqpV7C)GP50n;vR??h&hTK%y}-s3?lExvOdMWAc!BUNA#auk zQ}zZEY%?2dA|YYmuzhfNFySc!_wS3tdkAao=fU{>!34zzfC=`Za`q%_Pz)GAc#(&K z?~1?#r_TjjM%b1~1Y>!)DdB`Nz;T3|c__G|1ne@xPX;!R<519LK3GS>mCPo%WFDB{ zjB>Ek2p<|~W8qLxRSjklMz8?Ez)G;FALqQF*RTBQa81NY38y*VAE(8;7vItBtkV*Ozx*QGYNcfzG zf_IjHy-DbJkOzO03BErV>>I*fhk9_zVPJxz4hK7&@X-+-ymcg);Mt?Vo+6At4X_zu z1TQWacnny7LZyMCV{y1Y;aUSLj>F*vgs%;}cRUW?CKT8n3_1a9Afd*<+@&}yBHU-- z#uIUP9pP^SV^6}NV9?260|_+-=AMGXBEk&@K3axD!KuOz_0N!5$^_dD4Tco&pnW{S27kxo5!y{a*s>OE`mK2p)d{OmO#$V0RE! zz6!X6Fp-G``@9S$SojK<;Ep%IZY4az#DWzcfL%a%jXwx}eiKa4`)x2m*LT1K6W#?A zZ1Nu1Fv1c83*N_};M)(uz9Kxp;sxa&gT)CwKJsA8|A37r*!)3o$R}V+2-|!Km`K={ zNdy;u3MM%9Gq95h?;7aw1r7y!dP7h1_kafpwLBD*^01gN{zt%OgpYVAc=ZRc%?ZV8z+F6$us4$kX8i;4ej>zv_n`M5U_A&k4ea_~9PUIo^iK~C{tIj| z;a&sZ{f$FG_qGsM(3XP##l!E~0lp?2$#a4W*8~%s${$W9oZc6(jL@^a2kkq6twES! zVCRlF+>x-{!10}McnslX1CMvc;Uk1~yLiyKD_94@-Ub@F;ZShuT3~`>x`Q1_c)`FU zYvb@CLhl|Pbm$4TCSflFyY#~0Btor$lHNF+L%7kvW$WPZV#4=6#BlfxVaU23^yve( z4q-b3W2vT12^(w#7(lq0hl1zV0~37R4@}T?0GQw}vOfs>tnb0pfnbvf=NdR^0~{_T zylLQ>JRCkr7%<2KYcQDLfDOU+C0uRb!XY?3kMO`Sz`cZRDXw7ujll%F4+Wb_xWK@Q z&3Q<8kJ$u&4hIwT+XPIo)=01}g#8RG%EzJLt^zQ@N29<5Lq~%N_8bEySUwg^aQtRq z#}LjO=fVCH!S*3MK!F7Bj0Y3+*aA$Dza^O9k8QwyB^<(Rf^)Y56Fjvwm|)N(uz`gB z+j_7zwyCXdgaZs5v^@?5RXc!Lgu4w)nu5da1uSZN!nmCPV+eOl1>8y~WfH+`9?m3m zDg?AAyv9SpA-jVuAq?XWf&n~SkMQjtfUgMW^H8vihbIwArUT{>dhF>zJ07+rygCE$ z65(hb3KsFOfiQbEU?$-g9tvVJ!31l~0u!{~8%*#k*-wP0_5nOWSj++hl{~Ba26ugH|Ia_ZNtUACv!WRX zSpX*J!U}dI%v=bVPFTuA!K!*N!Fdf}XA>S;47i`r$i#xv7l8?;9}KoT;R7ZSys`xB zMZ%DSJm|wD>kt|b2h<68SVt&31W-a)=P(aCGD$naBS!!pBAmfP!4^k>ZBF=@KL}nw z66_Vi%3}bR5cXjb!L*~nb|ai;;M|jOcoyLiCKlXzEZA*?j>mcMJJ~OUU61#m)CLpG zIst43;W7gcF2$i>_XL<={z+g0YZ=%)!d<+$;JQ=5t|n}_9FRv?$|QoLPX!Yka~jx@ zgewS_5q>oA+L<_fnXn@Z5R5+qY%{{K1{O0(BjKcT080tK@=);QSzwc_uwx0I z@dv?SPk;&HFM`b_tYQ+uiYLJY_dEqAc^?3BGw2>`TIg=RDZ-c`(7W7r+Fs zzXbLQ;aFZsu!M&T3DaHy>_+&Fhk~zO2K$_F!K;9C2~|uYxOFf#WNsqd%O3=7Uk4NX zK=v(R;Ts;D{wA2pU2rIP1iOCLLxhgqJea%|nBc_jV1lRD1{18&6YTFEfDOn5#l64; z^Lm2`_FV^TZ^Eetu8ZMNaPhie7ZScUFuE@e1q0Uu>rXhyz*+rpC|KGb?0CY12HqKf zL%|>Gg9-X>045lh2PT+42uv`12-wDimwA&2p4brVQNmRl1Fj%UW)i`{8-WS>3 z@NXs&d^!|N@N+)c4}|edBA7V>Ot4@RFu|oG!31YA@#%ywM*}`1tXtqgmr-CH39}7M z*%XJn63#J@V73zo`;7(6CUhI)K^q?a$-_T32mDGnnCAp%Zw4l~WgM8`*e$`1BJ>~c z!4?z11hcjP6TCbT>;=L~CKhbJ4cIn>pZJ5I_ts#7pSJ`1fl$mOf|=WbO($Gx;7let zo$$#nfDZ}lZtuYmd~n$k@H3wJvZp@z;{e~|u2;KTn@OY9XJjFDb~>hzS_fq zv!;OI5hV;a{6R30+u9QVjfu|O^VU;}cr3x$^)A$ox2@goLTz%}+TgaexounA-7eIo zwrz1&+t!Y@ZE-i-)=suHd}3ryREi%S_`$y zYNM0#40l%xwW(@b+*K{qW~!}?RNLZCs;ymA+u{zYt=&`G;?6133%OZ}{<#N{JEgXE zNo|Weq_%cPZHqgjwsu8ri#wvWc0+CLgxcBwMeSzuJa<2#v3-c#^|USSc-q?SL|@vU zoEx0B#T`ytyPJjD)P&4MJahV7)?(XY^0DC1a2e0sEY96aTRWGwHY{z6JC=posI;|7 zX)+VHFaTl^sn~%1|-A7wHkA>QGMEV6h!`((( zJB?`TdUEbCqQw_8FEDhfsp4|B6k68i#ve0YlPhSv$gAITio%3v_kIm z+1ll^E$;9^5+OJBkn&`u6mmDu)=nPeSw_wsJoJq-DG4|2Y;D-t7I*Ay?bbmvXYp5V z(AgGu=xpuI6>3us_xRa7!yUOo?Z#o80mprG5zlb*4N{#)7{+(CohRZsQqlYT;V961k&QsEsUK>vo>uE*9J2{2gz_Bgv;6N%NcWy9l2)ScpYq!R> zxKm?mm!?pgGwAK#^9*-p3bi4F`{)Or;cg7>Bb>J_?!w@15_0zicaxC2E@-u1iQH&G zKmU!$T^4BL4r4b0Yz>-bmtpLT(;lhAkj+)4?k zmjG9p$TGPxfVpElksAWAaJD3JH=t0P0I1PcJj2C*jNy}bo-6;h#Z`aQMaYGI%!4}+ zxyp~xYzk9yVc*uuKJLD$Jj2C&+nNoI(Y}ypUK8gEKE`&Ofv&)DukFDzT&%~)296e- z&NE!4$H)eb-adn8xF~O1i@;IxY@XplJbLm>A{XG%>-Hvc^_`Xj({RBZBQ`i%d_SJ~ zQk<*oXsJ4$;letuzCV!*>b4fsq5nDLTuH|jgw)4 zwk@tO+ge>lt#KYR(WykPD8s)HawXZexQbk;#bbhYZbUqi@)%UF5nri{Nnyy!IWI=#jFI5 z{&^YCaDf+g>BU5@?xO!+O6008=GK)=!-ZVjp;wS|0oS&;f(yxS;TbO4qIaz#a>drx zYAt%#mE>Hd#kh1ek*l+qXN6pqg?A?8LM(iu>xo>2MW4Bm$kkWd;>s&@bu*DGuCT;z z<#oBpit-;M=i(~Hy4#3cRE7L^61kX)`Q>gR7f~@j+)L!*sjY=m%$q0ybM8Ydhl{0{ zsUIQdA}Pl9hlyMvMY})B^Aqta=K9CTxflvP|C^kPpt#BtM6Q6s1I2mFl1~!vd5Xxz zPFo9|@G+ky=PDA9#g0V zOU!Gp^9)xjQKL7AT%d%;-Xd~!625?ttCH}n-z9P(($*p*`p*aCTzo_i`H09xM~qjW z5V_cBTU>3lwbBTSQJgD`@YX*ka#0bU^_N60CStz$n#h$z_|)GJxp;^>=sO}84RQDV zK;&W}?!KRiTqH!V_?5`TL6q}5k&A*z|6d{(12LohP2?gVMk=fV;(oyjAUv{mM6Ue7 zQ^TqsX8aCBF7&}7>P+M+ANq3_B3Jh?8enA)L7!_8xtNDqU^NdtttXKSc<51B!Lzk+ z2mN5Z4zs+Fi*>j+u||j9DC7bi{1mLq!KW5-Q4adSS{&{aAs6B>i(w@WR_+EwF1}%G z#OfPb1S@KVT5y9Nu-FFM602yqmvJ5z4p!Nqhv7WKg*ABhSXslpgXOV8Ev8{K9>FtQ zM6#mW~fC9G@Vp2e@W#nmo&0XPFI2&-I>7K>JeTG)cL zSkHo-Lau1pTFru-SjocdfK@D8i&wapcOvJ?75rbUOBHId3jGu7QH5Hhg3XTwszNPJ zVT{7URAFOzU#>?n0Hb__6MfOR2A;9$z9yhEL2(!xktrBs3Yp?Cj%){}IE)M1gI(VN za1}uj8BdZaBI7GEMP$6#5lmqjqdI{pB4eG-VDkyJ1Vv=b#HyAPmT@DQA~MeG3ib!# zXM$og`g8+RY{nL3ip|(yEwCDbMNpK+iDZh>xRy*&8mD&$`;hPsLGc=YlPPFp(Ar>Q zu_$I05EQwwJDDOk7LX}& zJ5YkH35w=8knCW>5roP?fHK09gy#r%4+h*yXulz#4MA}ogUA%uu_Kw{I*NyYDXwGZ zjleD-TtZM>$MGA3DY)Z9GR1YY83v}fj*rO{+_C*|FvWFjJOWI?9Y>L!z6s!Df+9Sw zCsTyS^JJfo1bjniQvmoQAFy;3U;qyl>#+^l2%Z~4Xdu)O6!o!;Oi>>d_$(tk13mhDe7a>abODlNRTP&W5MQN4-)PnDE{MZF~Z*j1%doJ z9_*tDfOiRs1ZlGcn8HB@lPMCU)0SWg2dN`dB*@+q!4wX1GMOSl>bC;pBBS*zL6IQ0 zYz?MxkRIEBDH0@qTd=;{0eTT862=h{gi{HJY!6sSc$)A6;ocnpw-Xc&(tAfRMS}cF zrbv*zCV|Cw0?Z*OCgeym#e`f+rkIcub_RQ$@Df4MAwQ8RM5NCyV2Td;lWf|qfL#fS z6R99moXCk}=S>EjNl?Vd?PQ7=d7VrVBR}s3_Wl&Wn*@c8Y(5oi&@@1Qg2F}?ktt$i z|3WZ@jod+|h>;t12jfDh^)x{dBRB2|rm&H?FEnz-E(IyG9 zD+$*T6m4?FJTOI@B+9`Qa`Gt|{>QCL_>S-;L2)N*%?DH5$tW_#oy01^6nrw3OmQa_ zRbYEn19m4U0;QHr5h$mTDFS724cOy^hY3$L06rp9KuU*NFvX&5xByJCD4puS6p&I% zrdX6C>%l6Sw~TNb;YNa@QeGfaRLYNJTtv1e5EPZN=^`*irNkD4-AK5Gp!k%h$+*01 zeM3-u%F9dm>p_5S1jVPcI~YvyDW8!kNM)Zx!4#je{4g-Zrz|-f?4u(9pAp_V67W7j z@hOiU1@;}`OM)U*);bzYkt(Ce6sZzB229~93&<3yGV53{g{#~|rbv~ujsxR@weVBU!4s&oJ?^n7n7aDbH@{2CA>gT zM9U9k3Tug-1Ez?UKggz>3)q>U*p_)@ifuW8OtCGuod>4amh;XBQ-I6QWQuLs{6er@ zE&}X8P?SpvnW9{dB|B>c;8eoxgnI~ATnxCF@GId@!l#!2J|HO6WxY$m6y@?4nL=Im zy$noIF59jIQ>e?mWFK7)c$c7fmo`^`Dd=S|S@%_dPJ|hRLV_Y+YRDA%aw?f3Ulv^n z_88$If?{AkBvT+v`>VkETn*?&P&CX~GDXA8BvUlZ#B0D#BpgRjT+9_@ii>%IOmQ*S zUkmm(;dg=}WcptRrU;p>$#%aUup8lp8v*ml6f1KQnPO$Gz5(nW{(3t>Q8TZRDQf0- zvVJ!MVg$v{Y);1Q1#31z@iW`p0=9@yM^Gfq>15n=ux=$NlIFZy!M-4TLQqUi$J@XZ zQ!|`QF*R%74yKrzF=Ps?Ihjl`HEr$$Q((;h%Zg?C_5jSr> z0j7wX2mTGFu$uu-f+^zW`lrAYakIlSV2ZeT`B||2p9kzsQ0&cOGR5AUOQzVHr(Xb5 z?9ELtg01lq;7>9|;SA)VqHwk&QxwjDFN1MXfcolFwLGd`N$P|zBZ?cQt0Gvy> z-~+(jWQxprn@o{8f4m8%$eg}!gDEnn$2(w(%-Q-~uwm~31{0PL4kIjhAFz;cJWD=` zpctJ?$sQm)LU{E-<2bke%2^V7q?|*o~mLo%v*n+c}9$aXa;&fDQT&U;sf8 zJX@0~f~SZ~5j>ZE3Z@7i`!g_w@q9$42%gv%U>ki2$RjA0XL~Zm@|2J%mS^%;U<&A2 zNv2qyrC)<7pyv}Z#qvD)4VVIYhJFjCSe|a*fsH4OAt4#PKZ7aU=N&Rd z`aJUsn8JNJ{tBi@pTEfz>GRERU<&u?_dA#(eY*Ssrf{Eq$rS0c?SH`(?sL?iV2bpq z`wL9rJ`a&8(&y*D!4&D!yDgq`Q>4$YWV@~b*pZ-^pHec#{2WK7n4e461XIk9-45(E z!ixk&|NKa%5TJG2gDLvwzhvCtvSt$${j+sPFogh}L#F7T!#aT}1n3PiMgQF28B8HS z{kwp5=n7~FwhNTiUT@h z2v`S7+LoY*puuE{2%1Euh@j$)!4wg+^H4B_1zkdR#W27ngbOwYJWQt8ppVHE8??p< zFvSLKunAa~k$`rD{RndiiVZp>A55`9_ZNUEHt4faV2TYIIU0=Heby`jxBRSg2#O6l zY%?$g2%R?$?0Cv@6hTo!my#(;=n*nS34J&oOi@CyEx;5dG-gY%9VY^|At+v`h)nT9 zN0M>7(7K4Ac%kRF2K!(ez}o~x4*f-@@S(hI!Mbe+=s-~T&|ETZC|ZXT6ggD71DL{x z9w6&939u$XF+@Ye2s;xLLo{+HuuY}_HYO;VXcsbWKw1?9MHB5l73?Cyxdg=(-A%@A zN$YLGH`4&06BJ?8wGfOOl~z7M5k|dt2P+{QNKmZN;be+6x|mF{M#t{~_A228f})Oo zAXDg3Y)>#n9sNPJ+jPKA1jQefktzPjCR6-T^$f842zL?`iSz~;H$bib5)_HlZzh-` zk$TMnQzTNCy}=ZT^bOgzvjJNY6qB?cnPQR-B~wgN$v$8=6RsmDI_X(5Zk$@*5fq*D z>b_t@_X7+jC{AfdGQ}yy$rPt_#{OW6Q+oISFvTf-cOaPJls1?HrZ}ZB#b63nI)F@Z zN+-?*Q=HPBC1Bigwf;lkwyPB*C{F2jG6gHmng^yhrKROyic`9`0!(pA-&$abQyN_f zrZ}b2Dlo+%>*yEL1Ou}fzxIJu4fvku}Z1u>ny2<*zmfXfI9XL^K8;Y|M_Q#jMp zOTf7EYYitToT>Z4U|SG2BPg(GrWj!nL4i#N90I23ru7a3Q()6yWcwcu*qfjbr^RFn zaXOdmnj-*L5ESh6IGKW-J||PK({o3HzGVW|!zY)4D2ecm~IH6flxzG@YFIg1y9{Xrr@cw&jtGr z;RAxgsMb6WjJxO7Mg)aXbv++!Kf)}60;(2~DWK{cG6hr}egT-Gs@^1f^g_S`gdP_G zx)Ky*HIht0R)u5=vf69~*lC252nx5lj*PqU)^h}fTitdsm}0IrxCBh$R$E>Qrf{nb zE(6<*uoFRnS7l_}ueWT%xhnx@5EO!S8<|3|UL#Wo)_s?Qb-x18nV?{-5o8L+no6c% ztTC&=6pS^KOc7a+k|`ML>MOw%k=5}kFa=``xf)EtSe>r{Q$$vrOu<+?T??j&tc%GM zjCJ32U<$^%^m;HwWZiWGn1Zn`x)DqfS$~iz80)>8z!Z_S)y-fE#u{)7SS4W|L19@o znZmNJB0K9=z-a^pXx&Vv0IiqF_#A=t13>{=J?;QgfL8vUU<%OM?Jh7rS74P9_-p|l zJ_IO0>!N$X6rgp_eP9aEdi{Q|&mI7LL{O;K;0M7Js@0xMp;}YO4tNMKo1mbrC1eWP zI*&|2TTed>rl75x{sp$iBY;226uvc(hkR1O+Kw>&QNT2U0=cTm6v(xV?1skxR}+r? zH{dBUg>-#QrjV|qp8%`juNFbUT_=($xa(RnKIdSqB=A`W>p_BoyWV~p?8j#SzY!GN z^~tkfityU{IWPrxje8zU!Cli|0Gs+EU>AbIyvoTG=CzbeVP3T_fjvODo1lQNx5)Uc zg!LCe0bd`z47S-TfKdd6e(gcVCn&5s!ZEJ`4ksuGYz3Kuz#bw~5Lk!T!4w4cIhi8C z4t@hnL11UU38o;hTi*gx5ZE(sgDD8?4KhW7wSNapL12U51yc~%w(o%{2yFcOUQxI7BM__y&!zv;u2<(tgz!U^_&VRrZ1a|1BUb?L|5ZEbSf++~>g|EOA1a|w^U{2w|GoMPl;G#@TiCr zBGv~Fia2p%bz}+=y9JMpI3Z#`cK}m}*aSR2;)IA5;o%V{PHZWeLd2fJqa;p<*gbfh z#EBE@hDS=A5V69w!1z>&wTz$;u@~@oi4!9BTMsaWhz-GGCQgW08H2?XB39WOOd(=> z;$agfPV7uFg^1l815=3D*?928i4*&QOd(>MGgwR^Vlg~~;>3v^PNopC^ZS7*MC?dB zkmAINJxZn!u@4z6rVz0<1Hlv`wmu$HaYDql%mY)1*xqVcp=1m3D2x+IwrV7pLdj0TBQe(7gx3fPD*KI0 zk!Af0z!X&07LUj{k!1&vDX44*JSyWvmR(4upt7U!;EeSm;TeL$%f2B~j9E`cmMOgK z7qT7j9F4U#L4jrmk}1&a2r>nlU4VydoItZX$ANu-=WndH2?{y;i%fB6c?>>N$k{dw zK2ylqes~1Os>HK5);xlO&ulUUpIt?E51z)cZYL-V?KLumq5V##Ftol5NE^lov<(Rg zNSj2afV46)1*A>IqdQJi+A1;yq@9EZd91eyuMrfQ_8Xbv)B5Al9w#(yI3DkDLer`z zf$<3+>mq{U)9%?B?CD(qj}sK6#;?OWL26xh1zUeIpf5q;YW&!v6RyVZS6fwhGRP_? zC~)lrG6k;jqjU;f)5k3u6Ke%uORRUeu2oWjw{G)QyLgqZbB3>u_R=}8+v$+2EKMY*0e15mo|w`c(Jv6&>1g@ zF0RA-psVnzVZ2b61r966`?%|u)Zh1Afe16l+|Cr72N%%TJMG4*torl+2 zgH<%-IWHv6W3b1Z}&o9L% zAM}ANsAK4~W$p0MlQ;2`_dS}`t)xFfD(@-j*N}928X;9l)=$V6qN3_}l8P>90TnrK zD9^1QIeF6bqjjf6>qosq(#gMWo{El7v<>tkyxu!|AKE1Bwi#SkGq;BCiuYACDl89f zH7{2hC5;ZLyw{HkLej|*Zl035!+Q?gYM1v8Q&aeOgzrGqmsj{oiZUKKdD5t8YDm>R z6-^FFC#$)6Dw+gSJD{TD`}~ioXkJLwJr$LPq?4P_JQasIq^g<^AQWr2#{@%b%=7Oy&j3lyJ9Y_;|Ehb zQ($n0kA~yp3b3A>uL2Ct!$**+YZ~&*M;}U?&i)sLXu;Fg`6202=KatqE##guRi6~S z>Xq~2bL)yD)cVel+IY3TEhOFlM6IjlR^T%@5o-NnNNv1YKNpg&^=iF+^tQ%#QzBIP ztB|UARsK9AUF%hOyXaMpRO60?(af-RA?aGL#ydx^aUDJ~LN6jhOAiXEkJr)zL(;Wg zy>n>k`l<*uo)}USuf`KX(zRZVcZuH8@bRnU_eZGs%#aFs6`vlGuJtOuL*$C%qfQki z5$aqMQWvkzl_BX`ug*J0uXDv*d@eLXrB4W{j92O7LejNfrK8`|b8Dg)CYOfP#H;a& zkaVqAP2wl+eYUk#~?SLc^P(zRZl zqu!hT(wz{^irlBT6V|U#>npM^*Bwg#(JBP+D zFRqP8F}^nnsf^dygG17_UZr!mt1IKh)e#zc+mO0=b>1o@UF+33x-w6?D1%vjNNv1Y*M_9~pQv>m_NR)Y+|?(A)W)mz(vWnmSL+;xGG`+# ze3|Tukji+KzAPkN>s30sC0Y{6BzrKVCSHy23rW{{HQpw&C0ZI`;C?ft9$tN43rW{{ z^^M-GON%QPR?Lr3>7PO><5l|mkaVqA>F6th`2Khl4{Pl`qFG|yLel+DRJo$8EJ7;} z52=dR${UBIYrQJx(6#Z2_;{4X?j1ua<5haQkaVqA=^VOtePt9Y?0}G}cvapvBwg!O zIl8@Fx&&XHkI=Ulh1A8Xb3;hF)~j>$i8)>p#r`@qq$XaCPYy}fdNq!IH^(u6MRFyt z4ylY+=~W@=TCdVMG;?K?)%{08YU0)Sp^$W~SK}O-xehn;ya;#myCIeFD*aYSy4I_7 z^ySZZeN98WF4E%uZz0w3s{M0Fy4I_9^np8mPw(lS0z9UZtb=Zp>B{QFgA1L+awy`M{8L ztykyh>)d6PHIW}DIyj_0UcDEGq-(u;=g`(Qbq(ba`u7 zE|TGWeMoJ*T3-{AuJvl2!}wjZ7#leen)->5%6OH2G$dW?RXT^Ju8YUZ;!y_h4?}9> z)%v}VbgftGZ6hxQ&WqB_e}+`VtMKn3=~}PC)1p_nuDGhIxUL9~fku74rqA?frrA0n z=~}P)(FgH)b;Y$&2Jz7$b@7_KAS7Mu)j7K7p*mNTL|HPL5>g$n+Pj9NYrSemAJEE+ z>*vQSqxc^2klJ{)o*R;`^=ch`ZLqv}ag@Ev!$Yd#Rr!#RbgftA=nE+2rBNFBoRFG$ zH9j*WUF+32hpru8T-sO_<*A~ZLu%vI`i78ntyk+Dx^_cVl!ku#|C+n|P|Kz;j^kdU zj3|n{Mo|}9`KIiOn?>f%e``oqnKKEWlL{V;0ZV^!wMU5h&D2gIv z8Z~MZMP8#QiXyCg_4xPu+v>^r|M$~f@(NhY{1W8+%zAw?m?#@YyFp^&4rJa16>cZ zj_|m;05FC=4|0BJeFIopQf>l>fvlomzGld#RxdY9zf2ItuM0Hjz`Eg z2v_&LpfUA5kn>aPHOZ(fYGpg{NwApt3CQ`G^_rxR+U8yZiHTo@oS#^KS`}dwOQorO z4`2-a5#;>PErvNLZNEQ)#Kb>9ZaTzDtI0uIv@+~K$oYx&3%l&(u~gcAPXde$^NEo2 zL+kxDWoMA5-JyvxZ(jr+b6*HKKev8Em!)#>!B&u%cr)bu#Cj=~PKL~?Olpsu2ad4| z$oaALQf%!&OljNqe$bfuKFIm0^|@@EcEzL*DxU_7p`U`BA6nln8D|U1^!)}hu=x0G<;%6Y|C)Q`|n5Xmag{Rb8U@`NX zkn=O^ORAVYvuk2kSv!9U9Ake1IX`xbi@Q+P&cA}h#J@n!Puyal?I?CWtmUw+S`~IE z?n$nCt+G zk#B~aA6cKvs!Zt!Tmp%SCy?_K>pQ;xv`cC4WFG>LxgUg_pS#6K&nhL^PLP=RImr2m z^^&Zb)4oV~2P|fO8*)=&9%hyD$<^mzG4p4T^E0=&qerQ=Ikh({Pw3ylWA5J|=jU#5 zM~^1Lg5!v7T0wR=d;6W;(mP%G4b<|^Aqb2>hurB@i6^bQCV`l4<2*B3pqcxzI~F8qDtzhbnkx! z9Ake0IX|}k;7S8sYwN7PKx67ZAm^uUGuVrD-jn*}j^3`7W=BG9TG;(jt=!sY1II>t zJ>>k@Ew1soyo}O8F4us@)Egn^r`8|a>6oH^`l?d?@@y7NX754H&#r%Rmwt(*AIWtW zfDC>I1-h# zm6|JWxQ+*m4fQdQ^F!-v&c(CV3$xkzATjYdkn^Dcx7hwN6$^?jK2Y6z3$8a diff --git a/doc/_build/doctrees/docs/moinmoin.doctree b/doc/_build/doctrees/docs/moinmoin.doctree deleted file mode 100644 index e93b9c286cada25ba7b84fce3a8c010c234bee85..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8692 zcmd5?-ESOM6?YPUu0P_qb!n*sw}fIR^6s{P)XJius!Ej9tyL*5w3KM}?#$i2W6#b^ zXYTl;B@##lwdnH1@Blnh@l=T?B;NQpfCpabEB^q$bLVr{jz7|-ZKSbw?wotR&$;KG zlV6Pg{=d(RnSXl03G766)I2_7V&$+=Y#Cmw3CF@OPAZbk?0winS+71 z5r>>anGPcni)c@ziJnrtPdidMBbVhRc|l&%lZDK$v1ja)sUG)SU!8j7PfK&zf>~GOd2AE zPAFG-qV`FzycxTGpS_XOVf(I<>~)}~C)4cWDL|EOpNE8Pl2AUoC0DngUik!cDxZXX zzK&-F&o}U}dubxSEt>$pk%7za$kojWBft#I!}}2rWu4F8+=_xh#pZLZ7uK1Qf@PXy zP+(?7NX}yEhB4!;Lo#$M286H%3%#W8`q;%)!{$Eg3YNseVJcvKp(@ zVS!$DT_Ea8R>2c$>I@_oxK_!XYRDV+{6>hXEA0*Y7M|4|`XdBbsCO-8v!XWVWgp+Pw zfNdA`8=t!^N`W2$?onNf6TSH*`K$nk; zK@c6XpvMl8XER@R{aA6hORI6yah1Ukgp@N7G})^PLfS&O4TEcT5>d|d4q2!3^7i)2 zuiSq9=GOMzPKR;dX>>YwwqColb@T4_+ppck;&obO*nqrOA<3D|7jsH^Y-XIgd!iA` zAn_a~`JQO8TS3Ic0Uv~(SZA)0fej<=bZndjq^r(4iO49jDV%wujAO+38;43FGY~9` z4ZbYXDe1=SCluQQ9_=RK#zq<4Pd(6FwHQ7D2yP%pBK5LKzt*ww`qTrRporkFJ-|yU zc1%#i?kA_+cZo9-j;m+%uZn^`^OhsxM@1~?$B*;ZLdvD1zFd(v0{;5!0>1UAqM%|) zKROymREX2vbCD^ySI_j9M?$jD3jU~Qs22))V_%|}!!et&gA*1fRJ{Vo4#50$_QU}y zTb!&YEULnEGQ&|bMzI32pAH>LRn+1XFGv=WPi6}h9wX%cP7;y?q~!6bIbd#_gZWaE z`aHWv1v;C1JW;5BNcA7T?4TY(9mGBFu&g&?6ceV%8Yz6Tl8JP`9VIAFiV6*dh37Hu z#X$o%3>&ymfAmnu;1}vE#cgS>bY^W|2B-+B#-g&u7#hZh#r=Q}B zp5atxzIr0RmDThqfO2_f4&~_s{Nnt_%g!>eoxw>ARpTe`u`f@XmEV1tTpVMAv$^t< z$GP%b*I)(#RCCMdUAtPX)m3WSTfGnh^SQi^F{xbF$n=LG1ghU){52`Q{ayi zxwjr8>)Dd!N-bIbtGus#x26X65_@NDHMS!m{MB`~+Rl8WY{a(gI9|Pu9wzmi7xlwz zEe{-{=)F@EU9TFSDcCRa$+agrV z<53?~#_jFbU&~Xuxd)gAX;t~u?`^0^hY#zjAojN0&0p-xcTyA%M zdy0|ss@c#rxo`1^j!Uo6N537z@!8_xTH#P2I;JNTIYTv`K^OUYtHmtp9B;7G+P)?t zigv#qAJF`O7j6IYJ#YWZpUQV2^v=hm=+({Df)8?Rjbb-%XlIS%N*2A6(72+RA2M;1 zii{?a8X=d#u>vk@_SN8OQ;0RtHe;E*e~T$ZMX=z%7+aL18{FD()48A*^3JH0k94;5 zT(M%NGFy5YVML<@Ou(+t1k8-Y(AV{q@SRjo{+N3ShD&AZzPY7m`oc$-2c6p#FKCDf zG;9YUUS=~g7I5$4wUNIjk%zZ=71$Qdr06TiO`u~N9j1Dz7X%7vLDW8OUeNP2De{wn zb%3gHfEK-8pdm_VyLaR+0=ix_2i=J-_|Dk#F#qm@9(48PtE*R5cS(M zEd^xUPW3YFAdIxbQUXH*1P%>jMc91yx$i?Q3sKJ=Aww$_nH5TML`DSx2G_1oJwryS zfd>*ZigytLj*{u-w7v?58dzm)l}XFWrobQRCk!{3wup$XBk(xVkpoAb#oW7mMc^&Q zhrZ$mRyneup>9APJ{My!p{#ckgl~+UkD2%E)j~Im=Ni0fON>oz*f$F+Sd8+Im5nvh zkIXne8$V>>{t=ucuwB0gQy1~SL>U3|gJHNuG3W{hAz9q-fNk0K0={brjHNw`JDAy< zS^0;W`I5ZUjwoxdYkcm76y{z`v7!JkCW5QI7mp-Rl94a}DL{$V1Y zq!rpAZy4yEznCrabpsnR^R|opMNEUKY=wfbfXjrduViree*`e|pc{Cl&lu3hM;1%y znIVib1y!?YX$U{^X{0EDFOTBNzl`Z=q=*u1!8DaDG@N3ZXw!djSSmBY(DH1#Yz*0O OC^_YEOa)TXZT%k*FlF5U diff --git a/doc/_build/doctrees/docs/plugins.doctree b/doc/_build/doctrees/docs/plugins.doctree deleted file mode 100644 index b4683c8f98516b7045892a8020e274946e903edf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11635 zcmd5?>yI2q5kEifwfFG(%$ewH!$eVZc5=H*A|A$4gcM`T$jK#ugGj<h7X`9z#o7R5<-F~0_9HtBp%`u67UfT@eqg){Hl9;dS>r#?|gO~ zEZushr@Fhk`d8J}RrUJGZ}Iww{3mAJu$?BJA2))~WpO4ZsG-M#B>QG|=_}cnvWsFe za<<|yjoK^|BcNz=&vzphWUCn;5#upyCtes7^n8?$@$uE{B0i2LUgERTCo%UKw(i9V zi>&AUblnT$jA%i6@)p~sN%x@mdL|jc!1H3P?_laA<8%BNKOx3)##Y2h-wRl_lC~UC zbCM+TT4{m>(E?6*ZVYC{c-wd47>#%y4V<2OnsC#;d1*QAjfi!KCKcm}vreBfKFb&R z6wS!biKm-A=6X)k_2P{unpWJ&r4GJ{Mln8BcJM6J7H>VIYDA2p#|x%z_p&w$F{b-gokNj zaWL!!>sG>7tnr8#b5M=<>)#}Vg#p3>ZtF&=JJhb2Ln`J}G7x`uA8@~W0 z;~#_;Ka9Ue@b@VGmY_iV68|Xi296fQ*}ZXN2HclokL6A7xv-fE2=!WO2AO6u$^Em# zxECj->WgxBF@|~5$jii&JW2Xjn$13QHW~@@Sv&0Y(!fi08hC1cl5Jjv4v?A^Y9Zxu zlNKW;A{K}KCUdi!$E0vxdKWdu0QKFRWpI<&P*^<9&+*4#1V_fK)eA?YnoHyT+|Bu9tzwKmR)}W0yuv~~&8iIpQa!&qOg*)6c}?oi z6L&8!qZUW#Jh;fip?z9o2t3!cRJ6BrN;in9Yv%OSQmV8Stn=?<#P61+J=_|T8}o)D zm+E&Kj2Przy&;cXoWzz7AI%ComeIVbfg*?(2Xh*@3{H&`xbYRu?|m7|tn-Ip{0E0$ zr#3HV^m;4nJgs3EH`Fn=rnoME%HNhIV?mtCv8f3M0Q&R80Q9Ych%~bcC1yv&RLc+B z8}_Sdn3NB1jTWG&y3j~lW$SV~}t9N*uuloPpZ#({qtIqf8dWqdXDBFx`oiQ_tnV|AjiCr6e3 zx8<^qhl3IZtU$}#4{!~CeEr+^dld$!zr!B>=CB+7eh~i}$Q%(fzK0XR@ol;3<@4{! zmj@uYTBCzR;?{bK4wy)tBUfI;<1^&rYZW|7SF;lG?*1}tC1P^B=U<8Y4&4hU`tWF2 zv`LS?6RfAsIv!78pEEHJAhwxZ9DM*}c3aFA?=}v7x~P#w|5NT4oXbUHV18!>iU1VB z@Lp&J2g}&Rs&`R}<`~||5^Im5VZoWGNrb_f zKy;|&OW>62k$*ylR#VV(F;c@)VVxSzla9A-2r1kJzcfY!k6T`{l>0b{8Nt8E4ZPKt zkuBp^yz~FR5O^cQmr{VeyG{66>~7x0hSxzKOZt*su#HPm$URnWMe)AdwYaVWhqWfD z{SX3e58m~83eiYA@U;WvlG_34lk8yxo+w0hbURSGSg4rhE*tO$?I8uc%XbS!JD~q> z9V-opd_|p&#@F^xb~#|-`ahV-3aE5{ zLOZb7{qbFh?F#6BBDi(Bf ztk0LuJefm?cY*!)Bx(irIdkAC;sLb(;eZ8cwEy-HbQl3o=2n>y(RhqDrX& z4jEk0c456{u)gQPCVLfNe;{)eQ0e}iHej*)x4RJ9HPHW6(wDIQkVYA_?gxqSldxwpkrQKYloYNGrBszSIXI7T$Oy5v#i;q zZMg6E5lpaRWIKJ=lASGv5#Y`vl0eZA98(w$^KV6PFyRoYOsffrJNqp`#)9t0B8)i` z_I{Hgcy?c=!WK7+4a@H$&uDL6oEsuft&tCv!nzfan`KC)yNqdug%xy)Z2$52>b+#j z)H>}vNA`((g+rk!;+}`vV-Jj_&`G6o9#kJnpGHruZcsD7*th4aiLR^{aul*plzd$_q~xkkCC_&san4iYk$THCJ{LHomc>@*n% zSrLZlH!2tcHxpx@lQ|$0mY!V^lj|%%$|4D)3@^y@Fk}FGeY{MmX>5$Wf!A98ilhbJ zW|FXNQ;`*Y#dM=maNS`_6qyr7>ZHfnA{!citB)1*_sT#r3GpRazjSrmnl z4W)H`E#3`tFb(B##N+vE0d>I&``!gtnm{sE%9!6idb-jKW^H)C%bQW{8 z1{q?5h@8`?-ffocw3 z6?{&++*6{Yo!FaR>?w$zvSIU_md~(|oXc^o5Gd%F#$sY{B0WzGI+K&x6kL=QgR_0s zH}zD+%QUsLV4ZEkwveSMF=~Rgwze87+S~}Eby*w;%D9<{1?oW~QV$!!P)Hf+U8K)g zzy9clJ`AzUMxFM+G}L0IIZqWZG>xpaYj`Z_$Z2@e^D)I_;@R>dsiG?se4*v;^{`wcqmHhJ7VM{f_&52K$J{jAc@OY|e?=MH{SF-c=2 zJAKKZ8fArV-j)Nb$j&RW<89e#CFLJPDW95WipRVsC*g0X8OUu_Gu+ZM$Wt^GGi88D z=4aX->?a8gLQySb1T>uzZn;I#p)eOP>$O6^z^o*F-#}wQJy{uXQqL+o%~!^UJ&zJP xKo$na%D;?=37DvYY!-#N&}{5&jO(Wwu&_jx=Bc7t0;%Sbam?)qo!M!t@jrb|yEOm+ diff --git a/doc/_build/doctrees/docs/quickstart.doctree b/doc/_build/doctrees/docs/quickstart.doctree deleted file mode 100644 index 681eb460cf23a90f631026b874f00bfe8080d274..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32348 zcmeHQdyr&TS>NpL%;(hlF33QWKl!+?e06% z_fB`;&VB6cAYgc?iFLIEno>(Bp%m0AdHDdJh2RSisj>?B!z#-X%D>c7ixRPbl)vvg z_dM>MJN+1U!xm(#wx|2v^ZZ`td!2KhKKP#3yk#H%OQsrrJ&xQ~Snl`@C+sDM=t0}* zM7?+S=HJ?Tb8jx04D7AYkAu3?OZK5ez3H|Zfz#AM!7bg>u zy+Jj4&B^9;^FZ^O=7D5f&iU0#ZKvVdOAR;NylZL84>p$?&c&sg8`a|arV}l7w>Jm? z;bPl%J4*l{{STL(irxBV7}-Ho1`vA5!Is-`dJpZ7YXr5>sYkBgQDCN76O0I?W04!R z9OG4T(}SHT@EbALvFuL6dW~vbfcAL*G8(pbVTMaI!{!~U&Dm9ew|PBa+PneyIf?%_ zQ8YC2ZU4&Ay{_d9i`8-+Zt$O+o~t+e4@bnwkuceYT=Zg*Sw>91Q& ze~UVZL-d0-T5c_{gYB{e!*_NN8qQ|`xE~xI&i6O(VE7)A@CKac1qW)v-;=9?EOc=K0}=LMVU4K>1M&WkvP;*jm#G91HAetwSnU(RNqh1(@&p z9byFF#f~iE6d-ED0hR)*>25Sz_#e5Q4Is7aI&}xwEY(R$$O&ALwly58nAjVJhl51P zF$oVQ{lm4m)pDXr%LP+QA*oTcFPWq_72u|AyuNVFHT(9Ru}+<8IhUN^)F~_Mwp@mk zV+lcHxgAh-JoowCzsh*6mhnyENyNH_8jvrn3!PH5RN8LbkK9VEF-fl7;$w8SG2w1`FF3 zj~!SKEa##VY+Eh6vk}`HP61CU{#)lLERv34?eh`Hzk1ASv0VoP6b70^7 zXRMwgR{jOT+hU>{2WtGzhCxutq@pFsJ!6a?-2@ht>E_!83HYbePL3yE{~jeu4fF3+ z2nZ5z`YHla8lFJma1c>Fg+d*@gh0z^25JR)79u4TULb47Zd-M`W7Qli3SFlGu@(67 zMia7f-EBdlg{Mv}K%lwxCf59z1Q)56?)r9#1sn-Xxd>r3B6+8T=YmBN&Vu-Hf;w~! zT6$V7De!j4qvR?Z83~0uhXa=8`k`w8MIQuS-m36&*nqf{DHACUbo(q-J~D^^NUBil z$CC?J40)PwuUsEu8pCnM^(g#-L4fJY84+m+VhIJ|LrVaKgShQYEa{rqQ>Gy+U@L&0 z5n3)5dmrkv<;PJsj!Hn9vjByHv}ds(hcVd44y|)*k376!J#4Qz7igJ(?b_M=qMUr^ z2C?fR_;(pPJ(_`$q|`|Tw;Zkh!yuFptx^xi6SgR>Jis;KK2IHr2@XfaH=yv}4gy(U zDt^}!@DMmmn z+ZmpLwwS_Q^2-?i)-94;_r_tR48lFJ3pIW`@vkHfzw-2ZO)P%p>GzfOV3W-&*>#S5 zdSb%EvCl~q{@+0$+{r$>H=c|OgR_@hbBaAOt<+OMiWr;eaZT4wj@YJSz?LqZ9nTFH z&m0;uT|8v(_i;T;yjnP8Bq6EaO00RyAR|!Iee`D(Pm_1As=>~X@54wm)82bdS)yT^ z^tjY3#1^TN9VBwjZ%0kP10&Y(E$p$jp42%psk3T`!?@3+)_g0#At#4P?Msfr{C5Jo zRjIZ7`le~veQrJQ+m>`HS}wdQL8SdBrOfLx+kDUfL@6=xhsno~E2maRRrXxeZk=WA z00SwpBgVSln(e{|5Lq+loL0-Xp6~+*(Ag3{oKrBKBgihKVCLTD<`=9?gLCuq^O8co zi)N(AfyL$PKnwkNy*bmoEjc9JBt3YwnJ|0F3816yR1`o#w7qANDfPQz2OH$-h6mPL zBZ3po!P~M_q*>!uVIMJ?;N*c1HfjnYeQBuv(+Y}`4?uq0VGK2LVM?iKhNo)fG+gxHUh?hVKeIWr!qYmvL{C?&%*$|Q<<6&KKYE2h zuHr#j{PYqvSUL^w{lyhYkKdu*6ISC}%*e zMdpQLD19chw*8oG?9gGZO+Ab7poiA09(znc8sFz?+gMiD?YI>!SctZ$di5qeeWa_} z(R>Nc`^d2yoi3ha-d0beiKA{TuZMc5o+moL`xxYK~|QItkuA(0RR zv3z(FOQf#Rm$G$+2PGP9Iu1E9;Y~!8AmYFQNq%$GT|W%n8oUCSPlE=b70!}$EO6`u zZ)@9<5ncdI>T@wcqbSsS7K8($`WgB&^a?H)yL&R^nC1NM7fVTQttcZ(P+^Q$K!D-g zY*`6~S-p@=(7 zR%fb(We#-MjfP7>L0b@b=(G^u@q=Y{bO~O9-2=b7?{DTsJJ}A$^Sv4h^Uik|`v-IA zO^$_KtQ(ig7)crsKGJnM4J;*So&ectI0p(PSJmLR_+!9LvRPdx&|{ zD~jj#yw9MWWC9N;(uFWCcbXi=1Bzw3bvNog%kQ`Bpo6cI-R)&KC}Tu$R}p32Ugm`i z->FfAgE8}7GL@Y_FZ3U!af^li{uDWEW}UrEWTH4Bxm+z4kdQV-r0HQslcj{9`Dt}H zDSvNWY!6dIuAT>5B^bs zvWgI2xegSBnTEeQfB;E56f69JdKmUy;9r@6mxggNk=j5#ZRr`0`WSg+TJn>|NVJM)y@4XEk_RNsr=$M=Y1Lt4JlHm3mPaC zsooce-QSVD=VAcD3{`@jGrskCwmOqk0k%c8f=fe|zVEIB?vM|}^+!z)vr1?RJv`HkSSr#QJtU}9i@s6z} z{1rwR#biVsR`QTgL6*GVkXB1_bqq$K+=Cq1as#Xt{_%@~!^HzyVK$O*%cXW^xL=J~#J5A(Iue0QNp-A6LGY|&gyr8t>X1TN z;hFsU5Hm?#3Pe7Pxv8%_{mTZ^=oXVBCNm*&xP#;}iaRJw@tYi^OgzsDvQO?|lt(ix zYz#8@V&Eu`X4qd2bW(`~-84h=^LrTUeh3vcCg;PP}k?D^Y0tN6NHp?rdI@}VlE7xLmd z8&zwW6b6y5o7Y=Qk73G=&duc@z~dd4mebT|B~Ly=f^b8&wimD}QTo1_T8vD*lI+W3 zLmAdJyD_nTz)cS)KIbE02)wSWCcw* zOn>_i?6h-DGu4pA&oQYsk2l8^cDV`hyf3|Z-y-x2e_b6o{f202Us7W2&Rut%z+6mj z+!ZI1q571_j_#%*CU_&tq@zj)S%c`p=rkc2qr;@heV`+XKY2CK32^)!ABTdx_c8&| z@?hXMrG-Ou@zTNNy?Ko+Gn=EpUiH47rMj;%)p`GeKWY&_548mmIVlH}z8IF)pkk6W zq;?fhIkGsxzR*YE<04-dDTkzt3SXE^pPKW&ZFVw|c2dNvnRh^9VXo2nA>fi~Ob>I> zom?uO56z_;z{WXl$~%ca3SLvhnHoxx9rKSBC9s6p7-IM-4^p2g53a{G@08K^4!*m0 zPbiW@-eYYwGw+Qw)M@Y1;K?Z(Uq|_PaCu4N0s;MTX3#j{jA)$J*+H!FUsO)7{Q~5a zd#=UW&z%LTDNz=S)I}FN%Mit`#mF;9+?b8F{CX)&wx;6-QfFAOF1jIQ+#)}58Ihyq zs<8G>i~1{7t|H!OqOwlw?zukpg);B7q;Irl-9R6trOK11b`@ z({256R5jez2RL4siTypJV}H+h?5Q3XgphYryD8|{fEZ&UG4PZ=7zo~%^d~Hav-cs4 zT1q$X^8^F|U+tm_b=NG-zEA+7(SZEff)<7Z@|RI{R3MvEA$`sWo@M|&Az4kyxI{fF z0Cr!wq(mO|P-tQNAoH=>?P_zt3Nb50jG*pfUmr4XEP+ARtGn1e2gxAbu2iLVd_1{* zn1(bChb)g_e~qYNSLQvr``8L7>p5ymP}ZcHjvf>f*1m%~b@9;%>sxy#tP(mOm9W%~ zmmF2KQs6QBUSk@o_)!4Z;V6k()s&>`BonEHY6f=oqdtLM3a9o7?4Ep>l0#Ygs0AsF zHAp3*UlGMc-aUXV27fpGG3cqk=bysAOR5Hkf4iCWx%J>WXPs@4kq*6-Qe6-QvBe_2 zC|7ADav`*fwYudZv_eZd2W3gMT~JwT&}mE9kSulGbsrh6Tcq2Hn2OGce11FCAbdc8 z@RbT6qhu5Z1}LFaK8|>a6!{nK!H<=d6=8nMOyXRs@Z65b#sVctSoBZ70*zEC+$|uR zecpBfNaV!KmrB%`ewl_`=4M5tWOg2Do#}A^=^)BRqVh_iR{7x*dKX4$_A!p`R#m@0t|zKnIZ z;W+A>0uyKG-B9U&^o&T=fCVc<{}2pyI#NOgT~&ri_zF_nx0TnbOUH*GB*S4^VE~j! zprqkkDG{$^7YbxvRfvN(>`5HFeK+uY;*1r#ZMS6y)|vAU@<#rP2te6282&)BVfQYx z7a&bI;fzeY$8LUTE;5RWL>zYA7Z=JfE~V_qs21hJEmxHcP|b$blE{?fkq8wc4v^66 zXiaEo#PMtWBN@3WVA#q*KWp5`%%okRBeWzl4B>52i$Y6!G08|Zdks`QX6Bo1)X4MA zNO?MOK?5e^^)Yzfj;e;rG$`BA%+ukV$gu0w;rguiQe$YkaLRex=%-W23)_fEOv5A@ z6AuPr=Z>MsvH(Tz(oGB&ezgG1BjM>+3K|#^PrtQyJb69TP0@35_v!Y< zQAsnm21;T+9f+-yyGw-v6nzF`PPypX0zsk&!I=4r0>GM>L9l-jl4qyKAPw~WSwa0F z5%Wb`-gM0+ zC)okAh-8OFCF~Z3CU2NCqExXZVw*|B`TdDxG4!!;j{R`#U`Gh_d>)2MVcqduLC5F)k&wM=`3D0f3_2&?;Xt0A|}SbXvp z9|1ro_RuadoR@)fwQR)aZ~|J0Bom4QV34LRC34TmOBw13A^!dROi43#@|5oWd4ea| z^#{kYM3If(BgY^GxzrWM__rQ5%cG-cB)_7Y@`U(16+P4+FN2RQG1y;2ryYFX-{bF;VIx)g^2PVejB+&_?~#x6B`CZ zi{z&Q<)-HxA-ZI|EYWHJda^`{Uuqg<4HLN=t8B0Wx+_qmPLn(n=E%`Z;he}?DFC*q zLJi!1wOr7EDf9XmA?Hxl(4j^#LQLiMura^9Hv3+n|K&VFENpj=hFmOuB@M0QWuw)e z#c3p>Z&TOEqqC5D3eag|4k*)akA|aiySvA@SKpRTH1w&nQaq z5p!yNQTaocg~OEGNiI)&1qdH*jU+PFYowM^7xp98O^z?2<63+qWVD47S=5O+(rpXs zBo_|i+Z1zNW*rnu9u928P6f(GMjRWb(fZh(jVBO9VoQ{gf+~~VTvfNvnL!fA`1YtD6 zd39SZ_L`9G!0l_(MtjMgyrQ(AMM-jnI|8}?EJ-xO3d>5yTNPRCMwbOb@;%g~So3Zm zhX*4oM@)K-EG~bsK-%TSB{9IR;_}@E4GbwRKY*&Hxa59@6qkl?=lbHQ=EdjxQ<$^S zamBe>gE_hKeGR0h+Y~kJE@TSm>5nu$oiuce{uK2m1q3k?Mg2iR14B~OUtJ!Gx?#7; z_NA%MebthBq$$Ni#-Ye)_{V|hq4*>u#4v4`Mb553n0kPPF)?B1E3@TEUdfd6JWk z+}(Vv-RwiZV~m9owlgI74z}uaFoft!WDbclIMU&z)OTj}u?HE!u3ndraQ3V%fpo|WD3ipK!lA29=tjRqk!!-1hLfU z&&a~=_YAOSKt8+X%WcKttmrf%gpI+A>j*4~)EI-2Z`iGZL9@G;!7#eH31kB-bX&eN#R2^s5EUc`hl>h^BC!+qt#H>Wt$Lv(iE@Ts z&PdFuQ!+kwb&ncH8`HRPq_G^iL5IJ6b&ov@aq?upKgYkrX=B4r)dTc=ytc$nZq+VVZRArCNFZ z{|%{0dY>X}O?!X3C!~Gam~Lj(xC=>oyp=FLa8n#z2noS+g9v|Ayubf6B@*Mv3GKPO zrEgV(F$NUG(EcVjsq9K}ZNV_)vT`gpK;11%)GrO73S*6-G4L8=ZV~V-kzkS^;Bd>z zpYI0sjWi*m;{ejOK{*^sgur$#!3R%@ImuO_G6_Xpsq@A`stYBE<`jR@oFnw5Ydtie z_2;KQ4gBY=M?m=Ba>O&782if%Zn8`MOS59^F?qJGC0G9yfwD54{+GI^N2;T^nu+wA z1Bf)Y?0?o6`3})_JN5!2R8y^Dvp5|G48;$kjPzu|=ETLCg~vr{18?#P`k0)^>Ze(z zJZy9!VK9+)s@voFoj^l*Tgo&n3?`&LnF+5g8nyykQuw-H%){~N=M9Kvq~qEnK7g4f zm5Z2LKzBp(SExX^M-NtidUCPYfn{3PuuamlX-cQ-?FM-V%&lSzqqMGJnv7Ntb9-qX zlbMqWXNMd(V>!D+@#WO82+v$!JaIj2CWZS7NFj9}4!I@to`M!kV(OEe@BpeB(V3yP zgifS*%lpZ{kb-sB6(bMx;V6-smA;)!X6A_$yo*Fci^9dEPY#uDO$St8hZY=0dO*od zI5tFGQp(w%`U+ITXO=0(ERZRd^Pll$tR$br-J`m@yn{ns*a{y4?k&A0nX1PDT^&;q z2U_6(-Xrn}%^trG;Y=)A9&zvOi?Fd*T!f2F3zVOUq}}n?*)4>H^j_sfoZ-9SbZ~70 zPGv^@$p(C$a2K?@_;FZtgA-gjoA_Buf5t%zzm7(Jy+Sw9(pz*lhH(Wr#2EJg_)!P8 zk@51OYm%dMJ1nw&DwimKwudKEeC$+((1)ran!HIo!5Pu~q(Vz|g-;&AkkMmWA-y0M zlgBNPxJUxA&x=kA*U%=oMzW=T;?7pz9mSMa$A!j{v4&HNH_+Y`oo)~}#_M;mdwTTz4u!14om;tn-zgPDqAm-kBW1WJp8gjml{BXj+UjDEZ3dsjk!2hojM0 za3XjYpH0hmu=BP;EqG zQ{GbRXN6DO!x`Pz^Js9s9gZqOIyEScVAKF1AI5Fh>L(cQc({#w2QKNWI_M&2@(w3Q za0w0giLRdj9Xyj<%fH3X3NCfAaVG*@OnnRTJisZmi;CF_7$f;p5jUn{FmwsGID}5H z`?DYtzfKnn-2jtl*wkw^hM8?r?0<4cX5m z2b|8u9!|qZ4hcyB)&mu}INcp|9aY51DOPtZg0ea_nie|k;&xEr2!Z;3v>K_CB39}BRKym|WgPx|rCa%-#H(ki#I$}OyN?bWz>1C^%%G*5Bw%|}Gn_fr4d zHSNL{UDKWh(KW@&xNBZbqMq~zHywEjOlz^=^LC=456foP2nnU@G`JT0VmO*l0BKn Wht*>y9ULSDahOUD@<7M6^8W#r|7kD) diff --git a/doc/_build/doctrees/docs/rstdirective.doctree b/doc/_build/doctrees/docs/rstdirective.doctree deleted file mode 100644 index b6442755aefa27935fbe0fa6b7b8766b21f2e7b4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5091 zcmds5Pj4hg72iJ|+cRFzdUx>>$S#zC-8ieAnM801mVg6NKrvVdHb{V0JvH4mQ-!;` znyPBsgA|b*kandy5N&he6Ch4OIYr6`;f9n?lHaSI?ipLVCJI4{ur!kE^{aZXe(!(1 zyY|T$IvFZqpFXJ z(2YEn9=NGe@biG_H`PPw%p;{Q(0v--cyq%4+;36GDAmeu4$}*l>%`(Ari|yQ< zJ5f)a$bnRxmT>NsqkEQTP{=YUVRKa!!r5T}a8K^|uf9O_ss--FEI{Z-tAN6mU3i~> zGsEecm_mqbEP!atwnn%tCBPMSuE?Tuj&VRD{Q&<)z1 z!(u)jGS)yD>tfD$o-m&9!qrF+=*(#rjGL+>m~@$!e*6Wfw#+d83poxL9m3p|pKOU1S_5z)pFi=|0MWKbCN;Q)yen>Xt_0R$36^lg_*h0i=s-Qy3^I6Gf zqNk>Tb1bnvh4e&BQXL=JG1En;`G_TF%4MGUzrSbeQ^AA(c993mZ^>_y7gI~R+I8)Q zu4~{5bi&}~{bSb#G zM6;po(F9iu~mzSaP|?Fy~VOLDOTG~#}N%E^cCVd(96s#e{-s} zqd;EycyQTo)5wsQS#*l-IYZOt`&4*}Xfc9W9Qkrh5vd3>Npzx|jG8eC5*Hg5a}zFa z1ho`VDvm{p*~IrGPunF%IdQ6hmE*3o4O7Q=5Xt2X;`%4tU?;7qE<7@Mx^(w#k}6Hcl8-E&{g z9U(vQ{rb?Q0-d)Xy*YRT!q0vrxnK9J};e)mLz{g!K1d5GAp9i>wsz?DCAe_BIv;D zfdXiAOSWH<3MrkjV~83W%SAD`_uBUWOW#c6b7F|H6my5t9EovS;|W6vHw|KF%z=om z;}iIQ;$(HO>F>guvZxKLHgiSwd%#nFJ7}YFJPJ^4g3}U43A}g ze^uni73O{#1t|>(%3nJwuPc94*O*}Q8xRDqaF{89O+pVU?;uf~q1KNsAmg-YyQr%R zUJ>5nHjJtCcmt*l;;8@MlOM~EL5_xxFlFPHo#@<7Yh$14iL2TxcQol|{a8}4VwTP-*LAI*QDgd0Gue-ljfBp5>U-vw*^*5J4xQYLT<5jQH4eAZQ z*z&55-wU@=obZb}CLU+ysb9t=_11oL28tZ>F{>9I1HC zScTe9c;VbNb5;{A&RZQnu<+EYjhyNMdg}@2EVZ2m^7bBgSR1Mhhr?|fT?D<__U@t` z7VIGC)EBz}P$ZPL)T=(aN8b(G_fd%d54G&3_}{i_w{0F4?aJwnv&1l?XPb?AziksK z+u9w+cRFXBUN;;G>}9IatBuwsYg=lYYg@u$Me}V3nohNDAE?&-)7KtY@j9muRGl*i z7VE)cw{qGE4zyR7i2?px)2_D;fPwVmAMk_KhT|8(fL^$@QExfDV;6K6iDSM~3F=-; zvzRC*{t!Edf_l(!BCo>X>yIqU+eewZGn*}L5zO_;hYL<`xT8rI! zBdE75L8TSc?7$-4SW8~VTJ!)G)Ew*X6ZhR~*{y2fUi*Y|lHN5TN~cp))NEV_hCjD~ z;9K+a=a$-4%$E_xC-CQp7zRmbK_u4bMC6RIP4Xu!-m|FFQerSyWnF zEs=_qt)*^@#XrwHn5j*KbL(oerzu-+MG$mfln+q1ovdyp3=jw74dX%$dyu+21$!m8 z3)c{4(1J2g)=p-r;p+(-uy!IWL|9^IiUd2{jpU-#>Uy*7bpmS*tp%#FrgUby;x)X^QnxX^zi=~~CTlv;WKn?> zk4jh`-P1=DV}CY~(PCg(`h#Ryo2u;#w>9k6a@SslT^(w#R$$x0T^Q^Yr&Oyi*BbbN ztyu1z3&-_y$?hzZH7AqwMmgkmL?mX0T=fyJYta~M!`NH4Rd4x$4PCXoB|Mdxfn`hZ zJMd7X&5ULHY=+7#&vLzydyT)9@aKwMB=Pu zKEx!LrkUyQ9>jEF8V#U#@BrF7ka*K>m;pEtWx+y?GC!K88Rg&4kMiXMWuetC91NF4 zIGpp4yYj;MCrBuJ%;ioJ>XpZOqBp`#aXEv?zAN_mrei*0H=5>C#v;E46y1 zW;MHTU@^Jd7ObGKG2T+495?Gl9>C@O29Jw>so;QM1b=`V+ML} z%+q7Xy;-Z~t&shw5Mpe5XbE_$bz7ssrmJS3ap-*&#tR;fXKa)5hG@-Ib(XN|6w5W9 zW_)9UZh29?n9&`+$cDhY@dWc~>vNRci&1}&nn?4){ji0(gjb|#+|hy!`@^JQoX@OW z18ron0|{+bPCgIppUfkuhoKC$`D2TaDTaX#IE@!313KPPNK5NKEfLxU>fDC`FLstxn6%W59x+aX@N%dU1JvpW`^zzH6_& zcA5!$TpEv`%a$~A4a*dJU!k(qHi9N7_h5$ck#p2=do`$GRh;6aQaDbT1v3kL^#lTH z(^y3WMGMiP4kiKoxa5ptee0}P9k?BS(R#QBQvgrElI9F=ry_jN2u|R~Z5JnT56L`Ur-Vr&}HYpsol2Qt;~zqV$C)e(S~28kv!fz67l6LA1Zv ze_k3EtW{3JR${clfEDAO48>5h67|Bf($)C{Wx5IT))-97PNv0(UJ*4$MCoGFEmQZ{ zda1i?fJT|N!+~IFbRe28<9gAEUco4-B4=1=t?7&Dcw;slt_K*|H4ExGCPnH!6gP+F zn_k0%(b{=NO`0mYZQ!K+*B#M;rRd$J#+vDyDSqlaDBfH&jf8ke5kx*0=t!!q>&!fW zEhW$Q+O^erUNcL_g0_H&MV&hK3^;6e^!RbhUu^~US25OfY+=CMa{eQRg?WinVe5$??`3xffJt9v`>@%smZnL+lzAIeL!xS&GO7l5hTU9 zb=TA6x}OrN@`>|OmAfKTYznt8b{h?BOf~Aj%xw5TdU6wTl-`t}Ce6s}@D8UAaywSX zS;lMp2SF|b*lnxb=q@9sKI6j;p2yzje3{rHOKC6Ex>-EOX-~)f3K7KpPJ~zH z>A|R5PI$=vYpiSGPQP8Nx6T&rYE|xc?`S)%Di%tmv)BTQ5}Qeg5b36HxZdK;UBgHB z2a+V{_Ra(+4Dviye$05q_iJaut&B{##Sg03H8MS$bkIormvtsY?OhF-7OofiH}RRiqb zIM~`Ak)oxI9QQkflGr}IN3$Jl*u38mP7nHBzClQB{apr`)cqH!`$_i;^oPwU#aFN) z?tTd+YQ8+#!2{gmYR7An3fEf*ppclvE|FiRXbN%!PGdWZ<_*mB9ZL;q3t0dn6@fOA zJPNOnzb#kKSXGPXS!;!zc4~I14ztjLGZi;;Tte|;f)R^v2~-e49D3r_X}M@&2f=dA z+Rb(YYy7&zNjUX>&Ff;VjA@VDdZ!BCXR7J7s-3#snktuPMc1a2@_q8o|vZCJeqpe0yXXFwHaCZ_Y-04Uajjju{V&w)jM1+7_YrRG2=v?=G*Mg%tifc2C0DyE*7;`Au3SduNa-T1VKGQjYM9A8!SV zXu$}vt%hlJeU%Ie&kGVhs}ahR$Em^q4@F1;3CQW=Sa6+Eo%%2F_9TGTtoAN1mnl|T zE*B7mslu@hkhx3iJt}39P`c_?tOH|<#BHh?gT#!C`(2_BOFeLEE4QLKzy{^}c%8A4 zrwq!sbAWz}dJ{VRFj(|;t^$-rB%9e2S?~b^!ptbZ?tWwg`_y-u7>H;JYNF=l+KffyNa?$_e!pb@=z1YEy@tGJu-NAYEiF5LA}G6(u|58C7C;)`du(Yjkf0qD6Un+00y-T?Ud zYpV-j<`!&M^+hY@R;W9EtkcPaKgTbLSbIkD3Pj>qC}^Nc&S9P4b2%=qEZer zr4l`w12m#UkAlpHxyoQA+7%Ucgih!~+AEE|yF#>0y4`HFv|t|td?y=T309z=Td+iN zKf%t>SXY8dH!2a@6NUmi8K!cIlz)>rA*8%b0Va&advj1N<@}x;pbg8JSgc}-;6Fob5P~1oO(i7%xg0c0$v>9^G$Q#DX#O}?87%pWq9a_RDJX`} z`@J^O{uYrr>3%aCJuU4r;9tmwSJD<_J;WKX(#vys($ zun729Wy32y5JctH1L8wJJ$N-d{^fbdKj_#k9InG4KpqI<=gS>Z50+}e&X33{DH6Yh zNEai03z|(x`qms2$}xUZ4$z3CE1>X3u97jv2T)04yw7VR+lPt3N%x^_^t5cNfPXL> zUddLFkz2Mzc|X}AQ-|`~4)Q;U*oYMe0&&*Knptc>(y3lQf_BlmDYEVoD}<~I1~eh^ zl^ldik^4D7BO-S|xW`oni~N#-R72?f0gTw+O|(wBzmbii7W)$5-<1uo#4bq5Eq0>5 zpV%iMI;8G79U9gx4=X!*NE^x9BakT){xDH3Bs?NpOo;c{90W@7ekccMM7+x&@B>_B zuy{w~1VWyX5+lHm6DgDKN3)U80;~c4BiZmufCAav0wntS36RFE5a7Ng{zqe%3)Hy9 z>6XAr9k;vI)=iP@H;ElWvg7ft5(55K4hBd8e?13iM8I`0;455Zuz>gUr5v(Oe`&=2 zPekXW`;%9{ltEGxeR%ev8L&m;&swWcbxRd42G|+kRs&0 zLt4lq5-uU#D*%zx^|~wvXhgcFLFdI>Ww3N7Qt5`0KLa zl~e`lxur_f_LJ)MyebxnTac@8HDw}~j6jRy%YGLL2ppahyX^_D3#%+FnpHa~;(my@ zBE~dKpoB~a{NWrdki+?S4$z3eTVTQcTxGDpugt>$rVdJQweq`3&4yR1A&AVa8q~T`jltEvMB%pN&IS@-B600+EqDRtt>L}~B!wWUylJmmEl(YC zq{}f7+YMG6qy=IoAkUstRyl)&eZDhxqw5q@{-w%)Gy4h9NjiH%=`KF9hp=gY98Q{U z_~wmb;Wiw)oJEo*!O$LcRahw`fcuPy-dvFxp6H?5ri1tjl56<|+G6fYW&w9nXZ&N?06YSIM?Y7|)5iGkwt z)}u(-g(949bu9_^-;(}Ly3Z!mcyP*&+P><>$TF-5w=k(D{{nn zayrICIhO%`XwPhwtguNh3>>?uh58b=YMAf^!GzCilGd}|{N@NVVobOZNzq6NwQP&h zhiR+)bW5M7_c<4uZtMx9aV-6X@OZ^R90Q`=5faZ=4rlkH-nqXEejp`sjBe zn?xPLJbvPld+#XSciRJe?pr?Kfo(=uOvde)kDns&vf|19BTLaW+XrT?p2 zVWzPKEgZ0ywNK4J-YWYsxHrhB&pdbi))*rr)?i#-oU}H9M7@#z>|mQfV{d>>n7Yde z_&B#wMEi_gZ*YFT$kX^=GAugfTobjkk*7Inf2i+ zV4u{78TT@jhC|4t2ipBo(|;kQW;E+F+`-|}h8>V>dQVdp=q=#a-Z;PBmFzv%##WKD zzC$ufU=)tXM7yqS$?TC;<7`Z#zoNUJh!+%s{u;2elN z5Mj=Ngcl+GwRBs{ub+TlS8v%3c2cAY^t+-j9Kzv>Iu3qZh}{&@BCg4dV=b>=Zal7I4mbf+REbXeU!DIZru(X$>sIMu!u6SLhRn;(qt*Qot0w=91ztiNg2L5xP zmDE6CRo#Pl)z73plTs~a4*QtYccEUy`2BXW>u4M9Z2%7A2Gu=EWm`Z$vYv)9KQfaw4qsd1(`Gk7YZmak};1z`P=vas8 zz&qVZVcoWH{2mEVv@E!wxD-Xi7aYVdXbdfP5X+#GFye8sL#ru7L=o8VIt9Ch)1kET zQoV2>1I8V5?>yZ*I-kfcE>fF}w~v!KbTr2iJDrS5LA=2gkXXLqP!7;;^&e@97=0Fu@3|a$A3^aSe)PhfEtNfZ>#U%-R z-(MYvyKG!VDuKlSr%=#ae!A)yi7x%LF~N9!R$Bz&4#Wdi2o^`ij`EjBb(x`st=WG> zV}nczoz9cNE9w_^Vj{d?)-tW|Qzljyt{K7#voXR<4{g&fWB1&7U}t3+2h&HG4Vy;U zccVV~FJ#&prZgrb_p2mAv0l71zBG*(DT62T;)N7m%((xLmLnImSLrKvK9wNAa1 z-jQ4?;da_UhXEJpX zGE}nCjEoE(-r-gX8}JM~_8!+FhZ>~ahN2Q5dp3RTix-Qn|{GX)crE3VLo zSAq$7?)rmsfj4*kjgh!zANur37fq;p32_WsNbY)wBNS4k)!wnqnh4my54=L=Y@-ja z9F$XrSIm5dl87-m!~V zSf;2;B)l$7obSA`OLi3tfyd5XyZGq!hi*D}Z4*^yZiDk+3GcyL#O~&-bvq8T%vy)8 zmFHZrT!U=gIiWJmNC_g!$kzDMadVOal~s+asl>SEkNB z59(?!n`W88|MK;j!sUEc;QetcphJo$e-Uoony8}MWYI^>QZCo!_<{oDC{qfMxavsW zn|XrnJxT$RJFXJy0lv2H`g>^`HU-$E8>qfjs0*hJ8Um z>$||P{%*#orcO=2LuA~pF^x0V-;=@hh_g-ov0H(t>%T5$~LRaS;fHTKb3}!$r2HI7&Mu^>7y9-)ccc!o}e@08yaX zLxPA>KC~ik70{cbZ+Q_Yyzs>DFcL33@w?}Zh8Cy$r=-D??w=$SdGMCM%8L5S7>nJ% zjl}z)*@)Z4?j;M~JSApvK2kGf%}@**dl##ic;y?gcuGI;wuX6JrFnhDJd<}YHCvE1 zo4CvjIY;JoWfj+hp)F3#oJ!u#6~5`Ghl?@>zYR%77(()lvP8cHQ}Axq(gnj1XtvR~-L66=C1~v+A zQ?uZr9G*EEHskTjg56)zJXudF!+(jfA#*(5F(pPKMu{AFddNiv8xJ#UDl)*t&?-#f zYkI3|N7g@kDOy!y1e*UljHJ@g$Y6*KgTw%ks!wAkq4Y}KX;csaac%!c!TulNPsVPK z4*7fxO#gm1ywdjXXvyZ&cK3_aHUg~?b*C;<)&2E%oV6>I>5dO*)Ny)I-?G~s{Z&2T`;EA2?;sdyzn?c1Og>6({)%>lj+>@`;E z)u7fpHc3`_S&&i1AB_1L{-{kmiGkXCOYRy(TiUaxB_^qc0Q_3ikfhY{R@RjBw{_tH zcUITDirAM?tzv41jg%PSJR_(InW}uo_irGQr?cVRcZ#N;Vo>)S{v;S?f(EY%j!pp1Kw(7M%RTkjDS#IpV+NAVDTid^Q_YdrqH+$~>11 zuXz3m1|3W;?qt}<0c5(hA(h@Aq7_MR!GIXBU>XLO3Yw%Q_eaP?(3CRjH!LJYJAWHJ=GFgza{NO!yyDgO7<905AiG4Hg}xN;DQNu|i$N#Iz6fwR=ht1? zpfR#{X2ZwH-cS`m#tKPZM(a|wc8e7Nb>44*`S(Ne+Sk0R5rZg z)yElhuxcnrl{bR>lKc;7DdwnZ@C4yM%7L9E{QKFUF~Yx-4Id|bLzM(y(qQ+3PssI; ziCnT>Gj3-BKEb(H0W#Q|GP|?k73U@xbg;@qy^_8fa~KUtjWJ$H%1D{d zftysA*Jgvp$i6-sK2G+ADomgASn)-$9pRc$7sMD6%2LTen&et38&q@cD^Qllvf&li z9%0bI%7S^8CzO71k|)uI)RS!rFhT6wa$qEheQP#ojM!hzhL01wp(+xyDgC1ce{5J5 zu_+9n;M||&AWw4ckFr5E=e`Oxd4D#%;@s~u=wLNb%i#W0e+sQgMG?`~G=e{!11m}J zC$d3f1b;LeK2Gq4s>+_|^fMq@@{fjV6ULy33JGQT-#G}By!%l$sOH_*pe#SghF84% zE`ttM7PZI*`P6U zAI^r4le?j6g3GLp+y})^-fp>qy`P(I3cxnI!ss*`P6^e=i$8PV|PVOw6+Mji!9o za4lk4QerC4=O9kVA6E?FVQ{s*Bj+ z(clS%`C$(1B;nu728|K^?QHls;Tx(jawCxQgHuP?#bcUfyb;Kd32t2qn4A;$WHzYg z);FOhyRzXGw{|k9}0-mwcP17K0qDP`n7;JQ6ZZR>@@6=X8ugA7#nl&GunUBwp<<5xZ( zzQ0jjn_5R1tw?>v7rOZxpGABIoR4bw0bN~$PwVFu?p2UuuYz(DS0)n__R)u=xWr_7QqZo^c;#dq9{<9p;3H@9+!e3dlq#! zhvStlE|Y2nC0V`@?ocK40WP{RMP(@CGP$Z(=|Zr6ajD}$cko@FaQO9fk+*O1;pP^? zQ5+MYiwnID>W@~T8OW<_w(+oCbb~L7woc=*ARoJ(2A;+OuTrAVq|jTx$3rXJwd8pL zZZdWvZ;ova$9$w-wz|#ISvr@7`!K?BI?Lb2Wme55=<(6sWunAsI9yVqV{9cpK?{7* zovhHXeV+?Sx7yvH#OGK6)eA?v!P4B}Ib1E)3onnqEIFNy*C`=0 zxZ1!7B~|FuD?u;Z>2-s6D?{8$FWj4WlW4;IH&DB9T(oO&7<%Z$opXoLfBZ$FayUUW z_+V5GEJD^7E@>HSAlJH!13`R!STDT5fl0w9O>sF{wIq_^(M_EhJB-6!OCS>*saH!d z7EATBz1na%Zg&H(WQxKI=*q&eYPZ=gX{`fexd7*maUBD$_d+u}xrDF%B=MV~w(oSS zUg>O;^l1Va#h&k!qBU7dkdAz&j%yKFKX;a@b)OPjL5Re1M2&{p)!_~h17p^*=}Wk1 zbWDPk=+o1F?^G`wZ#!+1-b}dcppr!!U6W#mmb$KHWo4z<=F`x85nI(ca{!S3fnGRC zHK;|ZQ3{+UxEz4X+fY7t)xkqx%Xnw0lIVs?OgERSZUPUJLb2`yOWaK@Xg1KrD6)4k z1URd9Teuer)hy|;rLUGL@%8$>r^AaF8{ANVv!b9JdP_X2LXo$3>=pfpfj8l=;!xPx zl0I7B3%52r((oPl?lHy_oIqFr)ZER_;<425Dz@a{UL%0XP?Kaaw13nDxSK!V+NUL5u3RXAgQEl*HYfEb|M|5ws$JH3V;vg6<97RO$IjSxZ{D6;q7iXLKPI;uhW!qj(+_aj%K<4 zfqs1i_ba>qiGGdH^s$wGouOYo{o+Z6=ana@+T-+V3TIs0E9e(z-BV6|7hfqtKXmu4 zz=(QU;9eHEhXrnZfm>eSR?oTA;sUpJj$1m{tzAOY5Q4R97`xg}1ZwngY=IhGd@fL< z`$m~^o{I%)G%E|#E+za3HTO!!Z!D^!%;d2OzHiBF2PZ`dnGppi#1k!{LBpj`)F#fD zX|3nNJ&8gMJsN9qRQ1Z0cE#GqjL->a3Ytu|CEsicx53D1uyK6e4z>a3Vtm+7(V(_v z(PBzBANN;rNla4}n|XFf=DzDxZuvYB-gr0UN#D;rZ3&gNrYLryd>pdId+rYW ziDzM(6njnT{901eP7&px_B9z)kh?_G&Sb!?q1L?$HBxB(H2K|vRwvx9<ZrydsuKXf6 z?#Z`1-8_Evs~hg=gL>WJ;5hM)BY9b$E3B@sj)WsiB~;PvS2y+MN@1?l?Y3)ky)Hx~ zk=E8K9aN9H8>LPM5ApBy&C;Uy*J!1;WPZ$*%17G4JkxAEzS!8)St^k#qf70e6SR*8 z{a(1CTUwwJ{p!Z*WOZ$Ib#-kxqIAA~dNHWfO4F5E=g8&L$6D>ox-GRXBb_uh!_+n)$=bP*`$e;IXmZ<G!G^R(FB?5L{SwJ}og?Qc8*?Lo zQd(%2ma3L);k~yt^WAEY-vg$5icywDLMH0mNfhlMU+d&c`OZ>Mu9X`3)?6LV$(Kuw zMo`HY+2qAsw_55FU9W?O-B!Nb4ocks|AL3}-Q}gAQ((7DRVTs?vg*Eehz1{N!Vzcy<{lk&Pd*`b#?avjGJ=WT8j0{%XpJ-Z_+43i{8k3>>OGd&_~BNa z%{!Y>OnGNjI40A|>|CQ&K4K%Zdfj!`<>%Y2#e6ioM-Ca`)Ha6s^?cP2uB;G=0dNufV{MRX}OGsJlr4~~76c>LY<6_*hyorl@W?Iy;P=nLu_CAk}P+l~j z-Bz=c@3ivEGIY=!aVu9W);h&vzO{rFq1$TbAxs{SLNj*Stag7W>ETE|qzUiq6euaH%#dHN#9#f6y+p`9LPqj!bR7F}n=`uM>09ZF&c zWxp|hS>bB!(^3NtwjA5E9gD69d<^$4<~soZ5_Gy9lLM!+vNg61vzLJ;KT7c)jdpiey{w;bwxD(m3(cUBji{c-QIj7I8G$3 zCOvECTF09CW3_Iz)$4*C49-b$u7vC;C{5@5jQFYzT*D*^pm-YQh(y41G&Igi5?;i_ zs&J&%|5CWxJDJzZK=|e!A$>+x_$hOh5gB>Ptc`J|$w++rB_rj6?dyli@@S&hVtLi}7f- z>;TPlm&u@dzv_W<2Jc`*)5W;bG`@g1vRNr#t)Z1}m#fP=*(MmZFkHoa2Q>?|u1M+V z1D#Z!+I;l|fscUxWS%Ba-T8|x?M-f+rQ9g`dBPET-C)Q(lj@5=UUi6GGk$IAvK&W96AN{f23RCG^kAwI!O0P+dAXvw+;# zL&8{u%_{k3Z*eYY6EqB(I(f{7<(sX!R%Mw|X$~FIcB$D7WZw}p8r@|yJjYtSMkQYf z<}v>yAGI-}?rF3I! zy~+5|R4r4wa_ULqrZr~g|BlD`-n@L3rMb?_;qA9IJGF`!RL4%g%6UU>vFBTjM(Y@L zH*Nn${7Un|n=GCN!qLZY;D;RtR!!$JZ6;U`q3fR{2BJIZPJ%{l_o*1$QLoZF{agR@ zn37pKlwUwOyF-~i@msyGdVlrBGw4^kdf45|z0zhJ+G;*Gi(j-c^n7lXQZXLNmLedh z?kv*kPH8T(x&t(m&oDsfF0@;{C2Pt}ypl_74O*ZWc$ql=idDK+<5;cIt@ihi!EE)_ zYdB5(m_1lugI{XwA|*&#A+kB0d(t>vIKYXQzNj}dpd?vfZM$_W_QiTyWg0AFO*D@b zX~|JePUtqNegzpsgo{{{)VSaTvm38{GZxgkT$X`OW!tBKewUxVekqAIS)XFk`cC|D zd}R{W<|6m83$ZHRO?-rkGDGv?YgEz0TuXX$L5d#CKxZp@z)wGnqG!{bW~sT%^O)Qf zhEwyEQn#eqnG_1GalK6@nXE7Qh11Iys$+)%$*NO1vkt#8MlsDr0`PJc!f z0|Q>i9>DcO#9KPukeP;u(cR~o{Z4;%20G>Rr@~IJ^wTRly@E;86+P2Jcflw#p!37v zC3T)O@nDs3)PIj(Ozt|qI|H4q{=5A2!>E5DVO}mwHJgK z&bR#Z$~xa*(scZfN&Lqvxoxdha+5%PoT{gTDoaB)k%7)ub<9scjH+k01Ky8=Z8T!i zHXo2@I||;3?+v1_AbX%i_6w#FO+MS@r;jRfiV=M$?MfLy^xdSKL^M-4h(7Gsf)RZ% z1D$g74Y1AuKfSWf?M#{t(eX;Q!9gl15gn&$*Dn&Qx|D&=R&~)&Ka8pe)RrQi1mO8L z>aJkUuA5sdb<0(xaoXdM$8;z5q2;H7!a^Z`>)``;;C1^*Z;58p4&HhYE4dZykCJvd z$y`nJJOu6G?^pYcpreQI@k&2^)M%$PdNvmK2g}%@R~d+(heC6G89sYa%)Y z8R!(O_Q68$^3y8|y^~4PBWR0k6Ot7DGXIi+acSd?=flj&l7FcM}Pp>RgXVP>`s|=l_=tJNU&Cv1c_Wfe9 zy06YaXRG^4Km9Q3ZoVEFx*-;Kb!Lt})NZUlOuA3j-|ZJcW0*YjE6Lvx#-!;8K122~BrfHG&Vah#1uLoU z>7uI&kd@#t!GqE;E%7(wFMvZ(?pTflk@yHlTW!pI+JL5+)rE)d}j}4Oa1}j#d7! zUr1K|UZYm0vRsNkm*)w$xGa#!>K zt{)=(1g=LFk%R2MUl(@nt259k=iUw*z0yywZ1f5yO-J^G%-2eb0aYIc6RGOB$kd9| zQTRQ6!C2vUXP~ncewUwq7=_PkNvC%5Q^n$?#p2FJ8sQs@^1Q?V z*xw8}CD=De8pnpe=GTNF{>BV+3gUObLa+DJD+~P+lcqb~DkKa9GO$=b3wDx>EM;$ND+=vT=Wll8yyi=;749{Zx7KB~eg#`G;g zGicY!1DIa5LA!c`q;W941{7IZs~`I{))qPd3;obfuPpShOqvbTNs8u;q7u{b>Ykrb z-N$F3Q=@N+ zc`Gb!qT;D!&B^+8ex)Klzt&G5HNp^4kxu$VYtW21O{z7YFqz4m$h%Vz-<`T-oVyjIH+jtEV52D(BWh{l1{6z z=Ah;^h5T*iDu`AS`*N^|3qR+IPp@@qnBX_uZswzG#eBKfrn^iU%jV3(I;z-&IIcyZ z-%xXrTxy-kv3AjKwgpukh@7d;u;c#AQNNeDS)dwu6YaGppuDL&JRvse7C1Z6#>yOL zCOE;!$F_NJSleu}ou~saj69B!JsILar;f?HtS!Q3Yv&^~IOdCMNS66*P`!tHbOx^- zvCca>2Mg4u^g^CaBLt;#HAe@CsyN3Z{VaE_;~1KC!l=`mWX9mTv}dKTyO^3Jg= zbup54G@EWa%F#6gbO75vE=a8-&KQbpL3=Ywn5{yT=chWoay4J-m5k^~h+p z0g9ITfI3TME&@0Kv{@RTWX9>%%4y*0Ih|30F9F}k#1=YxSPP}3uoVAA_6tpfahrp7 z4lBu7NpWjb43lZ%ENG^^PcLc{n%GyEn^3k?uS|t()2C$6q$ukbu`-ZV499Tm;LAAK z^&z5g9i=2C>l$2e7LAF!Ge}2M%sM6(%*`jKHoa*%!~d`*rCnTK1Po#QBlO1_ zTBaZWQL`q`v#f892>(N-Bf>kS&CwGPoe{D)-}E4S5qUm!zSuHz{*Kt=#L2}(r!l(q zWLPGm>iC*feE)x?vqlf6*=0{6^B%V@St#1!9CoV~)Przb?p4mSPxb7u`&bKCf0clC zI25#T;c5LrU4pNMTtwr58yflWc8wMlXB!y)#-2Dm-65LA*0)8AGMv+!&~r5Oj@iJB zQMEbNg_MJvVk)hm)7;+8^T_}%!=v>erw8QtUB+!kod9hyLz2$LuER`Mr1In)@V`zP z%l(z{Tf%<>6j|n&-{GgLzl~}W*KXbmbN?zAfxtOqJ}mSwr$i z63^%x(*>x1glvG5{eE-lsh}m$|Dm70{z1W7m^HN-t-py#jVIo~-0N?~A02kjQ;03x zh#LmGX#9!{UA5mHLp5ASNViv@E#>z#F+|5z(06M!16)yufyaEW+XKnLWlSiZ6u!}&tNPbSZ~@o}30N(ku`=hUud`C=4H|W{{@PDxBe#npU*;n9 z58;op*8o~?Cp4WLW68*#2rtgY(-Ri0bTF=B99*6O2NZ3){B(A-9Y>KJTqHf(s*%Vzg$C8J zc=^yZ8jFQ1C=5f~!iKOS6uZM16)Hg2;+Ls~{c_N6Z{D#Yc~69wQG5;qF;n3R%4)4r zgH6ZrG0@-S*GM&m0y(Ly?Kmc|`Qw;oAH+2a2~pfs1a=sk#!=jjEJ9hfix@WMC~DEi z!;EGT-y%4In}h`kJx_?^({vmgncOIT0jwpXc&mzHO>JDqSb34(vb;LD?5DG1_=PZQ zkBg+oa6LYvPK7yj6koZ5I*rxB6%~g$Uc=_FA~}b;yDgyhH(l_oUEHHgsRN5L1NZ0WodtVSZQ-4t$@5?71~d=M5$q|GsX)*|7yP! zDq{$orn0s(20=S1W9T7Y;b14|Ra#D?ue#4Pz{Bltw5F?ji-Y~>7A|ZQPRw~a(Gxl; z$ZFk;@C8^yW&|~cmJ%9^v-7^>Hyfvezx31D>EM3Y>@!>>Jslj3gyu;wmk#=9>#I5Q zcrM-*#xmjbkYe?r?sr*TE8H`6t$*mZD~Gz|J`tWx!4r!VOa2rhEomzLb!goO`hWDx zs6tElJe9Q_S_G4%(7Hrp{BH5No{9)A`SG5LjwCfJk~GfL#oZveIH!X|k24jqF(!w} z6iBnokX-1ev%}=2&_B;b(!=Bo!sDRgDlsR$Hq&KKB~`Iu%rBnyJjr&gpI&)hsFB(8 z#68LLRqgr1m^Kz(pOc?L5>xja&R9M~S`{~mS7mM0ZQhrbTfx9S~dc4ql3W!*N=DuAk1v%LB05Gr34Q zUU(Mm6qrnB*S!SD_zF0~rh3KLD%_LI$LCwhxkuR+R?fv>37Y~;|7tCMnd&%{@AI3S zGp^)K+xv5d1^Si>xgKG*W3hM$h?{|fq!UlJAB z0&uCU?VL^+HIbcO>~%Du;?Buqr=}?tj>`_yF5cAA>6g@(%=8QsL{^p8$1PDN*yp2ADy zA_dZV0*#aMQ+f)ChfO=pE)e-!ejG1hL>bv9U}jyvLgIoetXKvEK8K*ER=!#9k z;_P=e2(v(F(obhY=;vXEtz0A>LfaWaek&-*WTrO|xtvUa?}hjk&=4W%clzlSM1-Q5 zAwt{SpdQeRjony*ii3@wnE6S0dUHp4{OGE!^fkQ zxY)Uq3?{o%j2$V2gPgnk2IB720Y9CM9O^>c#zoSR^VAbHje^vPGcs7Kk?AJu3x1U~ z)=1xqpI%{2m?ksUhuv1D%$?I2%tB zlbK6EsW-7wRu>%|W#LX06zk}xVa`p(fAd?9JL!@;wzN}P65uebB2N=!5kS(i&`-mF zWqJRKWbw^!3dvK0OUEsN;|+c(RNM+2rLwlW5yU+yZht{U(2ex9P%O4}w zRiW^hk;gQ7t2T3!_hY{Ubm+Ve*8icOUWJZuROZkj-zJ65qY{vMV-0U@6W7=?u+@$l z94wTJv1W~5eHE%5lv@kU8sbB3MU{sRkCm3iu2=clk^B_($&Qv9J&?|0a~KL>MuO@< zGbzW=98hw{>kg_mCys8Idd)T;+Vq1>utB>_pVLFFX8%@xiV|(Y=yHprwi}9%Cm)K| zc1(n#w1X3kdC+AUES&47v!n6N@Yq>gBt04*`Kj|3JA#_~&M8oh_d2IQ(Kt7}_Y_o* z-QQ`I!{=AA&#i9AMjah0;jL%z8%eqGe~KSo+!2xdC&Fi(qF^&}ow_Qtol+B^&aH0* z{grTE|s<2EulzF%B_8oWUy1I#UDN{ik;&oxuU-y-gF9iO(nj=)md(KOSp)& zjDAP3h!q1Y9EcIETzgg7u#i85@9<$eSDuR;H}rf&mP*}t0q^FZzFvHzpMZf?oIVyrF@2JsB?nH{ zU*b2rj+?iD{ysmwiW}jc%yB~=O^Tb_McnMeGFf+dAZXMXZ(2p`)&hi%+M{rBbFQ;= ztr&09d|^V{KNex{w*0?FmJ%&LPpub(7!JNgDI{LOwk8|UwURo=iw(s6#RgY#i* zqFdPQ8JQT>!^}mX$NN|ht1ZLwrt**qk`eL1)WAvP!+xW2YbI-u!)oDi!%7UR%$q&EhmqKA*xGa^m-DVK?B>`uueVHw0qx(zzHQPN77 zos+WynukE4S$y6D%_6e`iqd$Ik-67z0nSC&`04Ci^xIH>Hy26IMUQtP*HK-?mXFNz z9uJe=ll8m(;%Xp}tatk96%d44nSnsulYsF0C=dzOSb~Y^_=A&d#D2N)yK|dFKb6IZNn{%HGMi#;RGN#|^AWbVb-Tr3)_tCTXT9vNZS zHgC^h8)~YxZBC1c9>X(?eXYxqttvo-LsMDX0Yco90_2wk zaH*x1$J-nra37Ag^XSL)xiCxbWD|2p^8O(=e^g! z*|uriY_F>_%TS0rXW=Pz3~QuyL+!kA9cp6sRFfJ9v5%hs!Yr#v=lJRDaC;w2eI^%4 z54V>;My#v@_RuL1$e27lCG27ApJ7{D0gjn3g*6r8BjSOPh5>M$-?1FzvWAIp^|awY zKjLa1i@;k6uu((aa9|nk8dipL^;%L)#2Dr{Mz0ol